ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'CylinderFan.step', /* time_stamp */ '2024-04-08T16:43:10+08:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v20', /* originating_system */ 'Autodesk Translation Framework v12.20.1.177', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#841,#842, #843,#844,#845,#846,#847,#848,#849,#850,#851,#852,#853,#854,#855,#856,#857, #858,#859,#860,#861,#862,#863,#864,#865,#866,#867,#868,#869,#870,#871,#872, #873,#874,#875,#876,#877,#878,#879,#880,#881,#882,#883,#884,#885,#886,#887, #888,#889,#890,#891,#892,#893,#894,#895,#896,#897,#898,#899,#900,#901,#902, #903,#904,#905,#906,#907,#908,#909,#910,#911,#912,#913,#914,#915,#916,#917, #918,#919,#920,#921,#922,#923,#924,#925,#926,#927,#928,#929,#930,#931,#932, #933,#934,#935,#936,#937,#938,#939,#940,#941,#942,#943,#944,#945,#946,#947, #948,#949,#950,#951,#952,#953,#954,#955,#956,#957,#958,#959,#960,#961,#962, #963,#964,#965,#966,#967,#968,#969,#970,#971,#972,#973,#974,#975,#976,#977, #978,#979,#980,#981,#982,#983,#984,#985,#986,#987,#988,#989,#990,#991,#992, #993,#994,#995,#996,#997,#998,#999,#1000,#1001,#1002,#1003,#1004,#1005, #1006,#1007,#1008,#1009,#1010,#1011,#1012,#1013,#1014,#1015,#1016,#1017, #1018,#1019,#1020,#1021,#1022,#1023,#1024,#1025,#1026,#1027,#1028,#1029, #1030,#1031,#1032,#1033,#1034,#1035,#1036,#1037,#1038,#1039,#1040,#1041, #1042,#1043,#1044,#1045,#1046,#1047,#1048,#1049,#1050,#1051,#1052,#1053, #1054,#1055,#1056,#1057,#1058,#1059,#1060,#1061,#1062,#1063,#1064,#1065, #1066,#1067,#1068,#1069,#1070,#1071,#1072,#1073,#1074,#1075,#1076,#1077, #1078,#1079,#1080,#1081,#1082,#1083,#1084,#1085,#1086,#1087,#1088,#1089, #1090,#1091,#1092,#1093,#1094,#1095,#1096,#1097,#1098,#1099,#1100,#1101, #1102,#1103,#1104,#1105,#1106,#1107,#1108,#1109,#1110,#1111,#1112,#1113, #1114,#1115,#1116,#1117,#1118,#1119,#1120,#1121,#1122,#1123,#1124,#1125, #1126,#1127,#1128,#1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136,#1137, #1138,#1139,#1140,#1141,#1142,#1143,#1144,#1145,#1146,#1147,#1148,#1149, #1150,#1151,#1152,#1153,#1154,#1155,#1156,#1157,#1158,#1159,#1160,#1161, #1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169,#1170,#1171,#1172,#1173, #1174,#1175,#1176,#1177,#1178,#1179,#1180,#1181,#1182,#1183,#1184,#1185, #1186,#1187,#1188,#1189,#1190,#1191,#1192,#1193,#1194,#1195,#1196,#1197, #1198,#1199,#1200,#1201,#1202,#1203,#1204,#1205,#1206,#1207,#1208,#1209, #1210,#1211,#1212,#1213,#1214,#1215,#1216,#1217,#1218,#1219,#1220,#1221, #1222,#1223,#1224,#1225,#1226,#1227,#1228,#1229,#1230,#1231,#1232,#1233, #1234,#1235,#1236,#1237,#1238,#1239,#1240,#1241,#1242,#1243,#1244,#1245, #1246,#1247,#1248,#1249,#1250,#1251,#1252,#1253,#1254,#1255,#1256,#1257, #1258,#1259,#1260,#1261,#1262,#1263,#1264,#1265,#1266,#1267,#1268,#1269, #1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277,#1278,#1279,#1280,#1281, #1282,#1283,#1284,#1285,#1286,#1287,#1288,#1289,#1290,#1291,#1292,#1293, #1294,#1295,#1296,#1297,#1298,#1299,#1300,#1301,#1302,#1303,#1304,#1305, #1306,#1307,#1308,#1309,#1310,#1311,#1312,#1313,#1314,#1315,#1316,#1317, #1318,#1319,#1320,#1321,#1322,#1323,#1324,#1325,#1326,#1327,#1328,#1329, #1330,#1331,#1332,#1333,#1334,#1335,#1336,#1337,#1338,#1339,#1340,#1341, #1342,#1343,#1344,#1345,#1346,#1347,#1348,#1349,#1350,#1351,#1352,#1353, #1354,#1355,#1356,#1357,#1358,#1359,#1360,#1361,#1362,#1363,#1364,#1365, #1366,#1367,#1368,#1369,#1370,#1371,#1372,#1373,#1374,#1375,#1376,#1377, #1378,#1379,#1380,#1381,#1382,#1383,#1384,#1385,#1386,#1387,#1388,#1389, #1390,#1391,#1392,#1393,#1394,#1395,#1396,#1397,#1398,#1399,#1400,#1401, #1402,#1403,#1404,#1405,#1406,#1407,#1408,#1409,#1410,#1411,#1412,#1413, #1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424,#1425, #1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436,#1437, #1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448,#1449, #1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459,#1460,#1461, #1462,#1463,#1464,#1465,#1466,#1467,#1468,#1469,#1470,#1471,#1472,#1473, #1474,#1475,#1476,#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484,#1485, #1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493,#1494,#1495,#1496,#1497, #1498,#1499,#1500,#1501,#1502,#1503,#1504,#1505,#1506,#1507,#1508,#1509, #1510,#1511,#1512,#1513,#1514,#1515,#1516,#1517,#1518,#1519,#1520,#1521, #1522,#1523,#1524,#1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532,#1533, #1534,#1535,#1536,#1537,#1538,#1539,#1540,#1541,#1542,#1543,#1544,#1545, #1546,#1547,#1548,#1549,#1550,#1551,#1552,#1553,#1554,#1555,#1556,#1557, #1558,#1559,#1560,#1561,#1562,#1563,#1564,#1565,#1566,#1567,#1568,#1569, #1570,#1571,#1572,#1573,#1574,#1575,#1576,#1577,#1578,#1579,#1580,#1581, #1582,#1583,#1584,#1585,#1586,#1587,#1588,#1589,#1590,#1591,#1592,#1593, #1594,#1595,#1596,#1597,#1598,#1599,#1600,#1601,#1602,#1603,#1604,#1605, #1606,#1607,#1608,#1609,#1610,#1611,#1612,#1613,#1614,#1615,#1616,#1617, #1618,#1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628,#1629, #1630,#1631,#1632,#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641, #1642,#1643,#1644,#1645,#1646,#1647,#1648,#1649,#1650,#1651,#1652,#1653, #1654,#1655,#1656,#1657,#1658,#1659,#1660,#1661,#1662,#1663,#1664,#1665, #1666,#1667,#1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676,#1677, #1678,#1679,#1680,#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688,#1689, #1690,#1691,#1692,#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700,#1701, #1702,#1703,#1704,#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712,#1713, #1714,#1715,#1716,#1717,#1718,#1719,#1720,#1721,#1722,#1723,#1724,#1725, #1726,#1727,#1728,#1729,#1730,#1731,#1732,#1733,#1734,#1735,#1736,#1737, #1738,#1739,#1740,#1741,#1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749, #1750,#1751,#1752,#1753,#1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761, #1762,#1763,#1764,#1765,#1766,#1767,#1768,#1769,#1770,#1771,#1772,#1773, #1774,#1775,#1776,#1777,#1778,#1779,#1780,#1781,#1782,#1783,#1784,#1785, #1786,#1787,#1788,#1789,#1790,#1791,#1792,#1793,#1794,#1795,#1796,#1797, #1798,#1799,#1800,#1801,#1802,#1803,#1804,#1805,#1806,#1807,#1808,#1809, #1810,#1811,#1812,#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820,#1821, #1822,#1823,#1824,#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832,#1833, #1834,#1835,#1836,#1837,#1838,#1839,#1840,#1841,#1842,#1843,#1844,#1845, #1846,#1847,#1848,#1849,#1850,#1851,#1852,#1853,#1854,#1855,#1856,#1857, #1858,#1859,#1860,#1861,#1862,#1863,#1864,#1865,#1866,#1867,#1868,#1869, #1870,#1871,#1872,#1873,#1874,#1875,#1876,#1877,#1878,#1879,#1880,#1881, #1882,#1883,#1884,#1885,#1886,#1887,#1888,#1889,#1890,#1891,#1892,#1893, #1894,#1895,#1896,#1897,#1898,#1899,#1900,#1901,#1902,#1903,#1904,#1905, #1906,#1907,#1908,#1909,#1910,#1911,#1912,#1913,#1914,#1915,#1916,#1917, #1918,#1919,#1920,#1921,#1922,#1923,#1924,#1925,#1926,#1927,#1928,#1929, #1930,#1931,#1932,#1933,#1934,#1935,#1936,#1937,#1938,#1939,#1940,#1941, #1942,#1943,#1944,#1945,#1946,#1947,#1948,#1949,#1950,#1951,#1952,#1953, #1954,#1955,#1956,#1957,#1958,#1959,#1960,#1961,#1962,#1963,#1964,#1965, #1966,#1967,#1968,#1969,#1970,#1971,#1972,#1973,#1974,#1975,#1976,#1977, #1978,#1979,#1980,#1981,#1982,#1983,#1984,#1985,#1986,#1987,#1988,#1989, #1990,#1991,#1992,#1993,#1994,#1995,#1996,#1997,#1998,#1999,#2000,#2001, #2002,#2003,#2004,#2005,#2006,#2007,#2008,#2009,#2010,#2011,#2012,#2013, #2014,#2015,#2016,#2017,#2018,#2019,#2020,#2021,#2022,#2023,#2024,#2025, #2026,#2027,#2028,#2029,#2030,#2031,#2032,#2033,#2034,#2035,#2036,#2037, #2038,#2039,#2040,#2041,#2042,#2043,#2044,#2045,#2046,#2047,#2048,#2049, #2050,#2051,#2052,#2053,#2054,#2055,#2056,#2057,#2058,#2059,#2060,#2061, #2062,#2063,#2064,#2065,#2066,#2067,#2068,#2069,#2070,#2071,#2072,#2073, #2074,#2075,#2076,#2077,#2078,#2079,#2080,#2081,#2082,#2083,#2084,#2085, #2086,#2087,#2088,#2089,#2090,#2091,#2092,#2093,#2094,#2095,#2096,#2097, #2098,#2099,#2100,#2101,#2102,#2103,#2104,#2105,#2106,#2107,#2108,#2109, #2110,#2111,#2112,#2113,#2114,#2115,#2116,#2117,#2118,#2119,#2120,#2121, #2122,#2123,#2124,#2125,#2126,#2127,#2128,#2129,#2130,#2131,#2132,#2133, #2134,#2135,#2136,#2137,#2138,#2139,#2140,#2141,#2142,#2143,#2144,#2145, #2146,#2147,#2148,#2149,#2150,#2151,#2152,#2153,#2154,#2155,#2156,#2157, #2158,#2159,#2160,#2161,#2162,#2163,#2164,#2165,#2166,#2167,#2168,#2169, #2170,#2171,#2172,#2173,#2174,#2175,#2176,#2177,#2178,#2179,#2180),#597651); #11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#598494),#598007,#2180, (#417,#413,#405)); #12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#598497),#598009,#2180, (#417,#413,#407)); #13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#598494),#598008,#2180, (#417,#413,#406)); #14=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#598497),#598010,#2180, (#417,#413,#408)); #15=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#598496),#598006,#2180, (#417,#413,#404)); #16=MAPPED_ITEM('',#17,#378321); #17=REPRESENTATION_MAP(#378321,#598000); #18=ORIENTED_CLOSED_SHELL('',*,#350848,.F.); #19=ORIENTED_CLOSED_SHELL('',*,#350849,.F.); #20=ORIENTED_CLOSED_SHELL('',*,#350850,.F.); #21=ORIENTED_CLOSED_SHELL('',*,#350851,.F.); #22=BREP_WITH_VOIDS('Body1',#350847,(#18,#19,#20,#21)); #23=SPHERICAL_SURFACE('',#373265,0.016); #24=SPHERICAL_SURFACE('',#373269,0.016); #25=SPHERICAL_SURFACE('',#373273,0.016); #26=SPHERICAL_SURFACE('',#373277,0.016); #27=SPHERICAL_SURFACE('',#373281,0.016); #28=SPHERICAL_SURFACE('',#373286,0.016); #29=SPHERICAL_SURFACE('',#373290,0.016); #30=SPHERICAL_SURFACE('',#373296,0.016); #31=SPHERICAL_SURFACE('',#373315,0.016); #32=SPHERICAL_SURFACE('',#373319,0.016); #33=SPHERICAL_SURFACE('',#373323,0.016); #34=SPHERICAL_SURFACE('',#373327,0.016); #35=SPHERICAL_SURFACE('',#373331,0.016); #36=SPHERICAL_SURFACE('',#373336,0.016); #37=SPHERICAL_SURFACE('',#373340,0.0159999999999999); #38=SPHERICAL_SURFACE('',#373346,0.016); #39=SPHERICAL_SURFACE('',#374604,0.15); #40=SPHERICAL_SURFACE('',#374608,0.15); #41=SPHERICAL_SURFACE('',#374744,0.15); #42=SPHERICAL_SURFACE('',#374748,0.15); #43=SPHERICAL_SURFACE('',#375124,0.04); #44=SPHERICAL_SURFACE('',#375128,0.04); #45=SPHERICAL_SURFACE('',#375132,0.04); #46=SPHERICAL_SURFACE('',#375136,0.04); #47=SPHERICAL_SURFACE('',#375140,0.04); #48=SPHERICAL_SURFACE('',#375145,0.04); #49=SPHERICAL_SURFACE('',#375149,0.04); #50=SPHERICAL_SURFACE('',#375155,0.04); #51=SPHERICAL_SURFACE('',#375174,0.04); #52=SPHERICAL_SURFACE('',#375178,0.04); #53=SPHERICAL_SURFACE('',#375182,0.04); #54=SPHERICAL_SURFACE('',#375186,0.04); #55=SPHERICAL_SURFACE('',#375190,0.04); #56=SPHERICAL_SURFACE('',#375195,0.04); #57=SPHERICAL_SURFACE('',#375199,0.04); #58=SPHERICAL_SURFACE('',#375205,0.04); #59=SPHERICAL_SURFACE('',#375255,0.032); #60=SPHERICAL_SURFACE('',#375259,0.032); #61=SPHERICAL_SURFACE('',#375263,0.032); #62=SPHERICAL_SURFACE('',#375267,0.0319999999999999); #63=SPHERICAL_SURFACE('',#375271,0.032); #64=SPHERICAL_SURFACE('',#375276,0.032); #65=SPHERICAL_SURFACE('',#375280,0.0319999999999999); #66=SPHERICAL_SURFACE('',#375286,0.032); #67=SPHERICAL_SURFACE('',#375305,0.032); #68=SPHERICAL_SURFACE('',#375309,0.0319999999999999); #69=SPHERICAL_SURFACE('',#375313,0.032); #70=SPHERICAL_SURFACE('',#375317,0.032); #71=SPHERICAL_SURFACE('',#375321,0.032); #72=SPHERICAL_SURFACE('',#375326,0.032); #73=SPHERICAL_SURFACE('',#375330,0.0319999999999999); #74=SPHERICAL_SURFACE('',#375336,0.032); #75=SPHERICAL_SURFACE('',#375364,0.04); #76=SPHERICAL_SURFACE('',#375368,0.04); #77=SPHERICAL_SURFACE('',#375372,0.04); #78=SPHERICAL_SURFACE('',#375376,0.04); #79=SPHERICAL_SURFACE('',#375380,0.04); #80=SPHERICAL_SURFACE('',#375385,0.04); #81=SPHERICAL_SURFACE('',#375389,0.0399999999999998); #82=SPHERICAL_SURFACE('',#375395,0.04); #83=SPHERICAL_SURFACE('',#375414,0.04); #84=SPHERICAL_SURFACE('',#375418,0.04); #85=SPHERICAL_SURFACE('',#375422,0.04); #86=SPHERICAL_SURFACE('',#375426,0.04); #87=SPHERICAL_SURFACE('',#375430,0.04); #88=SPHERICAL_SURFACE('',#375435,0.04); #89=SPHERICAL_SURFACE('',#375439,0.0400000000000002); #90=SPHERICAL_SURFACE('',#375445,0.04); #91=SPHERICAL_SURFACE('',#377167,0.04); #92=SPHERICAL_SURFACE('',#377171,0.04); #93=SPHERICAL_SURFACE('',#377175,0.04); #94=SPHERICAL_SURFACE('',#377179,0.04); #95=SPHERICAL_SURFACE('',#377183,0.04); #96=SPHERICAL_SURFACE('',#377188,0.04); #97=SPHERICAL_SURFACE('',#377192,0.04); #98=SPHERICAL_SURFACE('',#377198,0.04); #99=SPHERICAL_SURFACE('',#377217,0.04); #100=SPHERICAL_SURFACE('',#377221,0.04); #101=SPHERICAL_SURFACE('',#377225,0.04); #102=SPHERICAL_SURFACE('',#377229,0.04); #103=SPHERICAL_SURFACE('',#377233,0.04); #104=SPHERICAL_SURFACE('',#377238,0.04); #105=SPHERICAL_SURFACE('',#377242,0.04); #106=SPHERICAL_SURFACE('',#377248,0.04); #107=SPHERICAL_SURFACE('',#377647,0.04); #108=SPHERICAL_SURFACE('',#377651,0.04); #109=SPHERICAL_SURFACE('',#377655,0.04); #110=SPHERICAL_SURFACE('',#377659,0.04); #111=SPHERICAL_SURFACE('',#377663,0.04); #112=SPHERICAL_SURFACE('',#377668,0.04); #113=SPHERICAL_SURFACE('',#377672,0.0399999999999998); #114=SPHERICAL_SURFACE('',#377678,0.04); #115=SPHERICAL_SURFACE('',#377697,0.04); #116=SPHERICAL_SURFACE('',#377701,0.04); #117=SPHERICAL_SURFACE('',#377705,0.04); #118=SPHERICAL_SURFACE('',#377709,0.04); #119=SPHERICAL_SURFACE('',#377713,0.04); #120=SPHERICAL_SURFACE('',#377718,0.04); #121=SPHERICAL_SURFACE('',#377722,0.0400000000000002); #122=SPHERICAL_SURFACE('',#377728,0.04); #123=ITEM_DEFINED_TRANSFORMATION($,$,#351361,#352980); #124=ITEM_DEFINED_TRANSFORMATION($,$,#352015,#352981); #125=ITEM_DEFINED_TRANSFORMATION($,$,#373155,#373260); #126=ITEM_DEFINED_TRANSFORMATION($,$,#373264,#373383); #127=ITEM_DEFINED_TRANSFORMATION($,$,#373264,#373384); #128=ITEM_DEFINED_TRANSFORMATION($,$,#373264,#373385); #129=ITEM_DEFINED_TRANSFORMATION($,$,#373411,#375007); #130=ITEM_DEFINED_TRANSFORMATION($,$,#373717,#375008); #131=ITEM_DEFINED_TRANSFORMATION($,$,#373746,#375009); #132=ITEM_DEFINED_TRANSFORMATION($,$,#374978,#375010); #133=ITEM_DEFINED_TRANSFORMATION($,$,#373775,#375011); #134=ITEM_DEFINED_TRANSFORMATION($,$,#373804,#375012); #135=ITEM_DEFINED_TRANSFORMATION($,$,#373833,#375013); #136=ITEM_DEFINED_TRANSFORMATION($,$,#373862,#375014); #137=ITEM_DEFINED_TRANSFORMATION($,$,#373891,#375015); #138=ITEM_DEFINED_TRANSFORMATION($,$,#373920,#375016); #139=ITEM_DEFINED_TRANSFORMATION($,$,#373949,#375017); #140=ITEM_DEFINED_TRANSFORMATION($,$,#373978,#375018); #141=ITEM_DEFINED_TRANSFORMATION($,$,#374007,#375019); #142=ITEM_DEFINED_TRANSFORMATION($,$,#374036,#375020); #143=ITEM_DEFINED_TRANSFORMATION($,$,#374065,#375021); #144=ITEM_DEFINED_TRANSFORMATION($,$,#374094,#375022); #145=ITEM_DEFINED_TRANSFORMATION($,$,#374123,#375023); #146=ITEM_DEFINED_TRANSFORMATION($,$,#374186,#375024); #147=ITEM_DEFINED_TRANSFORMATION($,$,#374978,#375025); #148=ITEM_DEFINED_TRANSFORMATION($,$,#373410,#375026); #149=ITEM_DEFINED_TRANSFORMATION($,$,#373409,#375027); #150=ITEM_DEFINED_TRANSFORMATION($,$,#375030,#375120); #151=ITEM_DEFINED_TRANSFORMATION($,$,#375030,#375121); #152=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375230); #153=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375231); #154=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375232); #155=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375233); #156=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375234); #157=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375235); #158=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375236); #159=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375237); #160=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375238); #161=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375239); #162=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375240); #163=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375241); #164=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375242); #165=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375243); #166=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375244); #167=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375245); #168=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375246); #169=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375247); #170=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375248); #171=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375249); #172=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375250); #173=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375251); #174=ITEM_DEFINED_TRANSFORMATION($,$,#375123,#375252); #175=ITEM_DEFINED_TRANSFORMATION($,$,#375254,#375361); #176=ITEM_DEFINED_TRANSFORMATION($,$,#375363,#375470); #177=ITEM_DEFINED_TRANSFORMATION($,$,#375472,#375653); #178=ITEM_DEFINED_TRANSFORMATION($,$,#375655,#375820); #179=ITEM_DEFINED_TRANSFORMATION($,$,#375822,#375987); #180=ITEM_DEFINED_TRANSFORMATION($,$,#375989,#376024); #181=ITEM_DEFINED_TRANSFORMATION($,$,#376026,#376661); #182=ITEM_DEFINED_TRANSFORMATION($,$,#376663,#377164); #183=ITEM_DEFINED_TRANSFORMATION($,$,#377166,#377273); #184=ITEM_DEFINED_TRANSFORMATION($,$,#377275,#377316); #185=ITEM_DEFINED_TRANSFORMATION($,$,#377318,#377513); #186=ITEM_DEFINED_TRANSFORMATION($,$,#377515,#377644); #187=ITEM_DEFINED_TRANSFORMATION($,$,#377646,#377753); #188=ITEM_DEFINED_TRANSFORMATION($,$,#373154,#377754); #189=ITEM_DEFINED_TRANSFORMATION($,$,#373261,#377755); #190=ITEM_DEFINED_TRANSFORMATION($,$,#373262,#377756); #191=ITEM_DEFINED_TRANSFORMATION($,$,#373263,#377757); #192=ITEM_DEFINED_TRANSFORMATION($,$,#373386,#377758); #193=ITEM_DEFINED_TRANSFORMATION($,$,#373387,#377759); #194=ITEM_DEFINED_TRANSFORMATION($,$,#373388,#377760); #195=ITEM_DEFINED_TRANSFORMATION($,$,#373389,#377761); #196=ITEM_DEFINED_TRANSFORMATION($,$,#373390,#377762); #197=ITEM_DEFINED_TRANSFORMATION($,$,#373391,#377763); #198=ITEM_DEFINED_TRANSFORMATION($,$,#373392,#377764); #199=ITEM_DEFINED_TRANSFORMATION($,$,#373393,#377765); #200=ITEM_DEFINED_TRANSFORMATION($,$,#373394,#377766); #201=ITEM_DEFINED_TRANSFORMATION($,$,#373395,#377767); #202=ITEM_DEFINED_TRANSFORMATION($,$,#373396,#377768); #203=ITEM_DEFINED_TRANSFORMATION($,$,#373397,#377769); #204=ITEM_DEFINED_TRANSFORMATION($,$,#373398,#377770); #205=ITEM_DEFINED_TRANSFORMATION($,$,#373399,#377771); #206=ITEM_DEFINED_TRANSFORMATION($,$,#373400,#377772); #207=ITEM_DEFINED_TRANSFORMATION($,$,#373401,#377773); #208=ITEM_DEFINED_TRANSFORMATION($,$,#373402,#377774); #209=ITEM_DEFINED_TRANSFORMATION($,$,#373403,#377775); #210=ITEM_DEFINED_TRANSFORMATION($,$,#373404,#377776); #211=ITEM_DEFINED_TRANSFORMATION($,$,#373405,#377777); #212=ITEM_DEFINED_TRANSFORMATION($,$,#373406,#377778); #213=ITEM_DEFINED_TRANSFORMATION($,$,#373407,#377779); #214=ITEM_DEFINED_TRANSFORMATION($,$,#373408,#377780); #215=ITEM_DEFINED_TRANSFORMATION($,$,#375028,#377781); #216=ITEM_DEFINED_TRANSFORMATION($,$,#375029,#377782); #217=ITEM_DEFINED_TRANSFORMATION($,$,#375122,#377783); #218=ITEM_DEFINED_TRANSFORMATION($,$,#375122,#377784); #219=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377785); #220=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377786); #221=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377787); #222=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377788); #223=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377789); #224=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377790); #225=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377791); #226=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377792); #227=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377793); #228=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377794); #229=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377795); #230=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377796); #231=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377797); #232=ITEM_DEFINED_TRANSFORMATION($,$,#375253,#377798); #233=ITEM_DEFINED_TRANSFORMATION($,$,#375362,#377799); #234=ITEM_DEFINED_TRANSFORMATION($,$,#375362,#377800); #235=ITEM_DEFINED_TRANSFORMATION($,$,#375362,#377801); #236=ITEM_DEFINED_TRANSFORMATION($,$,#375362,#377802); #237=ITEM_DEFINED_TRANSFORMATION($,$,#375362,#377803); #238=ITEM_DEFINED_TRANSFORMATION($,$,#375362,#377804); #239=ITEM_DEFINED_TRANSFORMATION($,$,#375471,#377805); #240=ITEM_DEFINED_TRANSFORMATION($,$,#375471,#377806); #241=ITEM_DEFINED_TRANSFORMATION($,$,#375654,#377807); #242=ITEM_DEFINED_TRANSFORMATION($,$,#375821,#377808); #243=ITEM_DEFINED_TRANSFORMATION($,$,#375821,#377809); #244=ITEM_DEFINED_TRANSFORMATION($,$,#375821,#377810); #245=ITEM_DEFINED_TRANSFORMATION($,$,#375821,#377811); #246=ITEM_DEFINED_TRANSFORMATION($,$,#375988,#377812); #247=ITEM_DEFINED_TRANSFORMATION($,$,#376025,#377813); #248=ITEM_DEFINED_TRANSFORMATION($,$,#376662,#377814); #249=ITEM_DEFINED_TRANSFORMATION($,$,#377165,#377815); #250=ITEM_DEFINED_TRANSFORMATION($,$,#377165,#377816); #251=ITEM_DEFINED_TRANSFORMATION($,$,#377274,#377817); #252=ITEM_DEFINED_TRANSFORMATION($,$,#377274,#377818); #253=ITEM_DEFINED_TRANSFORMATION($,$,#377317,#377819); #254=ITEM_DEFINED_TRANSFORMATION($,$,#377514,#377820); #255=ITEM_DEFINED_TRANSFORMATION($,$,#377645,#377821); #256=ITEM_DEFINED_TRANSFORMATION($,$,#352984,#377822); #257=ITEM_DEFINED_TRANSFORMATION($,$,#356551,#377823); #258=ITEM_DEFINED_TRANSFORMATION($,$,#364839,#377824); #259=ITEM_DEFINED_TRANSFORMATION($,$,#371179,#377825); #260=ITEM_DEFINED_TRANSFORMATION($,$,#372166,#377826); #261=ITEM_DEFINED_TRANSFORMATION($,$,#373153,#377827); #262=ITEM_DEFINED_TRANSFORMATION($,$,#377829,#377898); #263=ITEM_DEFINED_TRANSFORMATION($,$,#377850,#377899); #264=ITEM_DEFINED_TRANSFORMATION($,$,#377871,#377900); #265=ITEM_DEFINED_TRANSFORMATION($,$,#352983,#378259); #266=ITEM_DEFINED_TRANSFORMATION($,$,#377828,#378260); #267=ITEM_DEFINED_TRANSFORMATION($,$,#378262,#378317); #268=ITEM_DEFINED_TRANSFORMATION($,$,#351360,#378318); #269=ITEM_DEFINED_TRANSFORMATION($,$,#352982,#378319); #270=ITEM_DEFINED_TRANSFORMATION($,$,#378261,#378320); #271=( REPRESENTATION_RELATIONSHIP($,$,#598002,#598001) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#123) SHAPE_REPRESENTATION_RELATIONSHIP() ); #272=( REPRESENTATION_RELATIONSHIP($,$,#598003,#598001) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#124) SHAPE_REPRESENTATION_RELATIONSHIP() ); #273=( REPRESENTATION_RELATIONSHIP($,$,#598013,#598012) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#125) SHAPE_REPRESENTATION_RELATIONSHIP() ); #274=( REPRESENTATION_RELATIONSHIP($,$,#598017,#598014) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#126) SHAPE_REPRESENTATION_RELATIONSHIP() ); #275=( REPRESENTATION_RELATIONSHIP($,$,#598017,#598015) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#127) SHAPE_REPRESENTATION_RELATIONSHIP() ); #276=( REPRESENTATION_RELATIONSHIP($,$,#598017,#598016) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#128) SHAPE_REPRESENTATION_RELATIONSHIP() ); #277=( REPRESENTATION_RELATIONSHIP($,$,#598043,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#129) SHAPE_REPRESENTATION_RELATIONSHIP() ); #278=( REPRESENTATION_RELATIONSHIP($,$,#598044,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#130) SHAPE_REPRESENTATION_RELATIONSHIP() ); #279=( REPRESENTATION_RELATIONSHIP($,$,#598045,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#131) SHAPE_REPRESENTATION_RELATIONSHIP() ); #280=( REPRESENTATION_RELATIONSHIP($,$,#598060,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#132) SHAPE_REPRESENTATION_RELATIONSHIP() ); #281=( REPRESENTATION_RELATIONSHIP($,$,#598046,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#133) SHAPE_REPRESENTATION_RELATIONSHIP() ); #282=( REPRESENTATION_RELATIONSHIP($,$,#598047,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#134) SHAPE_REPRESENTATION_RELATIONSHIP() ); #283=( REPRESENTATION_RELATIONSHIP($,$,#598048,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#135) SHAPE_REPRESENTATION_RELATIONSHIP() ); #284=( REPRESENTATION_RELATIONSHIP($,$,#598049,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#136) SHAPE_REPRESENTATION_RELATIONSHIP() ); #285=( REPRESENTATION_RELATIONSHIP($,$,#598050,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#137) SHAPE_REPRESENTATION_RELATIONSHIP() ); #286=( REPRESENTATION_RELATIONSHIP($,$,#598051,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#138) SHAPE_REPRESENTATION_RELATIONSHIP() ); #287=( REPRESENTATION_RELATIONSHIP($,$,#598052,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#139) SHAPE_REPRESENTATION_RELATIONSHIP() ); #288=( REPRESENTATION_RELATIONSHIP($,$,#598053,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#140) SHAPE_REPRESENTATION_RELATIONSHIP() ); #289=( REPRESENTATION_RELATIONSHIP($,$,#598054,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#141) SHAPE_REPRESENTATION_RELATIONSHIP() ); #290=( REPRESENTATION_RELATIONSHIP($,$,#598055,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#142) SHAPE_REPRESENTATION_RELATIONSHIP() ); #291=( REPRESENTATION_RELATIONSHIP($,$,#598056,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#143) SHAPE_REPRESENTATION_RELATIONSHIP() ); #292=( REPRESENTATION_RELATIONSHIP($,$,#598057,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#144) SHAPE_REPRESENTATION_RELATIONSHIP() ); #293=( REPRESENTATION_RELATIONSHIP($,$,#598058,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#145) SHAPE_REPRESENTATION_RELATIONSHIP() ); #294=( REPRESENTATION_RELATIONSHIP($,$,#598059,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#146) SHAPE_REPRESENTATION_RELATIONSHIP() ); #295=( REPRESENTATION_RELATIONSHIP($,$,#598060,#598042) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#147) SHAPE_REPRESENTATION_RELATIONSHIP() ); #296=( REPRESENTATION_RELATIONSHIP($,$,#598042,#598041) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#148) SHAPE_REPRESENTATION_RELATIONSHIP() ); #297=( REPRESENTATION_RELATIONSHIP($,$,#598041,#598040) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#149) SHAPE_REPRESENTATION_RELATIONSHIP() ); #298=( REPRESENTATION_RELATIONSHIP($,$,#598063,#598061) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#150) SHAPE_REPRESENTATION_RELATIONSHIP() ); #299=( REPRESENTATION_RELATIONSHIP($,$,#598063,#598062) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#151) SHAPE_REPRESENTATION_RELATIONSHIP() ); #300=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598018) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#152) SHAPE_REPRESENTATION_RELATIONSHIP() ); #301=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598019) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#153) SHAPE_REPRESENTATION_RELATIONSHIP() ); #302=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598020) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#154) SHAPE_REPRESENTATION_RELATIONSHIP() ); #303=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598021) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#155) SHAPE_REPRESENTATION_RELATIONSHIP() ); #304=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598022) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#156) SHAPE_REPRESENTATION_RELATIONSHIP() ); #305=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598023) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#157) SHAPE_REPRESENTATION_RELATIONSHIP() ); #306=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598024) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#158) SHAPE_REPRESENTATION_RELATIONSHIP() ); #307=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598025) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#159) SHAPE_REPRESENTATION_RELATIONSHIP() ); #308=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598026) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#160) SHAPE_REPRESENTATION_RELATIONSHIP() ); #309=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598027) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#161) SHAPE_REPRESENTATION_RELATIONSHIP() ); #310=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598028) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#162) SHAPE_REPRESENTATION_RELATIONSHIP() ); #311=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598029) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#163) SHAPE_REPRESENTATION_RELATIONSHIP() ); #312=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598030) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#164) SHAPE_REPRESENTATION_RELATIONSHIP() ); #313=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598031) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#165) SHAPE_REPRESENTATION_RELATIONSHIP() ); #314=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598032) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#166) SHAPE_REPRESENTATION_RELATIONSHIP() ); #315=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598033) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#167) SHAPE_REPRESENTATION_RELATIONSHIP() ); #316=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598034) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#168) SHAPE_REPRESENTATION_RELATIONSHIP() ); #317=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598035) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#169) SHAPE_REPRESENTATION_RELATIONSHIP() ); #318=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598036) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#170) SHAPE_REPRESENTATION_RELATIONSHIP() ); #319=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598037) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#171) SHAPE_REPRESENTATION_RELATIONSHIP() ); #320=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598038) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#172) SHAPE_REPRESENTATION_RELATIONSHIP() ); #321=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598039) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#173) SHAPE_REPRESENTATION_RELATIONSHIP() ); #322=( REPRESENTATION_RELATIONSHIP($,$,#598065,#598064) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#174) SHAPE_REPRESENTATION_RELATIONSHIP() ); #323=( REPRESENTATION_RELATIONSHIP($,$,#598067,#598066) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#175) SHAPE_REPRESENTATION_RELATIONSHIP() ); #324=( REPRESENTATION_RELATIONSHIP($,$,#598069,#598068) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#176) SHAPE_REPRESENTATION_RELATIONSHIP() ); #325=( REPRESENTATION_RELATIONSHIP($,$,#598071,#598070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#177) SHAPE_REPRESENTATION_RELATIONSHIP() ); #326=( REPRESENTATION_RELATIONSHIP($,$,#598073,#598072) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#178) SHAPE_REPRESENTATION_RELATIONSHIP() ); #327=( REPRESENTATION_RELATIONSHIP($,$,#598075,#598074) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#179) SHAPE_REPRESENTATION_RELATIONSHIP() ); #328=( REPRESENTATION_RELATIONSHIP($,$,#598077,#598076) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#180) SHAPE_REPRESENTATION_RELATIONSHIP() ); #329=( REPRESENTATION_RELATIONSHIP($,$,#598079,#598078) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#181) SHAPE_REPRESENTATION_RELATIONSHIP() ); #330=( REPRESENTATION_RELATIONSHIP($,$,#598081,#598080) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#182) SHAPE_REPRESENTATION_RELATIONSHIP() ); #331=( REPRESENTATION_RELATIONSHIP($,$,#598083,#598082) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#183) SHAPE_REPRESENTATION_RELATIONSHIP() ); #332=( REPRESENTATION_RELATIONSHIP($,$,#598085,#598084) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#184) SHAPE_REPRESENTATION_RELATIONSHIP() ); #333=( REPRESENTATION_RELATIONSHIP($,$,#598087,#598086) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#185) SHAPE_REPRESENTATION_RELATIONSHIP() ); #334=( REPRESENTATION_RELATIONSHIP($,$,#598089,#598088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#186) SHAPE_REPRESENTATION_RELATIONSHIP() ); #335=( REPRESENTATION_RELATIONSHIP($,$,#598091,#598090) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#187) SHAPE_REPRESENTATION_RELATIONSHIP() ); #336=( REPRESENTATION_RELATIONSHIP($,$,#598012,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#188) SHAPE_REPRESENTATION_RELATIONSHIP() ); #337=( REPRESENTATION_RELATIONSHIP($,$,#598014,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#189) SHAPE_REPRESENTATION_RELATIONSHIP() ); #338=( REPRESENTATION_RELATIONSHIP($,$,#598015,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#190) SHAPE_REPRESENTATION_RELATIONSHIP() ); #339=( REPRESENTATION_RELATIONSHIP($,$,#598016,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#191) SHAPE_REPRESENTATION_RELATIONSHIP() ); #340=( REPRESENTATION_RELATIONSHIP($,$,#598018,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#192) SHAPE_REPRESENTATION_RELATIONSHIP() ); #341=( REPRESENTATION_RELATIONSHIP($,$,#598019,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#193) SHAPE_REPRESENTATION_RELATIONSHIP() ); #342=( REPRESENTATION_RELATIONSHIP($,$,#598020,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#194) SHAPE_REPRESENTATION_RELATIONSHIP() ); #343=( REPRESENTATION_RELATIONSHIP($,$,#598021,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#195) SHAPE_REPRESENTATION_RELATIONSHIP() ); #344=( REPRESENTATION_RELATIONSHIP($,$,#598022,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#196) SHAPE_REPRESENTATION_RELATIONSHIP() ); #345=( REPRESENTATION_RELATIONSHIP($,$,#598023,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#197) SHAPE_REPRESENTATION_RELATIONSHIP() ); #346=( REPRESENTATION_RELATIONSHIP($,$,#598024,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#198) SHAPE_REPRESENTATION_RELATIONSHIP() ); #347=( REPRESENTATION_RELATIONSHIP($,$,#598025,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#199) SHAPE_REPRESENTATION_RELATIONSHIP() ); #348=( REPRESENTATION_RELATIONSHIP($,$,#598026,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#200) SHAPE_REPRESENTATION_RELATIONSHIP() ); #349=( REPRESENTATION_RELATIONSHIP($,$,#598027,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#201) SHAPE_REPRESENTATION_RELATIONSHIP() ); #350=( REPRESENTATION_RELATIONSHIP($,$,#598028,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#202) SHAPE_REPRESENTATION_RELATIONSHIP() ); #351=( REPRESENTATION_RELATIONSHIP($,$,#598029,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#203) SHAPE_REPRESENTATION_RELATIONSHIP() ); #352=( REPRESENTATION_RELATIONSHIP($,$,#598030,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#204) SHAPE_REPRESENTATION_RELATIONSHIP() ); #353=( REPRESENTATION_RELATIONSHIP($,$,#598031,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#205) SHAPE_REPRESENTATION_RELATIONSHIP() ); #354=( REPRESENTATION_RELATIONSHIP($,$,#598032,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#206) SHAPE_REPRESENTATION_RELATIONSHIP() ); #355=( REPRESENTATION_RELATIONSHIP($,$,#598033,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#207) SHAPE_REPRESENTATION_RELATIONSHIP() ); #356=( REPRESENTATION_RELATIONSHIP($,$,#598034,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#208) SHAPE_REPRESENTATION_RELATIONSHIP() ); #357=( REPRESENTATION_RELATIONSHIP($,$,#598035,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#209) SHAPE_REPRESENTATION_RELATIONSHIP() ); #358=( REPRESENTATION_RELATIONSHIP($,$,#598036,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#210) SHAPE_REPRESENTATION_RELATIONSHIP() ); #359=( REPRESENTATION_RELATIONSHIP($,$,#598037,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#211) SHAPE_REPRESENTATION_RELATIONSHIP() ); #360=( REPRESENTATION_RELATIONSHIP($,$,#598038,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#212) SHAPE_REPRESENTATION_RELATIONSHIP() ); #361=( REPRESENTATION_RELATIONSHIP($,$,#598039,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#213) SHAPE_REPRESENTATION_RELATIONSHIP() ); #362=( REPRESENTATION_RELATIONSHIP($,$,#598040,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#214) SHAPE_REPRESENTATION_RELATIONSHIP() ); #363=( REPRESENTATION_RELATIONSHIP($,$,#598061,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#215) SHAPE_REPRESENTATION_RELATIONSHIP() ); #364=( REPRESENTATION_RELATIONSHIP($,$,#598062,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#216) SHAPE_REPRESENTATION_RELATIONSHIP() ); #365=( REPRESENTATION_RELATIONSHIP($,$,#598064,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#217) SHAPE_REPRESENTATION_RELATIONSHIP() ); #366=( REPRESENTATION_RELATIONSHIP($,$,#598064,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#218) SHAPE_REPRESENTATION_RELATIONSHIP() ); #367=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#219) SHAPE_REPRESENTATION_RELATIONSHIP() ); #368=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#220) SHAPE_REPRESENTATION_RELATIONSHIP() ); #369=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#221) SHAPE_REPRESENTATION_RELATIONSHIP() ); #370=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#222) SHAPE_REPRESENTATION_RELATIONSHIP() ); #371=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#223) SHAPE_REPRESENTATION_RELATIONSHIP() ); #372=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#224) SHAPE_REPRESENTATION_RELATIONSHIP() ); #373=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#225) SHAPE_REPRESENTATION_RELATIONSHIP() ); #374=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#226) SHAPE_REPRESENTATION_RELATIONSHIP() ); #375=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#227) SHAPE_REPRESENTATION_RELATIONSHIP() ); #376=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#228) SHAPE_REPRESENTATION_RELATIONSHIP() ); #377=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#229) SHAPE_REPRESENTATION_RELATIONSHIP() ); #378=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#230) SHAPE_REPRESENTATION_RELATIONSHIP() ); #379=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#231) SHAPE_REPRESENTATION_RELATIONSHIP() ); #380=( REPRESENTATION_RELATIONSHIP($,$,#598066,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#232) SHAPE_REPRESENTATION_RELATIONSHIP() ); #381=( REPRESENTATION_RELATIONSHIP($,$,#598068,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#233) SHAPE_REPRESENTATION_RELATIONSHIP() ); #382=( REPRESENTATION_RELATIONSHIP($,$,#598068,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#234) SHAPE_REPRESENTATION_RELATIONSHIP() ); #383=( REPRESENTATION_RELATIONSHIP($,$,#598068,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#235) SHAPE_REPRESENTATION_RELATIONSHIP() ); #384=( REPRESENTATION_RELATIONSHIP($,$,#598068,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#236) SHAPE_REPRESENTATION_RELATIONSHIP() ); #385=( REPRESENTATION_RELATIONSHIP($,$,#598068,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#237) SHAPE_REPRESENTATION_RELATIONSHIP() ); #386=( REPRESENTATION_RELATIONSHIP($,$,#598068,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#238) SHAPE_REPRESENTATION_RELATIONSHIP() ); #387=( REPRESENTATION_RELATIONSHIP($,$,#598070,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#239) SHAPE_REPRESENTATION_RELATIONSHIP() ); #388=( REPRESENTATION_RELATIONSHIP($,$,#598070,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#240) SHAPE_REPRESENTATION_RELATIONSHIP() ); #389=( REPRESENTATION_RELATIONSHIP($,$,#598072,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#241) SHAPE_REPRESENTATION_RELATIONSHIP() ); #390=( REPRESENTATION_RELATIONSHIP($,$,#598074,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#242) SHAPE_REPRESENTATION_RELATIONSHIP() ); #391=( REPRESENTATION_RELATIONSHIP($,$,#598074,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#243) SHAPE_REPRESENTATION_RELATIONSHIP() ); #392=( REPRESENTATION_RELATIONSHIP($,$,#598074,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#244) SHAPE_REPRESENTATION_RELATIONSHIP() ); #393=( REPRESENTATION_RELATIONSHIP($,$,#598074,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#245) SHAPE_REPRESENTATION_RELATIONSHIP() ); #394=( REPRESENTATION_RELATIONSHIP($,$,#598076,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#246) SHAPE_REPRESENTATION_RELATIONSHIP() ); #395=( REPRESENTATION_RELATIONSHIP($,$,#598078,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#247) SHAPE_REPRESENTATION_RELATIONSHIP() ); #396=( REPRESENTATION_RELATIONSHIP($,$,#598080,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#248) SHAPE_REPRESENTATION_RELATIONSHIP() ); #397=( REPRESENTATION_RELATIONSHIP($,$,#598082,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#249) SHAPE_REPRESENTATION_RELATIONSHIP() ); #398=( REPRESENTATION_RELATIONSHIP($,$,#598082,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#250) SHAPE_REPRESENTATION_RELATIONSHIP() ); #399=( REPRESENTATION_RELATIONSHIP($,$,#598084,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#251) SHAPE_REPRESENTATION_RELATIONSHIP() ); #400=( REPRESENTATION_RELATIONSHIP($,$,#598084,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#252) SHAPE_REPRESENTATION_RELATIONSHIP() ); #401=( REPRESENTATION_RELATIONSHIP($,$,#598086,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#253) SHAPE_REPRESENTATION_RELATIONSHIP() ); #402=( REPRESENTATION_RELATIONSHIP($,$,#598088,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#254) SHAPE_REPRESENTATION_RELATIONSHIP() ); #403=( REPRESENTATION_RELATIONSHIP($,$,#598090,#598011) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#255) SHAPE_REPRESENTATION_RELATIONSHIP() ); #404=( REPRESENTATION_RELATIONSHIP($,$,#598006,#598005) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#256) SHAPE_REPRESENTATION_RELATIONSHIP() ); #405=( REPRESENTATION_RELATIONSHIP($,$,#598007,#598005) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#257) SHAPE_REPRESENTATION_RELATIONSHIP() ); #406=( REPRESENTATION_RELATIONSHIP($,$,#598008,#598005) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#258) SHAPE_REPRESENTATION_RELATIONSHIP() ); #407=( REPRESENTATION_RELATIONSHIP($,$,#598009,#598005) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#259) SHAPE_REPRESENTATION_RELATIONSHIP() ); #408=( REPRESENTATION_RELATIONSHIP($,$,#598010,#598005) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#260) SHAPE_REPRESENTATION_RELATIONSHIP() ); #409=( REPRESENTATION_RELATIONSHIP($,$,#598011,#598005) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#261) SHAPE_REPRESENTATION_RELATIONSHIP() ); #410=( REPRESENTATION_RELATIONSHIP($,$,#598093,#598092) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#262) SHAPE_REPRESENTATION_RELATIONSHIP() ); #411=( REPRESENTATION_RELATIONSHIP($,$,#598094,#598092) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#263) SHAPE_REPRESENTATION_RELATIONSHIP() ); #412=( REPRESENTATION_RELATIONSHIP($,$,#598095,#598092) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#264) SHAPE_REPRESENTATION_RELATIONSHIP() ); #413=( REPRESENTATION_RELATIONSHIP($,$,#598005,#598004) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#265) SHAPE_REPRESENTATION_RELATIONSHIP() ); #414=( REPRESENTATION_RELATIONSHIP($,$,#598092,#598004) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#266) SHAPE_REPRESENTATION_RELATIONSHIP() ); #415=( REPRESENTATION_RELATIONSHIP($,$,#598097,#598096) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#267) SHAPE_REPRESENTATION_RELATIONSHIP() ); #416=( REPRESENTATION_RELATIONSHIP($,$,#598001,#598000) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#268) SHAPE_REPRESENTATION_RELATIONSHIP() ); #417=( REPRESENTATION_RELATIONSHIP($,$,#598004,#598000) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#269) SHAPE_REPRESENTATION_RELATIONSHIP() ); #418=( REPRESENTATION_RELATIONSHIP($,$,#598096,#598000) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#270) SHAPE_REPRESENTATION_RELATIONSHIP() ); #419=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#271,#597758); #420=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#272,#597759); #421=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#273,#597770); #422=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#274,#597775); #423=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#275,#597776); #424=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#276,#597777); #425=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#277,#597821); #426=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#278,#597822); #427=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#279,#597823); #428=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#280,#597824); #429=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#281,#597825); #430=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#282,#597826); #431=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#283,#597827); #432=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#284,#597828); #433=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#285,#597829); #434=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#286,#597830); #435=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#287,#597831); #436=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#288,#597832); #437=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#289,#597833); #438=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#290,#597834); #439=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#291,#597835); #440=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#292,#597836); #441=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#293,#597837); #442=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#294,#597838); #443=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#295,#597839); #444=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#296,#597840); #445=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#297,#597841); #446=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#298,#597845); #447=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#299,#597846); #448=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#300,#597849); #449=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#301,#597850); #450=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#302,#597851); #451=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#303,#597852); #452=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#304,#597853); #453=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#305,#597854); #454=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#306,#597855); #455=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#307,#597856); #456=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#308,#597857); #457=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#309,#597858); #458=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#310,#597859); #459=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#311,#597860); #460=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#312,#597861); #461=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#313,#597862); #462=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#314,#597863); #463=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#315,#597864); #464=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#316,#597865); #465=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#317,#597866); #466=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#318,#597867); #467=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#319,#597868); #468=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#320,#597869); #469=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#321,#597870); #470=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#322,#597871); #471=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#323,#597874); #472=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#324,#597877); #473=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#325,#597880); #474=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#326,#597883); #475=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#327,#597886); #476=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#328,#597889); #477=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#329,#597892); #478=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#330,#597895); #479=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#331,#597898); #480=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#332,#597901); #481=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#333,#597904); #482=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#334,#597907); #483=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#335,#597910); #484=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#336,#597911); #485=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#337,#597912); #486=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#338,#597913); #487=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#339,#597914); #488=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#340,#597915); #489=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#341,#597916); #490=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#342,#597917); #491=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#343,#597918); #492=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#344,#597919); #493=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#345,#597920); #494=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#346,#597921); #495=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#347,#597922); #496=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#348,#597923); #497=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#349,#597924); #498=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#350,#597925); #499=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#351,#597926); #500=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#352,#597927); #501=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#353,#597928); #502=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#354,#597929); #503=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#355,#597930); #504=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#356,#597931); #505=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#357,#597932); #506=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#358,#597933); #507=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#359,#597934); #508=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#360,#597935); #509=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#361,#597936); #510=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#362,#597937); #511=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#363,#597938); #512=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#364,#597939); #513=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#365,#597940); #514=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#366,#597941); #515=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#367,#597942); #516=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#368,#597943); #517=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#369,#597944); #518=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#370,#597945); #519=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#371,#597946); #520=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#372,#597947); #521=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#373,#597948); #522=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#374,#597949); #523=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#375,#597950); #524=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#376,#597951); #525=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#377,#597952); #526=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#378,#597953); #527=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#379,#597954); #528=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#380,#597955); #529=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#381,#597956); #530=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#382,#597957); #531=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#383,#597958); #532=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#384,#597959); #533=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#385,#597960); #534=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#386,#597961); #535=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#387,#597962); #536=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#388,#597963); #537=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#389,#597964); #538=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#390,#597965); #539=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#391,#597966); #540=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#392,#597967); #541=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#393,#597968); #542=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#394,#597969); #543=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#395,#597970); #544=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#396,#597971); #545=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#397,#597972); #546=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#398,#597973); #547=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#399,#597974); #548=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#400,#597975); #549=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#401,#597976); #550=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#402,#597977); #551=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#403,#597978); #552=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#404,#597979); #553=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#405,#597980); #554=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#406,#597981); #555=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#407,#597982); #556=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#408,#597983); #557=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#409,#597984); #558=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#410,#597989); #559=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#411,#597990); #560=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#412,#597991); #561=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#413,#597992); #562=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#414,#597993); #563=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#415,#597996); #564=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#416,#597997); #565=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#417,#597998); #566=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#418,#597999); #567=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PFB0512EHF v3:1','PFB0512EHF v3:1', 'PFB0512EHF v3:1',#598100,#598101,'PFB0512EHF v3:1'); #568=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Battery Pack v7:1', 'Battery Pack v7:1','Battery Pack v7:1',#598100,#598102, 'Battery Pack v7:1'); #569=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model:1','Model:1','Model:1',#598111, #598112,'Model:1'); #570=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#598113,#598116,'Model (7):1'); #571=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#598114,#598116,'Model (7):1'); #572=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#598115,#598116,'Model (7):1'); #573=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Housing:1', '629722000214_Housing:1','629722000214_Housing:1',#598141,#598142, '629722000214_Housing:1'); #574=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top:1', '629722000214_Pin1_Top:1','629722000214_Pin1_Top:1',#598141,#598143, '629722000214_Pin1_Top:1'); #575=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom:1', '629722000214_Pin4_Bottom:1','629722000214_Pin4_Bottom:1',#598141,#598144, '629722000214_Pin4_Bottom:1'); #576=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:1', '629722000214_Pin4_Top:1','629722000214_Pin4_Top:1',#598141,#598159, '629722000214_Pin4_Top:1'); #577=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom:1', '629722000214_Pin1_Bottom:1','629722000214_Pin1_Bottom:1',#598141,#598145, '629722000214_Pin1_Bottom:1'); #578=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom:1', '629722000214_Pin3_Bottom:1','629722000214_Pin3_Bottom:1',#598141,#598146, '629722000214_Pin3_Bottom:1'); #579=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top:1', '629722000214_Pin3_Top:1','629722000214_Pin3_Top:1',#598141,#598147, '629722000214_Pin3_Top:1'); #580=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top:1', '629722000214_Pin2_Top:1','629722000214_Pin2_Top:1',#598141,#598148, '629722000214_Pin2_Top:1'); #581=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom:1', '629722000214_Pin2_Bottom:1','629722000214_Pin2_Bottom:1',#598141,#598149, '629722000214_Pin2_Bottom:1'); #582=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top_mir:1', '629722000214_Pin1_Top_mir:1','629722000214_Pin1_Top_mir:1',#598141,#598150, '629722000214_Pin1_Top_mir:1'); #583=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom_mir:1', '629722000214_Pin1_Bottom_mir:1','629722000214_Pin1_Bottom_mir:1',#598141, #598151,'629722000214_Pin1_Bottom_mir:1'); #584=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top_mir:1', '629722000214_Pin2_Top_mir:1','629722000214_Pin2_Top_mir:1',#598141,#598152, '629722000214_Pin2_Top_mir:1'); #585=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom_mir:1', '629722000214_Pin2_Bottom_mir:1','629722000214_Pin2_Bottom_mir:1',#598141, #598153,'629722000214_Pin2_Bottom_mir:1'); #586=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top_mir:1', '629722000214_Pin3_Top_mir:1','629722000214_Pin3_Top_mir:1',#598141,#598154, '629722000214_Pin3_Top_mir:1'); #587=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom_mir:1', '629722000214_Pin3_Bottom_mir:1','629722000214_Pin3_Bottom_mir:1',#598141, #598155,'629722000214_Pin3_Bottom_mir:1'); #588=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom_mir:1', '629722000214_Pin4_Bottom_mir:1','629722000214_Pin4_Bottom_mir:1',#598141, #598156,'629722000214_Pin4_Bottom_mir:1'); #589=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding2:1', '629722000214_Shielding2:1','629722000214_Shielding2:1',#598141,#598157, '629722000214_Shielding2:1'); #590=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding:1', '629722000214_Shielding:1','629722000214_Shielding:1',#598141,#598158, '629722000214_Shielding:1'); #591=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:2', '629722000214_Pin4_Top:2','629722000214_Pin4_Top:2',#598141,#598159, '629722000214_Pin4_Top:2'); #592=NEXT_ASSEMBLY_USAGE_OCCURRENCE('34707316.f3d :1','34707316.f3d :1', '34707316.f3d :1',#598140,#598141,'34707316.f3d :1'); #593=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (19):1','Model (19):1', 'Model (19):1',#598139,#598140,'Model (19):1'); #594=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (20):1','Model (20):1', 'Model (20):1',#598160,#598162,'Model (20):1'); #595=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (20):1','Model (20):1', 'Model (20):1',#598161,#598162,'Model (20):1'); #596=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598117,#598164,'Model (10):1'); #597=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598118,#598164,'Model (10):1'); #598=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598119,#598164,'Model (10):1'); #599=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598120,#598164,'Model (10):1'); #600=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598121,#598164,'Model (10):1'); #601=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598122,#598164,'Model (10):1'); #602=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598123,#598164,'Model (10):1'); #603=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598124,#598164,'Model (10):1'); #604=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598125,#598164,'Model (10):1'); #605=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598126,#598164,'Model (10):1'); #606=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598127,#598164,'Model (10):1'); #607=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598128,#598164,'Model (10):1'); #608=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598129,#598164,'Model (10):1'); #609=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598130,#598164,'Model (10):1'); #610=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598131,#598164,'Model (10):1'); #611=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598132,#598164,'Model (10):1'); #612=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598133,#598164,'Model (10):1'); #613=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598134,#598164,'Model (10):1'); #614=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598135,#598164,'Model (10):1'); #615=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598136,#598164,'Model (10):1'); #616=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598137,#598164,'Model (10):1'); #617=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598138,#598164,'Model (10):1'); #618=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#598163,#598164,'Model (10):1'); #619=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (1):1','Model (1):1', 'Model (1):1',#598165,#598166,'Model (1):1'); #620=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (2):1','Model (2):1', 'Model (2):1',#598167,#598168,'Model (2):1'); #621=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (3):1','Model (3):1', 'Model (3):1',#598169,#598170,'Model (3):1'); #622=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (4):1','Model (4):1', 'Model (4):1',#598171,#598172,'Model (4):1'); #623=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (5):1','Model (5):1', 'Model (5):1',#598173,#598174,'Model (5):1'); #624=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (6):1','Model (6):1', 'Model (6):1',#598175,#598176,'Model (6):1'); #625=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (8):1','Model (8):1', 'Model (8):1',#598177,#598178,'Model (8):1'); #626=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (9):1','Model (9):1', 'Model (9):1',#598179,#598180,'Model (9):1'); #627=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (11):1','Model (11):1', 'Model (11):1',#598181,#598182,'Model (11):1'); #628=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (12):1','Model (12):1', 'Model (12):1',#598183,#598184,'Model (12):1'); #629=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (13):1','Model (13):1', 'Model (13):1',#598185,#598186,'Model (13):1'); #630=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (14):1','Model (14):1', 'Model (14):1',#598187,#598188,'Model (14):1'); #631=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (15):1','Model (15):1', 'Model (15):1',#598189,#598190,'Model (15):1'); #632=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2510-2.54-4P:JP3', '2510-2.54-4P:JP3','2510-2.54-4P:JP3',#598110,#598111, '2510-2.54-4P:JP3'); #633=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED1','LED0603:LED1', 'LED0603:LED1',#598110,#598113,'LED0603:LED1'); #634=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED2','LED0603:LED2', 'LED0603:LED2',#598110,#598114,'LED0603:LED2'); #635=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED3','LED0603:LED3', 'LED0603:LED3',#598110,#598115,'LED0603:LED3'); #636=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R10','R0603:R10','R0603:R10', #598110,#598117,'R0603:R10'); #637=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R2','R0603:R2','R0603:R2',#598110, #598118,'R0603:R2'); #638=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R3','R0603:R3','R0603:R3',#598110, #598119,'R0603:R3'); #639=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R4','R0603:R4','R0603:R4',#598110, #598120,'R0603:R4'); #640=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R5','R0603:R5','R0603:R5',#598110, #598121,'R0603:R5'); #641=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R6','R0603:R6','R0603:R6',#598110, #598122,'R0603:R6'); #642=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R7','R0603:R7','R0603:R7',#598110, #598123,'R0603:R7'); #643=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R8','R0603:R8','R0603:R8',#598110, #598124,'R0603:R8'); #644=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R11','R0603:R11','R0603:R11', #598110,#598125,'R0603:R11'); #645=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R12','R0603:R12','R0603:R12', #598110,#598126,'R0603:R12'); #646=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R13','R0603:R13','R0603:R13', #598110,#598127,'R0603:R13'); #647=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R14','R0603:R14','R0603:R14', #598110,#598128,'R0603:R14'); #648=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R15','R0603:R15','R0603:R15', #598110,#598129,'R0603:R15'); #649=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R16','R0603:R16','R0603:R16', #598110,#598130,'R0603:R16'); #650=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R17','R0603:R17','R0603:R17', #598110,#598131,'R0603:R17'); #651=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R18','R0603:R18','R0603:R18', #598110,#598132,'R0603:R18'); #652=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R19','R0603:R19','R0603:R19', #598110,#598133,'R0603:R19'); #653=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R20','R0603:R20','R0603:R20', #598110,#598134,'R0603:R20'); #654=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R21','R0603:R21','R0603:R21', #598110,#598135,'R0603:R21'); #655=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R22','R0603:R22','R0603:R22', #598110,#598136,'R0603:R22'); #656=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R24','R0603:R24','R0603:R24', #598110,#598137,'R0603:R24'); #657=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R26','R0603:R26','R0603:R26', #598110,#598138,'R0603:R26'); #658=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TYPE-C-16P-FH:J1', 'TYPE-C-16P-FH:J1','TYPE-C-16P-FH:J1',#598110,#598139, 'TYPE-C-16P-FH:J1'); #659=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XH-2.50-2P:JP1','XH-2.50-2P:JP1', 'XH-2.50-2P:JP1',#598110,#598160,'XH-2.50-2P:JP1'); #660=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XH-2.50-2P:JP2','XH-2.50-2P:JP2', 'XH-2.50-2P:JP2',#598110,#598161,'XH-2.50-2P:JP2'); #661=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R23','R0603:R23','R0603:R23', #598110,#598163,'R0603:R23'); #662=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R25','R0603:R25','R0603:R25', #598110,#598163,'R0603:R25'); #663=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C9','C0603:C9','C0603:C9',#598110, #598165,'C0603:C9'); #664=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C10','C0603:C10','C0603:C10', #598110,#598165,'C0603:C10'); #665=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C11','C0603:C11','C0603:C11', #598110,#598165,'C0603:C11'); #666=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C12','C0603:C12','C0603:C12', #598110,#598165,'C0603:C12'); #667=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C13','C0603:C13','C0603:C13', #598110,#598165,'C0603:C13'); #668=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C14','C0603:C14','C0603:C14', #598110,#598165,'C0603:C14'); #669=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C15','C0603:C15','C0603:C15', #598110,#598165,'C0603:C15'); #670=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C16','C0603:C16','C0603:C16', #598110,#598165,'C0603:C16'); #671=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C17','C0603:C17','C0603:C17', #598110,#598165,'C0603:C17'); #672=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C18','C0603:C18','C0603:C18', #598110,#598165,'C0603:C18'); #673=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C19','C0603:C19','C0603:C19', #598110,#598165,'C0603:C19'); #674=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C20','C0603:C20','C0603:C20', #598110,#598165,'C0603:C20'); #675=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C21','C0603:C21','C0603:C21', #598110,#598165,'C0603:C21'); #676=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C22','C0603:C22','C0603:C22', #598110,#598165,'C0603:C22'); #677=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C3','C1210:C3','C1210:C3',#598110, #598167,'C1210:C3'); #678=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C4','C1210:C4','C1210:C4',#598110, #598167,'C1210:C4'); #679=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C5','C1210:C5','C1210:C5',#598110, #598167,'C1210:C5'); #680=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C6','C1210:C6','C1210:C6',#598110, #598167,'C1210:C6'); #681=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C7','C1210:C7','C1210:C7',#598110, #598167,'C1210:C7'); #682=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C8','C1210:C8','C1210:C8',#598110, #598167,'C1210:C8'); #683=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C1', 'CAP-PTH(D8.0-P3.50):C1','CAP-PTH(D8.0-P3.50):C1',#598110,#598169, 'CAP-PTH(D8.0-P3.50):C1'); #684=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C2', 'CAP-PTH(D8.0-P3.50):C2','CAP-PTH(D8.0-P3.50):C2',#598110,#598169, 'CAP-PTH(D8.0-P3.50):C2'); #685=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(3X3):Q1','DFN-8(3X3):Q1', 'DFN-8(3X3):Q1',#598110,#598171,'DFN-8(3X3):Q1'); #686=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q2','DFN-8(5X6):Q2', 'DFN-8(5X6):Q2',#598110,#598173,'DFN-8(5X6):Q2'); #687=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q3','DFN-8(5X6):Q3', 'DFN-8(5X6):Q3',#598110,#598173,'DFN-8(5X6):Q3'); #688=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q4','DFN-8(5X6):Q4', 'DFN-8(5X6):Q4',#598110,#598173,'DFN-8(5X6):Q4'); #689=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q5','DFN-8(5X6):Q5', 'DFN-8(5X6):Q5',#598110,#598173,'DFN-8(5X6):Q5'); #690=NEXT_ASSEMBLY_USAGE_OCCURRENCE('L12XX:L1','L12XX:L1','L12XX:L1',#598110, #598175,'L12XX:L1'); #691=NEXT_ASSEMBLY_USAGE_OCCURRENCE('POT-R1S1-RV097NS:VR1', 'POT-R1S1-RV097NS:VR1','POT-R1S1-RV097NS:VR1',#598110,#598177, 'POT-R1S1-RV097NS:VR1'); #692=NEXT_ASSEMBLY_USAGE_OCCURRENCE('QFN-48(7X7):U1','QFN-48(7X7):U1', 'QFN-48(7X7):U1',#598110,#598179,'QFN-48(7X7):U1'); #693=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R1','R1206:R1','R1206:R1',#598110, #598181,'R1206:R1'); #694=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R9','R1206:R9','R1206:R9',#598110, #598181,'R1206:R9'); #695=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D1','SOD-123FL:D1', 'SOD-123FL:D1',#598110,#598183,'SOD-123FL:D1'); #696=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D2','SOD-123FL:D2', 'SOD-123FL:D2',#598110,#598183,'SOD-123FL:D2'); #697=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOP-8(L5.0-W3.9-P1.27):U3', 'SOP-8(L5.0-W3.9-P1.27):U3','SOP-8(L5.0-W3.9-P1.27):U3',#598110,#598185, 'SOP-8(L5.0-W3.9-P1.27):U3'); #698=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOT23-5:U2','SOT23-5:U2', 'SOT23-5:U2',#598110,#598187,'SOT23-5:U2'); #699=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1206:C23','C1206:C23','C1206:C23', #598110,#598189,'C1206:C23'); #700=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Board:1','Board:1','Board:1',#598104, #598105,'Board:1'); #701=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-copper:1','1-copper:1', '1-copper:1',#598104,#598106,'1-copper:1'); #702=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-copper:1','16-copper:1', '16-copper:1',#598104,#598107,'16-copper:1'); #703=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-soldermask:1','1-soldermask:1', '1-soldermask:1',#598104,#598108,'1-soldermask:1'); #704=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-soldermask:1','16-soldermask:1', '16-soldermask:1',#598104,#598109,'16-soldermask:1'); #705=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Packages:1','Packages:1', 'Packages:1',#598104,#598110,'Packages:1'); #706=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Board:1','Board:1','Board:1',#598191, #598192,'Board:1'); #707=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-soldermask:1','1-soldermask:1', '1-soldermask:1',#598191,#598193,'1-soldermask:1'); #708=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-soldermask:1','16-soldermask:1', '16-soldermask:1',#598191,#598194,'16-soldermask:1'); #709=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PCB v18:1','PCB v18:1','PCB v18:1', #598103,#598104,'PCB v18:1'); #710=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Power Display v5:1', 'Power Display v5:1','Power Display v5:1',#598103,#598191, 'Power Display v5:1'); #711=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Flat Nozzle:1','Flat Nozzle:1', 'Flat Nozzle:1',#598195,#598196,'Flat Nozzle:1'); #712=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Master:1','Master:1','Master:1',#598099, #598100,'Master:1'); #713=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Electronic:1','Electronic:1', 'Electronic:1',#598099,#598103,'Electronic:1'); #714=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nozzle:1','Nozzle:1','Nozzle:1',#598099, #598195,'Nozzle:1'); #715=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598002,#764); #716=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598003,#765); #717=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598001,#766); #718=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598006,#767); #719=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598007,#768); #720=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598008,#769); #721=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598009,#770); #722=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598010,#771); #723=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598013,#772); #724=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598017,#773); #725=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598043,#774); #726=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598044,#775); #727=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598045,#776); #728=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598046,#777); #729=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598047,#778); #730=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598048,#779); #731=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598049,#780); #732=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598050,#781); #733=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598051,#782); #734=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598052,#783); #735=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598053,#784); #736=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598054,#785); #737=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598055,#786); #738=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598056,#787); #739=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598057,#788); #740=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598058,#789); #741=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598059,#790); #742=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598060,#791); #743=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598063,#792); #744=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598065,#793); #745=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598067,#794); #746=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598069,#795); #747=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598071,#796); #748=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598073,#797); #749=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598075,#798); #750=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598077,#799); #751=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598079,#800); #752=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598081,#801); #753=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598083,#802); #754=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598085,#803); #755=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598087,#804); #756=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598089,#805); #757=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598091,#806); #758=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598093,#807); #759=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598094,#808); #760=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598095,#809); #761=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598092,#810); #762=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598004,#811); #763=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#598097,#812); #764=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2181,#2182),#597555); #765=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2183,#2184,#2185),#597556); #766=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2186,#2187,#2188),#597554); #767=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2189,#2190,#2191,#2192,#2193, #2194,#2195,#2196,#2197,#2198,#2199,#2200,#2201,#2202,#2203,#2204,#2205, #2206,#2207,#2208,#2209,#2210,#2211,#2212,#2213,#2214,#2215,#2216,#2217, #2218,#2219,#2220,#2221,#2222,#2223,#2224,#2225,#2226,#2227,#2228,#2229, #2230,#2231,#2232,#2233,#2234,#2235,#2236,#2237,#2238,#2239,#2240,#2241, #2242,#2243,#2244,#2245,#2246,#2247,#2248,#2249,#2250,#2251,#2252,#2253, #2254,#2255,#2256,#2257,#2258,#2259,#2260,#2261,#2262,#2263,#2264,#2265, #2266,#2267,#2268,#2269,#2270,#2271,#2272,#2273,#2274,#2275,#2276,#2277, #2278,#2279,#2280,#2281,#2282,#2283,#2284,#2285,#2286,#2287,#2288,#2289, #2290,#2291,#2292,#2293,#2294,#2295,#2296,#2297,#2298,#2299,#2300,#2301, #2302,#2303,#2304,#2305,#2306,#2307,#2308,#2309,#2310,#2311,#2312,#2313, #2314,#2315,#2316,#2317,#2318,#2319,#2320,#2321,#2322,#2323,#2324,#2325, #2326,#2327,#2328,#2329,#2330,#2331,#2332,#2333,#2334,#2335,#2336,#2337, #2338,#2339,#2340,#2341,#2342,#2343,#2344,#2345,#2346,#2347,#2348,#2349, #2350,#2351,#2352,#2353,#2354,#2355,#2356,#2357,#2358,#2359,#2360,#2361, #2362,#2363,#2364,#2365,#2366,#2367,#2368,#2369,#2370,#2371,#2372,#2373, #2374,#2375,#2376,#2377,#2378,#2379,#2380,#2381,#2382,#2383,#2384,#2385, #2386,#2387,#2388,#2389,#2390,#2391,#2392,#2393,#2394,#2395,#2396,#2397, #2398,#2399,#2400,#2401,#2402,#2403,#2404,#2405,#2406,#2407,#2408,#2409, #2410,#2411,#2412,#2413,#2414,#2415,#2416,#2417,#2418,#2419,#2420,#2421, #2422,#2423,#2424,#2425,#2426,#2427,#2428,#2429,#2430,#2431,#2432,#2433, #2434,#2435,#2436,#2437,#2438,#2439,#2440,#2441,#2442,#2443,#2444,#2445, #2446,#2447,#2448,#2449,#2450,#2451,#2452,#2453,#2454,#2455,#2456,#2457, #2458,#2459,#2460,#2461,#2462,#2463,#2464,#2465,#2466,#2467,#2468,#2469, #2470,#2471,#2472,#2473,#2474,#2475,#2476,#2477,#2478,#2479,#2480,#2481, #2482,#2483,#2484,#2485,#2486,#2487,#2488,#2489,#2490,#2491,#2492,#2493, #2494,#2495,#2496,#2497,#2498,#2499,#2500,#2501,#2502,#2503,#2504),#597559); #768=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2505,#2506,#2507,#2508,#2509, #2510,#2511,#2512,#2513,#2514,#2515,#2516,#2517,#2518,#2519,#2520,#2521, #2522,#2523,#2524,#2525,#2526,#2527,#2528,#2529,#2530,#2531,#2532,#2533, #2534,#2535,#2536,#2537,#2538,#2539,#2540,#2541,#2542,#2543,#2544,#2545, #2546,#2547,#2548,#2549,#2550,#2551,#2552,#2553,#2554,#2555,#2556,#2557, #2558,#2559,#2560,#2561,#2562,#2563,#2564,#2565,#2566,#2567,#2568,#2569, #2570,#2571,#2572,#2573,#2574,#2575,#2576,#2577,#2578,#2579,#2580,#2581, #2582,#2583,#2584,#2585,#2586,#2587,#2588,#2589,#2590,#2591,#2592,#2593, #2594,#2595,#2596,#2597,#2598,#2599,#2600,#2601,#2602,#2603,#2604,#2605, #2606,#2607,#2608,#2609,#2610,#2611,#2612,#2613,#2614,#2615,#2616,#2617, #2618,#2619,#2620,#2621,#2622,#2623,#2624,#2625,#2626,#2627,#2628,#2629, #2630,#2631,#2632,#2633,#2634,#2635,#2636,#2637,#2638,#2639,#2640,#2641, #2642,#2643,#2644,#2645,#2646,#2647,#2648,#2649,#2650,#2651,#2652,#2653, #2654,#2655,#2656,#2657,#2658,#2659,#2660,#2661,#2662,#2663,#2664,#2665, #2666,#2667,#2668,#2669,#2670,#2671,#2672,#2673,#2674,#2675,#2676,#2677, #2678,#2679,#2680,#2681,#2682,#2683,#2684,#2685,#2686,#2687,#2688,#2689, #2690,#2691,#2692,#2693,#2694,#2695,#2696,#2697,#2698,#2699,#2700,#2701, #2702,#2703,#2704,#2705,#2706,#2707,#2708,#2709,#2710,#2711,#2712,#2713, #2714,#2715,#2716,#2717,#2718,#2719,#2720,#2721,#2722,#2723,#2724,#2725, #2726,#2727,#2728,#2729,#2730,#2731,#2732,#2733,#2734,#2735,#2736,#2737, #2738,#2739,#2740,#2741,#2742,#2743,#2744,#2745,#2746,#2747,#2748,#2749, #2750,#2751,#2752,#2753,#2754,#2755,#2756,#2757,#2758,#2759,#2760,#2761, #2762,#2763,#2764,#2765,#2766,#2767,#2768,#2769,#2770,#2771,#2772,#2773, #2774,#2775,#2776,#2777,#2778,#2779,#2780,#2781,#2782,#2783,#2784,#2785, #2786,#2787),#597560); #769=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2788,#2789,#2790,#2791,#2792, #2793,#2794,#2795,#2796,#2797,#2798,#2799,#2800,#2801,#2802,#2803,#2804, #2805,#2806,#2807,#2808,#2809,#2810,#2811,#2812,#2813,#2814,#2815,#2816, #2817,#2818,#2819,#2820,#2821,#2822,#2823,#2824,#2825,#2826,#2827,#2828, #2829,#2830,#2831,#2832,#2833,#2834,#2835,#2836,#2837,#2838,#2839,#2840, #2841,#2842,#2843,#2844,#2845,#2846,#2847,#2848,#2849,#2850,#2851,#2852, #2853,#2854,#2855,#2856,#2857,#2858,#2859,#2860,#2861,#2862,#2863,#2864, #2865,#2866,#2867,#2868,#2869,#2870,#2871,#2872,#2873,#2874,#2875,#2876, #2877,#2878,#2879,#2880,#2881,#2882,#2883,#2884,#2885,#2886,#2887,#2888, #2889,#2890,#2891,#2892,#2893,#2894,#2895,#2896,#2897,#2898,#2899,#2900, #2901,#2902,#2903,#2904,#2905,#2906,#2907,#2908,#2909,#2910,#2911,#2912, #2913,#2914,#2915,#2916,#2917,#2918,#2919,#2920,#2921,#2922,#2923,#2924, #2925,#2926,#2927,#2928,#2929,#2930,#2931,#2932,#2933,#2934,#2935,#2936, #2937,#2938,#2939,#2940,#2941,#2942,#2943,#2944,#2945,#2946,#2947,#2948, #2949,#2950,#2951,#2952,#2953,#2954,#2955,#2956,#2957,#2958,#2959,#2960, #2961,#2962,#2963,#2964,#2965,#2966,#2967,#2968,#2969,#2970,#2971,#2972, #2973,#2974,#2975,#2976,#2977,#2978,#2979,#2980,#2981,#2982),#597561); #770=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2983),#597562); #771=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2984),#597563); #772=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2985),#597566); #773=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2986,#2987,#2988,#2989,#2990), #597570); #774=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2991),#597596); #775=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2992),#597597); #776=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2993),#597598); #777=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2994),#597599); #778=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2995),#597600); #779=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2996),#597601); #780=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2997),#597602); #781=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2998),#597603); #782=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2999),#597604); #783=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3000),#597605); #784=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3001),#597606); #785=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3002),#597607); #786=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3003),#597608); #787=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3004),#597609); #788=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3005),#597610); #789=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3006),#597611); #790=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3007),#597612); #791=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3008),#597613); #792=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3009),#597616); #793=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3010,#3011,#3012),#597618); #794=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3013,#3014,#3015),#597620); #795=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3016,#3017,#3018),#597622); #796=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3019,#3020,#3021,#3022,#3023, #3024,#3025,#3026,#3027,#3028,#3029,#3030,#3031),#597624); #797=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3032,#3033,#3034,#3035,#3036, #3037,#3038,#3039,#3040,#3041,#3042,#3043,#3044,#3045,#3046,#3047,#3048, #3049),#597626); #798=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3050,#3051,#3052,#3053,#3054, #3055,#3056,#3057,#3058,#3059,#3060,#3061,#3062,#3063,#3064,#3065,#3066, #3067),#597628); #799=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3068,#3069,#3070,#3071,#3072), #597630); #800=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3073,#3074,#3075),#597632); #801=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3076,#3077,#3078,#3079,#3080, #3081,#3082,#3083,#3084,#3085,#3086,#3087,#3088,#3089,#3090,#3091,#3092, #3093,#3094,#3095,#3096,#3097,#3098,#3099,#3100,#3101,#3102,#3103,#3104, #3105,#3106,#3107,#3108,#3109,#3110,#3111,#3112,#3113,#3114,#3115,#3116, #3117,#3118,#3119,#3120,#3121,#3122,#3123,#3124,#3125),#597634); #802=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3126,#3127,#3128),#597636); #803=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3129,#3130,#3131,#3132,#3133, #3134),#597638); #804=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3135,#3136,#3137,#3138,#3139, #3140,#3141,#3142,#3143),#597640); #805=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3144,#3145,#3146,#3147,#3148, #3149),#597642); #806=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3150,#3151,#3152),#597644); #807=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3153),#597646); #808=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3154),#597647); #809=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3155),#597648); #810=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3156),#597645); #811=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3157,#3158,#3159,#3160,#3161), #597557); #812=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#22),#597650); #813=TOROIDAL_SURFACE('',#352011,10.85957922917,1.); #814=TOROIDAL_SURFACE('',#375479,4.10833333333333,0.141666666666667); #815=TOROIDAL_SURFACE('',#375484,4.10833333333333,0.141666666666667); #816=TOROIDAL_SURFACE('',#375487,4.25,0.425000000000001); #817=TOROIDAL_SURFACE('',#375490,4.10833333333333,0.141666666666667); #818=TOROIDAL_SURFACE('',#375495,4.10833333333333,0.141666666666667); #819=TOROIDAL_SURFACE('',#375510,4.27,0.415); #820=TOROIDAL_SURFACE('',#375514,4.12833333333333,0.141666666666667); #821=TOROIDAL_SURFACE('',#375519,4.12833333333333,0.141666666666667); #822=TOROIDAL_SURFACE('',#375524,4.10833333333333,0.141666666666667); #823=TOROIDAL_SURFACE('',#375529,4.10833333333333,0.141666666666667); #824=TOROIDAL_SURFACE('',#375532,4.25,0.425000000000001); #825=TOROIDAL_SURFACE('',#375535,4.10833333333333,0.141666666666667); #826=TOROIDAL_SURFACE('',#375540,4.10833333333333,0.141666666666667); #827=TOROIDAL_SURFACE('',#375545,4.12833333333333,0.141666666666667); #828=TOROIDAL_SURFACE('',#375550,4.12833333333333,0.141666666666666); #829=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#487179,#487180,#487181,#487182,#487183,#487184, #487185,#487186,#487187),(#487188,#487189,#487190,#487191,#487192,#487193, #487194,#487195,#487196),(#487197,#487198,#487199,#487200,#487201,#487202, #487203,#487204,#487205),(#487206,#487207,#487208,#487209,#487210,#487211, #487212,#487213,#487214),(#487215,#487216,#487217,#487218,#487219,#487220, #487221,#487222,#487223),(#487224,#487225,#487226,#487227,#487228,#487229, #487230,#487231,#487232),(#487233,#487234,#487235,#487236,#487237,#487238, #487239,#487240,#487241),(#487242,#487243,#487244,#487245,#487246,#487247, #487248,#487249,#487250),(#487251,#487252,#487253,#487254,#487255,#487256, #487257,#487258,#487259),(#487260,#487261,#487262,#487263,#487264,#487265, #487266,#487267,#487268),(#487269,#487270,#487271,#487272,#487273,#487274, #487275,#487276,#487277),(#487278,#487279,#487280,#487281,#487282,#487283, #487284,#487285,#487286)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893347558, 0.1838950513417,0.302383599567,0.429865257976,0.5587788753077,0.6759563157, 0.7821934419393,0.8795791682249,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #830=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#487327,#487328,#487329,#487330,#487331,#487332, #487333,#487334,#487335),(#487336,#487337,#487338,#487339,#487340,#487341, #487342,#487343,#487344),(#487345,#487346,#487347,#487348,#487349,#487350, #487351,#487352,#487353),(#487354,#487355,#487356,#487357,#487358,#487359, #487360,#487361,#487362),(#487363,#487364,#487365,#487366,#487367,#487368, #487369,#487370,#487371),(#487372,#487373,#487374,#487375,#487376,#487377, #487378,#487379,#487380),(#487381,#487382,#487383,#487384,#487385,#487386, #487387,#487388,#487389),(#487390,#487391,#487392,#487393,#487394,#487395, #487396,#487397,#487398),(#487399,#487400,#487401,#487402,#487403,#487404, #487405,#487406,#487407),(#487408,#487409,#487410,#487411,#487412,#487413, #487414,#487415,#487416),(#487417,#487418,#487419,#487420,#487421,#487422, #487423,#487424,#487425),(#487426,#487427,#487428,#487429,#487430,#487431, #487432,#487433,#487434),(#487435,#487436,#487437,#487438,#487439,#487440, #487441,#487442,#487443),(#487444,#487445,#487446,#487447,#487448,#487449, #487450,#487451,#487452),(#487453,#487454,#487455,#487456,#487457,#487458, #487459,#487460,#487461),(#487462,#487463,#487464,#487465,#487466,#487467, #487468,#487469,#487470),(#487471,#487472,#487473,#487474,#487475,#487476, #487477,#487478,#487479),(#487480,#487481,#487482,#487483,#487484,#487485, #487486,#487487,#487488),(#487489,#487490,#487491,#487492,#487493,#487494, #487495,#487496,#487497),(#487498,#487499,#487500,#487501,#487502,#487503, #487504,#487505,#487506),(#487507,#487508,#487509,#487510,#487511,#487512, #487513,#487514,#487515),(#487516,#487517,#487518,#487519,#487520,#487521, #487522,#487523,#487524),(#487525,#487526,#487527,#487528,#487529,#487530, #487531,#487532,#487533),(#487534,#487535,#487536,#487537,#487538,#487539, #487540,#487541,#487542)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 4),(3,2,2,2,3),(0.,0.05117952043802,0.1011093117662,0.1493885517984,0.1936851475077, 0.2343256428478,0.2735989093492,0.3144964633361,0.3591075046083,0.4083339533164, 0.4621916522941,0.5190942391359,0.5745380284403,0.625964860631,0.6773184285406, 0.7305823107753,0.7813421172187,0.8262408360278,0.8656550315436,0.902105094907, 0.9393718648311,1.),(0.,1.5707963267949,3.14159265358979,4.71238898038469, 6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #831=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#488059,#488060,#488061,#488062,#488063,#488064, #488065,#488066,#488067),(#488068,#488069,#488070,#488071,#488072,#488073, #488074,#488075,#488076),(#488077,#488078,#488079,#488080,#488081,#488082, #488083,#488084,#488085),(#488086,#488087,#488088,#488089,#488090,#488091, #488092,#488093,#488094),(#488095,#488096,#488097,#488098,#488099,#488100, #488101,#488102,#488103),(#488104,#488105,#488106,#488107,#488108,#488109, #488110,#488111,#488112),(#488113,#488114,#488115,#488116,#488117,#488118, #488119,#488120,#488121),(#488122,#488123,#488124,#488125,#488126,#488127, #488128,#488129,#488130),(#488131,#488132,#488133,#488134,#488135,#488136, #488137,#488138,#488139),(#488140,#488141,#488142,#488143,#488144,#488145, #488146,#488147,#488148),(#488149,#488150,#488151,#488152,#488153,#488154, #488155,#488156,#488157),(#488158,#488159,#488160,#488161,#488162,#488163, #488164,#488165,#488166)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893340383, 0.1838950512526,0.3023835994818,0.4298652578945,0.5587788752301,0.6759563156259, 0.7821934418684,0.8795791681571,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #832=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#488408,#488409,#488410,#488411,#488412,#488413, #488414,#488415,#488416,#488417,#488418),(#488419,#488420,#488421,#488422, #488423,#488424,#488425,#488426,#488427,#488428,#488429),(#488430,#488431, #488432,#488433,#488434,#488435,#488436,#488437,#488438,#488439,#488440), (#488441,#488442,#488443,#488444,#488445,#488446,#488447,#488448,#488449, #488450,#488451),(#488452,#488453,#488454,#488455,#488456,#488457,#488458, #488459,#488460,#488461,#488462),(#488463,#488464,#488465,#488466,#488467, #488468,#488469,#488470,#488471,#488472,#488473),(#488474,#488475,#488476, #488477,#488478,#488479,#488480,#488481,#488482,#488483,#488484),(#488485, #488486,#488487,#488488,#488489,#488490,#488491,#488492,#488493,#488494, #488495),(#488496,#488497,#488498,#488499,#488500,#488501,#488502,#488503, #488504,#488505,#488506),(#488507,#488508,#488509,#488510,#488511,#488512, #488513,#488514,#488515,#488516,#488517),(#488518,#488519,#488520,#488521, #488522,#488523,#488524,#488525,#488526,#488527,#488528),(#488529,#488530, #488531,#488532,#488533,#488534,#488535,#488536,#488537,#488538,#488539), (#488540,#488541,#488542,#488543,#488544,#488545,#488546,#488547,#488548, #488549,#488550),(#488551,#488552,#488553,#488554,#488555,#488556,#488557, #488558,#488559,#488560,#488561),(#488562,#488563,#488564,#488565,#488566, #488567,#488568,#488569,#488570,#488571,#488572),(#488573,#488574,#488575, #488576,#488577,#488578,#488579,#488580,#488581,#488582,#488583),(#488584, #488585,#488586,#488587,#488588,#488589,#488590,#488591,#488592,#488593, #488594),(#488595,#488596,#488597,#488598,#488599,#488600,#488601,#488602, #488603,#488604,#488605),(#488606,#488607,#488608,#488609,#488610,#488611, #488612,#488613,#488614,#488615,#488616),(#488617,#488618,#488619,#488620, #488621,#488622,#488623,#488624,#488625,#488626,#488627),(#488628,#488629, #488630,#488631,#488632,#488633,#488634,#488635,#488636,#488637,#488638), (#488639,#488640,#488641,#488642,#488643,#488644,#488645,#488646,#488647, #488648,#488649),(#488650,#488651,#488652,#488653,#488654,#488655,#488656, #488657,#488658,#488659,#488660),(#488661,#488662,#488663,#488664,#488665, #488666,#488667,#488668,#488669,#488670,#488671),(#488672,#488673,#488674, #488675,#488676,#488677,#488678,#488679,#488680,#488681,#488682)), .UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,4),(3,2,2,2,2,3),(0.,0.02214743093905,0.05117952038786,0.1011093117191, 0.1493885517542,0.1936851474661,0.2343256428085,0.2735989093123,0.3144964633018, 0.3591075045766,0.4083339532876,0.4621916522685,0.5190942391137,0.5745380284214, 0.6259648606153,0.6773184285279,0.7305823107659,0.7813421172123,0.826240836024, 0.8656550315422,0.9021050949078,0.939371864834,1.),(-0.241765418589566, 0.,1.5707963267949,3.14159265358979,4.71238898038469,6.04141988859002), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648), (0.923716814517648,0.954920029770527,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.752186751416021,0.923716814517648),(0.923716814517648, 0.954920029770527,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.752186751416021,0.923716814517648),(0.923716814517648,0.954920029770527, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021, 0.923716814517648),(0.923716814517648,0.954920029770527,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.752186751416021,0.923716814517648))) REPRESENTATION_ITEM('') SURFACE() ); #833=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#489101,#489102,#489103,#489104,#489105,#489106, #489107,#489108,#489109),(#489110,#489111,#489112,#489113,#489114,#489115, #489116,#489117,#489118),(#489119,#489120,#489121,#489122,#489123,#489124, #489125,#489126,#489127),(#489128,#489129,#489130,#489131,#489132,#489133, #489134,#489135,#489136),(#489137,#489138,#489139,#489140,#489141,#489142, #489143,#489144,#489145),(#489146,#489147,#489148,#489149,#489150,#489151, #489152,#489153,#489154),(#489155,#489156,#489157,#489158,#489159,#489160, #489161,#489162,#489163),(#489164,#489165,#489166,#489167,#489168,#489169, #489170,#489171,#489172),(#489173,#489174,#489175,#489176,#489177,#489178, #489179,#489180,#489181),(#489182,#489183,#489184,#489185,#489186,#489187, #489188,#489189,#489190),(#489191,#489192,#489193,#489194,#489195,#489196, #489197,#489198,#489199),(#489200,#489201,#489202,#489203,#489204,#489205, #489206,#489207,#489208)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893347558, 0.1838950513417,0.302383599567,0.429865257976,0.5587788753077,0.6759563157, 0.7821934419393,0.8795791682249,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #834=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#489591,#489592,#489593,#489594,#489595,#489596, #489597,#489598,#489599),(#489600,#489601,#489602,#489603,#489604,#489605, #489606,#489607,#489608),(#489609,#489610,#489611,#489612,#489613,#489614, #489615,#489616,#489617),(#489618,#489619,#489620,#489621,#489622,#489623, #489624,#489625,#489626),(#489627,#489628,#489629,#489630,#489631,#489632, #489633,#489634,#489635),(#489636,#489637,#489638,#489639,#489640,#489641, #489642,#489643,#489644),(#489645,#489646,#489647,#489648,#489649,#489650, #489651,#489652,#489653),(#489654,#489655,#489656,#489657,#489658,#489659, #489660,#489661,#489662),(#489663,#489664,#489665,#489666,#489667,#489668, #489669,#489670,#489671),(#489672,#489673,#489674,#489675,#489676,#489677, #489678,#489679,#489680),(#489681,#489682,#489683,#489684,#489685,#489686, #489687,#489688,#489689),(#489690,#489691,#489692,#489693,#489694,#489695, #489696,#489697,#489698)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893344177, 0.183895051394,0.3023835996267,0.4298652580437,0.5587788753834,0.675956315783, 0.7821934420289,0.8795791683206,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #835=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#490412,#490413,#490414,#490415,#490416,#490417, #490418,#490419,#490420),(#490421,#490422,#490423,#490424,#490425,#490426, #490427,#490428,#490429),(#490430,#490431,#490432,#490433,#490434,#490435, #490436,#490437,#490438),(#490439,#490440,#490441,#490442,#490443,#490444, #490445,#490446,#490447),(#490448,#490449,#490450,#490451,#490452,#490453, #490454,#490455,#490456),(#490457,#490458,#490459,#490460,#490461,#490462, #490463,#490464,#490465),(#490466,#490467,#490468,#490469,#490470,#490471, #490472,#490473,#490474),(#490475,#490476,#490477,#490478,#490479,#490480, #490481,#490482,#490483),(#490484,#490485,#490486,#490487,#490488,#490489, #490490,#490491,#490492),(#490493,#490494,#490495,#490496,#490497,#490498, #490499,#490500,#490501),(#490502,#490503,#490504,#490505,#490506,#490507, #490508,#490509,#490510),(#490511,#490512,#490513,#490514,#490515,#490516, #490517,#490518,#490519)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,4),(3,2,2,2,3),(0.,0.06595893340383, 0.1838950512526,0.3023835994818,0.4298652578945,0.5587788752301,0.6759563156259, 0.7821934418684,0.8795791681571,1.),(0.,1.5707963267949,3.14159265358979, 4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #836=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#490802,#490803,#490804,#490805,#490806,#490807, #490808,#490809,#490810),(#490811,#490812,#490813,#490814,#490815,#490816, #490817,#490818,#490819),(#490820,#490821,#490822,#490823,#490824,#490825, #490826,#490827,#490828),(#490829,#490830,#490831,#490832,#490833,#490834, #490835,#490836,#490837),(#490838,#490839,#490840,#490841,#490842,#490843, #490844,#490845,#490846),(#490847,#490848,#490849,#490850,#490851,#490852, #490853,#490854,#490855),(#490856,#490857,#490858,#490859,#490860,#490861, #490862,#490863,#490864),(#490865,#490866,#490867,#490868,#490869,#490870, #490871,#490872,#490873),(#490874,#490875,#490876,#490877,#490878,#490879, #490880,#490881,#490882),(#490883,#490884,#490885,#490886,#490887,#490888, #490889,#490890,#490891),(#490892,#490893,#490894,#490895,#490896,#490897, #490898,#490899,#490900),(#490901,#490902,#490903,#490904,#490905,#490906, #490907,#490908,#490909),(#490910,#490911,#490912,#490913,#490914,#490915, #490916,#490917,#490918),(#490919,#490920,#490921,#490922,#490923,#490924, #490925,#490926,#490927),(#490928,#490929,#490930,#490931,#490932,#490933, #490934,#490935,#490936),(#490937,#490938,#490939,#490940,#490941,#490942, #490943,#490944,#490945),(#490946,#490947,#490948,#490949,#490950,#490951, #490952,#490953,#490954),(#490955,#490956,#490957,#490958,#490959,#490960, #490961,#490962,#490963),(#490964,#490965,#490966,#490967,#490968,#490969, #490970,#490971,#490972),(#490973,#490974,#490975,#490976,#490977,#490978, #490979,#490980,#490981),(#490982,#490983,#490984,#490985,#490986,#490987, #490988,#490989,#490990),(#490991,#490992,#490993,#490994,#490995,#490996, #490997,#490998,#490999),(#491000,#491001,#491002,#491003,#491004,#491005, #491006,#491007,#491008),(#491009,#491010,#491011,#491012,#491013,#491014, #491015,#491016,#491017),(#491018,#491019,#491020,#491021,#491022,#491023, #491024,#491025,#491026)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,4),(3,2,2,2,3),(0.,0.02214743093905,0.05117952038786,0.1011093117191, 0.1493885517542,0.1936851474661,0.2343256428085,0.2735989093123,0.3144964633018, 0.3591075045766,0.4083339532876,0.4621916522685,0.5190942391137,0.5745380284214, 0.6259648606153,0.6773184285279,0.7305823107659,0.7813421172123,0.826240836024, 0.8656550315422,0.9021050949078,0.939371864834,1.),(0.,1.5707963267949, 3.14159265358979,4.71238898038469,6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #837=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#491061,#491062,#491063,#491064,#491065,#491066, #491067,#491068,#491069),(#491070,#491071,#491072,#491073,#491074,#491075, #491076,#491077,#491078),(#491079,#491080,#491081,#491082,#491083,#491084, #491085,#491086,#491087),(#491088,#491089,#491090,#491091,#491092,#491093, #491094,#491095,#491096),(#491097,#491098,#491099,#491100,#491101,#491102, #491103,#491104,#491105),(#491106,#491107,#491108,#491109,#491110,#491111, #491112,#491113,#491114),(#491115,#491116,#491117,#491118,#491119,#491120, #491121,#491122,#491123),(#491124,#491125,#491126,#491127,#491128,#491129, #491130,#491131,#491132),(#491133,#491134,#491135,#491136,#491137,#491138, #491139,#491140,#491141),(#491142,#491143,#491144,#491145,#491146,#491147, #491148,#491149,#491150),(#491151,#491152,#491153,#491154,#491155,#491156, #491157,#491158,#491159),(#491160,#491161,#491162,#491163,#491164,#491165, #491166,#491167,#491168),(#491169,#491170,#491171,#491172,#491173,#491174, #491175,#491176,#491177),(#491178,#491179,#491180,#491181,#491182,#491183, #491184,#491185,#491186),(#491187,#491188,#491189,#491190,#491191,#491192, #491193,#491194,#491195),(#491196,#491197,#491198,#491199,#491200,#491201, #491202,#491203,#491204),(#491205,#491206,#491207,#491208,#491209,#491210, #491211,#491212,#491213),(#491214,#491215,#491216,#491217,#491218,#491219, #491220,#491221,#491222),(#491223,#491224,#491225,#491226,#491227,#491228, #491229,#491230,#491231),(#491232,#491233,#491234,#491235,#491236,#491237, #491238,#491239,#491240),(#491241,#491242,#491243,#491244,#491245,#491246, #491247,#491248,#491249),(#491250,#491251,#491252,#491253,#491254,#491255, #491256,#491257,#491258),(#491259,#491260,#491261,#491262,#491263,#491264, #491265,#491266,#491267),(#491268,#491269,#491270,#491271,#491272,#491273, #491274,#491275,#491276)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 4),(3,2,2,2,3),(0.,0.05117952043802,0.1011093117662,0.1493885517984,0.1936851475077, 0.2343256428478,0.2735989093492,0.3144964633361,0.3591075046083,0.4083339533164, 0.4621916522941,0.5190942391359,0.5745380284403,0.625964860631,0.6773184285406, 0.7305823107753,0.7813421172187,0.8262408360278,0.8656550315436,0.902105094907, 0.9393718648311,1.),(0.,1.5707963267949,3.14159265358979,4.71238898038469, 6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #838=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,2,((#491572,#491573,#491574,#491575,#491576,#491577, #491578,#491579,#491580),(#491581,#491582,#491583,#491584,#491585,#491586, #491587,#491588,#491589),(#491590,#491591,#491592,#491593,#491594,#491595, #491596,#491597,#491598),(#491599,#491600,#491601,#491602,#491603,#491604, #491605,#491606,#491607),(#491608,#491609,#491610,#491611,#491612,#491613, #491614,#491615,#491616),(#491617,#491618,#491619,#491620,#491621,#491622, #491623,#491624,#491625),(#491626,#491627,#491628,#491629,#491630,#491631, #491632,#491633,#491634),(#491635,#491636,#491637,#491638,#491639,#491640, #491641,#491642,#491643),(#491644,#491645,#491646,#491647,#491648,#491649, #491650,#491651,#491652),(#491653,#491654,#491655,#491656,#491657,#491658, #491659,#491660,#491661),(#491662,#491663,#491664,#491665,#491666,#491667, #491668,#491669,#491670),(#491671,#491672,#491673,#491674,#491675,#491676, #491677,#491678,#491679),(#491680,#491681,#491682,#491683,#491684,#491685, #491686,#491687,#491688),(#491689,#491690,#491691,#491692,#491693,#491694, #491695,#491696,#491697),(#491698,#491699,#491700,#491701,#491702,#491703, #491704,#491705,#491706),(#491707,#491708,#491709,#491710,#491711,#491712, #491713,#491714,#491715),(#491716,#491717,#491718,#491719,#491720,#491721, #491722,#491723,#491724),(#491725,#491726,#491727,#491728,#491729,#491730, #491731,#491732,#491733),(#491734,#491735,#491736,#491737,#491738,#491739, #491740,#491741,#491742),(#491743,#491744,#491745,#491746,#491747,#491748, #491749,#491750,#491751),(#491752,#491753,#491754,#491755,#491756,#491757, #491758,#491759,#491760),(#491761,#491762,#491763,#491764,#491765,#491766, #491767,#491768,#491769),(#491770,#491771,#491772,#491773,#491774,#491775, #491776,#491777,#491778),(#491779,#491780,#491781,#491782,#491783,#491784, #491785,#491786,#491787)),.UNSPECIFIED.,.F.,.T.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 4),(3,2,2,2,3),(0.,0.05117952043802,0.1011093117662,0.1493885517984,0.1936851475077, 0.2343256428478,0.2735989093492,0.3144964633361,0.3591075046083,0.4083339533164, 0.4621916522941,0.5190942391359,0.5745380284403,0.625964860631,0.6773184285406, 0.7305823107753,0.7813421172187,0.8262408360278,0.8656550315436,0.902105094907, 0.9393718648311,1.),(0.,1.5707963267949,3.14159265358979,4.71238898038469, 6.28318530717959),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.),(1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1.,0.707106781186548, 1.,0.707106781186548,1.,0.707106781186548,1.),(1.,0.707106781186548,1., 0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() ); #839=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#587895,#587896,#587897,#587898),(#587899,#587900, #587901,#587902),(#587903,#587904,#587905,#587906),(#587907,#587908,#587909, #587910),(#587911,#587912,#587913,#587914),(#587915,#587916,#587917,#587918), (#587919,#587920,#587921,#587922)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.635528307263547,0.00408186307996069, 1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333298,0.333333333333298,1.), (1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #840=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#587997,#587998,#587999,#588000),(#588001,#588002, #588003,#588004),(#588005,#588006,#588007,#588008),(#588009,#588010,#588011, #588012),(#588013,#588014,#588015,#588016),(#588017,#588018,#588019,#588020), (#588021,#588022,#588023,#588024)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.119730781394121,0.,1.),(0., 1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333333,0.333333333333333,1.), (1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #841=STYLED_ITEM('',(#598498),#2181); #842=STYLED_ITEM('',(#598498),#2182); #843=STYLED_ITEM('',(#598495),#2183); #844=STYLED_ITEM('',(#598495),#2184); #845=STYLED_ITEM('',(#598495),#2185); #846=STYLED_ITEM('',(#598495),#2186); #847=STYLED_ITEM('',(#598495),#2187); #848=STYLED_ITEM('',(#598495),#2188); #849=STYLED_ITEM('',(#598496),#2189); #850=STYLED_ITEM('',(#598494),#2190); #851=STYLED_ITEM('',(#598494),#2191); #852=STYLED_ITEM('',(#598494),#2192); #853=STYLED_ITEM('',(#598494),#2193); #854=STYLED_ITEM('',(#598494),#2194); #855=STYLED_ITEM('',(#598494),#2195); #856=STYLED_ITEM('',(#598494),#2196); #857=STYLED_ITEM('',(#598494),#2197); #858=STYLED_ITEM('',(#598494),#2198); #859=STYLED_ITEM('',(#598494),#2199); #860=STYLED_ITEM('',(#598494),#2200); #861=STYLED_ITEM('',(#598494),#2201); #862=STYLED_ITEM('',(#598494),#2202); #863=STYLED_ITEM('',(#598494),#2203); #864=STYLED_ITEM('',(#598494),#2204); #865=STYLED_ITEM('',(#598494),#2205); #866=STYLED_ITEM('',(#598494),#2206); #867=STYLED_ITEM('',(#598494),#2207); #868=STYLED_ITEM('',(#598494),#2208); #869=STYLED_ITEM('',(#598494),#2209); #870=STYLED_ITEM('',(#598494),#2210); #871=STYLED_ITEM('',(#598494),#2211); #872=STYLED_ITEM('',(#598494),#2212); #873=STYLED_ITEM('',(#598494),#2213); #874=STYLED_ITEM('',(#598494),#2214); #875=STYLED_ITEM('',(#598494),#2215); #876=STYLED_ITEM('',(#598494),#2216); #877=STYLED_ITEM('',(#598494),#2217); #878=STYLED_ITEM('',(#598494),#2218); #879=STYLED_ITEM('',(#598494),#2219); #880=STYLED_ITEM('',(#598494),#2220); #881=STYLED_ITEM('',(#598494),#2221); #882=STYLED_ITEM('',(#598494),#2222); #883=STYLED_ITEM('',(#598494),#2223); #884=STYLED_ITEM('',(#598494),#2224); #885=STYLED_ITEM('',(#598494),#2225); #886=STYLED_ITEM('',(#598494),#2226); #887=STYLED_ITEM('',(#598494),#2227); #888=STYLED_ITEM('',(#598494),#2228); #889=STYLED_ITEM('',(#598494),#2229); #890=STYLED_ITEM('',(#598494),#2230); #891=STYLED_ITEM('',(#598494),#2231); #892=STYLED_ITEM('',(#598494),#2232); #893=STYLED_ITEM('',(#598494),#2233); #894=STYLED_ITEM('',(#598494),#2234); #895=STYLED_ITEM('',(#598494),#2235); #896=STYLED_ITEM('',(#598494),#2236); #897=STYLED_ITEM('',(#598494),#2237); #898=STYLED_ITEM('',(#598494),#2238); #899=STYLED_ITEM('',(#598494),#2239); #900=STYLED_ITEM('',(#598494),#2240); #901=STYLED_ITEM('',(#598494),#2241); #902=STYLED_ITEM('',(#598494),#2242); #903=STYLED_ITEM('',(#598494),#2243); #904=STYLED_ITEM('',(#598494),#2244); #905=STYLED_ITEM('',(#598494),#2245); #906=STYLED_ITEM('',(#598494),#2246); #907=STYLED_ITEM('',(#598494),#2247); #908=STYLED_ITEM('',(#598494),#2248); #909=STYLED_ITEM('',(#598494),#2249); #910=STYLED_ITEM('',(#598494),#2250); #911=STYLED_ITEM('',(#598494),#2251); #912=STYLED_ITEM('',(#598494),#2252); #913=STYLED_ITEM('',(#598494),#2253); #914=STYLED_ITEM('',(#598494),#2254); #915=STYLED_ITEM('',(#598494),#2255); #916=STYLED_ITEM('',(#598494),#2256); #917=STYLED_ITEM('',(#598494),#2257); #918=STYLED_ITEM('',(#598494),#2258); #919=STYLED_ITEM('',(#598494),#2259); #920=STYLED_ITEM('',(#598494),#2260); #921=STYLED_ITEM('',(#598494),#2261); #922=STYLED_ITEM('',(#598494),#2262); #923=STYLED_ITEM('',(#598494),#2263); #924=STYLED_ITEM('',(#598494),#2264); #925=STYLED_ITEM('',(#598494),#2265); #926=STYLED_ITEM('',(#598494),#2266); #927=STYLED_ITEM('',(#598494),#2267); #928=STYLED_ITEM('',(#598494),#2268); #929=STYLED_ITEM('',(#598494),#2269); #930=STYLED_ITEM('',(#598494),#2270); #931=STYLED_ITEM('',(#598494),#2271); #932=STYLED_ITEM('',(#598494),#2272); #933=STYLED_ITEM('',(#598494),#2273); #934=STYLED_ITEM('',(#598494),#2274); #935=STYLED_ITEM('',(#598494),#2275); #936=STYLED_ITEM('',(#598494),#2276); #937=STYLED_ITEM('',(#598494),#2277); #938=STYLED_ITEM('',(#598494),#2278); #939=STYLED_ITEM('',(#598494),#2279); #940=STYLED_ITEM('',(#598494),#2280); #941=STYLED_ITEM('',(#598494),#2281); #942=STYLED_ITEM('',(#598494),#2282); #943=STYLED_ITEM('',(#598494),#2283); #944=STYLED_ITEM('',(#598494),#2284); #945=STYLED_ITEM('',(#598494),#2285); #946=STYLED_ITEM('',(#598494),#2286); #947=STYLED_ITEM('',(#598494),#2287); #948=STYLED_ITEM('',(#598494),#2288); #949=STYLED_ITEM('',(#598494),#2289); #950=STYLED_ITEM('',(#598494),#2290); #951=STYLED_ITEM('',(#598494),#2291); #952=STYLED_ITEM('',(#598494),#2292); #953=STYLED_ITEM('',(#598494),#2293); #954=STYLED_ITEM('',(#598494),#2294); #955=STYLED_ITEM('',(#598494),#2295); #956=STYLED_ITEM('',(#598494),#2296); #957=STYLED_ITEM('',(#598494),#2297); #958=STYLED_ITEM('',(#598494),#2298); #959=STYLED_ITEM('',(#598494),#2299); #960=STYLED_ITEM('',(#598494),#2300); #961=STYLED_ITEM('',(#598494),#2301); #962=STYLED_ITEM('',(#598494),#2302); #963=STYLED_ITEM('',(#598494),#2303); #964=STYLED_ITEM('',(#598494),#2304); #965=STYLED_ITEM('',(#598494),#2305); #966=STYLED_ITEM('',(#598494),#2306); #967=STYLED_ITEM('',(#598494),#2307); #968=STYLED_ITEM('',(#598494),#2308); #969=STYLED_ITEM('',(#598494),#2309); #970=STYLED_ITEM('',(#598494),#2310); #971=STYLED_ITEM('',(#598494),#2311); #972=STYLED_ITEM('',(#598494),#2312); #973=STYLED_ITEM('',(#598494),#2313); #974=STYLED_ITEM('',(#598494),#2314); #975=STYLED_ITEM('',(#598494),#2315); #976=STYLED_ITEM('',(#598494),#2316); #977=STYLED_ITEM('',(#598494),#2317); #978=STYLED_ITEM('',(#598494),#2318); #979=STYLED_ITEM('',(#598494),#2319); #980=STYLED_ITEM('',(#598494),#2320); #981=STYLED_ITEM('',(#598494),#2321); #982=STYLED_ITEM('',(#598494),#2322); #983=STYLED_ITEM('',(#598494),#2323); #984=STYLED_ITEM('',(#598494),#2324); #985=STYLED_ITEM('',(#598494),#2325); #986=STYLED_ITEM('',(#598494),#2326); #987=STYLED_ITEM('',(#598494),#2327); #988=STYLED_ITEM('',(#598494),#2328); #989=STYLED_ITEM('',(#598494),#2329); #990=STYLED_ITEM('',(#598494),#2330); #991=STYLED_ITEM('',(#598494),#2331); #992=STYLED_ITEM('',(#598494),#2332); #993=STYLED_ITEM('',(#598494),#2333); #994=STYLED_ITEM('',(#598494),#2334); #995=STYLED_ITEM('',(#598494),#2335); #996=STYLED_ITEM('',(#598494),#2336); #997=STYLED_ITEM('',(#598494),#2337); #998=STYLED_ITEM('',(#598494),#2338); #999=STYLED_ITEM('',(#598494),#2339); #1000=STYLED_ITEM('',(#598494),#2340); #1001=STYLED_ITEM('',(#598494),#2341); #1002=STYLED_ITEM('',(#598494),#2342); #1003=STYLED_ITEM('',(#598494),#2343); #1004=STYLED_ITEM('',(#598494),#2344); #1005=STYLED_ITEM('',(#598494),#2345); #1006=STYLED_ITEM('',(#598494),#2346); #1007=STYLED_ITEM('',(#598494),#2347); #1008=STYLED_ITEM('',(#598494),#2348); #1009=STYLED_ITEM('',(#598494),#2349); #1010=STYLED_ITEM('',(#598494),#2350); #1011=STYLED_ITEM('',(#598494),#2351); #1012=STYLED_ITEM('',(#598494),#2352); #1013=STYLED_ITEM('',(#598494),#2353); #1014=STYLED_ITEM('',(#598494),#2354); #1015=STYLED_ITEM('',(#598494),#2355); #1016=STYLED_ITEM('',(#598494),#2356); #1017=STYLED_ITEM('',(#598494),#2357); #1018=STYLED_ITEM('',(#598494),#2358); #1019=STYLED_ITEM('',(#598494),#2359); #1020=STYLED_ITEM('',(#598494),#2360); #1021=STYLED_ITEM('',(#598494),#2361); #1022=STYLED_ITEM('',(#598494),#2362); #1023=STYLED_ITEM('',(#598494),#2363); #1024=STYLED_ITEM('',(#598494),#2364); #1025=STYLED_ITEM('',(#598494),#2365); #1026=STYLED_ITEM('',(#598494),#2366); #1027=STYLED_ITEM('',(#598494),#2367); #1028=STYLED_ITEM('',(#598494),#2368); #1029=STYLED_ITEM('',(#598494),#2369); #1030=STYLED_ITEM('',(#598494),#2370); #1031=STYLED_ITEM('',(#598494),#2371); #1032=STYLED_ITEM('',(#598494),#2372); #1033=STYLED_ITEM('',(#598494),#2373); #1034=STYLED_ITEM('',(#598494),#2374); #1035=STYLED_ITEM('',(#598494),#2375); #1036=STYLED_ITEM('',(#598494),#2376); #1037=STYLED_ITEM('',(#598494),#2377); #1038=STYLED_ITEM('',(#598494),#2378); #1039=STYLED_ITEM('',(#598494),#2379); #1040=STYLED_ITEM('',(#598494),#2380); #1041=STYLED_ITEM('',(#598494),#2381); #1042=STYLED_ITEM('',(#598494),#2382); #1043=STYLED_ITEM('',(#598494),#2383); #1044=STYLED_ITEM('',(#598494),#2384); #1045=STYLED_ITEM('',(#598494),#2385); #1046=STYLED_ITEM('',(#598494),#2386); #1047=STYLED_ITEM('',(#598494),#2387); #1048=STYLED_ITEM('',(#598494),#2388); #1049=STYLED_ITEM('',(#598494),#2389); #1050=STYLED_ITEM('',(#598494),#2390); #1051=STYLED_ITEM('',(#598494),#2391); #1052=STYLED_ITEM('',(#598494),#2392); #1053=STYLED_ITEM('',(#598494),#2393); #1054=STYLED_ITEM('',(#598494),#2394); #1055=STYLED_ITEM('',(#598494),#2395); #1056=STYLED_ITEM('',(#598494),#2396); #1057=STYLED_ITEM('',(#598494),#2397); #1058=STYLED_ITEM('',(#598494),#2398); #1059=STYLED_ITEM('',(#598494),#2399); #1060=STYLED_ITEM('',(#598494),#2400); #1061=STYLED_ITEM('',(#598494),#2401); #1062=STYLED_ITEM('',(#598494),#2402); #1063=STYLED_ITEM('',(#598494),#2403); #1064=STYLED_ITEM('',(#598494),#2404); #1065=STYLED_ITEM('',(#598494),#2405); #1066=STYLED_ITEM('',(#598494),#2406); #1067=STYLED_ITEM('',(#598494),#2407); #1068=STYLED_ITEM('',(#598494),#2408); #1069=STYLED_ITEM('',(#598494),#2409); #1070=STYLED_ITEM('',(#598494),#2410); #1071=STYLED_ITEM('',(#598494),#2411); #1072=STYLED_ITEM('',(#598494),#2412); #1073=STYLED_ITEM('',(#598494),#2413); #1074=STYLED_ITEM('',(#598494),#2414); #1075=STYLED_ITEM('',(#598494),#2415); #1076=STYLED_ITEM('',(#598494),#2416); #1077=STYLED_ITEM('',(#598494),#2417); #1078=STYLED_ITEM('',(#598494),#2418); #1079=STYLED_ITEM('',(#598494),#2419); #1080=STYLED_ITEM('',(#598494),#2420); #1081=STYLED_ITEM('',(#598494),#2421); #1082=STYLED_ITEM('',(#598494),#2422); #1083=STYLED_ITEM('',(#598494),#2423); #1084=STYLED_ITEM('',(#598494),#2424); #1085=STYLED_ITEM('',(#598494),#2425); #1086=STYLED_ITEM('',(#598494),#2426); #1087=STYLED_ITEM('',(#598494),#2427); #1088=STYLED_ITEM('',(#598494),#2428); #1089=STYLED_ITEM('',(#598494),#2429); #1090=STYLED_ITEM('',(#598494),#2430); #1091=STYLED_ITEM('',(#598494),#2431); #1092=STYLED_ITEM('',(#598494),#2432); #1093=STYLED_ITEM('',(#598494),#2433); #1094=STYLED_ITEM('',(#598494),#2434); #1095=STYLED_ITEM('',(#598494),#2435); #1096=STYLED_ITEM('',(#598494),#2436); #1097=STYLED_ITEM('',(#598494),#2437); #1098=STYLED_ITEM('',(#598494),#2438); #1099=STYLED_ITEM('',(#598494),#2439); #1100=STYLED_ITEM('',(#598494),#2440); #1101=STYLED_ITEM('',(#598494),#2441); #1102=STYLED_ITEM('',(#598494),#2442); #1103=STYLED_ITEM('',(#598494),#2443); #1104=STYLED_ITEM('',(#598494),#2444); #1105=STYLED_ITEM('',(#598494),#2445); #1106=STYLED_ITEM('',(#598494),#2446); #1107=STYLED_ITEM('',(#598494),#2447); #1108=STYLED_ITEM('',(#598494),#2448); #1109=STYLED_ITEM('',(#598494),#2449); #1110=STYLED_ITEM('',(#598494),#2450); #1111=STYLED_ITEM('',(#598494),#2451); #1112=STYLED_ITEM('',(#598494),#2452); #1113=STYLED_ITEM('',(#598494),#2453); #1114=STYLED_ITEM('',(#598494),#2454); #1115=STYLED_ITEM('',(#598494),#2455); #1116=STYLED_ITEM('',(#598494),#2456); #1117=STYLED_ITEM('',(#598494),#2457); #1118=STYLED_ITEM('',(#598494),#2458); #1119=STYLED_ITEM('',(#598494),#2459); #1120=STYLED_ITEM('',(#598494),#2460); #1121=STYLED_ITEM('',(#598494),#2461); #1122=STYLED_ITEM('',(#598494),#2462); #1123=STYLED_ITEM('',(#598494),#2463); #1124=STYLED_ITEM('',(#598494),#2464); #1125=STYLED_ITEM('',(#598494),#2465); #1126=STYLED_ITEM('',(#598494),#2466); #1127=STYLED_ITEM('',(#598494),#2467); #1128=STYLED_ITEM('',(#598494),#2468); #1129=STYLED_ITEM('',(#598494),#2469); #1130=STYLED_ITEM('',(#598494),#2470); #1131=STYLED_ITEM('',(#598494),#2471); #1132=STYLED_ITEM('',(#598494),#2472); #1133=STYLED_ITEM('',(#598494),#2473); #1134=STYLED_ITEM('',(#598494),#2474); #1135=STYLED_ITEM('',(#598494),#2475); #1136=STYLED_ITEM('',(#598494),#2476); #1137=STYLED_ITEM('',(#598494),#2477); #1138=STYLED_ITEM('',(#598494),#2478); #1139=STYLED_ITEM('',(#598494),#2479); #1140=STYLED_ITEM('',(#598494),#2480); #1141=STYLED_ITEM('',(#598494),#2481); #1142=STYLED_ITEM('',(#598494),#2482); #1143=STYLED_ITEM('',(#598494),#2483); #1144=STYLED_ITEM('',(#598494),#2484); #1145=STYLED_ITEM('',(#598494),#2485); #1146=STYLED_ITEM('',(#598494),#2486); #1147=STYLED_ITEM('',(#598494),#2487); #1148=STYLED_ITEM('',(#598494),#2488); #1149=STYLED_ITEM('',(#598494),#2489); #1150=STYLED_ITEM('',(#598494),#2490); #1151=STYLED_ITEM('',(#598494),#2491); #1152=STYLED_ITEM('',(#598494),#2492); #1153=STYLED_ITEM('',(#598494),#2493); #1154=STYLED_ITEM('',(#598494),#2494); #1155=STYLED_ITEM('',(#598494),#2495); #1156=STYLED_ITEM('',(#598494),#2496); #1157=STYLED_ITEM('',(#598494),#2497); #1158=STYLED_ITEM('',(#598494),#2498); #1159=STYLED_ITEM('',(#598494),#2499); #1160=STYLED_ITEM('',(#598494),#2500); #1161=STYLED_ITEM('',(#598494),#2501); #1162=STYLED_ITEM('',(#598494),#2502); #1163=STYLED_ITEM('',(#598494),#2503); #1164=STYLED_ITEM('',(#598494),#2504); #1165=STYLED_ITEM('',(#598494),#2505); #1166=STYLED_ITEM('',(#598494),#2506); #1167=STYLED_ITEM('',(#598494),#2507); #1168=STYLED_ITEM('',(#598494),#2508); #1169=STYLED_ITEM('',(#598494),#2509); #1170=STYLED_ITEM('',(#598494),#2510); #1171=STYLED_ITEM('',(#598494),#2511); #1172=STYLED_ITEM('',(#598494),#2512); #1173=STYLED_ITEM('',(#598494),#2513); #1174=STYLED_ITEM('',(#598494),#2514); #1175=STYLED_ITEM('',(#598494),#2515); #1176=STYLED_ITEM('',(#598494),#2516); #1177=STYLED_ITEM('',(#598494),#2517); #1178=STYLED_ITEM('',(#598494),#2518); #1179=STYLED_ITEM('',(#598494),#2519); #1180=STYLED_ITEM('',(#598494),#2520); #1181=STYLED_ITEM('',(#598494),#2521); #1182=STYLED_ITEM('',(#598494),#2522); #1183=STYLED_ITEM('',(#598494),#2523); #1184=STYLED_ITEM('',(#598494),#2524); #1185=STYLED_ITEM('',(#598494),#2525); #1186=STYLED_ITEM('',(#598494),#2526); #1187=STYLED_ITEM('',(#598494),#2527); #1188=STYLED_ITEM('',(#598494),#2528); #1189=STYLED_ITEM('',(#598494),#2529); #1190=STYLED_ITEM('',(#598494),#2530); #1191=STYLED_ITEM('',(#598494),#2531); #1192=STYLED_ITEM('',(#598494),#2532); #1193=STYLED_ITEM('',(#598494),#2533); #1194=STYLED_ITEM('',(#598494),#2534); #1195=STYLED_ITEM('',(#598494),#2535); #1196=STYLED_ITEM('',(#598494),#2536); #1197=STYLED_ITEM('',(#598494),#2537); #1198=STYLED_ITEM('',(#598494),#2538); #1199=STYLED_ITEM('',(#598494),#2539); #1200=STYLED_ITEM('',(#598494),#2540); #1201=STYLED_ITEM('',(#598494),#2541); #1202=STYLED_ITEM('',(#598494),#2542); #1203=STYLED_ITEM('',(#598494),#2543); #1204=STYLED_ITEM('',(#598494),#2544); #1205=STYLED_ITEM('',(#598494),#2545); #1206=STYLED_ITEM('',(#598494),#2546); #1207=STYLED_ITEM('',(#598494),#2547); #1208=STYLED_ITEM('',(#598494),#2548); #1209=STYLED_ITEM('',(#598494),#2549); #1210=STYLED_ITEM('',(#598494),#2550); #1211=STYLED_ITEM('',(#598494),#2551); #1212=STYLED_ITEM('',(#598494),#2552); #1213=STYLED_ITEM('',(#598494),#2553); #1214=STYLED_ITEM('',(#598494),#2554); #1215=STYLED_ITEM('',(#598494),#2555); #1216=STYLED_ITEM('',(#598494),#2556); #1217=STYLED_ITEM('',(#598494),#2557); #1218=STYLED_ITEM('',(#598494),#2558); #1219=STYLED_ITEM('',(#598494),#2559); #1220=STYLED_ITEM('',(#598494),#2560); #1221=STYLED_ITEM('',(#598494),#2561); #1222=STYLED_ITEM('',(#598494),#2562); #1223=STYLED_ITEM('',(#598494),#2563); #1224=STYLED_ITEM('',(#598494),#2564); #1225=STYLED_ITEM('',(#598494),#2565); #1226=STYLED_ITEM('',(#598494),#2566); #1227=STYLED_ITEM('',(#598494),#2567); #1228=STYLED_ITEM('',(#598494),#2568); #1229=STYLED_ITEM('',(#598494),#2569); #1230=STYLED_ITEM('',(#598494),#2570); #1231=STYLED_ITEM('',(#598494),#2571); #1232=STYLED_ITEM('',(#598494),#2572); #1233=STYLED_ITEM('',(#598494),#2573); #1234=STYLED_ITEM('',(#598494),#2574); #1235=STYLED_ITEM('',(#598494),#2575); #1236=STYLED_ITEM('',(#598494),#2576); #1237=STYLED_ITEM('',(#598494),#2577); #1238=STYLED_ITEM('',(#598494),#2578); #1239=STYLED_ITEM('',(#598494),#2579); #1240=STYLED_ITEM('',(#598494),#2580); #1241=STYLED_ITEM('',(#598494),#2581); #1242=STYLED_ITEM('',(#598494),#2582); #1243=STYLED_ITEM('',(#598494),#2583); #1244=STYLED_ITEM('',(#598494),#2584); #1245=STYLED_ITEM('',(#598494),#2585); #1246=STYLED_ITEM('',(#598494),#2586); #1247=STYLED_ITEM('',(#598494),#2587); #1248=STYLED_ITEM('',(#598494),#2588); #1249=STYLED_ITEM('',(#598494),#2589); #1250=STYLED_ITEM('',(#598494),#2590); #1251=STYLED_ITEM('',(#598494),#2591); #1252=STYLED_ITEM('',(#598494),#2592); #1253=STYLED_ITEM('',(#598494),#2593); #1254=STYLED_ITEM('',(#598494),#2594); #1255=STYLED_ITEM('',(#598494),#2595); #1256=STYLED_ITEM('',(#598494),#2596); #1257=STYLED_ITEM('',(#598494),#2597); #1258=STYLED_ITEM('',(#598494),#2598); #1259=STYLED_ITEM('',(#598494),#2599); #1260=STYLED_ITEM('',(#598494),#2600); #1261=STYLED_ITEM('',(#598494),#2601); #1262=STYLED_ITEM('',(#598494),#2602); #1263=STYLED_ITEM('',(#598494),#2603); #1264=STYLED_ITEM('',(#598494),#2604); #1265=STYLED_ITEM('',(#598494),#2605); #1266=STYLED_ITEM('',(#598494),#2606); #1267=STYLED_ITEM('',(#598494),#2607); #1268=STYLED_ITEM('',(#598494),#2608); #1269=STYLED_ITEM('',(#598494),#2609); #1270=STYLED_ITEM('',(#598494),#2610); #1271=STYLED_ITEM('',(#598494),#2611); #1272=STYLED_ITEM('',(#598494),#2612); #1273=STYLED_ITEM('',(#598494),#2613); #1274=STYLED_ITEM('',(#598494),#2614); #1275=STYLED_ITEM('',(#598494),#2615); #1276=STYLED_ITEM('',(#598494),#2616); #1277=STYLED_ITEM('',(#598494),#2617); #1278=STYLED_ITEM('',(#598494),#2618); #1279=STYLED_ITEM('',(#598494),#2619); #1280=STYLED_ITEM('',(#598494),#2620); #1281=STYLED_ITEM('',(#598494),#2621); #1282=STYLED_ITEM('',(#598494),#2622); #1283=STYLED_ITEM('',(#598494),#2623); #1284=STYLED_ITEM('',(#598494),#2624); #1285=STYLED_ITEM('',(#598494),#2625); #1286=STYLED_ITEM('',(#598494),#2626); #1287=STYLED_ITEM('',(#598494),#2627); #1288=STYLED_ITEM('',(#598494),#2628); #1289=STYLED_ITEM('',(#598494),#2629); #1290=STYLED_ITEM('',(#598494),#2630); #1291=STYLED_ITEM('',(#598494),#2631); #1292=STYLED_ITEM('',(#598494),#2632); #1293=STYLED_ITEM('',(#598494),#2633); #1294=STYLED_ITEM('',(#598494),#2634); #1295=STYLED_ITEM('',(#598494),#2635); #1296=STYLED_ITEM('',(#598494),#2636); #1297=STYLED_ITEM('',(#598494),#2637); #1298=STYLED_ITEM('',(#598494),#2638); #1299=STYLED_ITEM('',(#598494),#2639); #1300=STYLED_ITEM('',(#598494),#2640); #1301=STYLED_ITEM('',(#598494),#2641); #1302=STYLED_ITEM('',(#598494),#2642); #1303=STYLED_ITEM('',(#598494),#2643); #1304=STYLED_ITEM('',(#598494),#2644); #1305=STYLED_ITEM('',(#598494),#2645); #1306=STYLED_ITEM('',(#598494),#2646); #1307=STYLED_ITEM('',(#598494),#2647); #1308=STYLED_ITEM('',(#598494),#2648); #1309=STYLED_ITEM('',(#598494),#2649); #1310=STYLED_ITEM('',(#598494),#2650); #1311=STYLED_ITEM('',(#598494),#2651); #1312=STYLED_ITEM('',(#598494),#2652); #1313=STYLED_ITEM('',(#598494),#2653); #1314=STYLED_ITEM('',(#598494),#2654); #1315=STYLED_ITEM('',(#598494),#2655); #1316=STYLED_ITEM('',(#598494),#2656); #1317=STYLED_ITEM('',(#598494),#2657); #1318=STYLED_ITEM('',(#598494),#2658); #1319=STYLED_ITEM('',(#598494),#2659); #1320=STYLED_ITEM('',(#598494),#2660); #1321=STYLED_ITEM('',(#598494),#2661); #1322=STYLED_ITEM('',(#598494),#2662); #1323=STYLED_ITEM('',(#598494),#2663); #1324=STYLED_ITEM('',(#598494),#2664); #1325=STYLED_ITEM('',(#598494),#2665); #1326=STYLED_ITEM('',(#598494),#2666); #1327=STYLED_ITEM('',(#598494),#2667); #1328=STYLED_ITEM('',(#598494),#2668); #1329=STYLED_ITEM('',(#598494),#2669); #1330=STYLED_ITEM('',(#598494),#2670); #1331=STYLED_ITEM('',(#598494),#2671); #1332=STYLED_ITEM('',(#598494),#2672); #1333=STYLED_ITEM('',(#598494),#2673); #1334=STYLED_ITEM('',(#598494),#2674); #1335=STYLED_ITEM('',(#598494),#2675); #1336=STYLED_ITEM('',(#598494),#2676); #1337=STYLED_ITEM('',(#598494),#2677); #1338=STYLED_ITEM('',(#598494),#2678); #1339=STYLED_ITEM('',(#598494),#2679); #1340=STYLED_ITEM('',(#598494),#2680); #1341=STYLED_ITEM('',(#598494),#2681); #1342=STYLED_ITEM('',(#598494),#2682); #1343=STYLED_ITEM('',(#598494),#2683); #1344=STYLED_ITEM('',(#598494),#2684); #1345=STYLED_ITEM('',(#598494),#2685); #1346=STYLED_ITEM('',(#598494),#2686); #1347=STYLED_ITEM('',(#598494),#2687); #1348=STYLED_ITEM('',(#598494),#2688); #1349=STYLED_ITEM('',(#598494),#2689); #1350=STYLED_ITEM('',(#598494),#2690); #1351=STYLED_ITEM('',(#598494),#2691); #1352=STYLED_ITEM('',(#598494),#2692); #1353=STYLED_ITEM('',(#598494),#2693); #1354=STYLED_ITEM('',(#598494),#2694); #1355=STYLED_ITEM('',(#598494),#2695); #1356=STYLED_ITEM('',(#598494),#2696); #1357=STYLED_ITEM('',(#598494),#2697); #1358=STYLED_ITEM('',(#598494),#2698); #1359=STYLED_ITEM('',(#598494),#2699); #1360=STYLED_ITEM('',(#598494),#2700); #1361=STYLED_ITEM('',(#598494),#2701); #1362=STYLED_ITEM('',(#598494),#2702); #1363=STYLED_ITEM('',(#598494),#2703); #1364=STYLED_ITEM('',(#598494),#2704); #1365=STYLED_ITEM('',(#598494),#2705); #1366=STYLED_ITEM('',(#598494),#2706); #1367=STYLED_ITEM('',(#598494),#2707); #1368=STYLED_ITEM('',(#598494),#2708); #1369=STYLED_ITEM('',(#598494),#2709); #1370=STYLED_ITEM('',(#598494),#2710); #1371=STYLED_ITEM('',(#598494),#2711); #1372=STYLED_ITEM('',(#598494),#2712); #1373=STYLED_ITEM('',(#598494),#2713); #1374=STYLED_ITEM('',(#598494),#2714); #1375=STYLED_ITEM('',(#598494),#2715); #1376=STYLED_ITEM('',(#598494),#2716); #1377=STYLED_ITEM('',(#598494),#2717); #1378=STYLED_ITEM('',(#598494),#2718); #1379=STYLED_ITEM('',(#598494),#2719); #1380=STYLED_ITEM('',(#598494),#2720); #1381=STYLED_ITEM('',(#598494),#2721); #1382=STYLED_ITEM('',(#598494),#2722); #1383=STYLED_ITEM('',(#598494),#2723); #1384=STYLED_ITEM('',(#598494),#2724); #1385=STYLED_ITEM('',(#598494),#2725); #1386=STYLED_ITEM('',(#598494),#2726); #1387=STYLED_ITEM('',(#598494),#2727); #1388=STYLED_ITEM('',(#598494),#2728); #1389=STYLED_ITEM('',(#598494),#2729); #1390=STYLED_ITEM('',(#598494),#2730); #1391=STYLED_ITEM('',(#598494),#2731); #1392=STYLED_ITEM('',(#598494),#2732); #1393=STYLED_ITEM('',(#598494),#2733); #1394=STYLED_ITEM('',(#598494),#2734); #1395=STYLED_ITEM('',(#598494),#2735); #1396=STYLED_ITEM('',(#598494),#2736); #1397=STYLED_ITEM('',(#598494),#2737); #1398=STYLED_ITEM('',(#598494),#2738); #1399=STYLED_ITEM('',(#598494),#2739); #1400=STYLED_ITEM('',(#598494),#2740); #1401=STYLED_ITEM('',(#598494),#2741); #1402=STYLED_ITEM('',(#598494),#2742); #1403=STYLED_ITEM('',(#598494),#2743); #1404=STYLED_ITEM('',(#598494),#2744); #1405=STYLED_ITEM('',(#598494),#2745); #1406=STYLED_ITEM('',(#598494),#2746); #1407=STYLED_ITEM('',(#598494),#2747); #1408=STYLED_ITEM('',(#598494),#2748); #1409=STYLED_ITEM('',(#598494),#2749); #1410=STYLED_ITEM('',(#598494),#2750); #1411=STYLED_ITEM('',(#598494),#2751); #1412=STYLED_ITEM('',(#598494),#2752); #1413=STYLED_ITEM('',(#598494),#2753); #1414=STYLED_ITEM('',(#598494),#2754); #1415=STYLED_ITEM('',(#598494),#2755); #1416=STYLED_ITEM('',(#598494),#2756); #1417=STYLED_ITEM('',(#598494),#2757); #1418=STYLED_ITEM('',(#598494),#2758); #1419=STYLED_ITEM('',(#598494),#2759); #1420=STYLED_ITEM('',(#598494),#2760); #1421=STYLED_ITEM('',(#598494),#2761); #1422=STYLED_ITEM('',(#598494),#2762); #1423=STYLED_ITEM('',(#598494),#2763); #1424=STYLED_ITEM('',(#598494),#2764); #1425=STYLED_ITEM('',(#598494),#2765); #1426=STYLED_ITEM('',(#598494),#2766); #1427=STYLED_ITEM('',(#598494),#2767); #1428=STYLED_ITEM('',(#598494),#2768); #1429=STYLED_ITEM('',(#598494),#2769); #1430=STYLED_ITEM('',(#598494),#2770); #1431=STYLED_ITEM('',(#598494),#2771); #1432=STYLED_ITEM('',(#598494),#2772); #1433=STYLED_ITEM('',(#598494),#2773); #1434=STYLED_ITEM('',(#598494),#2774); #1435=STYLED_ITEM('',(#598494),#2775); #1436=STYLED_ITEM('',(#598494),#2776); #1437=STYLED_ITEM('',(#598494),#2777); #1438=STYLED_ITEM('',(#598494),#2778); #1439=STYLED_ITEM('',(#598494),#2779); #1440=STYLED_ITEM('',(#598494),#2780); #1441=STYLED_ITEM('',(#598494),#2781); #1442=STYLED_ITEM('',(#598494),#2782); #1443=STYLED_ITEM('',(#598494),#2783); #1444=STYLED_ITEM('',(#598494),#2784); #1445=STYLED_ITEM('',(#598494),#2785); #1446=STYLED_ITEM('',(#598494),#2786); #1447=STYLED_ITEM('',(#598494),#2787); #1448=STYLED_ITEM('',(#598494),#2788); #1449=STYLED_ITEM('',(#598494),#2789); #1450=STYLED_ITEM('',(#598494),#2790); #1451=STYLED_ITEM('',(#598494),#2791); #1452=STYLED_ITEM('',(#598494),#2792); #1453=STYLED_ITEM('',(#598494),#2793); #1454=STYLED_ITEM('',(#598494),#2794); #1455=STYLED_ITEM('',(#598494),#2795); #1456=STYLED_ITEM('',(#598494),#2796); #1457=STYLED_ITEM('',(#598494),#2797); #1458=STYLED_ITEM('',(#598494),#2798); #1459=STYLED_ITEM('',(#598494),#2799); #1460=STYLED_ITEM('',(#598494),#2800); #1461=STYLED_ITEM('',(#598494),#2801); #1462=STYLED_ITEM('',(#598494),#2802); #1463=STYLED_ITEM('',(#598494),#2803); #1464=STYLED_ITEM('',(#598494),#2804); #1465=STYLED_ITEM('',(#598494),#2805); #1466=STYLED_ITEM('',(#598494),#2806); #1467=STYLED_ITEM('',(#598494),#2807); #1468=STYLED_ITEM('',(#598494),#2808); #1469=STYLED_ITEM('',(#598494),#2809); #1470=STYLED_ITEM('',(#598494),#2810); #1471=STYLED_ITEM('',(#598494),#2811); #1472=STYLED_ITEM('',(#598494),#2812); #1473=STYLED_ITEM('',(#598494),#2813); #1474=STYLED_ITEM('',(#598494),#2814); #1475=STYLED_ITEM('',(#598494),#2815); #1476=STYLED_ITEM('',(#598494),#2816); #1477=STYLED_ITEM('',(#598494),#2817); #1478=STYLED_ITEM('',(#598494),#2818); #1479=STYLED_ITEM('',(#598494),#2819); #1480=STYLED_ITEM('',(#598494),#2820); #1481=STYLED_ITEM('',(#598494),#2821); #1482=STYLED_ITEM('',(#598494),#2822); #1483=STYLED_ITEM('',(#598494),#2823); #1484=STYLED_ITEM('',(#598494),#2824); #1485=STYLED_ITEM('',(#598494),#2825); #1486=STYLED_ITEM('',(#598494),#2826); #1487=STYLED_ITEM('',(#598494),#2827); #1488=STYLED_ITEM('',(#598494),#2828); #1489=STYLED_ITEM('',(#598494),#2829); #1490=STYLED_ITEM('',(#598494),#2830); #1491=STYLED_ITEM('',(#598494),#2831); #1492=STYLED_ITEM('',(#598494),#2832); #1493=STYLED_ITEM('',(#598494),#2833); #1494=STYLED_ITEM('',(#598494),#2834); #1495=STYLED_ITEM('',(#598494),#2835); #1496=STYLED_ITEM('',(#598494),#2836); #1497=STYLED_ITEM('',(#598494),#2837); #1498=STYLED_ITEM('',(#598494),#2838); #1499=STYLED_ITEM('',(#598494),#2839); #1500=STYLED_ITEM('',(#598494),#2840); #1501=STYLED_ITEM('',(#598494),#2841); #1502=STYLED_ITEM('',(#598494),#2842); #1503=STYLED_ITEM('',(#598494),#2843); #1504=STYLED_ITEM('',(#598494),#2844); #1505=STYLED_ITEM('',(#598494),#2845); #1506=STYLED_ITEM('',(#598494),#2846); #1507=STYLED_ITEM('',(#598494),#2847); #1508=STYLED_ITEM('',(#598494),#2848); #1509=STYLED_ITEM('',(#598494),#2849); #1510=STYLED_ITEM('',(#598494),#2850); #1511=STYLED_ITEM('',(#598494),#2851); #1512=STYLED_ITEM('',(#598494),#2852); #1513=STYLED_ITEM('',(#598494),#2853); #1514=STYLED_ITEM('',(#598494),#2854); #1515=STYLED_ITEM('',(#598494),#2855); #1516=STYLED_ITEM('',(#598494),#2856); #1517=STYLED_ITEM('',(#598494),#2857); #1518=STYLED_ITEM('',(#598494),#2858); #1519=STYLED_ITEM('',(#598494),#2859); #1520=STYLED_ITEM('',(#598494),#2860); #1521=STYLED_ITEM('',(#598494),#2861); #1522=STYLED_ITEM('',(#598494),#2862); #1523=STYLED_ITEM('',(#598494),#2863); #1524=STYLED_ITEM('',(#598494),#2864); #1525=STYLED_ITEM('',(#598494),#2865); #1526=STYLED_ITEM('',(#598494),#2866); #1527=STYLED_ITEM('',(#598494),#2867); #1528=STYLED_ITEM('',(#598494),#2868); #1529=STYLED_ITEM('',(#598494),#2869); #1530=STYLED_ITEM('',(#598494),#2870); #1531=STYLED_ITEM('',(#598494),#2871); #1532=STYLED_ITEM('',(#598494),#2872); #1533=STYLED_ITEM('',(#598494),#2873); #1534=STYLED_ITEM('',(#598494),#2874); #1535=STYLED_ITEM('',(#598494),#2875); #1536=STYLED_ITEM('',(#598494),#2876); #1537=STYLED_ITEM('',(#598494),#2877); #1538=STYLED_ITEM('',(#598494),#2878); #1539=STYLED_ITEM('',(#598494),#2879); #1540=STYLED_ITEM('',(#598494),#2880); #1541=STYLED_ITEM('',(#598494),#2881); #1542=STYLED_ITEM('',(#598494),#2882); #1543=STYLED_ITEM('',(#598494),#2883); #1544=STYLED_ITEM('',(#598494),#2884); #1545=STYLED_ITEM('',(#598494),#2885); #1546=STYLED_ITEM('',(#598494),#2886); #1547=STYLED_ITEM('',(#598494),#2887); #1548=STYLED_ITEM('',(#598494),#2888); #1549=STYLED_ITEM('',(#598494),#2889); #1550=STYLED_ITEM('',(#598494),#2890); #1551=STYLED_ITEM('',(#598494),#2891); #1552=STYLED_ITEM('',(#598494),#2892); #1553=STYLED_ITEM('',(#598494),#2893); #1554=STYLED_ITEM('',(#598494),#2894); #1555=STYLED_ITEM('',(#598494),#2895); #1556=STYLED_ITEM('',(#598494),#2896); #1557=STYLED_ITEM('',(#598494),#2897); #1558=STYLED_ITEM('',(#598494),#2898); #1559=STYLED_ITEM('',(#598494),#2899); #1560=STYLED_ITEM('',(#598494),#2900); #1561=STYLED_ITEM('',(#598494),#2901); #1562=STYLED_ITEM('',(#598494),#2902); #1563=STYLED_ITEM('',(#598494),#2903); #1564=STYLED_ITEM('',(#598494),#2904); #1565=STYLED_ITEM('',(#598494),#2905); #1566=STYLED_ITEM('',(#598494),#2906); #1567=STYLED_ITEM('',(#598494),#2907); #1568=STYLED_ITEM('',(#598494),#2908); #1569=STYLED_ITEM('',(#598494),#2909); #1570=STYLED_ITEM('',(#598494),#2910); #1571=STYLED_ITEM('',(#598494),#2911); #1572=STYLED_ITEM('',(#598494),#2912); #1573=STYLED_ITEM('',(#598494),#2913); #1574=STYLED_ITEM('',(#598494),#2914); #1575=STYLED_ITEM('',(#598494),#2915); #1576=STYLED_ITEM('',(#598494),#2916); #1577=STYLED_ITEM('',(#598494),#2917); #1578=STYLED_ITEM('',(#598494),#2918); #1579=STYLED_ITEM('',(#598494),#2919); #1580=STYLED_ITEM('',(#598494),#2920); #1581=STYLED_ITEM('',(#598494),#2921); #1582=STYLED_ITEM('',(#598494),#2922); #1583=STYLED_ITEM('',(#598494),#2923); #1584=STYLED_ITEM('',(#598494),#2924); #1585=STYLED_ITEM('',(#598494),#2925); #1586=STYLED_ITEM('',(#598494),#2926); #1587=STYLED_ITEM('',(#598494),#2927); #1588=STYLED_ITEM('',(#598494),#2928); #1589=STYLED_ITEM('',(#598494),#2929); #1590=STYLED_ITEM('',(#598494),#2930); #1591=STYLED_ITEM('',(#598494),#2931); #1592=STYLED_ITEM('',(#598494),#2932); #1593=STYLED_ITEM('',(#598494),#2933); #1594=STYLED_ITEM('',(#598494),#2934); #1595=STYLED_ITEM('',(#598494),#2935); #1596=STYLED_ITEM('',(#598494),#2936); #1597=STYLED_ITEM('',(#598494),#2937); #1598=STYLED_ITEM('',(#598494),#2938); #1599=STYLED_ITEM('',(#598494),#2939); #1600=STYLED_ITEM('',(#598494),#2940); #1601=STYLED_ITEM('',(#598494),#2941); #1602=STYLED_ITEM('',(#598494),#2942); #1603=STYLED_ITEM('',(#598494),#2943); #1604=STYLED_ITEM('',(#598494),#2944); #1605=STYLED_ITEM('',(#598494),#2945); #1606=STYLED_ITEM('',(#598494),#2946); #1607=STYLED_ITEM('',(#598494),#2947); #1608=STYLED_ITEM('',(#598494),#2948); #1609=STYLED_ITEM('',(#598494),#2949); #1610=STYLED_ITEM('',(#598494),#2950); #1611=STYLED_ITEM('',(#598494),#2951); #1612=STYLED_ITEM('',(#598494),#2952); #1613=STYLED_ITEM('',(#598494),#2953); #1614=STYLED_ITEM('',(#598494),#2954); #1615=STYLED_ITEM('',(#598494),#2955); #1616=STYLED_ITEM('',(#598494),#2956); #1617=STYLED_ITEM('',(#598494),#2957); #1618=STYLED_ITEM('',(#598494),#2958); #1619=STYLED_ITEM('',(#598494),#2959); #1620=STYLED_ITEM('',(#598494),#2960); #1621=STYLED_ITEM('',(#598494),#2961); #1622=STYLED_ITEM('',(#598494),#2962); #1623=STYLED_ITEM('',(#598494),#2963); #1624=STYLED_ITEM('',(#598494),#2964); #1625=STYLED_ITEM('',(#598494),#2965); #1626=STYLED_ITEM('',(#598494),#2966); #1627=STYLED_ITEM('',(#598494),#2967); #1628=STYLED_ITEM('',(#598494),#2968); #1629=STYLED_ITEM('',(#598494),#2969); #1630=STYLED_ITEM('',(#598494),#2970); #1631=STYLED_ITEM('',(#598494),#2971); #1632=STYLED_ITEM('',(#598494),#2972); #1633=STYLED_ITEM('',(#598494),#2973); #1634=STYLED_ITEM('',(#598494),#2974); #1635=STYLED_ITEM('',(#598494),#2975); #1636=STYLED_ITEM('',(#598494),#2976); #1637=STYLED_ITEM('',(#598494),#2977); #1638=STYLED_ITEM('',(#598494),#2978); #1639=STYLED_ITEM('',(#598494),#2979); #1640=STYLED_ITEM('',(#598494),#2980); #1641=STYLED_ITEM('',(#598494),#2981); #1642=STYLED_ITEM('',(#598494),#2982); #1643=STYLED_ITEM('',(#598497),#2983); #1644=STYLED_ITEM('',(#598497),#2984); #1645=STYLED_ITEM('',(#598500),#346560); #1646=STYLED_ITEM('',(#598500),#346561); #1647=STYLED_ITEM('',(#598500),#346563); #1648=STYLED_ITEM('',(#598500),#346564); #1649=STYLED_ITEM('',(#598500),#346565); #1650=STYLED_ITEM('',(#598500),#346566); #1651=STYLED_ITEM('',(#598500),#346567); #1652=STYLED_ITEM('',(#598500),#346568); #1653=STYLED_ITEM('',(#598500),#346569); #1654=STYLED_ITEM('',(#598500),#346570); #1655=STYLED_ITEM('',(#598500),#346571); #1656=STYLED_ITEM('',(#598500),#346572); #1657=STYLED_ITEM('',(#598500),#346573); #1658=STYLED_ITEM('',(#598500),#346574); #1659=STYLED_ITEM('',(#598500),#346575); #1660=STYLED_ITEM('',(#598500),#346576); #1661=STYLED_ITEM('',(#598500),#346577); #1662=STYLED_ITEM('',(#598500),#346578); #1663=STYLED_ITEM('',(#598500),#346579); #1664=STYLED_ITEM('',(#598500),#346580); #1665=STYLED_ITEM('',(#598500),#346581); #1666=STYLED_ITEM('',(#598500),#346582); #1667=STYLED_ITEM('',(#598500),#346583); #1668=STYLED_ITEM('',(#598501),#346584); #1669=STYLED_ITEM('',(#598501),#346585); #1670=STYLED_ITEM('',(#598501),#346586); #1671=STYLED_ITEM('',(#598501),#346587); #1672=STYLED_ITEM('',(#598501),#346588); #1673=STYLED_ITEM('',(#598501),#346589); #1674=STYLED_ITEM('',(#598501),#346590); #1675=STYLED_ITEM('',(#598501),#346591); #1676=STYLED_ITEM('',(#598501),#346592); #1677=STYLED_ITEM('',(#598501),#346593); #1678=STYLED_ITEM('',(#598501),#346594); #1679=STYLED_ITEM('',(#598501),#346595); #1680=STYLED_ITEM('',(#598501),#346596); #1681=STYLED_ITEM('',(#598501),#346597); #1682=STYLED_ITEM('',(#598501),#346598); #1683=STYLED_ITEM('',(#598501),#346599); #1684=STYLED_ITEM('',(#598501),#346600); #1685=STYLED_ITEM('',(#598501),#346601); #1686=STYLED_ITEM('',(#598500),#346602); #1687=STYLED_ITEM('',(#598500),#346603); #1688=STYLED_ITEM('',(#598500),#346604); #1689=STYLED_ITEM('',(#598500),#346605); #1690=STYLED_ITEM('',(#598500),#346606); #1691=STYLED_ITEM('',(#598500),#346607); #1692=STYLED_ITEM('',(#598501),#346608); #1693=STYLED_ITEM('',(#598501),#346609); #1694=STYLED_ITEM('',(#598501),#346610); #1695=STYLED_ITEM('',(#598501),#346611); #1696=STYLED_ITEM('',(#598501),#346612); #1697=STYLED_ITEM('',(#598501),#346613); #1698=STYLED_ITEM('',(#598501),#346614); #1699=STYLED_ITEM('',(#598501),#346615); #1700=STYLED_ITEM('',(#598501),#346616); #1701=STYLED_ITEM('',(#598501),#346617); #1702=STYLED_ITEM('',(#598501),#346618); #1703=STYLED_ITEM('',(#598501),#346619); #1704=STYLED_ITEM('',(#598501),#346620); #1705=STYLED_ITEM('',(#598501),#346621); #1706=STYLED_ITEM('',(#598501),#346622); #1707=STYLED_ITEM('',(#598501),#346623); #1708=STYLED_ITEM('',(#598501),#346624); #1709=STYLED_ITEM('',(#598501),#346625); #1710=STYLED_ITEM('',(#598501),#346626); #1711=STYLED_ITEM('',(#598501),#346627); #1712=STYLED_ITEM('',(#598501),#346628); #1713=STYLED_ITEM('',(#598501),#346629); #1714=STYLED_ITEM('',(#598501),#346630); #1715=STYLED_ITEM('',(#598501),#346631); #1716=STYLED_ITEM('',(#598501),#346632); #1717=STYLED_ITEM('',(#598501),#346633); #1718=STYLED_ITEM('',(#598501),#346634); #1719=STYLED_ITEM('',(#598501),#346635); #1720=STYLED_ITEM('',(#598501),#346636); #1721=STYLED_ITEM('',(#598501),#346637); #1722=STYLED_ITEM('',(#598501),#346638); #1723=STYLED_ITEM('',(#598501),#346639); #1724=STYLED_ITEM('',(#598501),#346640); #1725=STYLED_ITEM('',(#598501),#346641); #1726=STYLED_ITEM('',(#598501),#346642); #1727=STYLED_ITEM('',(#598501),#346643); #1728=STYLED_ITEM('',(#598501),#346644); #1729=STYLED_ITEM('',(#598501),#346645); #1730=STYLED_ITEM('',(#598501),#346646); #1731=STYLED_ITEM('',(#598501),#346647); #1732=STYLED_ITEM('',(#598501),#346648); #1733=STYLED_ITEM('',(#598501),#346649); #1734=STYLED_ITEM('',(#598501),#346650); #1735=STYLED_ITEM('',(#598501),#346651); #1736=STYLED_ITEM('',(#598501),#346652); #1737=STYLED_ITEM('',(#598501),#346653); #1738=STYLED_ITEM('',(#598501),#346654); #1739=STYLED_ITEM('',(#598501),#346655); #1740=STYLED_ITEM('',(#598501),#346656); #1741=STYLED_ITEM('',(#598501),#346657); #1742=STYLED_ITEM('',(#598501),#346658); #1743=STYLED_ITEM('',(#598501),#346659); #1744=STYLED_ITEM('',(#598501),#346660); #1745=STYLED_ITEM('',(#598501),#346661); #1746=STYLED_ITEM('',(#598500),#346662); #1747=STYLED_ITEM('',(#598499),#2985); #1748=STYLED_ITEM('',(#598502),#2986); #1749=STYLED_ITEM('',(#598502),#2987); #1750=STYLED_ITEM('',(#598503),#2988); #1751=STYLED_ITEM('',(#598504),#2989); #1752=STYLED_ITEM('',(#598505),#2990); #1753=STYLED_ITEM('',(#598506),#2991); #1754=STYLED_ITEM('',(#598507),#2992); #1755=STYLED_ITEM('',(#598507),#2993); #1756=STYLED_ITEM('',(#598507),#2994); #1757=STYLED_ITEM('',(#598507),#2995); #1758=STYLED_ITEM('',(#598507),#2996); #1759=STYLED_ITEM('',(#598507),#2997); #1760=STYLED_ITEM('',(#598507),#2998); #1761=STYLED_ITEM('',(#598507),#2999); #1762=STYLED_ITEM('',(#598507),#3000); #1763=STYLED_ITEM('',(#598507),#3001); #1764=STYLED_ITEM('',(#598507),#3002); #1765=STYLED_ITEM('',(#598507),#3003); #1766=STYLED_ITEM('',(#598507),#3004); #1767=STYLED_ITEM('',(#598507),#3005); #1768=STYLED_ITEM('',(#598508),#3006); #1769=STYLED_ITEM('',(#598509),#3007); #1770=STYLED_ITEM('',(#598507),#3008); #1771=STYLED_ITEM('',(#598499),#347741); #1772=STYLED_ITEM('',(#598499),#347742); #1773=STYLED_ITEM('',(#598499),#347743); #1774=STYLED_ITEM('',(#598499),#347744); #1775=STYLED_ITEM('',(#598499),#347745); #1776=STYLED_ITEM('',(#598499),#347746); #1777=STYLED_ITEM('',(#598499),#347747); #1778=STYLED_ITEM('',(#598499),#347748); #1779=STYLED_ITEM('',(#598499),#347749); #1780=STYLED_ITEM('',(#598499),#347750); #1781=STYLED_ITEM('',(#598499),#347751); #1782=STYLED_ITEM('',(#598499),#347752); #1783=STYLED_ITEM('',(#598499),#347753); #1784=STYLED_ITEM('',(#598499),#347754); #1785=STYLED_ITEM('',(#598499),#347755); #1786=STYLED_ITEM('',(#598499),#347756); #1787=STYLED_ITEM('',(#598499),#347757); #1788=STYLED_ITEM('',(#598499),#347758); #1789=STYLED_ITEM('',(#598499),#347759); #1790=STYLED_ITEM('',(#598499),#347760); #1791=STYLED_ITEM('',(#598499),#347761); #1792=STYLED_ITEM('',(#598499),#347762); #1793=STYLED_ITEM('',(#598499),#347763); #1794=STYLED_ITEM('',(#598499),#347764); #1795=STYLED_ITEM('',(#598499),#347765); #1796=STYLED_ITEM('',(#598499),#347766); #1797=STYLED_ITEM('',(#598499),#347767); #1798=STYLED_ITEM('',(#598499),#347768); #1799=STYLED_ITEM('',(#598499),#347769); #1800=STYLED_ITEM('',(#598499),#347770); #1801=STYLED_ITEM('',(#598499),#347771); #1802=STYLED_ITEM('',(#598499),#347772); #1803=STYLED_ITEM('',(#598499),#347773); #1804=STYLED_ITEM('',(#598499),#347774); #1805=STYLED_ITEM('',(#598499),#347775); #1806=STYLED_ITEM('',(#598499),#347776); #1807=STYLED_ITEM('',(#598511),#347777); #1808=STYLED_ITEM('',(#598511),#347778); #1809=STYLED_ITEM('',(#598511),#347779); #1810=STYLED_ITEM('',(#598511),#347780); #1811=STYLED_ITEM('',(#598511),#347781); #1812=STYLED_ITEM('',(#598511),#347782); #1813=STYLED_ITEM('',(#598511),#347783); #1814=STYLED_ITEM('',(#598511),#347784); #1815=STYLED_ITEM('',(#598511),#347785); #1816=STYLED_ITEM('',(#598511),#347786); #1817=STYLED_ITEM('',(#598511),#347787); #1818=STYLED_ITEM('',(#598511),#347788); #1819=STYLED_ITEM('',(#598511),#347789); #1820=STYLED_ITEM('',(#598511),#347790); #1821=STYLED_ITEM('',(#598511),#347791); #1822=STYLED_ITEM('',(#598511),#347792); #1823=STYLED_ITEM('',(#598511),#347793); #1824=STYLED_ITEM('',(#598511),#347794); #1825=STYLED_ITEM('',(#598511),#347795); #1826=STYLED_ITEM('',(#598511),#347796); #1827=STYLED_ITEM('',(#598511),#347797); #1828=STYLED_ITEM('',(#598511),#347798); #1829=STYLED_ITEM('',(#598511),#347799); #1830=STYLED_ITEM('',(#598511),#347800); #1831=STYLED_ITEM('',(#598511),#347801); #1832=STYLED_ITEM('',(#598511),#347802); #1833=STYLED_ITEM('',(#598511),#347803); #1834=STYLED_ITEM('',(#598511),#347804); #1835=STYLED_ITEM('',(#598511),#347805); #1836=STYLED_ITEM('',(#598511),#347806); #1837=STYLED_ITEM('',(#598511),#347807); #1838=STYLED_ITEM('',(#598511),#347808); #1839=STYLED_ITEM('',(#598511),#347809); #1840=STYLED_ITEM('',(#598511),#347810); #1841=STYLED_ITEM('',(#598511),#347811); #1842=STYLED_ITEM('',(#598511),#347812); #1843=STYLED_ITEM('',(#598499),#347813); #1844=STYLED_ITEM('',(#598499),#347814); #1845=STYLED_ITEM('',(#598499),#347815); #1846=STYLED_ITEM('',(#598499),#347816); #1847=STYLED_ITEM('',(#598499),#347817); #1848=STYLED_ITEM('',(#598499),#347818); #1849=STYLED_ITEM('',(#598499),#347819); #1850=STYLED_ITEM('',(#598499),#347820); #1851=STYLED_ITEM('',(#598499),#347821); #1852=STYLED_ITEM('',(#598510),#3009); #1853=STYLED_ITEM('',(#598502),#3010); #1854=STYLED_ITEM('',(#598502),#3011); #1855=STYLED_ITEM('',(#598512),#3012); #1856=STYLED_ITEM('',(#598502),#3013); #1857=STYLED_ITEM('',(#598502),#3014); #1858=STYLED_ITEM('',(#598513),#3015); #1859=STYLED_ITEM('',(#598502),#3016); #1860=STYLED_ITEM('',(#598502),#3017); #1861=STYLED_ITEM('',(#598513),#3018); #1862=STYLED_ITEM('',(#598515),#347996); #1863=STYLED_ITEM('',(#598515),#347997); #1864=STYLED_ITEM('',(#598514),#3019); #1865=STYLED_ITEM('',(#598516),#3020); #1866=STYLED_ITEM('',(#598517),#3021); #1867=STYLED_ITEM('',(#598517),#3022); #1868=STYLED_ITEM('',(#598518),#3023); #1869=STYLED_ITEM('',(#598518),#3024); #1870=STYLED_ITEM('',(#598518),#3025); #1871=STYLED_ITEM('',(#598518),#3026); #1872=STYLED_ITEM('',(#598518),#3027); #1873=STYLED_ITEM('',(#598518),#3028); #1874=STYLED_ITEM('',(#598518),#3029); #1875=STYLED_ITEM('',(#598518),#3030); #1876=STYLED_ITEM('',(#598518),#3031); #1877=STYLED_ITEM('',(#598519),#3032); #1878=STYLED_ITEM('',(#598517),#3033); #1879=STYLED_ITEM('',(#598517),#3034); #1880=STYLED_ITEM('',(#598517),#3035); #1881=STYLED_ITEM('',(#598517),#3036); #1882=STYLED_ITEM('',(#598517),#3037); #1883=STYLED_ITEM('',(#598517),#3038); #1884=STYLED_ITEM('',(#598517),#3039); #1885=STYLED_ITEM('',(#598517),#3040); #1886=STYLED_ITEM('',(#598517),#3041); #1887=STYLED_ITEM('',(#598517),#3042); #1888=STYLED_ITEM('',(#598517),#3043); #1889=STYLED_ITEM('',(#598517),#3044); #1890=STYLED_ITEM('',(#598517),#3045); #1891=STYLED_ITEM('',(#598517),#3046); #1892=STYLED_ITEM('',(#598517),#3047); #1893=STYLED_ITEM('',(#598517),#3048); #1894=STYLED_ITEM('',(#598520),#3049); #1895=STYLED_ITEM('',(#598519),#3050); #1896=STYLED_ITEM('',(#598517),#3051); #1897=STYLED_ITEM('',(#598517),#3052); #1898=STYLED_ITEM('',(#598517),#3053); #1899=STYLED_ITEM('',(#598517),#3054); #1900=STYLED_ITEM('',(#598517),#3055); #1901=STYLED_ITEM('',(#598517),#3056); #1902=STYLED_ITEM('',(#598517),#3057); #1903=STYLED_ITEM('',(#598517),#3058); #1904=STYLED_ITEM('',(#598517),#3059); #1905=STYLED_ITEM('',(#598517),#3060); #1906=STYLED_ITEM('',(#598517),#3061); #1907=STYLED_ITEM('',(#598517),#3062); #1908=STYLED_ITEM('',(#598517),#3063); #1909=STYLED_ITEM('',(#598517),#3064); #1910=STYLED_ITEM('',(#598517),#3065); #1911=STYLED_ITEM('',(#598517),#3066); #1912=STYLED_ITEM('',(#598520),#3067); #1913=STYLED_ITEM('',(#598519),#3068); #1914=STYLED_ITEM('',(#598502),#3069); #1915=STYLED_ITEM('',(#598502),#3070); #1916=STYLED_ITEM('',(#598502),#3071); #1917=STYLED_ITEM('',(#598502),#3072); #1918=STYLED_ITEM('',(#598521),#3073); #1919=STYLED_ITEM('',(#598521),#3074); #1920=STYLED_ITEM('',(#598521),#348402); #1921=STYLED_ITEM('',(#598521),#348403); #1922=STYLED_ITEM('',(#598521),#348404); #1923=STYLED_ITEM('',(#598521),#348405); #1924=STYLED_ITEM('',(#598521),#348406); #1925=STYLED_ITEM('',(#598521),#348407); #1926=STYLED_ITEM('',(#598521),#348408); #1927=STYLED_ITEM('',(#598521),#348409); #1928=STYLED_ITEM('',(#598521),#348410); #1929=STYLED_ITEM('',(#598521),#348411); #1930=STYLED_ITEM('',(#598521),#348412); #1931=STYLED_ITEM('',(#598521),#348413); #1932=STYLED_ITEM('',(#598521),#348414); #1933=STYLED_ITEM('',(#598521),#348415); #1934=STYLED_ITEM('',(#598521),#348422); #1935=STYLED_ITEM('',(#598521),#348423); #1936=STYLED_ITEM('',(#598521),#348424); #1937=STYLED_ITEM('',(#598521),#348425); #1938=STYLED_ITEM('',(#598521),#348426); #1939=STYLED_ITEM('',(#598521),#348427); #1940=STYLED_ITEM('',(#598521),#348428); #1941=STYLED_ITEM('',(#598521),#348429); #1942=STYLED_ITEM('',(#598521),#348430); #1943=STYLED_ITEM('',(#598521),#348431); #1944=STYLED_ITEM('',(#598521),#348432); #1945=STYLED_ITEM('',(#598521),#348433); #1946=STYLED_ITEM('',(#598521),#348434); #1947=STYLED_ITEM('',(#598521),#348435); #1948=STYLED_ITEM('',(#598521),#348436); #1949=STYLED_ITEM('',(#598521),#348437); #1950=STYLED_ITEM('',(#598521),#348438); #1951=STYLED_ITEM('',(#598521),#348439); #1952=STYLED_ITEM('',(#598521),#348440); #1953=STYLED_ITEM('',(#598521),#348441); #1954=STYLED_ITEM('',(#598521),#348442); #1955=STYLED_ITEM('',(#598521),#348443); #1956=STYLED_ITEM('',(#598521),#348444); #1957=STYLED_ITEM('',(#598521),#348445); #1958=STYLED_ITEM('',(#598521),#348446); #1959=STYLED_ITEM('',(#598521),#348447); #1960=STYLED_ITEM('',(#598521),#348448); #1961=STYLED_ITEM('',(#598521),#348449); #1962=STYLED_ITEM('',(#598521),#348450); #1963=STYLED_ITEM('',(#598521),#348451); #1964=STYLED_ITEM('',(#598521),#348452); #1965=STYLED_ITEM('',(#598521),#348453); #1966=STYLED_ITEM('',(#598521),#348454); #1967=STYLED_ITEM('',(#598521),#348455); #1968=STYLED_ITEM('',(#598521),#348456); #1969=STYLED_ITEM('',(#598521),#348457); #1970=STYLED_ITEM('',(#598521),#348458); #1971=STYLED_ITEM('',(#598521),#348459); #1972=STYLED_ITEM('',(#598521),#348460); #1973=STYLED_ITEM('',(#598521),#348461); #1974=STYLED_ITEM('',(#598521),#348462); #1975=STYLED_ITEM('',(#598521),#348463); #1976=STYLED_ITEM('',(#598521),#348464); #1977=STYLED_ITEM('',(#598521),#348465); #1978=STYLED_ITEM('',(#598521),#348466); #1979=STYLED_ITEM('',(#598521),#348467); #1980=STYLED_ITEM('',(#598521),#348468); #1981=STYLED_ITEM('',(#598521),#348469); #1982=STYLED_ITEM('',(#598521),#348470); #1983=STYLED_ITEM('',(#598521),#348471); #1984=STYLED_ITEM('',(#598521),#348472); #1985=STYLED_ITEM('',(#598521),#348473); #1986=STYLED_ITEM('',(#598521),#348474); #1987=STYLED_ITEM('',(#598521),#348475); #1988=STYLED_ITEM('',(#598521),#348476); #1989=STYLED_ITEM('',(#598521),#348477); #1990=STYLED_ITEM('',(#598521),#348478); #1991=STYLED_ITEM('',(#598521),#348479); #1992=STYLED_ITEM('',(#598521),#348480); #1993=STYLED_ITEM('',(#598521),#348481); #1994=STYLED_ITEM('',(#598521),#348482); #1995=STYLED_ITEM('',(#598521),#348483); #1996=STYLED_ITEM('',(#598521),#348484); #1997=STYLED_ITEM('',(#598521),#348485); #1998=STYLED_ITEM('',(#598521),#348486); #1999=STYLED_ITEM('',(#598521),#348487); #2000=STYLED_ITEM('',(#598521),#348488); #2001=STYLED_ITEM('',(#598521),#348489); #2002=STYLED_ITEM('',(#598521),#348490); #2003=STYLED_ITEM('',(#598521),#348491); #2004=STYLED_ITEM('',(#598521),#348492); #2005=STYLED_ITEM('',(#598521),#348493); #2006=STYLED_ITEM('',(#598521),#348494); #2007=STYLED_ITEM('',(#598521),#348495); #2008=STYLED_ITEM('',(#598521),#348496); #2009=STYLED_ITEM('',(#598521),#348497); #2010=STYLED_ITEM('',(#598522),#348575); #2011=STYLED_ITEM('',(#598522),#348576); #2012=STYLED_ITEM('',(#598522),#348577); #2013=STYLED_ITEM('',(#598522),#348578); #2014=STYLED_ITEM('',(#598522),#348579); #2015=STYLED_ITEM('',(#598521),#348580); #2016=STYLED_ITEM('',(#598521),#348581); #2017=STYLED_ITEM('',(#598521),#348582); #2018=STYLED_ITEM('',(#598521),#348583); #2019=STYLED_ITEM('',(#598521),#348584); #2020=STYLED_ITEM('',(#598521),#348585); #2021=STYLED_ITEM('',(#598521),#348586); #2022=STYLED_ITEM('',(#598521),#348587); #2023=STYLED_ITEM('',(#598521),#348588); #2024=STYLED_ITEM('',(#598521),#348589); #2025=STYLED_ITEM('',(#598521),#348590); #2026=STYLED_ITEM('',(#598521),#348591); #2027=STYLED_ITEM('',(#598522),#348592); #2028=STYLED_ITEM('',(#598522),#348593); #2029=STYLED_ITEM('',(#598521),#348594); #2030=STYLED_ITEM('',(#598522),#348595); #2031=STYLED_ITEM('',(#598521),#348597); #2032=STYLED_ITEM('',(#598521),#348598); #2033=STYLED_ITEM('',(#598521),#348599); #2034=STYLED_ITEM('',(#598521),#348600); #2035=STYLED_ITEM('',(#598521),#348601); #2036=STYLED_ITEM('',(#598521),#348602); #2037=STYLED_ITEM('',(#598521),#348603); #2038=STYLED_ITEM('',(#598521),#348604); #2039=STYLED_ITEM('',(#598521),#348605); #2040=STYLED_ITEM('',(#598521),#348606); #2041=STYLED_ITEM('',(#598521),#348607); #2042=STYLED_ITEM('',(#598521),#348608); #2043=STYLED_ITEM('',(#598521),#348609); #2044=STYLED_ITEM('',(#598521),#348610); #2045=STYLED_ITEM('',(#598521),#348611); #2046=STYLED_ITEM('',(#598521),#348612); #2047=STYLED_ITEM('',(#598521),#348613); #2048=STYLED_ITEM('',(#598522),#348614); #2049=STYLED_ITEM('',(#598522),#348615); #2050=STYLED_ITEM('',(#598522),#348616); #2051=STYLED_ITEM('',(#598522),#348617); #2052=STYLED_ITEM('',(#598522),#348618); #2053=STYLED_ITEM('',(#598522),#348619); #2054=STYLED_ITEM('',(#598522),#348620); #2055=STYLED_ITEM('',(#598521),#348621); #2056=STYLED_ITEM('',(#598521),#348622); #2057=STYLED_ITEM('',(#598521),#348623); #2058=STYLED_ITEM('',(#598521),#348624); #2059=STYLED_ITEM('',(#598521),#348625); #2060=STYLED_ITEM('',(#598521),#348626); #2061=STYLED_ITEM('',(#598521),#348627); #2062=STYLED_ITEM('',(#598521),#348628); #2063=STYLED_ITEM('',(#598521),#348629); #2064=STYLED_ITEM('',(#598521),#348630); #2065=STYLED_ITEM('',(#598521),#348631); #2066=STYLED_ITEM('',(#598521),#348632); #2067=STYLED_ITEM('',(#598521),#348633); #2068=STYLED_ITEM('',(#598522),#348634); #2069=STYLED_ITEM('',(#598522),#348635); #2070=STYLED_ITEM('',(#598521),#348636); #2071=STYLED_ITEM('',(#598522),#348637); #2072=STYLED_ITEM('',(#598521),#348638); #2073=STYLED_ITEM('',(#598521),#348639); #2074=STYLED_ITEM('',(#598521),#348640); #2075=STYLED_ITEM('',(#598521),#348641); #2076=STYLED_ITEM('',(#598521),#348642); #2077=STYLED_ITEM('',(#598522),#348643); #2078=STYLED_ITEM('',(#598522),#348644); #2079=STYLED_ITEM('',(#598522),#348645); #2080=STYLED_ITEM('',(#598521),#348646); #2081=STYLED_ITEM('',(#598521),#348647); #2082=STYLED_ITEM('',(#598521),#348648); #2083=STYLED_ITEM('',(#598521),#348649); #2084=STYLED_ITEM('',(#598521),#348650); #2085=STYLED_ITEM('',(#598521),#348651); #2086=STYLED_ITEM('',(#598522),#348652); #2087=STYLED_ITEM('',(#598522),#348653); #2088=STYLED_ITEM('',(#598522),#348660); #2089=STYLED_ITEM('',(#598522),#348662); #2090=STYLED_ITEM('',(#598522),#348699); #2091=STYLED_ITEM('',(#598499),#3075); #2092=STYLED_ITEM('',(#598519),#3076); #2093=STYLED_ITEM('',(#598517),#3077); #2094=STYLED_ITEM('',(#598517),#3078); #2095=STYLED_ITEM('',(#598517),#3079); #2096=STYLED_ITEM('',(#598517),#3080); #2097=STYLED_ITEM('',(#598517),#3081); #2098=STYLED_ITEM('',(#598517),#3082); #2099=STYLED_ITEM('',(#598517),#3083); #2100=STYLED_ITEM('',(#598517),#3084); #2101=STYLED_ITEM('',(#598517),#3085); #2102=STYLED_ITEM('',(#598517),#3086); #2103=STYLED_ITEM('',(#598517),#3087); #2104=STYLED_ITEM('',(#598517),#3088); #2105=STYLED_ITEM('',(#598517),#3089); #2106=STYLED_ITEM('',(#598517),#3090); #2107=STYLED_ITEM('',(#598517),#3091); #2108=STYLED_ITEM('',(#598517),#3092); #2109=STYLED_ITEM('',(#598517),#3093); #2110=STYLED_ITEM('',(#598517),#3094); #2111=STYLED_ITEM('',(#598517),#3095); #2112=STYLED_ITEM('',(#598517),#3096); #2113=STYLED_ITEM('',(#598517),#3097); #2114=STYLED_ITEM('',(#598517),#3098); #2115=STYLED_ITEM('',(#598517),#3099); #2116=STYLED_ITEM('',(#598517),#3100); #2117=STYLED_ITEM('',(#598517),#3101); #2118=STYLED_ITEM('',(#598517),#3102); #2119=STYLED_ITEM('',(#598517),#3103); #2120=STYLED_ITEM('',(#598517),#3104); #2121=STYLED_ITEM('',(#598517),#3105); #2122=STYLED_ITEM('',(#598517),#3106); #2123=STYLED_ITEM('',(#598517),#3107); #2124=STYLED_ITEM('',(#598517),#3108); #2125=STYLED_ITEM('',(#598517),#3109); #2126=STYLED_ITEM('',(#598517),#3110); #2127=STYLED_ITEM('',(#598517),#3111); #2128=STYLED_ITEM('',(#598517),#3112); #2129=STYLED_ITEM('',(#598517),#3113); #2130=STYLED_ITEM('',(#598517),#3114); #2131=STYLED_ITEM('',(#598517),#3115); #2132=STYLED_ITEM('',(#598517),#3116); #2133=STYLED_ITEM('',(#598517),#3117); #2134=STYLED_ITEM('',(#598517),#3118); #2135=STYLED_ITEM('',(#598517),#3119); #2136=STYLED_ITEM('',(#598517),#3120); #2137=STYLED_ITEM('',(#598517),#3121); #2138=STYLED_ITEM('',(#598517),#3122); #2139=STYLED_ITEM('',(#598517),#3123); #2140=STYLED_ITEM('',(#598517),#3124); #2141=STYLED_ITEM('',(#598520),#3125); #2142=STYLED_ITEM('',(#598502),#3126); #2143=STYLED_ITEM('',(#598502),#3127); #2144=STYLED_ITEM('',(#598512),#3128); #2145=STYLED_ITEM('',(#598519),#3129); #2146=STYLED_ITEM('',(#598502),#3130); #2147=STYLED_ITEM('',(#598502),#3131); #2148=STYLED_ITEM('',(#598502),#3132); #2149=STYLED_ITEM('',(#598502),#3133); #2150=STYLED_ITEM('',(#598515),#3134); #2151=STYLED_ITEM('',(#598519),#3135); #2152=STYLED_ITEM('',(#598517),#3136); #2153=STYLED_ITEM('',(#598517),#3137); #2154=STYLED_ITEM('',(#598517),#3138); #2155=STYLED_ITEM('',(#598517),#3139); #2156=STYLED_ITEM('',(#598517),#3140); #2157=STYLED_ITEM('',(#598517),#3141); #2158=STYLED_ITEM('',(#598517),#3142); #2159=STYLED_ITEM('',(#598517),#3143); #2160=STYLED_ITEM('',(#598519),#3144); #2161=STYLED_ITEM('',(#598502),#3145); #2162=STYLED_ITEM('',(#598502),#3146); #2163=STYLED_ITEM('',(#598502),#3147); #2164=STYLED_ITEM('',(#598502),#3148); #2165=STYLED_ITEM('',(#598502),#3149); #2166=STYLED_ITEM('',(#598502),#3150); #2167=STYLED_ITEM('',(#598502),#3151); #2168=STYLED_ITEM('',(#598513),#3152); #2169=STYLED_ITEM('',(#598496),#3153); #2170=STYLED_ITEM('',(#598525),#3154); #2171=STYLED_ITEM('',(#598525),#3155); #2172=STYLED_ITEM('',(#598524),#349612); #2173=STYLED_ITEM('',(#598523),#3156); #2174=STYLED_ITEM('',(#598495),#3157); #2175=STYLED_ITEM('',(#598495),#3158); #2176=STYLED_ITEM('',(#598495),#3159); #2177=STYLED_ITEM('',(#598495),#3160); #2178=STYLED_ITEM('',(#598495),#3161); #2179=STYLED_ITEM('',(#598495),#22); #2180=STYLED_ITEM('',(#598526),#16); #2181=MANIFOLD_SOLID_BREP('Body1',#349866); #2182=MANIFOLD_SOLID_BREP('Body2',#349867); #2183=MANIFOLD_SOLID_BREP('Body1',#349868); #2184=MANIFOLD_SOLID_BREP('Body8',#349869); #2185=MANIFOLD_SOLID_BREP('Body9',#349870); #2186=MANIFOLD_SOLID_BREP('Body1',#349871); #2187=MANIFOLD_SOLID_BREP('Body5',#349872); #2188=MANIFOLD_SOLID_BREP('Body6',#349873); #2189=MANIFOLD_SOLID_BREP('Board',#349874); #2190=MANIFOLD_SOLID_BREP('CopperHoles',#349875); #2191=MANIFOLD_SOLID_BREP('CopperHoles',#349876); #2192=MANIFOLD_SOLID_BREP('CopperHoles',#349877); #2193=MANIFOLD_SOLID_BREP('CopperHoles',#349878); #2194=MANIFOLD_SOLID_BREP('CopperHoles',#349879); #2195=MANIFOLD_SOLID_BREP('CopperHoles',#349880); #2196=MANIFOLD_SOLID_BREP('CopperHoles',#349881); #2197=MANIFOLD_SOLID_BREP('CopperHoles',#349882); #2198=MANIFOLD_SOLID_BREP('CopperHoles',#349883); #2199=MANIFOLD_SOLID_BREP('CopperHoles',#349884); #2200=MANIFOLD_SOLID_BREP('CopperHoles',#349885); #2201=MANIFOLD_SOLID_BREP('CopperHoles',#349886); #2202=MANIFOLD_SOLID_BREP('CopperHoles',#349887); #2203=MANIFOLD_SOLID_BREP('CopperHoles',#349888); #2204=MANIFOLD_SOLID_BREP('CopperHoles',#349889); #2205=MANIFOLD_SOLID_BREP('CopperHoles',#349890); #2206=MANIFOLD_SOLID_BREP('CopperHoles',#349891); #2207=MANIFOLD_SOLID_BREP('CopperHoles',#349892); #2208=MANIFOLD_SOLID_BREP('CopperHoles',#349893); #2209=MANIFOLD_SOLID_BREP('CopperHoles',#349894); #2210=MANIFOLD_SOLID_BREP('CopperHoles',#349895); #2211=MANIFOLD_SOLID_BREP('CopperHoles',#349896); #2212=MANIFOLD_SOLID_BREP('CopperHoles',#349897); #2213=MANIFOLD_SOLID_BREP('CopperHoles',#349898); #2214=MANIFOLD_SOLID_BREP('CopperHoles',#349899); #2215=MANIFOLD_SOLID_BREP('CopperHoles',#349900); #2216=MANIFOLD_SOLID_BREP('CopperHoles',#349901); #2217=MANIFOLD_SOLID_BREP('CopperHoles',#349902); #2218=MANIFOLD_SOLID_BREP('CopperHoles',#349903); #2219=MANIFOLD_SOLID_BREP('CopperHoles',#349904); #2220=MANIFOLD_SOLID_BREP('CopperHoles',#349905); #2221=MANIFOLD_SOLID_BREP('CopperHoles',#349906); #2222=MANIFOLD_SOLID_BREP('CopperHoles',#349907); #2223=MANIFOLD_SOLID_BREP('CopperHoles',#349908); #2224=MANIFOLD_SOLID_BREP('CopperHoles',#349909); #2225=MANIFOLD_SOLID_BREP('CopperHoles',#349910); #2226=MANIFOLD_SOLID_BREP('CopperHoles',#349911); #2227=MANIFOLD_SOLID_BREP('CopperHoles',#349912); #2228=MANIFOLD_SOLID_BREP('CopperHoles',#349913); #2229=MANIFOLD_SOLID_BREP('CopperHoles',#349914); #2230=MANIFOLD_SOLID_BREP('CopperHoles',#349915); #2231=MANIFOLD_SOLID_BREP('CopperHoles',#349916); #2232=MANIFOLD_SOLID_BREP('CopperHoles',#349917); #2233=MANIFOLD_SOLID_BREP('CopperHoles',#349918); #2234=MANIFOLD_SOLID_BREP('CopperHoles',#349919); #2235=MANIFOLD_SOLID_BREP('CopperHoles',#349920); #2236=MANIFOLD_SOLID_BREP('CopperHoles',#349921); #2237=MANIFOLD_SOLID_BREP('CopperHoles',#349922); #2238=MANIFOLD_SOLID_BREP('CopperHoles',#349923); #2239=MANIFOLD_SOLID_BREP('CopperHoles',#349924); #2240=MANIFOLD_SOLID_BREP('CopperHoles',#349925); #2241=MANIFOLD_SOLID_BREP('CopperHoles',#349926); #2242=MANIFOLD_SOLID_BREP('CopperHoles',#349927); #2243=MANIFOLD_SOLID_BREP('CopperHoles',#349928); #2244=MANIFOLD_SOLID_BREP('CopperHoles',#349929); #2245=MANIFOLD_SOLID_BREP('CopperHoles',#349930); #2246=MANIFOLD_SOLID_BREP('CopperHoles',#349931); #2247=MANIFOLD_SOLID_BREP('CopperHoles',#349932); #2248=MANIFOLD_SOLID_BREP('CopperHoles',#349933); #2249=MANIFOLD_SOLID_BREP('CopperHoles',#349934); #2250=MANIFOLD_SOLID_BREP('CopperHoles',#349935); #2251=MANIFOLD_SOLID_BREP('CopperHoles',#349936); #2252=MANIFOLD_SOLID_BREP('CopperHoles',#349937); #2253=MANIFOLD_SOLID_BREP('CopperHoles',#349938); #2254=MANIFOLD_SOLID_BREP('CopperHoles',#349939); #2255=MANIFOLD_SOLID_BREP('CopperHoles',#349940); #2256=MANIFOLD_SOLID_BREP('CopperHoles',#349941); #2257=MANIFOLD_SOLID_BREP('CopperHoles',#349942); #2258=MANIFOLD_SOLID_BREP('CopperHoles',#349943); #2259=MANIFOLD_SOLID_BREP('CopperHoles',#349944); #2260=MANIFOLD_SOLID_BREP('CopperHoles',#349945); #2261=MANIFOLD_SOLID_BREP('CopperHoles',#349946); #2262=MANIFOLD_SOLID_BREP('CopperHoles',#349947); #2263=MANIFOLD_SOLID_BREP('CopperHoles',#349948); #2264=MANIFOLD_SOLID_BREP('CopperHoles',#349949); #2265=MANIFOLD_SOLID_BREP('CopperHoles',#349950); #2266=MANIFOLD_SOLID_BREP('CopperHoles',#349951); #2267=MANIFOLD_SOLID_BREP('CopperHoles',#349952); #2268=MANIFOLD_SOLID_BREP('CopperHoles',#349953); #2269=MANIFOLD_SOLID_BREP('CopperHoles',#349954); #2270=MANIFOLD_SOLID_BREP('CopperHoles',#349955); #2271=MANIFOLD_SOLID_BREP('CopperHoles',#349956); #2272=MANIFOLD_SOLID_BREP('CopperHoles',#349957); #2273=MANIFOLD_SOLID_BREP('CopperHoles',#349958); #2274=MANIFOLD_SOLID_BREP('CopperHoles',#349959); #2275=MANIFOLD_SOLID_BREP('CopperHoles',#349960); #2276=MANIFOLD_SOLID_BREP('CopperHoles',#349961); #2277=MANIFOLD_SOLID_BREP('CopperHoles',#349962); #2278=MANIFOLD_SOLID_BREP('CopperHoles',#349963); #2279=MANIFOLD_SOLID_BREP('CopperHoles',#349964); #2280=MANIFOLD_SOLID_BREP('CopperHoles',#349965); #2281=MANIFOLD_SOLID_BREP('CopperHoles',#349966); #2282=MANIFOLD_SOLID_BREP('CopperHoles',#349967); #2283=MANIFOLD_SOLID_BREP('CopperHoles',#349968); #2284=MANIFOLD_SOLID_BREP('CopperHoles',#349969); #2285=MANIFOLD_SOLID_BREP('CopperHoles',#349970); #2286=MANIFOLD_SOLID_BREP('CopperHoles',#349971); #2287=MANIFOLD_SOLID_BREP('CopperHoles',#349972); #2288=MANIFOLD_SOLID_BREP('CopperHoles',#349973); #2289=MANIFOLD_SOLID_BREP('CopperHoles',#349974); #2290=MANIFOLD_SOLID_BREP('CopperHoles',#349975); #2291=MANIFOLD_SOLID_BREP('CopperHoles',#349976); #2292=MANIFOLD_SOLID_BREP('CopperHoles',#349977); #2293=MANIFOLD_SOLID_BREP('CopperHoles',#349978); #2294=MANIFOLD_SOLID_BREP('CopperHoles',#349979); #2295=MANIFOLD_SOLID_BREP('CopperHoles',#349980); #2296=MANIFOLD_SOLID_BREP('CopperHoles',#349981); #2297=MANIFOLD_SOLID_BREP('CopperHoles',#349982); #2298=MANIFOLD_SOLID_BREP('CopperHoles',#349983); #2299=MANIFOLD_SOLID_BREP('CopperHoles',#349984); #2300=MANIFOLD_SOLID_BREP('CopperHoles',#349985); #2301=MANIFOLD_SOLID_BREP('CopperHoles',#349986); #2302=MANIFOLD_SOLID_BREP('CopperHoles',#349987); #2303=MANIFOLD_SOLID_BREP('CopperHoles',#349988); #2304=MANIFOLD_SOLID_BREP('CopperHoles',#349989); #2305=MANIFOLD_SOLID_BREP('CopperHoles',#349990); #2306=MANIFOLD_SOLID_BREP('CopperHoles',#349991); #2307=MANIFOLD_SOLID_BREP('CopperHoles',#349992); #2308=MANIFOLD_SOLID_BREP('CopperHoles',#349993); #2309=MANIFOLD_SOLID_BREP('CopperHoles',#349994); #2310=MANIFOLD_SOLID_BREP('CopperHoles',#349995); #2311=MANIFOLD_SOLID_BREP('CopperHoles',#349996); #2312=MANIFOLD_SOLID_BREP('CopperHoles',#349997); #2313=MANIFOLD_SOLID_BREP('CopperHoles',#349998); #2314=MANIFOLD_SOLID_BREP('CopperHoles',#349999); #2315=MANIFOLD_SOLID_BREP('CopperHoles',#350000); #2316=MANIFOLD_SOLID_BREP('CopperHoles',#350001); #2317=MANIFOLD_SOLID_BREP('CopperHoles',#350002); #2318=MANIFOLD_SOLID_BREP('CopperHoles',#350003); #2319=MANIFOLD_SOLID_BREP('CopperHoles',#350004); #2320=MANIFOLD_SOLID_BREP('CopperHoles',#350005); #2321=MANIFOLD_SOLID_BREP('CopperHoles',#350006); #2322=MANIFOLD_SOLID_BREP('CopperHoles',#350007); #2323=MANIFOLD_SOLID_BREP('CopperHoles',#350008); #2324=MANIFOLD_SOLID_BREP('CopperHoles',#350009); #2325=MANIFOLD_SOLID_BREP('CopperHoles',#350010); #2326=MANIFOLD_SOLID_BREP('CopperHoles',#350011); #2327=MANIFOLD_SOLID_BREP('CopperHoles',#350012); #2328=MANIFOLD_SOLID_BREP('CopperHoles',#350013); #2329=MANIFOLD_SOLID_BREP('CopperHoles',#350014); #2330=MANIFOLD_SOLID_BREP('CopperHoles',#350015); #2331=MANIFOLD_SOLID_BREP('CopperHoles',#350016); #2332=MANIFOLD_SOLID_BREP('CopperHoles',#350017); #2333=MANIFOLD_SOLID_BREP('CopperHoles',#350018); #2334=MANIFOLD_SOLID_BREP('CopperHoles',#350019); #2335=MANIFOLD_SOLID_BREP('CopperHoles',#350020); #2336=MANIFOLD_SOLID_BREP('CopperHoles',#350021); #2337=MANIFOLD_SOLID_BREP('CopperHoles',#350022); #2338=MANIFOLD_SOLID_BREP('CopperHoles',#350023); #2339=MANIFOLD_SOLID_BREP('CopperHoles',#350024); #2340=MANIFOLD_SOLID_BREP('CopperHoles',#350025); #2341=MANIFOLD_SOLID_BREP('CopperHoles',#350026); #2342=MANIFOLD_SOLID_BREP('CopperHoles',#350027); #2343=MANIFOLD_SOLID_BREP('CopperHoles',#350028); #2344=MANIFOLD_SOLID_BREP('CopperHoles',#350029); #2345=MANIFOLD_SOLID_BREP('CopperHoles',#350030); #2346=MANIFOLD_SOLID_BREP('CopperHoles',#350031); #2347=MANIFOLD_SOLID_BREP('CopperHoles',#350032); #2348=MANIFOLD_SOLID_BREP('CopperHoles',#350033); #2349=MANIFOLD_SOLID_BREP('CopperHoles',#350034); #2350=MANIFOLD_SOLID_BREP('CopperHoles',#350035); #2351=MANIFOLD_SOLID_BREP('CopperHoles',#350036); #2352=MANIFOLD_SOLID_BREP('CopperHoles',#350037); #2353=MANIFOLD_SOLID_BREP('CopperHoles',#350038); #2354=MANIFOLD_SOLID_BREP('CopperHoles',#350039); #2355=MANIFOLD_SOLID_BREP('CopperHoles',#350040); #2356=MANIFOLD_SOLID_BREP('CopperHoles',#350041); #2357=MANIFOLD_SOLID_BREP('CopperHoles',#350042); #2358=MANIFOLD_SOLID_BREP('CopperHoles',#350043); #2359=MANIFOLD_SOLID_BREP('CopperHoles',#350044); #2360=MANIFOLD_SOLID_BREP('CopperHoles',#350045); #2361=MANIFOLD_SOLID_BREP('CopperHoles',#350046); #2362=MANIFOLD_SOLID_BREP('CopperHoles',#350047); #2363=MANIFOLD_SOLID_BREP('CopperHoles',#350048); #2364=MANIFOLD_SOLID_BREP('CopperHoles',#350049); #2365=MANIFOLD_SOLID_BREP('CopperHoles',#350050); #2366=MANIFOLD_SOLID_BREP('CopperHoles',#350051); #2367=MANIFOLD_SOLID_BREP('CopperHoles',#350052); #2368=MANIFOLD_SOLID_BREP('CopperHoles',#350053); #2369=MANIFOLD_SOLID_BREP('CopperHoles',#350054); #2370=MANIFOLD_SOLID_BREP('CopperHoles',#350055); #2371=MANIFOLD_SOLID_BREP('CopperHoles',#350056); #2372=MANIFOLD_SOLID_BREP('CopperHoles',#350057); #2373=MANIFOLD_SOLID_BREP('CopperHoles',#350058); #2374=MANIFOLD_SOLID_BREP('CopperHoles',#350059); #2375=MANIFOLD_SOLID_BREP('CopperHoles',#350060); #2376=MANIFOLD_SOLID_BREP('CopperHoles',#350061); #2377=MANIFOLD_SOLID_BREP('CopperHoles',#350062); #2378=MANIFOLD_SOLID_BREP('CopperHoles',#350063); #2379=MANIFOLD_SOLID_BREP('CopperHoles',#350064); #2380=MANIFOLD_SOLID_BREP('CopperHoles',#350065); #2381=MANIFOLD_SOLID_BREP('CopperHoles',#350066); #2382=MANIFOLD_SOLID_BREP('CopperHoles',#350067); #2383=MANIFOLD_SOLID_BREP('CopperHoles',#350068); #2384=MANIFOLD_SOLID_BREP('CopperHoles',#350069); #2385=MANIFOLD_SOLID_BREP('CopperHoles',#350070); #2386=MANIFOLD_SOLID_BREP('CopperHoles',#350071); #2387=MANIFOLD_SOLID_BREP('CopperHoles',#350072); #2388=MANIFOLD_SOLID_BREP('CopperHoles',#350073); #2389=MANIFOLD_SOLID_BREP('CopperHoles',#350074); #2390=MANIFOLD_SOLID_BREP('CopperHoles',#350075); #2391=MANIFOLD_SOLID_BREP('CopperHoles',#350076); #2392=MANIFOLD_SOLID_BREP('CopperHoles',#350077); #2393=MANIFOLD_SOLID_BREP('CopperHoles',#350078); #2394=MANIFOLD_SOLID_BREP('CopperHoles',#350079); #2395=MANIFOLD_SOLID_BREP('CopperHoles',#350080); #2396=MANIFOLD_SOLID_BREP('CopperHoles',#350081); #2397=MANIFOLD_SOLID_BREP('CopperHoles',#350082); #2398=MANIFOLD_SOLID_BREP('CopperHoles',#350083); #2399=MANIFOLD_SOLID_BREP('CopperHoles',#350084); #2400=MANIFOLD_SOLID_BREP('CopperHoles',#350085); #2401=MANIFOLD_SOLID_BREP('CopperHoles',#350086); #2402=MANIFOLD_SOLID_BREP('CopperHoles',#350087); #2403=MANIFOLD_SOLID_BREP('CopperHoles',#350088); #2404=MANIFOLD_SOLID_BREP('CopperHoles',#350089); #2405=MANIFOLD_SOLID_BREP('CopperHoles',#350090); #2406=MANIFOLD_SOLID_BREP('CopperHoles',#350091); #2407=MANIFOLD_SOLID_BREP('CopperHoles',#350092); #2408=MANIFOLD_SOLID_BREP('CopperHoles',#350093); #2409=MANIFOLD_SOLID_BREP('CopperHoles',#350094); #2410=MANIFOLD_SOLID_BREP('CopperHoles',#350095); #2411=MANIFOLD_SOLID_BREP('CopperHoles',#350096); #2412=MANIFOLD_SOLID_BREP('CopperHoles',#350097); #2413=MANIFOLD_SOLID_BREP('CopperHoles',#350098); #2414=MANIFOLD_SOLID_BREP('CopperHoles',#350099); #2415=MANIFOLD_SOLID_BREP('CopperHoles',#350100); #2416=MANIFOLD_SOLID_BREP('CopperHoles',#350101); #2417=MANIFOLD_SOLID_BREP('CopperHoles',#350102); #2418=MANIFOLD_SOLID_BREP('CopperHoles',#350103); #2419=MANIFOLD_SOLID_BREP('CopperHoles',#350104); #2420=MANIFOLD_SOLID_BREP('CopperHoles',#350105); #2421=MANIFOLD_SOLID_BREP('CopperHoles',#350106); #2422=MANIFOLD_SOLID_BREP('CopperHoles',#350107); #2423=MANIFOLD_SOLID_BREP('CopperHoles',#350108); #2424=MANIFOLD_SOLID_BREP('CopperHoles',#350109); #2425=MANIFOLD_SOLID_BREP('CopperHoles',#350110); #2426=MANIFOLD_SOLID_BREP('CopperHoles',#350111); #2427=MANIFOLD_SOLID_BREP('CopperHoles',#350112); #2428=MANIFOLD_SOLID_BREP('CopperHoles',#350113); #2429=MANIFOLD_SOLID_BREP('CopperHoles',#350114); #2430=MANIFOLD_SOLID_BREP('CopperHoles',#350115); #2431=MANIFOLD_SOLID_BREP('CopperHoles',#350116); #2432=MANIFOLD_SOLID_BREP('CopperHoles',#350117); #2433=MANIFOLD_SOLID_BREP('CopperHoles',#350118); #2434=MANIFOLD_SOLID_BREP('CopperHoles',#350119); #2435=MANIFOLD_SOLID_BREP('CopperHoles',#350120); #2436=MANIFOLD_SOLID_BREP('CopperHoles',#350121); #2437=MANIFOLD_SOLID_BREP('CopperHoles',#350122); #2438=MANIFOLD_SOLID_BREP('CopperHoles',#350123); #2439=MANIFOLD_SOLID_BREP('CopperHoles',#350124); #2440=MANIFOLD_SOLID_BREP('CopperHoles',#350125); #2441=MANIFOLD_SOLID_BREP('CopperHoles',#350126); #2442=MANIFOLD_SOLID_BREP('CopperHoles',#350127); #2443=MANIFOLD_SOLID_BREP('CopperHoles',#350128); #2444=MANIFOLD_SOLID_BREP('CopperHoles',#350129); #2445=MANIFOLD_SOLID_BREP('CopperHoles',#350130); #2446=MANIFOLD_SOLID_BREP('CopperHoles',#350131); #2447=MANIFOLD_SOLID_BREP('CopperHoles',#350132); #2448=MANIFOLD_SOLID_BREP('CopperHoles',#350133); #2449=MANIFOLD_SOLID_BREP('CopperHoles',#350134); #2450=MANIFOLD_SOLID_BREP('CopperHoles',#350135); #2451=MANIFOLD_SOLID_BREP('CopperHoles',#350136); #2452=MANIFOLD_SOLID_BREP('CopperHoles',#350137); #2453=MANIFOLD_SOLID_BREP('CopperHoles',#350138); #2454=MANIFOLD_SOLID_BREP('CopperHoles',#350139); #2455=MANIFOLD_SOLID_BREP('CopperHoles',#350140); #2456=MANIFOLD_SOLID_BREP('CopperHoles',#350141); #2457=MANIFOLD_SOLID_BREP('CopperHoles',#350142); #2458=MANIFOLD_SOLID_BREP('CopperHoles',#350143); #2459=MANIFOLD_SOLID_BREP('CopperHoles',#350144); #2460=MANIFOLD_SOLID_BREP('CopperHoles',#350145); #2461=MANIFOLD_SOLID_BREP('CopperHoles',#350146); #2462=MANIFOLD_SOLID_BREP('CopperHoles',#350147); #2463=MANIFOLD_SOLID_BREP('CopperHoles',#350148); #2464=MANIFOLD_SOLID_BREP('CopperHoles',#350149); #2465=MANIFOLD_SOLID_BREP('CopperHoles',#350150); #2466=MANIFOLD_SOLID_BREP('CopperHoles',#350151); #2467=MANIFOLD_SOLID_BREP('CopperHoles',#350152); #2468=MANIFOLD_SOLID_BREP('CopperHoles',#350153); #2469=MANIFOLD_SOLID_BREP('CopperHoles',#350154); #2470=MANIFOLD_SOLID_BREP('CopperHoles',#350155); #2471=MANIFOLD_SOLID_BREP('CopperHoles',#350156); #2472=MANIFOLD_SOLID_BREP('CopperHoles',#350157); #2473=MANIFOLD_SOLID_BREP('CopperHoles',#350158); #2474=MANIFOLD_SOLID_BREP('CopperHoles',#350159); #2475=MANIFOLD_SOLID_BREP('CopperHoles',#350160); #2476=MANIFOLD_SOLID_BREP('CopperHoles',#350161); #2477=MANIFOLD_SOLID_BREP('CopperHoles',#350162); #2478=MANIFOLD_SOLID_BREP('CopperHoles',#350163); #2479=MANIFOLD_SOLID_BREP('CopperHoles',#350164); #2480=MANIFOLD_SOLID_BREP('CopperHoles',#350165); #2481=MANIFOLD_SOLID_BREP('CopperHoles',#350166); #2482=MANIFOLD_SOLID_BREP('CopperHoles',#350167); #2483=MANIFOLD_SOLID_BREP('CopperHoles',#350168); #2484=MANIFOLD_SOLID_BREP('CopperHoles',#350169); #2485=MANIFOLD_SOLID_BREP('CopperHoles',#350170); #2486=MANIFOLD_SOLID_BREP('CopperHoles',#350171); #2487=MANIFOLD_SOLID_BREP('CopperHoles',#350172); #2488=MANIFOLD_SOLID_BREP('CopperHoles',#350173); #2489=MANIFOLD_SOLID_BREP('CopperHoles',#350174); #2490=MANIFOLD_SOLID_BREP('CopperHoles',#350175); #2491=MANIFOLD_SOLID_BREP('CopperHoles',#350176); #2492=MANIFOLD_SOLID_BREP('CopperHoles',#350177); #2493=MANIFOLD_SOLID_BREP('CopperHoles',#350178); #2494=MANIFOLD_SOLID_BREP('CopperHoles',#350179); #2495=MANIFOLD_SOLID_BREP('CopperHoles',#350180); #2496=MANIFOLD_SOLID_BREP('CopperHoles',#350181); #2497=MANIFOLD_SOLID_BREP('CopperHoles',#350182); #2498=MANIFOLD_SOLID_BREP('CopperHoles',#350183); #2499=MANIFOLD_SOLID_BREP('CopperHoles',#350184); #2500=MANIFOLD_SOLID_BREP('CopperHoles',#350185); #2501=MANIFOLD_SOLID_BREP('CopperHoles',#350186); #2502=MANIFOLD_SOLID_BREP('CopperHoles',#350187); #2503=MANIFOLD_SOLID_BREP('CopperHoles',#350188); #2504=MANIFOLD_SOLID_BREP('CopperHoles',#350189); #2505=MANIFOLD_SOLID_BREP('Body1',#350190); #2506=MANIFOLD_SOLID_BREP('Body1',#350191); #2507=MANIFOLD_SOLID_BREP('Body1',#350192); #2508=MANIFOLD_SOLID_BREP('Body1',#350193); #2509=MANIFOLD_SOLID_BREP('Body1',#350194); #2510=MANIFOLD_SOLID_BREP('Body1',#350195); #2511=MANIFOLD_SOLID_BREP('Body1',#350196); #2512=MANIFOLD_SOLID_BREP('Body1',#350197); #2513=MANIFOLD_SOLID_BREP('Body1',#350198); #2514=MANIFOLD_SOLID_BREP('Body1',#350199); #2515=MANIFOLD_SOLID_BREP('Body1',#350200); #2516=MANIFOLD_SOLID_BREP('Body1',#350201); #2517=MANIFOLD_SOLID_BREP('Body1',#350202); #2518=MANIFOLD_SOLID_BREP('Body1',#350203); #2519=MANIFOLD_SOLID_BREP('Body1',#350204); #2520=MANIFOLD_SOLID_BREP('Body1',#350205); #2521=MANIFOLD_SOLID_BREP('Body1',#350206); #2522=MANIFOLD_SOLID_BREP('Body1',#350207); #2523=MANIFOLD_SOLID_BREP('Body1',#350208); #2524=MANIFOLD_SOLID_BREP('Body1',#350209); #2525=MANIFOLD_SOLID_BREP('Body1',#350210); #2526=MANIFOLD_SOLID_BREP('Body1',#350211); #2527=MANIFOLD_SOLID_BREP('Body1',#350212); #2528=MANIFOLD_SOLID_BREP('Body1',#350213); #2529=MANIFOLD_SOLID_BREP('Body1',#350214); #2530=MANIFOLD_SOLID_BREP('Body1',#350215); #2531=MANIFOLD_SOLID_BREP('Body1',#350216); #2532=MANIFOLD_SOLID_BREP('Body1',#350217); #2533=MANIFOLD_SOLID_BREP('Body1',#350218); #2534=MANIFOLD_SOLID_BREP('Body1',#350219); #2535=MANIFOLD_SOLID_BREP('Body1',#350220); #2536=MANIFOLD_SOLID_BREP('Body1',#350221); #2537=MANIFOLD_SOLID_BREP('Body1',#350222); #2538=MANIFOLD_SOLID_BREP('Body1',#350223); #2539=MANIFOLD_SOLID_BREP('Body1',#350224); #2540=MANIFOLD_SOLID_BREP('Body1',#350225); #2541=MANIFOLD_SOLID_BREP('Body1',#350226); #2542=MANIFOLD_SOLID_BREP('Body1',#350227); #2543=MANIFOLD_SOLID_BREP('Body1',#350228); #2544=MANIFOLD_SOLID_BREP('Body1',#350229); #2545=MANIFOLD_SOLID_BREP('Body1',#350230); #2546=MANIFOLD_SOLID_BREP('Body1',#350231); #2547=MANIFOLD_SOLID_BREP('Body1',#350232); #2548=MANIFOLD_SOLID_BREP('Body1',#350233); #2549=MANIFOLD_SOLID_BREP('Body1',#350234); #2550=MANIFOLD_SOLID_BREP('Body1',#350235); #2551=MANIFOLD_SOLID_BREP('Body1',#350236); #2552=MANIFOLD_SOLID_BREP('Body1',#350237); #2553=MANIFOLD_SOLID_BREP('Body1',#350238); #2554=MANIFOLD_SOLID_BREP('Body1',#350239); #2555=MANIFOLD_SOLID_BREP('Body1',#350240); #2556=MANIFOLD_SOLID_BREP('Body1',#350241); #2557=MANIFOLD_SOLID_BREP('Body1',#350242); #2558=MANIFOLD_SOLID_BREP('Body1',#350243); #2559=MANIFOLD_SOLID_BREP('Body1',#350244); #2560=MANIFOLD_SOLID_BREP('Body1',#350245); #2561=MANIFOLD_SOLID_BREP('Body1',#350246); #2562=MANIFOLD_SOLID_BREP('Body1',#350247); #2563=MANIFOLD_SOLID_BREP('Body1',#350248); #2564=MANIFOLD_SOLID_BREP('Body1',#350249); #2565=MANIFOLD_SOLID_BREP('Body1',#350250); #2566=MANIFOLD_SOLID_BREP('Body1',#350251); #2567=MANIFOLD_SOLID_BREP('Body1',#350252); #2568=MANIFOLD_SOLID_BREP('Body1',#350253); #2569=MANIFOLD_SOLID_BREP('Body1',#350254); #2570=MANIFOLD_SOLID_BREP('Body1',#350255); #2571=MANIFOLD_SOLID_BREP('Body1',#350256); #2572=MANIFOLD_SOLID_BREP('Body1',#350257); #2573=MANIFOLD_SOLID_BREP('Body5',#350258); #2574=MANIFOLD_SOLID_BREP('Body5',#350259); #2575=MANIFOLD_SOLID_BREP('Body5',#350260); #2576=MANIFOLD_SOLID_BREP('Body5',#350261); #2577=MANIFOLD_SOLID_BREP('Body5',#350262); #2578=MANIFOLD_SOLID_BREP('Body5',#350263); #2579=MANIFOLD_SOLID_BREP('Body5',#350264); #2580=MANIFOLD_SOLID_BREP('Body5',#350265); #2581=MANIFOLD_SOLID_BREP('Body5',#350266); #2582=MANIFOLD_SOLID_BREP('Body5',#350267); #2583=MANIFOLD_SOLID_BREP('Body5',#350268); #2584=MANIFOLD_SOLID_BREP('Body5',#350269); #2585=MANIFOLD_SOLID_BREP('Body5',#350270); #2586=MANIFOLD_SOLID_BREP('Body5',#350271); #2587=MANIFOLD_SOLID_BREP('Body5',#350272); #2588=MANIFOLD_SOLID_BREP('Body5',#350273); #2589=MANIFOLD_SOLID_BREP('Body5',#350274); #2590=MANIFOLD_SOLID_BREP('Body5',#350275); #2591=MANIFOLD_SOLID_BREP('Body5',#350276); #2592=MANIFOLD_SOLID_BREP('Body5',#350277); #2593=MANIFOLD_SOLID_BREP('Body5',#350278); #2594=MANIFOLD_SOLID_BREP('Body5',#350279); #2595=MANIFOLD_SOLID_BREP('Body5',#350280); #2596=MANIFOLD_SOLID_BREP('Body5',#350281); #2597=MANIFOLD_SOLID_BREP('Body5',#350282); #2598=MANIFOLD_SOLID_BREP('Body5',#350283); #2599=MANIFOLD_SOLID_BREP('Body5',#350284); #2600=MANIFOLD_SOLID_BREP('Body5',#350285); #2601=MANIFOLD_SOLID_BREP('Body5',#350286); #2602=MANIFOLD_SOLID_BREP('Body5',#350287); #2603=MANIFOLD_SOLID_BREP('Body5',#350288); #2604=MANIFOLD_SOLID_BREP('Body5',#350289); #2605=MANIFOLD_SOLID_BREP('Body5',#350290); #2606=MANIFOLD_SOLID_BREP('Body5',#350291); #2607=MANIFOLD_SOLID_BREP('Body5',#350292); #2608=MANIFOLD_SOLID_BREP('Body5',#350293); #2609=MANIFOLD_SOLID_BREP('Body5',#350294); #2610=MANIFOLD_SOLID_BREP('Body5',#350295); #2611=MANIFOLD_SOLID_BREP('Body5',#350296); #2612=MANIFOLD_SOLID_BREP('Body5',#350297); #2613=MANIFOLD_SOLID_BREP('Body5',#350298); #2614=MANIFOLD_SOLID_BREP('Body5',#350299); #2615=MANIFOLD_SOLID_BREP('Body5',#350300); #2616=MANIFOLD_SOLID_BREP('Body5',#350301); #2617=MANIFOLD_SOLID_BREP('Body5',#350302); #2618=MANIFOLD_SOLID_BREP('Body5',#350303); #2619=MANIFOLD_SOLID_BREP('Body5',#350304); #2620=MANIFOLD_SOLID_BREP('Body5',#350305); #2621=MANIFOLD_SOLID_BREP('Body5',#350306); #2622=MANIFOLD_SOLID_BREP('Body5',#350307); #2623=MANIFOLD_SOLID_BREP('Body5',#350308); #2624=MANIFOLD_SOLID_BREP('Body5',#350309); #2625=MANIFOLD_SOLID_BREP('Body5',#350310); #2626=MANIFOLD_SOLID_BREP('Body5',#350311); #2627=MANIFOLD_SOLID_BREP('Body5',#350312); #2628=MANIFOLD_SOLID_BREP('Body5',#350313); #2629=MANIFOLD_SOLID_BREP('Body5',#350314); #2630=MANIFOLD_SOLID_BREP('Body5',#350315); #2631=MANIFOLD_SOLID_BREP('Body5',#350316); #2632=MANIFOLD_SOLID_BREP('Body5',#350317); #2633=MANIFOLD_SOLID_BREP('Body5',#350318); #2634=MANIFOLD_SOLID_BREP('Body5',#350319); #2635=MANIFOLD_SOLID_BREP('Body2',#350320); #2636=MANIFOLD_SOLID_BREP('Body2',#350321); #2637=MANIFOLD_SOLID_BREP('Body2',#350322); #2638=MANIFOLD_SOLID_BREP('Body2',#350323); #2639=MANIFOLD_SOLID_BREP('Body2',#350324); #2640=MANIFOLD_SOLID_BREP('Body2',#350325); #2641=MANIFOLD_SOLID_BREP('Body2',#350326); #2642=MANIFOLD_SOLID_BREP('Body2',#350327); #2643=MANIFOLD_SOLID_BREP('Body2',#350328); #2644=MANIFOLD_SOLID_BREP('Body2',#350329); #2645=MANIFOLD_SOLID_BREP('Body2',#350330); #2646=MANIFOLD_SOLID_BREP('Body2',#350331); #2647=MANIFOLD_SOLID_BREP('Body2',#350332); #2648=MANIFOLD_SOLID_BREP('Body2',#350333); #2649=MANIFOLD_SOLID_BREP('Body2',#350334); #2650=MANIFOLD_SOLID_BREP('Body2',#350335); #2651=MANIFOLD_SOLID_BREP('Body2',#350336); #2652=MANIFOLD_SOLID_BREP('Body2',#350337); #2653=MANIFOLD_SOLID_BREP('Body2',#350338); #2654=MANIFOLD_SOLID_BREP('Body2',#350339); #2655=MANIFOLD_SOLID_BREP('Body2',#350340); #2656=MANIFOLD_SOLID_BREP('Body2',#350341); #2657=MANIFOLD_SOLID_BREP('Body2',#350342); #2658=MANIFOLD_SOLID_BREP('Body3',#350343); #2659=MANIFOLD_SOLID_BREP('Body3',#350344); #2660=MANIFOLD_SOLID_BREP('Body3',#350345); #2661=MANIFOLD_SOLID_BREP('Body3',#350346); #2662=MANIFOLD_SOLID_BREP('Body3',#350347); #2663=MANIFOLD_SOLID_BREP('Body3',#350348); #2664=MANIFOLD_SOLID_BREP('Body3',#350349); #2665=MANIFOLD_SOLID_BREP('Body3',#350350); #2666=MANIFOLD_SOLID_BREP('Body3',#350351); #2667=MANIFOLD_SOLID_BREP('Body3',#350352); #2668=MANIFOLD_SOLID_BREP('Body3',#350353); #2669=MANIFOLD_SOLID_BREP('Body3',#350354); #2670=MANIFOLD_SOLID_BREP('Body3',#350355); #2671=MANIFOLD_SOLID_BREP('Body3',#350356); #2672=MANIFOLD_SOLID_BREP('Body3',#350357); #2673=MANIFOLD_SOLID_BREP('Body3',#350358); #2674=MANIFOLD_SOLID_BREP('Body3',#350359); #2675=MANIFOLD_SOLID_BREP('Body3',#350360); #2676=MANIFOLD_SOLID_BREP('Body3',#350361); #2677=MANIFOLD_SOLID_BREP('Body3',#350362); #2678=MANIFOLD_SOLID_BREP('Body3',#350363); #2679=MANIFOLD_SOLID_BREP('Body3',#350364); #2680=MANIFOLD_SOLID_BREP('Body3',#350365); #2681=MANIFOLD_SOLID_BREP('Body3',#350366); #2682=MANIFOLD_SOLID_BREP('Body3',#350367); #2683=MANIFOLD_SOLID_BREP('Body3',#350368); #2684=MANIFOLD_SOLID_BREP('Body3',#350369); #2685=MANIFOLD_SOLID_BREP('Body3',#350370); #2686=MANIFOLD_SOLID_BREP('Body3',#350371); #2687=MANIFOLD_SOLID_BREP('Body3',#350372); #2688=MANIFOLD_SOLID_BREP('Body3',#350373); #2689=MANIFOLD_SOLID_BREP('Body3',#350374); #2690=MANIFOLD_SOLID_BREP('Body3',#350375); #2691=MANIFOLD_SOLID_BREP('Body3',#350376); #2692=MANIFOLD_SOLID_BREP('Body3',#350377); #2693=MANIFOLD_SOLID_BREP('Body3',#350378); #2694=MANIFOLD_SOLID_BREP('Body3',#350379); #2695=MANIFOLD_SOLID_BREP('Body3',#350380); #2696=MANIFOLD_SOLID_BREP('Body3',#350381); #2697=MANIFOLD_SOLID_BREP('Body3',#350382); #2698=MANIFOLD_SOLID_BREP('Body3',#350383); #2699=MANIFOLD_SOLID_BREP('Body3',#350384); #2700=MANIFOLD_SOLID_BREP('Body3',#350385); #2701=MANIFOLD_SOLID_BREP('Body3',#350386); #2702=MANIFOLD_SOLID_BREP('Body3',#350387); #2703=MANIFOLD_SOLID_BREP('Body3',#350388); #2704=MANIFOLD_SOLID_BREP('Body3',#350389); #2705=MANIFOLD_SOLID_BREP('Body3',#350390); #2706=MANIFOLD_SOLID_BREP('Body3',#350391); #2707=MANIFOLD_SOLID_BREP('Body3',#350392); #2708=MANIFOLD_SOLID_BREP('Body3',#350393); #2709=MANIFOLD_SOLID_BREP('Body3',#350394); #2710=MANIFOLD_SOLID_BREP('Body3',#350395); #2711=MANIFOLD_SOLID_BREP('Body3',#350396); #2712=MANIFOLD_SOLID_BREP('Body3',#350397); #2713=MANIFOLD_SOLID_BREP('Body3',#350398); #2714=MANIFOLD_SOLID_BREP('Body3',#350399); #2715=MANIFOLD_SOLID_BREP('Body3',#350400); #2716=MANIFOLD_SOLID_BREP('Body3',#350401); #2717=MANIFOLD_SOLID_BREP('Body3',#350402); #2718=MANIFOLD_SOLID_BREP('Body3',#350403); #2719=MANIFOLD_SOLID_BREP('Body3',#350404); #2720=MANIFOLD_SOLID_BREP('Body3',#350405); #2721=MANIFOLD_SOLID_BREP('Body3',#350406); #2722=MANIFOLD_SOLID_BREP('Body3',#350407); #2723=MANIFOLD_SOLID_BREP('Body3',#350408); #2724=MANIFOLD_SOLID_BREP('Body3',#350409); #2725=MANIFOLD_SOLID_BREP('Body3',#350410); #2726=MANIFOLD_SOLID_BREP('Body3',#350411); #2727=MANIFOLD_SOLID_BREP('Body3',#350412); #2728=MANIFOLD_SOLID_BREP('Body3',#350413); #2729=MANIFOLD_SOLID_BREP('Body3',#350414); #2730=MANIFOLD_SOLID_BREP('Body3',#350415); #2731=MANIFOLD_SOLID_BREP('Body3',#350416); #2732=MANIFOLD_SOLID_BREP('Body3',#350417); #2733=MANIFOLD_SOLID_BREP('Body3',#350418); #2734=MANIFOLD_SOLID_BREP('Body3',#350419); #2735=MANIFOLD_SOLID_BREP('Body3',#350420); #2736=MANIFOLD_SOLID_BREP('Body3',#350421); #2737=MANIFOLD_SOLID_BREP('Body3',#350422); #2738=MANIFOLD_SOLID_BREP('Body3',#350423); #2739=MANIFOLD_SOLID_BREP('Body3',#350424); #2740=MANIFOLD_SOLID_BREP('Body3',#350425); #2741=MANIFOLD_SOLID_BREP('Body3',#350426); #2742=MANIFOLD_SOLID_BREP('Body3',#350427); #2743=MANIFOLD_SOLID_BREP('Body3',#350428); #2744=MANIFOLD_SOLID_BREP('Body3',#350429); #2745=MANIFOLD_SOLID_BREP('Body3',#350430); #2746=MANIFOLD_SOLID_BREP('Body3',#350431); #2747=MANIFOLD_SOLID_BREP('Body3',#350432); #2748=MANIFOLD_SOLID_BREP('Body3',#350433); #2749=MANIFOLD_SOLID_BREP('Body3',#350434); #2750=MANIFOLD_SOLID_BREP('Body3',#350435); #2751=MANIFOLD_SOLID_BREP('Body3',#350436); #2752=MANIFOLD_SOLID_BREP('Body3',#350437); #2753=MANIFOLD_SOLID_BREP('Body3',#350438); #2754=MANIFOLD_SOLID_BREP('Body3',#350439); #2755=MANIFOLD_SOLID_BREP('Body3',#350440); #2756=MANIFOLD_SOLID_BREP('Body3',#350441); #2757=MANIFOLD_SOLID_BREP('Body3',#350442); #2758=MANIFOLD_SOLID_BREP('Body3',#350443); #2759=MANIFOLD_SOLID_BREP('Body3',#350444); #2760=MANIFOLD_SOLID_BREP('Body3',#350445); #2761=MANIFOLD_SOLID_BREP('Body3',#350446); #2762=MANIFOLD_SOLID_BREP('Body3',#350447); #2763=MANIFOLD_SOLID_BREP('Body3',#350448); #2764=MANIFOLD_SOLID_BREP('Body3',#350449); #2765=MANIFOLD_SOLID_BREP('Body3',#350450); #2766=MANIFOLD_SOLID_BREP('Body3',#350451); #2767=MANIFOLD_SOLID_BREP('Body3',#350452); #2768=MANIFOLD_SOLID_BREP('Body3',#350453); #2769=MANIFOLD_SOLID_BREP('Body3',#350454); #2770=MANIFOLD_SOLID_BREP('Body3',#350455); #2771=MANIFOLD_SOLID_BREP('Body3',#350456); #2772=MANIFOLD_SOLID_BREP('Body3',#350457); #2773=MANIFOLD_SOLID_BREP('Body3',#350458); #2774=MANIFOLD_SOLID_BREP('Body3',#350459); #2775=MANIFOLD_SOLID_BREP('Body3',#350460); #2776=MANIFOLD_SOLID_BREP('Body3',#350461); #2777=MANIFOLD_SOLID_BREP('Body3',#350462); #2778=MANIFOLD_SOLID_BREP('Body3',#350463); #2779=MANIFOLD_SOLID_BREP('Body3',#350464); #2780=MANIFOLD_SOLID_BREP('Body3',#350465); #2781=MANIFOLD_SOLID_BREP('Body3',#350466); #2782=MANIFOLD_SOLID_BREP('Body3',#350467); #2783=MANIFOLD_SOLID_BREP('Body3',#350468); #2784=MANIFOLD_SOLID_BREP('Body3',#350469); #2785=MANIFOLD_SOLID_BREP('Body3',#350470); #2786=MANIFOLD_SOLID_BREP('Body3',#350471); #2787=MANIFOLD_SOLID_BREP('Body3',#350472); #2788=MANIFOLD_SOLID_BREP('Body1',#350473); #2789=MANIFOLD_SOLID_BREP('Body1',#350474); #2790=MANIFOLD_SOLID_BREP('Body1',#350475); #2791=MANIFOLD_SOLID_BREP('Body1',#350476); #2792=MANIFOLD_SOLID_BREP('Body1',#350477); #2793=MANIFOLD_SOLID_BREP('Body1',#350478); #2794=MANIFOLD_SOLID_BREP('Body1',#350479); #2795=MANIFOLD_SOLID_BREP('Body1',#350480); #2796=MANIFOLD_SOLID_BREP('Body1',#350481); #2797=MANIFOLD_SOLID_BREP('Body1',#350482); #2798=MANIFOLD_SOLID_BREP('Body1',#350483); #2799=MANIFOLD_SOLID_BREP('Body1',#350484); #2800=MANIFOLD_SOLID_BREP('Body1',#350485); #2801=MANIFOLD_SOLID_BREP('Body1',#350486); #2802=MANIFOLD_SOLID_BREP('Body1',#350487); #2803=MANIFOLD_SOLID_BREP('Body1',#350488); #2804=MANIFOLD_SOLID_BREP('Body1',#350489); #2805=MANIFOLD_SOLID_BREP('Body1',#350490); #2806=MANIFOLD_SOLID_BREP('Body1',#350491); #2807=MANIFOLD_SOLID_BREP('Body1',#350492); #2808=MANIFOLD_SOLID_BREP('Body1',#350493); #2809=MANIFOLD_SOLID_BREP('Body1',#350494); #2810=MANIFOLD_SOLID_BREP('Body1',#350495); #2811=MANIFOLD_SOLID_BREP('Body1',#350496); #2812=MANIFOLD_SOLID_BREP('Body1',#350497); #2813=MANIFOLD_SOLID_BREP('Body1',#350498); #2814=MANIFOLD_SOLID_BREP('Body1',#350499); #2815=MANIFOLD_SOLID_BREP('Body1',#350500); #2816=MANIFOLD_SOLID_BREP('Body1',#350501); #2817=MANIFOLD_SOLID_BREP('Body1',#350502); #2818=MANIFOLD_SOLID_BREP('Body1',#350503); #2819=MANIFOLD_SOLID_BREP('Body1',#350504); #2820=MANIFOLD_SOLID_BREP('Body1',#350505); #2821=MANIFOLD_SOLID_BREP('Body1',#350506); #2822=MANIFOLD_SOLID_BREP('Body1',#350507); #2823=MANIFOLD_SOLID_BREP('Body1',#350508); #2824=MANIFOLD_SOLID_BREP('Body1',#350509); #2825=MANIFOLD_SOLID_BREP('Body1',#350510); #2826=MANIFOLD_SOLID_BREP('Body1',#350511); #2827=MANIFOLD_SOLID_BREP('Body1',#350512); #2828=MANIFOLD_SOLID_BREP('Body1',#350513); #2829=MANIFOLD_SOLID_BREP('Body1',#350514); #2830=MANIFOLD_SOLID_BREP('Body1',#350515); #2831=MANIFOLD_SOLID_BREP('Body1',#350516); #2832=MANIFOLD_SOLID_BREP('Body1',#350517); #2833=MANIFOLD_SOLID_BREP('Body5',#350518); #2834=MANIFOLD_SOLID_BREP('Body5',#350519); #2835=MANIFOLD_SOLID_BREP('Body5',#350520); #2836=MANIFOLD_SOLID_BREP('Body5',#350521); #2837=MANIFOLD_SOLID_BREP('Body5',#350522); #2838=MANIFOLD_SOLID_BREP('Body5',#350523); #2839=MANIFOLD_SOLID_BREP('Body5',#350524); #2840=MANIFOLD_SOLID_BREP('Body5',#350525); #2841=MANIFOLD_SOLID_BREP('Body5',#350526); #2842=MANIFOLD_SOLID_BREP('Body5',#350527); #2843=MANIFOLD_SOLID_BREP('Body5',#350528); #2844=MANIFOLD_SOLID_BREP('Body5',#350529); #2845=MANIFOLD_SOLID_BREP('Body5',#350530); #2846=MANIFOLD_SOLID_BREP('Body5',#350531); #2847=MANIFOLD_SOLID_BREP('Body5',#350532); #2848=MANIFOLD_SOLID_BREP('Body5',#350533); #2849=MANIFOLD_SOLID_BREP('Body5',#350534); #2850=MANIFOLD_SOLID_BREP('Body5',#350535); #2851=MANIFOLD_SOLID_BREP('Body5',#350536); #2852=MANIFOLD_SOLID_BREP('Body5',#350537); #2853=MANIFOLD_SOLID_BREP('Body5',#350538); #2854=MANIFOLD_SOLID_BREP('Body5',#350539); #2855=MANIFOLD_SOLID_BREP('Body5',#350540); #2856=MANIFOLD_SOLID_BREP('Body5',#350541); #2857=MANIFOLD_SOLID_BREP('Body5',#350542); #2858=MANIFOLD_SOLID_BREP('Body5',#350543); #2859=MANIFOLD_SOLID_BREP('Body5',#350544); #2860=MANIFOLD_SOLID_BREP('Body5',#350545); #2861=MANIFOLD_SOLID_BREP('Body5',#350546); #2862=MANIFOLD_SOLID_BREP('Body5',#350547); #2863=MANIFOLD_SOLID_BREP('Body5',#350548); #2864=MANIFOLD_SOLID_BREP('Body5',#350549); #2865=MANIFOLD_SOLID_BREP('Body2',#350550); #2866=MANIFOLD_SOLID_BREP('Body2',#350551); #2867=MANIFOLD_SOLID_BREP('Body2',#350552); #2868=MANIFOLD_SOLID_BREP('Body2',#350553); #2869=MANIFOLD_SOLID_BREP('Body2',#350554); #2870=MANIFOLD_SOLID_BREP('Body2',#350555); #2871=MANIFOLD_SOLID_BREP('Body2',#350556); #2872=MANIFOLD_SOLID_BREP('Body2',#350557); #2873=MANIFOLD_SOLID_BREP('Body2',#350558); #2874=MANIFOLD_SOLID_BREP('Body2',#350559); #2875=MANIFOLD_SOLID_BREP('Body2',#350560); #2876=MANIFOLD_SOLID_BREP('Body2',#350561); #2877=MANIFOLD_SOLID_BREP('Body2',#350562); #2878=MANIFOLD_SOLID_BREP('Body2',#350563); #2879=MANIFOLD_SOLID_BREP('Body2',#350564); #2880=MANIFOLD_SOLID_BREP('Body2',#350565); #2881=MANIFOLD_SOLID_BREP('Body2',#350566); #2882=MANIFOLD_SOLID_BREP('Body2',#350567); #2883=MANIFOLD_SOLID_BREP('Body2',#350568); #2884=MANIFOLD_SOLID_BREP('Body2',#350569); #2885=MANIFOLD_SOLID_BREP('Body2',#350570); #2886=MANIFOLD_SOLID_BREP('Body2',#350571); #2887=MANIFOLD_SOLID_BREP('Body2',#350572); #2888=MANIFOLD_SOLID_BREP('Body3',#350573); #2889=MANIFOLD_SOLID_BREP('Body3',#350574); #2890=MANIFOLD_SOLID_BREP('Body3',#350575); #2891=MANIFOLD_SOLID_BREP('Body3',#350576); #2892=MANIFOLD_SOLID_BREP('Body3',#350577); #2893=MANIFOLD_SOLID_BREP('Body3',#350578); #2894=MANIFOLD_SOLID_BREP('Body3',#350579); #2895=MANIFOLD_SOLID_BREP('Body3',#350580); #2896=MANIFOLD_SOLID_BREP('Body3',#350581); #2897=MANIFOLD_SOLID_BREP('Body3',#350582); #2898=MANIFOLD_SOLID_BREP('Body3',#350583); #2899=MANIFOLD_SOLID_BREP('Body3',#350584); #2900=MANIFOLD_SOLID_BREP('Body3',#350585); #2901=MANIFOLD_SOLID_BREP('Body3',#350586); #2902=MANIFOLD_SOLID_BREP('Body3',#350587); #2903=MANIFOLD_SOLID_BREP('Body3',#350588); #2904=MANIFOLD_SOLID_BREP('Body3',#350589); #2905=MANIFOLD_SOLID_BREP('Body3',#350590); #2906=MANIFOLD_SOLID_BREP('Body3',#350591); #2907=MANIFOLD_SOLID_BREP('Body3',#350592); #2908=MANIFOLD_SOLID_BREP('Body3',#350593); #2909=MANIFOLD_SOLID_BREP('Body3',#350594); #2910=MANIFOLD_SOLID_BREP('Body3',#350595); #2911=MANIFOLD_SOLID_BREP('Body3',#350596); #2912=MANIFOLD_SOLID_BREP('Body3',#350597); #2913=MANIFOLD_SOLID_BREP('Body3',#350598); #2914=MANIFOLD_SOLID_BREP('Body3',#350599); #2915=MANIFOLD_SOLID_BREP('Body3',#350600); #2916=MANIFOLD_SOLID_BREP('Body3',#350601); #2917=MANIFOLD_SOLID_BREP('Body3',#350602); #2918=MANIFOLD_SOLID_BREP('Body3',#350603); #2919=MANIFOLD_SOLID_BREP('Body3',#350604); #2920=MANIFOLD_SOLID_BREP('Body3',#350605); #2921=MANIFOLD_SOLID_BREP('Body3',#350606); #2922=MANIFOLD_SOLID_BREP('Body3',#350607); #2923=MANIFOLD_SOLID_BREP('Body3',#350608); #2924=MANIFOLD_SOLID_BREP('Body3',#350609); #2925=MANIFOLD_SOLID_BREP('Body3',#350610); #2926=MANIFOLD_SOLID_BREP('Body3',#350611); #2927=MANIFOLD_SOLID_BREP('Body3',#350612); #2928=MANIFOLD_SOLID_BREP('Body3',#350613); #2929=MANIFOLD_SOLID_BREP('Body3',#350614); #2930=MANIFOLD_SOLID_BREP('Body3',#350615); #2931=MANIFOLD_SOLID_BREP('Body3',#350616); #2932=MANIFOLD_SOLID_BREP('Body3',#350617); #2933=MANIFOLD_SOLID_BREP('Body3',#350618); #2934=MANIFOLD_SOLID_BREP('Body3',#350619); #2935=MANIFOLD_SOLID_BREP('Body3',#350620); #2936=MANIFOLD_SOLID_BREP('Body3',#350621); #2937=MANIFOLD_SOLID_BREP('Body3',#350622); #2938=MANIFOLD_SOLID_BREP('Body3',#350623); #2939=MANIFOLD_SOLID_BREP('Body3',#350624); #2940=MANIFOLD_SOLID_BREP('Body3',#350625); #2941=MANIFOLD_SOLID_BREP('Body3',#350626); #2942=MANIFOLD_SOLID_BREP('Body3',#350627); #2943=MANIFOLD_SOLID_BREP('Body3',#350628); #2944=MANIFOLD_SOLID_BREP('Body3',#350629); #2945=MANIFOLD_SOLID_BREP('Body3',#350630); #2946=MANIFOLD_SOLID_BREP('Body3',#350631); #2947=MANIFOLD_SOLID_BREP('Body3',#350632); #2948=MANIFOLD_SOLID_BREP('Body3',#350633); #2949=MANIFOLD_SOLID_BREP('Body3',#350634); #2950=MANIFOLD_SOLID_BREP('Body3',#350635); #2951=MANIFOLD_SOLID_BREP('Body3',#350636); #2952=MANIFOLD_SOLID_BREP('Body3',#350637); #2953=MANIFOLD_SOLID_BREP('Body3',#350638); #2954=MANIFOLD_SOLID_BREP('Body3',#350639); #2955=MANIFOLD_SOLID_BREP('Body3',#350640); #2956=MANIFOLD_SOLID_BREP('Body3',#350641); #2957=MANIFOLD_SOLID_BREP('Body3',#350642); #2958=MANIFOLD_SOLID_BREP('Body3',#350643); #2959=MANIFOLD_SOLID_BREP('Body3',#350644); #2960=MANIFOLD_SOLID_BREP('Body3',#350645); #2961=MANIFOLD_SOLID_BREP('Body3',#350646); #2962=MANIFOLD_SOLID_BREP('Body3',#350647); #2963=MANIFOLD_SOLID_BREP('Body3',#350648); #2964=MANIFOLD_SOLID_BREP('Body3',#350649); #2965=MANIFOLD_SOLID_BREP('Body3',#350650); #2966=MANIFOLD_SOLID_BREP('Body3',#350651); #2967=MANIFOLD_SOLID_BREP('Body3',#350652); #2968=MANIFOLD_SOLID_BREP('Body3',#350653); #2969=MANIFOLD_SOLID_BREP('Body3',#350654); #2970=MANIFOLD_SOLID_BREP('Body3',#350655); #2971=MANIFOLD_SOLID_BREP('Body3',#350656); #2972=MANIFOLD_SOLID_BREP('Body3',#350657); #2973=MANIFOLD_SOLID_BREP('Body3',#350658); #2974=MANIFOLD_SOLID_BREP('Body3',#350659); #2975=MANIFOLD_SOLID_BREP('Body3',#350660); #2976=MANIFOLD_SOLID_BREP('Body3',#350661); #2977=MANIFOLD_SOLID_BREP('Body3',#350662); #2978=MANIFOLD_SOLID_BREP('Body3',#350663); #2979=MANIFOLD_SOLID_BREP('Body3',#350664); #2980=MANIFOLD_SOLID_BREP('Body3',#350665); #2981=MANIFOLD_SOLID_BREP('Body3',#350666); #2982=MANIFOLD_SOLID_BREP('Body3',#350667); #2983=MANIFOLD_SOLID_BREP('Body1',#350668); #2984=MANIFOLD_SOLID_BREP('Body1',#350669); #2985=MANIFOLD_SOLID_BREP('Body1',#350670); #2986=MANIFOLD_SOLID_BREP('Body1',#350671); #2987=MANIFOLD_SOLID_BREP('Body2',#350672); #2988=MANIFOLD_SOLID_BREP('Body3',#350673); #2989=MANIFOLD_SOLID_BREP('Body4',#350674); #2990=MANIFOLD_SOLID_BREP('Body5',#350675); #2991=MANIFOLD_SOLID_BREP('Body1',#350676); #2992=MANIFOLD_SOLID_BREP('Body1',#350677); #2993=MANIFOLD_SOLID_BREP('Body1',#350678); #2994=MANIFOLD_SOLID_BREP('Body1',#350679); #2995=MANIFOLD_SOLID_BREP('Body1',#350680); #2996=MANIFOLD_SOLID_BREP('Body1',#350681); #2997=MANIFOLD_SOLID_BREP('Body1',#350682); #2998=MANIFOLD_SOLID_BREP('Body1',#350683); #2999=MANIFOLD_SOLID_BREP('Body1',#350684); #3000=MANIFOLD_SOLID_BREP('Body1',#350685); #3001=MANIFOLD_SOLID_BREP('Body1',#350686); #3002=MANIFOLD_SOLID_BREP('Body1',#350687); #3003=MANIFOLD_SOLID_BREP('Body1',#350688); #3004=MANIFOLD_SOLID_BREP('Body1',#350689); #3005=MANIFOLD_SOLID_BREP('Body1',#350690); #3006=MANIFOLD_SOLID_BREP('Body1',#350691); #3007=MANIFOLD_SOLID_BREP('Body1',#350692); #3008=MANIFOLD_SOLID_BREP('Body1',#350693); #3009=MANIFOLD_SOLID_BREP('Body1',#350694); #3010=MANIFOLD_SOLID_BREP('Body1',#350695); #3011=MANIFOLD_SOLID_BREP('Body2',#350696); #3012=MANIFOLD_SOLID_BREP('Body3',#350697); #3013=MANIFOLD_SOLID_BREP('Body1',#350698); #3014=MANIFOLD_SOLID_BREP('Body2',#350699); #3015=MANIFOLD_SOLID_BREP('Body3',#350700); #3016=MANIFOLD_SOLID_BREP('Body1',#350701); #3017=MANIFOLD_SOLID_BREP('Body2',#350702); #3018=MANIFOLD_SOLID_BREP('Body3',#350703); #3019=MANIFOLD_SOLID_BREP('Body1',#350704); #3020=MANIFOLD_SOLID_BREP('Body2',#350705); #3021=MANIFOLD_SOLID_BREP('Body3',#350706); #3022=MANIFOLD_SOLID_BREP('Body4',#350707); #3023=MANIFOLD_SOLID_BREP('Body5',#350708); #3024=MANIFOLD_SOLID_BREP('Body6',#350709); #3025=MANIFOLD_SOLID_BREP('Body7',#350710); #3026=MANIFOLD_SOLID_BREP('Body8',#350711); #3027=MANIFOLD_SOLID_BREP('Body9',#350712); #3028=MANIFOLD_SOLID_BREP('Body10',#350713); #3029=MANIFOLD_SOLID_BREP('Body11',#350714); #3030=MANIFOLD_SOLID_BREP('Body12',#350715); #3031=MANIFOLD_SOLID_BREP('Body13',#350716); #3032=MANIFOLD_SOLID_BREP('Body1',#350717); #3033=MANIFOLD_SOLID_BREP('Body2',#350718); #3034=MANIFOLD_SOLID_BREP('Body3',#350719); #3035=MANIFOLD_SOLID_BREP('Body4',#350720); #3036=MANIFOLD_SOLID_BREP('Body5',#350721); #3037=MANIFOLD_SOLID_BREP('Body6',#350722); #3038=MANIFOLD_SOLID_BREP('Body7',#350723); #3039=MANIFOLD_SOLID_BREP('Body8',#350724); #3040=MANIFOLD_SOLID_BREP('Body9',#350725); #3041=MANIFOLD_SOLID_BREP('Body10',#350726); #3042=MANIFOLD_SOLID_BREP('Body11',#350727); #3043=MANIFOLD_SOLID_BREP('Body12',#350728); #3044=MANIFOLD_SOLID_BREP('Body13',#350729); #3045=MANIFOLD_SOLID_BREP('Body14',#350730); #3046=MANIFOLD_SOLID_BREP('Body15',#350731); #3047=MANIFOLD_SOLID_BREP('Body16',#350732); #3048=MANIFOLD_SOLID_BREP('Body17',#350733); #3049=MANIFOLD_SOLID_BREP('Body18',#350734); #3050=MANIFOLD_SOLID_BREP('Body1',#350735); #3051=MANIFOLD_SOLID_BREP('Body2',#350736); #3052=MANIFOLD_SOLID_BREP('Body3',#350737); #3053=MANIFOLD_SOLID_BREP('Body4',#350738); #3054=MANIFOLD_SOLID_BREP('Body5',#350739); #3055=MANIFOLD_SOLID_BREP('Body6',#350740); #3056=MANIFOLD_SOLID_BREP('Body7',#350741); #3057=MANIFOLD_SOLID_BREP('Body8',#350742); #3058=MANIFOLD_SOLID_BREP('Body9',#350743); #3059=MANIFOLD_SOLID_BREP('Body10',#350744); #3060=MANIFOLD_SOLID_BREP('Body11',#350745); #3061=MANIFOLD_SOLID_BREP('Body12',#350746); #3062=MANIFOLD_SOLID_BREP('Body13',#350747); #3063=MANIFOLD_SOLID_BREP('Body14',#350748); #3064=MANIFOLD_SOLID_BREP('Body15',#350749); #3065=MANIFOLD_SOLID_BREP('Body16',#350750); #3066=MANIFOLD_SOLID_BREP('Body17',#350751); #3067=MANIFOLD_SOLID_BREP('Body18',#350752); #3068=MANIFOLD_SOLID_BREP('Body1',#350753); #3069=MANIFOLD_SOLID_BREP('Body2',#350754); #3070=MANIFOLD_SOLID_BREP('Body3',#350755); #3071=MANIFOLD_SOLID_BREP('Body4',#350756); #3072=MANIFOLD_SOLID_BREP('Body5',#350757); #3073=MANIFOLD_SOLID_BREP('Body1',#350758); #3074=MANIFOLD_SOLID_BREP('Body2',#350759); #3075=MANIFOLD_SOLID_BREP('Body3',#350760); #3076=MANIFOLD_SOLID_BREP('Body1',#350761); #3077=MANIFOLD_SOLID_BREP('Body2',#350762); #3078=MANIFOLD_SOLID_BREP('Body3',#350763); #3079=MANIFOLD_SOLID_BREP('Body4',#350764); #3080=MANIFOLD_SOLID_BREP('Body5',#350765); #3081=MANIFOLD_SOLID_BREP('Body6',#350766); #3082=MANIFOLD_SOLID_BREP('Body7',#350767); #3083=MANIFOLD_SOLID_BREP('Body8',#350768); #3084=MANIFOLD_SOLID_BREP('Body9',#350769); #3085=MANIFOLD_SOLID_BREP('Body10',#350770); #3086=MANIFOLD_SOLID_BREP('Body11',#350771); #3087=MANIFOLD_SOLID_BREP('Body12',#350772); #3088=MANIFOLD_SOLID_BREP('Body13',#350773); #3089=MANIFOLD_SOLID_BREP('Body14',#350774); #3090=MANIFOLD_SOLID_BREP('Body15',#350775); #3091=MANIFOLD_SOLID_BREP('Body16',#350776); #3092=MANIFOLD_SOLID_BREP('Body17',#350777); #3093=MANIFOLD_SOLID_BREP('Body18',#350778); #3094=MANIFOLD_SOLID_BREP('Body19',#350779); #3095=MANIFOLD_SOLID_BREP('Body20',#350780); #3096=MANIFOLD_SOLID_BREP('Body21',#350781); #3097=MANIFOLD_SOLID_BREP('Body22',#350782); #3098=MANIFOLD_SOLID_BREP('Body23',#350783); #3099=MANIFOLD_SOLID_BREP('Body24',#350784); #3100=MANIFOLD_SOLID_BREP('Body25',#350785); #3101=MANIFOLD_SOLID_BREP('Body26',#350786); #3102=MANIFOLD_SOLID_BREP('Body27',#350787); #3103=MANIFOLD_SOLID_BREP('Body28',#350788); #3104=MANIFOLD_SOLID_BREP('Body29',#350789); #3105=MANIFOLD_SOLID_BREP('Body30',#350790); #3106=MANIFOLD_SOLID_BREP('Body31',#350791); #3107=MANIFOLD_SOLID_BREP('Body32',#350792); #3108=MANIFOLD_SOLID_BREP('Body33',#350793); #3109=MANIFOLD_SOLID_BREP('Body34',#350794); #3110=MANIFOLD_SOLID_BREP('Body35',#350795); #3111=MANIFOLD_SOLID_BREP('Body36',#350796); #3112=MANIFOLD_SOLID_BREP('Body37',#350797); #3113=MANIFOLD_SOLID_BREP('Body38',#350798); #3114=MANIFOLD_SOLID_BREP('Body39',#350799); #3115=MANIFOLD_SOLID_BREP('Body40',#350800); #3116=MANIFOLD_SOLID_BREP('Body41',#350801); #3117=MANIFOLD_SOLID_BREP('Body42',#350802); #3118=MANIFOLD_SOLID_BREP('Body43',#350803); #3119=MANIFOLD_SOLID_BREP('Body44',#350804); #3120=MANIFOLD_SOLID_BREP('Body45',#350805); #3121=MANIFOLD_SOLID_BREP('Body46',#350806); #3122=MANIFOLD_SOLID_BREP('Body47',#350807); #3123=MANIFOLD_SOLID_BREP('Body48',#350808); #3124=MANIFOLD_SOLID_BREP('Body49',#350809); #3125=MANIFOLD_SOLID_BREP('Body50',#350810); #3126=MANIFOLD_SOLID_BREP('Body1',#350811); #3127=MANIFOLD_SOLID_BREP('Body2',#350812); #3128=MANIFOLD_SOLID_BREP('Body3',#350813); #3129=MANIFOLD_SOLID_BREP('Body1',#350814); #3130=MANIFOLD_SOLID_BREP('Body2',#350815); #3131=MANIFOLD_SOLID_BREP('Body3',#350816); #3132=MANIFOLD_SOLID_BREP('Body4',#350817); #3133=MANIFOLD_SOLID_BREP('Body5',#350818); #3134=MANIFOLD_SOLID_BREP('Body6',#350819); #3135=MANIFOLD_SOLID_BREP('Body1',#350820); #3136=MANIFOLD_SOLID_BREP('Body2',#350821); #3137=MANIFOLD_SOLID_BREP('Body3',#350822); #3138=MANIFOLD_SOLID_BREP('Body4',#350823); #3139=MANIFOLD_SOLID_BREP('Body5',#350824); #3140=MANIFOLD_SOLID_BREP('Body6',#350825); #3141=MANIFOLD_SOLID_BREP('Body7',#350826); #3142=MANIFOLD_SOLID_BREP('Body8',#350827); #3143=MANIFOLD_SOLID_BREP('Body9',#350828); #3144=MANIFOLD_SOLID_BREP('Body1',#350829); #3145=MANIFOLD_SOLID_BREP('Body2',#350830); #3146=MANIFOLD_SOLID_BREP('Body3',#350831); #3147=MANIFOLD_SOLID_BREP('Body4',#350832); #3148=MANIFOLD_SOLID_BREP('Body5',#350833); #3149=MANIFOLD_SOLID_BREP('Body6',#350834); #3150=MANIFOLD_SOLID_BREP('Body1',#350835); #3151=MANIFOLD_SOLID_BREP('Body2',#350836); #3152=MANIFOLD_SOLID_BREP('Body3',#350837); #3153=MANIFOLD_SOLID_BREP('Body1',#350838); #3154=MANIFOLD_SOLID_BREP('Body1',#350839); #3155=MANIFOLD_SOLID_BREP('Body1',#350840); #3156=MANIFOLD_SOLID_BREP('Body1',#350841); #3157=MANIFOLD_SOLID_BREP('Body1',#350842); #3158=MANIFOLD_SOLID_BREP('Body2',#350843); #3159=MANIFOLD_SOLID_BREP('Body3',#350844); #3160=MANIFOLD_SOLID_BREP('Body4',#350845); #3161=MANIFOLD_SOLID_BREP('Body6',#350846); #3162=ELLIPSE('',#351603,0.655872693719607,0.571300577527462); #3163=ELLIPSE('',#351627,0.655872989589472,0.571301075641745); #3164=ELLIPSE('',#351649,0.655873341283983,0.571301667168426); #3165=ELLIPSE('',#351668,0.655873192947597,0.571301417522085); #3166=ELLIPSE('',#351677,5.21316964591279,2.964336607013); #3167=ELLIPSE('',#351679,13.3334398186432,2.964336607013); #3168=ELLIPSE('',#351687,0.655872759661309,0.571300689121702); #3169=ELLIPSE('',#351693,0.300378755011943,0.300131939155302); #3170=ELLIPSE('',#351694,0.332908566684663,0.315545739298333); #3171=ELLIPSE('',#352040,18.0312229202569,12.75); #3172=ELLIPSE('',#352041,19.4454364826301,13.75); #3173=ELLIPSE('',#352049,18.0312229202569,12.75); #3174=ELLIPSE('',#352050,19.44543648263,13.75); #3175=ELLIPSE('',#352061,18.0312229202569,12.75); #3176=ELLIPSE('',#352062,19.4454364826301,13.75); #3177=ELLIPSE('',#352068,18.031222920257,12.75); #3178=ELLIPSE('',#352069,19.4454364826301,13.75); #3179=ELLIPSE('',#352269,41.4142135623735,29.2842712474619); #3180=ELLIPSE('',#352271,41.4142135623735,29.2842712474619); #3181=ELLIPSE('',#352273,41.4142135623735,29.2842712474619); #3182=ELLIPSE('',#352275,41.4142135623733,29.2842712474619); #3183=ELLIPSE('',#352277,41.4142135623733,29.2842712474619); #3184=ELLIPSE('',#352279,41.4142135623735,29.2842712474619); #3185=ELLIPSE('',#352282,41.4142135623737,29.2842712474619); #3186=ELLIPSE('',#352284,41.4142135623737,29.2842712474619); #3187=ELLIPSE('',#352286,41.4142135623735,29.2842712474619); #3188=ELLIPSE('',#352288,41.4142135623735,29.2842712474619); #3189=ELLIPSE('',#352290,41.4142135623735,29.2842712474619); #3190=ELLIPSE('',#352292,41.4142135623735,29.2842712474619); #3191=ELLIPSE('',#352294,41.4142135623735,29.2842712474619); #3192=ELLIPSE('',#352296,41.4142135623737,29.2842712474619); #3193=ELLIPSE('',#352298,41.4142135623737,29.2842712474619); #3194=ELLIPSE('',#352300,41.4142135623733,29.2842712474619); #3195=ELLIPSE('',#352302,41.4142135623733,29.2842712474619); #3196=ELLIPSE('',#352304,41.4142135623735,29.2842712474619); #3197=ELLIPSE('',#352306,41.4142135623735,29.2842712474619); #3198=ELLIPSE('',#352308,41.4142135623735,29.2842712474619); #3199=ELLIPSE('',#352310,41.4142135623735,29.2842712474619); #3200=ELLIPSE('',#352312,41.4142135623735,29.2842712474619); #3201=ELLIPSE('',#352314,41.4142135623731,29.2842712474619); #3202=ELLIPSE('',#352316,41.4142135623735,29.2842712474619); #3203=ELLIPSE('',#352770,41.343502884255,29.2342712474619); #3204=ELLIPSE('',#352771,41.3435028842548,29.2342712474619); #3205=ELLIPSE('',#352772,41.3435028842548,29.2342712474619); #3206=ELLIPSE('',#352773,41.3435028842548,29.2342712474619); #3207=ELLIPSE('',#352774,41.3435028842548,29.2342712474619); #3208=ELLIPSE('',#352775,41.3435028842548,29.2342712474619); #3209=ELLIPSE('',#352776,41.3435028842548,29.2342712474619); #3210=ELLIPSE('',#352777,41.343502884255,29.2342712474619); #3211=ELLIPSE('',#352778,41.343502884255,29.2342712474619); #3212=ELLIPSE('',#352779,41.3435028842546,29.2342712474619); #3213=ELLIPSE('',#352780,41.3435028842546,29.2342712474619); #3214=ELLIPSE('',#352781,41.3435028842548,29.2342712474619); #3215=ELLIPSE('',#352782,41.3435028842548,29.2342712474619); #3216=ELLIPSE('',#352783,41.3435028842548,29.2342712474619); #3217=ELLIPSE('',#352784,41.3435028842548,29.2342712474619); #3218=ELLIPSE('',#352785,41.3435028842544,29.2342712474619); #3219=ELLIPSE('',#352786,41.3435028842544,29.2342712474619); #3220=ELLIPSE('',#352787,41.3435028842548,29.2342712474619); #3221=ELLIPSE('',#352788,41.3435028842548,29.2342712474619); #3222=ELLIPSE('',#352789,41.3435028842548,29.2342712474619); #3223=ELLIPSE('',#352790,41.3435028842548,29.2342712474619); #3224=ELLIPSE('',#352791,41.3435028842546,29.2342712474619); #3225=ELLIPSE('',#352792,41.3435028842546,29.2342712474619); #3226=ELLIPSE('',#352793,41.343502884255,29.2342712474619); #3227=ELLIPSE('',#352841,3.53553390593273,2.5); #3228=ELLIPSE('',#352844,3.53553390593273,2.5); #3229=ELLIPSE('',#373581,0.197989898732233,0.14); #3230=ELLIPSE('',#373582,0.197989898732233,0.14); #3231=ELLIPSE('',#373584,0.197989898732233,0.14); #3232=ELLIPSE('',#373585,0.197989898732233,0.14); #3233=ELLIPSE('',#374282,1.81019335983756,1.28); #3234=ELLIPSE('',#374283,0.28356572915838,0.200511249999998); #3235=ELLIPSE('',#374284,0.28356572915838,0.200511249999998); #3236=ELLIPSE('',#374334,1.81019335983756,1.28); #3237=ELLIPSE('',#374335,0.28356572915838,0.200511249999998); #3238=ELLIPSE('',#374336,0.28356572915838,0.200511249999998); #3239=ELLIPSE('',#374756,0.223606797749979,0.2); #3240=ELLIPSE('',#374816,0.223606797749979,0.2); #3241=ELLIPSE('',#374824,0.223606797749979,0.2); #3242=ELLIPSE('',#374829,0.223606797749979,0.2); #3243=ELLIPSE('',#374868,0.249858826427815,0.176676870506421); #3244=ELLIPSE('',#374871,0.249858826427815,0.176676870506421); #3245=ELLIPSE('',#374884,0.249858826427815,0.176676870506421); #3246=ELLIPSE('',#374887,0.249858826427815,0.176676870506421); #3247=ELLIPSE('',#374936,0.0972259329022809,0.070502406497278); #3248=ELLIPSE('',#374937,0.266890079046204,0.214958102704807); #3249=ELLIPSE('',#374946,0.0972259328296396,0.070502406471255); #3250=ELLIPSE('',#374947,0.266890079316344,0.214958103138367); #3251=ELLIPSE('',#378011,46.6690475793855,33.0000000149012); #3252=ELLIPSE('',#378013,46.6690475793855,33.0000000149012); #3253=ELLIPSE('',#378015,46.6690475793855,33.0000000149012); #3254=ELLIPSE('',#378017,46.6690475793855,33.0000000149012); #3255=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#472538,#472539,#472540,#472541, #472542,#472543,#472544),(#472545,#472546,#472547,#472548,#472549,#472550, #472551),(#472552,#472553,#472554,#472555,#472556,#472557,#472558),(#472559, #472560,#472561,#472562,#472563,#472564,#472565),(#472566,#472567,#472568, #472569,#472570,#472571,#472572),(#472573,#472574,#472575,#472576,#472577, #472578,#472579),(#472580,#472581,#472582,#472583,#472584,#472585,#472586), (#472587,#472588,#472589,#472590,#472591,#472592,#472593),(#472594,#472595, #472596,#472597,#472598,#472599,#472600),(#472601,#472602,#472603,#472604, #472605,#472606,#472607),(#472608,#472609,#472610,#472611,#472612,#472613, #472614),(#472615,#472616,#472617,#472618,#472619,#472620,#472621),(#472622, #472623,#472624,#472625,#472626,#472627,#472628),(#472629,#472630,#472631, #472632,#472633,#472634,#472635),(#472636,#472637,#472638,#472639,#472640, #472641,#472642),(#472643,#472644,#472645,#472646,#472647,#472648,#472649), (#472650,#472651,#472652,#472653,#472654,#472655,#472656),(#472657,#472658, #472659,#472660,#472661,#472662,#472663),(#472664,#472665,#472666,#472667, #472668,#472669,#472670),(#472671,#472672,#472673,#472674,#472675,#472676, #472677),(#472678,#472679,#472680,#472681,#472682,#472683,#472684),(#472685, #472686,#472687,#472688,#472689,#472690,#472691),(#472692,#472693,#472694, #472695,#472696,#472697,#472698),(#472699,#472700,#472701,#472702,#472703, #472704,#472705),(#472706,#472707,#472708,#472709,#472710,#472711,#472712), (#472713,#472714,#472715,#472716,#472717,#472718,#472719),(#472720,#472721, #472722,#472723,#472724,#472725,#472726)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1146109944069, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.00848302741),(-0.002656491669022, 0.1264509983255,0.375989706336,0.9365554875361,1.00527808056), .UNSPECIFIED.); #3256=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#473068,#473069,#473070,#473071, #473072,#473073,#473074),(#473075,#473076,#473077,#473078,#473079,#473080, #473081),(#473082,#473083,#473084,#473085,#473086,#473087,#473088),(#473089, #473090,#473091,#473092,#473093,#473094,#473095),(#473096,#473097,#473098, #473099,#473100,#473101,#473102),(#473103,#473104,#473105,#473106,#473107, #473108,#473109),(#473110,#473111,#473112,#473113,#473114,#473115,#473116), (#473117,#473118,#473119,#473120,#473121,#473122,#473123),(#473124,#473125, #473126,#473127,#473128,#473129,#473130),(#473131,#473132,#473133,#473134, #473135,#473136,#473137),(#473138,#473139,#473140,#473141,#473142,#473143, #473144),(#473145,#473146,#473147,#473148,#473149,#473150,#473151),(#473152, #473153,#473154,#473155,#473156,#473157,#473158),(#473159,#473160,#473161, #473162,#473163,#473164,#473165),(#473166,#473167,#473168,#473169,#473170, #473171,#473172),(#473173,#473174,#473175,#473176,#473177,#473178,#473179), (#473180,#473181,#473182,#473183,#473184,#473185,#473186),(#473187,#473188, #473189,#473190,#473191,#473192,#473193),(#473194,#473195,#473196,#473197, #473198,#473199,#473200),(#473201,#473202,#473203,#473204,#473205,#473206, #473207),(#473208,#473209,#473210,#473211,#473212,#473213,#473214),(#473215, #473216,#473217,#473218,#473219,#473220,#473221),(#473222,#473223,#473224, #473225,#473226,#473227,#473228),(#473229,#473230,#473231,#473232,#473233, #473234,#473235),(#473236,#473237,#473238,#473239,#473240,#473241,#473242), (#473243,#473244,#473245,#473246,#473247,#473248,#473249),(#473250,#473251, #473252,#473253,#473254,#473255,#473256),(#473257,#473258,#473259,#473260, #473261,#473262,#473263)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233175915,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.8341645128187),(0.07238360598557,0.1264509983255, 0.375989706336,0.9365554875361,1.004432938708),.UNSPECIFIED.); #3257=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#474966,#474967,#474968,#474969, #474970,#474971,#474972,#474973,#474974,#474975,#474976),(#474977,#474978, #474979,#474980,#474981,#474982,#474983,#474984,#474985,#474986,#474987), (#474988,#474989,#474990,#474991,#474992,#474993,#474994,#474995,#474996, #474997,#474998),(#474999,#475000,#475001,#475002,#475003,#475004,#475005, #475006,#475007,#475008,#475009),(#475010,#475011,#475012,#475013,#475014, #475015,#475016,#475017,#475018,#475019,#475020),(#475021,#475022,#475023, #475024,#475025,#475026,#475027,#475028,#475029,#475030,#475031),(#475032, #475033,#475034,#475035,#475036,#475037,#475038,#475039,#475040,#475041, #475042),(#475043,#475044,#475045,#475046,#475047,#475048,#475049,#475050, #475051,#475052,#475053),(#475054,#475055,#475056,#475057,#475058,#475059, #475060,#475061,#475062,#475063,#475064),(#475065,#475066,#475067,#475068, #475069,#475070,#475071,#475072,#475073,#475074,#475075),(#475076,#475077, #475078,#475079,#475080,#475081,#475082,#475083,#475084,#475085,#475086), (#475087,#475088,#475089,#475090,#475091,#475092,#475093,#475094,#475095, #475096,#475097),(#475098,#475099,#475100,#475101,#475102,#475103,#475104, #475105,#475106,#475107,#475108),(#475109,#475110,#475111,#475112,#475113, #475114,#475115,#475116,#475117,#475118,#475119),(#475120,#475121,#475122, #475123,#475124,#475125,#475126,#475127,#475128,#475129,#475130),(#475131, #475132,#475133,#475134,#475135,#475136,#475137,#475138,#475139,#475140, #475141),(#475142,#475143,#475144,#475145,#475146,#475147,#475148,#475149, #475150,#475151,#475152),(#475153,#475154,#475155,#475156,#475157,#475158, #475159,#475160,#475161,#475162,#475163)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339824721523,0.0136870713273, 0.01380679246587,0.01392651360445,0.0141659558816,0.01440539815875,0.01488428271306, 0.01536316726736,0.01584205182167,0.01608149409882,0.01632093637597,0.01656037865313, 0.01679982093028,0.01703926320743,0.01715898434601,0.01726719944113),(-0.06998632774413, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.070140425408),.UNSPECIFIED.); #3258=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475291,#475292,#475293,#475294, #475295),(#475296,#475297,#475298,#475299,#475300),(#475301,#475302,#475303, #475304,#475305),(#475306,#475307,#475308,#475309,#475310),(#475311,#475312, #475313,#475314,#475315),(#475316,#475317,#475318,#475319,#475320),(#475321, #475322,#475323,#475324,#475325),(#475326,#475327,#475328,#475329,#475330)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219500530897E-5,0.0002189289158846, 0.0004378578317693,0.0005473222897116,0.0006567867476539,0.0009279815254603), (-0.08970709858613,0.5,1.090377631951),.UNSPECIFIED.); #3259=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475347,#475348,#475349,#475350, #475351),(#475352,#475353,#475354,#475355,#475356),(#475357,#475358,#475359, #475360,#475361),(#475362,#475363,#475364,#475365,#475366)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503402E-5,0.0004327546400625), (-0.1017418385027,0.5,1.101801397241),.UNSPECIFIED.); #3260=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475379,#475380,#475381,#475382, #475383),(#475384,#475385,#475386,#475387,#475388),(#475389,#475390,#475391, #475392,#475393),(#475394,#475395,#475396,#475397,#475398),(#475399,#475400, #475401,#475402,#475403)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049241E-5, 0.0003343700118778,0.000721551645529),(-0.1240256478901,0.5,1.123971094), .UNSPECIFIED.); #3261=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475411,#475412,#475413,#475414, #475415),(#475416,#475417,#475418,#475419,#475420),(#475421,#475422,#475423, #475424,#475425),(#475426,#475427,#475428,#475429,#475430),(#475431,#475432, #475433,#475434,#475435),(#475436,#475437,#475438,#475439,#475440)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282044,0.00557278991075, 0.005758549574441,0.00599546053534),(-0.1412233591345,0.5,1.141159517607), .UNSPECIFIED.); #3262=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475462,#475463,#475464,#475465, #475466),(#475467,#475468,#475469,#475470,#475471),(#475472,#475473,#475474, #475475,#475476),(#475477,#475478,#475479,#475480,#475481),(#475482,#475483, #475484,#475485,#475486),(#475487,#475488,#475489,#475490,#475491),(#475492, #475493,#475494,#475495,#475496),(#475497,#475498,#475499,#475500,#475501), (#475502,#475503,#475504,#475505,#475506),(#475507,#475508,#475509,#475510, #475511),(#475512,#475513,#475514,#475515,#475516),(#475517,#475518,#475519, #475520,#475521),(#475522,#475523,#475524,#475525,#475526),(#475527,#475528, #475529,#475530,#475531),(#475532,#475533,#475534,#475535,#475536),(#475537, #475538,#475539,#475540,#475541)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624968,0.003157914282758,0.00334367394645, 0.003436553778296,0.003529433610142,0.003715193273833,0.003900952937525, 0.004086712601216,0.004272472264908,0.004365352096754,0.0044582319286,0.004643991592291, 0.004829751255983,0.005249989812697),(-0.1669006370904,0.5,1.166236095491), .UNSPECIFIED.); #3263=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475560,#475561,#475562,#475563, #475564),(#475565,#475566,#475567,#475568,#475569),(#475570,#475571,#475572, #475573,#475574),(#475575,#475576,#475577,#475578,#475579),(#475580,#475581, #475582,#475583,#475584),(#475585,#475586,#475587,#475588,#475589),(#475590, #475591,#475592,#475593,#475594),(#475595,#475596,#475597,#475598,#475599), (#475600,#475601,#475602,#475603,#475604),(#475605,#475606,#475607,#475608, #475609),(#475610,#475611,#475612,#475613,#475614),(#475615,#475616,#475617, #475618,#475619),(#475620,#475621,#475622,#475623,#475624),(#475625,#475626, #475627,#475628,#475629),(#475630,#475631,#475632,#475633,#475634),(#475635, #475636,#475637,#475638,#475639),(#475640,#475641,#475642,#475643,#475644), (#475645,#475646,#475647,#475648,#475649),(#475650,#475651,#475652,#475653, #475654)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420103814E-5,9.287983184583E-5,0.0001857596636917,0.0003715193273833, 0.0004643991592291,0.000557278991075,0.0007430386547666,0.0008359184866125, 0.0009287983184583,0.00111455798215,0.001300317645842,0.001486077309533, 0.001671836973225,0.001857596636917,0.0022291159643,0.002600635291683,0.003021153336421), (-0.229038804871,0.5,1.20571991303),.UNSPECIFIED.); #3264=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475745,#475746,#475747,#475748), (#475749,#475750,#475751,#475752),(#475753,#475754,#475755,#475756),(#475757, #475758,#475759,#475760),(#475761,#475762,#475763,#475764),(#475765,#475766, #475767,#475768),(#475769,#475770,#475771,#475772),(#475773,#475774,#475775, #475776),(#475777,#475778,#475779,#475780),(#475781,#475782,#475783,#475784), (#475785,#475786,#475787,#475788),(#475789,#475790,#475791,#475792)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80337066570755E-5, 0.0001885327814306,0.0002513770419075,0.0003770655628613,0.000502754083815, 0.0006284426047688,0.0006912868652456,0.0007541311257225,0.0008798196466763, 0.00109831433678907),(-0.2114619433997,1.208974415693),.UNSPECIFIED.); #3265=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#475901,#475902,#475903,#475904, #475905,#475906,#475907,#475908,#475909,#475910,#475911),(#475912,#475913, #475914,#475915,#475916,#475917,#475918,#475919,#475920,#475921,#475922), (#475923,#475924,#475925,#475926,#475927,#475928,#475929,#475930,#475931, #475932,#475933),(#475934,#475935,#475936,#475937,#475938,#475939,#475940, #475941,#475942,#475943,#475944),(#475945,#475946,#475947,#475948,#475949, #475950,#475951,#475952,#475953,#475954,#475955),(#475956,#475957,#475958, #475959,#475960,#475961,#475962,#475963,#475964,#475965,#475966),(#475967, #475968,#475969,#475970,#475971,#475972,#475973,#475974,#475975,#475976, #475977),(#475978,#475979,#475980,#475981,#475982,#475983,#475984,#475985, #475986,#475987,#475988),(#475989,#475990,#475991,#475992,#475993,#475994, #475995,#475996,#475997,#475998,#475999),(#476000,#476001,#476002,#476003, #476004,#476005,#476006,#476007,#476008,#476009,#476010),(#476011,#476012, #476013,#476014,#476015,#476016,#476017,#476018,#476019,#476020,#476021), (#476022,#476023,#476024,#476025,#476026,#476027,#476028,#476029,#476030, #476031,#476032),(#476033,#476034,#476035,#476036,#476037,#476038,#476039, #476040,#476041,#476042,#476043),(#476044,#476045,#476046,#476047,#476048, #476049,#476050,#476051,#476052,#476053,#476054)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521176418, 0.00113083280957,0.001319304944498,0.001507777079427,0.001696249214355, 0.001884721349283,0.002073193484212,0.00226166561914,0.002638609888997, 0.002732845956461,0.002827082023925,0.003049453914753),(-0.06039716062672, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531584501),.UNSPECIFIED.); #3266=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476106,#476107,#476108,#476109, #476110,#476111,#476112,#476113,#476114,#476115,#476116),(#476117,#476118, #476119,#476120,#476121,#476122,#476123,#476124,#476125,#476126,#476127), (#476128,#476129,#476130,#476131,#476132,#476133,#476134,#476135,#476136, #476137,#476138),(#476139,#476140,#476141,#476142,#476143,#476144,#476145, #476146,#476147,#476148,#476149),(#476150,#476151,#476152,#476153,#476154, #476155,#476156,#476157,#476158,#476159,#476160),(#476161,#476162,#476163, #476164,#476165,#476166,#476167,#476168,#476169,#476170,#476171),(#476172, #476173,#476174,#476175,#476176,#476177,#476178,#476179,#476180,#476181, #476182),(#476183,#476184,#476185,#476186,#476187,#476188,#476189,#476190, #476191,#476192,#476193),(#476194,#476195,#476196,#476197,#476198,#476199, #476200,#476201,#476202,#476203,#476204),(#476205,#476206,#476207,#476208, #476209,#476210,#476211,#476212,#476213,#476214,#476215)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.770199442283E-5, 0.0001884721349283,0.0002827082023925,0.0003769442698567,0.000565416404785, 0.0006596524722491,0.0007538885397133,0.0009889346586281),(-0.06112982980939, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062291688789),.UNSPECIFIED.); #3267=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476240,#476241,#476242,#476243, #476244,#476245,#476246,#476247,#476248,#476249,#476250),(#476251,#476252, #476253,#476254,#476255,#476256,#476257,#476258,#476259,#476260,#476261), (#476262,#476263,#476264,#476265,#476266,#476267,#476268,#476269,#476270, #476271,#476272),(#476273,#476274,#476275,#476276,#476277,#476278,#476279, #476280,#476281,#476282,#476283),(#476284,#476285,#476286,#476287,#476288, #476289,#476290,#476291,#476292,#476293,#476294),(#476295,#476296,#476297, #476298,#476299,#476300,#476301,#476302,#476303,#476304,#476305),(#476306, #476307,#476308,#476309,#476310,#476311,#476312,#476313,#476314,#476315, #476316),(#476317,#476318,#476319,#476320,#476321,#476322,#476323,#476324, #476325,#476326,#476327),(#476328,#476329,#476330,#476331,#476332,#476333, #476334,#476335,#476336,#476337,#476338),(#476339,#476340,#476341,#476342, #476343,#476344,#476345,#476346,#476347,#476348,#476349),(#476350,#476351, #476352,#476353,#476354,#476355,#476356,#476357,#476358,#476359,#476360), (#476361,#476362,#476363,#476364,#476365,#476366,#476367,#476368,#476369, #476370,#476371),(#476372,#476373,#476374,#476375,#476376,#476377,#476378, #476379,#476380,#476381,#476382)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690993388588E-5,0.0004585357276162, 0.0005731696595203,0.0006878035914244,0.0009170714552325,0.001031705387137, 0.001146339319041,0.001260973250945,0.001375607182849,0.001604875046657, 0.001881819250261),(-0.0625868105887,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062813755724),.UNSPECIFIED.); #3268=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476398,#476399,#476400,#476401, #476402,#476403,#476404,#476405,#476406,#476407,#476408),(#476409,#476410, #476411,#476412,#476413,#476414,#476415,#476416,#476417,#476418,#476419), (#476420,#476421,#476422,#476423,#476424,#476425,#476426,#476427,#476428, #476429,#476430),(#476431,#476432,#476433,#476434,#476435,#476436,#476437, #476438,#476439,#476440,#476441)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021661,0.001715400260177),(-0.06332560693878, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967055),.UNSPECIFIED.); #3269=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476448,#476449,#476450,#476451, #476452,#476453,#476454,#476455,#476456,#476457,#476458),(#476459,#476460, #476461,#476462,#476463,#476464,#476465,#476466,#476467,#476468,#476469), (#476470,#476471,#476472,#476473,#476474,#476475,#476476,#476477,#476478, #476479,#476480),(#476481,#476482,#476483,#476484,#476485,#476486,#476487, #476488,#476489,#476490,#476491),(#476492,#476493,#476494,#476495,#476496, #476497,#476498,#476499,#476500,#476501,#476502),(#476503,#476504,#476505, #476506,#476507,#476508,#476509,#476510,#476511,#476512,#476513),(#476514, #476515,#476516,#476517,#476518,#476519,#476520,#476521,#476522,#476523, #476524),(#476525,#476526,#476527,#476528,#476529,#476530,#476531,#476532, #476533,#476534,#476535),(#476536,#476537,#476538,#476539,#476540,#476541, #476542,#476543,#476544,#476545,#476546),(#476547,#476548,#476549,#476550, #476551,#476552,#476553,#476554,#476555,#476556,#476557),(#476558,#476559, #476560,#476561,#476562,#476563,#476564,#476565,#476566,#476567,#476568), (#476569,#476570,#476571,#476572,#476573,#476574,#476575,#476576,#476577, #476578,#476579),(#476580,#476581,#476582,#476583,#476584,#476585,#476586, #476587,#476588,#476589,#476590)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.90228591429E-5,0.0001042089698664, 0.0002084179397329,0.0003126269095994,0.0004168358794658,0.0006252538191987, 0.0008336717589317,0.001042089698665,0.001250507638397,0.00145892557813, 0.001609321780654),(-0.0633105476731,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733106),.UNSPECIFIED.); #3270=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476668,#476669,#476670,#476671, #476672,#476673,#476674,#476675,#476676,#476677,#476678),(#476679,#476680, #476681,#476682,#476683,#476684,#476685,#476686,#476687,#476688,#476689), (#476690,#476691,#476692,#476693,#476694,#476695,#476696,#476697,#476698, #476699,#476700),(#476701,#476702,#476703,#476704,#476705,#476706,#476707, #476708,#476709,#476710,#476711),(#476712,#476713,#476714,#476715,#476716, #476717,#476718,#476719,#476720,#476721,#476722),(#476723,#476724,#476725, #476726,#476727,#476728,#476729,#476730,#476731,#476732,#476733)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629043, 0.0013795520394,0.001485671427046,0.001746627726184),(-0.06112416916325, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.06157500603),.UNSPECIFIED.); #3271=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476742,#476743,#476744,#476745, #476746,#476747,#476748,#476749,#476750,#476751,#476752),(#476753,#476754, #476755,#476756,#476757,#476758,#476759,#476760,#476761,#476762,#476763), (#476764,#476765,#476766,#476767,#476768,#476769,#476770,#476771,#476772, #476773,#476774),(#476775,#476776,#476777,#476778,#476779,#476780,#476781, #476782,#476783,#476784,#476785),(#476786,#476787,#476788,#476789,#476790, #476791,#476792,#476793,#476794,#476795,#476796),(#476797,#476798,#476799, #476800,#476801,#476802,#476803,#476804,#476805,#476806,#476807),(#476808, #476809,#476810,#476811,#476812,#476813,#476814,#476815,#476816,#476817, #476818),(#476819,#476820,#476821,#476822,#476823,#476824,#476825,#476826, #476827,#476828,#476829),(#476830,#476831,#476832,#476833,#476834,#476835, #476836,#476837,#476838,#476839,#476840),(#476841,#476842,#476843,#476844, #476845,#476846,#476847,#476848,#476849,#476850,#476851),(#476852,#476853, #476854,#476855,#476856,#476857,#476858,#476859,#476860,#476861,#476862), (#476863,#476864,#476865,#476866,#476867,#476868,#476869,#476870,#476871, #476872,#476873)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.386918437224E-5,0.0001061193876461,0.0002122387752923, 0.0004244775505845,0.0006367163258768,0.0007428357135229,0.0008489551011691, 0.0009550744888152,0.001061193876461,0.001316069183301),(-0.06140709426235, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002309),.UNSPECIFIED.); #3272=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#476949,#476950,#476951,#476952, #476953,#476954,#476955,#476956,#476957,#476958,#476959),(#476960,#476961, #476962,#476963,#476964,#476965,#476966,#476967,#476968,#476969,#476970), (#476971,#476972,#476973,#476974,#476975,#476976,#476977,#476978,#476979, #476980,#476981),(#476982,#476983,#476984,#476985,#476986,#476987,#476988, #476989,#476990,#476991,#476992)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774337561,0.009280442797732),(-0.05901555342603, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062987),.UNSPECIFIED.); #3273=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477009,#477010,#477011,#477012, #477013,#477014,#477015),(#477016,#477017,#477018,#477019,#477020,#477021, #477022),(#477023,#477024,#477025,#477026,#477027,#477028,#477029),(#477030, #477031,#477032,#477033,#477034,#477035,#477036),(#477037,#477038,#477039, #477040,#477041,#477042,#477043),(#477044,#477045,#477046,#477047,#477048, #477049,#477050),(#477051,#477052,#477053,#477054,#477055,#477056,#477057), (#477058,#477059,#477060,#477061,#477062,#477063,#477064),(#477065,#477066, #477067,#477068,#477069,#477070,#477071),(#477072,#477073,#477074,#477075, #477076,#477077,#477078),(#477079,#477080,#477081,#477082,#477083,#477084, #477085),(#477086,#477087,#477088,#477089,#477090,#477091,#477092),(#477093, #477094,#477095,#477096,#477097,#477098,#477099),(#477100,#477101,#477102, #477103,#477104,#477105,#477106),(#477107,#477108,#477109,#477110,#477111, #477112,#477113),(#477114,#477115,#477116,#477117,#477118,#477119,#477120), (#477121,#477122,#477123,#477124,#477125,#477126,#477127),(#477128,#477129, #477130,#477131,#477132,#477133,#477134),(#477135,#477136,#477137,#477138, #477139,#477140,#477141),(#477142,#477143,#477144,#477145,#477146,#477147, #477148),(#477149,#477150,#477151,#477152,#477153,#477154,#477155),(#477156, #477157,#477158,#477159,#477160,#477161,#477162),(#477163,#477164,#477165, #477166,#477167,#477168,#477169),(#477170,#477171,#477172,#477173,#477174, #477175,#477176),(#477177,#477178,#477179,#477180,#477181,#477182,#477183), (#477184,#477185,#477186,#477187,#477188,#477189,#477190),(#477191,#477192, #477193,#477194,#477195,#477196,#477197),(#477198,#477199,#477200,#477201, #477202,#477203,#477204)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233181511,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.83416451846),(0.07238360599088,0.1264509983255, 0.375989706336,0.9365554875361,1.004432938302),.UNSPECIFIED.); #3274=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477218,#477219,#477220,#477221), (#477222,#477223,#477224,#477225),(#477226,#477227,#477228,#477229),(#477230, #477231,#477232,#477233)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809788389, 0.01725652735407),(-0.0003402293722035,0.01554074754733),.UNSPECIFIED.); #3275=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477238,#477239,#477240,#477241, #477242,#477243,#477244),(#477245,#477246,#477247,#477248,#477249,#477250, #477251),(#477252,#477253,#477254,#477255,#477256,#477257,#477258),(#477259, #477260,#477261,#477262,#477263,#477264,#477265),(#477266,#477267,#477268, #477269,#477270,#477271,#477272),(#477273,#477274,#477275,#477276,#477277, #477278,#477279),(#477280,#477281,#477282,#477283,#477284,#477285,#477286), (#477287,#477288,#477289,#477290,#477291,#477292,#477293),(#477294,#477295, #477296,#477297,#477298,#477299,#477300),(#477301,#477302,#477303,#477304, #477305,#477306,#477307),(#477308,#477309,#477310,#477311,#477312,#477313, #477314),(#477315,#477316,#477317,#477318,#477319,#477320,#477321),(#477322, #477323,#477324,#477325,#477326,#477327,#477328),(#477329,#477330,#477331, #477332,#477333,#477334,#477335),(#477336,#477337,#477338,#477339,#477340, #477341,#477342),(#477343,#477344,#477345,#477346,#477347,#477348,#477349), (#477350,#477351,#477352,#477353,#477354,#477355,#477356),(#477357,#477358, #477359,#477360,#477361,#477362,#477363),(#477364,#477365,#477366,#477367, #477368,#477369,#477370),(#477371,#477372,#477373,#477374,#477375,#477376, #477377),(#477378,#477379,#477380,#477381,#477382,#477383,#477384),(#477385, #477386,#477387,#477388,#477389,#477390,#477391),(#477392,#477393,#477394, #477395,#477396,#477397,#477398),(#477399,#477400,#477401,#477402,#477403, #477404,#477405),(#477406,#477407,#477408,#477409,#477410,#477411,#477412), (#477413,#477414,#477415,#477416,#477417,#477418,#477419),(#477420,#477421, #477422,#477423,#477424,#477425,#477426)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.114591583535, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008483853577),(-0.002656980183404, 0.1264509983255,0.375989706336,0.9365554875361,1.00532759155), .UNSPECIFIED.); #3276=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477536,#477537,#477538,#477539, #477540,#477541,#477542,#477543,#477544,#477545,#477546),(#477547,#477548, #477549,#477550,#477551,#477552,#477553,#477554,#477555,#477556,#477557), (#477558,#477559,#477560,#477561,#477562,#477563,#477564,#477565,#477566, #477567,#477568),(#477569,#477570,#477571,#477572,#477573,#477574,#477575, #477576,#477577,#477578,#477579),(#477580,#477581,#477582,#477583,#477584, #477585,#477586,#477587,#477588,#477589,#477590),(#477591,#477592,#477593, #477594,#477595,#477596,#477597,#477598,#477599,#477600,#477601),(#477602, #477603,#477604,#477605,#477606,#477607,#477608,#477609,#477610,#477611, #477612),(#477613,#477614,#477615,#477616,#477617,#477618,#477619,#477620, #477621,#477622,#477623),(#477624,#477625,#477626,#477627,#477628,#477629, #477630,#477631,#477632,#477633,#477634),(#477635,#477636,#477637,#477638, #477639,#477640,#477641,#477642,#477643,#477644,#477645),(#477646,#477647, #477648,#477649,#477650,#477651,#477652,#477653,#477654,#477655,#477656), (#477657,#477658,#477659,#477660,#477661,#477662,#477663,#477664,#477665, #477666,#477667),(#477668,#477669,#477670,#477671,#477672,#477673,#477674, #477675,#477676,#477677,#477678),(#477679,#477680,#477681,#477682,#477683, #477684,#477685,#477686,#477687,#477688,#477689)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521176665, 0.00113083280957,0.001319304944498,0.001507777079427,0.001696249214355, 0.001884721349283,0.002073193484212,0.00226166561914,0.002638609888997, 0.002732845956461,0.002827082023925,0.003049453356959),(-0.06039685184625, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531584566),.UNSPECIFIED.); #3277=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477741,#477742,#477743,#477744, #477745,#477746,#477747,#477748,#477749,#477750,#477751),(#477752,#477753, #477754,#477755,#477756,#477757,#477758,#477759,#477760,#477761,#477762), (#477763,#477764,#477765,#477766,#477767,#477768,#477769,#477770,#477771, #477772,#477773),(#477774,#477775,#477776,#477777,#477778,#477779,#477780, #477781,#477782,#477783,#477784)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774337593,0.009280442720693),(-0.05901555251381, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062966),.UNSPECIFIED.); #3278=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#477834,#477835,#477836,#477837, #477838,#477839,#477840,#477841,#477842,#477843,#477844),(#477845,#477846, #477847,#477848,#477849,#477850,#477851,#477852,#477853,#477854,#477855), (#477856,#477857,#477858,#477859,#477860,#477861,#477862,#477863,#477864, #477865,#477866),(#477867,#477868,#477869,#477870,#477871,#477872,#477873, #477874,#477875,#477876,#477877),(#477878,#477879,#477880,#477881,#477882, #477883,#477884,#477885,#477886,#477887,#477888),(#477889,#477890,#477891, #477892,#477893,#477894,#477895,#477896,#477897,#477898,#477899),(#477900, #477901,#477902,#477903,#477904,#477905,#477906,#477907,#477908,#477909, #477910),(#477911,#477912,#477913,#477914,#477915,#477916,#477917,#477918, #477919,#477920,#477921),(#477922,#477923,#477924,#477925,#477926,#477927, #477928,#477929,#477930,#477931,#477932),(#477933,#477934,#477935,#477936, #477937,#477938,#477939,#477940,#477941,#477942,#477943),(#477944,#477945, #477946,#477947,#477948,#477949,#477950,#477951,#477952,#477953,#477954), (#477955,#477956,#477957,#477958,#477959,#477960,#477961,#477962,#477963, #477964,#477965),(#477966,#477967,#477968,#477969,#477970,#477971,#477972, #477973,#477974,#477975,#477976),(#477977,#477978,#477979,#477980,#477981, #477982,#477983,#477984,#477985,#477986,#477987),(#477988,#477989,#477990, #477991,#477992,#477993,#477994,#477995,#477996,#477997,#477998),(#477999, #478000,#478001,#478002,#478003,#478004,#478005,#478006,#478007,#478008, #478009),(#478010,#478011,#478012,#478013,#478014,#478015,#478016,#478017, #478018,#478019,#478020),(#478021,#478022,#478023,#478024,#478025,#478026, #478027,#478028,#478029,#478030,#478031)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339824721524,0.0136870713273, 0.01380679246588,0.01392651360445,0.0141659558816,0.01440539815876,0.01488428271306, 0.01536316726737,0.01584205182167,0.01608149409883,0.01632093637598,0.01656037865313, 0.01679982093028,0.01703926320744,0.01715898434601,0.01726719944115),(-0.06998632777362, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.070140425408),.UNSPECIFIED.); #3279=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478142,#478143,#478144,#478145, #478146),(#478147,#478148,#478149,#478150,#478151),(#478152,#478153,#478154, #478155,#478156),(#478157,#478158,#478159,#478160,#478161),(#478162,#478163, #478164,#478165,#478166),(#478167,#478168,#478169,#478170,#478171),(#478172, #478173,#478174,#478175,#478176),(#478177,#478178,#478179,#478180,#478181)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219500531481E-5,0.0002189289158846, 0.0004378578317693,0.0005473222897116,0.000656786747654,0.0009279815254659), (-0.08970709859629,0.5,1.090377631947),.UNSPECIFIED.); #3280=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478198,#478199,#478200,#478201, #478202),(#478203,#478204,#478205,#478206,#478207),(#478208,#478209,#478210, #478211,#478212),(#478213,#478214,#478215,#478216,#478217)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503381E-5,0.0004327546400692), (-0.1017418384984,0.5,1.101801397241),.UNSPECIFIED.); #3281=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478230,#478231,#478232,#478233, #478234),(#478235,#478236,#478237,#478238,#478239),(#478240,#478241,#478242, #478243,#478244),(#478245,#478246,#478247,#478248,#478249),(#478250,#478251, #478252,#478253,#478254)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049019E-5, 0.0003343700118754,0.0007215516455262),(-0.1240256478916,0.5,1.123971094006), .UNSPECIFIED.); #3282=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478262,#478263,#478264,#478265, #478266),(#478267,#478268,#478269,#478270,#478271),(#478272,#478273,#478274, #478275,#478276),(#478277,#478278,#478279,#478280,#478281),(#478282,#478283, #478284,#478285,#478286),(#478287,#478288,#478289,#478290,#478291)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282047,0.00557278991075, 0.005758549574442,0.005995460535337),(-0.141223359144,0.5,1.141159517617), .UNSPECIFIED.); #3283=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478313,#478314,#478315,#478316, #478317),(#478318,#478319,#478320,#478321,#478322),(#478323,#478324,#478325, #478326,#478327),(#478328,#478329,#478330,#478331,#478332),(#478333,#478334, #478335,#478336,#478337),(#478338,#478339,#478340,#478341,#478342),(#478343, #478344,#478345,#478346,#478347),(#478348,#478349,#478350,#478351,#478352), (#478353,#478354,#478355,#478356,#478357),(#478358,#478359,#478360,#478361, #478362),(#478363,#478364,#478365,#478366,#478367),(#478368,#478369,#478370, #478371,#478372),(#478373,#478374,#478375,#478376,#478377),(#478378,#478379, #478380,#478381,#478382),(#478383,#478384,#478385,#478386,#478387),(#478388, #478389,#478390,#478391,#478392)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624966,0.003157914282758,0.00334367394645, 0.003436553778296,0.003529433610142,0.003715193273833,0.003900952937525, 0.004086712601217,0.004272472264908,0.004365352096754,0.0044582319286,0.004643991592292, 0.004829751255983,0.0052499898127),(-0.1669006370928,0.5,1.166236095492), .UNSPECIFIED.); #3284=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478411,#478412,#478413,#478414, #478415),(#478416,#478417,#478418,#478419,#478420),(#478421,#478422,#478423, #478424,#478425),(#478426,#478427,#478428,#478429,#478430),(#478431,#478432, #478433,#478434,#478435),(#478436,#478437,#478438,#478439,#478440),(#478441, #478442,#478443,#478444,#478445),(#478446,#478447,#478448,#478449,#478450), (#478451,#478452,#478453,#478454,#478455),(#478456,#478457,#478458,#478459, #478460),(#478461,#478462,#478463,#478464,#478465),(#478466,#478467,#478468, #478469,#478470),(#478471,#478472,#478473,#478474,#478475),(#478476,#478477, #478478,#478479,#478480),(#478481,#478482,#478483,#478484,#478485),(#478486, #478487,#478488,#478489,#478490),(#478491,#478492,#478493,#478494,#478495), (#478496,#478497,#478498,#478499,#478500),(#478501,#478502,#478503,#478504, #478505)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420103793E-5,9.287983184583E-5,0.0001857596636917,0.0003715193273833, 0.0004643991592292,0.000557278991075,0.0007430386547667,0.0008359184866125, 0.0009287983184583,0.00111455798215,0.001300317645842,0.001486077309533, 0.001671836973225,0.001857596636917,0.0022291159643,0.002600635291683,0.003021153336416), (-0.2290388048797,0.5,1.205719913017),.UNSPECIFIED.); #3285=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478596,#478597,#478598,#478599), (#478600,#478601,#478602,#478603),(#478604,#478605,#478606,#478607),(#478608, #478609,#478610,#478611),(#478612,#478613,#478614,#478615),(#478616,#478617, #478618,#478619),(#478620,#478621,#478622,#478623),(#478624,#478625,#478626, #478627),(#478628,#478629,#478630,#478631),(#478632,#478633,#478634,#478635), (#478636,#478637,#478638,#478639),(#478640,#478641,#478642,#478643)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80136545852854E-5, 0.0001885327814308,0.0002513770419078,0.0003770655628617,0.0005027540838155, 0.0006284426047694,0.0006912868652464,0.0007541311257233,0.0008798196466772, 0.00109831637525867),(-0.2114618569912,1.209287077132),.UNSPECIFIED.); #3286=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478699,#478700,#478701,#478702, #478703,#478704,#478705),(#478706,#478707,#478708,#478709,#478710,#478711, #478712),(#478713,#478714,#478715,#478716,#478717,#478718,#478719),(#478720, #478721,#478722,#478723,#478724,#478725,#478726),(#478727,#478728,#478729, #478730,#478731,#478732,#478733),(#478734,#478735,#478736,#478737,#478738, #478739,#478740),(#478741,#478742,#478743,#478744,#478745,#478746,#478747), (#478748,#478749,#478750,#478751,#478752,#478753,#478754),(#478755,#478756, #478757,#478758,#478759,#478760,#478761),(#478762,#478763,#478764,#478765, #478766,#478767,#478768),(#478769,#478770,#478771,#478772,#478773,#478774, #478775),(#478776,#478777,#478778,#478779,#478780,#478781,#478782),(#478783, #478784,#478785,#478786,#478787,#478788,#478789),(#478790,#478791,#478792, #478793,#478794,#478795,#478796),(#478797,#478798,#478799,#478800,#478801, #478802,#478803),(#478804,#478805,#478806,#478807,#478808,#478809,#478810), (#478811,#478812,#478813,#478814,#478815,#478816,#478817),(#478818,#478819, #478820,#478821,#478822,#478823,#478824),(#478825,#478826,#478827,#478828, #478829,#478830,#478831),(#478832,#478833,#478834,#478835,#478836,#478837, #478838),(#478839,#478840,#478841,#478842,#478843,#478844,#478845),(#478846, #478847,#478848,#478849,#478850,#478851,#478852),(#478853,#478854,#478855, #478856,#478857,#478858,#478859),(#478860,#478861,#478862,#478863,#478864, #478865,#478866),(#478867,#478868,#478869,#478870,#478871,#478872,#478873), (#478874,#478875,#478876,#478877,#478878,#478879,#478880),(#478881,#478882, #478883,#478884,#478885,#478886,#478887)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1145915740186, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008483853603),(-0.002657010593992, 0.1264509983255,0.375989706336,0.9365554875361,1.005330733525), .UNSPECIFIED.); #3287=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#478899,#478900,#478901,#478902, #478903,#478904,#478905),(#478906,#478907,#478908,#478909,#478910,#478911, #478912),(#478913,#478914,#478915,#478916,#478917,#478918,#478919),(#478920, #478921,#478922,#478923,#478924,#478925,#478926),(#478927,#478928,#478929, #478930,#478931,#478932,#478933),(#478934,#478935,#478936,#478937,#478938, #478939,#478940),(#478941,#478942,#478943,#478944,#478945,#478946,#478947), (#478948,#478949,#478950,#478951,#478952,#478953,#478954),(#478955,#478956, #478957,#478958,#478959,#478960,#478961),(#478962,#478963,#478964,#478965, #478966,#478967,#478968),(#478969,#478970,#478971,#478972,#478973,#478974, #478975),(#478976,#478977,#478978,#478979,#478980,#478981,#478982),(#478983, #478984,#478985,#478986,#478987,#478988,#478989),(#478990,#478991,#478992, #478993,#478994,#478995,#478996),(#478997,#478998,#478999,#479000,#479001, #479002,#479003),(#479004,#479005,#479006,#479007,#479008,#479009,#479010), (#479011,#479012,#479013,#479014,#479015,#479016,#479017),(#479018,#479019, #479020,#479021,#479022,#479023,#479024),(#479025,#479026,#479027,#479028, #479029,#479030,#479031),(#479032,#479033,#479034,#479035,#479036,#479037, #479038),(#479039,#479040,#479041,#479042,#479043,#479044,#479045),(#479046, #479047,#479048,#479049,#479050,#479051,#479052),(#479053,#479054,#479055, #479056,#479057,#479058,#479059),(#479060,#479061,#479062,#479063,#479064, #479065,#479066),(#479067,#479068,#479069,#479070,#479071,#479072,#479073), (#479074,#479075,#479076,#479077,#479078,#479079,#479080),(#479081,#479082, #479083,#479084,#479085,#479086,#479087),(#479088,#479089,#479090,#479091, #479092,#479093,#479094)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233180306,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.834164517316),(0.07238357477233,0.1264509983255, 0.375989706336,0.9365554875361,1.004436096017),.UNSPECIFIED.); #3288=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479298,#479299,#479300,#479301, #479302,#479303,#479304,#479305,#479306,#479307,#479308),(#479309,#479310, #479311,#479312,#479313,#479314,#479315,#479316,#479317,#479318,#479319), (#479320,#479321,#479322,#479323,#479324,#479325,#479326,#479327,#479328, #479329,#479330),(#479331,#479332,#479333,#479334,#479335,#479336,#479337, #479338,#479339,#479340,#479341),(#479342,#479343,#479344,#479345,#479346, #479347,#479348,#479349,#479350,#479351,#479352),(#479353,#479354,#479355, #479356,#479357,#479358,#479359,#479360,#479361,#479362,#479363),(#479364, #479365,#479366,#479367,#479368,#479369,#479370,#479371,#479372,#479373, #479374),(#479375,#479376,#479377,#479378,#479379,#479380,#479381,#479382, #479383,#479384,#479385),(#479386,#479387,#479388,#479389,#479390,#479391, #479392,#479393,#479394,#479395,#479396),(#479397,#479398,#479399,#479400, #479401,#479402,#479403,#479404,#479405,#479406,#479407)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.770199442976E-5, 0.0001884721349283,0.0002827082023925,0.0003769442698566,0.000565416404785, 0.0006596524722491,0.0007538885397133,0.000988934658628),(-0.06112982980732, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062291688781),.UNSPECIFIED.); #3289=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479409,#479410,#479411,#479412, #479413,#479414,#479415,#479416,#479417,#479418,#479419),(#479420,#479421, #479422,#479423,#479424,#479425,#479426,#479427,#479428,#479429,#479430), (#479431,#479432,#479433,#479434,#479435,#479436,#479437,#479438,#479439, #479440,#479441),(#479442,#479443,#479444,#479445,#479446,#479447,#479448, #479449,#479450,#479451,#479452),(#479453,#479454,#479455,#479456,#479457, #479458,#479459,#479460,#479461,#479462,#479463),(#479464,#479465,#479466, #479467,#479468,#479469,#479470,#479471,#479472,#479473,#479474),(#479475, #479476,#479477,#479478,#479479,#479480,#479481,#479482,#479483,#479484, #479485),(#479486,#479487,#479488,#479489,#479490,#479491,#479492,#479493, #479494,#479495,#479496),(#479497,#479498,#479499,#479500,#479501,#479502, #479503,#479504,#479505,#479506,#479507),(#479508,#479509,#479510,#479511, #479512,#479513,#479514,#479515,#479516,#479517,#479518),(#479519,#479520, #479521,#479522,#479523,#479524,#479525,#479526,#479527,#479528,#479529), (#479530,#479531,#479532,#479533,#479534,#479535,#479536,#479537,#479538, #479539,#479540),(#479541,#479542,#479543,#479544,#479545,#479546,#479547, #479548,#479549,#479550,#479551),(#479552,#479553,#479554,#479555,#479556, #479557,#479558,#479559,#479560,#479561,#479562)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690765745198E-5, 0.0004585357276165,0.0005731696595207,0.0006878035914248,0.0008024375233289, 0.0009170714552331,0.001031705387137,0.001146339319041,0.001260973250945, 0.00137560718285,0.001604875046658,0.001881819176749),(-0.06258681065769, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062813746033),.UNSPECIFIED.); #3290=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479564,#479565,#479566,#479567, #479568,#479569,#479570,#479571,#479572,#479573,#479574),(#479575,#479576, #479577,#479578,#479579,#479580,#479581,#479582,#479583,#479584,#479585), (#479586,#479587,#479588,#479589,#479590,#479591,#479592,#479593,#479594, #479595,#479596),(#479597,#479598,#479599,#479600,#479601,#479602,#479603, #479604,#479605,#479606,#479607)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021653,0.001715400260177),(-0.0633256069363, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967057),.UNSPECIFIED.); #3291=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479609,#479610,#479611,#479612, #479613,#479614,#479615,#479616,#479617,#479618,#479619),(#479620,#479621, #479622,#479623,#479624,#479625,#479626,#479627,#479628,#479629,#479630), (#479631,#479632,#479633,#479634,#479635,#479636,#479637,#479638,#479639, #479640,#479641),(#479642,#479643,#479644,#479645,#479646,#479647,#479648, #479649,#479650,#479651,#479652),(#479653,#479654,#479655,#479656,#479657, #479658,#479659,#479660,#479661,#479662,#479663),(#479664,#479665,#479666, #479667,#479668,#479669,#479670,#479671,#479672,#479673,#479674),(#479675, #479676,#479677,#479678,#479679,#479680,#479681,#479682,#479683,#479684, #479685),(#479686,#479687,#479688,#479689,#479690,#479691,#479692,#479693, #479694,#479695,#479696),(#479697,#479698,#479699,#479700,#479701,#479702, #479703,#479704,#479705,#479706,#479707),(#479708,#479709,#479710,#479711, #479712,#479713,#479714,#479715,#479716,#479717,#479718),(#479719,#479720, #479721,#479722,#479723,#479724,#479725,#479726,#479727,#479728,#479729), (#479730,#479731,#479732,#479733,#479734,#479735,#479736,#479737,#479738, #479739,#479740),(#479741,#479742,#479743,#479744,#479745,#479746,#479747, #479748,#479749,#479750,#479751)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.90228591482E-5,0.0001042089698664, 0.0002084179397328,0.0003126269095991,0.0004168358794655,0.0006252538191983, 0.0008336717589311,0.001042089698664,0.001250507638397,0.001458925578129, 0.001609321780654),(-0.0633105476542,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733108),.UNSPECIFIED.); #3292=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479753,#479754,#479755,#479756, #479757,#479758,#479759,#479760,#479761,#479762,#479763),(#479764,#479765, #479766,#479767,#479768,#479769,#479770,#479771,#479772,#479773,#479774), (#479775,#479776,#479777,#479778,#479779,#479780,#479781,#479782,#479783, #479784,#479785),(#479786,#479787,#479788,#479789,#479790,#479791,#479792, #479793,#479794,#479795,#479796),(#479797,#479798,#479799,#479800,#479801, #479802,#479803,#479804,#479805,#479806,#479807),(#479808,#479809,#479810, #479811,#479812,#479813,#479814,#479815,#479816,#479817,#479818)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629045, 0.001379552039402,0.001485671427048,0.001746627726172),(-0.06112416915774, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061575006033),.UNSPECIFIED.); #3293=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479820,#479821,#479822,#479823, #479824,#479825,#479826,#479827,#479828,#479829,#479830),(#479831,#479832, #479833,#479834,#479835,#479836,#479837,#479838,#479839,#479840,#479841), (#479842,#479843,#479844,#479845,#479846,#479847,#479848,#479849,#479850, #479851,#479852),(#479853,#479854,#479855,#479856,#479857,#479858,#479859, #479860,#479861,#479862,#479863),(#479864,#479865,#479866,#479867,#479868, #479869,#479870,#479871,#479872,#479873,#479874),(#479875,#479876,#479877, #479878,#479879,#479880,#479881,#479882,#479883,#479884,#479885),(#479886, #479887,#479888,#479889,#479890,#479891,#479892,#479893,#479894,#479895, #479896),(#479897,#479898,#479899,#479900,#479901,#479902,#479903,#479904, #479905,#479906,#479907),(#479908,#479909,#479910,#479911,#479912,#479913, #479914,#479915,#479916,#479917,#479918),(#479919,#479920,#479921,#479922, #479923,#479924,#479925,#479926,#479927,#479928,#479929),(#479930,#479931, #479932,#479933,#479934,#479935,#479936,#479937,#479938,#479939,#479940), (#479941,#479942,#479943,#479944,#479945,#479946,#479947,#479948,#479949, #479950,#479951)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.386918431297E-5,0.0001061193876463,0.0002122387752926, 0.0004244775505851,0.0006367163258777,0.0007428357135239,0.0008489551011702, 0.0009550744888165,0.001061193876463,0.001316069183303),(-0.06140709422996, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002312),.UNSPECIFIED.); #3294=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479952,#479953,#479954,#479955), (#479956,#479957,#479958,#479959),(#479960,#479961,#479962,#479963),(#479964, #479965,#479966,#479967)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809788389, 0.01725652735403),(-0.0003402294841272,0.01554074755075),.UNSPECIFIED.); #3295=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#479968,#479969,#479970,#479971), (#479972,#479973,#479974,#479975),(#479976,#479977,#479978,#479979),(#479980, #479981,#479982,#479983),(#479984,#479985,#479986,#479987),(#479988,#479989, #479990,#479991),(#479992,#479993,#479994,#479995),(#479996,#479997,#479998, #479999),(#480000,#480001,#480002,#480003),(#480004,#480005,#480006,#480007), (#480008,#480009,#480010,#480011),(#480012,#480013,#480014,#480015)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80337055462268E-5, 0.0001885327812232,0.0002513770416309,0.0003770655624464,0.0005027540832618, 0.0006284426040773,0.000691286864485,0.0007541311248927,0.0008798196457082, 0.00109831433567921),(-0.2114619471703,1.208974415708),.UNSPECIFIED.); #3296=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480126,#480127,#480128,#480129, #480130,#480131,#480132,#480133,#480134,#480135,#480136),(#480137,#480138, #480139,#480140,#480141,#480142,#480143,#480144,#480145,#480146,#480147), (#480148,#480149,#480150,#480151,#480152,#480153,#480154,#480155,#480156, #480157,#480158),(#480159,#480160,#480161,#480162,#480163,#480164,#480165, #480166,#480167,#480168,#480169),(#480170,#480171,#480172,#480173,#480174, #480175,#480176,#480177,#480178,#480179,#480180),(#480181,#480182,#480183, #480184,#480185,#480186,#480187,#480188,#480189,#480190,#480191),(#480192, #480193,#480194,#480195,#480196,#480197,#480198,#480199,#480200,#480201, #480202),(#480203,#480204,#480205,#480206,#480207,#480208,#480209,#480210, #480211,#480212,#480213),(#480214,#480215,#480216,#480217,#480218,#480219, #480220,#480221,#480222,#480223,#480224),(#480225,#480226,#480227,#480228, #480229,#480230,#480231,#480232,#480233,#480234,#480235),(#480236,#480237, #480238,#480239,#480240,#480241,#480242,#480243,#480244,#480245,#480246), (#480247,#480248,#480249,#480250,#480251,#480252,#480253,#480254,#480255, #480256,#480257),(#480258,#480259,#480260,#480261,#480262,#480263,#480264, #480265,#480266,#480267,#480268),(#480269,#480270,#480271,#480272,#480273, #480274,#480275,#480276,#480277,#480278,#480279)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521175012, 0.00113083280957,0.001319304944499,0.001507777079427,0.001696249214355, 0.001884721349284,0.002073193484212,0.00226166561914,0.002638609888997, 0.002732845956461,0.002827082023926,0.00304945397326),(-0.06085161627082, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531449643),.UNSPECIFIED.); #3297=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480331,#480332,#480333,#480334, #480335,#480336,#480337,#480338,#480339,#480340,#480341),(#480342,#480343, #480344,#480345,#480346,#480347,#480348,#480349,#480350,#480351,#480352), (#480353,#480354,#480355,#480356,#480357,#480358,#480359,#480360,#480361, #480362,#480363),(#480364,#480365,#480366,#480367,#480368,#480369,#480370, #480371,#480372,#480373,#480374),(#480375,#480376,#480377,#480378,#480379, #480380,#480381,#480382,#480383,#480384,#480385),(#480386,#480387,#480388, #480389,#480390,#480391,#480392,#480393,#480394,#480395,#480396),(#480397, #480398,#480399,#480400,#480401,#480402,#480403,#480404,#480405,#480406, #480407),(#480408,#480409,#480410,#480411,#480412,#480413,#480414,#480415, #480416,#480417,#480418),(#480419,#480420,#480421,#480422,#480423,#480424, #480425,#480426,#480427,#480428,#480429),(#480430,#480431,#480432,#480433, #480434,#480435,#480436,#480437,#480438,#480439,#480440)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.77019944282E-5,0.0001884721349284, 0.0002827082023926,0.0003769442698567,0.0005654164047851,0.0006596524722493, 0.0007538885397135,0.0009889346586225),(-0.06105189914496,0.125,0.25,0.375, 0.5,0.625,0.75,0.875,1.062291705844),.UNSPECIFIED.); #3298=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480465,#480466,#480467,#480468, #480469,#480470,#480471,#480472,#480473,#480474,#480475),(#480476,#480477, #480478,#480479,#480480,#480481,#480482,#480483,#480484,#480485,#480486), (#480487,#480488,#480489,#480490,#480491,#480492,#480493,#480494,#480495, #480496,#480497),(#480498,#480499,#480500,#480501,#480502,#480503,#480504, #480505,#480506,#480507,#480508),(#480509,#480510,#480511,#480512,#480513, #480514,#480515,#480516,#480517,#480518,#480519),(#480520,#480521,#480522, #480523,#480524,#480525,#480526,#480527,#480528,#480529,#480530),(#480531, #480532,#480533,#480534,#480535,#480536,#480537,#480538,#480539,#480540, #480541),(#480542,#480543,#480544,#480545,#480546,#480547,#480548,#480549, #480550,#480551,#480552),(#480553,#480554,#480555,#480556,#480557,#480558, #480559,#480560,#480561,#480562,#480563),(#480564,#480565,#480566,#480567, #480568,#480569,#480570,#480571,#480572,#480573,#480574),(#480575,#480576, #480577,#480578,#480579,#480580,#480581,#480582,#480583,#480584,#480585), (#480586,#480587,#480588,#480589,#480590,#480591,#480592,#480593,#480594, #480595,#480596),(#480597,#480598,#480599,#480600,#480601,#480602,#480603, #480604,#480605,#480606,#480607),(#480608,#480609,#480610,#480611,#480612, #480613,#480614,#480615,#480616,#480617,#480618)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690765744369E-5, 0.0004585357276159,0.0005731696595199,0.0006878035914239,0.0008024375233278, 0.0009170714552318,0.001031705387136,0.00114633931904,0.001260973250944, 0.001375607182848,0.001604875046656,0.001881819176744),(-0.06258681065009, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062813746038),.UNSPECIFIED.); #3299=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480635,#480636,#480637,#480638, #480639,#480640,#480641,#480642,#480643,#480644,#480645),(#480646,#480647, #480648,#480649,#480650,#480651,#480652,#480653,#480654,#480655,#480656), (#480657,#480658,#480659,#480660,#480661,#480662,#480663,#480664,#480665, #480666,#480667),(#480668,#480669,#480670,#480671,#480672,#480673,#480674, #480675,#480676,#480677,#480678)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021661,0.001715400260179),(-0.06332560693008, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967053),.UNSPECIFIED.); #3300=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480685,#480686,#480687,#480688, #480689,#480690,#480691,#480692,#480693,#480694,#480695),(#480696,#480697, #480698,#480699,#480700,#480701,#480702,#480703,#480704,#480705,#480706), (#480707,#480708,#480709,#480710,#480711,#480712,#480713,#480714,#480715, #480716,#480717),(#480718,#480719,#480720,#480721,#480722,#480723,#480724, #480725,#480726,#480727,#480728),(#480729,#480730,#480731,#480732,#480733, #480734,#480735,#480736,#480737,#480738,#480739),(#480740,#480741,#480742, #480743,#480744,#480745,#480746,#480747,#480748,#480749,#480750),(#480751, #480752,#480753,#480754,#480755,#480756,#480757,#480758,#480759,#480760, #480761),(#480762,#480763,#480764,#480765,#480766,#480767,#480768,#480769, #480770,#480771,#480772),(#480773,#480774,#480775,#480776,#480777,#480778, #480779,#480780,#480781,#480782,#480783),(#480784,#480785,#480786,#480787, #480788,#480789,#480790,#480791,#480792,#480793,#480794),(#480795,#480796, #480797,#480798,#480799,#480800,#480801,#480802,#480803,#480804,#480805), (#480806,#480807,#480808,#480809,#480810,#480811,#480812,#480813,#480814, #480815,#480816),(#480817,#480818,#480819,#480820,#480821,#480822,#480823, #480824,#480825,#480826,#480827)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.902285913689E-5,0.0001042089698664, 0.0002084179397328,0.0003126269095993,0.0004168358794657,0.0006252538191986, 0.0008336717589315,0.001042089698664,0.001250507638397,0.00145892557813, 0.001609321780655),(-0.06331054765717,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733107),.UNSPECIFIED.); #3301=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480903,#480904,#480905,#480906, #480907,#480908,#480909,#480910,#480911,#480912,#480913),(#480914,#480915, #480916,#480917,#480918,#480919,#480920,#480921,#480922,#480923,#480924), (#480925,#480926,#480927,#480928,#480929,#480930,#480931,#480932,#480933, #480934,#480935),(#480936,#480937,#480938,#480939,#480940,#480941,#480942, #480943,#480944,#480945,#480946),(#480947,#480948,#480949,#480950,#480951, #480952,#480953,#480954,#480955,#480956,#480957),(#480958,#480959,#480960, #480961,#480962,#480963,#480964,#480965,#480966,#480967,#480968)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629047, 0.001379552039403,0.00148567142705,0.001746627726172),(-0.06112416915427, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061575006035),.UNSPECIFIED.); #3302=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#480977,#480978,#480979,#480980, #480981,#480982,#480983,#480984,#480985,#480986,#480987),(#480988,#480989, #480990,#480991,#480992,#480993,#480994,#480995,#480996,#480997,#480998), (#480999,#481000,#481001,#481002,#481003,#481004,#481005,#481006,#481007, #481008,#481009),(#481010,#481011,#481012,#481013,#481014,#481015,#481016, #481017,#481018,#481019,#481020),(#481021,#481022,#481023,#481024,#481025, #481026,#481027,#481028,#481029,#481030,#481031),(#481032,#481033,#481034, #481035,#481036,#481037,#481038,#481039,#481040,#481041,#481042),(#481043, #481044,#481045,#481046,#481047,#481048,#481049,#481050,#481051,#481052, #481053),(#481054,#481055,#481056,#481057,#481058,#481059,#481060,#481061, #481062,#481063,#481064),(#481065,#481066,#481067,#481068,#481069,#481070, #481071,#481072,#481073,#481074,#481075),(#481076,#481077,#481078,#481079, #481080,#481081,#481082,#481083,#481084,#481085,#481086),(#481087,#481088, #481089,#481090,#481091,#481092,#481093,#481094,#481095,#481096,#481097), (#481098,#481099,#481100,#481101,#481102,#481103,#481104,#481105,#481106, #481107,#481108)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.38691601662E-5,0.0001061193876464,0.0002122387752928, 0.0004244775505857,0.0006367163258785,0.0007428357135249,0.0008489551011714, 0.0009550744888178,0.001061193876464,0.001316069182667),(-0.06140709416871, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002315),.UNSPECIFIED.); #3303=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481184,#481185,#481186,#481187, #481188,#481189,#481190,#481191,#481192,#481193,#481194),(#481195,#481196, #481197,#481198,#481199,#481200,#481201,#481202,#481203,#481204,#481205), (#481206,#481207,#481208,#481209,#481210,#481211,#481212,#481213,#481214, #481215,#481216),(#481217,#481218,#481219,#481220,#481221,#481222,#481223, #481224,#481225,#481226,#481227)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774335975,0.009280442689733),(-0.05901555235471, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062998),.UNSPECIFIED.); #3304=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481275,#481276,#481277,#481278, #481279,#481280,#481281,#481282,#481283,#481284,#481285),(#481286,#481287, #481288,#481289,#481290,#481291,#481292,#481293,#481294,#481295,#481296), (#481297,#481298,#481299,#481300,#481301,#481302,#481303,#481304,#481305, #481306,#481307),(#481308,#481309,#481310,#481311,#481312,#481313,#481314, #481315,#481316,#481317,#481318),(#481319,#481320,#481321,#481322,#481323, #481324,#481325,#481326,#481327,#481328,#481329),(#481330,#481331,#481332, #481333,#481334,#481335,#481336,#481337,#481338,#481339,#481340),(#481341, #481342,#481343,#481344,#481345,#481346,#481347,#481348,#481349,#481350, #481351),(#481352,#481353,#481354,#481355,#481356,#481357,#481358,#481359, #481360,#481361,#481362),(#481363,#481364,#481365,#481366,#481367,#481368, #481369,#481370,#481371,#481372,#481373),(#481374,#481375,#481376,#481377, #481378,#481379,#481380,#481381,#481382,#481383,#481384),(#481385,#481386, #481387,#481388,#481389,#481390,#481391,#481392,#481393,#481394,#481395), (#481396,#481397,#481398,#481399,#481400,#481401,#481402,#481403,#481404, #481405,#481406),(#481407,#481408,#481409,#481410,#481411,#481412,#481413, #481414,#481415,#481416,#481417),(#481418,#481419,#481420,#481421,#481422, #481423,#481424,#481425,#481426,#481427,#481428),(#481429,#481430,#481431, #481432,#481433,#481434,#481435,#481436,#481437,#481438,#481439),(#481440, #481441,#481442,#481443,#481444,#481445,#481446,#481447,#481448,#481449, #481450),(#481451,#481452,#481453,#481454,#481455,#481456,#481457,#481458, #481459,#481460,#481461),(#481462,#481463,#481464,#481465,#481466,#481467, #481468,#481469,#481470,#481471,#481472),(#481473,#481474,#481475,#481476, #481477,#481478,#481479,#481480,#481481,#481482,#481483)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339830836434, 0.01356735018883,0.01368707132741,0.01380679246598,0.01392651360456,0.01416595588171, 0.01440539815886,0.01488428271317,0.01536316726747,0.01584205182177,0.01608149409892, 0.01632093637607,0.01656037865323,0.01679982093038,0.01703926320753,0.0171589843461, 0.01726719919409),(-0.0699877473832,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.070167321555),.UNSPECIFIED.); #3305=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481597,#481598,#481599,#481600, #481601),(#481602,#481603,#481604,#481605,#481606),(#481607,#481608,#481609, #481610,#481611),(#481612,#481613,#481614,#481615,#481616),(#481617,#481618, #481619,#481620,#481621),(#481622,#481623,#481624,#481625,#481626),(#481627, #481628,#481629,#481630,#481631),(#481632,#481633,#481634,#481635,#481636)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219502581022E-5,0.0002189289158908, 0.0004378578317816,0.0005473222897269,0.0006567867476723,0.0009279814999675), (-0.08970712007447,0.5,1.090264974466),.UNSPECIFIED.); #3306=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481653,#481654,#481655,#481656, #481657),(#481658,#481659,#481660,#481661,#481662),(#481663,#481664,#481665, #481666,#481667),(#481668,#481669,#481670,#481671,#481672)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503526E-5,0.0004327546400399), (-0.101741838503,0.5,1.10180139724),.UNSPECIFIED.); #3307=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481685,#481686,#481687,#481688, #481689),(#481690,#481691,#481692,#481693,#481694),(#481695,#481696,#481697, #481698,#481699),(#481700,#481701,#481702,#481703,#481704),(#481705,#481706, #481707,#481708,#481709)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049239E-5, 0.0003343700118794,0.0007215516455322),(-0.124025647897,0.5,1.123971093999), .UNSPECIFIED.); #3308=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481717,#481718,#481719,#481720, #481721),(#481722,#481723,#481724,#481725,#481726),(#481727,#481728,#481729, #481730,#481731),(#481732,#481733,#481734,#481735,#481736),(#481737,#481738, #481739,#481740,#481741),(#481742,#481743,#481744,#481745,#481746)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282042,0.005572789910747, 0.005758549574438,0.005995460535335),(-0.141223359152,0.5,1.141159517619), .UNSPECIFIED.); #3309=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481768,#481769,#481770,#481771, #481772),(#481773,#481774,#481775,#481776,#481777),(#481778,#481779,#481780, #481781,#481782),(#481783,#481784,#481785,#481786,#481787),(#481788,#481789, #481790,#481791,#481792),(#481793,#481794,#481795,#481796,#481797),(#481798, #481799,#481800,#481801,#481802),(#481803,#481804,#481805,#481806,#481807), (#481808,#481809,#481810,#481811,#481812),(#481813,#481814,#481815,#481816, #481817),(#481818,#481819,#481820,#481821,#481822),(#481823,#481824,#481825, #481826,#481827),(#481828,#481829,#481830,#481831,#481832),(#481833,#481834, #481835,#481836,#481837),(#481838,#481839,#481840,#481841,#481842),(#481843, #481844,#481845,#481846,#481847)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624963,0.003157914282756,0.003343673946448, 0.003436553778294,0.003529433610139,0.003715193273831,0.003900952937523, 0.004086712601214,0.004272472264906,0.004365352096751,0.004458231928597, 0.004643991592289,0.00482975125598,0.005249989812694),(-0.1669006370814, 0.5,1.166236095489),.UNSPECIFIED.); #3310=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#481866,#481867,#481868,#481869, #481870),(#481871,#481872,#481873,#481874,#481875),(#481876,#481877,#481878, #481879,#481880),(#481881,#481882,#481883,#481884,#481885),(#481886,#481887, #481888,#481889,#481890),(#481891,#481892,#481893,#481894,#481895),(#481896, #481897,#481898,#481899,#481900),(#481901,#481902,#481903,#481904,#481905), (#481906,#481907,#481908,#481909,#481910),(#481911,#481912,#481913,#481914, #481915),(#481916,#481917,#481918,#481919,#481920),(#481921,#481922,#481923, #481924,#481925),(#481926,#481927,#481928,#481929,#481930),(#481931,#481932, #481933,#481934,#481935),(#481936,#481937,#481938,#481939,#481940),(#481941, #481942,#481943,#481944,#481945),(#481946,#481947,#481948,#481949,#481950), (#481951,#481952,#481953,#481954,#481955),(#481956,#481957,#481958,#481959, #481960)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420103824E-5,9.287983184578E-5,0.0001857596636916,0.0003715193273831, 0.0004643991592289,0.0005572789910747,0.0007430386547662,0.000835918486612, 0.0009287983184578,0.001114557982149,0.001300317645841,0.001486077309532, 0.001671836973224,0.001857596636916,0.002229115964299,0.002600635291682, 0.003021153336414),(-0.229038804861,0.5,1.205719913028),.UNSPECIFIED.); #3311=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482049,#482050,#482051,#482052, #482053,#482054,#482055),(#482056,#482057,#482058,#482059,#482060,#482061, #482062),(#482063,#482064,#482065,#482066,#482067,#482068,#482069),(#482070, #482071,#482072,#482073,#482074,#482075,#482076),(#482077,#482078,#482079, #482080,#482081,#482082,#482083),(#482084,#482085,#482086,#482087,#482088, #482089,#482090),(#482091,#482092,#482093,#482094,#482095,#482096,#482097), (#482098,#482099,#482100,#482101,#482102,#482103,#482104),(#482105,#482106, #482107,#482108,#482109,#482110,#482111),(#482112,#482113,#482114,#482115, #482116,#482117,#482118),(#482119,#482120,#482121,#482122,#482123,#482124, #482125),(#482126,#482127,#482128,#482129,#482130,#482131,#482132),(#482133, #482134,#482135,#482136,#482137,#482138,#482139),(#482140,#482141,#482142, #482143,#482144,#482145,#482146),(#482147,#482148,#482149,#482150,#482151, #482152,#482153),(#482154,#482155,#482156,#482157,#482158,#482159,#482160), (#482161,#482162,#482163,#482164,#482165,#482166,#482167),(#482168,#482169, #482170,#482171,#482172,#482173,#482174),(#482175,#482176,#482177,#482178, #482179,#482180,#482181),(#482182,#482183,#482184,#482185,#482186,#482187, #482188),(#482189,#482190,#482191,#482192,#482193,#482194,#482195),(#482196, #482197,#482198,#482199,#482200,#482201,#482202),(#482203,#482204,#482205, #482206,#482207,#482208,#482209),(#482210,#482211,#482212,#482213,#482214, #482215,#482216),(#482217,#482218,#482219,#482220,#482221,#482222,#482223), (#482224,#482225,#482226,#482227,#482228,#482229,#482230),(#482231,#482232, #482233,#482234,#482235,#482236,#482237)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1145915829725, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008483467064),(-0.00265701088567, 0.1264509983255,0.375989706336,0.9365554875361,1.005330774987), .UNSPECIFIED.); #3312=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482370,#482371,#482372,#482373, #482374,#482375,#482376,#482377,#482378,#482379,#482380),(#482381,#482382, #482383,#482384,#482385,#482386,#482387,#482388,#482389,#482390,#482391), (#482392,#482393,#482394,#482395,#482396,#482397,#482398,#482399,#482400, #482401,#482402),(#482403,#482404,#482405,#482406,#482407,#482408,#482409, #482410,#482411,#482412,#482413),(#482414,#482415,#482416,#482417,#482418, #482419,#482420,#482421,#482422,#482423,#482424),(#482425,#482426,#482427, #482428,#482429,#482430,#482431,#482432,#482433,#482434,#482435),(#482436, #482437,#482438,#482439,#482440,#482441,#482442,#482443,#482444,#482445, #482446),(#482447,#482448,#482449,#482450,#482451,#482452,#482453,#482454, #482455,#482456,#482457),(#482458,#482459,#482460,#482461,#482462,#482463, #482464,#482465,#482466,#482467,#482468),(#482469,#482470,#482471,#482472, #482473,#482474,#482475,#482476,#482477,#482478,#482479),(#482480,#482481, #482482,#482483,#482484,#482485,#482486,#482487,#482488,#482489,#482490), (#482491,#482492,#482493,#482494,#482495,#482496,#482497,#482498,#482499, #482500,#482501)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-3.384782830085E-5,0.0001882650867111,0.0002823976300667, 0.0003765301734223,0.0007530603468445,0.0009413254335557,0.001129590520267, 0.001317855606978,0.001506120693689,0.00174237361049),(-0.0603323815734, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.06003737511),.UNSPECIFIED.); #3313=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482541,#482542,#482543,#482544, #482545,#482546,#482547,#482548,#482549,#482550,#482551),(#482552,#482553, #482554,#482555,#482556,#482557,#482558,#482559,#482560,#482561,#482562), (#482563,#482564,#482565,#482566,#482567,#482568,#482569,#482570,#482571, #482572,#482573),(#482574,#482575,#482576,#482577,#482578,#482579,#482580, #482581,#482582,#482583,#482584),(#482585,#482586,#482587,#482588,#482589, #482590,#482591,#482592,#482593,#482594,#482595),(#482596,#482597,#482598, #482599,#482600,#482601,#482602,#482603,#482604,#482605,#482606),(#482607, #482608,#482609,#482610,#482611,#482612,#482613,#482614,#482615,#482616, #482617),(#482618,#482619,#482620,#482621,#482622,#482623,#482624,#482625, #482626,#482627,#482628),(#482629,#482630,#482631,#482632,#482633,#482634, #482635,#482636,#482637,#482638,#482639),(#482640,#482641,#482642,#482643, #482644,#482645,#482646,#482647,#482648,#482649,#482650),(#482651,#482652, #482653,#482654,#482655,#482656,#482657,#482658,#482659,#482660,#482661), (#482662,#482663,#482664,#482665,#482666,#482667,#482668,#482669,#482670, #482671,#482672),(#482673,#482674,#482675,#482676,#482677,#482678,#482679, #482680,#482681,#482682,#482683),(#482684,#482685,#482686,#482687,#482688, #482689,#482690,#482691,#482692,#482693,#482694)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001646527454056,0.001882650867111, 0.001976783410467,0.002070915953822,0.002165048497178,0.002259181040534, 0.002353313583889,0.002447446127245,0.002635711213956,0.002729843757311, 0.002823976300667,0.003060021302536),(-0.06164466566156,0.125,0.25,0.375, 0.5,0.625,0.75,0.875,1.061352791528),.UNSPECIFIED.); #3314=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482711,#482712,#482713,#482714, #482715,#482716,#482717,#482718,#482719,#482720,#482721),(#482722,#482723, #482724,#482725,#482726,#482727,#482728,#482729,#482730,#482731,#482732), (#482733,#482734,#482735,#482736,#482737,#482738,#482739,#482740,#482741, #482742,#482743),(#482744,#482745,#482746,#482747,#482748,#482749,#482750, #482751,#482752,#482753,#482754),(#482755,#482756,#482757,#482758,#482759, #482760,#482761,#482762,#482763,#482764,#482765),(#482766,#482767,#482768, #482769,#482770,#482771,#482772,#482773,#482774,#482775,#482776),(#482777, #482778,#482779,#482780,#482781,#482782,#482783,#482784,#482785,#482786, #482787),(#482788,#482789,#482790,#482791,#482792,#482793,#482794,#482795, #482796,#482797,#482798),(#482799,#482800,#482801,#482802,#482803,#482804, #482805,#482806,#482807,#482808,#482809),(#482810,#482811,#482812,#482813, #482814,#482815,#482816,#482817,#482818,#482819,#482820),(#482821,#482822, #482823,#482824,#482825,#482826,#482827,#482828,#482829,#482830,#482831), (#482832,#482833,#482834,#482835,#482836,#482837,#482838,#482839,#482840, #482841,#482842),(#482843,#482844,#482845,#482846,#482847,#482848,#482849, #482850,#482851,#482852,#482853)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.69249336146E-5,0.0002273807899611, 0.0004547615799223,0.0005684519749029,0.0006821423698834,0.000795832764864, 0.0009095231598446,0.001136903949806,0.001250594344786,0.001364284739767, 0.001866109254205),(-0.06255264376068,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062779029931),.UNSPECIFIED.); #3315=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#482869,#482870,#482871,#482872, #482873,#482874,#482875,#482876,#482877,#482878,#482879),(#482880,#482881, #482882,#482883,#482884,#482885,#482886,#482887,#482888,#482889,#482890), (#482891,#482892,#482893,#482894,#482895,#482896,#482897,#482898,#482899, #482900,#482901),(#482902,#482903,#482904,#482905,#482906,#482907,#482908, #482909,#482910,#482911,#482912),(#482913,#482914,#482915,#482916,#482917, #482918,#482919,#482920,#482921,#482922,#482923),(#482924,#482925,#482926, #482927,#482928,#482929,#482930,#482931,#482932,#482933,#482934),(#482935, #482936,#482937,#482938,#482939,#482940,#482941,#482942,#482943,#482944, #482945),(#482946,#482947,#482948,#482949,#482950,#482951,#482952,#482953, #482954,#482955,#482956),(#482957,#482958,#482959,#482960,#482961,#482962, #482963,#482964,#482965,#482966,#482967),(#482968,#482969,#482970,#482971, #482972,#482973,#482974,#482975,#482976,#482977,#482978),(#482979,#482980, #482981,#482982,#482983,#482984,#482985,#482986,#482987,#482988,#482989), (#482990,#482991,#482992,#482993,#482994,#482995,#482996,#482997,#482998, #482999,#483000),(#483001,#483002,#483003,#483004,#483005,#483006,#483007, #483008,#483009,#483010,#483011)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.792194741977E-5,0.000207023691117, 0.000414047382234,0.0006210710733511,0.0008280947644681,0.001035118455585, 0.001242142146702,0.001345653992261,0.001449165837819,0.001552677683378, 0.001705250110215),(-0.06255446643795,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062778675621),.UNSPECIFIED.); #3316=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483054,#483055,#483056,#483057, #483058,#483059,#483060,#483061,#483062,#483063,#483064),(#483065,#483066, #483067,#483068,#483069,#483070,#483071,#483072,#483073,#483074,#483075), (#483076,#483077,#483078,#483079,#483080,#483081,#483082,#483083,#483084, #483085,#483086),(#483087,#483088,#483089,#483090,#483091,#483092,#483093, #483094,#483095,#483096,#483097),(#483098,#483099,#483100,#483101,#483102, #483103,#483104,#483105,#483106,#483107,#483108),(#483109,#483110,#483111, #483112,#483113,#483114,#483115,#483116,#483117,#483118,#483119),(#483120, #483121,#483122,#483123,#483124,#483125,#483126,#483127,#483128,#483129, #483130),(#483131,#483132,#483133,#483134,#483135,#483136,#483137,#483138, #483139,#483140,#483141),(#483142,#483143,#483144,#483145,#483146,#483147, #483148,#483149,#483150,#483151,#483152),(#483153,#483154,#483155,#483156, #483157,#483158,#483159,#483160,#483161,#483162,#483163),(#483164,#483165, #483166,#483167,#483168,#483169,#483170,#483171,#483172,#483173,#483174), (#483175,#483176,#483177,#483178,#483179,#483180,#483181,#483182,#483183, #483184,#483185),(#483186,#483187,#483188,#483189,#483190,#483191,#483192, #483193,#483194,#483195,#483196),(#483197,#483198,#483199,#483200,#483201, #483202,#483203,#483204,#483205,#483206,#483207),(#483208,#483209,#483210, #483211,#483212,#483213,#483214,#483215,#483216,#483217,#483218),(#483219, #483220,#483221,#483222,#483223,#483224,#483225,#483226,#483227,#483228, #483229),(#483230,#483231,#483232,#483233,#483234,#483235,#483236,#483237, #483238,#483239,#483240)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-5.022952833589E-5,0.0002173068718646, 0.0003259603077969,0.000380287025763,0.0004346137437291,0.0006519206155937, 0.000760574051526,0.0008692274874583,0.0009235542054244,0.0009778809233906, 0.001086534359323,0.001303841231187,0.001521148103052,0.001629801538984, 0.001783864444314),(-0.06191410179097,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062987675642),.UNSPECIFIED.); #3317=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483355,#483356,#483357,#483358, #483359,#483360,#483361,#483362,#483363,#483364,#483365),(#483366,#483367, #483368,#483369,#483370,#483371,#483372,#483373,#483374,#483375,#483376), (#483377,#483378,#483379,#483380,#483381,#483382,#483383,#483384,#483385, #483386,#483387),(#483388,#483389,#483390,#483391,#483392,#483393,#483394, #483395,#483396,#483397,#483398)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774673914,0.009298220225253),(-0.05907976602357, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059071870177),.UNSPECIFIED.); #3318=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483446,#483447,#483448,#483449, #483450,#483451,#483452,#483453,#483454,#483455,#483456),(#483457,#483458, #483459,#483460,#483461,#483462,#483463,#483464,#483465,#483466,#483467), (#483468,#483469,#483470,#483471,#483472,#483473,#483474,#483475,#483476, #483477,#483478),(#483479,#483480,#483481,#483482,#483483,#483484,#483485, #483486,#483487,#483488,#483489),(#483490,#483491,#483492,#483493,#483494, #483495,#483496,#483497,#483498,#483499,#483500),(#483501,#483502,#483503, #483504,#483505,#483506,#483507,#483508,#483509,#483510,#483511),(#483512, #483513,#483514,#483515,#483516,#483517,#483518,#483519,#483520,#483521, #483522),(#483523,#483524,#483525,#483526,#483527,#483528,#483529,#483530, #483531,#483532,#483533),(#483534,#483535,#483536,#483537,#483538,#483539, #483540,#483541,#483542,#483543,#483544),(#483545,#483546,#483547,#483548, #483549,#483550,#483551,#483552,#483553,#483554,#483555),(#483556,#483557, #483558,#483559,#483560,#483561,#483562,#483563,#483564,#483565,#483566), (#483567,#483568,#483569,#483570,#483571,#483572,#483573,#483574,#483575, #483576,#483577),(#483578,#483579,#483580,#483581,#483582,#483583,#483584, #483585,#483586,#483587,#483588),(#483589,#483590,#483591,#483592,#483593, #483594,#483595,#483596,#483597,#483598,#483599),(#483600,#483601,#483602, #483603,#483604,#483605,#483606,#483607,#483608,#483609,#483610),(#483611, #483612,#483613,#483614,#483615,#483616,#483617,#483618,#483619,#483620, #483621),(#483622,#483623,#483624,#483625,#483626,#483627,#483628,#483629, #483630,#483631,#483632),(#483633,#483634,#483635,#483636,#483637,#483638, #483639,#483640,#483641,#483642,#483643),(#483644,#483645,#483646,#483647, #483648,#483649,#483650,#483651,#483652,#483653,#483654)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339830740941, 0.01356734923821,0.01368707040764,0.01380679157707,0.0139265127465,0.01416595508536, 0.01440539742422,0.01488428210195,0.01536316677967,0.0158420514574,0.01608149379626, 0.01632093613512,0.01656037847398,0.01679982081284,0.01703926315171,0.01715898432114, 0.01726719918591),(-0.06998776398038,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.070140444596),.UNSPECIFIED.); #3319=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483768,#483769,#483770,#483771, #483772),(#483773,#483774,#483775,#483776,#483777),(#483778,#483779,#483780, #483781,#483782),(#483783,#483784,#483785,#483786,#483787),(#483788,#483789, #483790,#483791,#483792),(#483793,#483794,#483795,#483796,#483797),(#483798, #483799,#483800,#483801,#483802)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4), (4,1,4),(-0.0002755366825523,1.61128964259E-5,0.0001355900398956,0.0002550671833653, 0.0007853534684419),(-0.09250105961665,0.5,1.092139140779), .UNSPECIFIED.); #3320=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483818,#483819,#483820,#483821, #483822),(#483823,#483824,#483825,#483826,#483827),(#483828,#483829,#483830, #483831,#483832),(#483833,#483834,#483835,#483836,#483837),(#483838,#483839, #483840,#483841,#483842)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.231909562096E-5, 0.0001742097537105,0.0004011264316365),(-0.1033511635227,0.5,1.103551313783), .UNSPECIFIED.); #3321=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483858,#483859,#483860,#483861, #483862),(#483863,#483864,#483865,#483866,#483867),(#483868,#483869,#483870, #483871,#483872),(#483873,#483874,#483875,#483876,#483877),(#483878,#483879, #483880,#483881,#483882)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.274478389527E-5, 0.0003265893747131,0.0007053296613595),(-0.1249039626915,0.5,1.125094358886), .UNSPECIFIED.); #3322=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483890,#483891,#483892,#483893, #483894),(#483895,#483896,#483897,#483898,#483899),(#483900,#483901,#483902, #483903,#483904),(#483905,#483906,#483907,#483908,#483909),(#483910,#483911, #483912,#483913,#483914),(#483915,#483916,#483917,#483918,#483919),(#483920, #483921,#483922,#483923,#483924),(#483925,#483926,#483927,#483928,#483929), (#483930,#483931,#483932,#483933,#483934),(#483935,#483936,#483937,#483938, #483939),(#483940,#483941,#483942,#483943,#483944),(#483945,#483946,#483947, #483948,#483949),(#483950,#483951,#483952,#483953,#483954)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(4,1,4),(-5.042878044932E-5, 0.0003685709381732,0.0005528564072598,0.0007371418763464,0.000921427345433, 0.00110571281452,0.001474283752693,0.001658569221779,0.001842854690866, 0.001934997425409,0.002078160778736),(-0.1564958107688,0.5,1.158562451937), .UNSPECIFIED.); #3323=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#483970,#483971,#483972,#483973, #483974),(#483975,#483976,#483977,#483978,#483979),(#483980,#483981,#483982, #483983,#483984),(#483985,#483986,#483987,#483988,#483989),(#483990,#483991, #483992,#483993,#483994),(#483995,#483996,#483997,#483998,#483999),(#484000, #484001,#484002,#484003,#484004),(#484005,#484006,#484007,#484008,#484009), (#484010,#484011,#484012,#484013,#484014),(#484015,#484016,#484017,#484018, #484019),(#484020,#484021,#484022,#484023,#484024),(#484025,#484026,#484027, #484028,#484029),(#484030,#484031,#484032,#484033,#484034),(#484035,#484036, #484037,#484038,#484039),(#484040,#484041,#484042,#484043,#484044),(#484045, #484046,#484047,#484048,#484049),(#484050,#484051,#484052,#484053,#484054)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,4),(0.001976308201387, 0.002211425629039,0.002395711098126,0.002487853832669,0.002579996567212, 0.002764282036299,0.002948567505385,0.003317138443559,0.003685709381732, 0.004054280319905,0.004238565788992,0.004422851258078,0.004607136727165, 0.004791422196251,0.005024284269141),(-0.1932952262152,0.5,1.192343222738), .UNSPECIFIED.); #3324=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484124,#484125,#484126,#484127, #484128),(#484129,#484130,#484131,#484132,#484133),(#484134,#484135,#484136, #484137,#484138),(#484139,#484140,#484141,#484142,#484143),(#484144,#484145, #484146,#484147,#484148),(#484149,#484150,#484151,#484152,#484153),(#484154, #484155,#484156,#484157,#484158),(#484159,#484160,#484161,#484162,#484163), (#484164,#484165,#484166,#484167,#484168),(#484169,#484170,#484171,#484172, #484173),(#484174,#484175,#484176,#484177,#484178)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,4),(4,1,4),(0.004928868773398,0.005067850399881,0.005159993134425, 0.005344278603511,0.005436421338054,0.005528564072598,0.005712849541684, 0.005804992276228,0.005943869230184),(-0.2032363642794,0.5,1.200409334698), .UNSPECIFIED.); #3325=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484192,#484193,#484194,#484195), (#484196,#484197,#484198,#484199),(#484200,#484201,#484202,#484203),(#484204, #484205,#484206,#484207),(#484208,#484209,#484210,#484211),(#484212,#484213, #484214,#484215),(#484216,#484217,#484218,#484219),(#484220,#484221,#484222, #484223),(#484224,#484225,#484226,#484227),(#484228,#484229,#484230,#484231), (#484232,#484233,#484234,#484235)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,4),(4,4),(-4.553807784044E-5,0.0001259842586306,0.0002519685172612, 0.0003149606465766,0.0003779527758919,0.0005039370345225,0.0006299212931531, 0.0007559055517838,0.0008853074534863),(-0.208799120947,1.211605729506), .UNSPECIFIED.); #3326=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484285,#484286,#484287,#484288, #484289,#484290,#484291),(#484292,#484293,#484294,#484295,#484296,#484297, #484298),(#484299,#484300,#484301,#484302,#484303,#484304,#484305),(#484306, #484307,#484308,#484309,#484310,#484311,#484312),(#484313,#484314,#484315, #484316,#484317,#484318,#484319),(#484320,#484321,#484322,#484323,#484324, #484325,#484326),(#484327,#484328,#484329,#484330,#484331,#484332,#484333), (#484334,#484335,#484336,#484337,#484338,#484339,#484340),(#484341,#484342, #484343,#484344,#484345,#484346,#484347),(#484348,#484349,#484350,#484351, #484352,#484353,#484354),(#484355,#484356,#484357,#484358,#484359,#484360, #484361),(#484362,#484363,#484364,#484365,#484366,#484367,#484368),(#484369, #484370,#484371,#484372,#484373,#484374,#484375),(#484376,#484377,#484378, #484379,#484380,#484381,#484382),(#484383,#484384,#484385,#484386,#484387, #484388,#484389),(#484390,#484391,#484392,#484393,#484394,#484395,#484396), (#484397,#484398,#484399,#484400,#484401,#484402,#484403),(#484404,#484405, #484406,#484407,#484408,#484409,#484410),(#484411,#484412,#484413,#484414, #484415,#484416,#484417),(#484418,#484419,#484420,#484421,#484422,#484423, #484424),(#484425,#484426,#484427,#484428,#484429,#484430,#484431),(#484432, #484433,#484434,#484435,#484436,#484437,#484438),(#484439,#484440,#484441, #484442,#484443,#484444,#484445),(#484446,#484447,#484448,#484449,#484450, #484451,#484452),(#484453,#484454,#484455,#484456,#484457,#484458,#484459), (#484460,#484461,#484462,#484463,#484464,#484465,#484466),(#484467,#484468, #484469,#484470,#484471,#484472,#484473)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(0.1145064370061, 0.1176100566661,0.1555094515635,0.1947716657828,0.2328550590384,0.2718330378558, 0.3105507686079,0.3515306289077,0.3908968364299,0.468580873187,0.507825899467, 0.5470828937349,0.5828452283053,0.6224576341538,0.6642337918712,0.6999427983147, 0.7424075828287,0.7815747745354,0.8204893791621,0.8602451345903,0.8965001152177, 0.9007389083434,0.9393033842601,0.9427592968332,1.008484269786),(-0.002658295380285, 0.1264509983255,0.375989706336,0.9365554875361,1.005331231328), .UNSPECIFIED.); #3327=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484485,#484486,#484487,#484488, #484489,#484490,#484491),(#484492,#484493,#484494,#484495,#484496,#484497, #484498),(#484499,#484500,#484501,#484502,#484503,#484504,#484505),(#484506, #484507,#484508,#484509,#484510,#484511,#484512),(#484513,#484514,#484515, #484516,#484517,#484518,#484519),(#484520,#484521,#484522,#484523,#484524, #484525,#484526),(#484527,#484528,#484529,#484530,#484531,#484532,#484533), (#484534,#484535,#484536,#484537,#484538,#484539,#484540),(#484541,#484542, #484543,#484544,#484545,#484546,#484547),(#484548,#484549,#484550,#484551, #484552,#484553,#484554),(#484555,#484556,#484557,#484558,#484559,#484560, #484561),(#484562,#484563,#484564,#484565,#484566,#484567,#484568),(#484569, #484570,#484571,#484572,#484573,#484574,#484575),(#484576,#484577,#484578, #484579,#484580,#484581,#484582),(#484583,#484584,#484585,#484586,#484587, #484588,#484589),(#484590,#484591,#484592,#484593,#484594,#484595,#484596), (#484597,#484598,#484599,#484600,#484601,#484602,#484603),(#484604,#484605, #484606,#484607,#484608,#484609,#484610),(#484611,#484612,#484613,#484614, #484615,#484616,#484617),(#484618,#484619,#484620,#484621,#484622,#484623, #484624),(#484625,#484626,#484627,#484628,#484629,#484630,#484631),(#484632, #484633,#484634,#484635,#484636,#484637,#484638),(#484639,#484640,#484641, #484642,#484643,#484644,#484645),(#484646,#484647,#484648,#484649,#484650, #484651,#484652),(#484653,#484654,#484655,#484656,#484657,#484658,#484659), (#484660,#484661,#484662,#484663,#484664,#484665,#484666),(#484667,#484668, #484669,#484670,#484671,#484672,#484673),(#484674,#484675,#484676,#484677, #484678,#484679,#484680)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090497590557,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.8341454820336),(0.07231762214831,0.1264509983255, 0.375989706336,0.9365554875361,1.004434094551),.UNSPECIFIED.); #3328=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484687,#484688,#484689,#484690), (#484691,#484692,#484693,#484694),(#484695,#484696,#484697,#484698),(#484699, #484700,#484701,#484702)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809723358, 0.01725656002887),(-0.000340515383324,0.01554075688159),.UNSPECIFIED.); #3329=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484719,#484720,#484721,#484722, #484723,#484724,#484725),(#484726,#484727,#484728,#484729,#484730,#484731, #484732),(#484733,#484734,#484735,#484736,#484737,#484738,#484739),(#484740, #484741,#484742,#484743,#484744,#484745,#484746),(#484747,#484748,#484749, #484750,#484751,#484752,#484753),(#484754,#484755,#484756,#484757,#484758, #484759,#484760),(#484761,#484762,#484763,#484764,#484765,#484766,#484767), (#484768,#484769,#484770,#484771,#484772,#484773,#484774),(#484775,#484776, #484777,#484778,#484779,#484780,#484781),(#484782,#484783,#484784,#484785, #484786,#484787,#484788),(#484789,#484790,#484791,#484792,#484793,#484794, #484795),(#484796,#484797,#484798,#484799,#484800,#484801,#484802),(#484803, #484804,#484805,#484806,#484807,#484808,#484809),(#484810,#484811,#484812, #484813,#484814,#484815,#484816),(#484817,#484818,#484819,#484820,#484821, #484822,#484823),(#484824,#484825,#484826,#484827,#484828,#484829,#484830), (#484831,#484832,#484833,#484834,#484835,#484836,#484837),(#484838,#484839, #484840,#484841,#484842,#484843,#484844),(#484845,#484846,#484847,#484848, #484849,#484850,#484851),(#484852,#484853,#484854,#484855,#484856,#484857, #484858),(#484859,#484860,#484861,#484862,#484863,#484864,#484865),(#484866, #484867,#484868,#484869,#484870,#484871,#484872),(#484873,#484874,#484875, #484876,#484877,#484878,#484879),(#484880,#484881,#484882,#484883,#484884, #484885,#484886),(#484887,#484888,#484889,#484890,#484891,#484892,#484893), (#484894,#484895,#484896,#484897,#484898,#484899,#484900),(#484901,#484902, #484903,#484904,#484905,#484906,#484907),(#484908,#484909,#484910,#484911, #484912,#484913,#484914)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,4),(-0.03090233424459,0.,0.06192591746869, 0.06623076183942,0.1055195748058,0.110925467738,0.1467187193989,0.1517591952361, 0.186744627067,0.2257585302754,0.2642176295386,0.3075312127639,0.3418319655461, 0.3844596077469,0.4227743751137,0.4610783812203,0.4994614191564,0.5387624720224, 0.5770175251575,0.612778984873,0.6519928793349,0.6921559898189,0.7306443365622, 0.7678207934223,0.8062460346199,0.8341644951055),(0.07238357564586,0.1264509983255, 0.375989706336,0.9365554875361,1.0044360959),.UNSPECIFIED.); #3330=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484921,#484922,#484923,#484924), (#484925,#484926,#484927,#484928),(#484929,#484930,#484931,#484932),(#484933, #484934,#484935,#484936)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.01721809699757, 0.01725657256127),(-0.0003405152838216,0.01554075315706),.UNSPECIFIED.); #3331=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#484953,#484954,#484955,#484956, #484957,#484958,#484959,#484960,#484961,#484962,#484963),(#484964,#484965, #484966,#484967,#484968,#484969,#484970,#484971,#484972,#484973,#484974), (#484975,#484976,#484977,#484978,#484979,#484980,#484981,#484982,#484983, #484984,#484985),(#484986,#484987,#484988,#484989,#484990,#484991,#484992, #484993,#484994,#484995,#484996),(#484997,#484998,#484999,#485000,#485001, #485002,#485003,#485004,#485005,#485006,#485007),(#485008,#485009,#485010, #485011,#485012,#485013,#485014,#485015,#485016,#485017,#485018),(#485019, #485020,#485021,#485022,#485023,#485024,#485025,#485026,#485027,#485028, #485029),(#485030,#485031,#485032,#485033,#485034,#485035,#485036,#485037, #485038,#485039,#485040),(#485041,#485042,#485043,#485044,#485045,#485046, #485047,#485048,#485049,#485050,#485051),(#485052,#485053,#485054,#485055, #485056,#485057,#485058,#485059,#485060,#485061,#485062),(#485063,#485064, #485065,#485066,#485067,#485068,#485069,#485070,#485071,#485072,#485073), (#485074,#485075,#485076,#485077,#485078,#485079,#485080,#485081,#485082, #485083,#485084),(#485085,#485086,#485087,#485088,#485089,#485090,#485091, #485092,#485093,#485094,#485095),(#485096,#485097,#485098,#485099,#485100, #485101,#485102,#485103,#485104,#485105,#485106),(#485107,#485108,#485109, #485110,#485111,#485112,#485113,#485114,#485115,#485116,#485117),(#485118, #485119,#485120,#485121,#485122,#485123,#485124,#485125,#485126,#485127, #485128),(#485129,#485130,#485131,#485132,#485133,#485134,#485135,#485136, #485137,#485138,#485139),(#485140,#485141,#485142,#485143,#485144,#485145, #485146,#485147,#485148,#485149,#485150)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.01339824721524,0.0136870713273, 0.01380679246587,0.01392651360445,0.0141659558816,0.01440539815876,0.01488428271306, 0.01536316726737,0.01584205182167,0.01608149409882,0.01632093637598,0.01656037865313, 0.01679982093028,0.01703926320743,0.01715898434601,0.01726719944115),(-0.06998632777778, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.070140425413),.UNSPECIFIED.); #3332=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485169,#485170,#485171,#485172, #485173),(#485174,#485175,#485176,#485177,#485178),(#485179,#485180,#485181, #485182,#485183),(#485184,#485185,#485186,#485187,#485188),(#485189,#485190, #485191,#485192,#485193),(#485194,#485195,#485196,#485197,#485198),(#485199, #485200,#485201,#485202,#485203),(#485204,#485205,#485206,#485207,#485208)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,4),(4,1,4),(-5.219500530899E-5,0.0002189289158846, 0.0004378578317693,0.0005473222897116,0.0006567867476539,0.000927981525459), (-0.08970709858457,0.5,1.090377631951),.UNSPECIFIED.); #3333=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485216,#485217,#485218,#485219, #485220),(#485221,#485222,#485223,#485224,#485225),(#485226,#485227,#485228, #485229,#485230),(#485231,#485232,#485233,#485234,#485235)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,4),(-5.260696503513E-5,0.0004327546400701), (-0.1017418385109,0.5,1.101801397241),.UNSPECIFIED.); #3334=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485243,#485244,#485245,#485246, #485247),(#485248,#485249,#485250,#485251,#485252),(#485253,#485254,#485255, #485256,#485257),(#485258,#485259,#485260,#485261,#485262),(#485263,#485264, #485265,#485266,#485267)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(-5.202454049168E-5, 0.000334370011877,0.0007215516455274),(-0.1240256478897,0.5,1.123971094008), .UNSPECIFIED.); #3335=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485269,#485270,#485271,#485272, #485273),(#485274,#485275,#485276,#485277,#485278),(#485279,#485280,#485281, #485282,#485283),(#485284,#485285,#485286,#485287,#485288),(#485289,#485290, #485291,#485292,#485293),(#485294,#485295,#485296,#485297,#485298)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,4),(0.005152255282044,0.00557278991075, 0.005758549574441,0.005995460535337),(-0.1412233591294,0.5,1.14115951763), .UNSPECIFIED.); #3336=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485300,#485301,#485302,#485303, #485304),(#485305,#485306,#485307,#485308,#485309),(#485310,#485311,#485312, #485313,#485314),(#485315,#485316,#485317,#485318,#485319),(#485320,#485321, #485322,#485323,#485324),(#485325,#485326,#485327,#485328,#485329),(#485330, #485331,#485332,#485333,#485334),(#485335,#485336,#485337,#485338,#485339), (#485340,#485341,#485342,#485343,#485344),(#485345,#485346,#485347,#485348, #485349),(#485350,#485351,#485352,#485353,#485354),(#485355,#485356,#485357, #485358,#485359),(#485360,#485361,#485362,#485363,#485364),(#485365,#485366, #485367,#485368,#485369),(#485370,#485371,#485372,#485373,#485374),(#485375, #485376,#485377,#485378,#485379)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,1,1,1,4),(4,1,4),(0.002923389624965,0.003157914282758,0.00334367394645, 0.003436553778296,0.003529433610142,0.003715193273833,0.003900952937525, 0.004086712601217,0.004272472264908,0.004365352096754,0.0044582319286,0.004643991592292, 0.004829751255983,0.005249989812698),(-0.1669006370932,0.5,1.166236095483), .UNSPECIFIED.); #3337=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485381,#485382,#485383,#485384, #485385),(#485386,#485387,#485388,#485389,#485390),(#485391,#485392,#485393, #485394,#485395),(#485396,#485397,#485398,#485399,#485400),(#485401,#485402, #485403,#485404,#485405),(#485406,#485407,#485408,#485409,#485410),(#485411, #485412,#485413,#485414,#485415),(#485416,#485417,#485418,#485419,#485420), (#485421,#485422,#485423,#485424,#485425),(#485426,#485427,#485428,#485429, #485430),(#485431,#485432,#485433,#485434,#485435),(#485436,#485437,#485438, #485439,#485440),(#485441,#485442,#485443,#485444,#485445),(#485446,#485447, #485448,#485449,#485450),(#485451,#485452,#485453,#485454,#485455),(#485456, #485457,#485458,#485459,#485460),(#485461,#485462,#485463,#485464,#485465), (#485466,#485467,#485468,#485469,#485470),(#485471,#485472,#485473,#485474, #485475)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), (4,1,4),(-4.863420104437E-5,9.287983184582E-5,0.0001857596636917,0.0003715193273833, 0.0004643991592291,0.000557278991075,0.0007430386547666,0.0008359184866125, 0.0009287983184583,0.00111455798215,0.001300317645842,0.001486077309533, 0.001671836973225,0.001857596636917,0.0022291159643,0.002600635291683,0.003021153336417), (-0.2290388048717,0.5,1.205719913022),.UNSPECIFIED.); #3338=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485477,#485478,#485479,#485480), (#485481,#485482,#485483,#485484),(#485485,#485486,#485487,#485488),(#485489, #485490,#485491,#485492),(#485493,#485494,#485495,#485496),(#485497,#485498, #485499,#485500),(#485501,#485502,#485503,#485504),(#485505,#485506,#485507, #485508),(#485509,#485510,#485511,#485512),(#485513,#485514,#485515,#485516), (#485517,#485518,#485519,#485520),(#485521,#485522,#485523,#485524)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,4),(7.80136534817441E-5, 0.0001885327812233,0.000251377041631,0.0003770655624465,0.0005027540832621, 0.0006284426040776,0.0006912868644853,0.0007541311248931,0.0008798196457086, 0.00109831637414865),(-0.211461862394,1.209287077152),.UNSPECIFIED.); #3339=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485525,#485526,#485527,#485528), (#485529,#485530,#485531,#485532),(#485533,#485534,#485535,#485536),(#485537, #485538,#485539,#485540)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.0172180978839, 0.01725652735403),(-0.0003402295200215,0.01554074755151),.UNSPECIFIED.); #3340=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485541,#485542,#485543,#485544, #485545,#485546,#485547,#485548,#485549,#485550,#485551),(#485552,#485553, #485554,#485555,#485556,#485557,#485558,#485559,#485560,#485561,#485562), (#485563,#485564,#485565,#485566,#485567,#485568,#485569,#485570,#485571, #485572,#485573),(#485574,#485575,#485576,#485577,#485578,#485579,#485580, #485581,#485582,#485583,#485584)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.008722774337567,0.009280442735668),(-0.05901555276795, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.059067062976),.UNSPECIFIED.); #3341=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485588,#485589,#485590,#485591, #485592,#485593,#485594,#485595,#485596,#485597,#485598),(#485599,#485600, #485601,#485602,#485603,#485604,#485605,#485606,#485607,#485608,#485609), (#485610,#485611,#485612,#485613,#485614,#485615,#485616,#485617,#485618, #485619,#485620),(#485621,#485622,#485623,#485624,#485625,#485626,#485627, #485628,#485629,#485630,#485631),(#485632,#485633,#485634,#485635,#485636, #485637,#485638,#485639,#485640,#485641,#485642),(#485643,#485644,#485645, #485646,#485647,#485648,#485649,#485650,#485651,#485652,#485653),(#485654, #485655,#485656,#485657,#485658,#485659,#485660,#485661,#485662,#485663, #485664),(#485665,#485666,#485667,#485668,#485669,#485670,#485671,#485672, #485673,#485674,#485675),(#485676,#485677,#485678,#485679,#485680,#485681, #485682,#485683,#485684,#485685,#485686),(#485687,#485688,#485689,#485690, #485691,#485692,#485693,#485694,#485695,#485696,#485697),(#485698,#485699, #485700,#485701,#485702,#485703,#485704,#485705,#485706,#485707,#485708), (#485709,#485710,#485711,#485712,#485713,#485714,#485715,#485716,#485717, #485718,#485719)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(4,1, 1,1,1,1,1,1,4),(-4.386918495212E-5,0.0001061193876462,0.0002122387752924, 0.0004244775505849,0.0006367163258773,0.0007428357135235,0.0008489551011697, 0.0009550744888159,0.001061193876462,0.001316069183322),(-0.06140709420762, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.060984002314),.UNSPECIFIED.); #3342=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485721,#485722,#485723,#485724, #485725,#485726,#485727,#485728,#485729,#485730,#485731),(#485732,#485733, #485734,#485735,#485736,#485737,#485738,#485739,#485740,#485741,#485742), (#485743,#485744,#485745,#485746,#485747,#485748,#485749,#485750,#485751, #485752,#485753),(#485754,#485755,#485756,#485757,#485758,#485759,#485760, #485761,#485762,#485763,#485764),(#485765,#485766,#485767,#485768,#485769, #485770,#485771,#485772,#485773,#485774,#485775),(#485776,#485777,#485778, #485779,#485780,#485781,#485782,#485783,#485784,#485785,#485786)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,1,1,1,1,1,1,1,4),(0.001224760629044, 0.001379552039401,0.001485671427047,0.001746627726199),(-0.06112416914442, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061575006036),.UNSPECIFIED.); #3343=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485788,#485789,#485790,#485791, #485792,#485793,#485794,#485795,#485796,#485797,#485798),(#485799,#485800, #485801,#485802,#485803,#485804,#485805,#485806,#485807,#485808,#485809), (#485810,#485811,#485812,#485813,#485814,#485815,#485816,#485817,#485818, #485819,#485820),(#485821,#485822,#485823,#485824,#485825,#485826,#485827, #485828,#485829,#485830,#485831),(#485832,#485833,#485834,#485835,#485836, #485837,#485838,#485839,#485840,#485841,#485842),(#485843,#485844,#485845, #485846,#485847,#485848,#485849,#485850,#485851,#485852,#485853),(#485854, #485855,#485856,#485857,#485858,#485859,#485860,#485861,#485862,#485863, #485864),(#485865,#485866,#485867,#485868,#485869,#485870,#485871,#485872, #485873,#485874,#485875),(#485876,#485877,#485878,#485879,#485880,#485881, #485882,#485883,#485884,#485885,#485886),(#485887,#485888,#485889,#485890, #485891,#485892,#485893,#485894,#485895,#485896,#485897),(#485898,#485899, #485900,#485901,#485902,#485903,#485904,#485905,#485906,#485907,#485908), (#485909,#485910,#485911,#485912,#485913,#485914,#485915,#485916,#485917, #485918,#485919),(#485920,#485921,#485922,#485923,#485924,#485925,#485926, #485927,#485928,#485929,#485930)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.902285911515E-5,0.0001042089698665, 0.000208417939733,0.0003126269095995,0.0004168358794659,0.0006252538191989, 0.0008336717589319,0.001042089698665,0.001250507638398,0.001458925578131, 0.001609321780656),(-0.06331054764853,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.063176733112),.UNSPECIFIED.); #3344=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485932,#485933,#485934,#485935, #485936,#485937,#485938,#485939,#485940,#485941,#485942),(#485943,#485944, #485945,#485946,#485947,#485948,#485949,#485950,#485951,#485952,#485953), (#485954,#485955,#485956,#485957,#485958,#485959,#485960,#485961,#485962, #485963,#485964),(#485965,#485966,#485967,#485968,#485969,#485970,#485971, #485972,#485973,#485974,#485975)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,4),(0.001515129021666,0.001715400260177),(-0.06332560692776, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.063176967055),.UNSPECIFIED.); #3345=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#485977,#485978,#485979,#485980, #485981,#485982,#485983,#485984,#485985,#485986,#485987),(#485988,#485989, #485990,#485991,#485992,#485993,#485994,#485995,#485996,#485997,#485998), (#485999,#486000,#486001,#486002,#486003,#486004,#486005,#486006,#486007, #486008,#486009),(#486010,#486011,#486012,#486013,#486014,#486015,#486016, #486017,#486018,#486019,#486020),(#486021,#486022,#486023,#486024,#486025, #486026,#486027,#486028,#486029,#486030,#486031),(#486032,#486033,#486034, #486035,#486036,#486037,#486038,#486039,#486040,#486041,#486042),(#486043, #486044,#486045,#486046,#486047,#486048,#486049,#486050,#486051,#486052, #486053),(#486054,#486055,#486056,#486057,#486058,#486059,#486060,#486061, #486062,#486063,#486064),(#486065,#486066,#486067,#486068,#486069,#486070, #486071,#486072,#486073,#486074,#486075),(#486076,#486077,#486078,#486079, #486080,#486081,#486082,#486083,#486084,#486085,#486086),(#486087,#486088, #486089,#486090,#486091,#486092,#486093,#486094,#486095,#486096,#486097), (#486098,#486099,#486100,#486101,#486102,#486103,#486104,#486105,#486106, #486107,#486108),(#486109,#486110,#486111,#486112,#486113,#486114,#486115, #486116,#486117,#486118,#486119)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1, 1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.690993389189E-5,0.0004585357276166, 0.0005731696595207,0.0006878035914249,0.0009170714552332,0.001031705387137, 0.001146339319041,0.001260973250946,0.00137560718285,0.001604875046658, 0.001881819250261),(-0.06258681059491,0.125,0.25,0.375,0.5,0.625,0.75,0.875, 1.062813755725),.UNSPECIFIED.); #3346=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#486121,#486122,#486123,#486124, #486125,#486126,#486127,#486128,#486129,#486130,#486131),(#486132,#486133, #486134,#486135,#486136,#486137,#486138,#486139,#486140,#486141,#486142), (#486143,#486144,#486145,#486146,#486147,#486148,#486149,#486150,#486151, #486152,#486153),(#486154,#486155,#486156,#486157,#486158,#486159,#486160, #486161,#486162,#486163,#486164),(#486165,#486166,#486167,#486168,#486169, #486170,#486171,#486172,#486173,#486174,#486175),(#486176,#486177,#486178, #486179,#486180,#486181,#486182,#486183,#486184,#486185,#486186),(#486187, #486188,#486189,#486190,#486191,#486192,#486193,#486194,#486195,#486196, #486197),(#486198,#486199,#486200,#486201,#486202,#486203,#486204,#486205, #486206,#486207,#486208),(#486209,#486210,#486211,#486212,#486213,#486214, #486215,#486216,#486217,#486218,#486219),(#486220,#486221,#486222,#486223, #486224,#486225,#486226,#486227,#486228,#486229,#486230)),.UNSPECIFIED., .F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(-4.770199443595E-5, 0.0001884721349283,0.0002827082023924,0.0003769442698566,0.0005654164047849, 0.000659652472249,0.0007538885397132,0.0009889346586286),(-0.06112982980287, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.062291688777),.UNSPECIFIED.); #3347=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#486232,#486233,#486234,#486235, #486236,#486237,#486238,#486239,#486240,#486241,#486242),(#486243,#486244, #486245,#486246,#486247,#486248,#486249,#486250,#486251,#486252,#486253), (#486254,#486255,#486256,#486257,#486258,#486259,#486260,#486261,#486262, #486263,#486264),(#486265,#486266,#486267,#486268,#486269,#486270,#486271, #486272,#486273,#486274,#486275),(#486276,#486277,#486278,#486279,#486280, #486281,#486282,#486283,#486284,#486285,#486286),(#486287,#486288,#486289, #486290,#486291,#486292,#486293,#486294,#486295,#486296,#486297),(#486298, #486299,#486300,#486301,#486302,#486303,#486304,#486305,#486306,#486307, #486308),(#486309,#486310,#486311,#486312,#486313,#486314,#486315,#486316, #486317,#486318,#486319),(#486320,#486321,#486322,#486323,#486324,#486325, #486326,#486327,#486328,#486329,#486330),(#486331,#486332,#486333,#486334, #486335,#486336,#486337,#486338,#486339,#486340,#486341),(#486342,#486343, #486344,#486345,#486346,#486347,#486348,#486349,#486350,#486351,#486352), (#486353,#486354,#486355,#486356,#486357,#486358,#486359,#486360,#486361, #486362,#486363),(#486364,#486365,#486366,#486367,#486368,#486369,#486370, #486371,#486372,#486373,#486374),(#486375,#486376,#486377,#486378,#486379, #486380,#486381,#486382,#486383,#486384,#486385)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,4),(4,1,1,1,1,1,1,1,4),(0.0008959521176451, 0.00113083280957,0.001319304944498,0.001507777079426,0.001696249214355, 0.001884721349283,0.002073193484211,0.002261665619139,0.002638609888996, 0.00273284595646,0.002827082023924,0.003049453913351),(-0.06039695241014, 0.125,0.25,0.375,0.5,0.625,0.75,0.875,1.061531584537),.UNSPECIFIED.); #3348=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#487569,#487570,#487571,#487572), (#487573,#487574,#487575,#487576),(#487577,#487578,#487579,#487580),(#487581, #487582,#487583,#487584),(#487585,#487586,#487587,#487588),(#487589,#487590, #487591,#487592),(#487593,#487594,#487595,#487596),(#487597,#487598,#487599, #487600),(#487601,#487602,#487603,#487604),(#487605,#487606,#487607,#487608), (#487609,#487610,#487611,#487612),(#487613,#487614,#487615,#487616),(#487617, #487618,#487619,#487620),(#487621,#487622,#487623,#487624),(#487625,#487626, #487627,#487628),(#487629,#487630,#487631,#487632),(#487633,#487634,#487635, #487636),(#487637,#487638,#487639,#487640),(#487641,#487642,#487643,#487644)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478003521, 0.,0.03125382654815,0.06250369818793,0.0937535698277,0.1250034414675,0.1562533131072, 0.187503184747,0.2500029280265,0.312502671306,0.3750024145857,0.5000019011447, 0.6250013877039,0.7500008742628,0.875000360822,1.,1.009708090316),(2.879507328413E-8, 0.9999985314622),.UNSPECIFIED.); #3349=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#487916,#487917,#487918,#487919), (#487920,#487921,#487922,#487923),(#487924,#487925,#487926,#487927),(#487928, #487929,#487930,#487931),(#487932,#487933,#487934,#487935),(#487936,#487937, #487938,#487939),(#487940,#487941,#487942,#487943),(#487944,#487945,#487946, #487947),(#487948,#487949,#487950,#487951),(#487952,#487953,#487954,#487955), (#487956,#487957,#487958,#487959),(#487960,#487961,#487962,#487963),(#487964, #487965,#487966,#487967),(#487968,#487969,#487970,#487971),(#487972,#487973, #487974,#487975),(#487976,#487977,#487978,#487979),(#487980,#487981,#487982, #487983),(#487984,#487985,#487986,#487987),(#487988,#487989,#487990,#487991)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478004279, 0.,0.0312538265489,0.06250369818868,0.09375356982844,0.1250034414682,0.156253313108, 0.1875031847477,0.2500029280273,0.3125026713067,0.3750024145864,0.5000019011454, 0.6250013877046,0.7500008742635,0.8750003608227,1.,1.009708090317),(2.879492438587E-8, 0.9999985314625),.UNSPECIFIED.); #3350=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488177,#488178,#488179,#488180), (#488181,#488182,#488183,#488184),(#488185,#488186,#488187,#488188),(#488189, #488190,#488191,#488192),(#488193,#488194,#488195,#488196),(#488197,#488198, #488199,#488200),(#488201,#488202,#488203,#488204),(#488205,#488206,#488207, #488208),(#488209,#488210,#488211,#488212),(#488213,#488214,#488215,#488216), (#488217,#488218,#488219,#488220),(#488221,#488222,#488223,#488224),(#488225, #488226,#488227,#488228),(#488229,#488230,#488231,#488232),(#488233,#488234, #488235,#488236),(#488237,#488238,#488239,#488240),(#488241,#488242,#488243, #488244),(#488245,#488246,#488247,#488248),(#488249,#488250,#488251,#488252), (#488253,#488254,#488255,#488256),(#488257,#488258,#488259,#488260),(#488261, #488262,#488263,#488264),(#488265,#488266,#488267,#488268),(#488269,#488270, #488271,#488272),(#488273,#488274,#488275,#488276),(#488277,#488278,#488279, #488280),(#488281,#488282,#488283,#488284),(#488285,#488286,#488287,#488288), (#488289,#488290,#488291,#488292),(#488293,#488294,#488295,#488296),(#488297, #488298,#488299,#488300),(#488301,#488302,#488303,#488304),(#488305,#488306, #488307,#488308),(#488309,#488310,#488311,#488312),(#488313,#488314,#488315, #488316),(#488317,#488318,#488319,#488320)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729112,0.,0.02083881288059,0.06250524637503,0.09375507149586, 0.1250048966167,0.1562547217375,0.1875045468583,0.2187543719792,0.2500041971, 0.2812540222208,0.3125038473416,0.3437536724625,0.3750034975833,0.437503147825, 0.5000027980666,0.5312526231874,0.5625024483083,0.5937522734291,0.6250020985499, 0.6875017487916,0.7500013990332,0.7812512241541,0.8125010492749,0.8437508743957, 0.8750006995165,0.8906256120769,0.9062505246374,0.9218754371978,0.9375003497582, 0.968750174879,0.9895833916262,1.,1.004539870628),(-2.428692931489E-8,1.000001238603), .UNSPECIFIED.); #3351=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488691,#488692,#488693,#488694), (#488695,#488696,#488697,#488698),(#488699,#488700,#488701,#488702),(#488703, #488704,#488705,#488706),(#488707,#488708,#488709,#488710),(#488711,#488712, #488713,#488714),(#488715,#488716,#488717,#488718),(#488719,#488720,#488721, #488722),(#488723,#488724,#488725,#488726),(#488727,#488728,#488729,#488730), (#488731,#488732,#488733,#488734),(#488735,#488736,#488737,#488738),(#488739, #488740,#488741,#488742),(#488743,#488744,#488745,#488746),(#488747,#488748, #488749,#488750),(#488751,#488752,#488753,#488754)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448912837,0.,0.1250001656154, 0.2500001419561,0.5000000946375,0.6250000709783,0.6875000591485,0.7500000473189, 0.8125000354893,0.8750000236597,0.9375000118299,0.9687500059151,1.,1.003401343713), (1.427523722147E-8,1.000000779815),.UNSPECIFIED.); #3352=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488807,#488808,#488809,#488810), (#488811,#488812,#488813,#488814),(#488815,#488816,#488817,#488818),(#488819, #488820,#488821,#488822),(#488823,#488824,#488825,#488826),(#488827,#488828, #488829,#488830)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.01999973224018, 0.,1.,1.020000002351),(-0.01085010614348,1.010851515608),.UNSPECIFIED.); #3353=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#488891,#488892,#488893,#488894), (#488895,#488896,#488897,#488898),(#488899,#488900,#488901,#488902),(#488903, #488904,#488905,#488906),(#488907,#488908,#488909,#488910),(#488911,#488912, #488913,#488914),(#488915,#488916,#488917,#488918),(#488919,#488920,#488921, #488922),(#488923,#488924,#488925,#488926),(#488927,#488928,#488929,#488930), (#488931,#488932,#488933,#488934),(#488935,#488936,#488937,#488938),(#488939, #488940,#488941,#488942),(#488943,#488944,#488945,#488946),(#488947,#488948, #488949,#488950),(#488951,#488952,#488953,#488954),(#488955,#488956,#488957, #488958),(#488959,#488960,#488961,#488962),(#488963,#488964,#488965,#488966), (#488967,#488968,#488969,#488970),(#488971,#488972,#488973,#488974),(#488975, #488976,#488977,#488978),(#488979,#488980,#488981,#488982),(#488983,#488984, #488985,#488986),(#488987,#488988,#488989,#488990),(#488991,#488992,#488993, #488994),(#488995,#488996,#488997,#488998),(#488999,#489000,#489001,#489002), (#489003,#489004,#489005,#489006),(#489007,#489008,#489009,#489010),(#489011, #489012,#489013,#489014),(#489015,#489016,#489017,#489018),(#489019,#489020, #489021,#489022),(#489023,#489024,#489025,#489026),(#489027,#489028,#489029, #489030),(#489031,#489032,#489033,#489034)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729098,0.,0.02083881288025,0.06250524637471,0.09375507149555, 0.1250048966164,0.1562547217372,0.1875045468581,0.2187543719789,0.2500041970998, 0.2812540222206,0.3125038473415,0.3437536724623,0.3750034975831,0.4375031478248, 0.5000027980665,0.5312526231873,0.5625024483082,0.593752273429,0.6250020985499, 0.6875017487916,0.7500013990332,0.7812512241541,0.8125010492749,0.8437508743958, 0.8750006995166,0.890625612077,0.9062505246375,0.9218754371979,0.9375003497583, 0.9687501748791,0.9895833916263,1.,1.004539870628),(-2.428574426283E-8, 1.000001238603),.UNSPECIFIED.); #3354=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489215,#489216,#489217,#489218), (#489219,#489220,#489221,#489222),(#489223,#489224,#489225,#489226),(#489227, #489228,#489229,#489230),(#489231,#489232,#489233,#489234),(#489235,#489236, #489237,#489238),(#489239,#489240,#489241,#489242),(#489243,#489244,#489245, #489246),(#489247,#489248,#489249,#489250),(#489251,#489252,#489253,#489254), (#489255,#489256,#489257,#489258),(#489259,#489260,#489261,#489262),(#489263, #489264,#489265,#489266),(#489267,#489268,#489269,#489270),(#489271,#489272, #489273,#489274),(#489275,#489276,#489277,#489278),(#489279,#489280,#489281, #489282),(#489283,#489284,#489285,#489286),(#489287,#489288,#489289,#489290)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.00861047876917, 0.,0.03125382836532,0.06250370182092,0.0937535752765,0.1250034487321,0.1562533221877, 0.1875031956433,0.2500029425544,0.3125026894655,0.3750024363769,0.5000019301991, 0.6250014240215,0.7500009178437,0.8750004116662,1.,1.009708112758),(2.879492570079E-8, 0.9999985314624),.UNSPECIFIED.); #3355=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489333,#489334,#489335,#489336), (#489337,#489338,#489339,#489340),(#489341,#489342,#489343,#489344),(#489345, #489346,#489347,#489348),(#489349,#489350,#489351,#489352),(#489353,#489354, #489355,#489356),(#489357,#489358,#489359,#489360),(#489361,#489362,#489363, #489364),(#489365,#489366,#489367,#489368),(#489369,#489370,#489371,#489372), (#489373,#489374,#489375,#489376),(#489377,#489378,#489379,#489380),(#489381, #489382,#489383,#489384),(#489385,#489386,#489387,#489388),(#489389,#489390, #489391,#489392),(#489393,#489394,#489395,#489396),(#489397,#489398,#489399, #489400),(#489401,#489402,#489403,#489404),(#489405,#489406,#489407,#489408), (#489409,#489410,#489411,#489412),(#489413,#489414,#489415,#489416),(#489417, #489418,#489419,#489420),(#489421,#489422,#489423,#489424),(#489425,#489426, #489427,#489428),(#489429,#489430,#489431,#489432),(#489433,#489434,#489435, #489436),(#489437,#489438,#489439,#489440),(#489441,#489442,#489443,#489444), (#489445,#489446,#489447,#489448),(#489449,#489450,#489451,#489452),(#489453, #489454,#489455,#489456),(#489457,#489458,#489459,#489460),(#489461,#489462, #489463,#489464),(#489465,#489466,#489467,#489468),(#489469,#489470,#489471, #489472),(#489473,#489474,#489475,#489476)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.0168856972912,0.,0.02083881288016,0.06250524637462,0.09375507149547, 0.1250048966163,0.1562547217372,0.187504546858,0.2187543719788,0.2500041970997, 0.2812540222205,0.3125038473414,0.3437536724622,0.3750034975831,0.4375031478247, 0.5000027980664,0.5312526231873,0.5625024483081,0.593752273429,0.6250020985498, 0.6875017487915,0.7500013990332,0.781251224154,0.8125010492749,0.8437508743957, 0.8750006995166,0.890625612077,0.9062505246374,0.9218754371978,0.9375003497582, 0.9687501748791,0.9895833916263,1.,1.004539870628),(-2.428657413026E-8, 1.000001238603),.UNSPECIFIED.); #3356=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489705,#489706,#489707,#489708), (#489709,#489710,#489711,#489712),(#489713,#489714,#489715,#489716),(#489717, #489718,#489719,#489720),(#489721,#489722,#489723,#489724),(#489725,#489726, #489727,#489728),(#489729,#489730,#489731,#489732),(#489733,#489734,#489735, #489736),(#489737,#489738,#489739,#489740),(#489741,#489742,#489743,#489744), (#489745,#489746,#489747,#489748),(#489749,#489750,#489751,#489752),(#489753, #489754,#489755,#489756),(#489757,#489758,#489759,#489760),(#489761,#489762, #489763,#489764),(#489765,#489766,#489767,#489768),(#489769,#489770,#489771, #489772),(#489773,#489774,#489775,#489776),(#489777,#489778,#489779,#489780)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478004548, 0.,0.03125382655096,0.06250369819067,0.09375356983035,0.1250034414701,0.1562533131097, 0.1875031847494,0.2500029280288,0.3125026713081,0.3750024145877,0.5000019011463, 0.6250013877052,0.7500008742638,0.8750003608227,1.,1.009708090317),(2.879445749893E-8, 0.9999985314625),.UNSPECIFIED.); #3357=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#489823,#489824,#489825,#489826), (#489827,#489828,#489829,#489830),(#489831,#489832,#489833,#489834),(#489835, #489836,#489837,#489838),(#489839,#489840,#489841,#489842),(#489843,#489844, #489845,#489846),(#489847,#489848,#489849,#489850),(#489851,#489852,#489853, #489854),(#489855,#489856,#489857,#489858),(#489859,#489860,#489861,#489862), (#489863,#489864,#489865,#489866),(#489867,#489868,#489869,#489870),(#489871, #489872,#489873,#489874),(#489875,#489876,#489877,#489878),(#489879,#489880, #489881,#489882),(#489883,#489884,#489885,#489886),(#489887,#489888,#489889, #489890),(#489891,#489892,#489893,#489894),(#489895,#489896,#489897,#489898), (#489899,#489900,#489901,#489902),(#489903,#489904,#489905,#489906),(#489907, #489908,#489909,#489910),(#489911,#489912,#489913,#489914),(#489915,#489916, #489917,#489918),(#489919,#489920,#489921,#489922),(#489923,#489924,#489925, #489926),(#489927,#489928,#489929,#489930),(#489931,#489932,#489933,#489934), (#489935,#489936,#489937,#489938),(#489939,#489940,#489941,#489942),(#489943, #489944,#489945,#489946),(#489947,#489948,#489949,#489950),(#489951,#489952, #489953,#489954),(#489955,#489956,#489957,#489958),(#489959,#489960,#489961, #489962),(#489963,#489964,#489965,#489966)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729083,0.,0.02083881288006,0.06250524637453,0.09375507149538, 0.1250048966162,0.1562547217371,0.1875045468579,0.2187543719788,0.2500041970996, 0.2812540222205,0.3125038473413,0.3437536724622,0.375003497583,0.4375031478247, 0.5000027980664,0.5312526231873,0.5625024483081,0.593752273429,0.6250020985498, 0.6875017487915,0.7500013990332,0.7812512241541,0.8125010492749,0.8437508743958, 0.8750006995166,0.8906256120771,0.9062505246375,0.9218754371979,0.9375003497583, 0.9687501748792,0.9895833916264,1.,1.004539870628),(-2.428576945795E-8, 1.000001238603),.UNSPECIFIED.); #3358=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#490060,#490061,#490062,#490063), (#490064,#490065,#490066,#490067),(#490068,#490069,#490070,#490071),(#490072, #490073,#490074,#490075),(#490076,#490077,#490078,#490079),(#490080,#490081, #490082,#490083),(#490084,#490085,#490086,#490087),(#490088,#490089,#490090, #490091),(#490092,#490093,#490094,#490095),(#490096,#490097,#490098,#490099), (#490100,#490101,#490102,#490103),(#490104,#490105,#490106,#490107),(#490108, #490109,#490110,#490111),(#490112,#490113,#490114,#490115),(#490116,#490117, #490118,#490119),(#490120,#490121,#490122,#490123)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448912549,0.,0.1250001656155, 0.2500001419562,0.5000000946376,0.6250000709784,0.6875000591486,0.750000047319, 0.8125000354894,0.8750000236598,0.9375000118301,0.9687500059153,1.,1.003401343713), (1.427473193469E-8,1.000000779815),.UNSPECIFIED.); #3359=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#490200,#490201,#490202,#490203), (#490204,#490205,#490206,#490207),(#490208,#490209,#490210,#490211),(#490212, #490213,#490214,#490215),(#490216,#490217,#490218,#490219),(#490220,#490221, #490222,#490223),(#490224,#490225,#490226,#490227),(#490228,#490229,#490230, #490231),(#490232,#490233,#490234,#490235),(#490236,#490237,#490238,#490239), (#490240,#490241,#490242,#490243),(#490244,#490245,#490246,#490247),(#490248, #490249,#490250,#490251),(#490252,#490253,#490254,#490255),(#490256,#490257, #490258,#490259),(#490260,#490261,#490262,#490263),(#490264,#490265,#490266, #490267),(#490268,#490269,#490270,#490271),(#490272,#490273,#490274,#490275), (#490276,#490277,#490278,#490279),(#490280,#490281,#490282,#490283),(#490284, #490285,#490286,#490287),(#490288,#490289,#490290,#490291),(#490292,#490293, #490294,#490295),(#490296,#490297,#490298,#490299),(#490300,#490301,#490302, #490303),(#490304,#490305,#490306,#490307),(#490308,#490309,#490310,#490311), (#490312,#490313,#490314,#490315),(#490316,#490317,#490318,#490319),(#490320, #490321,#490322,#490323),(#490324,#490325,#490326,#490327),(#490328,#490329, #490330,#490331),(#490332,#490333,#490334,#490335),(#490336,#490337,#490338, #490339),(#490340,#490341,#490342,#490343)),.UNSPECIFIED.,.F.,.F.,.F.,(4, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4), (-0.01688569729089,0.,0.02083881288066,0.0625052463751,0.09375507149593, 0.1250048966168,0.1562547217376,0.1875045468584,0.2187543719792,0.2500041971001, 0.2812540222209,0.3125038473417,0.3437536724626,0.3750034975834,0.437503147825, 0.5000027980667,0.5312526231875,0.5625024483083,0.5937522734292,0.62500209855, 0.6875017487917,0.7500013990333,0.7812512241541,0.812501049275,0.8437508743958, 0.8750006995166,0.890625612077,0.9062505246374,0.9218754371979,0.9375003497583, 0.9687501748791,0.9895833916263,1.,1.004539870628),(-2.428627492515E-8, 1.000001238602),.UNSPECIFIED.); #3360=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#490526,#490527,#490528,#490529), (#490530,#490531,#490532,#490533),(#490534,#490535,#490536,#490537),(#490538, #490539,#490540,#490541),(#490542,#490543,#490544,#490545),(#490546,#490547, #490548,#490549),(#490550,#490551,#490552,#490553),(#490554,#490555,#490556, #490557),(#490558,#490559,#490560,#490561),(#490562,#490563,#490564,#490565), (#490566,#490567,#490568,#490569),(#490570,#490571,#490572,#490573),(#490574, #490575,#490576,#490577),(#490578,#490579,#490580,#490581),(#490582,#490583, #490584,#490585),(#490586,#490587,#490588,#490589),(#490590,#490591,#490592, #490593),(#490594,#490595,#490596,#490597),(#490598,#490599,#490600,#490601)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.008610478003951, 0.,0.03125382654609,0.06250369818598,0.09375356982585,0.1250034414657,0.1562533131056, 0.1875031847455,0.2500029280252,0.3125026713049,0.3750024145848,0.5000019011442, 0.6250013877038,0.7500008742632,0.8750003608228,1.,1.009708090317),(2.879586583765E-8, 0.9999985314623),.UNSPECIFIED.); #3361=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#490671,#490672,#490673,#490674), (#490675,#490676,#490677,#490678),(#490679,#490680,#490681,#490682),(#490683, #490684,#490685,#490686),(#490687,#490688,#490689,#490690),(#490691,#490692, #490693,#490694)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.01999973224283, 0.,1.,1.020000002341),(-0.01085010614933,1.010851515597),.UNSPECIFIED.); #3362=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#490732,#490733,#490734,#490735), (#490736,#490737,#490738,#490739),(#490740,#490741,#490742,#490743),(#490744, #490745,#490746,#490747),(#490748,#490749,#490750,#490751),(#490752,#490753, #490754,#490755),(#490756,#490757,#490758,#490759),(#490760,#490761,#490762, #490763),(#490764,#490765,#490766,#490767),(#490768,#490769,#490770,#490771), (#490772,#490773,#490774,#490775),(#490776,#490777,#490778,#490779),(#490780, #490781,#490782,#490783),(#490784,#490785,#490786,#490787),(#490788,#490789, #490790,#490791),(#490792,#490793,#490794,#490795)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448913372,0.,0.1250001656153, 0.2500001419559,0.5000000946374,0.6250000709782,0.6875000591484,0.7500000473189, 0.8125000354893,0.8750000236597,0.9375000118299,0.9687500059151,1.,1.003401343713), (1.427472012469E-8,1.000000779815),.UNSPECIFIED.); #3363=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#491283,#491284,#491285,#491286), (#491287,#491288,#491289,#491290),(#491291,#491292,#491293,#491294),(#491295, #491296,#491297,#491298),(#491299,#491300,#491301,#491302),(#491303,#491304, #491305,#491306),(#491307,#491308,#491309,#491310),(#491311,#491312,#491313, #491314),(#491315,#491316,#491317,#491318),(#491319,#491320,#491321,#491322), (#491323,#491324,#491325,#491326),(#491327,#491328,#491329,#491330),(#491331, #491332,#491333,#491334),(#491335,#491336,#491337,#491338),(#491339,#491340, #491341,#491342),(#491343,#491344,#491345,#491346)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827448913428,0.,0.125000165615, 0.2500001419557,0.5000000946373,0.6250000709781,0.6875000591484,0.7500000473188, 0.8125000354893,0.8750000236597,0.93750001183,0.9687500059152,1.,1.003401343713), (1.427432274465E-8,1.000000779815),.UNSPECIFIED.); #3364=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#491375,#491376,#491377,#491378), (#491379,#491380,#491381,#491382),(#491383,#491384,#491385,#491386),(#491387, #491388,#491389,#491390),(#491391,#491392,#491393,#491394),(#491395,#491396, #491397,#491398)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.0200000001488, 0.,1.,1.020000007589),(-0.01085010669439,1.010850106693),.UNSPECIFIED.); #3365=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#491424,#491425,#491426,#491427), (#491428,#491429,#491430,#491431),(#491432,#491433,#491434,#491435),(#491436, #491437,#491438,#491439),(#491440,#491441,#491442,#491443),(#491444,#491445, #491446,#491447)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.01999973268778, 0.,1.,1.020000002353),(-0.01085010614979,1.010851513258),.UNSPECIFIED.); #3366=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#491474,#491475,#491476,#491477), (#491478,#491479,#491480,#491481),(#491482,#491483,#491484,#491485),(#491486, #491487,#491488,#491489),(#491490,#491491,#491492,#491493),(#491494,#491495, #491496,#491497),(#491498,#491499,#491500,#491501),(#491502,#491503,#491504, #491505),(#491506,#491507,#491508,#491509),(#491510,#491511,#491512,#491513), (#491514,#491515,#491516,#491517),(#491518,#491519,#491520,#491521),(#491522, #491523,#491524,#491525),(#491526,#491527,#491528,#491529),(#491530,#491531, #491532,#491533),(#491534,#491535,#491536,#491537)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(4,4),(-0.009827477695164,0.,0.1250001656156, 0.2500001419562,0.5000000946376,0.6250000709784,0.6875000591486,0.750000047319, 0.8125000354894,0.8750000236598,0.93750001183,0.9687500059152,1.,1.003401343809), (5.155251427136E-9,1.000000779994),.UNSPECIFIED.); #3367=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#491795,#491796,#491797,#491798), (#491799,#491800,#491801,#491802),(#491803,#491804,#491805,#491806),(#491807, #491808,#491809,#491810),(#491811,#491812,#491813,#491814),(#491815,#491816, #491817,#491818)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-0.0199997325514, 0.,1.,1.020000002357),(-0.01085010614704,1.010851513971),.UNSPECIFIED.); #3368=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494319,#494320,#494321,#494322, #494323,#494324,#494325,#494326,#494327,#494328,#494329,#494330,#494331), (#494332,#494333,#494334,#494335,#494336,#494337,#494338,#494339,#494340, #494341,#494342,#494343,#494344),(#494345,#494346,#494347,#494348,#494349, #494350,#494351,#494352,#494353,#494354,#494355,#494356,#494357),(#494358, #494359,#494360,#494361,#494362,#494363,#494364,#494365,#494366,#494367, #494368,#494369,#494370)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.646044216054731,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020523,-0.215348072018243,-0.107674036009121,9.39992480277463E-16), .UNSPECIFIED.); #3369=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494390,#494391,#494392,#494393, #494394,#494395,#494396,#494397,#494398,#494399,#494400,#494401,#494402, #494403),(#494404,#494405,#494406,#494407,#494408,#494409,#494410,#494411, #494412,#494413,#494414,#494415,#494416,#494417),(#494418,#494419,#494420, #494421,#494422,#494423,#494424,#494425,#494426,#494427,#494428,#494429, #494430,#494431),(#494432,#494433,#494434,#494435,#494436,#494437,#494438, #494439,#494440,#494441,#494442,#494443,#494444,#494445)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-9.42224513361642E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036487,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3370=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494491,#494492,#494493,#494494, #494495,#494496,#494497,#494498,#494499,#494500,#494501,#494502,#494503, #494504),(#494505,#494506,#494507,#494508,#494509,#494510,#494511,#494512, #494513,#494514,#494515,#494516,#494517,#494518),(#494519,#494520,#494521, #494522,#494523,#494524,#494525,#494526,#494527,#494528,#494529,#494530, #494531,#494532),(#494533,#494534,#494535,#494536,#494537,#494538,#494539, #494540,#494541,#494542,#494543,#494544,#494545,#494546)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068368, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.226093262646733, -0.113046631323365,1.76247905159244E-15),.UNSPECIFIED.); #3371=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#494583,#494584,#494585,#494586, #494587,#494588,#494589,#494590,#494591,#494592,#494593,#494594,#494595, #494596,#494597,#494598),(#494599,#494600,#494601,#494602,#494603,#494604, #494605,#494606,#494607,#494608,#494609,#494610,#494611,#494612,#494613, #494614),(#494615,#494616,#494617,#494618,#494619,#494620,#494621,#494622, #494623,#494624,#494625,#494626,#494627,#494628,#494629,#494630),(#494631, #494632,#494633,#494634,#494635,#494636,#494637,#494638,#494639,#494640, #494641,#494642,#494643,#494644,#494645,#494646)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.7286385338222E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165588, 0.621756568799849,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3372=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495210,#495211,#495212,#495213, #495214,#495215,#495216,#495217,#495218,#495219,#495220,#495221,#495222, #495223),(#495224,#495225,#495226,#495227,#495228,#495229,#495230,#495231, #495232,#495233,#495234,#495235,#495236,#495237),(#495238,#495239,#495240, #495241,#495242,#495243,#495244,#495245,#495246,#495247,#495248,#495249, #495250,#495251),(#495252,#495253,#495254,#495255,#495256,#495257,#495258, #495259,#495260,#495261,#495262,#495263,#495264,#495265)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063854, -0.646044216054732,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,7.39757063765003E-16), .UNSPECIFIED.); #3373=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495286,#495287,#495288,#495289, #495290,#495291,#495292,#495293,#495294,#495295,#495296,#495297,#495298, #495299,#495300),(#495301,#495302,#495303,#495304,#495305,#495306,#495307, #495308,#495309,#495310,#495311,#495312,#495313,#495314,#495315),(#495316, #495317,#495318,#495319,#495320,#495321,#495322,#495323,#495324,#495325, #495326,#495327,#495328,#495329,#495330),(#495331,#495332,#495333,#495334, #495335,#495336,#495337,#495338,#495339,#495340,#495341,#495342,#495343, #495344,#495345)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1, 1,4),(0.,1.),(-9.45693960313659E-16,0.0942148466754539,0.160165187214294, 0.226115527753134,0.292065868291973,0.358016208830813,0.423966549369653, 0.489916889908493,0.555867230447333,0.621817570986173,0.687767911525013, 0.753718252063852),.UNSPECIFIED.); #3374=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495386,#495387,#495388,#495389, #495390,#495391,#495392,#495393,#495394,#495395,#495396,#495397,#495398, #495399,#495400,#495401),(#495402,#495403,#495404,#495405,#495406,#495407, #495408,#495409,#495410,#495411,#495412,#495413,#495414,#495415,#495416, #495417),(#495418,#495419,#495420,#495421,#495422,#495423,#495424,#495425, #495426,#495427,#495428,#495429,#495430,#495431,#495432,#495433),(#495434, #495435,#495436,#495437,#495438,#495439,#495440,#495441,#495442,#495443, #495444,#495445,#495446,#495447,#495448,#495449)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.75364431606837,-0.645980842344317, -0.538317368620264,-0.504672533081497,-0.484485631758237,-0.43065389489621, -0.322990421172157,-0.289345585633391,-0.269158684310131,-0.242242815879118, -0.215326947448104,-0.148037276370571,-0.107663473724051,1.81315475125379E-15), .UNSPECIFIED.); #3375=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495471,#495472,#495473,#495474, #495475,#495476,#495477,#495478,#495479,#495480,#495481,#495482,#495483), (#495484,#495485,#495486,#495487,#495488,#495489,#495490,#495491,#495492, #495493,#495494,#495495,#495496),(#495497,#495498,#495499,#495500,#495501, #495502,#495503,#495504,#495505,#495506,#495507,#495508,#495509),(#495510, #495511,#495512,#495513,#495514,#495515,#495516,#495517,#495518,#495519, #495520,#495521,#495522)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(1.76247905159243E-15,0.107663473724054,0.215326947448107, 0.269158684310133,0.322990421172159,0.430653894896212,0.484485631758238, 0.511401500189251,0.538317368620264,0.645980842344317,0.753644316068369), .UNSPECIFIED.); #3376=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495532,#495533,#495534,#495535, #495536,#495537,#495538,#495539,#495540,#495541,#495542,#495543,#495544, #495545),(#495546,#495547,#495548,#495549,#495550,#495551,#495552,#495553, #495554,#495555,#495556,#495557,#495558,#495559),(#495560,#495561,#495562, #495563,#495564,#495565,#495566,#495567,#495568,#495569,#495570,#495571, #495572,#495573),(#495574,#495575,#495576,#495577,#495578,#495579,#495580, #495581,#495582,#495583,#495584,#495585,#495586,#495587)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063852, -0.64604421605473,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009122,2.7945637976506E-19), .UNSPECIFIED.); #3377=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495608,#495609,#495610,#495611, #495612,#495613,#495614,#495615,#495616,#495617,#495618,#495619,#495620, #495621,#495622,#495623),(#495624,#495625,#495626,#495627,#495628,#495629, #495630,#495631,#495632,#495633,#495634,#495635,#495636,#495637,#495638, #495639),(#495640,#495641,#495642,#495643,#495644,#495645,#495646,#495647, #495648,#495649,#495650,#495651,#495652,#495653,#495654,#495655),(#495656, #495657,#495658,#495659,#495660,#495661,#495662,#495663,#495664,#495665, #495666,#495667,#495668,#495669,#495670,#495671)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-6.9177680047851E-45, 0.107674036009122,0.215348072018243,0.248996208271094,0.269185090022804, 0.323022108027365,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043328,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #3378=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495713,#495714,#495715,#495716, #495717,#495718,#495719,#495720,#495721,#495722,#495723,#495724),(#495725, #495726,#495727,#495728,#495729,#495730,#495731,#495732,#495733,#495734, #495735,#495736),(#495737,#495738,#495739,#495740,#495741,#495742,#495743, #495744,#495745,#495746,#495747,#495748),(#495749,#495750,#495751,#495752, #495753,#495754,#495755,#495756,#495757,#495758,#495759,#495760)), .UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,2,4),(0.,1.),(-0.753644316068369, -0.659438736342602,-0.546392105019235,-0.489868789357551,-0.320298842372501, -0.207252211049134,-0.0942055797257666,8.47448333532326E-16), .UNSPECIFIED.); #3379=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495778,#495779,#495780,#495781, #495782,#495783,#495784,#495785,#495786,#495787,#495788,#495789,#495790), (#495791,#495792,#495793,#495794,#495795,#495796,#495797,#495798,#495799, #495800,#495801,#495802,#495803),(#495804,#495805,#495806,#495807,#495808, #495809,#495810,#495811,#495812,#495813,#495814,#495815,#495816),(#495817, #495818,#495819,#495820,#495821,#495822,#495823,#495824,#495825,#495826, #495827,#495828,#495829)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-1.43677851009475E-18,0.107663473724053,0.215326947448105, 0.269158684310132,0.322990421172158,0.430653894896211,0.484485631758237, 0.51140150018925,0.538317368620264,0.645980842344317,0.753644316068369), .UNSPECIFIED.); #3380=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495839,#495840,#495841,#495842, #495843,#495844,#495845,#495846,#495847,#495848,#495849,#495850,#495851), (#495852,#495853,#495854,#495855,#495856,#495857,#495858,#495859,#495860, #495861,#495862,#495863,#495864),(#495865,#495866,#495867,#495868,#495869, #495870,#495871,#495872,#495873,#495874,#495875,#495876,#495877),(#495878, #495879,#495880,#495881,#495882,#495883,#495884,#495885,#495886,#495887, #495888,#495889,#495890)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.64604421605473,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020523,-0.215348072018243,-0.107674036009121,7.39757063764406E-16), .UNSPECIFIED.); #3381=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#495910,#495911,#495912,#495913, #495914,#495915,#495916,#495917,#495918,#495919,#495920,#495921,#495922, #495923),(#495924,#495925,#495926,#495927,#495928,#495929,#495930,#495931, #495932,#495933,#495934,#495935,#495936,#495937),(#495938,#495939,#495940, #495941,#495942,#495943,#495944,#495945,#495946,#495947,#495948,#495949, #495950,#495951),(#495952,#495953,#495954,#495955,#495956,#495957,#495958, #495959,#495960,#495961,#495962,#495963,#495964,#495965)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #3382=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496005,#496006,#496007,#496008, #496009,#496010,#496011,#496012,#496013,#496014,#496015,#496016,#496017, #496018),(#496019,#496020,#496021,#496022,#496023,#496024,#496025,#496026, #496027,#496028,#496029,#496030,#496031,#496032),(#496033,#496034,#496035, #496036,#496037,#496038,#496039,#496040,#496041,#496042,#496043,#496044, #496045,#496046),(#496047,#496048,#496049,#496050,#496051,#496052,#496053, #496054,#496055,#496056,#496057,#496058,#496059,#496060)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.329719368171299,-0.263775494537039,-0.131887747268518, -0.0659438736342578,2.64109001901538E-15),.UNSPECIFIED.); #3383=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496080,#496081,#496082,#496083, #496084,#496085,#496086,#496087,#496088,#496089,#496090,#496091,#496092), (#496093,#496094,#496095,#496096,#496097,#496098,#496099,#496100,#496101, #496102,#496103,#496104,#496105),(#496106,#496107,#496108,#496109,#496110, #496111,#496112,#496113,#496114,#496115,#496116,#496117,#496118),(#496119, #496120,#496121,#496122,#496123,#496124,#496125,#496126,#496127,#496128, #496129,#496130,#496131)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(1.76247905159244E-15,0.107663473724054,0.215326947448106, 0.269158684310133,0.322990421172159,0.430653894896211,0.484485631758237, 0.51140150018925,0.538317368620264,0.645980842344316,0.753644316068368), .UNSPECIFIED.); #3384=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496141,#496142,#496143,#496144, #496145,#496146,#496147,#496148,#496149,#496150,#496151,#496152,#496153, #496154),(#496155,#496156,#496157,#496158,#496159,#496160,#496161,#496162, #496163,#496164,#496165,#496166,#496167,#496168),(#496169,#496170,#496171, #496172,#496173,#496174,#496175,#496176,#496177,#496178,#496179,#496180, #496181,#496182),(#496183,#496184,#496185,#496186,#496187,#496188,#496189, #496190,#496191,#496192,#496193,#496194,#496195,#496196)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063852, -0.646044216054731,-0.538370180045609,-0.511451671043328,-0.484533162041048, -0.457614653038768,-0.444155398537627,-0.323022108027365,-0.215348072018244, -0.161511054013683,-0.107674036009122,4.52403380645698E-32), .UNSPECIFIED.); #3385=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496217,#496218,#496219,#496220, #496221,#496222,#496223,#496224,#496225,#496226,#496227,#496228,#496229, #496230),(#496231,#496232,#496233,#496234,#496235,#496236,#496237,#496238, #496239,#496240,#496241,#496242,#496243,#496244),(#496245,#496246,#496247, #496248,#496249,#496250,#496251,#496252,#496253,#496254,#496255,#496256, #496257,#496258),(#496259,#496260,#496261,#496262,#496263,#496264,#496265, #496266,#496267,#496268,#496269,#496270,#496271,#496272)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-9.42224513361641E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036486,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3386=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496315,#496316,#496317,#496318, #496319,#496320,#496321,#496322,#496323,#496324,#496325,#496326,#496327, #496328,#496329),(#496330,#496331,#496332,#496333,#496334,#496335,#496336, #496337,#496338,#496339,#496340,#496341,#496342,#496343,#496344),(#496345, #496346,#496347,#496348,#496349,#496350,#496351,#496352,#496353,#496354, #496355,#496356,#496357,#496358,#496359),(#496360,#496361,#496362,#496363, #496364,#496365,#496366,#496367,#496368,#496369,#496370,#496371,#496372, #496373,#496374)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(-0.753644316068368,-0.659438736342601,-0.565233156616834, -0.452186525293467,-0.395663209631783,-0.3391398939701,-0.282616578308416, -0.254354920477574,-0.240224091562153,-0.226093262646732,-0.113046631323365, 2.56455277009693E-15),.UNSPECIFIED.); #3387=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496395,#496396,#496397,#496398, #496399,#496400,#496401,#496402,#496403,#496404,#496405,#496406,#496407, #496408,#496409,#496410),(#496411,#496412,#496413,#496414,#496415,#496416, #496417,#496418,#496419,#496420,#496421,#496422,#496423,#496424,#496425, #496426),(#496427,#496428,#496429,#496430,#496431,#496432,#496433,#496434, #496435,#496436,#496437,#496438,#496439,#496440,#496441,#496442),(#496443, #496444,#496445,#496446,#496447,#496448,#496449,#496450,#496451,#496452, #496453,#496454,#496455,#496456,#496457,#496458)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.43311574220752E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165589, 0.621756568799849,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3388=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496468,#496469,#496470,#496471, #496472,#496473,#496474,#496475,#496476,#496477,#496478,#496479,#496480, #496481),(#496482,#496483,#496484,#496485,#496486,#496487,#496488,#496489, #496490,#496491,#496492,#496493,#496494,#496495),(#496496,#496497,#496498, #496499,#496500,#496501,#496502,#496503,#496504,#496505,#496506,#496507, #496508,#496509),(#496510,#496511,#496512,#496513,#496514,#496515,#496516, #496517,#496518,#496519,#496520,#496521,#496522,#496523)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063854, -0.646044216054732,-0.592207198050171,-0.53837018004561,-0.430696144036488, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,9.92671461928697E-16), .UNSPECIFIED.); #3389=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496544,#496545,#496546,#496547, #496548,#496549,#496550,#496551,#496552,#496553,#496554,#496555,#496556, #496557),(#496558,#496559,#496560,#496561,#496562,#496563,#496564,#496565, #496566,#496567,#496568,#496569,#496570,#496571),(#496572,#496573,#496574, #496575,#496576,#496577,#496578,#496579,#496580,#496581,#496582,#496583, #496584,#496585),(#496586,#496587,#496588,#496589,#496590,#496591,#496592, #496593,#496594,#496595,#496596,#496597,#496598,#496599)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-9.4122721514474E-16, 0.107674036009121,0.215348072018243,0.228807326519383,0.242266581020523, 0.269185090022804,0.296103599025084,0.430696144036487,0.538370180045609, 0.59220719805017,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3390=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496639,#496640,#496641,#496642, #496643,#496644,#496645,#496646,#496647,#496648,#496649,#496650,#496651, #496652,#496653),(#496654,#496655,#496656,#496657,#496658,#496659,#496660, #496661,#496662,#496663,#496664,#496665,#496666,#496667,#496668),(#496669, #496670,#496671,#496672,#496673,#496674,#496675,#496676,#496677,#496678, #496679,#496680,#496681,#496682,#496683),(#496684,#496685,#496686,#496687, #496688,#496689,#496690,#496691,#496692,#496693,#496694,#496695,#496696, #496697,#496698)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,2,1,1,1,1,1,2,4), (0.,1.),(-0.75364431606837,-0.659438736342602,-0.565233156616835,-0.447476262394068, -0.329719368171301,-0.270840921059917,-0.211962473948534,-0.15308402683715, -0.094205579725767,1.80827672160342E-15),.UNSPECIFIED.); #3391=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496719,#496720,#496721,#496722, #496723,#496724,#496725,#496726,#496727,#496728,#496729,#496730,#496731), (#496732,#496733,#496734,#496735,#496736,#496737,#496738,#496739,#496740, #496741,#496742,#496743,#496744),(#496745,#496746,#496747,#496748,#496749, #496750,#496751,#496752,#496753,#496754,#496755,#496756,#496757),(#496758, #496759,#496760,#496761,#496762,#496763,#496764,#496765,#496766,#496767, #496768,#496769,#496770)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(1.76247905159244E-15,0.107663473724054,0.215326947448107, 0.269158684310133,0.322990421172159,0.430653894896211,0.484485631758238, 0.511401500189251,0.538317368620264,0.645980842344316,0.753644316068369), .UNSPECIFIED.); #3392=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496780,#496781,#496782,#496783, #496784,#496785,#496786,#496787,#496788,#496789,#496790,#496791,#496792), (#496793,#496794,#496795,#496796,#496797,#496798,#496799,#496800,#496801, #496802,#496803,#496804,#496805),(#496806,#496807,#496808,#496809,#496810, #496811,#496812,#496813,#496814,#496815,#496816,#496817,#496818),(#496819, #496820,#496821,#496822,#496823,#496824,#496825,#496826,#496827,#496828, #496829,#496830,#496831)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063854,-0.646044216054732,-0.53837018004561, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020524,-0.215348072018243,-0.107674036009121,9.42224513361644E-16), .UNSPECIFIED.); #3393=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496851,#496852,#496853,#496854, #496855,#496856,#496857,#496858,#496859,#496860,#496861,#496862,#496863, #496864,#496865,#496866),(#496867,#496868,#496869,#496870,#496871,#496872, #496873,#496874,#496875,#496876,#496877,#496878,#496879,#496880,#496881, #496882),(#496883,#496884,#496885,#496886,#496887,#496888,#496889,#496890, #496891,#496892,#496893,#496894,#496895,#496896,#496897,#496898),(#496899, #496900,#496901,#496902,#496903,#496904,#496905,#496906,#496907,#496908, #496909,#496910,#496911,#496912,#496913,#496914)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-3.16168801885136E-18, 0.107674036009122,0.215348072018244,0.248996208271094,0.269185090022805, 0.323022108027366,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043329,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063853),.UNSPECIFIED.); #3394=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#496957,#496958,#496959,#496960, #496961,#496962,#496963,#496964,#496965,#496966,#496967,#496968,#496969, #496970,#496971),(#496972,#496973,#496974,#496975,#496976,#496977,#496978, #496979,#496980,#496981,#496982,#496983,#496984,#496985,#496986),(#496987, #496988,#496989,#496990,#496991,#496992,#496993,#496994,#496995,#496996, #496997,#496998,#496999,#497000,#497001),(#497002,#497003,#497004,#497005, #497006,#497007,#497008,#497009,#497010,#497011,#497012,#497013,#497014, #497015,#497016)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(-0.753644316068366,-0.6594387363426,-0.565233156616834,-0.452186525293467, -0.395663209631783,-0.3391398939701,-0.282616578308416,-0.254354920477574, -0.240224091562153,-0.226093262646732,-0.113046631323365,1.84352976573044E-15), .UNSPECIFIED.); #3395=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497037,#497038,#497039,#497040, #497041,#497042,#497043,#497044,#497045,#497046,#497047,#497048,#497049, #497050),(#497051,#497052,#497053,#497054,#497055,#497056,#497057,#497058, #497059,#497060,#497061,#497062,#497063,#497064),(#497065,#497066,#497067, #497068,#497069,#497070,#497071,#497072,#497073,#497074,#497075,#497076, #497077,#497078),(#497079,#497080,#497081,#497082,#497083,#497084,#497085, #497086,#497087,#497088,#497089,#497090,#497091,#497092)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-1.76921497258808E-18, 0.107663473724053,0.161495210586079,0.215326947448105,0.322990421172158, 0.457569763327224,0.484485631758237,0.51140150018925,0.524859434404757, 0.538317368620263,0.645980842344316,0.753644316068369),.UNSPECIFIED.); #3396=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497102,#497103,#497104,#497105, #497106,#497107,#497108,#497109,#497110,#497111,#497112,#497113,#497114, #497115,#497116),(#497117,#497118,#497119,#497120,#497121,#497122,#497123, #497124,#497125,#497126,#497127,#497128,#497129,#497130,#497131),(#497132, #497133,#497134,#497135,#497136,#497137,#497138,#497139,#497140,#497141, #497142,#497143,#497144,#497145,#497146),(#497147,#497148,#497149,#497150, #497151,#497152,#497153,#497154,#497155,#497156,#497157,#497158,#497159, #497160,#497161)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1, 1,4),(0.,1.),(-0.753718252063852,-0.659503405388398,-0.593553064849558, -0.527602724310718,-0.461652383771879,-0.395702043233039,-0.329751702694199, -0.263801362155359,-0.197851021616519,-0.131900681077679,-0.0659503405388397, 8.90228586609956E-17),.UNSPECIFIED.); #3397=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497183,#497184,#497185,#497186, #497187,#497188,#497189,#497190,#497191,#497192,#497193,#497194,#497195, #497196),(#497197,#497198,#497199,#497200,#497201,#497202,#497203,#497204, #497205,#497206,#497207,#497208,#497209,#497210),(#497211,#497212,#497213, #497214,#497215,#497216,#497217,#497218,#497219,#497220,#497221,#497222, #497223,#497224),(#497225,#497226,#497227,#497228,#497229,#497230,#497231, #497232,#497233,#497234,#497235,#497236,#497237,#497238)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-8.66713344348107E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #3398=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497280,#497281,#497282,#497283, #497284,#497285,#497286,#497287,#497288,#497289,#497290,#497291,#497292, #497293),(#497294,#497295,#497296,#497297,#497298,#497299,#497300,#497301, #497302,#497303,#497304,#497305,#497306,#497307),(#497308,#497309,#497310, #497311,#497312,#497313,#497314,#497315,#497316,#497317,#497318,#497319, #497320,#497321),(#497322,#497323,#497324,#497325,#497326,#497327,#497328, #497329,#497330,#497331,#497332,#497333,#497334,#497335)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.527550989074081,-0.461607115439821, -0.39566324180556,-0.3297193681713,-0.26377549453704,-0.131887747268519, -0.0659438736342593,9.64175146780574E-16),.UNSPECIFIED.); #3399=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497355,#497356,#497357,#497358, #497359,#497360,#497361,#497362,#497363,#497364,#497365,#497366,#497367, #497368,#497369),(#497370,#497371,#497372,#497373,#497374,#497375,#497376, #497377,#497378,#497379,#497380,#497381,#497382,#497383,#497384),(#497385, #497386,#497387,#497388,#497389,#497390,#497391,#497392,#497393,#497394, #497395,#497396,#497397,#497398,#497399),(#497400,#497401,#497402,#497403, #497404,#497405,#497406,#497407,#497408,#497409,#497410,#497411,#497412, #497413,#497414)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(1.76247905159244E-15,0.0942055797257675,0.188411159451533, 0.3014577907749,0.357981106436584,0.414504422098267,0.471027737759951,0.499289395590793, 0.513420224506213,0.527551053421634,0.640597684745001,0.753644316068368), .UNSPECIFIED.); #3400=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497424,#497425,#497426,#497427, #497428,#497429,#497430,#497431,#497432,#497433,#497434,#497435,#497436, #497437,#497438),(#497439,#497440,#497441,#497442,#497443,#497444,#497445, #497446,#497447,#497448,#497449,#497450,#497451,#497452,#497453),(#497454, #497455,#497456,#497457,#497458,#497459,#497460,#497461,#497462,#497463, #497464,#497465,#497466,#497467,#497468),(#497469,#497470,#497471,#497472, #497473,#497474,#497475,#497476,#497477,#497478,#497479,#497480,#497481, #497482,#497483)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,2,1, 4),(0.,1.),(-0.753718252063854,-0.659503405388398,-0.5652886629809,-0.518181291777151, -0.471073920573402,-0.423966549369653,-0.329751806962155,-0.282644435758406, -0.188429693350908,-0.113057816010545,8.55553178926869E-16), .UNSPECIFIED.); #3401=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497505,#497506,#497507,#497508, #497509,#497510,#497511,#497512,#497513,#497514,#497515,#497516,#497517, #497518,#497519,#497520),(#497521,#497522,#497523,#497524,#497525,#497526, #497527,#497528,#497529,#497530,#497531,#497532,#497533,#497534,#497535, #497536),(#497537,#497538,#497539,#497540,#497541,#497542,#497543,#497544, #497545,#497546,#497547,#497548,#497549,#497550,#497551,#497552),(#497553, #497554,#497555,#497556,#497557,#497558,#497559,#497560,#497561,#497562, #497563,#497564,#497565,#497566,#497567,#497568)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-6.11298813144299E-30, 0.107674036009122,0.215348072018244,0.248996208271094,0.269185090022804, 0.323022108027365,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043329,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063853),.UNSPECIFIED.); #3402=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497611,#497612,#497613,#497614, #497615,#497616,#497617,#497618,#497619,#497620,#497621,#497622,#497623, #497624),(#497625,#497626,#497627,#497628,#497629,#497630,#497631,#497632, #497633,#497634,#497635,#497636,#497637,#497638),(#497639,#497640,#497641, #497642,#497643,#497644,#497645,#497646,#497647,#497648,#497649,#497650, #497651,#497652),(#497653,#497654,#497655,#497656,#497657,#497658,#497659, #497660,#497661,#497662,#497663,#497664,#497665,#497666)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-0.75364431606837,-0.640597684745003, -0.527551053421636,-0.499289395590794,-0.471027737759952,-0.414504422098268, -0.357981106436585,-0.301457790774901,-0.188411159451534,-0.0753644637806117, 3.24216126281558E-15),.UNSPECIFIED.); #3403=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497686,#497687,#497688,#497689, #497690,#497691,#497692,#497693,#497694,#497695,#497696,#497697,#497698, #497699),(#497700,#497701,#497702,#497703,#497704,#497705,#497706,#497707, #497708,#497709,#497710,#497711,#497712,#497713),(#497714,#497715,#497716, #497717,#497718,#497719,#497720,#497721,#497722,#497723,#497724,#497725, #497726,#497727),(#497728,#497729,#497730,#497731,#497732,#497733,#497734, #497735,#497736,#497737,#497738,#497739,#497740,#497741)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(8.42354134932531E-16, 0.0942055797257666,0.160149453360027,0.226093326994287,0.292037200628547, 0.357981074262808,0.423924947897068,0.489868821531328,0.621756568799849, 0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3404=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497751,#497752,#497753,#497754, #497755,#497756,#497757,#497758,#497759,#497760,#497761,#497762,#497763), (#497764,#497765,#497766,#497767,#497768,#497769,#497770,#497771,#497772, #497773,#497774,#497775,#497776),(#497777,#497778,#497779,#497780,#497781, #497782,#497783,#497784,#497785,#497786,#497787,#497788,#497789),(#497790, #497791,#497792,#497793,#497794,#497795,#497796,#497797,#497798,#497799, #497800,#497801,#497802)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.646044216054731,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020524,-0.215348072018243,-0.107674036009122,8.90228586610146E-17), .UNSPECIFIED.); #3405=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497822,#497823,#497824,#497825, #497826,#497827,#497828,#497829,#497830,#497831,#497832,#497833,#497834, #497835,#497836,#497837),(#497838,#497839,#497840,#497841,#497842,#497843, #497844,#497845,#497846,#497847,#497848,#497849,#497850,#497851,#497852, #497853),(#497854,#497855,#497856,#497857,#497858,#497859,#497860,#497861, #497862,#497863,#497864,#497865,#497866,#497867,#497868,#497869),(#497870, #497871,#497872,#497873,#497874,#497875,#497876,#497877,#497878,#497879, #497880,#497881,#497882,#497883,#497884,#497885)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-9.42224513361682E-16,0.0942148466754539, 0.188429589082952,0.235536960286701,0.28264433149045,0.329751702694199, 0.353305388296073,0.376859073897948,0.471073816305446,0.565288558712944, 0.640660436053307,0.753718252063852),.UNSPECIFIED.); #3406=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#497927,#497928,#497929,#497930, #497931,#497932,#497933,#497934,#497935,#497936,#497937,#497938,#497939, #497940,#497941),(#497942,#497943,#497944,#497945,#497946,#497947,#497948, #497949,#497950,#497951,#497952,#497953,#497954,#497955,#497956),(#497957, #497958,#497959,#497960,#497961,#497962,#497963,#497964,#497965,#497966, #497967,#497968,#497969,#497970,#497971),(#497972,#497973,#497974,#497975, #497976,#497977,#497978,#497979,#497980,#497981,#497982,#497983,#497984, #497985,#497986)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,2,1,1,1,2, 4),(0.,1.),(-0.753644316068369,-0.682990151382654,-0.640597652571225,-0.527550989074081, -0.499289323199795,-0.471027657325509,-0.320298826285612,-0.244934410765664, -0.169569995245715,-0.094205579725767,2.5321805907428E-15), .UNSPECIFIED.); #3407=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498007,#498008,#498009,#498010, #498011,#498012,#498013,#498014,#498015,#498016,#498017,#498018,#498019), (#498020,#498021,#498022,#498023,#498024,#498025,#498026,#498027,#498028, #498029,#498030,#498031,#498032),(#498033,#498034,#498035,#498036,#498037, #498038,#498039,#498040,#498041,#498042,#498043,#498044,#498045),(#498046, #498047,#498048,#498049,#498050,#498051,#498052,#498053,#498054,#498055, #498056,#498057,#498058)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-7.93640295628326E-17,0.107663473724053,0.215326947448105, 0.269158684310132,0.322990421172158,0.430653894896211,0.484485631758237, 0.51140150018925,0.538317368620263,0.645980842344316,0.753644316068368), .UNSPECIFIED.); #3408=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498068,#498069,#498070,#498071, #498072,#498073,#498074,#498075,#498076,#498077,#498078,#498079,#498080, #498081),(#498082,#498083,#498084,#498085,#498086,#498087,#498088,#498089, #498090,#498091,#498092,#498093,#498094,#498095),(#498096,#498097,#498098, #498099,#498100,#498101,#498102,#498103,#498104,#498105,#498106,#498107, #498108,#498109),(#498110,#498111,#498112,#498113,#498114,#498115,#498116, #498117,#498118,#498119,#498120,#498121,#498122,#498123)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063852, -0.64604421605473,-0.592207198050169,-0.538370180045608,-0.430696144036486, -0.309562853526224,-0.296103599025084,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361644E-16), .UNSPECIFIED.); #3409=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498144,#498145,#498146,#498147, #498148,#498149,#498150,#498151,#498152,#498153,#498154,#498155,#498156, #498157,#498158),(#498159,#498160,#498161,#498162,#498163,#498164,#498165, #498166,#498167,#498168,#498169,#498170,#498171,#498172,#498173),(#498174, #498175,#498176,#498177,#498178,#498179,#498180,#498181,#498182,#498183, #498184,#498185,#498186,#498187,#498188),(#498189,#498190,#498191,#498192, #498193,#498194,#498195,#498196,#498197,#498198,#498199,#498200,#498201, #498202,#498203)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-8.69875032366961E-17,0.107674036009122,0.161511054013682, 0.215348072018243,0.323022108027365,0.457614653038767,0.471073907539907, 0.484533162041048,0.511451671043328,0.524910925544468,0.538370180045608, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3410=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498247,#498248,#498249,#498250, #498251,#498252,#498253,#498254,#498255,#498256,#498257,#498258,#498259), (#498260,#498261,#498262,#498263,#498264,#498265,#498266,#498267,#498268, #498269,#498270,#498271,#498272),(#498273,#498274,#498275,#498276,#498277, #498278,#498279,#498280,#498281,#498282,#498283,#498284,#498285),(#498286, #498287,#498288,#498289,#498290,#498291,#498292,#498293,#498294,#498295, #498296,#498297,#498298)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,2, 1,1,1,4),(0.,1.),(-0.753644316068369,-0.659438784603267,-0.565233253138165, -0.518130487405614,-0.471027721673063,-0.282616658742859,-0.226093326994287, -0.169569995245715,-0.113046663497143,9.72826288461632E-16), .UNSPECIFIED.); #3411=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498317,#498318,#498319,#498320, #498321,#498322,#498323,#498324,#498325,#498326,#498327,#498328,#498329, #498330,#498331,#498332),(#498333,#498334,#498335,#498336,#498337,#498338, #498339,#498340,#498341,#498342,#498343,#498344,#498345,#498346,#498347, #498348),(#498349,#498350,#498351,#498352,#498353,#498354,#498355,#498356, #498357,#498358,#498359,#498360,#498361,#498362,#498363,#498364),(#498365, #498366,#498367,#498368,#498369,#498370,#498371,#498372,#498373,#498374, #498375,#498376,#498377,#498378,#498379,#498380)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.44082395692724E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165589, 0.621756568799849,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3412=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498390,#498391,#498392,#498393, #498394,#498395,#498396,#498397,#498398,#498399,#498400,#498401,#498402), (#498403,#498404,#498405,#498406,#498407,#498408,#498409,#498410,#498411, #498412,#498413,#498414,#498415),(#498416,#498417,#498418,#498419,#498420, #498421,#498422,#498423,#498424,#498425,#498426,#498427,#498428),(#498429, #498430,#498431,#498432,#498433,#498434,#498435,#498436,#498437,#498438, #498439,#498440,#498441)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.64604421605473,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020523,-0.215348072018243,-0.107674036009121,7.39757063764407E-16), .UNSPECIFIED.); #3413=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498461,#498462,#498463,#498464, #498465,#498466,#498467,#498468,#498469,#498470,#498471,#498472,#498473, #498474,#498475),(#498476,#498477,#498478,#498479,#498480,#498481,#498482, #498483,#498484,#498485,#498486,#498487,#498488,#498489,#498490),(#498491, #498492,#498493,#498494,#498495,#498496,#498497,#498498,#498499,#498500, #498501,#498502,#498503,#498504,#498505),(#498506,#498507,#498508,#498509, #498510,#498511,#498512,#498513,#498514,#498515,#498516,#498517,#498518, #498519,#498520)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-8.66713344348105E-17,0.107674036009122,0.161511054013682, 0.215348072018243,0.323022108027365,0.457614653038767,0.471073907539907, 0.484533162041048,0.511451671043328,0.524910925544468,0.538370180045608, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3414=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498563,#498564,#498565,#498566, #498567,#498568,#498569,#498570,#498571,#498572,#498573,#498574,#498575, #498576,#498577),(#498578,#498579,#498580,#498581,#498582,#498583,#498584, #498585,#498586,#498587,#498588,#498589,#498590,#498591,#498592),(#498593, #498594,#498595,#498596,#498597,#498598,#498599,#498600,#498601,#498602, #498603,#498604,#498605,#498606,#498607),(#498608,#498609,#498610,#498611, #498612,#498613,#498614,#498615,#498616,#498617,#498618,#498619,#498620, #498621,#498622)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-0.753644316068369,-0.645980842344317,-0.59214910548229, -0.538317368620264,-0.430653894896211,-0.309532486956651,-0.296074552741145, -0.269158684310131,-0.242242815879118,-0.228784881663611,-0.215326947448105, -0.107663473724052,9.64175146780574E-16),.UNSPECIFIED.); #3415=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498643,#498644,#498645,#498646, #498647,#498648,#498649,#498650,#498651,#498652,#498653,#498654,#498655, #498656,#498657),(#498658,#498659,#498660,#498661,#498662,#498663,#498664, #498665,#498666,#498667,#498668,#498669,#498670,#498671,#498672),(#498673, #498674,#498675,#498676,#498677,#498678,#498679,#498680,#498681,#498682, #498683,#498684,#498685,#498686,#498687),(#498688,#498689,#498690,#498691, #498692,#498693,#498694,#498695,#498696,#498697,#498698,#498699,#498700, #498701,#498702)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(1.76247905159244E-15,0.0942055797257675,0.188411159451533, 0.3014577907749,0.357981106436584,0.414504422098267,0.471027737759951,0.499289395590793, 0.513420224506213,0.527551053421634,0.640597684745001,0.753644316068368), .UNSPECIFIED.); #3416=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498712,#498713,#498714,#498715, #498716,#498717,#498718,#498719,#498720,#498721,#498722,#498723,#498724, #498725,#498726,#498727),(#498728,#498729,#498730,#498731,#498732,#498733, #498734,#498735,#498736,#498737,#498738,#498739,#498740,#498741,#498742, #498743),(#498744,#498745,#498746,#498747,#498748,#498749,#498750,#498751, #498752,#498753,#498754,#498755,#498756,#498757,#498758,#498759),(#498760, #498761,#498762,#498763,#498764,#498765,#498766,#498767,#498768,#498769, #498770,#498771,#498772,#498773,#498774,#498775)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063854,-0.659503405388398, -0.593553064849558,-0.527602724310719,-0.461652383771879,-0.395702043233039, -0.362726872963619,-0.329751702694199,-0.263801362155359,-0.197851021616519, -0.131900681077679,-0.065950340538839,8.55553178926864E-16), .UNSPECIFIED.); #3417=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498798,#498799,#498800,#498801, #498802,#498803,#498804,#498805,#498806,#498807,#498808,#498809,#498810, #498811,#498812),(#498813,#498814,#498815,#498816,#498817,#498818,#498819, #498820,#498821,#498822,#498823,#498824,#498825,#498826,#498827),(#498828, #498829,#498830,#498831,#498832,#498833,#498834,#498835,#498836,#498837, #498838,#498839,#498840,#498841,#498842),(#498843,#498844,#498845,#498846, #498847,#498848,#498849,#498850,#498851,#498852,#498853,#498854,#498855, #498856,#498857)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,4),(0.,1.),(-4.7682920242965E-18,0.107674036009122,0.161511054013683, 0.215348072018243,0.323022108027365,0.457614653038767,0.471073907539907, 0.484533162041048,0.511451671043328,0.524910925544468,0.538370180045609, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #3418=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498900,#498901,#498902,#498903, #498904,#498905,#498906,#498907,#498908,#498909,#498910,#498911,#498912, #498913,#498914),(#498915,#498916,#498917,#498918,#498919,#498920,#498921, #498922,#498923,#498924,#498925,#498926,#498927,#498928,#498929),(#498930, #498931,#498932,#498933,#498934,#498935,#498936,#498937,#498938,#498939, #498940,#498941,#498942,#498943,#498944),(#498945,#498946,#498947,#498948, #498949,#498950,#498951,#498952,#498953,#498954,#498955,#498956,#498957, #498958,#498959)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,2,2,1,1,1,1,1,2,4), (0.,1.),(-0.753644316068369,-0.659438736342601,-0.565233156616834,-0.447476262394067, -0.3297193681713,-0.270840921059917,-0.211962473948534,-0.15308402683715, -0.094205579725767,1.84352976573045E-15),.UNSPECIFIED.); #3419=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#498980,#498981,#498982,#498983, #498984,#498985,#498986,#498987,#498988,#498989,#498990,#498991,#498992, #498993,#498994),(#498995,#498996,#498997,#498998,#498999,#499000,#499001, #499002,#499003,#499004,#499005,#499006,#499007,#499008,#499009),(#499010, #499011,#499012,#499013,#499014,#499015,#499016,#499017,#499018,#499019, #499020,#499021,#499022,#499023,#499024),(#499025,#499026,#499027,#499028, #499029,#499030,#499031,#499032,#499033,#499034,#499035,#499036,#499037, #499038,#499039)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1, 1,4),(0.,1.),(-8.15756624988073E-17,0.0942055797257666,0.188411159451533, 0.3014577907749,0.357981106436584,0.414504422098268,0.471027737759951,0.499289395590793, 0.513420224506214,0.527551053421635,0.640597684745002,0.753644316068369), .UNSPECIFIED.); #3420=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499049,#499050,#499051,#499052, #499053,#499054,#499055,#499056,#499057,#499058,#499059,#499060,#499061, #499062),(#499063,#499064,#499065,#499066,#499067,#499068,#499069,#499070, #499071,#499072,#499073,#499074,#499075,#499076),(#499077,#499078,#499079, #499080,#499081,#499082,#499083,#499084,#499085,#499086,#499087,#499088, #499089,#499090),(#499091,#499092,#499093,#499094,#499095,#499096,#499097, #499098,#499099,#499100,#499101,#499102,#499103,#499104)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063853, -0.659503405388398,-0.593553064849558,-0.527602724310719,-0.461652383771879, -0.395702043233039,-0.329751702694199,-0.263801362155359,-0.13190068107768, -0.0659503405388399,1.38777878078145E-17),.UNSPECIFIED.); #3421=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499125,#499126,#499127,#499128, #499129,#499130,#499131,#499132,#499133,#499134,#499135,#499136,#499137, #499138,#499139,#499140),(#499141,#499142,#499143,#499144,#499145,#499146, #499147,#499148,#499149,#499150,#499151,#499152,#499153,#499154,#499155, #499156),(#499157,#499158,#499159,#499160,#499161,#499162,#499163,#499164, #499165,#499166,#499167,#499168,#499169,#499170,#499171,#499172),(#499173, #499174,#499175,#499176,#499177,#499178,#499179,#499180,#499181,#499182, #499183,#499184,#499185,#499186,#499187,#499188)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-1.2643413859963E-31, 0.107674036009122,0.215348072018244,0.248996208271094,0.269185090022804, 0.323022108027365,0.430696144036487,0.464344280289338,0.484533162041048, 0.511451671043328,0.538370180045609,0.60566645255131,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #3422=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499233,#499234,#499235,#499236, #499237,#499238,#499239,#499240,#499241,#499242,#499243,#499244,#499245, #499246),(#499247,#499248,#499249,#499250,#499251,#499252,#499253,#499254, #499255,#499256,#499257,#499258,#499259,#499260),(#499261,#499262,#499263, #499264,#499265,#499266,#499267,#499268,#499269,#499270,#499271,#499272, #499273,#499274),(#499275,#499276,#499277,#499278,#499279,#499280,#499281, #499282,#499283,#499284,#499285,#499286,#499287,#499288)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631784, -0.3391398939701,-0.282616578308417,-0.254354920477575,-0.226093262646733, -0.113046631323366,1.00658421307692E-15),.UNSPECIFIED.); #3423=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499308,#499309,#499310,#499311, #499312,#499313,#499314,#499315,#499316,#499317,#499318,#499319,#499320, #499321,#499322,#499323),(#499324,#499325,#499326,#499327,#499328,#499329, #499330,#499331,#499332,#499333,#499334,#499335,#499336,#499337,#499338, #499339),(#499340,#499341,#499342,#499343,#499344,#499345,#499346,#499347, #499348,#499349,#499350,#499351,#499352,#499353,#499354,#499355),(#499356, #499357,#499358,#499359,#499360,#499361,#499362,#499363,#499364,#499365, #499366,#499367,#499368,#499369,#499370,#499371)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-1.47968221122463E-15,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165588, 0.621756568799848,0.687700442434109,0.753644316068369),.UNSPECIFIED.); #3424=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499381,#499382,#499383,#499384, #499385,#499386,#499387,#499388,#499389,#499390,#499391,#499392,#499393, #499394),(#499395,#499396,#499397,#499398,#499399,#499400,#499401,#499402, #499403,#499404,#499405,#499406,#499407,#499408),(#499409,#499410,#499411, #499412,#499413,#499414,#499415,#499416,#499417,#499418,#499419,#499420, #499421,#499422),(#499423,#499424,#499425,#499426,#499427,#499428,#499429, #499430,#499431,#499432,#499433,#499434,#499435,#499436)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753718252063853, -0.646044216054731,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022805,-0.242266581020524, -0.215348072018244,-0.107674036009122,-1.62299143742911E-16), .UNSPECIFIED.); #3425=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499457,#499458,#499459,#499460, #499461,#499462,#499463,#499464,#499465,#499466,#499467,#499468,#499469, #499470),(#499471,#499472,#499473,#499474,#499475,#499476,#499477,#499478, #499479,#499480,#499481,#499482,#499483,#499484),(#499485,#499486,#499487, #499488,#499489,#499490,#499491,#499492,#499493,#499494,#499495,#499496, #499497,#499498),(#499499,#499500,#499501,#499502,#499503,#499504,#499505, #499506,#499507,#499508,#499509,#499510,#499511,#499512)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,2,1,4),(0.,1.),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #3426=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499555,#499556,#499557,#499558, #499559,#499560,#499561,#499562,#499563,#499564,#499565,#499566,#499567, #499568,#499569,#499570),(#499571,#499572,#499573,#499574,#499575,#499576, #499577,#499578,#499579,#499580,#499581,#499582,#499583,#499584,#499585, #499586),(#499587,#499588,#499589,#499590,#499591,#499592,#499593,#499594, #499595,#499596,#499597,#499598,#499599,#499600,#499601,#499602),(#499603, #499604,#499605,#499606,#499607,#499608,#499609,#499610,#499611,#499612, #499613,#499614,#499615,#499616,#499617,#499618)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369,-0.645980842344316, -0.538317368620263,-0.504672533081497,-0.484485631758237,-0.43065389489621, -0.322990421172158,-0.289345585633391,-0.269158684310131,-0.242242815879118, -0.215326947448105,-0.148037276370572,-0.107663473724052,1.02046200088479E-15), .UNSPECIFIED.); #3427=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499640,#499641,#499642,#499643, #499644,#499645,#499646,#499647,#499648,#499649,#499650,#499651,#499652, #499653,#499654,#499655),(#499656,#499657,#499658,#499659,#499660,#499661, #499662,#499663,#499664,#499665,#499666,#499667,#499668,#499669,#499670, #499671),(#499672,#499673,#499674,#499675,#499676,#499677,#499678,#499679, #499680,#499681,#499682,#499683,#499684,#499685,#499686,#499687),(#499688, #499689,#499690,#499691,#499692,#499693,#499694,#499695,#499696,#499697, #499698,#499699,#499700,#499701,#499702,#499703)),.UNSPECIFIED.,.F.,.F., .F.,(4,4),(4,2,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(8.4449758703877E-16,0.0942055797257666, 0.160149453360027,0.226093326994287,0.292037200628547,0.357981074262808, 0.390953011079938,0.423924947897068,0.489868821531328,0.555812695165589, 0.621756568799849,0.687700442434109,0.75364431606837),.UNSPECIFIED.); #3428=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499713,#499714,#499715,#499716, #499717,#499718,#499719,#499720,#499721,#499722,#499723,#499724,#499725), (#499726,#499727,#499728,#499729,#499730,#499731,#499732,#499733,#499734, #499735,#499736,#499737,#499738),(#499739,#499740,#499741,#499742,#499743, #499744,#499745,#499746,#499747,#499748,#499749,#499750,#499751),(#499752, #499753,#499754,#499755,#499756,#499757,#499758,#499759,#499760,#499761, #499762,#499763,#499764)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1, 1,1,1,1,4),(0.,1.),(-0.753718252063852,-0.646044216054731,-0.538370180045609, -0.484533162041048,-0.430696144036487,-0.323022108027365,-0.269185090022804, -0.242266581020524,-0.215348072018243,-0.107674036009122,8.90228586609956E-17), .UNSPECIFIED.); #3429=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499784,#499785,#499786,#499787, #499788,#499789,#499790,#499791,#499792,#499793,#499794,#499795,#499796, #499797),(#499798,#499799,#499800,#499801,#499802,#499803,#499804,#499805, #499806,#499807,#499808,#499809,#499810,#499811),(#499812,#499813,#499814, #499815,#499816,#499817,#499818,#499819,#499820,#499821,#499822,#499823, #499824,#499825),(#499826,#499827,#499828,#499829,#499830,#499831,#499832, #499833,#499834,#499835,#499836,#499837,#499838,#499839)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,2,1,1,1,1,1,1,1,4),(0.,1.),(-8.66713344348075E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #3430=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499880,#499881,#499882,#499883, #499884,#499885,#499886,#499887,#499888,#499889,#499890,#499891,#499892, #499893),(#499894,#499895,#499896,#499897,#499898,#499899,#499900,#499901, #499902,#499903,#499904,#499905,#499906,#499907),(#499908,#499909,#499910, #499911,#499912,#499913,#499914,#499915,#499916,#499917,#499918,#499919, #499920,#499921),(#499922,#499923,#499924,#499925,#499926,#499927,#499928, #499929,#499930,#499931,#499932,#499933,#499934,#499935)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,2,1,1,1,1,1,1,1,1,4),(0.,1.),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.3297193681713,-0.263775494537039,-0.131887747268518, -0.0659438736342581,2.23340110464413E-15),.UNSPECIFIED.); #3431=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#499955,#499956,#499957,#499958, #499959,#499960,#499961,#499962,#499963,#499964,#499965,#499966,#499967, #499968),(#499969,#499970,#499971,#499972,#499973,#499974,#499975,#499976, #499977,#499978,#499979,#499980,#499981,#499982),(#499983,#499984,#499985, #499986,#499987,#499988,#499989,#499990,#499991,#499992,#499993,#499994, #499995,#499996),(#499997,#499998,#499999,#500000,#500001,#500002,#500003, #500004,#500005,#500006,#500007,#500008,#500009,#500010)),.UNSPECIFIED., .F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,4),(0.,1.),(1.74860126378462E-15, 0.107663473724054,0.215326947448106,0.228784881663613,0.24224281587912, 0.269158684310133,0.296074552741146,0.430653894896211,0.538317368620264, 0.59214910548229,0.645980842344316,0.753644316068368),.UNSPECIFIED.); #3432=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#582739,#582740,#582741,#582742, #582743,#582744),(#582745,#582746,#582747,#582748,#582749,#582750)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00002104133256),(1.63499979223499, 2.20469221263347,2.77438463303195),.UNSPECIFIED.); #3433=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583111,#583112,#583113,#583114, #583115,#583116),(#583117,#583118,#583119,#583120,#583121,#583122)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00001458904557),(3.50880067414764, 4.07849309454612,4.6481855149446),.UNSPECIFIED.); #3434=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583375,#583376,#583377,#583378), (#583379,#583380,#583381,#583382)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.15895045879223,3.32903316875533),.UNSPECIFIED.); #3435=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583408,#583409,#583410,#583411, #583412,#583413),(#583414,#583415,#583416,#583417,#583418,#583419)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(4.71238898038469,5.48910824118092, 6.26582750197716),.UNSPECIFIED.); #3436=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583515,#583516,#583517,#583518, #583519,#583520),(#583521,#583522,#583523,#583524,#583525,#583526)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(3.15895045879222,3.93566971958846, 4.71238898038469),.UNSPECIFIED.); #3437=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583589,#583590,#583591,#583592), (#583593,#583594,#583595,#583596)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(6.09574479201405,6.26582750197715),.UNSPECIFIED.); #3438=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583881,#583882,#583883,#583884), (#583885,#583886,#583887,#583888),(#583889,#583890,#583891,#583892)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.0060004424615139,0.,1.),(3.04460905449018, 3.14159265358979),.UNSPECIFIED.); #3439=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583904,#583905,#583906,#583907), (#583908,#583909,#583910,#583911)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.14159265358979,3.48142956304391),.UNSPECIFIED.); #3440=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583926,#583927,#583928,#583929), (#583930,#583931,#583932,#583933)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413567,3.14159265358979),.UNSPECIFIED.); #3441=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583950,#583951,#583952,#583953), (#583954,#583955,#583956,#583957)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(0.,0.339836909454123),.UNSPECIFIED.); #3442=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#583972,#583973,#583974,#583975), (#583976,#583977,#583978,#583979)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413568,3.14159265358979),.UNSPECIFIED.); #3443=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#584003,#584004,#584005,#584006), (#584007,#584008,#584009,#584010),(#584011,#584012,#584013,#584014)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.00600044243415675,0.,1.),(3.14159265358979, 3.23857625268942),.UNSPECIFIED.); #3444=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#587329,#587330,#587331,#587332, #587333,#587334,#587335,#587336,#587337,#587338,#587339,#587340,#587341, #587342,#587343,#587344,#587345,#587346,#587347,#587348,#587349,#587350, #587351,#587352),(#587353,#587354,#587355,#587356,#587357,#587358,#587359, #587360,#587361,#587362,#587363,#587364,#587365,#587366,#587367,#587368, #587369,#587370,#587371,#587372,#587373,#587374,#587375,#587376),(#587377, #587378,#587379,#587380,#587381,#587382,#587383,#587384,#587385,#587386, #587387,#587388,#587389,#587390,#587391,#587392,#587393,#587394,#587395, #587396,#587397,#587398,#587399,#587400),(#587401,#587402,#587403,#587404, #587405,#587406,#587407,#587408,#587409,#587410,#587411,#587412,#587413, #587414,#587415,#587416,#587417,#587418,#587419,#587420,#587421,#587422, #587423,#587424)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(0.00693386621067668,0.0483242175311726),(14.6895753849103, 15.6457284434202,16.6018815019301,17.55803456044,18.5141876189499,19.4703406774598, 20.4264937359697,21.3826467944796,22.3387998529895,23.2949529114994,24.2511059700093, 25.2072590285192,26.1634120870291,27.119565145539,28.0757182040489,29.0318712625588, 29.9880243210687,30.9441773795786,31.9003304380885,32.8564834965984,33.8126365551083, 34.7687896136182),.UNSPECIFIED.); #3445=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#587615,#587616,#587617,#587618, #587619,#587620,#587621,#587622,#587623,#587624,#587625,#587626,#587627, #587628,#587629,#587630,#587631,#587632,#587633,#587634,#587635,#587636, #587637,#587638),(#587639,#587640,#587641,#587642,#587643,#587644,#587645, #587646,#587647,#587648,#587649,#587650,#587651,#587652,#587653,#587654, #587655,#587656,#587657,#587658,#587659,#587660,#587661,#587662),(#587663, #587664,#587665,#587666,#587667,#587668,#587669,#587670,#587671,#587672, #587673,#587674,#587675,#587676,#587677,#587678,#587679,#587680,#587681, #587682,#587683,#587684,#587685,#587686),(#587687,#587688,#587689,#587690, #587691,#587692,#587693,#587694,#587695,#587696,#587697,#587698,#587699, #587700,#587701,#587702,#587703,#587704,#587705,#587706,#587707,#587708, #587709,#587710)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(-5.02564215243405E-14,0.0413905540313562),(11.8510081451052, 12.8062366196733,13.7614650942413,14.7166935688094,15.6719220433775,16.6271505179455, 17.5823789925136,18.5376074670817,19.4928359416498,20.4480644162178,21.4032928907859, 22.358521365354,23.313749839922,24.2689783144901,25.2242067890582,26.1794352636263, 27.1346637381943,28.0898922127624,29.0451206873305,30.0003491618985,30.9555776364666, 31.9108061110347),.UNSPECIFIED.); #3446=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#588654,#588655),(#588656,#588657), (#588658,#588659),(#588660,#588661)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801589),(0.,0.015),.UNSPECIFIED.); #3447=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#588673,#588674),(#588675,#588676), (#588677,#588678),(#588679,#588680)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158918,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #3448=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#588730,#588731),(#588732,#588733), (#588734,#588735),(#588736,#588737)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801585),(0.,0.015),.UNSPECIFIED.); #3449=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#588749,#588750),(#588751,#588752), (#588753,#588754),(#588755,#588756)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158922,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #3450=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#589689,#589690,#589691,#589692, #589693,#589694,#589695,#589696,#589697,#589698,#589699,#589700,#589701, #589702,#589703,#589704,#589705,#589706,#589707,#589708,#589709,#589710, #589711,#589712,#589713,#589714,#589715,#589716,#589717,#589718,#589719, #589720,#589721,#589722,#589723,#589724,#589725,#589726,#589727,#589728, #589729,#589730,#589731,#589732,#589733,#589734,#589735),(#589736,#589737, #589738,#589739,#589740,#589741,#589742,#589743,#589744,#589745,#589746, #589747,#589748,#589749,#589750,#589751,#589752,#589753,#589754,#589755, #589756,#589757,#589758,#589759,#589760,#589761,#589762,#589763,#589764, #589765,#589766,#589767,#589768,#589769,#589770,#589771,#589772,#589773, #589774,#589775,#589776,#589777,#589778,#589779,#589780,#589781,#589782), (#589783,#589784,#589785,#589786,#589787,#589788,#589789,#589790,#589791, #589792,#589793,#589794,#589795,#589796,#589797,#589798,#589799,#589800, #589801,#589802,#589803,#589804,#589805,#589806,#589807,#589808,#589809, #589810,#589811,#589812,#589813,#589814,#589815,#589816,#589817,#589818, #589819,#589820,#589821,#589822,#589823,#589824,#589825,#589826,#589827, #589828,#589829),(#589830,#589831,#589832,#589833,#589834,#589835,#589836, #589837,#589838,#589839,#589840,#589841,#589842,#589843,#589844,#589845, #589846,#589847,#589848,#589849,#589850,#589851,#589852,#589853,#589854, #589855,#589856,#589857,#589858,#589859,#589860,#589861,#589862,#589863, #589864,#589865,#589866,#589867,#589868,#589869,#589870,#589871,#589872, #589873,#589874,#589875,#589876)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(8.29514371672427,9.29443768305219, 10.2937316493801,11.293025615708,12.292319582036,13.2916135483639,14.2909075146918, 15.2902014810197,16.2894954473476,17.2887894136756,18.2880833800035,19.2873773463314, 20.2866713126593,21.2859652789873,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 35.2760808075782,36.2753747739061,37.274668740234,38.273962706562,39.2732566728899, 40.2725506392178,41.2718446055457,42.2711385718736,43.2704325382016,44.2697265045295, 45.2690204708574,46.2683144371853,47.2676084035133,48.2669023698412,49.2661963361691, 50.265490302497,51.264784268825,52.2640782351529),.UNSPECIFIED.); #3451=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#590097,#590098,#590099,#590100, #590101,#590102,#590103,#590104,#590105,#590106,#590107,#590108,#590109, #590110,#590111,#590112,#590113,#590114,#590115,#590116,#590117,#590118, #590119,#590120,#590121,#590122,#590123,#590124,#590125,#590126,#590127, #590128,#590129,#590130,#590131,#590132,#590133,#590134,#590135,#590136, #590137,#590138,#590139,#590140,#590141,#590142,#590143),(#590144,#590145, #590146,#590147,#590148,#590149,#590150,#590151,#590152,#590153,#590154, #590155,#590156,#590157,#590158,#590159,#590160,#590161,#590162,#590163, #590164,#590165,#590166,#590167,#590168,#590169,#590170,#590171,#590172, #590173,#590174,#590175,#590176,#590177,#590178,#590179,#590180,#590181, #590182,#590183,#590184,#590185,#590186,#590187,#590188,#590189,#590190), (#590191,#590192,#590193,#590194,#590195,#590196,#590197,#590198,#590199, #590200,#590201,#590202,#590203,#590204,#590205,#590206,#590207,#590208, #590209,#590210,#590211,#590212,#590213,#590214,#590215,#590216,#590217, #590218,#590219,#590220,#590221,#590222,#590223,#590224,#590225,#590226, #590227,#590228,#590229,#590230,#590231,#590232,#590233,#590234,#590235, #590236,#590237),(#590238,#590239,#590240,#590241,#590242,#590243,#590244, #590245,#590246,#590247,#590248,#590249,#590250,#590251,#590252,#590253, #590254,#590255,#590256,#590257,#590258,#590259,#590260,#590261,#590262, #590263,#590264,#590265,#590266,#590267,#590268,#590269,#590270,#590271, #590272,#590273,#590274,#590275,#590276,#590277,#590278,#590279,#590280, #590281,#590282,#590283,#590284)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(11.6149723878395,12.6142663541674, 13.6135603204953,14.6128542868233,15.6121482531512,16.6114422194791,17.610736185807, 18.610030152135,19.6093241184629,20.6086180847908,21.6079120511187,22.6072060174466, 23.6064999837746,24.6057939501025,25.6050879164304,26.6043818827583,27.6036758490863, 28.6029698154142,29.6022637817421,30.60155774807,31.600851714398,32.6001456807259, 33.5994396470538,34.5987336133817,35.5980275797097,36.5973215460376,37.5966155123655, 38.5959094786934,39.5952034450213,40.5944974113493,41.5937913776772,42.5930853440051, 43.592379310333,44.591673276661,45.5909672429889,46.5902612093168,47.5895551756447, 48.5888491419727,49.5881431083006,50.5874370746285,51.5867310409564,52.5860250072843, 53.5853189736123,54.5846129399402,55.5839069062681),.UNSPECIFIED.); #3452=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#591270,#591271),(#591272,#591273), (#591274,#591275),(#591276,#591277),(#591278,#591279),(#591280,#591281)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #3453=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#591284,#591285),(#591286,#591287), (#591288,#591289),(#591290,#591291),(#591292,#591293),(#591294,#591295)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #3454=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#596797,#596798,#596799,#596800), (#596801,#596802,#596803,#596804),(#596805,#596806,#596807,#596808),(#596809, #596810,#596811,#596812),(#596813,#596814,#596815,#596816),(#596817,#596818, #596819,#596820)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3455=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#596840,#596841,#596842,#596843), (#596844,#596845,#596846,#596847),(#596848,#596849,#596850,#596851),(#596852, #596853,#596854,#596855),(#596856,#596857,#596858,#596859),(#596860,#596861, #596862,#596863)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3456=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#596879,#596880,#596881,#596882), (#596883,#596884,#596885,#596886),(#596887,#596888,#596889,#596890),(#596891, #596892,#596893,#596894),(#596895,#596896,#596897,#596898),(#596899,#596900, #596901,#596902)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3457=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#596916,#596917,#596918,#596919), (#596920,#596921,#596922,#596923),(#596924,#596925,#596926,#596927),(#596928, #596929,#596930,#596931),(#596932,#596933,#596934,#596935),(#596936,#596937, #596938,#596939),(#596940,#596941,#596942,#596943),(#596944,#596945,#596946, #596947),(#596948,#596949,#596950,#596951),(#596952,#596953,#596954,#596955)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3458=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#596973,#596974,#596975,#596976), (#596977,#596978,#596979,#596980),(#596981,#596982,#596983,#596984),(#596985, #596986,#596987,#596988),(#596989,#596990,#596991,#596992),(#596993,#596994, #596995,#596996)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3459=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597010,#597011,#597012,#597013), (#597014,#597015,#597016,#597017),(#597018,#597019,#597020,#597021),(#597022, #597023,#597024,#597025),(#597026,#597027,#597028,#597029)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 1.),.UNSPECIFIED.); #3460=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597042,#597043,#597044,#597045), (#597046,#597047,#597048,#597049),(#597050,#597051,#597052,#597053),(#597054, #597055,#597056,#597057),(#597058,#597059,#597060,#597061),(#597062,#597063, #597064,#597065)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(0.,0.285714285714286, 0.571428571428571,1.),(0.,1.),.UNSPECIFIED.); #3461=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597079,#597080,#597081,#597082), (#597083,#597084,#597085,#597086),(#597087,#597088,#597089,#597090),(#597091, #597092,#597093,#597094),(#597095,#597096,#597097,#597098),(#597099,#597100, #597101,#597102),(#597103,#597104,#597105,#597106),(#597107,#597108,#597109, #597110),(#597111,#597112,#597113,#597114),(#597115,#597116,#597117,#597118)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3462=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597147,#597148,#597149,#597150), (#597151,#597152,#597153,#597154),(#597155,#597156,#597157,#597158),(#597159, #597160,#597161,#597162)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #3463=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597168,#597169,#597170,#597171), (#597172,#597173,#597174,#597175),(#597176,#597177,#597178,#597179),(#597180, #597181,#597182,#597183),(#597184,#597185,#597186,#597187),(#597188,#597189, #597190,#597191),(#597192,#597193,#597194,#597195)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.714285714285714, 1.),(0.,1.),.UNSPECIFIED.); #3464=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597199,#597200,#597201,#597202), (#597203,#597204,#597205,#597206),(#597207,#597208,#597209,#597210),(#597211, #597212,#597213,#597214),(#597215,#597216,#597217,#597218),(#597219,#597220, #597221,#597222),(#597223,#597224,#597225,#597226),(#597227,#597228,#597229, #597230),(#597231,#597232,#597233,#597234),(#597235,#597236,#597237,#597238)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3465=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597242,#597243,#597244,#597245), (#597246,#597247,#597248,#597249),(#597250,#597251,#597252,#597253),(#597254, #597255,#597256,#597257),(#597258,#597259,#597260,#597261),(#597262,#597263, #597264,#597265),(#597266,#597267,#597268,#597269)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.785714285714286, 1.),(0.,1.),.UNSPECIFIED.); #3466=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597273,#597274,#597275,#597276), (#597277,#597278,#597279,#597280),(#597281,#597282,#597283,#597284),(#597285, #597286,#597287,#597288)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (0.,1.),.UNSPECIFIED.); #3467=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597294,#597295,#597296,#597297), (#597298,#597299,#597300,#597301),(#597302,#597303,#597304,#597305),(#597306, #597307,#597308,#597309),(#597310,#597311,#597312,#597313),(#597314,#597315, #597316,#597317),(#597318,#597319,#597320,#597321)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.785714285714286, 1.),(0.,1.),.UNSPECIFIED.); #3468=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597325,#597326,#597327,#597328), (#597329,#597330,#597331,#597332),(#597333,#597334,#597335,#597336),(#597337, #597338,#597339,#597340),(#597341,#597342,#597343,#597344),(#597345,#597346, #597347,#597348),(#597349,#597350,#597351,#597352),(#597353,#597354,#597355, #597356),(#597357,#597358,#597359,#597360),(#597361,#597362,#597363,#597364)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(4,4),(0.,0.142857142857143, 0.285714285714286,0.428571428571429,0.571428571428571,0.714285714285714, 0.857142857142857,1.),(0.,1.),.UNSPECIFIED.); #3469=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#597368,#597369,#597370,#597371), (#597372,#597373,#597374,#597375),(#597376,#597377,#597378,#597379),(#597380, #597381,#597382,#597383),(#597384,#597385,#597386,#597387),(#597388,#597389, #597390,#597391),(#597392,#597393,#597394,#597395)),.UNSPECIFIED.,.F.,.F., .F.,(4,1,1,1,4),(4,4),(0.,0.285714285714286,0.571428571428571,0.785714285714286, 1.),(0.,1.),.UNSPECIFIED.); #3470=CONICAL_SURFACE('',#351555,24.8,0.418224329579261); #3471=CONICAL_SURFACE('',#351559,24.8,0.418224329579261); #3472=CONICAL_SURFACE('',#351563,24.8,0.418224329579261); #3473=CONICAL_SURFACE('',#351567,24.8,0.418224329579261); #3474=CONICAL_SURFACE('',#351583,19.4009345747,1.14649832306184); #3475=CONICAL_SURFACE('',#351609,19.40086581547,1.14649832306184); #3476=CONICAL_SURFACE('',#351619,24.79995831259,0.463647609000841); #3477=CONICAL_SURFACE('',#351621,24.79995831259,0.463647609000841); #3478=CONICAL_SURFACE('',#351623,19.40086581548,1.14649832306184); #3479=CONICAL_SURFACE('',#351639,19.40086581548,1.14649832306184); #3480=CONICAL_SURFACE('',#351657,24.79995831259,0.463647609000841); #3481=CONICAL_SURFACE('',#351659,24.79995831259,0.463647609000841); #3482=CONICAL_SURFACE('',#351661,19.40086581548,1.14649832306184); #3483=CONICAL_SURFACE('',#351724,25.5,0.321750554396782); #3484=CONICAL_SURFACE('',#351744,25.5,0.321750554396782); #3485=CONICAL_SURFACE('',#351748,25.5,0.321750554396782); #3486=CONICAL_SURFACE('',#351787,25.5,0.321750554396782); #3487=CONICAL_SURFACE('',#351820,25.5,0.321750554396782); #3488=CONICAL_SURFACE('',#351839,25.5,0.321750554396782); #3489=CONICAL_SURFACE('',#351859,25.5,0.321750554396782); #3490=CONICAL_SURFACE('',#351863,25.5,0.321750554396782); #3491=CONICAL_SURFACE('',#351902,25.5,0.321750554396782); #3492=CONICAL_SURFACE('',#351922,25.5,0.321750554396782); #3493=CONICAL_SURFACE('',#351944,25.5,0.321750554396782); #3494=CONICAL_SURFACE('',#351963,12.98758303364,0.431573051225772); #3495=CONICAL_SURFACE('',#352253,32.7842712474619,0.785398163397451); #3496=CONICAL_SURFACE('',#352319,2.5,0.785398163397447); #3497=CONICAL_SURFACE('',#352323,4.5,0.785398163397445); #3498=CONICAL_SURFACE('',#352327,4.5,0.785398163397447); #3499=CONICAL_SURFACE('',#352331,2.5,0.785398163397448); #3500=CONICAL_SURFACE('',#352341,2.5,0.785398163397448); #3501=CONICAL_SURFACE('',#352344,2.5,0.785398163397447); #3502=CONICAL_SURFACE('',#352347,2.5,0.785398163397447); #3503=CONICAL_SURFACE('',#352350,2.5,0.785398163397449); #3504=CONICAL_SURFACE('',#352352,2.5,0.785398163397447); #3505=CONICAL_SURFACE('',#352356,2.5,0.785398163397447); #3506=CONICAL_SURFACE('',#352360,2.5,0.785398163397449); #3507=CONICAL_SURFACE('',#352364,2.5,0.785398163397449); #3508=CONICAL_SURFACE('',#352374,2.5,0.785398163397448); #3509=CONICAL_SURFACE('',#352377,4.5,0.785398163397447); #3510=CONICAL_SURFACE('',#352380,4.5,0.785398163397445); #3511=CONICAL_SURFACE('',#352383,2.5,0.785398163397447); #3512=CONICAL_SURFACE('',#352385,2.5,0.785398163397449); #3513=CONICAL_SURFACE('',#352389,2.5,0.785398163397449); #3514=CONICAL_SURFACE('',#352393,2.5,0.785398163397447); #3515=CONICAL_SURFACE('',#352397,2.5,0.785398163397447); #3516=CONICAL_SURFACE('',#352407,2.5,0.785398163397447); #3517=CONICAL_SURFACE('',#352410,4.5,0.785398163397445); #3518=CONICAL_SURFACE('',#352413,4.5,0.785398163397447); #3519=CONICAL_SURFACE('',#352416,2.5,0.785398163397448); #3520=CONICAL_SURFACE('',#352418,2.5,0.785398163397448); #3521=CONICAL_SURFACE('',#352422,4.5,0.785398163397447); #3522=CONICAL_SURFACE('',#352426,4.5,0.785398163397445); #3523=CONICAL_SURFACE('',#352430,2.5,0.785398163397447); #3524=CONICAL_SURFACE('',#352440,2.5,0.785398163397449); #3525=CONICAL_SURFACE('',#352443,2.5,0.785398163397447); #3526=CONICAL_SURFACE('',#352446,2.5,0.785398163397447); #3527=CONICAL_SURFACE('',#352449,2.5,0.785398163397448); #3528=CONICAL_SURFACE('',#352483,31.9092712474619,0.785398163397448); #3529=CONICAL_SURFACE('',#352504,3.125,0.785398163397449); #3530=CONICAL_SURFACE('',#352509,3.125,0.785398163397449); #3531=CONICAL_SURFACE('',#352520,28.5421356237311,0.831555618899825); #3532=CONICAL_SURFACE('',#352570,30.2842712474619,0.785398163397448); #3533=CONICAL_SURFACE('',#352723,33.6592712474619,0.78539816339745); #3534=CONICAL_SURFACE('',#352797,32.7842712474619,0.785398163397451); #3535=CONICAL_SURFACE('',#352915,30.25,0.785398163397453); #3536=CONICAL_SURFACE('',#352919,30.25,0.785398163397453); #3537=CONICAL_SURFACE('',#352956,30.25,0.785398163397453); #3538=CONICAL_SURFACE('',#352957,30.25,0.785398163397451); #3539=CONICAL_SURFACE('',#352959,31.75,0.785398163397453); #3540=CONICAL_SURFACE('',#352961,30.25,0.785398163397453); #3541=CONICAL_SURFACE('',#352963,30.25,0.785398163397453); #3542=CONICAL_SURFACE('',#373433,0.96,0.785398163397447); #3543=CONICAL_SURFACE('',#373566,0.22,0.785398163397449); #3544=CONICAL_SURFACE('',#373569,0.22,0.785398163397448); #3545=CONICAL_SURFACE('',#373570,0.22,0.785398163397448); #3546=CONICAL_SURFACE('',#373573,0.22,0.785398163397449); #3547=CONICAL_SURFACE('',#373574,0.81,0.785398163397447); #3548=CONICAL_SURFACE('',#373576,0.81,0.785398163397448); #3549=CONICAL_SURFACE('',#373577,0.81,0.785398163397447); #3550=CONICAL_SURFACE('',#373579,0.81,0.785398163397447); #3551=CONICAL_SURFACE('',#373624,0.15,0.785398163397447); #3552=CONICAL_SURFACE('',#373625,0.25,0.785398163397447); #3553=CONICAL_SURFACE('',#373628,0.96,0.785398163397448); #3554=CONICAL_SURFACE('',#374501,1.08,0.785398163397448); #3555=CONICAL_SURFACE('',#374503,1.08,0.785398163397448); #3556=CONICAL_SURFACE('',#374505,1.08,0.785398163397448); #3557=CONICAL_SURFACE('',#374507,1.08,0.785398163397448); #3558=CONICAL_SURFACE('',#376101,2.49999999999999,0.463647609000805); #3559=CONICAL_SURFACE('',#376112,2.49999999999999,0.463647609000805); #3560=CONICAL_SURFACE('',#376114,2.49999999999999,0.463647609000805); #3561=CONICAL_SURFACE('',#376116,2.49999999999999,0.463647609000805); #3562=CONICAL_SURFACE('',#376118,2.49999999999999,0.463647609000805); #3563=CONICAL_SURFACE('',#376120,2.49999999999999,0.463647609000805); #3564=CONICAL_SURFACE('',#376122,2.49999999999999,0.463647609000805); #3565=CONICAL_SURFACE('',#376124,2.49999999999999,0.463647609000805); #3566=CONICAL_SURFACE('',#376146,2.49999999999999,0.463647609000805); #3567=CONICAL_SURFACE('',#376149,2.49999999999999,0.463647609000805); #3568=CONICAL_SURFACE('',#376152,2.49999999999999,0.463647609000805); #3569=CONICAL_SURFACE('',#376155,2.49999999999999,0.463647609000805); #3570=CONICAL_SURFACE('',#376158,2.49999999999999,0.463647609000805); #3571=CONICAL_SURFACE('',#376161,2.49999999999999,0.463647609000805); #3572=CONICAL_SURFACE('',#376164,2.49999999999999,0.463647609000805); #3573=CONICAL_SURFACE('',#376169,2.49999999999999,0.463647609000805); #3574=CONICAL_SURFACE('',#377901,29.25,0.785398163397448); #3575=CONICAL_SURFACE('',#377914,28.85,0.785398163397446); #3576=CONICAL_SURFACE('',#377927,26.75,0.785398163397446); #3577=CONICAL_SURFACE('',#377931,27.25,0.785398163397448); #3578=CONICAL_SURFACE('',#377938,30.1,0.785398163397448); #3579=CONICAL_SURFACE('',#377940,30.1,0.785398163397448); #3580=CONICAL_SURFACE('',#377943,30.1,0.785398163397448); #3581=CONICAL_SURFACE('',#377945,30.1,0.785398163397448); #3582=CONICAL_SURFACE('',#377948,30.1,0.785398163397448); #3583=CONICAL_SURFACE('',#377950,30.1,0.785398163397448); #3584=CONICAL_SURFACE('',#377953,30.35,0.785398163397448); #3585=CONICAL_SURFACE('',#377957,35.4842712474619,0.785398163397448); #3586=CONICAL_SURFACE('',#377961,35.4842712474619,0.785398163397448); #3587=CONICAL_SURFACE('',#378067,2.225,0.785398163397448); #3588=CONICAL_SURFACE('',#378078,2.225,0.785398163397448); #3589=CONICAL_SURFACE('',#378082,1.525,0.785398163397448); #3590=CONICAL_SURFACE('',#378093,1.525,0.785398163397448); #3591=CONICAL_SURFACE('',#378098,3.225,0.785398163397451); #3592=CONICAL_SURFACE('',#378101,3.225,0.785398163397451); #3593=CONICAL_SURFACE('',#378105,3.225,0.785398163397451); #3594=CONICAL_SURFACE('',#378111,3.225,0.785398163397451); #3595=CONICAL_SURFACE('',#378286,33.4092712474619,0.78539816339745); #3596=FACE_BOUND('',#40449,.T.); #3597=FACE_BOUND('',#40450,.T.); #3598=FACE_BOUND('',#40451,.T.); #3599=FACE_BOUND('',#40452,.T.); #3600=FACE_BOUND('',#40455,.T.); #3601=FACE_BOUND('',#40456,.T.); #3602=FACE_BOUND('',#40457,.T.); #3603=FACE_BOUND('',#40458,.T.); #3604=FACE_BOUND('',#40459,.T.); #3605=FACE_BOUND('',#40486,.T.); #3606=FACE_BOUND('',#40487,.T.); #3607=FACE_BOUND('',#40488,.T.); #3608=FACE_BOUND('',#40489,.T.); #3609=FACE_BOUND('',#40492,.T.); #3610=FACE_BOUND('',#40493,.T.); #3611=FACE_BOUND('',#40494,.T.); #3612=FACE_BOUND('',#40495,.T.); #3613=FACE_BOUND('',#40496,.T.); #3614=FACE_BOUND('',#40969,.T.); #3615=FACE_BOUND('',#41153,.T.); #3616=FACE_BOUND('',#41156,.T.); #3617=FACE_BOUND('',#41159,.T.); #3618=FACE_BOUND('',#41162,.T.); #3619=FACE_BOUND('',#41172,.T.); #3620=FACE_BOUND('',#41186,.T.); #3621=FACE_BOUND('',#41187,.T.); #3622=FACE_BOUND('',#41188,.T.); #3623=FACE_BOUND('',#41189,.T.); #3624=FACE_BOUND('',#41190,.T.); #3625=FACE_BOUND('',#41191,.T.); #3626=FACE_BOUND('',#41192,.T.); #3627=FACE_BOUND('',#41193,.T.); #3628=FACE_BOUND('',#41194,.T.); #3629=FACE_BOUND('',#41195,.T.); #3630=FACE_BOUND('',#41196,.T.); #3631=FACE_BOUND('',#41197,.T.); #3632=FACE_BOUND('',#41198,.T.); #3633=FACE_BOUND('',#41199,.T.); #3634=FACE_BOUND('',#41200,.T.); #3635=FACE_BOUND('',#41201,.T.); #3636=FACE_BOUND('',#41202,.T.); #3637=FACE_BOUND('',#41203,.T.); #3638=FACE_BOUND('',#41204,.T.); #3639=FACE_BOUND('',#41401,.T.); #3640=FACE_BOUND('',#41402,.T.); #3641=FACE_BOUND('',#41403,.T.); #3642=FACE_BOUND('',#41404,.T.); #3643=FACE_BOUND('',#41405,.T.); #3644=FACE_BOUND('',#41409,.T.); #3645=FACE_BOUND('',#41410,.T.); #3646=FACE_BOUND('',#41411,.T.); #3647=FACE_BOUND('',#41412,.T.); #3648=FACE_BOUND('',#41413,.T.); #3649=FACE_BOUND('',#41415,.T.); #3650=FACE_BOUND('',#41422,.T.); #3651=FACE_BOUND('',#41425,.T.); #3652=FACE_BOUND('',#41428,.T.); #3653=FACE_BOUND('',#41431,.T.); #3654=FACE_BOUND('',#41435,.T.); #3655=FACE_BOUND('',#41436,.T.); #3656=FACE_BOUND('',#41437,.T.); #3657=FACE_BOUND('',#41438,.T.); #3658=FACE_BOUND('',#41439,.T.); #3659=FACE_BOUND('',#41499,.T.); #3660=FACE_BOUND('',#41555,.T.); #3661=FACE_BOUND('',#41566,.T.); #3662=FACE_BOUND('',#41905,.T.); #3663=FACE_BOUND('',#41906,.T.); #3664=FACE_BOUND('',#41907,.T.); #3665=FACE_BOUND('',#41908,.T.); #3666=FACE_BOUND('',#41909,.T.); #3667=FACE_BOUND('',#41910,.T.); #3668=FACE_BOUND('',#41911,.T.); #3669=FACE_BOUND('',#41912,.T.); #3670=FACE_BOUND('',#41913,.T.); #3671=FACE_BOUND('',#41914,.T.); #3672=FACE_BOUND('',#41915,.T.); #3673=FACE_BOUND('',#41916,.T.); #3674=FACE_BOUND('',#41917,.T.); #3675=FACE_BOUND('',#41918,.T.); #3676=FACE_BOUND('',#41919,.T.); #3677=FACE_BOUND('',#41920,.T.); #3678=FACE_BOUND('',#41921,.T.); #3679=FACE_BOUND('',#41922,.T.); #3680=FACE_BOUND('',#41923,.T.); #3681=FACE_BOUND('',#41924,.T.); #3682=FACE_BOUND('',#41925,.T.); #3683=FACE_BOUND('',#41926,.T.); #3684=FACE_BOUND('',#41927,.T.); #3685=FACE_BOUND('',#41928,.T.); #3686=FACE_BOUND('',#41929,.T.); #3687=FACE_BOUND('',#41930,.T.); #3688=FACE_BOUND('',#41931,.T.); #3689=FACE_BOUND('',#41932,.T.); #3690=FACE_BOUND('',#41933,.T.); #3691=FACE_BOUND('',#41934,.T.); #3692=FACE_BOUND('',#41935,.T.); #3693=FACE_BOUND('',#41936,.T.); #3694=FACE_BOUND('',#41937,.T.); #3695=FACE_BOUND('',#41938,.T.); #3696=FACE_BOUND('',#41939,.T.); #3697=FACE_BOUND('',#41940,.T.); #3698=FACE_BOUND('',#41941,.T.); #3699=FACE_BOUND('',#41942,.T.); #3700=FACE_BOUND('',#41943,.T.); #3701=FACE_BOUND('',#41944,.T.); #3702=FACE_BOUND('',#41945,.T.); #3703=FACE_BOUND('',#41946,.T.); #3704=FACE_BOUND('',#41947,.T.); #3705=FACE_BOUND('',#41948,.T.); #3706=FACE_BOUND('',#41949,.T.); #3707=FACE_BOUND('',#41950,.T.); #3708=FACE_BOUND('',#41951,.T.); #3709=FACE_BOUND('',#41952,.T.); #3710=FACE_BOUND('',#41953,.T.); #3711=FACE_BOUND('',#41954,.T.); #3712=FACE_BOUND('',#41955,.T.); #3713=FACE_BOUND('',#41956,.T.); #3714=FACE_BOUND('',#41957,.T.); #3715=FACE_BOUND('',#41958,.T.); #3716=FACE_BOUND('',#41959,.T.); #3717=FACE_BOUND('',#41960,.T.); #3718=FACE_BOUND('',#41961,.T.); #3719=FACE_BOUND('',#41962,.T.); #3720=FACE_BOUND('',#41963,.T.); #3721=FACE_BOUND('',#41964,.T.); #3722=FACE_BOUND('',#41965,.T.); #3723=FACE_BOUND('',#41966,.T.); #3724=FACE_BOUND('',#41967,.T.); #3725=FACE_BOUND('',#41968,.T.); #3726=FACE_BOUND('',#41969,.T.); #3727=FACE_BOUND('',#41970,.T.); #3728=FACE_BOUND('',#41971,.T.); #3729=FACE_BOUND('',#41972,.T.); #3730=FACE_BOUND('',#41973,.T.); #3731=FACE_BOUND('',#41974,.T.); #3732=FACE_BOUND('',#41975,.T.); #3733=FACE_BOUND('',#41976,.T.); #3734=FACE_BOUND('',#41977,.T.); #3735=FACE_BOUND('',#41978,.T.); #3736=FACE_BOUND('',#41979,.T.); #3737=FACE_BOUND('',#41980,.T.); #3738=FACE_BOUND('',#41981,.T.); #3739=FACE_BOUND('',#41982,.T.); #3740=FACE_BOUND('',#41983,.T.); #3741=FACE_BOUND('',#41984,.T.); #3742=FACE_BOUND('',#41985,.T.); #3743=FACE_BOUND('',#41986,.T.); #3744=FACE_BOUND('',#41987,.T.); #3745=FACE_BOUND('',#41988,.T.); #3746=FACE_BOUND('',#41989,.T.); #3747=FACE_BOUND('',#41990,.T.); #3748=FACE_BOUND('',#41991,.T.); #3749=FACE_BOUND('',#41992,.T.); #3750=FACE_BOUND('',#41993,.T.); #3751=FACE_BOUND('',#41994,.T.); #3752=FACE_BOUND('',#41995,.T.); #3753=FACE_BOUND('',#41996,.T.); #3754=FACE_BOUND('',#41997,.T.); #3755=FACE_BOUND('',#41998,.T.); #3756=FACE_BOUND('',#41999,.T.); #3757=FACE_BOUND('',#42000,.T.); #3758=FACE_BOUND('',#42001,.T.); #3759=FACE_BOUND('',#42002,.T.); #3760=FACE_BOUND('',#42003,.T.); #3761=FACE_BOUND('',#42004,.T.); #3762=FACE_BOUND('',#42005,.T.); #3763=FACE_BOUND('',#42006,.T.); #3764=FACE_BOUND('',#42007,.T.); #3765=FACE_BOUND('',#42008,.T.); #3766=FACE_BOUND('',#42009,.T.); #3767=FACE_BOUND('',#42010,.T.); #3768=FACE_BOUND('',#42011,.T.); #3769=FACE_BOUND('',#42012,.T.); #3770=FACE_BOUND('',#42013,.T.); #3771=FACE_BOUND('',#42014,.T.); #3772=FACE_BOUND('',#42015,.T.); #3773=FACE_BOUND('',#42016,.T.); #3774=FACE_BOUND('',#42017,.T.); #3775=FACE_BOUND('',#42018,.T.); #3776=FACE_BOUND('',#42019,.T.); #3777=FACE_BOUND('',#42020,.T.); #3778=FACE_BOUND('',#42021,.T.); #3779=FACE_BOUND('',#42022,.T.); #3780=FACE_BOUND('',#42023,.T.); #3781=FACE_BOUND('',#42024,.T.); #3782=FACE_BOUND('',#42025,.T.); #3783=FACE_BOUND('',#42026,.T.); #3784=FACE_BOUND('',#42027,.T.); #3785=FACE_BOUND('',#42028,.T.); #3786=FACE_BOUND('',#42029,.T.); #3787=FACE_BOUND('',#42030,.T.); #3788=FACE_BOUND('',#42031,.T.); #3789=FACE_BOUND('',#42032,.T.); #3790=FACE_BOUND('',#42033,.T.); #3791=FACE_BOUND('',#42034,.T.); #3792=FACE_BOUND('',#42035,.T.); #3793=FACE_BOUND('',#42036,.T.); #3794=FACE_BOUND('',#42037,.T.); #3795=FACE_BOUND('',#42038,.T.); #3796=FACE_BOUND('',#42039,.T.); #3797=FACE_BOUND('',#42040,.T.); #3798=FACE_BOUND('',#42041,.T.); #3799=FACE_BOUND('',#42042,.T.); #3800=FACE_BOUND('',#42043,.T.); #3801=FACE_BOUND('',#42044,.T.); #3802=FACE_BOUND('',#42045,.T.); #3803=FACE_BOUND('',#42046,.T.); #3804=FACE_BOUND('',#42047,.T.); #3805=FACE_BOUND('',#42048,.T.); #3806=FACE_BOUND('',#42049,.T.); #3807=FACE_BOUND('',#42050,.T.); #3808=FACE_BOUND('',#42051,.T.); #3809=FACE_BOUND('',#42052,.T.); #3810=FACE_BOUND('',#42053,.T.); #3811=FACE_BOUND('',#42054,.T.); #3812=FACE_BOUND('',#42055,.T.); #3813=FACE_BOUND('',#42056,.T.); #3814=FACE_BOUND('',#42057,.T.); #3815=FACE_BOUND('',#42058,.T.); #3816=FACE_BOUND('',#42059,.T.); #3817=FACE_BOUND('',#42060,.T.); #3818=FACE_BOUND('',#42061,.T.); #3819=FACE_BOUND('',#42062,.T.); #3820=FACE_BOUND('',#42063,.T.); #3821=FACE_BOUND('',#42064,.T.); #3822=FACE_BOUND('',#42065,.T.); #3823=FACE_BOUND('',#42066,.T.); #3824=FACE_BOUND('',#42067,.T.); #3825=FACE_BOUND('',#42068,.T.); #3826=FACE_BOUND('',#42069,.T.); #3827=FACE_BOUND('',#42070,.T.); #3828=FACE_BOUND('',#42071,.T.); #3829=FACE_BOUND('',#42072,.T.); #3830=FACE_BOUND('',#42073,.T.); #3831=FACE_BOUND('',#42074,.T.); #3832=FACE_BOUND('',#42075,.T.); #3833=FACE_BOUND('',#42076,.T.); #3834=FACE_BOUND('',#42077,.T.); #3835=FACE_BOUND('',#42078,.T.); #3836=FACE_BOUND('',#42079,.T.); #3837=FACE_BOUND('',#42080,.T.); #3838=FACE_BOUND('',#42081,.T.); #3839=FACE_BOUND('',#42082,.T.); #3840=FACE_BOUND('',#42083,.T.); #3841=FACE_BOUND('',#42084,.T.); #3842=FACE_BOUND('',#42085,.T.); #3843=FACE_BOUND('',#42086,.T.); #3844=FACE_BOUND('',#42087,.T.); #3845=FACE_BOUND('',#42088,.T.); #3846=FACE_BOUND('',#42089,.T.); #3847=FACE_BOUND('',#42090,.T.); #3848=FACE_BOUND('',#42091,.T.); #3849=FACE_BOUND('',#42092,.T.); #3850=FACE_BOUND('',#42093,.T.); #3851=FACE_BOUND('',#42094,.T.); #3852=FACE_BOUND('',#42095,.T.); #3853=FACE_BOUND('',#42096,.T.); #3854=FACE_BOUND('',#42097,.T.); #3855=FACE_BOUND('',#42098,.T.); #3856=FACE_BOUND('',#42099,.T.); #3857=FACE_BOUND('',#42100,.T.); #3858=FACE_BOUND('',#42101,.T.); #3859=FACE_BOUND('',#42102,.T.); #3860=FACE_BOUND('',#42103,.T.); #3861=FACE_BOUND('',#42104,.T.); #3862=FACE_BOUND('',#42105,.T.); #3863=FACE_BOUND('',#42106,.T.); #3864=FACE_BOUND('',#42107,.T.); #3865=FACE_BOUND('',#42108,.T.); #3866=FACE_BOUND('',#42109,.T.); #3867=FACE_BOUND('',#42110,.T.); #3868=FACE_BOUND('',#42111,.T.); #3869=FACE_BOUND('',#42112,.T.); #3870=FACE_BOUND('',#42113,.T.); #3871=FACE_BOUND('',#42114,.T.); #3872=FACE_BOUND('',#42115,.T.); #3873=FACE_BOUND('',#42116,.T.); #3874=FACE_BOUND('',#42117,.T.); #3875=FACE_BOUND('',#42118,.T.); #3876=FACE_BOUND('',#42119,.T.); #3877=FACE_BOUND('',#42120,.T.); #3878=FACE_BOUND('',#42121,.T.); #3879=FACE_BOUND('',#42122,.T.); #3880=FACE_BOUND('',#42123,.T.); #3881=FACE_BOUND('',#42124,.T.); #3882=FACE_BOUND('',#42125,.T.); #3883=FACE_BOUND('',#42126,.T.); #3884=FACE_BOUND('',#42127,.T.); #3885=FACE_BOUND('',#42128,.T.); #3886=FACE_BOUND('',#42129,.T.); #3887=FACE_BOUND('',#42130,.T.); #3888=FACE_BOUND('',#42131,.T.); #3889=FACE_BOUND('',#42132,.T.); #3890=FACE_BOUND('',#42133,.T.); #3891=FACE_BOUND('',#42134,.T.); #3892=FACE_BOUND('',#42135,.T.); #3893=FACE_BOUND('',#42136,.T.); #3894=FACE_BOUND('',#42137,.T.); #3895=FACE_BOUND('',#42138,.T.); #3896=FACE_BOUND('',#42139,.T.); #3897=FACE_BOUND('',#42140,.T.); #3898=FACE_BOUND('',#42141,.T.); #3899=FACE_BOUND('',#42142,.T.); #3900=FACE_BOUND('',#42143,.T.); #3901=FACE_BOUND('',#42144,.T.); #3902=FACE_BOUND('',#42145,.T.); #3903=FACE_BOUND('',#42146,.T.); #3904=FACE_BOUND('',#42147,.T.); #3905=FACE_BOUND('',#42148,.T.); #3906=FACE_BOUND('',#42149,.T.); #3907=FACE_BOUND('',#42150,.T.); #3908=FACE_BOUND('',#42151,.T.); #3909=FACE_BOUND('',#42152,.T.); #3910=FACE_BOUND('',#42153,.T.); #3911=FACE_BOUND('',#42154,.T.); #3912=FACE_BOUND('',#42155,.T.); #3913=FACE_BOUND('',#42156,.T.); #3914=FACE_BOUND('',#42157,.T.); #3915=FACE_BOUND('',#42158,.T.); #3916=FACE_BOUND('',#42159,.T.); #3917=FACE_BOUND('',#42160,.T.); #3918=FACE_BOUND('',#42161,.T.); #3919=FACE_BOUND('',#42162,.T.); #3920=FACE_BOUND('',#42163,.T.); #3921=FACE_BOUND('',#42164,.T.); #3922=FACE_BOUND('',#42165,.T.); #3923=FACE_BOUND('',#42166,.T.); #3924=FACE_BOUND('',#42167,.T.); #3925=FACE_BOUND('',#42168,.T.); #3926=FACE_BOUND('',#42169,.T.); #3927=FACE_BOUND('',#42170,.T.); #3928=FACE_BOUND('',#42171,.T.); #3929=FACE_BOUND('',#42172,.T.); #3930=FACE_BOUND('',#42173,.T.); #3931=FACE_BOUND('',#42174,.T.); #3932=FACE_BOUND('',#42175,.T.); #3933=FACE_BOUND('',#42176,.T.); #3934=FACE_BOUND('',#42177,.T.); #3935=FACE_BOUND('',#42178,.T.); #3936=FACE_BOUND('',#42179,.T.); #3937=FACE_BOUND('',#42180,.T.); #3938=FACE_BOUND('',#42181,.T.); #3939=FACE_BOUND('',#42182,.T.); #3940=FACE_BOUND('',#42183,.T.); #3941=FACE_BOUND('',#42184,.T.); #3942=FACE_BOUND('',#42185,.T.); #3943=FACE_BOUND('',#42186,.T.); #3944=FACE_BOUND('',#42187,.T.); #3945=FACE_BOUND('',#42188,.T.); #3946=FACE_BOUND('',#42189,.T.); #3947=FACE_BOUND('',#42190,.T.); #3948=FACE_BOUND('',#42191,.T.); #3949=FACE_BOUND('',#42192,.T.); #3950=FACE_BOUND('',#42193,.T.); #3951=FACE_BOUND('',#42194,.T.); #3952=FACE_BOUND('',#42195,.T.); #3953=FACE_BOUND('',#42196,.T.); #3954=FACE_BOUND('',#42197,.T.); #3955=FACE_BOUND('',#42198,.T.); #3956=FACE_BOUND('',#42199,.T.); #3957=FACE_BOUND('',#42200,.T.); #3958=FACE_BOUND('',#42201,.T.); #3959=FACE_BOUND('',#42202,.T.); #3960=FACE_BOUND('',#42203,.T.); #3961=FACE_BOUND('',#42204,.T.); #3962=FACE_BOUND('',#42205,.T.); #3963=FACE_BOUND('',#42206,.T.); #3964=FACE_BOUND('',#42207,.T.); #3965=FACE_BOUND('',#42208,.T.); #3966=FACE_BOUND('',#42209,.T.); #3967=FACE_BOUND('',#42210,.T.); #3968=FACE_BOUND('',#42211,.T.); #3969=FACE_BOUND('',#42212,.T.); #3970=FACE_BOUND('',#42213,.T.); #3971=FACE_BOUND('',#42214,.T.); #3972=FACE_BOUND('',#42215,.T.); #3973=FACE_BOUND('',#42216,.T.); #3974=FACE_BOUND('',#42217,.T.); #3975=FACE_BOUND('',#42218,.T.); #3976=FACE_BOUND('',#42219,.T.); #3977=FACE_BOUND('',#42220,.T.); #3978=FACE_BOUND('',#42221,.T.); #3979=FACE_BOUND('',#42223,.T.); #3980=FACE_BOUND('',#42224,.T.); #3981=FACE_BOUND('',#42225,.T.); #3982=FACE_BOUND('',#42226,.T.); #3983=FACE_BOUND('',#42227,.T.); #3984=FACE_BOUND('',#42228,.T.); #3985=FACE_BOUND('',#42229,.T.); #3986=FACE_BOUND('',#42230,.T.); #3987=FACE_BOUND('',#42231,.T.); #3988=FACE_BOUND('',#42232,.T.); #3989=FACE_BOUND('',#42233,.T.); #3990=FACE_BOUND('',#42234,.T.); #3991=FACE_BOUND('',#42235,.T.); #3992=FACE_BOUND('',#42236,.T.); #3993=FACE_BOUND('',#42237,.T.); #3994=FACE_BOUND('',#42238,.T.); #3995=FACE_BOUND('',#42239,.T.); #3996=FACE_BOUND('',#42240,.T.); #3997=FACE_BOUND('',#42241,.T.); #3998=FACE_BOUND('',#42242,.T.); #3999=FACE_BOUND('',#42243,.T.); #4000=FACE_BOUND('',#42244,.T.); #4001=FACE_BOUND('',#42245,.T.); #4002=FACE_BOUND('',#42246,.T.); #4003=FACE_BOUND('',#42247,.T.); #4004=FACE_BOUND('',#42248,.T.); #4005=FACE_BOUND('',#42249,.T.); #4006=FACE_BOUND('',#42250,.T.); #4007=FACE_BOUND('',#42251,.T.); #4008=FACE_BOUND('',#42252,.T.); #4009=FACE_BOUND('',#42253,.T.); #4010=FACE_BOUND('',#42254,.T.); #4011=FACE_BOUND('',#42255,.T.); #4012=FACE_BOUND('',#42256,.T.); #4013=FACE_BOUND('',#42257,.T.); #4014=FACE_BOUND('',#42258,.T.); #4015=FACE_BOUND('',#42259,.T.); #4016=FACE_BOUND('',#42260,.T.); #4017=FACE_BOUND('',#42261,.T.); #4018=FACE_BOUND('',#42262,.T.); #4019=FACE_BOUND('',#42263,.T.); #4020=FACE_BOUND('',#42264,.T.); #4021=FACE_BOUND('',#42265,.T.); #4022=FACE_BOUND('',#42266,.T.); #4023=FACE_BOUND('',#42267,.T.); #4024=FACE_BOUND('',#42268,.T.); #4025=FACE_BOUND('',#42269,.T.); #4026=FACE_BOUND('',#42270,.T.); #4027=FACE_BOUND('',#42271,.T.); #4028=FACE_BOUND('',#42272,.T.); #4029=FACE_BOUND('',#42273,.T.); #4030=FACE_BOUND('',#42274,.T.); #4031=FACE_BOUND('',#42275,.T.); #4032=FACE_BOUND('',#42276,.T.); #4033=FACE_BOUND('',#42277,.T.); #4034=FACE_BOUND('',#42278,.T.); #4035=FACE_BOUND('',#42279,.T.); #4036=FACE_BOUND('',#42280,.T.); #4037=FACE_BOUND('',#42281,.T.); #4038=FACE_BOUND('',#42282,.T.); #4039=FACE_BOUND('',#42283,.T.); #4040=FACE_BOUND('',#42284,.T.); #4041=FACE_BOUND('',#42285,.T.); #4042=FACE_BOUND('',#42286,.T.); #4043=FACE_BOUND('',#42287,.T.); #4044=FACE_BOUND('',#42288,.T.); #4045=FACE_BOUND('',#42289,.T.); #4046=FACE_BOUND('',#42290,.T.); #4047=FACE_BOUND('',#42291,.T.); #4048=FACE_BOUND('',#42292,.T.); #4049=FACE_BOUND('',#42293,.T.); #4050=FACE_BOUND('',#42294,.T.); #4051=FACE_BOUND('',#42295,.T.); #4052=FACE_BOUND('',#42296,.T.); #4053=FACE_BOUND('',#42297,.T.); #4054=FACE_BOUND('',#42298,.T.); #4055=FACE_BOUND('',#42299,.T.); #4056=FACE_BOUND('',#42300,.T.); #4057=FACE_BOUND('',#42301,.T.); #4058=FACE_BOUND('',#42302,.T.); #4059=FACE_BOUND('',#42303,.T.); #4060=FACE_BOUND('',#42304,.T.); #4061=FACE_BOUND('',#42305,.T.); #4062=FACE_BOUND('',#42306,.T.); #4063=FACE_BOUND('',#42307,.T.); #4064=FACE_BOUND('',#42308,.T.); #4065=FACE_BOUND('',#42309,.T.); #4066=FACE_BOUND('',#42310,.T.); #4067=FACE_BOUND('',#42311,.T.); #4068=FACE_BOUND('',#42312,.T.); #4069=FACE_BOUND('',#42313,.T.); #4070=FACE_BOUND('',#42314,.T.); #4071=FACE_BOUND('',#42315,.T.); #4072=FACE_BOUND('',#42316,.T.); #4073=FACE_BOUND('',#42317,.T.); #4074=FACE_BOUND('',#42318,.T.); #4075=FACE_BOUND('',#42319,.T.); #4076=FACE_BOUND('',#42320,.T.); #4077=FACE_BOUND('',#42321,.T.); #4078=FACE_BOUND('',#42322,.T.); #4079=FACE_BOUND('',#42323,.T.); #4080=FACE_BOUND('',#42324,.T.); #4081=FACE_BOUND('',#42325,.T.); #4082=FACE_BOUND('',#42326,.T.); #4083=FACE_BOUND('',#42327,.T.); #4084=FACE_BOUND('',#42328,.T.); #4085=FACE_BOUND('',#42329,.T.); #4086=FACE_BOUND('',#42330,.T.); #4087=FACE_BOUND('',#42331,.T.); #4088=FACE_BOUND('',#42332,.T.); #4089=FACE_BOUND('',#42333,.T.); #4090=FACE_BOUND('',#42334,.T.); #4091=FACE_BOUND('',#42335,.T.); #4092=FACE_BOUND('',#42336,.T.); #4093=FACE_BOUND('',#42337,.T.); #4094=FACE_BOUND('',#42338,.T.); #4095=FACE_BOUND('',#42339,.T.); #4096=FACE_BOUND('',#42340,.T.); #4097=FACE_BOUND('',#42341,.T.); #4098=FACE_BOUND('',#42342,.T.); #4099=FACE_BOUND('',#42343,.T.); #4100=FACE_BOUND('',#42344,.T.); #4101=FACE_BOUND('',#42345,.T.); #4102=FACE_BOUND('',#42346,.T.); #4103=FACE_BOUND('',#42347,.T.); #4104=FACE_BOUND('',#42348,.T.); #4105=FACE_BOUND('',#42349,.T.); #4106=FACE_BOUND('',#42350,.T.); #4107=FACE_BOUND('',#42351,.T.); #4108=FACE_BOUND('',#42352,.T.); #4109=FACE_BOUND('',#42353,.T.); #4110=FACE_BOUND('',#42354,.T.); #4111=FACE_BOUND('',#42355,.T.); #4112=FACE_BOUND('',#42356,.T.); #4113=FACE_BOUND('',#42357,.T.); #4114=FACE_BOUND('',#42358,.T.); #4115=FACE_BOUND('',#42359,.T.); #4116=FACE_BOUND('',#42360,.T.); #4117=FACE_BOUND('',#42361,.T.); #4118=FACE_BOUND('',#42362,.T.); #4119=FACE_BOUND('',#42363,.T.); #4120=FACE_BOUND('',#42364,.T.); #4121=FACE_BOUND('',#42365,.T.); #4122=FACE_BOUND('',#42366,.T.); #4123=FACE_BOUND('',#42367,.T.); #4124=FACE_BOUND('',#42368,.T.); #4125=FACE_BOUND('',#42369,.T.); #4126=FACE_BOUND('',#42370,.T.); #4127=FACE_BOUND('',#42371,.T.); #4128=FACE_BOUND('',#42372,.T.); #4129=FACE_BOUND('',#42373,.T.); #4130=FACE_BOUND('',#42374,.T.); #4131=FACE_BOUND('',#42375,.T.); #4132=FACE_BOUND('',#42376,.T.); #4133=FACE_BOUND('',#42377,.T.); #4134=FACE_BOUND('',#42378,.T.); #4135=FACE_BOUND('',#42379,.T.); #4136=FACE_BOUND('',#42380,.T.); #4137=FACE_BOUND('',#42381,.T.); #4138=FACE_BOUND('',#42382,.T.); #4139=FACE_BOUND('',#42383,.T.); #4140=FACE_BOUND('',#42384,.T.); #4141=FACE_BOUND('',#42385,.T.); #4142=FACE_BOUND('',#42386,.T.); #4143=FACE_BOUND('',#42387,.T.); #4144=FACE_BOUND('',#42388,.T.); #4145=FACE_BOUND('',#42389,.T.); #4146=FACE_BOUND('',#42390,.T.); #4147=FACE_BOUND('',#42391,.T.); #4148=FACE_BOUND('',#42392,.T.); #4149=FACE_BOUND('',#42393,.T.); #4150=FACE_BOUND('',#42394,.T.); #4151=FACE_BOUND('',#42395,.T.); #4152=FACE_BOUND('',#42396,.T.); #4153=FACE_BOUND('',#42397,.T.); #4154=FACE_BOUND('',#42398,.T.); #4155=FACE_BOUND('',#42399,.T.); #4156=FACE_BOUND('',#42400,.T.); #4157=FACE_BOUND('',#42401,.T.); #4158=FACE_BOUND('',#42402,.T.); #4159=FACE_BOUND('',#42403,.T.); #4160=FACE_BOUND('',#42404,.T.); #4161=FACE_BOUND('',#42405,.T.); #4162=FACE_BOUND('',#42406,.T.); #4163=FACE_BOUND('',#42407,.T.); #4164=FACE_BOUND('',#42408,.T.); #4165=FACE_BOUND('',#42409,.T.); #4166=FACE_BOUND('',#42410,.T.); #4167=FACE_BOUND('',#42411,.T.); #4168=FACE_BOUND('',#42412,.T.); #4169=FACE_BOUND('',#42413,.T.); #4170=FACE_BOUND('',#42414,.T.); #4171=FACE_BOUND('',#42415,.T.); #4172=FACE_BOUND('',#42416,.T.); #4173=FACE_BOUND('',#42417,.T.); #4174=FACE_BOUND('',#42418,.T.); #4175=FACE_BOUND('',#42419,.T.); #4176=FACE_BOUND('',#42420,.T.); #4177=FACE_BOUND('',#42421,.T.); #4178=FACE_BOUND('',#42422,.T.); #4179=FACE_BOUND('',#42423,.T.); #4180=FACE_BOUND('',#42424,.T.); #4181=FACE_BOUND('',#42425,.T.); #4182=FACE_BOUND('',#42426,.T.); #4183=FACE_BOUND('',#42427,.T.); #4184=FACE_BOUND('',#42428,.T.); #4185=FACE_BOUND('',#42429,.T.); #4186=FACE_BOUND('',#42430,.T.); #4187=FACE_BOUND('',#42431,.T.); #4188=FACE_BOUND('',#42432,.T.); #4189=FACE_BOUND('',#42433,.T.); #4190=FACE_BOUND('',#42434,.T.); #4191=FACE_BOUND('',#42435,.T.); #4192=FACE_BOUND('',#42436,.T.); #4193=FACE_BOUND('',#42437,.T.); #4194=FACE_BOUND('',#42438,.T.); #4195=FACE_BOUND('',#42439,.T.); #4196=FACE_BOUND('',#42440,.T.); #4197=FACE_BOUND('',#42441,.T.); #4198=FACE_BOUND('',#42442,.T.); #4199=FACE_BOUND('',#42443,.T.); #4200=FACE_BOUND('',#42444,.T.); #4201=FACE_BOUND('',#42445,.T.); #4202=FACE_BOUND('',#42446,.T.); #4203=FACE_BOUND('',#42447,.T.); #4204=FACE_BOUND('',#42448,.T.); #4205=FACE_BOUND('',#42449,.T.); #4206=FACE_BOUND('',#42450,.T.); #4207=FACE_BOUND('',#42451,.T.); #4208=FACE_BOUND('',#42452,.T.); #4209=FACE_BOUND('',#42453,.T.); #4210=FACE_BOUND('',#42454,.T.); #4211=FACE_BOUND('',#42455,.T.); #4212=FACE_BOUND('',#42456,.T.); #4213=FACE_BOUND('',#42457,.T.); #4214=FACE_BOUND('',#42458,.T.); #4215=FACE_BOUND('',#42459,.T.); #4216=FACE_BOUND('',#42460,.T.); #4217=FACE_BOUND('',#42461,.T.); #4218=FACE_BOUND('',#42462,.T.); #4219=FACE_BOUND('',#42463,.T.); #4220=FACE_BOUND('',#42464,.T.); #4221=FACE_BOUND('',#42465,.T.); #4222=FACE_BOUND('',#42466,.T.); #4223=FACE_BOUND('',#42467,.T.); #4224=FACE_BOUND('',#42468,.T.); #4225=FACE_BOUND('',#42469,.T.); #4226=FACE_BOUND('',#42470,.T.); #4227=FACE_BOUND('',#42471,.T.); #4228=FACE_BOUND('',#42472,.T.); #4229=FACE_BOUND('',#42473,.T.); #4230=FACE_BOUND('',#42474,.T.); #4231=FACE_BOUND('',#42475,.T.); #4232=FACE_BOUND('',#42476,.T.); #4233=FACE_BOUND('',#42477,.T.); #4234=FACE_BOUND('',#42478,.T.); #4235=FACE_BOUND('',#42479,.T.); #4236=FACE_BOUND('',#42480,.T.); #4237=FACE_BOUND('',#42481,.T.); #4238=FACE_BOUND('',#42482,.T.); #4239=FACE_BOUND('',#42483,.T.); #4240=FACE_BOUND('',#42484,.T.); #4241=FACE_BOUND('',#42485,.T.); #4242=FACE_BOUND('',#42486,.T.); #4243=FACE_BOUND('',#42487,.T.); #4244=FACE_BOUND('',#42488,.T.); #4245=FACE_BOUND('',#42489,.T.); #4246=FACE_BOUND('',#42490,.T.); #4247=FACE_BOUND('',#42491,.T.); #4248=FACE_BOUND('',#42492,.T.); #4249=FACE_BOUND('',#42493,.T.); #4250=FACE_BOUND('',#42494,.T.); #4251=FACE_BOUND('',#42495,.T.); #4252=FACE_BOUND('',#42496,.T.); #4253=FACE_BOUND('',#42497,.T.); #4254=FACE_BOUND('',#42498,.T.); #4255=FACE_BOUND('',#42499,.T.); #4256=FACE_BOUND('',#42500,.T.); #4257=FACE_BOUND('',#42501,.T.); #4258=FACE_BOUND('',#42502,.T.); #4259=FACE_BOUND('',#42503,.T.); #4260=FACE_BOUND('',#42504,.T.); #4261=FACE_BOUND('',#42505,.T.); #4262=FACE_BOUND('',#42506,.T.); #4263=FACE_BOUND('',#42507,.T.); #4264=FACE_BOUND('',#42508,.T.); #4265=FACE_BOUND('',#42509,.T.); #4266=FACE_BOUND('',#42510,.T.); #4267=FACE_BOUND('',#42511,.T.); #4268=FACE_BOUND('',#42512,.T.); #4269=FACE_BOUND('',#42513,.T.); #4270=FACE_BOUND('',#42514,.T.); #4271=FACE_BOUND('',#42515,.T.); #4272=FACE_BOUND('',#42516,.T.); #4273=FACE_BOUND('',#42517,.T.); #4274=FACE_BOUND('',#42518,.T.); #4275=FACE_BOUND('',#42519,.T.); #4276=FACE_BOUND('',#42520,.T.); #4277=FACE_BOUND('',#42521,.T.); #4278=FACE_BOUND('',#42522,.T.); #4279=FACE_BOUND('',#42523,.T.); #4280=FACE_BOUND('',#42524,.T.); #4281=FACE_BOUND('',#42525,.T.); #4282=FACE_BOUND('',#42526,.T.); #4283=FACE_BOUND('',#42527,.T.); #4284=FACE_BOUND('',#42528,.T.); #4285=FACE_BOUND('',#42529,.T.); #4286=FACE_BOUND('',#42530,.T.); #4287=FACE_BOUND('',#42531,.T.); #4288=FACE_BOUND('',#42532,.T.); #4289=FACE_BOUND('',#42533,.T.); #4290=FACE_BOUND('',#42534,.T.); #4291=FACE_BOUND('',#42535,.T.); #4292=FACE_BOUND('',#42536,.T.); #4293=FACE_BOUND('',#42537,.T.); #4294=FACE_BOUND('',#42538,.T.); #4295=FACE_BOUND('',#42539,.T.); #4296=FACE_BOUND('',#42543,.T.); #4297=FACE_BOUND('',#42545,.T.); #4298=FACE_BOUND('',#42549,.T.); #4299=FACE_BOUND('',#42551,.T.); #4300=FACE_BOUND('',#42555,.T.); #4301=FACE_BOUND('',#42557,.T.); #4302=FACE_BOUND('',#42561,.T.); #4303=FACE_BOUND('',#42563,.T.); #4304=FACE_BOUND('',#42567,.T.); #4305=FACE_BOUND('',#42569,.T.); #4306=FACE_BOUND('',#42573,.T.); #4307=FACE_BOUND('',#42575,.T.); #4308=FACE_BOUND('',#42579,.T.); #4309=FACE_BOUND('',#42581,.T.); #4310=FACE_BOUND('',#42585,.T.); #4311=FACE_BOUND('',#42587,.T.); #4312=FACE_BOUND('',#42591,.T.); #4313=FACE_BOUND('',#42593,.T.); #4314=FACE_BOUND('',#42597,.T.); #4315=FACE_BOUND('',#42599,.T.); #4316=FACE_BOUND('',#42603,.T.); #4317=FACE_BOUND('',#42605,.T.); #4318=FACE_BOUND('',#42609,.T.); #4319=FACE_BOUND('',#42611,.T.); #4320=FACE_BOUND('',#42615,.T.); #4321=FACE_BOUND('',#42617,.T.); #4322=FACE_BOUND('',#42621,.T.); #4323=FACE_BOUND('',#42623,.T.); #4324=FACE_BOUND('',#42627,.T.); #4325=FACE_BOUND('',#42629,.T.); #4326=FACE_BOUND('',#42633,.T.); #4327=FACE_BOUND('',#42635,.T.); #4328=FACE_BOUND('',#42639,.T.); #4329=FACE_BOUND('',#42641,.T.); #4330=FACE_BOUND('',#42645,.T.); #4331=FACE_BOUND('',#42647,.T.); #4332=FACE_BOUND('',#42651,.T.); #4333=FACE_BOUND('',#42653,.T.); #4334=FACE_BOUND('',#42657,.T.); #4335=FACE_BOUND('',#42659,.T.); #4336=FACE_BOUND('',#42663,.T.); #4337=FACE_BOUND('',#42665,.T.); #4338=FACE_BOUND('',#42669,.T.); #4339=FACE_BOUND('',#42671,.T.); #4340=FACE_BOUND('',#42675,.T.); #4341=FACE_BOUND('',#42677,.T.); #4342=FACE_BOUND('',#42681,.T.); #4343=FACE_BOUND('',#42683,.T.); #4344=FACE_BOUND('',#42687,.T.); #4345=FACE_BOUND('',#42689,.T.); #4346=FACE_BOUND('',#42693,.T.); #4347=FACE_BOUND('',#42695,.T.); #4348=FACE_BOUND('',#42699,.T.); #4349=FACE_BOUND('',#42701,.T.); #4350=FACE_BOUND('',#42705,.T.); #4351=FACE_BOUND('',#42707,.T.); #4352=FACE_BOUND('',#42711,.T.); #4353=FACE_BOUND('',#42713,.T.); #4354=FACE_BOUND('',#42717,.T.); #4355=FACE_BOUND('',#42719,.T.); #4356=FACE_BOUND('',#42723,.T.); #4357=FACE_BOUND('',#42725,.T.); #4358=FACE_BOUND('',#42729,.T.); #4359=FACE_BOUND('',#42731,.T.); #4360=FACE_BOUND('',#42735,.T.); #4361=FACE_BOUND('',#42737,.T.); #4362=FACE_BOUND('',#42741,.T.); #4363=FACE_BOUND('',#42743,.T.); #4364=FACE_BOUND('',#42747,.T.); #4365=FACE_BOUND('',#42749,.T.); #4366=FACE_BOUND('',#42753,.T.); #4367=FACE_BOUND('',#42755,.T.); #4368=FACE_BOUND('',#42759,.T.); #4369=FACE_BOUND('',#42761,.T.); #4370=FACE_BOUND('',#42765,.T.); #4371=FACE_BOUND('',#42767,.T.); #4372=FACE_BOUND('',#42771,.T.); #4373=FACE_BOUND('',#42773,.T.); #4374=FACE_BOUND('',#42777,.T.); #4375=FACE_BOUND('',#42779,.T.); #4376=FACE_BOUND('',#42783,.T.); #4377=FACE_BOUND('',#42785,.T.); #4378=FACE_BOUND('',#42789,.T.); #4379=FACE_BOUND('',#42791,.T.); #4380=FACE_BOUND('',#42795,.T.); #4381=FACE_BOUND('',#42797,.T.); #4382=FACE_BOUND('',#42801,.T.); #4383=FACE_BOUND('',#42803,.T.); #4384=FACE_BOUND('',#42807,.T.); #4385=FACE_BOUND('',#42809,.T.); #4386=FACE_BOUND('',#42813,.T.); #4387=FACE_BOUND('',#42815,.T.); #4388=FACE_BOUND('',#42819,.T.); #4389=FACE_BOUND('',#42821,.T.); #4390=FACE_BOUND('',#42825,.T.); #4391=FACE_BOUND('',#42827,.T.); #4392=FACE_BOUND('',#42831,.T.); #4393=FACE_BOUND('',#42833,.T.); #4394=FACE_BOUND('',#42837,.T.); #4395=FACE_BOUND('',#42839,.T.); #4396=FACE_BOUND('',#42843,.T.); #4397=FACE_BOUND('',#42845,.T.); #4398=FACE_BOUND('',#42849,.T.); #4399=FACE_BOUND('',#42851,.T.); #4400=FACE_BOUND('',#42855,.T.); #4401=FACE_BOUND('',#42857,.T.); #4402=FACE_BOUND('',#42861,.T.); #4403=FACE_BOUND('',#42863,.T.); #4404=FACE_BOUND('',#42867,.T.); #4405=FACE_BOUND('',#42869,.T.); #4406=FACE_BOUND('',#42873,.T.); #4407=FACE_BOUND('',#42875,.T.); #4408=FACE_BOUND('',#42879,.T.); #4409=FACE_BOUND('',#42881,.T.); #4410=FACE_BOUND('',#42885,.T.); #4411=FACE_BOUND('',#42887,.T.); #4412=FACE_BOUND('',#42891,.T.); #4413=FACE_BOUND('',#42893,.T.); #4414=FACE_BOUND('',#42897,.T.); #4415=FACE_BOUND('',#42899,.T.); #4416=FACE_BOUND('',#42903,.T.); #4417=FACE_BOUND('',#42905,.T.); #4418=FACE_BOUND('',#42909,.T.); #4419=FACE_BOUND('',#42911,.T.); #4420=FACE_BOUND('',#42915,.T.); #4421=FACE_BOUND('',#42917,.T.); #4422=FACE_BOUND('',#42921,.T.); #4423=FACE_BOUND('',#42923,.T.); #4424=FACE_BOUND('',#42927,.T.); #4425=FACE_BOUND('',#42929,.T.); #4426=FACE_BOUND('',#42933,.T.); #4427=FACE_BOUND('',#42935,.T.); #4428=FACE_BOUND('',#42939,.T.); #4429=FACE_BOUND('',#42941,.T.); #4430=FACE_BOUND('',#42945,.T.); #4431=FACE_BOUND('',#42947,.T.); #4432=FACE_BOUND('',#42951,.T.); #4433=FACE_BOUND('',#42953,.T.); #4434=FACE_BOUND('',#42957,.T.); #4435=FACE_BOUND('',#42959,.T.); #4436=FACE_BOUND('',#42963,.T.); #4437=FACE_BOUND('',#42965,.T.); #4438=FACE_BOUND('',#42969,.T.); #4439=FACE_BOUND('',#42971,.T.); #4440=FACE_BOUND('',#42975,.T.); #4441=FACE_BOUND('',#42977,.T.); #4442=FACE_BOUND('',#42981,.T.); #4443=FACE_BOUND('',#42983,.T.); #4444=FACE_BOUND('',#42987,.T.); #4445=FACE_BOUND('',#42989,.T.); #4446=FACE_BOUND('',#42993,.T.); #4447=FACE_BOUND('',#42995,.T.); #4448=FACE_BOUND('',#42999,.T.); #4449=FACE_BOUND('',#43001,.T.); #4450=FACE_BOUND('',#43005,.T.); #4451=FACE_BOUND('',#43007,.T.); #4452=FACE_BOUND('',#43011,.T.); #4453=FACE_BOUND('',#43013,.T.); #4454=FACE_BOUND('',#43017,.T.); #4455=FACE_BOUND('',#43019,.T.); #4456=FACE_BOUND('',#43023,.T.); #4457=FACE_BOUND('',#43025,.T.); #4458=FACE_BOUND('',#43029,.T.); #4459=FACE_BOUND('',#43031,.T.); #4460=FACE_BOUND('',#43035,.T.); #4461=FACE_BOUND('',#43037,.T.); #4462=FACE_BOUND('',#43041,.T.); #4463=FACE_BOUND('',#43043,.T.); #4464=FACE_BOUND('',#43047,.T.); #4465=FACE_BOUND('',#43049,.T.); #4466=FACE_BOUND('',#43053,.T.); #4467=FACE_BOUND('',#43055,.T.); #4468=FACE_BOUND('',#43059,.T.); #4469=FACE_BOUND('',#43061,.T.); #4470=FACE_BOUND('',#43065,.T.); #4471=FACE_BOUND('',#43067,.T.); #4472=FACE_BOUND('',#43071,.T.); #4473=FACE_BOUND('',#43073,.T.); #4474=FACE_BOUND('',#43077,.T.); #4475=FACE_BOUND('',#43079,.T.); #4476=FACE_BOUND('',#43083,.T.); #4477=FACE_BOUND('',#43085,.T.); #4478=FACE_BOUND('',#43089,.T.); #4479=FACE_BOUND('',#43091,.T.); #4480=FACE_BOUND('',#43095,.T.); #4481=FACE_BOUND('',#43097,.T.); #4482=FACE_BOUND('',#43101,.T.); #4483=FACE_BOUND('',#43103,.T.); #4484=FACE_BOUND('',#43107,.T.); #4485=FACE_BOUND('',#43109,.T.); #4486=FACE_BOUND('',#43113,.T.); #4487=FACE_BOUND('',#43115,.T.); #4488=FACE_BOUND('',#43119,.T.); #4489=FACE_BOUND('',#43121,.T.); #4490=FACE_BOUND('',#43125,.T.); #4491=FACE_BOUND('',#43127,.T.); #4492=FACE_BOUND('',#43131,.T.); #4493=FACE_BOUND('',#43133,.T.); #4494=FACE_BOUND('',#43137,.T.); #4495=FACE_BOUND('',#43139,.T.); #4496=FACE_BOUND('',#43143,.T.); #4497=FACE_BOUND('',#43145,.T.); #4498=FACE_BOUND('',#43149,.T.); #4499=FACE_BOUND('',#43151,.T.); #4500=FACE_BOUND('',#43155,.T.); #4501=FACE_BOUND('',#43157,.T.); #4502=FACE_BOUND('',#43161,.T.); #4503=FACE_BOUND('',#43163,.T.); #4504=FACE_BOUND('',#43167,.T.); #4505=FACE_BOUND('',#43169,.T.); #4506=FACE_BOUND('',#43173,.T.); #4507=FACE_BOUND('',#43175,.T.); #4508=FACE_BOUND('',#43179,.T.); #4509=FACE_BOUND('',#43181,.T.); #4510=FACE_BOUND('',#43185,.T.); #4511=FACE_BOUND('',#43187,.T.); #4512=FACE_BOUND('',#43191,.T.); #4513=FACE_BOUND('',#43193,.T.); #4514=FACE_BOUND('',#43197,.T.); #4515=FACE_BOUND('',#43199,.T.); #4516=FACE_BOUND('',#43203,.T.); #4517=FACE_BOUND('',#43205,.T.); #4518=FACE_BOUND('',#43209,.T.); #4519=FACE_BOUND('',#43211,.T.); #4520=FACE_BOUND('',#43215,.T.); #4521=FACE_BOUND('',#43217,.T.); #4522=FACE_BOUND('',#43221,.T.); #4523=FACE_BOUND('',#43223,.T.); #4524=FACE_BOUND('',#43227,.T.); #4525=FACE_BOUND('',#43229,.T.); #4526=FACE_BOUND('',#43233,.T.); #4527=FACE_BOUND('',#43235,.T.); #4528=FACE_BOUND('',#43239,.T.); #4529=FACE_BOUND('',#43241,.T.); #4530=FACE_BOUND('',#43245,.T.); #4531=FACE_BOUND('',#43247,.T.); #4532=FACE_BOUND('',#43251,.T.); #4533=FACE_BOUND('',#43253,.T.); #4534=FACE_BOUND('',#43257,.T.); #4535=FACE_BOUND('',#43259,.T.); #4536=FACE_BOUND('',#43263,.T.); #4537=FACE_BOUND('',#43265,.T.); #4538=FACE_BOUND('',#43269,.T.); #4539=FACE_BOUND('',#43271,.T.); #4540=FACE_BOUND('',#43275,.T.); #4541=FACE_BOUND('',#43277,.T.); #4542=FACE_BOUND('',#43281,.T.); #4543=FACE_BOUND('',#43283,.T.); #4544=FACE_BOUND('',#43287,.T.); #4545=FACE_BOUND('',#43289,.T.); #4546=FACE_BOUND('',#43293,.T.); #4547=FACE_BOUND('',#43295,.T.); #4548=FACE_BOUND('',#43299,.T.); #4549=FACE_BOUND('',#43301,.T.); #4550=FACE_BOUND('',#43305,.T.); #4551=FACE_BOUND('',#43307,.T.); #4552=FACE_BOUND('',#43311,.T.); #4553=FACE_BOUND('',#43313,.T.); #4554=FACE_BOUND('',#43317,.T.); #4555=FACE_BOUND('',#43319,.T.); #4556=FACE_BOUND('',#43323,.T.); #4557=FACE_BOUND('',#43325,.T.); #4558=FACE_BOUND('',#43329,.T.); #4559=FACE_BOUND('',#43331,.T.); #4560=FACE_BOUND('',#43341,.T.); #4561=FACE_BOUND('',#43343,.T.); #4562=FACE_BOUND('',#43347,.T.); #4563=FACE_BOUND('',#43349,.T.); #4564=FACE_BOUND('',#43359,.T.); #4565=FACE_BOUND('',#43361,.T.); #4566=FACE_BOUND('',#43365,.T.); #4567=FACE_BOUND('',#43367,.T.); #4568=FACE_BOUND('',#43377,.T.); #4569=FACE_BOUND('',#43379,.T.); #4570=FACE_BOUND('',#43383,.T.); #4571=FACE_BOUND('',#43385,.T.); #4572=FACE_BOUND('',#43389,.T.); #4573=FACE_BOUND('',#43391,.T.); #4574=FACE_BOUND('',#43395,.T.); #4575=FACE_BOUND('',#43397,.T.); #4576=FACE_BOUND('',#43401,.T.); #4577=FACE_BOUND('',#43403,.T.); #4578=FACE_BOUND('',#43407,.T.); #4579=FACE_BOUND('',#43409,.T.); #4580=FACE_BOUND('',#43413,.T.); #4581=FACE_BOUND('',#43415,.T.); #4582=FACE_BOUND('',#43419,.T.); #4583=FACE_BOUND('',#43421,.T.); #4584=FACE_BOUND('',#43425,.T.); #4585=FACE_BOUND('',#43427,.T.); #4586=FACE_BOUND('',#43431,.T.); #4587=FACE_BOUND('',#43433,.T.); #4588=FACE_BOUND('',#43437,.T.); #4589=FACE_BOUND('',#43439,.T.); #4590=FACE_BOUND('',#43449,.T.); #4591=FACE_BOUND('',#43451,.T.); #4592=FACE_BOUND('',#43455,.T.); #4593=FACE_BOUND('',#43457,.T.); #4594=FACE_BOUND('',#43461,.T.); #4595=FACE_BOUND('',#43463,.T.); #4596=FACE_BOUND('',#43467,.T.); #4597=FACE_BOUND('',#43469,.T.); #4598=FACE_BOUND('',#43479,.T.); #4599=FACE_BOUND('',#43481,.T.); #4600=FACE_BOUND('',#43485,.T.); #4601=FACE_BOUND('',#43487,.T.); #4602=FACE_BOUND('',#43497,.T.); #4603=FACE_BOUND('',#43499,.T.); #4604=FACE_BOUND('',#43503,.T.); #4605=FACE_BOUND('',#43505,.T.); #4606=FACE_BOUND('',#43509,.T.); #4607=FACE_BOUND('',#43511,.T.); #4608=FACE_BOUND('',#43515,.T.); #4609=FACE_BOUND('',#43517,.T.); #4610=FACE_BOUND('',#43521,.T.); #4611=FACE_BOUND('',#43523,.T.); #4612=FACE_BOUND('',#43527,.T.); #4613=FACE_BOUND('',#43529,.T.); #4614=FACE_BOUND('',#43533,.T.); #4615=FACE_BOUND('',#43535,.T.); #4616=FACE_BOUND('',#43539,.T.); #4617=FACE_BOUND('',#43541,.T.); #4618=FACE_BOUND('',#43545,.T.); #4619=FACE_BOUND('',#43547,.T.); #4620=FACE_BOUND('',#43551,.T.); #4621=FACE_BOUND('',#43553,.T.); #4622=FACE_BOUND('',#43557,.T.); #4623=FACE_BOUND('',#43559,.T.); #4624=FACE_BOUND('',#43563,.T.); #4625=FACE_BOUND('',#43565,.T.); #4626=FACE_BOUND('',#43569,.T.); #4627=FACE_BOUND('',#43571,.T.); #4628=FACE_BOUND('',#43575,.T.); #4629=FACE_BOUND('',#43577,.T.); #4630=FACE_BOUND('',#43581,.T.); #4631=FACE_BOUND('',#43583,.T.); #4632=FACE_BOUND('',#43587,.T.); #4633=FACE_BOUND('',#43589,.T.); #4634=FACE_BOUND('',#43593,.T.); #4635=FACE_BOUND('',#43595,.T.); #4636=FACE_BOUND('',#43599,.T.); #4637=FACE_BOUND('',#43601,.T.); #4638=FACE_BOUND('',#43605,.T.); #4639=FACE_BOUND('',#43607,.T.); #4640=FACE_BOUND('',#43611,.T.); #4641=FACE_BOUND('',#43613,.T.); #4642=FACE_BOUND('',#43617,.T.); #4643=FACE_BOUND('',#43619,.T.); #4644=FACE_BOUND('',#43623,.T.); #4645=FACE_BOUND('',#43625,.T.); #4646=FACE_BOUND('',#43629,.T.); #4647=FACE_BOUND('',#43631,.T.); #4648=FACE_BOUND('',#43635,.T.); #4649=FACE_BOUND('',#43637,.T.); #4650=FACE_BOUND('',#43641,.T.); #4651=FACE_BOUND('',#43643,.T.); #4652=FACE_BOUND('',#43647,.T.); #4653=FACE_BOUND('',#43649,.T.); #4654=FACE_BOUND('',#43653,.T.); #4655=FACE_BOUND('',#43655,.T.); #4656=FACE_BOUND('',#43659,.T.); #4657=FACE_BOUND('',#43661,.T.); #4658=FACE_BOUND('',#43665,.T.); #4659=FACE_BOUND('',#43667,.T.); #4660=FACE_BOUND('',#43671,.T.); #4661=FACE_BOUND('',#43673,.T.); #4662=FACE_BOUND('',#43677,.T.); #4663=FACE_BOUND('',#43679,.T.); #4664=FACE_BOUND('',#43683,.T.); #4665=FACE_BOUND('',#43685,.T.); #4666=FACE_BOUND('',#43689,.T.); #4667=FACE_BOUND('',#43691,.T.); #4668=FACE_BOUND('',#43695,.T.); #4669=FACE_BOUND('',#43697,.T.); #4670=FACE_BOUND('',#43701,.T.); #4671=FACE_BOUND('',#43703,.T.); #4672=FACE_BOUND('',#43707,.T.); #4673=FACE_BOUND('',#43709,.T.); #4674=FACE_BOUND('',#43713,.T.); #4675=FACE_BOUND('',#43715,.T.); #4676=FACE_BOUND('',#43719,.T.); #4677=FACE_BOUND('',#43721,.T.); #4678=FACE_BOUND('',#43725,.T.); #4679=FACE_BOUND('',#43727,.T.); #4680=FACE_BOUND('',#43731,.T.); #4681=FACE_BOUND('',#43733,.T.); #4682=FACE_BOUND('',#43737,.T.); #4683=FACE_BOUND('',#43739,.T.); #4684=FACE_BOUND('',#43743,.T.); #4685=FACE_BOUND('',#43745,.T.); #4686=FACE_BOUND('',#43749,.T.); #4687=FACE_BOUND('',#43751,.T.); #4688=FACE_BOUND('',#43755,.T.); #4689=FACE_BOUND('',#43757,.T.); #4690=FACE_BOUND('',#43761,.T.); #4691=FACE_BOUND('',#43763,.T.); #4692=FACE_BOUND('',#43767,.T.); #4693=FACE_BOUND('',#43769,.T.); #4694=FACE_BOUND('',#43773,.T.); #4695=FACE_BOUND('',#43775,.T.); #4696=FACE_BOUND('',#43779,.T.); #4697=FACE_BOUND('',#43781,.T.); #4698=FACE_BOUND('',#43785,.T.); #4699=FACE_BOUND('',#43787,.T.); #4700=FACE_BOUND('',#43791,.T.); #4701=FACE_BOUND('',#43793,.T.); #4702=FACE_BOUND('',#43797,.T.); #4703=FACE_BOUND('',#43799,.T.); #4704=FACE_BOUND('',#43803,.T.); #4705=FACE_BOUND('',#43805,.T.); #4706=FACE_BOUND('',#43809,.T.); #4707=FACE_BOUND('',#43811,.T.); #4708=FACE_BOUND('',#43815,.T.); #4709=FACE_BOUND('',#43817,.T.); #4710=FACE_BOUND('',#43821,.T.); #4711=FACE_BOUND('',#43823,.T.); #4712=FACE_BOUND('',#43827,.T.); #4713=FACE_BOUND('',#43829,.T.); #4714=FACE_BOUND('',#43833,.T.); #4715=FACE_BOUND('',#43835,.T.); #4716=FACE_BOUND('',#43839,.T.); #4717=FACE_BOUND('',#43841,.T.); #4718=FACE_BOUND('',#43845,.T.); #4719=FACE_BOUND('',#43847,.T.); #4720=FACE_BOUND('',#43851,.T.); #4721=FACE_BOUND('',#43853,.T.); #4722=FACE_BOUND('',#43857,.T.); #4723=FACE_BOUND('',#43859,.T.); #4724=FACE_BOUND('',#43863,.T.); #4725=FACE_BOUND('',#43865,.T.); #4726=FACE_BOUND('',#43869,.T.); #4727=FACE_BOUND('',#43871,.T.); #4728=FACE_BOUND('',#43875,.T.); #4729=FACE_BOUND('',#43877,.T.); #4730=FACE_BOUND('',#43881,.T.); #4731=FACE_BOUND('',#43883,.T.); #4732=FACE_BOUND('',#43887,.T.); #4733=FACE_BOUND('',#43889,.T.); #4734=FACE_BOUND('',#43893,.T.); #4735=FACE_BOUND('',#43895,.T.); #4736=FACE_BOUND('',#43899,.T.); #4737=FACE_BOUND('',#43901,.T.); #4738=FACE_BOUND('',#43905,.T.); #4739=FACE_BOUND('',#43907,.T.); #4740=FACE_BOUND('',#43911,.T.); #4741=FACE_BOUND('',#43913,.T.); #4742=FACE_BOUND('',#43917,.T.); #4743=FACE_BOUND('',#43919,.T.); #4744=FACE_BOUND('',#43923,.T.); #4745=FACE_BOUND('',#43925,.T.); #4746=FACE_BOUND('',#43929,.T.); #4747=FACE_BOUND('',#43931,.T.); #4748=FACE_BOUND('',#43935,.T.); #4749=FACE_BOUND('',#43937,.T.); #4750=FACE_BOUND('',#43941,.T.); #4751=FACE_BOUND('',#43943,.T.); #4752=FACE_BOUND('',#43947,.T.); #4753=FACE_BOUND('',#43949,.T.); #4754=FACE_BOUND('',#43953,.T.); #4755=FACE_BOUND('',#43955,.T.); #4756=FACE_BOUND('',#43959,.T.); #4757=FACE_BOUND('',#43961,.T.); #4758=FACE_BOUND('',#43965,.T.); #4759=FACE_BOUND('',#43967,.T.); #4760=FACE_BOUND('',#43971,.T.); #4761=FACE_BOUND('',#43973,.T.); #4762=FACE_BOUND('',#43977,.T.); #4763=FACE_BOUND('',#43979,.T.); #4764=FACE_BOUND('',#43983,.T.); #4765=FACE_BOUND('',#43985,.T.); #4766=FACE_BOUND('',#43989,.T.); #4767=FACE_BOUND('',#43991,.T.); #4768=FACE_BOUND('',#43995,.T.); #4769=FACE_BOUND('',#43997,.T.); #4770=FACE_BOUND('',#44001,.T.); #4771=FACE_BOUND('',#44003,.T.); #4772=FACE_BOUND('',#44007,.T.); #4773=FACE_BOUND('',#44009,.T.); #4774=FACE_BOUND('',#44013,.T.); #4775=FACE_BOUND('',#44015,.T.); #4776=FACE_BOUND('',#44019,.T.); #4777=FACE_BOUND('',#44021,.T.); #4778=FACE_BOUND('',#44025,.T.); #4779=FACE_BOUND('',#44027,.T.); #4780=FACE_BOUND('',#44031,.T.); #4781=FACE_BOUND('',#44033,.T.); #4782=FACE_BOUND('',#44037,.T.); #4783=FACE_BOUND('',#44039,.T.); #4784=FACE_BOUND('',#44043,.T.); #4785=FACE_BOUND('',#44045,.T.); #4786=FACE_BOUND('',#44049,.T.); #4787=FACE_BOUND('',#44051,.T.); #4788=FACE_BOUND('',#44055,.T.); #4789=FACE_BOUND('',#44057,.T.); #4790=FACE_BOUND('',#44061,.T.); #4791=FACE_BOUND('',#44063,.T.); #4792=FACE_BOUND('',#44067,.T.); #4793=FACE_BOUND('',#44069,.T.); #4794=FACE_BOUND('',#44073,.T.); #4795=FACE_BOUND('',#44075,.T.); #4796=FACE_BOUND('',#44079,.T.); #4797=FACE_BOUND('',#44081,.T.); #4798=FACE_BOUND('',#44085,.T.); #4799=FACE_BOUND('',#44087,.T.); #4800=FACE_BOUND('',#44091,.T.); #4801=FACE_BOUND('',#44093,.T.); #4802=FACE_BOUND('',#44097,.T.); #4803=FACE_BOUND('',#44099,.T.); #4804=FACE_BOUND('',#44103,.T.); #4805=FACE_BOUND('',#44105,.T.); #4806=FACE_BOUND('',#44109,.T.); #4807=FACE_BOUND('',#44111,.T.); #4808=FACE_BOUND('',#44115,.T.); #4809=FACE_BOUND('',#44117,.T.); #4810=FACE_BOUND('',#44121,.T.); #4811=FACE_BOUND('',#44123,.T.); #4812=FACE_BOUND('',#44127,.T.); #4813=FACE_BOUND('',#44129,.T.); #4814=FACE_BOUND('',#44133,.T.); #4815=FACE_BOUND('',#44135,.T.); #4816=FACE_BOUND('',#44139,.T.); #4817=FACE_BOUND('',#44141,.T.); #4818=FACE_BOUND('',#44145,.T.); #4819=FACE_BOUND('',#44147,.T.); #4820=FACE_BOUND('',#44151,.T.); #4821=FACE_BOUND('',#44153,.T.); #4822=FACE_BOUND('',#44157,.T.); #4823=FACE_BOUND('',#44159,.T.); #4824=FACE_BOUND('',#44163,.T.); #4825=FACE_BOUND('',#44165,.T.); #4826=FACE_BOUND('',#44169,.T.); #4827=FACE_BOUND('',#44171,.T.); #4828=FACE_BOUND('',#44175,.T.); #4829=FACE_BOUND('',#44177,.T.); #4830=FACE_BOUND('',#44181,.T.); #4831=FACE_BOUND('',#44183,.T.); #4832=FACE_BOUND('',#44187,.T.); #4833=FACE_BOUND('',#44189,.T.); #4834=FACE_BOUND('',#44193,.T.); #4835=FACE_BOUND('',#44195,.T.); #4836=FACE_BOUND('',#44199,.T.); #4837=FACE_BOUND('',#44201,.T.); #4838=FACE_BOUND('',#44205,.T.); #4839=FACE_BOUND('',#44207,.T.); #4840=FACE_BOUND('',#44211,.T.); #4841=FACE_BOUND('',#44213,.T.); #4842=FACE_BOUND('',#44217,.T.); #4843=FACE_BOUND('',#44219,.T.); #4844=FACE_BOUND('',#44223,.T.); #4845=FACE_BOUND('',#44225,.T.); #4846=FACE_BOUND('',#44229,.T.); #4847=FACE_BOUND('',#44231,.T.); #4848=FACE_BOUND('',#44235,.T.); #4849=FACE_BOUND('',#44237,.T.); #4850=FACE_BOUND('',#44241,.T.); #4851=FACE_BOUND('',#44243,.T.); #4852=FACE_BOUND('',#44247,.T.); #4853=FACE_BOUND('',#44249,.T.); #4854=FACE_BOUND('',#44253,.T.); #4855=FACE_BOUND('',#44255,.T.); #4856=FACE_BOUND('',#44259,.T.); #4857=FACE_BOUND('',#44261,.T.); #4858=FACE_BOUND('',#44265,.T.); #4859=FACE_BOUND('',#44267,.T.); #4860=FACE_BOUND('',#44271,.T.); #4861=FACE_BOUND('',#44273,.T.); #4862=FACE_BOUND('',#44277,.T.); #4863=FACE_BOUND('',#44279,.T.); #4864=FACE_BOUND('',#44283,.T.); #4865=FACE_BOUND('',#44285,.T.); #4866=FACE_BOUND('',#44289,.T.); #4867=FACE_BOUND('',#44291,.T.); #4868=FACE_BOUND('',#44295,.T.); #4869=FACE_BOUND('',#44297,.T.); #4870=FACE_BOUND('',#44301,.T.); #4871=FACE_BOUND('',#44303,.T.); #4872=FACE_BOUND('',#44307,.T.); #4873=FACE_BOUND('',#44309,.T.); #4874=FACE_BOUND('',#44313,.T.); #4875=FACE_BOUND('',#44315,.T.); #4876=FACE_BOUND('',#44319,.T.); #4877=FACE_BOUND('',#44321,.T.); #4878=FACE_BOUND('',#44325,.T.); #4879=FACE_BOUND('',#44327,.T.); #4880=FACE_BOUND('',#44331,.T.); #4881=FACE_BOUND('',#44333,.T.); #4882=FACE_BOUND('',#44337,.T.); #4883=FACE_BOUND('',#44339,.T.); #4884=FACE_BOUND('',#44343,.T.); #4885=FACE_BOUND('',#44345,.T.); #4886=FACE_BOUND('',#44349,.T.); #4887=FACE_BOUND('',#44351,.T.); #4888=FACE_BOUND('',#44355,.T.); #4889=FACE_BOUND('',#44357,.T.); #4890=FACE_BOUND('',#44361,.T.); #4891=FACE_BOUND('',#44363,.T.); #4892=FACE_BOUND('',#44367,.T.); #4893=FACE_BOUND('',#44369,.T.); #4894=FACE_BOUND('',#44373,.T.); #4895=FACE_BOUND('',#44375,.T.); #4896=FACE_BOUND('',#44379,.T.); #4897=FACE_BOUND('',#44381,.T.); #4898=FACE_BOUND('',#44385,.T.); #4899=FACE_BOUND('',#44387,.T.); #4900=FACE_BOUND('',#44391,.T.); #4901=FACE_BOUND('',#44393,.T.); #4902=FACE_BOUND('',#44397,.T.); #4903=FACE_BOUND('',#44399,.T.); #4904=FACE_BOUND('',#44403,.T.); #4905=FACE_BOUND('',#44405,.T.); #4906=FACE_BOUND('',#44409,.T.); #4907=FACE_BOUND('',#44411,.T.); #4908=FACE_BOUND('',#44415,.T.); #4909=FACE_BOUND('',#44417,.T.); #4910=FACE_BOUND('',#44421,.T.); #4911=FACE_BOUND('',#44423,.T.); #4912=FACE_BOUND('',#44427,.T.); #4913=FACE_BOUND('',#44429,.T.); #4914=FACE_BOUND('',#44433,.T.); #4915=FACE_BOUND('',#44435,.T.); #4916=FACE_BOUND('',#44439,.T.); #4917=FACE_BOUND('',#44441,.T.); #4918=FACE_BOUND('',#44445,.T.); #4919=FACE_BOUND('',#44447,.T.); #4920=FACE_BOUND('',#44451,.T.); #4921=FACE_BOUND('',#44453,.T.); #4922=FACE_BOUND('',#44457,.T.); #4923=FACE_BOUND('',#44459,.T.); #4924=FACE_BOUND('',#44463,.T.); #4925=FACE_BOUND('',#44465,.T.); #4926=FACE_BOUND('',#44471,.T.); #4927=FACE_BOUND('',#44473,.T.); #4928=FACE_BOUND('',#44539,.T.); #4929=FACE_BOUND('',#44541,.T.); #4930=FACE_BOUND('',#44553,.T.); #4931=FACE_BOUND('',#44554,.T.); #4932=FACE_BOUND('',#44556,.T.); #4933=FACE_BOUND('',#44557,.T.); #4934=FACE_BOUND('',#44563,.T.); #4935=FACE_BOUND('',#44565,.T.); #4936=FACE_BOUND('',#44571,.T.); #4937=FACE_BOUND('',#44573,.T.); #4938=FACE_BOUND('',#44589,.T.); #4939=FACE_BOUND('',#44591,.T.); #4940=FACE_BOUND('',#44604,.T.); #4941=FACE_BOUND('',#44606,.T.); #4942=FACE_BOUND('',#44729,.T.); #4943=FACE_BOUND('',#44731,.T.); #4944=FACE_BOUND('',#44750,.T.); #4945=FACE_BOUND('',#44752,.T.); #4946=FACE_BOUND('',#44767,.T.); #4947=FACE_BOUND('',#44769,.T.); #4948=FACE_BOUND('',#44786,.T.); #4949=FACE_BOUND('',#44788,.T.); #4950=FACE_BOUND('',#44800,.T.); #4951=FACE_BOUND('',#44801,.T.); #4952=FACE_BOUND('',#44803,.T.); #4953=FACE_BOUND('',#44804,.T.); #4954=FACE_BOUND('',#44823,.T.); #4955=FACE_BOUND('',#44825,.T.); #4956=FACE_BOUND('',#44850,.T.); #4957=FACE_BOUND('',#44852,.T.); #4958=FACE_BOUND('',#44907,.T.); #4959=FACE_BOUND('',#44909,.T.); #4960=FACE_BOUND('',#44923,.T.); #4961=FACE_BOUND('',#44925,.T.); #4962=FACE_BOUND('',#45051,.T.); #4963=FACE_BOUND('',#45053,.T.); #4964=FACE_BOUND('',#45072,.T.); #4965=FACE_BOUND('',#45074,.T.); #4966=FACE_BOUND('',#45090,.T.); #4967=FACE_BOUND('',#45092,.T.); #4968=FACE_BOUND('',#45113,.T.); #4969=FACE_BOUND('',#45115,.T.); #4970=FACE_BOUND('',#45131,.T.); #4971=FACE_BOUND('',#45133,.T.); #4972=FACE_BOUND('',#45154,.T.); #4973=FACE_BOUND('',#45156,.T.); #4974=FACE_BOUND('',#45170,.T.); #4975=FACE_BOUND('',#45172,.T.); #4976=FACE_BOUND('',#45186,.T.); #4977=FACE_BOUND('',#45188,.T.); #4978=FACE_BOUND('',#45214,.T.); #4979=FACE_BOUND('',#45216,.T.); #4980=FACE_BOUND('',#45236,.T.); #4981=FACE_BOUND('',#45238,.T.); #4982=FACE_BOUND('',#45264,.T.); #4983=FACE_BOUND('',#45266,.T.); #4984=FACE_BOUND('',#45299,.T.); #4985=FACE_BOUND('',#45301,.T.); #4986=FACE_BOUND('',#45328,.T.); #4987=FACE_BOUND('',#45330,.T.); #4988=FACE_BOUND('',#45348,.T.); #4989=FACE_BOUND('',#45350,.T.); #4990=FACE_BOUND('',#45396,.T.); #4991=FACE_BOUND('',#45398,.T.); #4992=FACE_BOUND('',#45422,.T.); #4993=FACE_BOUND('',#45424,.T.); #4994=FACE_BOUND('',#45431,.T.); #4995=FACE_BOUND('',#45433,.T.); #4996=FACE_BOUND('',#45440,.T.); #4997=FACE_BOUND('',#45442,.T.); #4998=FACE_BOUND('',#45459,.T.); #4999=FACE_BOUND('',#45461,.T.); #5000=FACE_BOUND('',#45467,.T.); #5001=FACE_BOUND('',#45469,.T.); #5002=FACE_BOUND('',#45480,.T.); #5003=FACE_BOUND('',#45481,.T.); #5004=FACE_BOUND('',#45483,.T.); #5005=FACE_BOUND('',#45484,.T.); #5006=FACE_BOUND('',#45490,.T.); #5007=FACE_BOUND('',#45492,.T.); #5008=FACE_BOUND('',#45499,.T.); #5009=FACE_BOUND('',#45501,.T.); #5010=FACE_BOUND('',#45547,.T.); #5011=FACE_BOUND('',#45549,.T.); #5012=FACE_BOUND('',#45573,.T.); #5013=FACE_BOUND('',#45575,.T.); #5014=FACE_BOUND('',#45623,.T.); #5015=FACE_BOUND('',#45625,.T.); #5016=FACE_BOUND('',#45650,.T.); #5017=FACE_BOUND('',#45652,.T.); #5018=FACE_BOUND('',#45667,.T.); #5019=FACE_BOUND('',#45669,.T.); #5020=FACE_BOUND('',#45720,.T.); #5021=FACE_BOUND('',#45722,.T.); #5022=FACE_BOUND('',#45747,.T.); #5023=FACE_BOUND('',#45748,.T.); #5024=FACE_BOUND('',#45750,.T.); #5025=FACE_BOUND('',#45751,.T.); #5026=FACE_BOUND('',#45913,.T.); #5027=FACE_BOUND('',#45914,.T.); #5028=FACE_BOUND('',#45915,.T.); #5029=FACE_BOUND('',#45916,.T.); #5030=FACE_BOUND('',#45917,.T.); #5031=FACE_BOUND('',#45918,.T.); #5032=FACE_BOUND('',#45919,.T.); #5033=FACE_BOUND('',#45920,.T.); #5034=FACE_BOUND('',#45921,.T.); #5035=FACE_BOUND('',#45922,.T.); #5036=FACE_BOUND('',#45923,.T.); #5037=FACE_BOUND('',#45925,.T.); #5038=FACE_BOUND('',#45926,.T.); #5039=FACE_BOUND('',#45927,.T.); #5040=FACE_BOUND('',#45928,.T.); #5041=FACE_BOUND('',#45929,.T.); #5042=FACE_BOUND('',#45930,.T.); #5043=FACE_BOUND('',#45931,.T.); #5044=FACE_BOUND('',#45932,.T.); #5045=FACE_BOUND('',#45933,.T.); #5046=FACE_BOUND('',#45934,.T.); #5047=FACE_BOUND('',#45935,.T.); #5048=FACE_BOUND('',#45941,.T.); #5049=FACE_BOUND('',#45943,.T.); #5050=FACE_BOUND('',#46128,.T.); #5051=FACE_BOUND('',#46129,.T.); #5052=FACE_BOUND('',#46130,.T.); #5053=FACE_BOUND('',#46132,.T.); #5054=FACE_BOUND('',#46133,.T.); #5055=FACE_BOUND('',#46134,.T.); #5056=FACE_BOUND('',#46458,.T.); #5057=FACE_BOUND('',#46459,.T.); #5058=FACE_BOUND('',#46460,.T.); #5059=FACE_BOUND('',#46461,.T.); #5060=FACE_BOUND('',#46462,.T.); #5061=FACE_BOUND('',#46463,.T.); #5062=FACE_BOUND('',#46464,.T.); #5063=FACE_BOUND('',#46465,.T.); #5064=FACE_BOUND('',#46466,.T.); #5065=FACE_BOUND('',#46467,.T.); #5066=FACE_BOUND('',#46468,.T.); #5067=FACE_BOUND('',#46469,.T.); #5068=FACE_BOUND('',#46471,.T.); #5069=FACE_BOUND('',#46472,.T.); #5070=FACE_BOUND('',#46473,.T.); #5071=FACE_BOUND('',#46474,.T.); #5072=FACE_BOUND('',#46475,.T.); #5073=FACE_BOUND('',#46476,.T.); #5074=FACE_BOUND('',#46477,.T.); #5075=FACE_BOUND('',#46478,.T.); #5076=FACE_BOUND('',#46479,.T.); #5077=FACE_BOUND('',#46480,.T.); #5078=FACE_BOUND('',#46481,.T.); #5079=FACE_BOUND('',#46482,.T.); #5080=FACE_BOUND('',#47540,.T.); #5081=FACE_BOUND('',#47541,.T.); #5082=FACE_BOUND('',#47542,.T.); #5083=FACE_BOUND('',#47543,.T.); #5084=FACE_BOUND('',#47544,.T.); #5085=FACE_BOUND('',#47545,.T.); #5086=FACE_BOUND('',#47546,.T.); #5087=FACE_BOUND('',#47547,.T.); #5088=FACE_BOUND('',#47548,.T.); #5089=FACE_BOUND('',#47549,.T.); #5090=FACE_BOUND('',#47550,.T.); #5091=FACE_BOUND('',#47551,.T.); #5092=FACE_BOUND('',#47552,.T.); #5093=FACE_BOUND('',#47553,.T.); #5094=FACE_BOUND('',#47554,.T.); #5095=FACE_BOUND('',#47555,.T.); #5096=FACE_BOUND('',#47556,.T.); #5097=FACE_BOUND('',#47557,.T.); #5098=FACE_BOUND('',#47558,.T.); #5099=FACE_BOUND('',#47559,.T.); #5100=FACE_BOUND('',#47560,.T.); #5101=FACE_BOUND('',#47561,.T.); #5102=FACE_BOUND('',#47562,.T.); #5103=FACE_BOUND('',#47563,.T.); #5104=FACE_BOUND('',#47564,.T.); #5105=FACE_BOUND('',#47565,.T.); #5106=FACE_BOUND('',#47566,.T.); #5107=FACE_BOUND('',#47567,.T.); #5108=FACE_BOUND('',#47568,.T.); #5109=FACE_BOUND('',#47569,.T.); #5110=FACE_BOUND('',#47570,.T.); #5111=FACE_BOUND('',#47571,.T.); #5112=FACE_BOUND('',#47572,.T.); #5113=FACE_BOUND('',#47573,.T.); #5114=FACE_BOUND('',#47574,.T.); #5115=FACE_BOUND('',#47575,.T.); #5116=FACE_BOUND('',#47576,.T.); #5117=FACE_BOUND('',#47577,.T.); #5118=FACE_BOUND('',#47578,.T.); #5119=FACE_BOUND('',#47579,.T.); #5120=FACE_BOUND('',#47580,.T.); #5121=FACE_BOUND('',#47581,.T.); #5122=FACE_BOUND('',#47582,.T.); #5123=FACE_BOUND('',#47583,.T.); #5124=FACE_BOUND('',#47584,.T.); #5125=FACE_BOUND('',#47585,.T.); #5126=FACE_BOUND('',#47586,.T.); #5127=FACE_BOUND('',#47587,.T.); #5128=FACE_BOUND('',#47588,.T.); #5129=FACE_BOUND('',#47589,.T.); #5130=FACE_BOUND('',#47590,.T.); #5131=FACE_BOUND('',#47591,.T.); #5132=FACE_BOUND('',#47592,.T.); #5133=FACE_BOUND('',#47593,.T.); #5134=FACE_BOUND('',#47594,.T.); #5135=FACE_BOUND('',#47595,.T.); #5136=FACE_BOUND('',#47596,.T.); #5137=FACE_BOUND('',#47597,.T.); #5138=FACE_BOUND('',#47598,.T.); #5139=FACE_BOUND('',#47599,.T.); #5140=FACE_BOUND('',#47600,.T.); #5141=FACE_BOUND('',#47601,.T.); #5142=FACE_BOUND('',#47602,.T.); #5143=FACE_BOUND('',#47603,.T.); #5144=FACE_BOUND('',#47604,.T.); #5145=FACE_BOUND('',#47605,.T.); #5146=FACE_BOUND('',#47606,.T.); #5147=FACE_BOUND('',#47607,.T.); #5148=FACE_BOUND('',#47608,.T.); #5149=FACE_BOUND('',#47609,.T.); #5150=FACE_BOUND('',#47611,.T.); #5151=FACE_BOUND('',#47612,.T.); #5152=FACE_BOUND('',#47613,.T.); #5153=FACE_BOUND('',#47614,.T.); #5154=FACE_BOUND('',#47615,.T.); #5155=FACE_BOUND('',#47616,.T.); #5156=FACE_BOUND('',#47617,.T.); #5157=FACE_BOUND('',#47618,.T.); #5158=FACE_BOUND('',#47619,.T.); #5159=FACE_BOUND('',#47620,.T.); #5160=FACE_BOUND('',#47621,.T.); #5161=FACE_BOUND('',#47622,.T.); #5162=FACE_BOUND('',#47623,.T.); #5163=FACE_BOUND('',#47624,.T.); #5164=FACE_BOUND('',#47625,.T.); #5165=FACE_BOUND('',#47626,.T.); #5166=FACE_BOUND('',#47627,.T.); #5167=FACE_BOUND('',#47628,.T.); #5168=FACE_BOUND('',#47629,.T.); #5169=FACE_BOUND('',#47630,.T.); #5170=FACE_BOUND('',#47631,.T.); #5171=FACE_BOUND('',#47632,.T.); #5172=FACE_BOUND('',#47633,.T.); #5173=FACE_BOUND('',#47634,.T.); #5174=FACE_BOUND('',#47635,.T.); #5175=FACE_BOUND('',#47636,.T.); #5176=FACE_BOUND('',#47637,.T.); #5177=FACE_BOUND('',#47638,.T.); #5178=FACE_BOUND('',#47639,.T.); #5179=FACE_BOUND('',#47640,.T.); #5180=FACE_BOUND('',#47641,.T.); #5181=FACE_BOUND('',#47642,.T.); #5182=FACE_BOUND('',#47643,.T.); #5183=FACE_BOUND('',#47644,.T.); #5184=FACE_BOUND('',#47645,.T.); #5185=FACE_BOUND('',#47646,.T.); #5186=FACE_BOUND('',#47647,.T.); #5187=FACE_BOUND('',#47648,.T.); #5188=FACE_BOUND('',#47649,.T.); #5189=FACE_BOUND('',#47650,.T.); #5190=FACE_BOUND('',#47651,.T.); #5191=FACE_BOUND('',#47652,.T.); #5192=FACE_BOUND('',#47653,.T.); #5193=FACE_BOUND('',#47654,.T.); #5194=FACE_BOUND('',#47655,.T.); #5195=FACE_BOUND('',#47656,.T.); #5196=FACE_BOUND('',#47657,.T.); #5197=FACE_BOUND('',#47658,.T.); #5198=FACE_BOUND('',#47659,.T.); #5199=FACE_BOUND('',#47660,.T.); #5200=FACE_BOUND('',#47661,.T.); #5201=FACE_BOUND('',#47662,.T.); #5202=FACE_BOUND('',#47663,.T.); #5203=FACE_BOUND('',#47664,.T.); #5204=FACE_BOUND('',#47665,.T.); #5205=FACE_BOUND('',#47666,.T.); #5206=FACE_BOUND('',#47667,.T.); #5207=FACE_BOUND('',#47668,.T.); #5208=FACE_BOUND('',#47669,.T.); #5209=FACE_BOUND('',#47670,.T.); #5210=FACE_BOUND('',#47671,.T.); #5211=FACE_BOUND('',#47672,.T.); #5212=FACE_BOUND('',#47673,.T.); #5213=FACE_BOUND('',#47674,.T.); #5214=FACE_BOUND('',#47675,.T.); #5215=FACE_BOUND('',#47676,.T.); #5216=FACE_BOUND('',#47677,.T.); #5217=FACE_BOUND('',#47678,.T.); #5218=FACE_BOUND('',#47679,.T.); #5219=FACE_BOUND('',#47680,.T.); #5220=FACE_BOUND('',#47982,.T.); #5221=FACE_BOUND('',#47983,.T.); #5222=FACE_BOUND('',#47984,.T.); #5223=FACE_BOUND('',#47986,.T.); #5224=FACE_BOUND('',#47987,.T.); #5225=FACE_BOUND('',#47988,.T.); #5226=FACE_BOUND('',#48387,.T.); #5227=FACE_BOUND('',#48388,.T.); #5228=FACE_BOUND('',#48389,.T.); #5229=FACE_BOUND('',#48390,.T.); #5230=FACE_BOUND('',#48391,.T.); #5231=FACE_BOUND('',#48392,.T.); #5232=FACE_BOUND('',#48393,.T.); #5233=FACE_BOUND('',#48394,.T.); #5234=FACE_BOUND('',#48395,.T.); #5235=FACE_BOUND('',#48396,.T.); #5236=FACE_BOUND('',#48397,.T.); #5237=FACE_BOUND('',#48398,.T.); #5238=FACE_BOUND('',#48399,.T.); #5239=FACE_BOUND('',#48400,.T.); #5240=FACE_BOUND('',#48401,.T.); #5241=FACE_BOUND('',#48402,.T.); #5242=FACE_BOUND('',#48403,.T.); #5243=FACE_BOUND('',#48404,.T.); #5244=FACE_BOUND('',#48405,.T.); #5245=FACE_BOUND('',#48406,.T.); #5246=FACE_BOUND('',#48407,.T.); #5247=FACE_BOUND('',#48408,.T.); #5248=FACE_BOUND('',#48409,.T.); #5249=FACE_BOUND('',#48410,.T.); #5250=FACE_BOUND('',#48411,.T.); #5251=FACE_BOUND('',#48412,.T.); #5252=FACE_BOUND('',#48413,.T.); #5253=FACE_BOUND('',#48414,.T.); #5254=FACE_BOUND('',#48415,.T.); #5255=FACE_BOUND('',#48416,.T.); #5256=FACE_BOUND('',#48417,.T.); #5257=FACE_BOUND('',#48418,.T.); #5258=FACE_BOUND('',#48419,.T.); #5259=FACE_BOUND('',#48420,.T.); #5260=FACE_BOUND('',#48421,.T.); #5261=FACE_BOUND('',#48422,.T.); #5262=FACE_BOUND('',#48423,.T.); #5263=FACE_BOUND('',#48424,.T.); #5264=FACE_BOUND('',#48425,.T.); #5265=FACE_BOUND('',#48426,.T.); #5266=FACE_BOUND('',#48427,.T.); #5267=FACE_BOUND('',#48428,.T.); #5268=FACE_BOUND('',#48429,.T.); #5269=FACE_BOUND('',#48430,.T.); #5270=FACE_BOUND('',#48431,.T.); #5271=FACE_BOUND('',#48432,.T.); #5272=FACE_BOUND('',#48433,.T.); #5273=FACE_BOUND('',#48434,.T.); #5274=FACE_BOUND('',#48435,.T.); #5275=FACE_BOUND('',#48437,.T.); #5276=FACE_BOUND('',#48438,.T.); #5277=FACE_BOUND('',#48439,.T.); #5278=FACE_BOUND('',#48440,.T.); #5279=FACE_BOUND('',#48441,.T.); #5280=FACE_BOUND('',#48442,.T.); #5281=FACE_BOUND('',#48443,.T.); #5282=FACE_BOUND('',#48444,.T.); #5283=FACE_BOUND('',#48445,.T.); #5284=FACE_BOUND('',#48446,.T.); #5285=FACE_BOUND('',#48447,.T.); #5286=FACE_BOUND('',#48448,.T.); #5287=FACE_BOUND('',#48449,.T.); #5288=FACE_BOUND('',#48450,.T.); #5289=FACE_BOUND('',#48451,.T.); #5290=FACE_BOUND('',#48452,.T.); #5291=FACE_BOUND('',#48453,.T.); #5292=FACE_BOUND('',#48454,.T.); #5293=FACE_BOUND('',#48455,.T.); #5294=FACE_BOUND('',#48456,.T.); #5295=FACE_BOUND('',#48457,.T.); #5296=FACE_BOUND('',#48458,.T.); #5297=FACE_BOUND('',#48459,.T.); #5298=FACE_BOUND('',#48460,.T.); #5299=FACE_BOUND('',#48461,.T.); #5300=FACE_BOUND('',#48462,.T.); #5301=FACE_BOUND('',#48463,.T.); #5302=FACE_BOUND('',#48464,.T.); #5303=FACE_BOUND('',#48465,.T.); #5304=FACE_BOUND('',#48466,.T.); #5305=FACE_BOUND('',#48467,.T.); #5306=FACE_BOUND('',#48468,.T.); #5307=FACE_BOUND('',#48469,.T.); #5308=FACE_BOUND('',#48470,.T.); #5309=FACE_BOUND('',#48471,.T.); #5310=FACE_BOUND('',#48472,.T.); #5311=FACE_BOUND('',#48473,.T.); #5312=FACE_BOUND('',#48474,.T.); #5313=FACE_BOUND('',#48475,.T.); #5314=FACE_BOUND('',#48476,.T.); #5315=FACE_BOUND('',#48477,.T.); #5316=FACE_BOUND('',#48478,.T.); #5317=FACE_BOUND('',#48479,.T.); #5318=FACE_BOUND('',#48480,.T.); #5319=FACE_BOUND('',#48481,.T.); #5320=FACE_BOUND('',#48482,.T.); #5321=FACE_BOUND('',#48483,.T.); #5322=FACE_BOUND('',#48484,.T.); #5323=FACE_BOUND('',#48485,.T.); #5324=FACE_BOUND('',#49088,.T.); #5325=FACE_BOUND('',#49089,.T.); #5326=FACE_BOUND('',#49091,.T.); #5327=FACE_BOUND('',#49092,.T.); #5328=FACE_BOUND('',#49172,.T.); #5329=FACE_BOUND('',#49173,.T.); #5330=FACE_BOUND('',#49174,.T.); #5331=FACE_BOUND('',#49175,.T.); #5332=FACE_BOUND('',#49176,.T.); #5333=FACE_BOUND('',#49177,.T.); #5334=FACE_BOUND('',#49178,.T.); #5335=FACE_BOUND('',#49179,.T.); #5336=FACE_BOUND('',#49180,.T.); #5337=FACE_BOUND('',#49181,.T.); #5338=FACE_BOUND('',#49182,.T.); #5339=FACE_BOUND('',#49183,.T.); #5340=FACE_BOUND('',#49184,.T.); #5341=FACE_BOUND('',#49185,.T.); #5342=FACE_BOUND('',#49186,.T.); #5343=FACE_BOUND('',#49188,.T.); #5344=FACE_BOUND('',#49189,.T.); #5345=FACE_BOUND('',#49190,.T.); #5346=FACE_BOUND('',#49191,.T.); #5347=FACE_BOUND('',#49192,.T.); #5348=FACE_BOUND('',#49193,.T.); #5349=FACE_BOUND('',#49194,.T.); #5350=FACE_BOUND('',#49195,.T.); #5351=FACE_BOUND('',#49196,.T.); #5352=FACE_BOUND('',#49197,.T.); #5353=FACE_BOUND('',#49198,.T.); #5354=FACE_BOUND('',#49199,.T.); #5355=FACE_BOUND('',#49200,.T.); #5356=FACE_BOUND('',#49201,.T.); #5357=FACE_BOUND('',#49202,.T.); #5358=FACE_BOUND('',#49247,.T.); #5359=FACE_BOUND('',#49249,.T.); #5360=FACE_BOUND('',#49315,.T.); #5361=FACE_BOUND('',#49316,.T.); #5362=FACE_BOUND('',#49317,.T.); #5363=FACE_BOUND('',#49318,.T.); #5364=FACE_BOUND('',#49319,.T.); #5365=FACE_BOUND('',#49320,.T.); #5366=FACE_BOUND('',#49321,.T.); #5367=FACE_BOUND('',#49322,.T.); #5368=FACE_BOUND('',#49323,.T.); #5369=FACE_BOUND('',#49324,.T.); #5370=FACE_BOUND('',#49325,.T.); #5371=FACE_BOUND('',#49326,.T.); #5372=FACE_BOUND('',#49327,.T.); #5373=FACE_BOUND('',#49328,.T.); #5374=FACE_BOUND('',#49329,.T.); #5375=FACE_BOUND('',#49331,.T.); #5376=FACE_BOUND('',#49332,.T.); #5377=FACE_BOUND('',#49333,.T.); #5378=FACE_BOUND('',#49334,.T.); #5379=FACE_BOUND('',#49335,.T.); #5380=FACE_BOUND('',#49336,.T.); #5381=FACE_BOUND('',#49337,.T.); #5382=FACE_BOUND('',#49338,.T.); #5383=FACE_BOUND('',#49339,.T.); #5384=FACE_BOUND('',#49340,.T.); #5385=FACE_BOUND('',#49341,.T.); #5386=FACE_BOUND('',#49342,.T.); #5387=FACE_BOUND('',#49343,.T.); #5388=FACE_BOUND('',#49344,.T.); #5389=FACE_BOUND('',#49345,.T.); #5390=FACE_BOUND('',#49390,.T.); #5391=FACE_BOUND('',#49392,.T.); #5392=FACE_BOUND('',#49510,.T.); #5393=FACE_BOUND('',#49511,.T.); #5394=FACE_BOUND('',#49512,.T.); #5395=FACE_BOUND('',#49513,.T.); #5396=FACE_BOUND('',#49514,.T.); #5397=FACE_BOUND('',#49515,.T.); #5398=FACE_BOUND('',#49516,.T.); #5399=FACE_BOUND('',#49517,.T.); #5400=FACE_BOUND('',#49518,.T.); #5401=FACE_BOUND('',#49519,.T.); #5402=FACE_BOUND('',#49520,.T.); #5403=FACE_BOUND('',#49521,.T.); #5404=FACE_BOUND('',#49522,.T.); #5405=FACE_BOUND('',#49523,.T.); #5406=FACE_BOUND('',#49524,.T.); #5407=FACE_BOUND('',#49525,.T.); #5408=FACE_BOUND('',#49526,.T.); #5409=FACE_BOUND('',#49527,.T.); #5410=FACE_BOUND('',#49528,.T.); #5411=FACE_BOUND('',#49529,.T.); #5412=FACE_BOUND('',#49530,.T.); #5413=FACE_BOUND('',#49531,.T.); #5414=FACE_BOUND('',#49532,.T.); #5415=FACE_BOUND('',#49533,.T.); #5416=FACE_BOUND('',#49534,.T.); #5417=FACE_BOUND('',#49535,.T.); #5418=FACE_BOUND('',#49536,.T.); #5419=FACE_BOUND('',#49537,.T.); #5420=FACE_BOUND('',#49538,.T.); #5421=FACE_BOUND('',#49539,.T.); #5422=FACE_BOUND('',#49540,.T.); #5423=FACE_BOUND('',#49542,.T.); #5424=FACE_BOUND('',#49543,.T.); #5425=FACE_BOUND('',#49544,.T.); #5426=FACE_BOUND('',#49545,.T.); #5427=FACE_BOUND('',#49546,.T.); #5428=FACE_BOUND('',#49547,.T.); #5429=FACE_BOUND('',#49548,.T.); #5430=FACE_BOUND('',#49549,.T.); #5431=FACE_BOUND('',#49550,.T.); #5432=FACE_BOUND('',#49551,.T.); #5433=FACE_BOUND('',#49552,.T.); #5434=FACE_BOUND('',#49553,.T.); #5435=FACE_BOUND('',#49554,.T.); #5436=FACE_BOUND('',#49555,.T.); #5437=FACE_BOUND('',#49556,.T.); #5438=FACE_BOUND('',#49557,.T.); #5439=FACE_BOUND('',#49558,.T.); #5440=FACE_BOUND('',#49559,.T.); #5441=FACE_BOUND('',#49560,.T.); #5442=FACE_BOUND('',#49561,.T.); #5443=FACE_BOUND('',#49562,.T.); #5444=FACE_BOUND('',#49563,.T.); #5445=FACE_BOUND('',#49564,.T.); #5446=FACE_BOUND('',#49565,.T.); #5447=FACE_BOUND('',#49566,.T.); #5448=FACE_BOUND('',#49567,.T.); #5449=FACE_BOUND('',#49568,.T.); #5450=FACE_BOUND('',#49569,.T.); #5451=FACE_BOUND('',#49570,.T.); #5452=FACE_BOUND('',#49571,.T.); #5453=FACE_BOUND('',#49572,.T.); #5454=FACE_BOUND('',#49596,.T.); #5455=FACE_BOUND('',#49598,.T.); #5456=FACE_BOUND('',#49735,.T.); #5457=FACE_BOUND('',#49736,.T.); #5458=FACE_BOUND('',#49737,.T.); #5459=FACE_BOUND('',#49738,.T.); #5460=FACE_BOUND('',#49739,.T.); #5461=FACE_BOUND('',#49740,.T.); #5462=FACE_BOUND('',#49741,.T.); #5463=FACE_BOUND('',#49742,.T.); #5464=FACE_BOUND('',#49743,.T.); #5465=FACE_BOUND('',#49744,.T.); #5466=FACE_BOUND('',#49745,.T.); #5467=FACE_BOUND('',#49747,.T.); #5468=FACE_BOUND('',#49748,.T.); #5469=FACE_BOUND('',#49749,.T.); #5470=FACE_BOUND('',#49750,.T.); #5471=FACE_BOUND('',#49751,.T.); #5472=FACE_BOUND('',#49752,.T.); #5473=FACE_BOUND('',#49753,.T.); #5474=FACE_BOUND('',#49754,.T.); #5475=FACE_BOUND('',#49755,.T.); #5476=FACE_BOUND('',#49756,.T.); #5477=FACE_BOUND('',#49757,.T.); #5478=FACE_BOUND('',#49870,.T.); #5479=FACE_BOUND('',#49871,.T.); #5480=FACE_BOUND('',#49872,.T.); #5481=FACE_BOUND('',#49873,.T.); #5482=FACE_BOUND('',#49874,.T.); #5483=FACE_BOUND('',#49875,.T.); #5484=FACE_BOUND('',#49876,.T.); #5485=FACE_BOUND('',#49877,.T.); #5486=FACE_BOUND('',#49878,.T.); #5487=FACE_BOUND('',#49879,.T.); #5488=FACE_BOUND('',#49880,.T.); #5489=FACE_BOUND('',#49881,.T.); #5490=FACE_BOUND('',#49882,.T.); #5491=FACE_BOUND('',#49883,.T.); #5492=FACE_BOUND('',#49884,.T.); #5493=FACE_BOUND('',#49885,.T.); #5494=FACE_BOUND('',#49886,.T.); #5495=FACE_BOUND('',#49887,.T.); #5496=FACE_BOUND('',#49888,.T.); #5497=FACE_BOUND('',#49889,.T.); #5498=FACE_BOUND('',#49890,.T.); #5499=FACE_BOUND('',#49891,.T.); #5500=FACE_BOUND('',#49892,.T.); #5501=FACE_BOUND('',#49893,.T.); #5502=FACE_BOUND('',#49894,.T.); #5503=FACE_BOUND('',#49895,.T.); #5504=FACE_BOUND('',#49896,.T.); #5505=FACE_BOUND('',#49897,.T.); #5506=FACE_BOUND('',#49898,.T.); #5507=FACE_BOUND('',#49899,.T.); #5508=FACE_BOUND('',#49900,.T.); #5509=FACE_BOUND('',#49902,.T.); #5510=FACE_BOUND('',#49903,.T.); #5511=FACE_BOUND('',#49904,.T.); #5512=FACE_BOUND('',#49905,.T.); #5513=FACE_BOUND('',#49906,.T.); #5514=FACE_BOUND('',#49907,.T.); #5515=FACE_BOUND('',#49908,.T.); #5516=FACE_BOUND('',#49909,.T.); #5517=FACE_BOUND('',#49910,.T.); #5518=FACE_BOUND('',#49911,.T.); #5519=FACE_BOUND('',#49912,.T.); #5520=FACE_BOUND('',#49913,.T.); #5521=FACE_BOUND('',#49914,.T.); #5522=FACE_BOUND('',#49915,.T.); #5523=FACE_BOUND('',#49916,.T.); #5524=FACE_BOUND('',#49917,.T.); #5525=FACE_BOUND('',#49918,.T.); #5526=FACE_BOUND('',#49919,.T.); #5527=FACE_BOUND('',#49920,.T.); #5528=FACE_BOUND('',#49921,.T.); #5529=FACE_BOUND('',#49922,.T.); #5530=FACE_BOUND('',#49923,.T.); #5531=FACE_BOUND('',#49924,.T.); #5532=FACE_BOUND('',#49925,.T.); #5533=FACE_BOUND('',#49926,.T.); #5534=FACE_BOUND('',#49927,.T.); #5535=FACE_BOUND('',#49928,.T.); #5536=FACE_BOUND('',#49929,.T.); #5537=FACE_BOUND('',#49930,.T.); #5538=FACE_BOUND('',#49931,.T.); #5539=FACE_BOUND('',#49932,.T.); #5540=FACE_BOUND('',#49956,.T.); #5541=FACE_BOUND('',#49958,.T.); #5542=FACE_BOUND('',#50298,.T.); #5543=FACE_BOUND('',#50299,.T.); #5544=FACE_BOUND('',#50300,.T.); #5545=FACE_BOUND('',#50301,.T.); #5546=FACE_BOUND('',#50302,.T.); #5547=FACE_BOUND('',#50303,.T.); #5548=FACE_BOUND('',#50304,.T.); #5549=FACE_BOUND('',#50305,.T.); #5550=FACE_BOUND('',#50306,.T.); #5551=FACE_BOUND('',#50307,.T.); #5552=FACE_BOUND('',#50308,.T.); #5553=FACE_BOUND('',#50309,.T.); #5554=FACE_BOUND('',#50310,.T.); #5555=FACE_BOUND('',#50311,.T.); #5556=FACE_BOUND('',#50312,.T.); #5557=FACE_BOUND('',#50313,.T.); #5558=FACE_BOUND('',#50314,.T.); #5559=FACE_BOUND('',#50316,.T.); #5560=FACE_BOUND('',#50317,.T.); #5561=FACE_BOUND('',#50318,.T.); #5562=FACE_BOUND('',#50319,.T.); #5563=FACE_BOUND('',#50320,.T.); #5564=FACE_BOUND('',#50321,.T.); #5565=FACE_BOUND('',#50322,.T.); #5566=FACE_BOUND('',#50323,.T.); #5567=FACE_BOUND('',#50324,.T.); #5568=FACE_BOUND('',#50325,.T.); #5569=FACE_BOUND('',#50326,.T.); #5570=FACE_BOUND('',#50327,.T.); #5571=FACE_BOUND('',#50328,.T.); #5572=FACE_BOUND('',#50329,.T.); #5573=FACE_BOUND('',#50330,.T.); #5574=FACE_BOUND('',#50331,.T.); #5575=FACE_BOUND('',#50332,.T.); #5576=FACE_BOUND('',#50474,.T.); #5577=FACE_BOUND('',#50476,.T.); #5578=FACE_BOUND('',#50482,.T.); #5579=FACE_BOUND('',#50484,.T.); #5580=FACE_BOUND('',#50490,.T.); #5581=FACE_BOUND('',#50492,.T.); #5582=FACE_BOUND('',#50498,.T.); #5583=FACE_BOUND('',#50500,.T.); #5584=FACE_BOUND('',#50507,.T.); #5585=FACE_BOUND('',#50509,.T.); #5586=FACE_BOUND('',#50515,.T.); #5587=FACE_BOUND('',#50517,.T.); #5588=FACE_BOUND('',#50523,.T.); #5589=FACE_BOUND('',#50525,.T.); #5590=FACE_BOUND('',#50531,.T.); #5591=FACE_BOUND('',#50533,.T.); #5592=FACE_BOUND('',#50539,.T.); #5593=FACE_BOUND('',#50541,.T.); #5594=FACE_BOUND('',#50603,.T.); #5595=FACE_BOUND('',#50605,.T.); #5596=FACE_BOUND('',#50683,.T.); #5597=FACE_BOUND('',#50685,.T.); #5598=FACE_BOUND('',#50747,.T.); #5599=FACE_BOUND('',#50749,.T.); #5600=FACE_BOUND('',#50756,.T.); #5601=FACE_BOUND('',#50758,.T.); #5602=FACE_BOUND('',#50820,.T.); #5603=FACE_BOUND('',#50822,.T.); #5604=FACE_BOUND('',#50900,.T.); #5605=FACE_BOUND('',#50902,.T.); #5606=FACE_BOUND('',#50908,.T.); #5607=FACE_BOUND('',#50910,.T.); #5608=FACE_BOUND('',#50916,.T.); #5609=FACE_BOUND('',#50918,.T.); #5610=FACE_BOUND('',#50980,.T.); #5611=FACE_BOUND('',#50982,.T.); #5612=FACE_BOUND('',#50988,.T.); #5613=FACE_BOUND('',#50990,.T.); #5614=FACE_BOUND('',#50996,.T.); #5615=FACE_BOUND('',#50998,.T.); #5616=FACE_BOUND('',#51004,.T.); #5617=FACE_BOUND('',#51006,.T.); #5618=FACE_BOUND('',#51013,.T.); #5619=FACE_BOUND('',#51015,.T.); #5620=FACE_BOUND('',#51021,.T.); #5621=FACE_BOUND('',#51023,.T.); #5622=FACE_BOUND('',#51113,.T.); #5623=FACE_BOUND('',#51114,.T.); #5624=FACE_BOUND('',#51115,.T.); #5625=FACE_BOUND('',#51116,.T.); #5626=FACE_BOUND('',#51117,.T.); #5627=FACE_BOUND('',#51118,.T.); #5628=FACE_BOUND('',#51120,.T.); #5629=FACE_BOUND('',#51121,.T.); #5630=FACE_BOUND('',#51122,.T.); #5631=FACE_BOUND('',#51123,.T.); #5632=FACE_BOUND('',#51124,.T.); #5633=FACE_BOUND('',#51125,.T.); #5634=FACE_BOUND('',#51293,.T.); #5635=FACE_BOUND('',#51294,.T.); #5636=FACE_BOUND('',#51295,.T.); #5637=FACE_BOUND('',#51296,.T.); #5638=FACE_BOUND('',#51297,.T.); #5639=FACE_BOUND('',#51298,.T.); #5640=FACE_BOUND('',#51300,.T.); #5641=FACE_BOUND('',#51301,.T.); #5642=FACE_BOUND('',#51302,.T.); #5643=FACE_BOUND('',#51303,.T.); #5644=FACE_BOUND('',#51304,.T.); #5645=FACE_BOUND('',#51305,.T.); #5646=FACE_BOUND('',#51353,.T.); #5647=FACE_BOUND('',#51354,.T.); #5648=FACE_BOUND('',#51355,.T.); #5649=FACE_BOUND('',#51356,.T.); #5650=FACE_BOUND('',#51357,.T.); #5651=FACE_BOUND('',#51358,.T.); #5652=FACE_BOUND('',#51360,.T.); #5653=FACE_BOUND('',#51361,.T.); #5654=FACE_BOUND('',#51362,.T.); #5655=FACE_BOUND('',#51363,.T.); #5656=FACE_BOUND('',#51364,.T.); #5657=FACE_BOUND('',#51365,.T.); #5658=FACE_BOUND('',#51432,.T.); #5659=FACE_BOUND('',#51433,.T.); #5660=FACE_BOUND('',#51434,.T.); #5661=FACE_BOUND('',#51435,.T.); #5662=FACE_BOUND('',#51436,.T.); #5663=FACE_BOUND('',#51437,.T.); #5664=FACE_BOUND('',#51438,.T.); #5665=FACE_BOUND('',#51439,.T.); #5666=FACE_BOUND('',#51440,.T.); #5667=FACE_BOUND('',#51441,.T.); #5668=FACE_BOUND('',#51442,.T.); #5669=FACE_BOUND('',#51443,.T.); #5670=FACE_BOUND('',#51444,.T.); #5671=FACE_BOUND('',#51445,.T.); #5672=FACE_BOUND('',#51446,.T.); #5673=FACE_BOUND('',#51447,.T.); #5674=FACE_BOUND('',#51448,.T.); #5675=FACE_BOUND('',#51449,.T.); #5676=FACE_BOUND('',#51450,.T.); #5677=FACE_BOUND('',#51451,.T.); #5678=FACE_BOUND('',#51452,.T.); #5679=FACE_BOUND('',#51453,.T.); #5680=FACE_BOUND('',#51454,.T.); #5681=FACE_BOUND('',#51455,.T.); #5682=FACE_BOUND('',#51456,.T.); #5683=FACE_BOUND('',#51457,.T.); #5684=FACE_BOUND('',#51458,.T.); #5685=FACE_BOUND('',#51459,.T.); #5686=FACE_BOUND('',#51460,.T.); #5687=FACE_BOUND('',#51461,.T.); #5688=FACE_BOUND('',#51462,.T.); #5689=FACE_BOUND('',#51463,.T.); #5690=FACE_BOUND('',#51464,.T.); #5691=FACE_BOUND('',#51465,.T.); #5692=FACE_BOUND('',#51466,.T.); #5693=FACE_BOUND('',#51467,.T.); #5694=FACE_BOUND('',#51468,.T.); #5695=FACE_BOUND('',#51469,.T.); #5696=FACE_BOUND('',#51470,.T.); #5697=FACE_BOUND('',#51471,.T.); #5698=FACE_BOUND('',#51472,.T.); #5699=FACE_BOUND('',#51473,.T.); #5700=FACE_BOUND('',#51474,.T.); #5701=FACE_BOUND('',#51475,.T.); #5702=FACE_BOUND('',#51476,.T.); #5703=FACE_BOUND('',#51477,.T.); #5704=FACE_BOUND('',#51478,.T.); #5705=FACE_BOUND('',#51479,.T.); #5706=FACE_BOUND('',#51480,.T.); #5707=FACE_BOUND('',#51482,.T.); #5708=FACE_BOUND('',#51483,.T.); #5709=FACE_BOUND('',#51484,.T.); #5710=FACE_BOUND('',#51485,.T.); #5711=FACE_BOUND('',#51486,.T.); #5712=FACE_BOUND('',#51487,.T.); #5713=FACE_BOUND('',#51488,.T.); #5714=FACE_BOUND('',#51489,.T.); #5715=FACE_BOUND('',#51490,.T.); #5716=FACE_BOUND('',#51491,.T.); #5717=FACE_BOUND('',#51492,.T.); #5718=FACE_BOUND('',#51493,.T.); #5719=FACE_BOUND('',#51494,.T.); #5720=FACE_BOUND('',#51495,.T.); #5721=FACE_BOUND('',#51496,.T.); #5722=FACE_BOUND('',#51497,.T.); #5723=FACE_BOUND('',#51498,.T.); #5724=FACE_BOUND('',#51499,.T.); #5725=FACE_BOUND('',#51500,.T.); #5726=FACE_BOUND('',#51501,.T.); #5727=FACE_BOUND('',#51502,.T.); #5728=FACE_BOUND('',#51503,.T.); #5729=FACE_BOUND('',#51504,.T.); #5730=FACE_BOUND('',#51505,.T.); #5731=FACE_BOUND('',#51506,.T.); #5732=FACE_BOUND('',#51507,.T.); #5733=FACE_BOUND('',#51508,.T.); #5734=FACE_BOUND('',#51509,.T.); #5735=FACE_BOUND('',#51510,.T.); #5736=FACE_BOUND('',#51511,.T.); #5737=FACE_BOUND('',#51512,.T.); #5738=FACE_BOUND('',#51513,.T.); #5739=FACE_BOUND('',#51514,.T.); #5740=FACE_BOUND('',#51515,.T.); #5741=FACE_BOUND('',#51516,.T.); #5742=FACE_BOUND('',#51517,.T.); #5743=FACE_BOUND('',#51518,.T.); #5744=FACE_BOUND('',#51519,.T.); #5745=FACE_BOUND('',#51520,.T.); #5746=FACE_BOUND('',#51521,.T.); #5747=FACE_BOUND('',#51522,.T.); #5748=FACE_BOUND('',#51523,.T.); #5749=FACE_BOUND('',#51524,.T.); #5750=FACE_BOUND('',#51525,.T.); #5751=FACE_BOUND('',#51526,.T.); #5752=FACE_BOUND('',#51527,.T.); #5753=FACE_BOUND('',#51528,.T.); #5754=FACE_BOUND('',#51529,.T.); #5755=FACE_BOUND('',#51530,.T.); #5756=FACE_BOUND('',#51645,.T.); #5757=FACE_BOUND('',#51647,.T.); #5758=FACE_BOUND('',#51666,.T.); #5759=FACE_BOUND('',#51668,.T.); #5760=FACE_BOUND('',#51755,.T.); #5761=FACE_BOUND('',#51757,.T.); #5762=FACE_BOUND('',#51764,.T.); #5763=FACE_BOUND('',#51766,.T.); #5764=FACE_BOUND('',#51803,.T.); #5765=FACE_BOUND('',#51805,.T.); #5766=FACE_BOUND('',#51812,.T.); #5767=FACE_BOUND('',#51814,.T.); #5768=FACE_BOUND('',#51892,.T.); #5769=FACE_BOUND('',#51893,.T.); #5770=FACE_BOUND('',#51894,.T.); #5771=FACE_BOUND('',#51895,.T.); #5772=FACE_BOUND('',#51896,.T.); #5773=FACE_BOUND('',#51897,.T.); #5774=FACE_BOUND('',#51899,.T.); #5775=FACE_BOUND('',#51900,.T.); #5776=FACE_BOUND('',#51901,.T.); #5777=FACE_BOUND('',#51902,.T.); #5778=FACE_BOUND('',#51903,.T.); #5779=FACE_BOUND('',#51904,.T.); #5780=FACE_BOUND('',#51936,.T.); #5781=FACE_BOUND('',#51937,.T.); #5782=FACE_BOUND('',#51941,.T.); #5783=FACE_BOUND('',#51942,.T.); #5784=FACE_BOUND('',#52074,.T.); #5785=FACE_BOUND('',#52076,.T.); #5786=FACE_BOUND('',#52096,.T.); #5787=FACE_BOUND('',#52097,.T.); #5788=FACE_BOUND('',#52099,.T.); #5789=FACE_BOUND('',#52100,.T.); #5790=FACE_BOUND('',#52114,.T.); #5791=FACE_BOUND('',#52115,.T.); #5792=FACE_BOUND('',#52117,.T.); #5793=FACE_BOUND('',#52118,.T.); #5794=FACE_BOUND('',#52132,.T.); #5795=FACE_BOUND('',#52133,.T.); #5796=FACE_BOUND('',#52135,.T.); #5797=FACE_BOUND('',#52136,.T.); #5798=FACE_BOUND('',#52150,.T.); #5799=FACE_BOUND('',#52151,.T.); #5800=FACE_BOUND('',#52153,.T.); #5801=FACE_BOUND('',#52154,.T.); #5802=FACE_BOUND('',#52232,.T.); #5803=FACE_BOUND('',#52233,.T.); #5804=FACE_BOUND('',#52235,.T.); #5805=FACE_BOUND('',#52236,.T.); #5806=FACE_BOUND('',#52249,.T.); #5807=FACE_BOUND('',#52251,.T.); #5808=FACE_BOUND('',#52267,.T.); #5809=FACE_BOUND('',#52269,.T.); #5810=FACE_BOUND('',#52317,.T.); #5811=FACE_BOUND('',#52318,.T.); #5812=FACE_BOUND('',#52320,.T.); #5813=FACE_BOUND('',#52321,.T.); #5814=FACE_BOUND('',#52336,.T.); #5815=FACE_BOUND('',#52337,.T.); #5816=FACE_BOUND('',#52339,.T.); #5817=FACE_BOUND('',#52340,.T.); #5818=FACE_BOUND('',#52367,.T.); #5819=FACE_BOUND('',#52369,.T.); #5820=FACE_BOUND('',#52398,.T.); #5821=FACE_BOUND('',#52400,.T.); #5822=FACE_BOUND('',#52465,.T.); #5823=FACE_BOUND('',#52466,.T.); #5824=FACE_BOUND('',#52468,.T.); #5825=FACE_BOUND('',#52469,.T.); #5826=FACE_BOUND('',#52481,.T.); #5827=FACE_BOUND('',#52482,.T.); #5828=FACE_BOUND('',#52484,.T.); #5829=FACE_BOUND('',#52485,.T.); #5830=FACE_BOUND('',#52497,.T.); #5831=FACE_BOUND('',#52498,.T.); #5832=FACE_BOUND('',#52500,.T.); #5833=FACE_BOUND('',#52501,.T.); #5834=FACE_BOUND('',#52513,.T.); #5835=FACE_BOUND('',#52514,.T.); #5836=FACE_BOUND('',#52516,.T.); #5837=FACE_BOUND('',#52517,.T.); #5838=FACE_BOUND('',#52534,.T.); #5839=FACE_BOUND('',#52535,.T.); #5840=FACE_BOUND('',#52537,.T.); #5841=FACE_BOUND('',#52538,.T.); #5842=FACE_BOUND('',#52545,.T.); #5843=FACE_BOUND('',#52547,.T.); #5844=FACE_BOUND('',#52554,.T.); #5845=FACE_BOUND('',#52556,.T.); #5846=FACE_BOUND('',#52563,.T.); #5847=FACE_BOUND('',#52565,.T.); #5848=FACE_BOUND('',#52578,.T.); #5849=FACE_BOUND('',#52580,.T.); #5850=FACE_BOUND('',#52645,.T.); #5851=FACE_BOUND('',#52646,.T.); #5852=FACE_BOUND('',#52648,.T.); #5853=FACE_BOUND('',#52649,.T.); #5854=FACE_BOUND('',#52660,.T.); #5855=FACE_BOUND('',#52661,.T.); #5856=FACE_BOUND('',#52663,.T.); #5857=FACE_BOUND('',#52664,.T.); #5858=FACE_BOUND('',#52677,.T.); #5859=FACE_BOUND('',#52679,.T.); #5860=FACE_BOUND('',#52692,.T.); #5861=FACE_BOUND('',#52694,.T.); #5862=FACE_BOUND('',#52714,.T.); #5863=FACE_BOUND('',#52716,.T.); #5864=FACE_BOUND('',#52734,.T.); #5865=FACE_BOUND('',#52736,.T.); #5866=FACE_BOUND('',#52742,.T.); #5867=FACE_BOUND('',#52744,.T.); #5868=FACE_BOUND('',#52768,.T.); #5869=FACE_BOUND('',#52770,.T.); #5870=FACE_BOUND('',#52788,.T.); #5871=FACE_BOUND('',#52790,.T.); #5872=FACE_BOUND('',#52864,.T.); #5873=FACE_BOUND('',#52866,.T.); #5874=FACE_BOUND('',#52877,.T.); #5875=FACE_BOUND('',#52878,.T.); #5876=FACE_BOUND('',#52880,.T.); #5877=FACE_BOUND('',#52881,.T.); #5878=FACE_BOUND('',#52888,.T.); #5879=FACE_BOUND('',#52890,.T.); #5880=FACE_BOUND('',#52917,.T.); #5881=FACE_BOUND('',#52918,.T.); #5882=FACE_BOUND('',#52920,.T.); #5883=FACE_BOUND('',#52921,.T.); #5884=FACE_BOUND('',#52933,.T.); #5885=FACE_BOUND('',#52934,.T.); #5886=FACE_BOUND('',#52936,.T.); #5887=FACE_BOUND('',#52937,.T.); #5888=FACE_BOUND('',#52944,.T.); #5889=FACE_BOUND('',#52946,.T.); #5890=FACE_BOUND('',#53042,.T.); #5891=FACE_BOUND('',#53043,.T.); #5892=FACE_BOUND('',#53044,.T.); #5893=FACE_BOUND('',#53045,.T.); #5894=FACE_BOUND('',#53046,.T.); #5895=FACE_BOUND('',#53048,.T.); #5896=FACE_BOUND('',#53049,.T.); #5897=FACE_BOUND('',#53050,.T.); #5898=FACE_BOUND('',#53051,.T.); #5899=FACE_BOUND('',#53052,.T.); #5900=FACE_BOUND('',#53103,.T.); #5901=FACE_BOUND('',#53105,.T.); #5902=FACE_BOUND('',#53216,.T.); #5903=FACE_BOUND('',#53217,.T.); #5904=FACE_BOUND('',#53218,.T.); #5905=FACE_BOUND('',#53219,.T.); #5906=FACE_BOUND('',#53220,.T.); #5907=FACE_BOUND('',#53221,.T.); #5908=FACE_BOUND('',#53222,.T.); #5909=FACE_BOUND('',#53223,.T.); #5910=FACE_BOUND('',#53224,.T.); #5911=FACE_BOUND('',#53225,.T.); #5912=FACE_BOUND('',#53226,.T.); #5913=FACE_BOUND('',#53227,.T.); #5914=FACE_BOUND('',#53229,.T.); #5915=FACE_BOUND('',#53230,.T.); #5916=FACE_BOUND('',#53231,.T.); #5917=FACE_BOUND('',#53232,.T.); #5918=FACE_BOUND('',#53233,.T.); #5919=FACE_BOUND('',#53234,.T.); #5920=FACE_BOUND('',#53235,.T.); #5921=FACE_BOUND('',#53236,.T.); #5922=FACE_BOUND('',#53237,.T.); #5923=FACE_BOUND('',#53238,.T.); #5924=FACE_BOUND('',#53239,.T.); #5925=FACE_BOUND('',#53240,.T.); #5926=FACE_BOUND('',#55156,.T.); #5927=FACE_BOUND('',#55157,.T.); #5928=FACE_BOUND('',#55158,.T.); #5929=FACE_BOUND('',#55159,.T.); #5930=FACE_BOUND('',#55160,.T.); #5931=FACE_BOUND('',#55161,.T.); #5932=FACE_BOUND('',#55162,.T.); #5933=FACE_BOUND('',#55163,.T.); #5934=FACE_BOUND('',#55164,.T.); #5935=FACE_BOUND('',#55165,.T.); #5936=FACE_BOUND('',#55166,.T.); #5937=FACE_BOUND('',#55167,.T.); #5938=FACE_BOUND('',#55168,.T.); #5939=FACE_BOUND('',#55169,.T.); #5940=FACE_BOUND('',#55170,.T.); #5941=FACE_BOUND('',#55171,.T.); #5942=FACE_BOUND('',#55172,.T.); #5943=FACE_BOUND('',#55173,.T.); #5944=FACE_BOUND('',#55174,.T.); #5945=FACE_BOUND('',#55175,.T.); #5946=FACE_BOUND('',#55176,.T.); #5947=FACE_BOUND('',#55177,.T.); #5948=FACE_BOUND('',#55178,.T.); #5949=FACE_BOUND('',#55179,.T.); #5950=FACE_BOUND('',#55180,.T.); #5951=FACE_BOUND('',#55181,.T.); #5952=FACE_BOUND('',#55182,.T.); #5953=FACE_BOUND('',#55183,.T.); #5954=FACE_BOUND('',#55184,.T.); #5955=FACE_BOUND('',#55185,.T.); #5956=FACE_BOUND('',#55186,.T.); #5957=FACE_BOUND('',#55187,.T.); #5958=FACE_BOUND('',#55188,.T.); #5959=FACE_BOUND('',#55189,.T.); #5960=FACE_BOUND('',#55190,.T.); #5961=FACE_BOUND('',#55191,.T.); #5962=FACE_BOUND('',#55192,.T.); #5963=FACE_BOUND('',#55193,.T.); #5964=FACE_BOUND('',#55194,.T.); #5965=FACE_BOUND('',#55195,.T.); #5966=FACE_BOUND('',#55196,.T.); #5967=FACE_BOUND('',#55197,.T.); #5968=FACE_BOUND('',#55198,.T.); #5969=FACE_BOUND('',#55199,.T.); #5970=FACE_BOUND('',#55200,.T.); #5971=FACE_BOUND('',#55201,.T.); #5972=FACE_BOUND('',#55202,.T.); #5973=FACE_BOUND('',#55203,.T.); #5974=FACE_BOUND('',#55204,.T.); #5975=FACE_BOUND('',#55205,.T.); #5976=FACE_BOUND('',#55206,.T.); #5977=FACE_BOUND('',#55207,.T.); #5978=FACE_BOUND('',#55208,.T.); #5979=FACE_BOUND('',#55209,.T.); #5980=FACE_BOUND('',#55210,.T.); #5981=FACE_BOUND('',#55211,.T.); #5982=FACE_BOUND('',#55212,.T.); #5983=FACE_BOUND('',#55213,.T.); #5984=FACE_BOUND('',#55214,.T.); #5985=FACE_BOUND('',#55215,.T.); #5986=FACE_BOUND('',#55216,.T.); #5987=FACE_BOUND('',#55217,.T.); #5988=FACE_BOUND('',#55218,.T.); #5989=FACE_BOUND('',#55219,.T.); #5990=FACE_BOUND('',#55220,.T.); #5991=FACE_BOUND('',#55221,.T.); #5992=FACE_BOUND('',#55222,.T.); #5993=FACE_BOUND('',#55223,.T.); #5994=FACE_BOUND('',#55224,.T.); #5995=FACE_BOUND('',#55225,.T.); #5996=FACE_BOUND('',#55226,.T.); #5997=FACE_BOUND('',#55227,.T.); #5998=FACE_BOUND('',#55228,.T.); #5999=FACE_BOUND('',#55229,.T.); #6000=FACE_BOUND('',#55230,.T.); #6001=FACE_BOUND('',#55231,.T.); #6002=FACE_BOUND('',#55232,.T.); #6003=FACE_BOUND('',#55233,.T.); #6004=FACE_BOUND('',#55234,.T.); #6005=FACE_BOUND('',#55235,.T.); #6006=FACE_BOUND('',#55236,.T.); #6007=FACE_BOUND('',#55237,.T.); #6008=FACE_BOUND('',#55238,.T.); #6009=FACE_BOUND('',#55239,.T.); #6010=FACE_BOUND('',#55240,.T.); #6011=FACE_BOUND('',#55241,.T.); #6012=FACE_BOUND('',#55242,.T.); #6013=FACE_BOUND('',#55243,.T.); #6014=FACE_BOUND('',#55244,.T.); #6015=FACE_BOUND('',#55245,.T.); #6016=FACE_BOUND('',#55246,.T.); #6017=FACE_BOUND('',#55247,.T.); #6018=FACE_BOUND('',#55248,.T.); #6019=FACE_BOUND('',#55249,.T.); #6020=FACE_BOUND('',#55250,.T.); #6021=FACE_BOUND('',#55251,.T.); #6022=FACE_BOUND('',#55252,.T.); #6023=FACE_BOUND('',#55253,.T.); #6024=FACE_BOUND('',#55254,.T.); #6025=FACE_BOUND('',#55255,.T.); #6026=FACE_BOUND('',#55256,.T.); #6027=FACE_BOUND('',#55257,.T.); #6028=FACE_BOUND('',#55258,.T.); #6029=FACE_BOUND('',#55259,.T.); #6030=FACE_BOUND('',#55260,.T.); #6031=FACE_BOUND('',#55261,.T.); #6032=FACE_BOUND('',#55262,.T.); #6033=FACE_BOUND('',#55263,.T.); #6034=FACE_BOUND('',#55264,.T.); #6035=FACE_BOUND('',#55265,.T.); #6036=FACE_BOUND('',#55266,.T.); #6037=FACE_BOUND('',#55267,.T.); #6038=FACE_BOUND('',#55268,.T.); #6039=FACE_BOUND('',#55269,.T.); #6040=FACE_BOUND('',#55270,.T.); #6041=FACE_BOUND('',#55271,.T.); #6042=FACE_BOUND('',#55272,.T.); #6043=FACE_BOUND('',#55273,.T.); #6044=FACE_BOUND('',#55274,.T.); #6045=FACE_BOUND('',#55275,.T.); #6046=FACE_BOUND('',#55276,.T.); #6047=FACE_BOUND('',#55277,.T.); #6048=FACE_BOUND('',#55278,.T.); #6049=FACE_BOUND('',#55279,.T.); #6050=FACE_BOUND('',#55280,.T.); #6051=FACE_BOUND('',#55281,.T.); #6052=FACE_BOUND('',#55282,.T.); #6053=FACE_BOUND('',#55283,.T.); #6054=FACE_BOUND('',#55284,.T.); #6055=FACE_BOUND('',#55285,.T.); #6056=FACE_BOUND('',#55286,.T.); #6057=FACE_BOUND('',#55287,.T.); #6058=FACE_BOUND('',#55288,.T.); #6059=FACE_BOUND('',#55289,.T.); #6060=FACE_BOUND('',#55290,.T.); #6061=FACE_BOUND('',#55291,.T.); #6062=FACE_BOUND('',#55292,.T.); #6063=FACE_BOUND('',#55293,.T.); #6064=FACE_BOUND('',#55294,.T.); #6065=FACE_BOUND('',#55295,.T.); #6066=FACE_BOUND('',#55296,.T.); #6067=FACE_BOUND('',#55297,.T.); #6068=FACE_BOUND('',#55298,.T.); #6069=FACE_BOUND('',#55299,.T.); #6070=FACE_BOUND('',#55300,.T.); #6071=FACE_BOUND('',#55302,.T.); #6072=FACE_BOUND('',#55303,.T.); #6073=FACE_BOUND('',#55304,.T.); #6074=FACE_BOUND('',#55305,.T.); #6075=FACE_BOUND('',#55306,.T.); #6076=FACE_BOUND('',#55307,.T.); #6077=FACE_BOUND('',#55308,.T.); #6078=FACE_BOUND('',#55309,.T.); #6079=FACE_BOUND('',#55310,.T.); #6080=FACE_BOUND('',#55311,.T.); #6081=FACE_BOUND('',#55312,.T.); #6082=FACE_BOUND('',#55313,.T.); #6083=FACE_BOUND('',#55314,.T.); #6084=FACE_BOUND('',#55315,.T.); #6085=FACE_BOUND('',#55316,.T.); #6086=FACE_BOUND('',#55317,.T.); #6087=FACE_BOUND('',#55318,.T.); #6088=FACE_BOUND('',#55319,.T.); #6089=FACE_BOUND('',#55320,.T.); #6090=FACE_BOUND('',#55321,.T.); #6091=FACE_BOUND('',#55322,.T.); #6092=FACE_BOUND('',#55323,.T.); #6093=FACE_BOUND('',#55324,.T.); #6094=FACE_BOUND('',#55325,.T.); #6095=FACE_BOUND('',#55326,.T.); #6096=FACE_BOUND('',#55327,.T.); #6097=FACE_BOUND('',#55328,.T.); #6098=FACE_BOUND('',#55329,.T.); #6099=FACE_BOUND('',#55330,.T.); #6100=FACE_BOUND('',#55331,.T.); #6101=FACE_BOUND('',#55332,.T.); #6102=FACE_BOUND('',#55333,.T.); #6103=FACE_BOUND('',#55334,.T.); #6104=FACE_BOUND('',#55335,.T.); #6105=FACE_BOUND('',#55336,.T.); #6106=FACE_BOUND('',#55337,.T.); #6107=FACE_BOUND('',#55338,.T.); #6108=FACE_BOUND('',#55339,.T.); #6109=FACE_BOUND('',#55340,.T.); #6110=FACE_BOUND('',#55341,.T.); #6111=FACE_BOUND('',#55342,.T.); #6112=FACE_BOUND('',#55343,.T.); #6113=FACE_BOUND('',#55344,.T.); #6114=FACE_BOUND('',#55345,.T.); #6115=FACE_BOUND('',#55346,.T.); #6116=FACE_BOUND('',#55347,.T.); #6117=FACE_BOUND('',#55348,.T.); #6118=FACE_BOUND('',#55349,.T.); #6119=FACE_BOUND('',#55350,.T.); #6120=FACE_BOUND('',#55351,.T.); #6121=FACE_BOUND('',#55352,.T.); #6122=FACE_BOUND('',#55353,.T.); #6123=FACE_BOUND('',#55354,.T.); #6124=FACE_BOUND('',#55355,.T.); #6125=FACE_BOUND('',#55356,.T.); #6126=FACE_BOUND('',#55357,.T.); #6127=FACE_BOUND('',#55358,.T.); #6128=FACE_BOUND('',#55359,.T.); #6129=FACE_BOUND('',#55360,.T.); #6130=FACE_BOUND('',#55361,.T.); #6131=FACE_BOUND('',#55362,.T.); #6132=FACE_BOUND('',#55363,.T.); #6133=FACE_BOUND('',#55364,.T.); #6134=FACE_BOUND('',#55365,.T.); #6135=FACE_BOUND('',#55366,.T.); #6136=FACE_BOUND('',#55367,.T.); #6137=FACE_BOUND('',#55368,.T.); #6138=FACE_BOUND('',#55369,.T.); #6139=FACE_BOUND('',#55370,.T.); #6140=FACE_BOUND('',#55371,.T.); #6141=FACE_BOUND('',#55372,.T.); #6142=FACE_BOUND('',#55373,.T.); #6143=FACE_BOUND('',#55374,.T.); #6144=FACE_BOUND('',#55375,.T.); #6145=FACE_BOUND('',#55376,.T.); #6146=FACE_BOUND('',#55377,.T.); #6147=FACE_BOUND('',#55378,.T.); #6148=FACE_BOUND('',#55379,.T.); #6149=FACE_BOUND('',#55380,.T.); #6150=FACE_BOUND('',#55381,.T.); #6151=FACE_BOUND('',#55382,.T.); #6152=FACE_BOUND('',#55383,.T.); #6153=FACE_BOUND('',#55384,.T.); #6154=FACE_BOUND('',#55385,.T.); #6155=FACE_BOUND('',#55386,.T.); #6156=FACE_BOUND('',#55387,.T.); #6157=FACE_BOUND('',#55388,.T.); #6158=FACE_BOUND('',#55389,.T.); #6159=FACE_BOUND('',#55390,.T.); #6160=FACE_BOUND('',#55391,.T.); #6161=FACE_BOUND('',#55392,.T.); #6162=FACE_BOUND('',#55393,.T.); #6163=FACE_BOUND('',#55394,.T.); #6164=FACE_BOUND('',#55395,.T.); #6165=FACE_BOUND('',#55396,.T.); #6166=FACE_BOUND('',#55397,.T.); #6167=FACE_BOUND('',#55398,.T.); #6168=FACE_BOUND('',#55399,.T.); #6169=FACE_BOUND('',#55400,.T.); #6170=FACE_BOUND('',#55401,.T.); #6171=FACE_BOUND('',#55402,.T.); #6172=FACE_BOUND('',#55403,.T.); #6173=FACE_BOUND('',#55404,.T.); #6174=FACE_BOUND('',#55405,.T.); #6175=FACE_BOUND('',#55406,.T.); #6176=FACE_BOUND('',#55407,.T.); #6177=FACE_BOUND('',#55408,.T.); #6178=FACE_BOUND('',#55409,.T.); #6179=FACE_BOUND('',#55410,.T.); #6180=FACE_BOUND('',#55411,.T.); #6181=FACE_BOUND('',#55412,.T.); #6182=FACE_BOUND('',#55413,.T.); #6183=FACE_BOUND('',#55414,.T.); #6184=FACE_BOUND('',#55415,.T.); #6185=FACE_BOUND('',#55416,.T.); #6186=FACE_BOUND('',#55417,.T.); #6187=FACE_BOUND('',#55418,.T.); #6188=FACE_BOUND('',#55419,.T.); #6189=FACE_BOUND('',#55420,.T.); #6190=FACE_BOUND('',#55421,.T.); #6191=FACE_BOUND('',#55422,.T.); #6192=FACE_BOUND('',#55423,.T.); #6193=FACE_BOUND('',#55424,.T.); #6194=FACE_BOUND('',#55425,.T.); #6195=FACE_BOUND('',#55426,.T.); #6196=FACE_BOUND('',#55427,.T.); #6197=FACE_BOUND('',#55428,.T.); #6198=FACE_BOUND('',#55429,.T.); #6199=FACE_BOUND('',#55430,.T.); #6200=FACE_BOUND('',#55431,.T.); #6201=FACE_BOUND('',#55432,.T.); #6202=FACE_BOUND('',#55433,.T.); #6203=FACE_BOUND('',#55434,.T.); #6204=FACE_BOUND('',#55435,.T.); #6205=FACE_BOUND('',#55436,.T.); #6206=FACE_BOUND('',#55437,.T.); #6207=FACE_BOUND('',#55438,.T.); #6208=FACE_BOUND('',#55439,.T.); #6209=FACE_BOUND('',#55440,.T.); #6210=FACE_BOUND('',#55441,.T.); #6211=FACE_BOUND('',#55442,.T.); #6212=FACE_BOUND('',#55443,.T.); #6213=FACE_BOUND('',#55444,.T.); #6214=FACE_BOUND('',#55445,.T.); #6215=FACE_BOUND('',#55446,.T.); #6216=FACE_BOUND('',#55847,.T.); #6217=FACE_BOUND('',#55848,.T.); #6218=FACE_BOUND('',#55849,.T.); #6219=FACE_BOUND('',#55850,.T.); #6220=FACE_BOUND('',#55851,.T.); #6221=FACE_BOUND('',#55852,.T.); #6222=FACE_BOUND('',#55853,.T.); #6223=FACE_BOUND('',#55854,.T.); #6224=FACE_BOUND('',#55855,.T.); #6225=FACE_BOUND('',#55856,.T.); #6226=FACE_BOUND('',#55857,.T.); #6227=FACE_BOUND('',#55858,.T.); #6228=FACE_BOUND('',#55859,.T.); #6229=FACE_BOUND('',#55860,.T.); #6230=FACE_BOUND('',#55861,.T.); #6231=FACE_BOUND('',#55862,.T.); #6232=FACE_BOUND('',#55864,.T.); #6233=FACE_BOUND('',#55865,.T.); #6234=FACE_BOUND('',#55866,.T.); #6235=FACE_BOUND('',#55867,.T.); #6236=FACE_BOUND('',#55868,.T.); #6237=FACE_BOUND('',#55869,.T.); #6238=FACE_BOUND('',#55870,.T.); #6239=FACE_BOUND('',#55871,.T.); #6240=FACE_BOUND('',#55872,.T.); #6241=FACE_BOUND('',#55873,.T.); #6242=FACE_BOUND('',#55874,.T.); #6243=FACE_BOUND('',#55875,.T.); #6244=FACE_BOUND('',#55876,.T.); #6245=FACE_BOUND('',#55877,.T.); #6246=FACE_BOUND('',#55878,.T.); #6247=FACE_BOUND('',#55879,.T.); #6248=FACE_BOUND('',#55967,.T.); #6249=FACE_BOUND('',#55968,.T.); #6250=FACE_BOUND('',#55969,.T.); #6251=FACE_BOUND('',#55970,.T.); #6252=FACE_BOUND('',#55971,.T.); #6253=FACE_BOUND('',#55972,.T.); #6254=FACE_BOUND('',#55973,.T.); #6255=FACE_BOUND('',#55974,.T.); #6256=FACE_BOUND('',#55975,.T.); #6257=FACE_BOUND('',#55976,.T.); #6258=FACE_BOUND('',#55977,.T.); #6259=FACE_BOUND('',#55978,.T.); #6260=FACE_BOUND('',#55979,.T.); #6261=FACE_BOUND('',#55980,.T.); #6262=FACE_BOUND('',#55981,.T.); #6263=FACE_BOUND('',#55982,.T.); #6264=FACE_BOUND('',#55984,.T.); #6265=FACE_BOUND('',#55985,.T.); #6266=FACE_BOUND('',#55986,.T.); #6267=FACE_BOUND('',#55987,.T.); #6268=FACE_BOUND('',#55988,.T.); #6269=FACE_BOUND('',#55989,.T.); #6270=FACE_BOUND('',#55990,.T.); #6271=FACE_BOUND('',#55991,.T.); #6272=FACE_BOUND('',#55992,.T.); #6273=FACE_BOUND('',#55993,.T.); #6274=FACE_BOUND('',#55994,.T.); #6275=FACE_BOUND('',#55995,.T.); #6276=FACE_BOUND('',#55996,.T.); #6277=FACE_BOUND('',#55997,.T.); #6278=FACE_BOUND('',#55998,.T.); #6279=FACE_BOUND('',#55999,.T.); #6280=FACE_BOUND('',#56076,.T.); #6281=FACE_BOUND('',#56077,.T.); #6282=FACE_BOUND('',#56078,.T.); #6283=FACE_BOUND('',#56079,.T.); #6284=FACE_BOUND('',#56080,.T.); #6285=FACE_BOUND('',#56081,.T.); #6286=FACE_BOUND('',#56082,.T.); #6287=FACE_BOUND('',#56083,.T.); #6288=FACE_BOUND('',#56084,.T.); #6289=FACE_BOUND('',#56085,.T.); #6290=FACE_BOUND('',#56086,.T.); #6291=FACE_BOUND('',#56087,.T.); #6292=FACE_BOUND('',#56088,.T.); #6293=FACE_BOUND('',#56089,.T.); #6294=FACE_BOUND('',#56090,.T.); #6295=FACE_BOUND('',#56091,.T.); #6296=FACE_BOUND('',#56092,.T.); #6297=FACE_BOUND('',#56093,.T.); #6298=FACE_BOUND('',#56094,.T.); #6299=FACE_BOUND('',#56095,.T.); #6300=FACE_BOUND('',#56096,.T.); #6301=FACE_BOUND('',#56097,.T.); #6302=FACE_BOUND('',#56098,.T.); #6303=FACE_BOUND('',#56099,.T.); #6304=FACE_BOUND('',#56100,.T.); #6305=FACE_BOUND('',#56101,.T.); #6306=FACE_BOUND('',#56102,.T.); #6307=FACE_BOUND('',#56103,.T.); #6308=FACE_BOUND('',#56104,.T.); #6309=FACE_BOUND('',#56105,.T.); #6310=FACE_BOUND('',#56107,.T.); #6311=FACE_BOUND('',#56108,.T.); #6312=FACE_BOUND('',#56109,.T.); #6313=FACE_BOUND('',#56110,.T.); #6314=FACE_BOUND('',#56111,.T.); #6315=FACE_BOUND('',#56112,.T.); #6316=FACE_BOUND('',#56113,.T.); #6317=FACE_BOUND('',#56114,.T.); #6318=FACE_BOUND('',#56115,.T.); #6319=FACE_BOUND('',#56116,.T.); #6320=FACE_BOUND('',#56117,.T.); #6321=FACE_BOUND('',#56118,.T.); #6322=FACE_BOUND('',#56119,.T.); #6323=FACE_BOUND('',#56120,.T.); #6324=FACE_BOUND('',#56121,.T.); #6325=FACE_BOUND('',#56122,.T.); #6326=FACE_BOUND('',#56123,.T.); #6327=FACE_BOUND('',#56124,.T.); #6328=FACE_BOUND('',#56125,.T.); #6329=FACE_BOUND('',#56126,.T.); #6330=FACE_BOUND('',#56127,.T.); #6331=FACE_BOUND('',#56128,.T.); #6332=FACE_BOUND('',#56129,.T.); #6333=FACE_BOUND('',#56130,.T.); #6334=FACE_BOUND('',#56131,.T.); #6335=FACE_BOUND('',#56132,.T.); #6336=FACE_BOUND('',#56133,.T.); #6337=FACE_BOUND('',#56134,.T.); #6338=FACE_BOUND('',#56135,.T.); #6339=FACE_BOUND('',#56136,.T.); #6340=FACE_BOUND('',#56147,.T.); #6341=FACE_BOUND('',#56148,.T.); #6342=FACE_BOUND('',#56150,.T.); #6343=FACE_BOUND('',#56151,.T.); #6344=FACE_BOUND('',#56187,.T.); #6345=FACE_BOUND('',#56188,.T.); #6346=FACE_BOUND('',#56189,.T.); #6347=FACE_BOUND('',#56190,.T.); #6348=FACE_BOUND('',#56191,.T.); #6349=FACE_BOUND('',#56192,.T.); #6350=FACE_BOUND('',#56193,.T.); #6351=FACE_BOUND('',#56194,.T.); #6352=FACE_BOUND('',#56195,.T.); #6353=FACE_BOUND('',#56196,.T.); #6354=FACE_BOUND('',#56198,.T.); #6355=FACE_BOUND('',#56199,.T.); #6356=FACE_BOUND('',#56200,.T.); #6357=FACE_BOUND('',#56201,.T.); #6358=FACE_BOUND('',#56202,.T.); #6359=FACE_BOUND('',#56203,.T.); #6360=FACE_BOUND('',#56204,.T.); #6361=FACE_BOUND('',#56205,.T.); #6362=FACE_BOUND('',#56206,.T.); #6363=FACE_BOUND('',#56207,.T.); #6364=FACE_BOUND('',#56213,.T.); #6365=FACE_BOUND('',#56215,.T.); #6366=FACE_BOUND('',#56292,.T.); #6367=FACE_BOUND('',#56293,.T.); #6368=FACE_BOUND('',#56294,.T.); #6369=FACE_BOUND('',#56295,.T.); #6370=FACE_BOUND('',#56296,.T.); #6371=FACE_BOUND('',#56297,.T.); #6372=FACE_BOUND('',#56298,.T.); #6373=FACE_BOUND('',#56299,.T.); #6374=FACE_BOUND('',#56300,.T.); #6375=FACE_BOUND('',#56301,.T.); #6376=FACE_BOUND('',#56302,.T.); #6377=FACE_BOUND('',#56303,.T.); #6378=FACE_BOUND('',#56304,.T.); #6379=FACE_BOUND('',#56305,.T.); #6380=FACE_BOUND('',#56306,.T.); #6381=FACE_BOUND('',#56307,.T.); #6382=FACE_BOUND('',#56308,.T.); #6383=FACE_BOUND('',#56309,.T.); #6384=FACE_BOUND('',#56310,.T.); #6385=FACE_BOUND('',#56311,.T.); #6386=FACE_BOUND('',#56312,.T.); #6387=FACE_BOUND('',#56313,.T.); #6388=FACE_BOUND('',#56314,.T.); #6389=FACE_BOUND('',#56315,.T.); #6390=FACE_BOUND('',#56316,.T.); #6391=FACE_BOUND('',#56317,.T.); #6392=FACE_BOUND('',#56318,.T.); #6393=FACE_BOUND('',#56319,.T.); #6394=FACE_BOUND('',#56320,.T.); #6395=FACE_BOUND('',#56321,.T.); #6396=FACE_BOUND('',#56323,.T.); #6397=FACE_BOUND('',#56324,.T.); #6398=FACE_BOUND('',#56325,.T.); #6399=FACE_BOUND('',#56326,.T.); #6400=FACE_BOUND('',#56327,.T.); #6401=FACE_BOUND('',#56328,.T.); #6402=FACE_BOUND('',#56329,.T.); #6403=FACE_BOUND('',#56330,.T.); #6404=FACE_BOUND('',#56331,.T.); #6405=FACE_BOUND('',#56332,.T.); #6406=FACE_BOUND('',#56333,.T.); #6407=FACE_BOUND('',#56334,.T.); #6408=FACE_BOUND('',#56335,.T.); #6409=FACE_BOUND('',#56336,.T.); #6410=FACE_BOUND('',#56337,.T.); #6411=FACE_BOUND('',#56338,.T.); #6412=FACE_BOUND('',#56339,.T.); #6413=FACE_BOUND('',#56340,.T.); #6414=FACE_BOUND('',#56341,.T.); #6415=FACE_BOUND('',#56342,.T.); #6416=FACE_BOUND('',#56343,.T.); #6417=FACE_BOUND('',#56344,.T.); #6418=FACE_BOUND('',#56345,.T.); #6419=FACE_BOUND('',#56346,.T.); #6420=FACE_BOUND('',#56347,.T.); #6421=FACE_BOUND('',#56348,.T.); #6422=FACE_BOUND('',#56349,.T.); #6423=FACE_BOUND('',#56350,.T.); #6424=FACE_BOUND('',#56351,.T.); #6425=FACE_BOUND('',#56352,.T.); #6426=FACE_BOUND('',#56363,.T.); #6427=FACE_BOUND('',#56364,.T.); #6428=FACE_BOUND('',#56366,.T.); #6429=FACE_BOUND('',#56367,.T.); #6430=FACE_BOUND('',#56373,.T.); #6431=FACE_BOUND('',#56375,.T.); #6432=FACE_BOUND('',#56559,.T.); #6433=FACE_BOUND('',#56560,.T.); #6434=FACE_BOUND('',#56561,.T.); #6435=FACE_BOUND('',#56562,.T.); #6436=FACE_BOUND('',#56563,.T.); #6437=FACE_BOUND('',#56564,.T.); #6438=FACE_BOUND('',#56565,.T.); #6439=FACE_BOUND('',#56566,.T.); #6440=FACE_BOUND('',#56567,.T.); #6441=FACE_BOUND('',#56568,.T.); #6442=FACE_BOUND('',#56569,.T.); #6443=FACE_BOUND('',#56570,.T.); #6444=FACE_BOUND('',#56571,.T.); #6445=FACE_BOUND('',#56572,.T.); #6446=FACE_BOUND('',#56573,.T.); #6447=FACE_BOUND('',#56574,.T.); #6448=FACE_BOUND('',#56576,.T.); #6449=FACE_BOUND('',#56577,.T.); #6450=FACE_BOUND('',#56578,.T.); #6451=FACE_BOUND('',#56579,.T.); #6452=FACE_BOUND('',#56580,.T.); #6453=FACE_BOUND('',#56581,.T.); #6454=FACE_BOUND('',#56582,.T.); #6455=FACE_BOUND('',#56583,.T.); #6456=FACE_BOUND('',#56584,.T.); #6457=FACE_BOUND('',#56585,.T.); #6458=FACE_BOUND('',#56586,.T.); #6459=FACE_BOUND('',#56587,.T.); #6460=FACE_BOUND('',#56588,.T.); #6461=FACE_BOUND('',#56589,.T.); #6462=FACE_BOUND('',#56590,.T.); #6463=FACE_BOUND('',#56591,.T.); #6464=FACE_BOUND('',#56597,.T.); #6465=FACE_BOUND('',#56599,.T.); #6466=FACE_BOUND('',#56605,.T.); #6467=FACE_BOUND('',#56607,.T.); #6468=FACE_BOUND('',#56613,.T.); #6469=FACE_BOUND('',#56615,.T.); #6470=FACE_BOUND('',#56621,.T.); #6471=FACE_BOUND('',#56623,.T.); #6472=FACE_BOUND('',#56630,.T.); #6473=FACE_BOUND('',#56632,.T.); #6474=FACE_BOUND('',#56638,.T.); #6475=FACE_BOUND('',#56640,.T.); #6476=FACE_BOUND('',#56646,.T.); #6477=FACE_BOUND('',#56648,.T.); #6478=FACE_BOUND('',#56654,.T.); #6479=FACE_BOUND('',#56656,.T.); #6480=FACE_BOUND('',#56662,.T.); #6481=FACE_BOUND('',#56664,.T.); #6482=FACE_BOUND('',#56726,.T.); #6483=FACE_BOUND('',#56728,.T.); #6484=FACE_BOUND('',#56806,.T.); #6485=FACE_BOUND('',#56808,.T.); #6486=FACE_BOUND('',#56870,.T.); #6487=FACE_BOUND('',#56872,.T.); #6488=FACE_BOUND('',#56879,.T.); #6489=FACE_BOUND('',#56881,.T.); #6490=FACE_BOUND('',#56943,.T.); #6491=FACE_BOUND('',#56945,.T.); #6492=FACE_BOUND('',#57023,.T.); #6493=FACE_BOUND('',#57025,.T.); #6494=FACE_BOUND('',#57031,.T.); #6495=FACE_BOUND('',#57033,.T.); #6496=FACE_BOUND('',#57039,.T.); #6497=FACE_BOUND('',#57041,.T.); #6498=FACE_BOUND('',#57103,.T.); #6499=FACE_BOUND('',#57105,.T.); #6500=FACE_BOUND('',#57111,.T.); #6501=FACE_BOUND('',#57113,.T.); #6502=FACE_BOUND('',#57119,.T.); #6503=FACE_BOUND('',#57121,.T.); #6504=FACE_BOUND('',#57127,.T.); #6505=FACE_BOUND('',#57129,.T.); #6506=FACE_BOUND('',#57136,.T.); #6507=FACE_BOUND('',#57138,.T.); #6508=FACE_BOUND('',#57144,.T.); #6509=FACE_BOUND('',#57146,.T.); #6510=FACE_BOUND('',#57292,.T.); #6511=FACE_BOUND('',#57293,.T.); #6512=FACE_BOUND('',#57295,.T.); #6513=FACE_BOUND('',#57296,.T.); #6514=FACE_BOUND('',#57310,.T.); #6515=FACE_BOUND('',#57311,.T.); #6516=FACE_BOUND('',#57313,.T.); #6517=FACE_BOUND('',#57314,.T.); #6518=FACE_BOUND('',#57417,.T.); #6519=FACE_BOUND('',#57419,.T.); #6520=FACE_BOUND('',#57464,.T.); #6521=FACE_BOUND('',#57465,.T.); #6522=FACE_BOUND('',#57466,.T.); #6523=FACE_BOUND('',#57467,.T.); #6524=FACE_BOUND('',#57468,.T.); #6525=FACE_BOUND('',#57469,.T.); #6526=FACE_BOUND('',#57470,.T.); #6527=FACE_BOUND('',#57471,.T.); #6528=FACE_BOUND('',#57472,.T.); #6529=FACE_BOUND('',#57473,.T.); #6530=FACE_BOUND('',#57474,.T.); #6531=FACE_BOUND('',#57475,.T.); #6532=FACE_BOUND('',#57476,.T.); #6533=FACE_BOUND('',#57477,.T.); #6534=FACE_BOUND('',#57478,.T.); #6535=FACE_BOUND('',#57480,.T.); #6536=FACE_BOUND('',#57481,.T.); #6537=FACE_BOUND('',#57482,.T.); #6538=FACE_BOUND('',#57483,.T.); #6539=FACE_BOUND('',#57484,.T.); #6540=FACE_BOUND('',#57485,.T.); #6541=FACE_BOUND('',#57486,.T.); #6542=FACE_BOUND('',#57487,.T.); #6543=FACE_BOUND('',#57488,.T.); #6544=FACE_BOUND('',#57489,.T.); #6545=FACE_BOUND('',#57490,.T.); #6546=FACE_BOUND('',#57491,.T.); #6547=FACE_BOUND('',#57492,.T.); #6548=FACE_BOUND('',#57493,.T.); #6549=FACE_BOUND('',#57494,.T.); #6550=FACE_BOUND('',#57519,.T.); #6551=FACE_BOUND('',#57521,.T.); #6552=FACE_BOUND('',#57566,.T.); #6553=FACE_BOUND('',#57567,.T.); #6554=FACE_BOUND('',#57568,.T.); #6555=FACE_BOUND('',#57569,.T.); #6556=FACE_BOUND('',#57570,.T.); #6557=FACE_BOUND('',#57571,.T.); #6558=FACE_BOUND('',#57572,.T.); #6559=FACE_BOUND('',#57573,.T.); #6560=FACE_BOUND('',#57574,.T.); #6561=FACE_BOUND('',#57575,.T.); #6562=FACE_BOUND('',#57576,.T.); #6563=FACE_BOUND('',#57577,.T.); #6564=FACE_BOUND('',#57578,.T.); #6565=FACE_BOUND('',#57579,.T.); #6566=FACE_BOUND('',#57580,.T.); #6567=FACE_BOUND('',#57582,.T.); #6568=FACE_BOUND('',#57583,.T.); #6569=FACE_BOUND('',#57584,.T.); #6570=FACE_BOUND('',#57585,.T.); #6571=FACE_BOUND('',#57586,.T.); #6572=FACE_BOUND('',#57587,.T.); #6573=FACE_BOUND('',#57588,.T.); #6574=FACE_BOUND('',#57589,.T.); #6575=FACE_BOUND('',#57590,.T.); #6576=FACE_BOUND('',#57591,.T.); #6577=FACE_BOUND('',#57592,.T.); #6578=FACE_BOUND('',#57593,.T.); #6579=FACE_BOUND('',#57594,.T.); #6580=FACE_BOUND('',#57595,.T.); #6581=FACE_BOUND('',#57596,.T.); #6582=FACE_BOUND('',#57621,.T.); #6583=FACE_BOUND('',#57623,.T.); #6584=FACE_BOUND('',#57630,.T.); #6585=FACE_BOUND('',#57632,.T.); #6586=FACE_BOUND('',#57639,.T.); #6587=FACE_BOUND('',#57641,.T.); #6588=FACE_BOUND('',#57662,.T.); #6589=FACE_BOUND('',#57663,.T.); #6590=FACE_BOUND('',#57664,.T.); #6591=FACE_BOUND('',#57665,.T.); #6592=FACE_BOUND('',#57666,.T.); #6593=FACE_BOUND('',#57667,.T.); #6594=FACE_BOUND('',#57668,.T.); #6595=FACE_BOUND('',#57669,.T.); #6596=FACE_BOUND('',#57670,.T.); #6597=FACE_BOUND('',#57671,.T.); #6598=FACE_BOUND('',#57672,.T.); #6599=FACE_BOUND('',#57673,.T.); #6600=FACE_BOUND('',#57674,.T.); #6601=FACE_BOUND('',#57675,.T.); #6602=FACE_BOUND('',#57676,.T.); #6603=FACE_BOUND('',#57678,.T.); #6604=FACE_BOUND('',#57679,.T.); #6605=FACE_BOUND('',#57680,.T.); #6606=FACE_BOUND('',#57681,.T.); #6607=FACE_BOUND('',#57682,.T.); #6608=FACE_BOUND('',#57683,.T.); #6609=FACE_BOUND('',#57684,.T.); #6610=FACE_BOUND('',#57685,.T.); #6611=FACE_BOUND('',#57686,.T.); #6612=FACE_BOUND('',#57687,.T.); #6613=FACE_BOUND('',#57688,.T.); #6614=FACE_BOUND('',#57689,.T.); #6615=FACE_BOUND('',#57690,.T.); #6616=FACE_BOUND('',#57691,.T.); #6617=FACE_BOUND('',#57692,.T.); #6618=FACE_BOUND('',#57833,.T.); #6619=FACE_BOUND('',#57834,.T.); #6620=FACE_BOUND('',#57835,.T.); #6621=FACE_BOUND('',#57836,.T.); #6622=FACE_BOUND('',#57837,.T.); #6623=FACE_BOUND('',#57838,.T.); #6624=FACE_BOUND('',#57839,.T.); #6625=FACE_BOUND('',#57840,.T.); #6626=FACE_BOUND('',#57841,.T.); #6627=FACE_BOUND('',#57842,.T.); #6628=FACE_BOUND('',#57843,.T.); #6629=FACE_BOUND('',#57844,.T.); #6630=FACE_BOUND('',#57845,.T.); #6631=FACE_BOUND('',#57846,.T.); #6632=FACE_BOUND('',#57847,.T.); #6633=FACE_BOUND('',#57849,.T.); #6634=FACE_BOUND('',#57850,.T.); #6635=FACE_BOUND('',#57851,.T.); #6636=FACE_BOUND('',#57852,.T.); #6637=FACE_BOUND('',#57853,.T.); #6638=FACE_BOUND('',#57854,.T.); #6639=FACE_BOUND('',#57855,.T.); #6640=FACE_BOUND('',#57856,.T.); #6641=FACE_BOUND('',#57857,.T.); #6642=FACE_BOUND('',#57858,.T.); #6643=FACE_BOUND('',#57859,.T.); #6644=FACE_BOUND('',#57860,.T.); #6645=FACE_BOUND('',#57861,.T.); #6646=FACE_BOUND('',#57862,.T.); #6647=FACE_BOUND('',#57863,.T.); #6648=FACE_BOUND('',#57906,.T.); #6649=FACE_BOUND('',#57908,.T.); #6650=FACE_BOUND('',#57916,.T.); #6651=FACE_BOUND('',#57917,.T.); #6652=FACE_BOUND('',#57919,.T.); #6653=FACE_BOUND('',#57920,.T.); #6654=FACE_BOUND('',#57928,.T.); #6655=FACE_BOUND('',#57929,.T.); #6656=FACE_BOUND('',#57931,.T.); #6657=FACE_BOUND('',#57932,.T.); #6658=FACE_BOUND('',#57939,.T.); #6659=FACE_BOUND('',#57941,.T.); #6660=FACE_BOUND('',#58279,.T.); #6661=FACE_BOUND('',#58280,.T.); #6662=FACE_BOUND('',#58281,.T.); #6663=FACE_BOUND('',#58282,.T.); #6664=FACE_BOUND('',#58283,.T.); #6665=FACE_BOUND('',#58284,.T.); #6666=FACE_BOUND('',#58285,.T.); #6667=FACE_BOUND('',#58286,.T.); #6668=FACE_BOUND('',#58287,.T.); #6669=FACE_BOUND('',#58288,.T.); #6670=FACE_BOUND('',#58289,.T.); #6671=FACE_BOUND('',#58290,.T.); #6672=FACE_BOUND('',#58291,.T.); #6673=FACE_BOUND('',#58292,.T.); #6674=FACE_BOUND('',#58293,.T.); #6675=FACE_BOUND('',#58294,.T.); #6676=FACE_BOUND('',#58295,.T.); #6677=FACE_BOUND('',#58296,.T.); #6678=FACE_BOUND('',#58297,.T.); #6679=FACE_BOUND('',#58298,.T.); #6680=FACE_BOUND('',#58299,.T.); #6681=FACE_BOUND('',#58300,.T.); #6682=FACE_BOUND('',#58301,.T.); #6683=FACE_BOUND('',#58302,.T.); #6684=FACE_BOUND('',#58303,.T.); #6685=FACE_BOUND('',#58304,.T.); #6686=FACE_BOUND('',#58305,.T.); #6687=FACE_BOUND('',#58306,.T.); #6688=FACE_BOUND('',#58307,.T.); #6689=FACE_BOUND('',#58308,.T.); #6690=FACE_BOUND('',#58309,.T.); #6691=FACE_BOUND('',#58310,.T.); #6692=FACE_BOUND('',#58311,.T.); #6693=FACE_BOUND('',#58312,.T.); #6694=FACE_BOUND('',#58313,.T.); #6695=FACE_BOUND('',#58314,.T.); #6696=FACE_BOUND('',#58315,.T.); #6697=FACE_BOUND('',#58316,.T.); #6698=FACE_BOUND('',#58317,.T.); #6699=FACE_BOUND('',#58318,.T.); #6700=FACE_BOUND('',#58319,.T.); #6701=FACE_BOUND('',#58320,.T.); #6702=FACE_BOUND('',#58321,.T.); #6703=FACE_BOUND('',#58322,.T.); #6704=FACE_BOUND('',#58323,.T.); #6705=FACE_BOUND('',#58324,.T.); #6706=FACE_BOUND('',#58325,.T.); #6707=FACE_BOUND('',#58326,.T.); #6708=FACE_BOUND('',#58327,.T.); #6709=FACE_BOUND('',#58328,.T.); #6710=FACE_BOUND('',#58329,.T.); #6711=FACE_BOUND('',#58330,.T.); #6712=FACE_BOUND('',#58331,.T.); #6713=FACE_BOUND('',#58332,.T.); #6714=FACE_BOUND('',#58333,.T.); #6715=FACE_BOUND('',#58334,.T.); #6716=FACE_BOUND('',#58335,.T.); #6717=FACE_BOUND('',#58336,.T.); #6718=FACE_BOUND('',#58337,.T.); #6719=FACE_BOUND('',#58338,.T.); #6720=FACE_BOUND('',#58339,.T.); #6721=FACE_BOUND('',#58340,.T.); #6722=FACE_BOUND('',#58341,.T.); #6723=FACE_BOUND('',#58342,.T.); #6724=FACE_BOUND('',#58343,.T.); #6725=FACE_BOUND('',#58344,.T.); #6726=FACE_BOUND('',#58345,.T.); #6727=FACE_BOUND('',#58346,.T.); #6728=FACE_BOUND('',#58347,.T.); #6729=FACE_BOUND('',#58348,.T.); #6730=FACE_BOUND('',#58349,.T.); #6731=FACE_BOUND('',#58350,.T.); #6732=FACE_BOUND('',#58351,.T.); #6733=FACE_BOUND('',#58352,.T.); #6734=FACE_BOUND('',#58353,.T.); #6735=FACE_BOUND('',#58354,.T.); #6736=FACE_BOUND('',#58355,.T.); #6737=FACE_BOUND('',#58356,.T.); #6738=FACE_BOUND('',#58357,.T.); #6739=FACE_BOUND('',#58358,.T.); #6740=FACE_BOUND('',#58359,.T.); #6741=FACE_BOUND('',#58360,.T.); #6742=FACE_BOUND('',#58361,.T.); #6743=FACE_BOUND('',#58362,.T.); #6744=FACE_BOUND('',#58363,.T.); #6745=FACE_BOUND('',#58364,.T.); #6746=FACE_BOUND('',#58365,.T.); #6747=FACE_BOUND('',#58366,.T.); #6748=FACE_BOUND('',#58367,.T.); #6749=FACE_BOUND('',#58368,.T.); #6750=FACE_BOUND('',#58369,.T.); #6751=FACE_BOUND('',#58370,.T.); #6752=FACE_BOUND('',#58371,.T.); #6753=FACE_BOUND('',#58372,.T.); #6754=FACE_BOUND('',#58373,.T.); #6755=FACE_BOUND('',#58374,.T.); #6756=FACE_BOUND('',#58375,.T.); #6757=FACE_BOUND('',#58376,.T.); #6758=FACE_BOUND('',#58377,.T.); #6759=FACE_BOUND('',#58378,.T.); #6760=FACE_BOUND('',#58379,.T.); #6761=FACE_BOUND('',#58380,.T.); #6762=FACE_BOUND('',#58381,.T.); #6763=FACE_BOUND('',#58382,.T.); #6764=FACE_BOUND('',#58383,.T.); #6765=FACE_BOUND('',#58384,.T.); #6766=FACE_BOUND('',#58385,.T.); #6767=FACE_BOUND('',#58386,.T.); #6768=FACE_BOUND('',#58387,.T.); #6769=FACE_BOUND('',#58388,.T.); #6770=FACE_BOUND('',#58389,.T.); #6771=FACE_BOUND('',#58390,.T.); #6772=FACE_BOUND('',#58391,.T.); #6773=FACE_BOUND('',#58392,.T.); #6774=FACE_BOUND('',#58393,.T.); #6775=FACE_BOUND('',#58394,.T.); #6776=FACE_BOUND('',#58395,.T.); #6777=FACE_BOUND('',#58396,.T.); #6778=FACE_BOUND('',#58397,.T.); #6779=FACE_BOUND('',#58398,.T.); #6780=FACE_BOUND('',#58399,.T.); #6781=FACE_BOUND('',#58400,.T.); #6782=FACE_BOUND('',#58401,.T.); #6783=FACE_BOUND('',#58402,.T.); #6784=FACE_BOUND('',#58403,.T.); #6785=FACE_BOUND('',#58404,.T.); #6786=FACE_BOUND('',#58405,.T.); #6787=FACE_BOUND('',#58406,.T.); #6788=FACE_BOUND('',#58407,.T.); #6789=FACE_BOUND('',#58408,.T.); #6790=FACE_BOUND('',#58409,.T.); #6791=FACE_BOUND('',#58410,.T.); #6792=FACE_BOUND('',#58411,.T.); #6793=FACE_BOUND('',#58412,.T.); #6794=FACE_BOUND('',#58413,.T.); #6795=FACE_BOUND('',#58414,.T.); #6796=FACE_BOUND('',#58415,.T.); #6797=FACE_BOUND('',#58416,.T.); #6798=FACE_BOUND('',#58417,.T.); #6799=FACE_BOUND('',#58418,.T.); #6800=FACE_BOUND('',#58419,.T.); #6801=FACE_BOUND('',#58420,.T.); #6802=FACE_BOUND('',#58421,.T.); #6803=FACE_BOUND('',#58422,.T.); #6804=FACE_BOUND('',#58423,.T.); #6805=FACE_BOUND('',#58424,.T.); #6806=FACE_BOUND('',#58425,.T.); #6807=FACE_BOUND('',#58426,.T.); #6808=FACE_BOUND('',#58427,.T.); #6809=FACE_BOUND('',#58428,.T.); #6810=FACE_BOUND('',#58429,.T.); #6811=FACE_BOUND('',#58430,.T.); #6812=FACE_BOUND('',#58431,.T.); #6813=FACE_BOUND('',#58432,.T.); #6814=FACE_BOUND('',#58433,.T.); #6815=FACE_BOUND('',#58434,.T.); #6816=FACE_BOUND('',#58435,.T.); #6817=FACE_BOUND('',#58436,.T.); #6818=FACE_BOUND('',#58437,.T.); #6819=FACE_BOUND('',#58438,.T.); #6820=FACE_BOUND('',#58439,.T.); #6821=FACE_BOUND('',#58440,.T.); #6822=FACE_BOUND('',#58441,.T.); #6823=FACE_BOUND('',#58442,.T.); #6824=FACE_BOUND('',#58443,.T.); #6825=FACE_BOUND('',#58444,.T.); #6826=FACE_BOUND('',#58445,.T.); #6827=FACE_BOUND('',#58446,.T.); #6828=FACE_BOUND('',#58447,.T.); #6829=FACE_BOUND('',#58448,.T.); #6830=FACE_BOUND('',#58449,.T.); #6831=FACE_BOUND('',#58450,.T.); #6832=FACE_BOUND('',#58451,.T.); #6833=FACE_BOUND('',#58452,.T.); #6834=FACE_BOUND('',#58453,.T.); #6835=FACE_BOUND('',#58454,.T.); #6836=FACE_BOUND('',#58455,.T.); #6837=FACE_BOUND('',#58456,.T.); #6838=FACE_BOUND('',#58457,.T.); #6839=FACE_BOUND('',#58458,.T.); #6840=FACE_BOUND('',#58459,.T.); #6841=FACE_BOUND('',#58460,.T.); #6842=FACE_BOUND('',#58461,.T.); #6843=FACE_BOUND('',#58462,.T.); #6844=FACE_BOUND('',#58463,.T.); #6845=FACE_BOUND('',#58464,.T.); #6846=FACE_BOUND('',#58465,.T.); #6847=FACE_BOUND('',#58466,.T.); #6848=FACE_BOUND('',#58467,.T.); #6849=FACE_BOUND('',#58468,.T.); #6850=FACE_BOUND('',#58469,.T.); #6851=FACE_BOUND('',#58470,.T.); #6852=FACE_BOUND('',#58471,.T.); #6853=FACE_BOUND('',#58472,.T.); #6854=FACE_BOUND('',#58473,.T.); #6855=FACE_BOUND('',#58474,.T.); #6856=FACE_BOUND('',#58475,.T.); #6857=FACE_BOUND('',#58476,.T.); #6858=FACE_BOUND('',#58477,.T.); #6859=FACE_BOUND('',#58478,.T.); #6860=FACE_BOUND('',#58479,.T.); #6861=FACE_BOUND('',#58480,.T.); #6862=FACE_BOUND('',#58481,.T.); #6863=FACE_BOUND('',#58482,.T.); #6864=FACE_BOUND('',#58483,.T.); #6865=FACE_BOUND('',#58484,.T.); #6866=FACE_BOUND('',#58485,.T.); #6867=FACE_BOUND('',#58486,.T.); #6868=FACE_BOUND('',#58487,.T.); #6869=FACE_BOUND('',#58488,.T.); #6870=FACE_BOUND('',#58489,.T.); #6871=FACE_BOUND('',#58490,.T.); #6872=FACE_BOUND('',#58491,.T.); #6873=FACE_BOUND('',#58492,.T.); #6874=FACE_BOUND('',#58493,.T.); #6875=FACE_BOUND('',#58494,.T.); #6876=FACE_BOUND('',#58495,.T.); #6877=FACE_BOUND('',#58496,.T.); #6878=FACE_BOUND('',#58497,.T.); #6879=FACE_BOUND('',#58498,.T.); #6880=FACE_BOUND('',#58499,.T.); #6881=FACE_BOUND('',#58500,.T.); #6882=FACE_BOUND('',#58501,.T.); #6883=FACE_BOUND('',#58502,.T.); #6884=FACE_BOUND('',#58503,.T.); #6885=FACE_BOUND('',#58504,.T.); #6886=FACE_BOUND('',#58505,.T.); #6887=FACE_BOUND('',#58506,.T.); #6888=FACE_BOUND('',#58507,.T.); #6889=FACE_BOUND('',#58508,.T.); #6890=FACE_BOUND('',#58509,.T.); #6891=FACE_BOUND('',#58510,.T.); #6892=FACE_BOUND('',#58511,.T.); #6893=FACE_BOUND('',#58512,.T.); #6894=FACE_BOUND('',#58513,.T.); #6895=FACE_BOUND('',#58514,.T.); #6896=FACE_BOUND('',#58515,.T.); #6897=FACE_BOUND('',#58516,.T.); #6898=FACE_BOUND('',#58517,.T.); #6899=FACE_BOUND('',#58518,.T.); #6900=FACE_BOUND('',#58519,.T.); #6901=FACE_BOUND('',#58520,.T.); #6902=FACE_BOUND('',#58521,.T.); #6903=FACE_BOUND('',#58522,.T.); #6904=FACE_BOUND('',#58523,.T.); #6905=FACE_BOUND('',#58524,.T.); #6906=FACE_BOUND('',#58525,.T.); #6907=FACE_BOUND('',#58526,.T.); #6908=FACE_BOUND('',#58527,.T.); #6909=FACE_BOUND('',#58528,.T.); #6910=FACE_BOUND('',#58529,.T.); #6911=FACE_BOUND('',#58530,.T.); #6912=FACE_BOUND('',#58531,.T.); #6913=FACE_BOUND('',#58532,.T.); #6914=FACE_BOUND('',#58533,.T.); #6915=FACE_BOUND('',#58534,.T.); #6916=FACE_BOUND('',#58535,.T.); #6917=FACE_BOUND('',#58536,.T.); #6918=FACE_BOUND('',#58537,.T.); #6919=FACE_BOUND('',#58538,.T.); #6920=FACE_BOUND('',#58539,.T.); #6921=FACE_BOUND('',#58540,.T.); #6922=FACE_BOUND('',#58541,.T.); #6923=FACE_BOUND('',#58542,.T.); #6924=FACE_BOUND('',#58543,.T.); #6925=FACE_BOUND('',#58544,.T.); #6926=FACE_BOUND('',#58545,.T.); #6927=FACE_BOUND('',#58546,.T.); #6928=FACE_BOUND('',#58547,.T.); #6929=FACE_BOUND('',#58548,.T.); #6930=FACE_BOUND('',#58549,.T.); #6931=FACE_BOUND('',#58550,.T.); #6932=FACE_BOUND('',#58551,.T.); #6933=FACE_BOUND('',#58552,.T.); #6934=FACE_BOUND('',#58553,.T.); #6935=FACE_BOUND('',#58554,.T.); #6936=FACE_BOUND('',#58555,.T.); #6937=FACE_BOUND('',#58556,.T.); #6938=FACE_BOUND('',#58557,.T.); #6939=FACE_BOUND('',#58558,.T.); #6940=FACE_BOUND('',#58559,.T.); #6941=FACE_BOUND('',#58560,.T.); #6942=FACE_BOUND('',#58561,.T.); #6943=FACE_BOUND('',#58562,.T.); #6944=FACE_BOUND('',#58563,.T.); #6945=FACE_BOUND('',#58564,.T.); #6946=FACE_BOUND('',#58565,.T.); #6947=FACE_BOUND('',#58566,.T.); #6948=FACE_BOUND('',#58567,.T.); #6949=FACE_BOUND('',#58568,.T.); #6950=FACE_BOUND('',#58569,.T.); #6951=FACE_BOUND('',#58570,.T.); #6952=FACE_BOUND('',#58571,.T.); #6953=FACE_BOUND('',#58572,.T.); #6954=FACE_BOUND('',#58573,.T.); #6955=FACE_BOUND('',#58574,.T.); #6956=FACE_BOUND('',#58575,.T.); #6957=FACE_BOUND('',#58576,.T.); #6958=FACE_BOUND('',#58577,.T.); #6959=FACE_BOUND('',#58578,.T.); #6960=FACE_BOUND('',#58579,.T.); #6961=FACE_BOUND('',#58580,.T.); #6962=FACE_BOUND('',#58581,.T.); #6963=FACE_BOUND('',#58582,.T.); #6964=FACE_BOUND('',#58583,.T.); #6965=FACE_BOUND('',#58584,.T.); #6966=FACE_BOUND('',#58585,.T.); #6967=FACE_BOUND('',#58586,.T.); #6968=FACE_BOUND('',#58587,.T.); #6969=FACE_BOUND('',#58588,.T.); #6970=FACE_BOUND('',#58589,.T.); #6971=FACE_BOUND('',#58590,.T.); #6972=FACE_BOUND('',#58591,.T.); #6973=FACE_BOUND('',#58592,.T.); #6974=FACE_BOUND('',#58593,.T.); #6975=FACE_BOUND('',#58594,.T.); #6976=FACE_BOUND('',#58595,.T.); #6977=FACE_BOUND('',#58597,.T.); #6978=FACE_BOUND('',#58598,.T.); #6979=FACE_BOUND('',#58599,.T.); #6980=FACE_BOUND('',#58600,.T.); #6981=FACE_BOUND('',#58601,.T.); #6982=FACE_BOUND('',#58602,.T.); #6983=FACE_BOUND('',#58603,.T.); #6984=FACE_BOUND('',#58604,.T.); #6985=FACE_BOUND('',#58605,.T.); #6986=FACE_BOUND('',#58606,.T.); #6987=FACE_BOUND('',#58607,.T.); #6988=FACE_BOUND('',#58608,.T.); #6989=FACE_BOUND('',#58609,.T.); #6990=FACE_BOUND('',#58610,.T.); #6991=FACE_BOUND('',#58611,.T.); #6992=FACE_BOUND('',#58612,.T.); #6993=FACE_BOUND('',#58613,.T.); #6994=FACE_BOUND('',#58614,.T.); #6995=FACE_BOUND('',#58615,.T.); #6996=FACE_BOUND('',#58616,.T.); #6997=FACE_BOUND('',#58617,.T.); #6998=FACE_BOUND('',#58618,.T.); #6999=FACE_BOUND('',#58619,.T.); #7000=FACE_BOUND('',#58620,.T.); #7001=FACE_BOUND('',#58621,.T.); #7002=FACE_BOUND('',#58622,.T.); #7003=FACE_BOUND('',#58623,.T.); #7004=FACE_BOUND('',#58624,.T.); #7005=FACE_BOUND('',#58625,.T.); #7006=FACE_BOUND('',#58626,.T.); #7007=FACE_BOUND('',#58627,.T.); #7008=FACE_BOUND('',#58628,.T.); #7009=FACE_BOUND('',#58629,.T.); #7010=FACE_BOUND('',#58630,.T.); #7011=FACE_BOUND('',#58631,.T.); #7012=FACE_BOUND('',#58632,.T.); #7013=FACE_BOUND('',#58633,.T.); #7014=FACE_BOUND('',#58634,.T.); #7015=FACE_BOUND('',#58635,.T.); #7016=FACE_BOUND('',#58636,.T.); #7017=FACE_BOUND('',#58637,.T.); #7018=FACE_BOUND('',#58638,.T.); #7019=FACE_BOUND('',#58639,.T.); #7020=FACE_BOUND('',#58640,.T.); #7021=FACE_BOUND('',#58641,.T.); #7022=FACE_BOUND('',#58642,.T.); #7023=FACE_BOUND('',#58643,.T.); #7024=FACE_BOUND('',#58644,.T.); #7025=FACE_BOUND('',#58645,.T.); #7026=FACE_BOUND('',#58646,.T.); #7027=FACE_BOUND('',#58647,.T.); #7028=FACE_BOUND('',#58648,.T.); #7029=FACE_BOUND('',#58649,.T.); #7030=FACE_BOUND('',#58650,.T.); #7031=FACE_BOUND('',#58651,.T.); #7032=FACE_BOUND('',#58652,.T.); #7033=FACE_BOUND('',#58653,.T.); #7034=FACE_BOUND('',#58654,.T.); #7035=FACE_BOUND('',#58655,.T.); #7036=FACE_BOUND('',#58656,.T.); #7037=FACE_BOUND('',#58657,.T.); #7038=FACE_BOUND('',#58658,.T.); #7039=FACE_BOUND('',#58659,.T.); #7040=FACE_BOUND('',#58660,.T.); #7041=FACE_BOUND('',#58661,.T.); #7042=FACE_BOUND('',#58662,.T.); #7043=FACE_BOUND('',#58663,.T.); #7044=FACE_BOUND('',#58664,.T.); #7045=FACE_BOUND('',#58665,.T.); #7046=FACE_BOUND('',#58666,.T.); #7047=FACE_BOUND('',#58667,.T.); #7048=FACE_BOUND('',#58668,.T.); #7049=FACE_BOUND('',#58669,.T.); #7050=FACE_BOUND('',#58670,.T.); #7051=FACE_BOUND('',#58671,.T.); #7052=FACE_BOUND('',#58672,.T.); #7053=FACE_BOUND('',#58673,.T.); #7054=FACE_BOUND('',#58674,.T.); #7055=FACE_BOUND('',#58675,.T.); #7056=FACE_BOUND('',#58676,.T.); #7057=FACE_BOUND('',#58677,.T.); #7058=FACE_BOUND('',#58678,.T.); #7059=FACE_BOUND('',#58679,.T.); #7060=FACE_BOUND('',#58680,.T.); #7061=FACE_BOUND('',#58681,.T.); #7062=FACE_BOUND('',#58682,.T.); #7063=FACE_BOUND('',#58683,.T.); #7064=FACE_BOUND('',#58684,.T.); #7065=FACE_BOUND('',#58685,.T.); #7066=FACE_BOUND('',#58686,.T.); #7067=FACE_BOUND('',#58687,.T.); #7068=FACE_BOUND('',#58688,.T.); #7069=FACE_BOUND('',#58689,.T.); #7070=FACE_BOUND('',#58690,.T.); #7071=FACE_BOUND('',#58691,.T.); #7072=FACE_BOUND('',#58692,.T.); #7073=FACE_BOUND('',#58693,.T.); #7074=FACE_BOUND('',#58694,.T.); #7075=FACE_BOUND('',#58695,.T.); #7076=FACE_BOUND('',#58696,.T.); #7077=FACE_BOUND('',#58697,.T.); #7078=FACE_BOUND('',#58698,.T.); #7079=FACE_BOUND('',#58699,.T.); #7080=FACE_BOUND('',#58700,.T.); #7081=FACE_BOUND('',#58701,.T.); #7082=FACE_BOUND('',#58702,.T.); #7083=FACE_BOUND('',#58703,.T.); #7084=FACE_BOUND('',#58704,.T.); #7085=FACE_BOUND('',#58705,.T.); #7086=FACE_BOUND('',#58706,.T.); #7087=FACE_BOUND('',#58707,.T.); #7088=FACE_BOUND('',#58708,.T.); #7089=FACE_BOUND('',#58709,.T.); #7090=FACE_BOUND('',#58710,.T.); #7091=FACE_BOUND('',#58711,.T.); #7092=FACE_BOUND('',#58712,.T.); #7093=FACE_BOUND('',#58713,.T.); #7094=FACE_BOUND('',#58714,.T.); #7095=FACE_BOUND('',#58715,.T.); #7096=FACE_BOUND('',#58716,.T.); #7097=FACE_BOUND('',#58717,.T.); #7098=FACE_BOUND('',#58718,.T.); #7099=FACE_BOUND('',#58719,.T.); #7100=FACE_BOUND('',#58720,.T.); #7101=FACE_BOUND('',#58721,.T.); #7102=FACE_BOUND('',#58722,.T.); #7103=FACE_BOUND('',#58723,.T.); #7104=FACE_BOUND('',#58724,.T.); #7105=FACE_BOUND('',#58725,.T.); #7106=FACE_BOUND('',#58726,.T.); #7107=FACE_BOUND('',#58727,.T.); #7108=FACE_BOUND('',#58728,.T.); #7109=FACE_BOUND('',#58729,.T.); #7110=FACE_BOUND('',#58730,.T.); #7111=FACE_BOUND('',#58731,.T.); #7112=FACE_BOUND('',#58732,.T.); #7113=FACE_BOUND('',#58733,.T.); #7114=FACE_BOUND('',#58734,.T.); #7115=FACE_BOUND('',#58735,.T.); #7116=FACE_BOUND('',#58736,.T.); #7117=FACE_BOUND('',#58737,.T.); #7118=FACE_BOUND('',#58738,.T.); #7119=FACE_BOUND('',#58739,.T.); #7120=FACE_BOUND('',#58740,.T.); #7121=FACE_BOUND('',#58741,.T.); #7122=FACE_BOUND('',#58742,.T.); #7123=FACE_BOUND('',#58743,.T.); #7124=FACE_BOUND('',#58744,.T.); #7125=FACE_BOUND('',#58745,.T.); #7126=FACE_BOUND('',#58746,.T.); #7127=FACE_BOUND('',#58747,.T.); #7128=FACE_BOUND('',#58748,.T.); #7129=FACE_BOUND('',#58749,.T.); #7130=FACE_BOUND('',#58750,.T.); #7131=FACE_BOUND('',#58751,.T.); #7132=FACE_BOUND('',#58752,.T.); #7133=FACE_BOUND('',#58753,.T.); #7134=FACE_BOUND('',#58754,.T.); #7135=FACE_BOUND('',#58755,.T.); #7136=FACE_BOUND('',#58756,.T.); #7137=FACE_BOUND('',#58757,.T.); #7138=FACE_BOUND('',#58758,.T.); #7139=FACE_BOUND('',#58759,.T.); #7140=FACE_BOUND('',#58760,.T.); #7141=FACE_BOUND('',#58761,.T.); #7142=FACE_BOUND('',#58762,.T.); #7143=FACE_BOUND('',#58763,.T.); #7144=FACE_BOUND('',#58764,.T.); #7145=FACE_BOUND('',#58765,.T.); #7146=FACE_BOUND('',#58766,.T.); #7147=FACE_BOUND('',#58767,.T.); #7148=FACE_BOUND('',#58768,.T.); #7149=FACE_BOUND('',#58769,.T.); #7150=FACE_BOUND('',#58770,.T.); #7151=FACE_BOUND('',#58771,.T.); #7152=FACE_BOUND('',#58772,.T.); #7153=FACE_BOUND('',#58773,.T.); #7154=FACE_BOUND('',#58774,.T.); #7155=FACE_BOUND('',#58775,.T.); #7156=FACE_BOUND('',#58776,.T.); #7157=FACE_BOUND('',#58777,.T.); #7158=FACE_BOUND('',#58778,.T.); #7159=FACE_BOUND('',#58779,.T.); #7160=FACE_BOUND('',#58780,.T.); #7161=FACE_BOUND('',#58781,.T.); #7162=FACE_BOUND('',#58782,.T.); #7163=FACE_BOUND('',#58783,.T.); #7164=FACE_BOUND('',#58784,.T.); #7165=FACE_BOUND('',#58785,.T.); #7166=FACE_BOUND('',#58786,.T.); #7167=FACE_BOUND('',#58787,.T.); #7168=FACE_BOUND('',#58788,.T.); #7169=FACE_BOUND('',#58789,.T.); #7170=FACE_BOUND('',#58790,.T.); #7171=FACE_BOUND('',#58791,.T.); #7172=FACE_BOUND('',#58792,.T.); #7173=FACE_BOUND('',#58793,.T.); #7174=FACE_BOUND('',#58794,.T.); #7175=FACE_BOUND('',#58795,.T.); #7176=FACE_BOUND('',#58796,.T.); #7177=FACE_BOUND('',#58797,.T.); #7178=FACE_BOUND('',#58798,.T.); #7179=FACE_BOUND('',#58799,.T.); #7180=FACE_BOUND('',#58800,.T.); #7181=FACE_BOUND('',#58801,.T.); #7182=FACE_BOUND('',#58802,.T.); #7183=FACE_BOUND('',#58803,.T.); #7184=FACE_BOUND('',#58804,.T.); #7185=FACE_BOUND('',#58805,.T.); #7186=FACE_BOUND('',#58806,.T.); #7187=FACE_BOUND('',#58807,.T.); #7188=FACE_BOUND('',#58808,.T.); #7189=FACE_BOUND('',#58809,.T.); #7190=FACE_BOUND('',#58810,.T.); #7191=FACE_BOUND('',#58811,.T.); #7192=FACE_BOUND('',#58812,.T.); #7193=FACE_BOUND('',#58813,.T.); #7194=FACE_BOUND('',#58814,.T.); #7195=FACE_BOUND('',#58815,.T.); #7196=FACE_BOUND('',#58816,.T.); #7197=FACE_BOUND('',#58817,.T.); #7198=FACE_BOUND('',#58818,.T.); #7199=FACE_BOUND('',#58819,.T.); #7200=FACE_BOUND('',#58820,.T.); #7201=FACE_BOUND('',#58821,.T.); #7202=FACE_BOUND('',#58822,.T.); #7203=FACE_BOUND('',#58823,.T.); #7204=FACE_BOUND('',#58824,.T.); #7205=FACE_BOUND('',#58825,.T.); #7206=FACE_BOUND('',#58826,.T.); #7207=FACE_BOUND('',#58827,.T.); #7208=FACE_BOUND('',#58828,.T.); #7209=FACE_BOUND('',#58829,.T.); #7210=FACE_BOUND('',#58830,.T.); #7211=FACE_BOUND('',#58831,.T.); #7212=FACE_BOUND('',#58832,.T.); #7213=FACE_BOUND('',#58833,.T.); #7214=FACE_BOUND('',#58834,.T.); #7215=FACE_BOUND('',#58835,.T.); #7216=FACE_BOUND('',#58836,.T.); #7217=FACE_BOUND('',#58837,.T.); #7218=FACE_BOUND('',#58838,.T.); #7219=FACE_BOUND('',#58839,.T.); #7220=FACE_BOUND('',#58840,.T.); #7221=FACE_BOUND('',#58841,.T.); #7222=FACE_BOUND('',#58842,.T.); #7223=FACE_BOUND('',#58843,.T.); #7224=FACE_BOUND('',#58844,.T.); #7225=FACE_BOUND('',#58845,.T.); #7226=FACE_BOUND('',#58846,.T.); #7227=FACE_BOUND('',#58847,.T.); #7228=FACE_BOUND('',#58848,.T.); #7229=FACE_BOUND('',#58849,.T.); #7230=FACE_BOUND('',#58850,.T.); #7231=FACE_BOUND('',#58851,.T.); #7232=FACE_BOUND('',#58852,.T.); #7233=FACE_BOUND('',#58853,.T.); #7234=FACE_BOUND('',#58854,.T.); #7235=FACE_BOUND('',#58855,.T.); #7236=FACE_BOUND('',#58856,.T.); #7237=FACE_BOUND('',#58857,.T.); #7238=FACE_BOUND('',#58858,.T.); #7239=FACE_BOUND('',#58859,.T.); #7240=FACE_BOUND('',#58860,.T.); #7241=FACE_BOUND('',#58861,.T.); #7242=FACE_BOUND('',#58862,.T.); #7243=FACE_BOUND('',#58863,.T.); #7244=FACE_BOUND('',#58864,.T.); #7245=FACE_BOUND('',#58865,.T.); #7246=FACE_BOUND('',#58866,.T.); #7247=FACE_BOUND('',#58867,.T.); #7248=FACE_BOUND('',#58868,.T.); #7249=FACE_BOUND('',#58869,.T.); #7250=FACE_BOUND('',#58870,.T.); #7251=FACE_BOUND('',#58871,.T.); #7252=FACE_BOUND('',#58872,.T.); #7253=FACE_BOUND('',#58873,.T.); #7254=FACE_BOUND('',#58874,.T.); #7255=FACE_BOUND('',#58875,.T.); #7256=FACE_BOUND('',#58876,.T.); #7257=FACE_BOUND('',#58877,.T.); #7258=FACE_BOUND('',#58878,.T.); #7259=FACE_BOUND('',#58879,.T.); #7260=FACE_BOUND('',#58880,.T.); #7261=FACE_BOUND('',#58881,.T.); #7262=FACE_BOUND('',#58882,.T.); #7263=FACE_BOUND('',#58883,.T.); #7264=FACE_BOUND('',#58884,.T.); #7265=FACE_BOUND('',#58885,.T.); #7266=FACE_BOUND('',#58886,.T.); #7267=FACE_BOUND('',#58887,.T.); #7268=FACE_BOUND('',#58888,.T.); #7269=FACE_BOUND('',#58889,.T.); #7270=FACE_BOUND('',#58890,.T.); #7271=FACE_BOUND('',#58891,.T.); #7272=FACE_BOUND('',#58892,.T.); #7273=FACE_BOUND('',#58893,.T.); #7274=FACE_BOUND('',#58894,.T.); #7275=FACE_BOUND('',#58895,.T.); #7276=FACE_BOUND('',#58896,.T.); #7277=FACE_BOUND('',#58897,.T.); #7278=FACE_BOUND('',#58898,.T.); #7279=FACE_BOUND('',#58899,.T.); #7280=FACE_BOUND('',#58900,.T.); #7281=FACE_BOUND('',#58901,.T.); #7282=FACE_BOUND('',#58902,.T.); #7283=FACE_BOUND('',#58903,.T.); #7284=FACE_BOUND('',#58904,.T.); #7285=FACE_BOUND('',#58905,.T.); #7286=FACE_BOUND('',#58906,.T.); #7287=FACE_BOUND('',#58907,.T.); #7288=FACE_BOUND('',#58908,.T.); #7289=FACE_BOUND('',#58909,.T.); #7290=FACE_BOUND('',#58910,.T.); #7291=FACE_BOUND('',#58911,.T.); #7292=FACE_BOUND('',#58912,.T.); #7293=FACE_BOUND('',#58913,.T.); #7294=FACE_BOUND('',#59251,.T.); #7295=FACE_BOUND('',#59252,.T.); #7296=FACE_BOUND('',#59253,.T.); #7297=FACE_BOUND('',#59254,.T.); #7298=FACE_BOUND('',#59255,.T.); #7299=FACE_BOUND('',#59256,.T.); #7300=FACE_BOUND('',#59257,.T.); #7301=FACE_BOUND('',#59258,.T.); #7302=FACE_BOUND('',#59259,.T.); #7303=FACE_BOUND('',#59260,.T.); #7304=FACE_BOUND('',#59261,.T.); #7305=FACE_BOUND('',#59262,.T.); #7306=FACE_BOUND('',#59263,.T.); #7307=FACE_BOUND('',#59264,.T.); #7308=FACE_BOUND('',#59265,.T.); #7309=FACE_BOUND('',#59266,.T.); #7310=FACE_BOUND('',#59267,.T.); #7311=FACE_BOUND('',#59268,.T.); #7312=FACE_BOUND('',#59269,.T.); #7313=FACE_BOUND('',#59270,.T.); #7314=FACE_BOUND('',#59271,.T.); #7315=FACE_BOUND('',#59272,.T.); #7316=FACE_BOUND('',#59273,.T.); #7317=FACE_BOUND('',#59274,.T.); #7318=FACE_BOUND('',#59275,.T.); #7319=FACE_BOUND('',#59276,.T.); #7320=FACE_BOUND('',#59277,.T.); #7321=FACE_BOUND('',#59278,.T.); #7322=FACE_BOUND('',#59279,.T.); #7323=FACE_BOUND('',#59280,.T.); #7324=FACE_BOUND('',#59281,.T.); #7325=FACE_BOUND('',#59282,.T.); #7326=FACE_BOUND('',#59283,.T.); #7327=FACE_BOUND('',#59284,.T.); #7328=FACE_BOUND('',#59285,.T.); #7329=FACE_BOUND('',#59286,.T.); #7330=FACE_BOUND('',#59287,.T.); #7331=FACE_BOUND('',#59288,.T.); #7332=FACE_BOUND('',#59289,.T.); #7333=FACE_BOUND('',#59290,.T.); #7334=FACE_BOUND('',#59291,.T.); #7335=FACE_BOUND('',#59292,.T.); #7336=FACE_BOUND('',#59293,.T.); #7337=FACE_BOUND('',#59294,.T.); #7338=FACE_BOUND('',#59295,.T.); #7339=FACE_BOUND('',#59296,.T.); #7340=FACE_BOUND('',#59297,.T.); #7341=FACE_BOUND('',#59298,.T.); #7342=FACE_BOUND('',#59299,.T.); #7343=FACE_BOUND('',#59300,.T.); #7344=FACE_BOUND('',#59301,.T.); #7345=FACE_BOUND('',#59302,.T.); #7346=FACE_BOUND('',#59303,.T.); #7347=FACE_BOUND('',#59304,.T.); #7348=FACE_BOUND('',#59305,.T.); #7349=FACE_BOUND('',#59306,.T.); #7350=FACE_BOUND('',#59307,.T.); #7351=FACE_BOUND('',#59308,.T.); #7352=FACE_BOUND('',#59309,.T.); #7353=FACE_BOUND('',#59310,.T.); #7354=FACE_BOUND('',#59311,.T.); #7355=FACE_BOUND('',#59312,.T.); #7356=FACE_BOUND('',#59313,.T.); #7357=FACE_BOUND('',#59314,.T.); #7358=FACE_BOUND('',#59315,.T.); #7359=FACE_BOUND('',#59316,.T.); #7360=FACE_BOUND('',#59317,.T.); #7361=FACE_BOUND('',#59318,.T.); #7362=FACE_BOUND('',#59319,.T.); #7363=FACE_BOUND('',#59320,.T.); #7364=FACE_BOUND('',#59321,.T.); #7365=FACE_BOUND('',#59322,.T.); #7366=FACE_BOUND('',#59323,.T.); #7367=FACE_BOUND('',#59324,.T.); #7368=FACE_BOUND('',#59325,.T.); #7369=FACE_BOUND('',#59326,.T.); #7370=FACE_BOUND('',#59327,.T.); #7371=FACE_BOUND('',#59328,.T.); #7372=FACE_BOUND('',#59329,.T.); #7373=FACE_BOUND('',#59330,.T.); #7374=FACE_BOUND('',#59331,.T.); #7375=FACE_BOUND('',#59332,.T.); #7376=FACE_BOUND('',#59333,.T.); #7377=FACE_BOUND('',#59334,.T.); #7378=FACE_BOUND('',#59335,.T.); #7379=FACE_BOUND('',#59336,.T.); #7380=FACE_BOUND('',#59337,.T.); #7381=FACE_BOUND('',#59338,.T.); #7382=FACE_BOUND('',#59339,.T.); #7383=FACE_BOUND('',#59340,.T.); #7384=FACE_BOUND('',#59341,.T.); #7385=FACE_BOUND('',#59342,.T.); #7386=FACE_BOUND('',#59343,.T.); #7387=FACE_BOUND('',#59344,.T.); #7388=FACE_BOUND('',#59345,.T.); #7389=FACE_BOUND('',#59346,.T.); #7390=FACE_BOUND('',#59347,.T.); #7391=FACE_BOUND('',#59348,.T.); #7392=FACE_BOUND('',#59349,.T.); #7393=FACE_BOUND('',#59350,.T.); #7394=FACE_BOUND('',#59351,.T.); #7395=FACE_BOUND('',#59352,.T.); #7396=FACE_BOUND('',#59353,.T.); #7397=FACE_BOUND('',#59354,.T.); #7398=FACE_BOUND('',#59355,.T.); #7399=FACE_BOUND('',#59356,.T.); #7400=FACE_BOUND('',#59357,.T.); #7401=FACE_BOUND('',#59358,.T.); #7402=FACE_BOUND('',#59359,.T.); #7403=FACE_BOUND('',#59360,.T.); #7404=FACE_BOUND('',#59361,.T.); #7405=FACE_BOUND('',#59362,.T.); #7406=FACE_BOUND('',#59363,.T.); #7407=FACE_BOUND('',#59364,.T.); #7408=FACE_BOUND('',#59365,.T.); #7409=FACE_BOUND('',#59366,.T.); #7410=FACE_BOUND('',#59367,.T.); #7411=FACE_BOUND('',#59368,.T.); #7412=FACE_BOUND('',#59369,.T.); #7413=FACE_BOUND('',#59370,.T.); #7414=FACE_BOUND('',#59371,.T.); #7415=FACE_BOUND('',#59372,.T.); #7416=FACE_BOUND('',#59373,.T.); #7417=FACE_BOUND('',#59374,.T.); #7418=FACE_BOUND('',#59375,.T.); #7419=FACE_BOUND('',#59376,.T.); #7420=FACE_BOUND('',#59377,.T.); #7421=FACE_BOUND('',#59378,.T.); #7422=FACE_BOUND('',#59379,.T.); #7423=FACE_BOUND('',#59380,.T.); #7424=FACE_BOUND('',#59381,.T.); #7425=FACE_BOUND('',#59382,.T.); #7426=FACE_BOUND('',#59383,.T.); #7427=FACE_BOUND('',#59384,.T.); #7428=FACE_BOUND('',#59385,.T.); #7429=FACE_BOUND('',#59386,.T.); #7430=FACE_BOUND('',#59387,.T.); #7431=FACE_BOUND('',#59388,.T.); #7432=FACE_BOUND('',#59389,.T.); #7433=FACE_BOUND('',#59390,.T.); #7434=FACE_BOUND('',#59391,.T.); #7435=FACE_BOUND('',#59392,.T.); #7436=FACE_BOUND('',#59393,.T.); #7437=FACE_BOUND('',#59394,.T.); #7438=FACE_BOUND('',#59395,.T.); #7439=FACE_BOUND('',#59396,.T.); #7440=FACE_BOUND('',#59397,.T.); #7441=FACE_BOUND('',#59398,.T.); #7442=FACE_BOUND('',#59399,.T.); #7443=FACE_BOUND('',#59400,.T.); #7444=FACE_BOUND('',#59401,.T.); #7445=FACE_BOUND('',#59402,.T.); #7446=FACE_BOUND('',#59403,.T.); #7447=FACE_BOUND('',#59404,.T.); #7448=FACE_BOUND('',#59405,.T.); #7449=FACE_BOUND('',#59406,.T.); #7450=FACE_BOUND('',#59407,.T.); #7451=FACE_BOUND('',#59408,.T.); #7452=FACE_BOUND('',#59409,.T.); #7453=FACE_BOUND('',#59410,.T.); #7454=FACE_BOUND('',#59411,.T.); #7455=FACE_BOUND('',#59412,.T.); #7456=FACE_BOUND('',#59413,.T.); #7457=FACE_BOUND('',#59414,.T.); #7458=FACE_BOUND('',#59415,.T.); #7459=FACE_BOUND('',#59416,.T.); #7460=FACE_BOUND('',#59417,.T.); #7461=FACE_BOUND('',#59418,.T.); #7462=FACE_BOUND('',#59419,.T.); #7463=FACE_BOUND('',#59420,.T.); #7464=FACE_BOUND('',#59421,.T.); #7465=FACE_BOUND('',#59422,.T.); #7466=FACE_BOUND('',#59423,.T.); #7467=FACE_BOUND('',#59424,.T.); #7468=FACE_BOUND('',#59425,.T.); #7469=FACE_BOUND('',#59426,.T.); #7470=FACE_BOUND('',#59427,.T.); #7471=FACE_BOUND('',#59428,.T.); #7472=FACE_BOUND('',#59429,.T.); #7473=FACE_BOUND('',#59430,.T.); #7474=FACE_BOUND('',#59431,.T.); #7475=FACE_BOUND('',#59432,.T.); #7476=FACE_BOUND('',#59433,.T.); #7477=FACE_BOUND('',#59434,.T.); #7478=FACE_BOUND('',#59435,.T.); #7479=FACE_BOUND('',#59436,.T.); #7480=FACE_BOUND('',#59437,.T.); #7481=FACE_BOUND('',#59438,.T.); #7482=FACE_BOUND('',#59439,.T.); #7483=FACE_BOUND('',#59440,.T.); #7484=FACE_BOUND('',#59441,.T.); #7485=FACE_BOUND('',#59442,.T.); #7486=FACE_BOUND('',#59443,.T.); #7487=FACE_BOUND('',#59444,.T.); #7488=FACE_BOUND('',#59445,.T.); #7489=FACE_BOUND('',#59446,.T.); #7490=FACE_BOUND('',#59447,.T.); #7491=FACE_BOUND('',#59448,.T.); #7492=FACE_BOUND('',#59449,.T.); #7493=FACE_BOUND('',#59450,.T.); #7494=FACE_BOUND('',#59451,.T.); #7495=FACE_BOUND('',#59452,.T.); #7496=FACE_BOUND('',#59453,.T.); #7497=FACE_BOUND('',#59454,.T.); #7498=FACE_BOUND('',#59455,.T.); #7499=FACE_BOUND('',#59456,.T.); #7500=FACE_BOUND('',#59457,.T.); #7501=FACE_BOUND('',#59458,.T.); #7502=FACE_BOUND('',#59459,.T.); #7503=FACE_BOUND('',#59460,.T.); #7504=FACE_BOUND('',#59461,.T.); #7505=FACE_BOUND('',#59462,.T.); #7506=FACE_BOUND('',#59463,.T.); #7507=FACE_BOUND('',#59464,.T.); #7508=FACE_BOUND('',#59465,.T.); #7509=FACE_BOUND('',#59466,.T.); #7510=FACE_BOUND('',#59467,.T.); #7511=FACE_BOUND('',#59468,.T.); #7512=FACE_BOUND('',#59469,.T.); #7513=FACE_BOUND('',#59470,.T.); #7514=FACE_BOUND('',#59471,.T.); #7515=FACE_BOUND('',#59472,.T.); #7516=FACE_BOUND('',#59473,.T.); #7517=FACE_BOUND('',#59474,.T.); #7518=FACE_BOUND('',#59475,.T.); #7519=FACE_BOUND('',#59476,.T.); #7520=FACE_BOUND('',#59477,.T.); #7521=FACE_BOUND('',#59478,.T.); #7522=FACE_BOUND('',#59479,.T.); #7523=FACE_BOUND('',#59480,.T.); #7524=FACE_BOUND('',#59481,.T.); #7525=FACE_BOUND('',#59482,.T.); #7526=FACE_BOUND('',#59483,.T.); #7527=FACE_BOUND('',#59484,.T.); #7528=FACE_BOUND('',#59485,.T.); #7529=FACE_BOUND('',#59486,.T.); #7530=FACE_BOUND('',#59487,.T.); #7531=FACE_BOUND('',#59488,.T.); #7532=FACE_BOUND('',#59489,.T.); #7533=FACE_BOUND('',#59490,.T.); #7534=FACE_BOUND('',#59491,.T.); #7535=FACE_BOUND('',#59492,.T.); #7536=FACE_BOUND('',#59493,.T.); #7537=FACE_BOUND('',#59494,.T.); #7538=FACE_BOUND('',#59495,.T.); #7539=FACE_BOUND('',#59496,.T.); #7540=FACE_BOUND('',#59497,.T.); #7541=FACE_BOUND('',#59498,.T.); #7542=FACE_BOUND('',#59499,.T.); #7543=FACE_BOUND('',#59500,.T.); #7544=FACE_BOUND('',#59501,.T.); #7545=FACE_BOUND('',#59502,.T.); #7546=FACE_BOUND('',#59503,.T.); #7547=FACE_BOUND('',#59504,.T.); #7548=FACE_BOUND('',#59505,.T.); #7549=FACE_BOUND('',#59506,.T.); #7550=FACE_BOUND('',#59507,.T.); #7551=FACE_BOUND('',#59508,.T.); #7552=FACE_BOUND('',#59509,.T.); #7553=FACE_BOUND('',#59510,.T.); #7554=FACE_BOUND('',#59511,.T.); #7555=FACE_BOUND('',#59512,.T.); #7556=FACE_BOUND('',#59513,.T.); #7557=FACE_BOUND('',#59514,.T.); #7558=FACE_BOUND('',#59515,.T.); #7559=FACE_BOUND('',#59516,.T.); #7560=FACE_BOUND('',#59517,.T.); #7561=FACE_BOUND('',#59518,.T.); #7562=FACE_BOUND('',#59519,.T.); #7563=FACE_BOUND('',#59520,.T.); #7564=FACE_BOUND('',#59521,.T.); #7565=FACE_BOUND('',#59522,.T.); #7566=FACE_BOUND('',#59523,.T.); #7567=FACE_BOUND('',#59524,.T.); #7568=FACE_BOUND('',#59525,.T.); #7569=FACE_BOUND('',#59526,.T.); #7570=FACE_BOUND('',#59527,.T.); #7571=FACE_BOUND('',#59528,.T.); #7572=FACE_BOUND('',#59529,.T.); #7573=FACE_BOUND('',#59530,.T.); #7574=FACE_BOUND('',#59531,.T.); #7575=FACE_BOUND('',#59532,.T.); #7576=FACE_BOUND('',#59533,.T.); #7577=FACE_BOUND('',#59534,.T.); #7578=FACE_BOUND('',#59535,.T.); #7579=FACE_BOUND('',#59536,.T.); #7580=FACE_BOUND('',#59537,.T.); #7581=FACE_BOUND('',#59538,.T.); #7582=FACE_BOUND('',#59539,.T.); #7583=FACE_BOUND('',#59540,.T.); #7584=FACE_BOUND('',#59541,.T.); #7585=FACE_BOUND('',#59542,.T.); #7586=FACE_BOUND('',#59543,.T.); #7587=FACE_BOUND('',#59544,.T.); #7588=FACE_BOUND('',#59545,.T.); #7589=FACE_BOUND('',#59546,.T.); #7590=FACE_BOUND('',#59547,.T.); #7591=FACE_BOUND('',#59548,.T.); #7592=FACE_BOUND('',#59549,.T.); #7593=FACE_BOUND('',#59550,.T.); #7594=FACE_BOUND('',#59551,.T.); #7595=FACE_BOUND('',#59552,.T.); #7596=FACE_BOUND('',#59553,.T.); #7597=FACE_BOUND('',#59554,.T.); #7598=FACE_BOUND('',#59555,.T.); #7599=FACE_BOUND('',#59556,.T.); #7600=FACE_BOUND('',#59557,.T.); #7601=FACE_BOUND('',#59558,.T.); #7602=FACE_BOUND('',#59559,.T.); #7603=FACE_BOUND('',#59560,.T.); #7604=FACE_BOUND('',#59561,.T.); #7605=FACE_BOUND('',#59562,.T.); #7606=FACE_BOUND('',#59563,.T.); #7607=FACE_BOUND('',#59564,.T.); #7608=FACE_BOUND('',#59565,.T.); #7609=FACE_BOUND('',#59566,.T.); #7610=FACE_BOUND('',#59567,.T.); #7611=FACE_BOUND('',#59569,.T.); #7612=FACE_BOUND('',#59570,.T.); #7613=FACE_BOUND('',#59571,.T.); #7614=FACE_BOUND('',#59572,.T.); #7615=FACE_BOUND('',#59573,.T.); #7616=FACE_BOUND('',#59574,.T.); #7617=FACE_BOUND('',#59575,.T.); #7618=FACE_BOUND('',#59576,.T.); #7619=FACE_BOUND('',#59577,.T.); #7620=FACE_BOUND('',#59578,.T.); #7621=FACE_BOUND('',#59579,.T.); #7622=FACE_BOUND('',#59580,.T.); #7623=FACE_BOUND('',#59581,.T.); #7624=FACE_BOUND('',#59582,.T.); #7625=FACE_BOUND('',#59583,.T.); #7626=FACE_BOUND('',#59584,.T.); #7627=FACE_BOUND('',#59585,.T.); #7628=FACE_BOUND('',#59586,.T.); #7629=FACE_BOUND('',#59587,.T.); #7630=FACE_BOUND('',#59588,.T.); #7631=FACE_BOUND('',#59589,.T.); #7632=FACE_BOUND('',#59590,.T.); #7633=FACE_BOUND('',#59591,.T.); #7634=FACE_BOUND('',#59592,.T.); #7635=FACE_BOUND('',#59593,.T.); #7636=FACE_BOUND('',#59594,.T.); #7637=FACE_BOUND('',#59595,.T.); #7638=FACE_BOUND('',#59596,.T.); #7639=FACE_BOUND('',#59597,.T.); #7640=FACE_BOUND('',#59598,.T.); #7641=FACE_BOUND('',#59599,.T.); #7642=FACE_BOUND('',#59600,.T.); #7643=FACE_BOUND('',#59601,.T.); #7644=FACE_BOUND('',#59602,.T.); #7645=FACE_BOUND('',#59603,.T.); #7646=FACE_BOUND('',#59604,.T.); #7647=FACE_BOUND('',#59605,.T.); #7648=FACE_BOUND('',#59606,.T.); #7649=FACE_BOUND('',#59607,.T.); #7650=FACE_BOUND('',#59608,.T.); #7651=FACE_BOUND('',#59609,.T.); #7652=FACE_BOUND('',#59610,.T.); #7653=FACE_BOUND('',#59611,.T.); #7654=FACE_BOUND('',#59612,.T.); #7655=FACE_BOUND('',#59613,.T.); #7656=FACE_BOUND('',#59614,.T.); #7657=FACE_BOUND('',#59615,.T.); #7658=FACE_BOUND('',#59616,.T.); #7659=FACE_BOUND('',#59617,.T.); #7660=FACE_BOUND('',#59618,.T.); #7661=FACE_BOUND('',#59619,.T.); #7662=FACE_BOUND('',#59620,.T.); #7663=FACE_BOUND('',#59621,.T.); #7664=FACE_BOUND('',#59622,.T.); #7665=FACE_BOUND('',#59623,.T.); #7666=FACE_BOUND('',#59624,.T.); #7667=FACE_BOUND('',#59625,.T.); #7668=FACE_BOUND('',#59626,.T.); #7669=FACE_BOUND('',#59627,.T.); #7670=FACE_BOUND('',#59628,.T.); #7671=FACE_BOUND('',#59629,.T.); #7672=FACE_BOUND('',#59630,.T.); #7673=FACE_BOUND('',#59631,.T.); #7674=FACE_BOUND('',#59632,.T.); #7675=FACE_BOUND('',#59633,.T.); #7676=FACE_BOUND('',#59634,.T.); #7677=FACE_BOUND('',#59635,.T.); #7678=FACE_BOUND('',#59636,.T.); #7679=FACE_BOUND('',#59637,.T.); #7680=FACE_BOUND('',#59638,.T.); #7681=FACE_BOUND('',#59639,.T.); #7682=FACE_BOUND('',#59640,.T.); #7683=FACE_BOUND('',#59641,.T.); #7684=FACE_BOUND('',#59642,.T.); #7685=FACE_BOUND('',#59643,.T.); #7686=FACE_BOUND('',#59644,.T.); #7687=FACE_BOUND('',#59645,.T.); #7688=FACE_BOUND('',#59646,.T.); #7689=FACE_BOUND('',#59647,.T.); #7690=FACE_BOUND('',#59648,.T.); #7691=FACE_BOUND('',#59649,.T.); #7692=FACE_BOUND('',#59650,.T.); #7693=FACE_BOUND('',#59651,.T.); #7694=FACE_BOUND('',#59652,.T.); #7695=FACE_BOUND('',#59653,.T.); #7696=FACE_BOUND('',#59654,.T.); #7697=FACE_BOUND('',#59655,.T.); #7698=FACE_BOUND('',#59656,.T.); #7699=FACE_BOUND('',#59657,.T.); #7700=FACE_BOUND('',#59658,.T.); #7701=FACE_BOUND('',#59659,.T.); #7702=FACE_BOUND('',#59660,.T.); #7703=FACE_BOUND('',#59661,.T.); #7704=FACE_BOUND('',#59662,.T.); #7705=FACE_BOUND('',#59663,.T.); #7706=FACE_BOUND('',#59664,.T.); #7707=FACE_BOUND('',#59665,.T.); #7708=FACE_BOUND('',#59666,.T.); #7709=FACE_BOUND('',#59667,.T.); #7710=FACE_BOUND('',#59668,.T.); #7711=FACE_BOUND('',#59669,.T.); #7712=FACE_BOUND('',#59670,.T.); #7713=FACE_BOUND('',#59671,.T.); #7714=FACE_BOUND('',#59672,.T.); #7715=FACE_BOUND('',#59673,.T.); #7716=FACE_BOUND('',#59674,.T.); #7717=FACE_BOUND('',#59675,.T.); #7718=FACE_BOUND('',#59676,.T.); #7719=FACE_BOUND('',#59677,.T.); #7720=FACE_BOUND('',#59678,.T.); #7721=FACE_BOUND('',#59679,.T.); #7722=FACE_BOUND('',#59680,.T.); #7723=FACE_BOUND('',#59681,.T.); #7724=FACE_BOUND('',#59682,.T.); #7725=FACE_BOUND('',#59683,.T.); #7726=FACE_BOUND('',#59684,.T.); #7727=FACE_BOUND('',#59685,.T.); #7728=FACE_BOUND('',#59686,.T.); #7729=FACE_BOUND('',#59687,.T.); #7730=FACE_BOUND('',#59688,.T.); #7731=FACE_BOUND('',#59689,.T.); #7732=FACE_BOUND('',#59690,.T.); #7733=FACE_BOUND('',#59691,.T.); #7734=FACE_BOUND('',#59692,.T.); #7735=FACE_BOUND('',#59693,.T.); #7736=FACE_BOUND('',#59694,.T.); #7737=FACE_BOUND('',#59695,.T.); #7738=FACE_BOUND('',#59696,.T.); #7739=FACE_BOUND('',#59697,.T.); #7740=FACE_BOUND('',#59698,.T.); #7741=FACE_BOUND('',#59699,.T.); #7742=FACE_BOUND('',#59700,.T.); #7743=FACE_BOUND('',#59701,.T.); #7744=FACE_BOUND('',#59702,.T.); #7745=FACE_BOUND('',#59703,.T.); #7746=FACE_BOUND('',#59704,.T.); #7747=FACE_BOUND('',#59705,.T.); #7748=FACE_BOUND('',#59706,.T.); #7749=FACE_BOUND('',#59707,.T.); #7750=FACE_BOUND('',#59708,.T.); #7751=FACE_BOUND('',#59709,.T.); #7752=FACE_BOUND('',#59710,.T.); #7753=FACE_BOUND('',#59711,.T.); #7754=FACE_BOUND('',#59712,.T.); #7755=FACE_BOUND('',#59713,.T.); #7756=FACE_BOUND('',#59714,.T.); #7757=FACE_BOUND('',#59715,.T.); #7758=FACE_BOUND('',#59716,.T.); #7759=FACE_BOUND('',#59717,.T.); #7760=FACE_BOUND('',#59718,.T.); #7761=FACE_BOUND('',#59719,.T.); #7762=FACE_BOUND('',#59720,.T.); #7763=FACE_BOUND('',#59721,.T.); #7764=FACE_BOUND('',#59722,.T.); #7765=FACE_BOUND('',#59723,.T.); #7766=FACE_BOUND('',#59724,.T.); #7767=FACE_BOUND('',#59725,.T.); #7768=FACE_BOUND('',#59726,.T.); #7769=FACE_BOUND('',#59727,.T.); #7770=FACE_BOUND('',#59728,.T.); #7771=FACE_BOUND('',#59729,.T.); #7772=FACE_BOUND('',#59730,.T.); #7773=FACE_BOUND('',#59731,.T.); #7774=FACE_BOUND('',#59732,.T.); #7775=FACE_BOUND('',#59733,.T.); #7776=FACE_BOUND('',#59734,.T.); #7777=FACE_BOUND('',#59735,.T.); #7778=FACE_BOUND('',#59736,.T.); #7779=FACE_BOUND('',#59737,.T.); #7780=FACE_BOUND('',#59738,.T.); #7781=FACE_BOUND('',#59739,.T.); #7782=FACE_BOUND('',#59740,.T.); #7783=FACE_BOUND('',#59741,.T.); #7784=FACE_BOUND('',#59742,.T.); #7785=FACE_BOUND('',#59743,.T.); #7786=FACE_BOUND('',#59744,.T.); #7787=FACE_BOUND('',#59745,.T.); #7788=FACE_BOUND('',#59746,.T.); #7789=FACE_BOUND('',#59747,.T.); #7790=FACE_BOUND('',#59748,.T.); #7791=FACE_BOUND('',#59749,.T.); #7792=FACE_BOUND('',#59750,.T.); #7793=FACE_BOUND('',#59751,.T.); #7794=FACE_BOUND('',#59752,.T.); #7795=FACE_BOUND('',#59753,.T.); #7796=FACE_BOUND('',#59754,.T.); #7797=FACE_BOUND('',#59755,.T.); #7798=FACE_BOUND('',#59756,.T.); #7799=FACE_BOUND('',#59757,.T.); #7800=FACE_BOUND('',#59758,.T.); #7801=FACE_BOUND('',#59759,.T.); #7802=FACE_BOUND('',#59760,.T.); #7803=FACE_BOUND('',#59761,.T.); #7804=FACE_BOUND('',#59762,.T.); #7805=FACE_BOUND('',#59763,.T.); #7806=FACE_BOUND('',#59764,.T.); #7807=FACE_BOUND('',#59765,.T.); #7808=FACE_BOUND('',#59766,.T.); #7809=FACE_BOUND('',#59767,.T.); #7810=FACE_BOUND('',#59768,.T.); #7811=FACE_BOUND('',#59769,.T.); #7812=FACE_BOUND('',#59770,.T.); #7813=FACE_BOUND('',#59771,.T.); #7814=FACE_BOUND('',#59772,.T.); #7815=FACE_BOUND('',#59773,.T.); #7816=FACE_BOUND('',#59774,.T.); #7817=FACE_BOUND('',#59775,.T.); #7818=FACE_BOUND('',#59776,.T.); #7819=FACE_BOUND('',#59777,.T.); #7820=FACE_BOUND('',#59778,.T.); #7821=FACE_BOUND('',#59779,.T.); #7822=FACE_BOUND('',#59780,.T.); #7823=FACE_BOUND('',#59781,.T.); #7824=FACE_BOUND('',#59782,.T.); #7825=FACE_BOUND('',#59783,.T.); #7826=FACE_BOUND('',#59784,.T.); #7827=FACE_BOUND('',#59785,.T.); #7828=FACE_BOUND('',#59786,.T.); #7829=FACE_BOUND('',#59787,.T.); #7830=FACE_BOUND('',#59788,.T.); #7831=FACE_BOUND('',#59789,.T.); #7832=FACE_BOUND('',#59790,.T.); #7833=FACE_BOUND('',#59791,.T.); #7834=FACE_BOUND('',#59792,.T.); #7835=FACE_BOUND('',#59793,.T.); #7836=FACE_BOUND('',#59794,.T.); #7837=FACE_BOUND('',#59795,.T.); #7838=FACE_BOUND('',#59796,.T.); #7839=FACE_BOUND('',#59797,.T.); #7840=FACE_BOUND('',#59798,.T.); #7841=FACE_BOUND('',#59799,.T.); #7842=FACE_BOUND('',#59800,.T.); #7843=FACE_BOUND('',#59801,.T.); #7844=FACE_BOUND('',#59802,.T.); #7845=FACE_BOUND('',#59803,.T.); #7846=FACE_BOUND('',#59804,.T.); #7847=FACE_BOUND('',#59805,.T.); #7848=FACE_BOUND('',#59806,.T.); #7849=FACE_BOUND('',#59807,.T.); #7850=FACE_BOUND('',#59808,.T.); #7851=FACE_BOUND('',#59809,.T.); #7852=FACE_BOUND('',#59810,.T.); #7853=FACE_BOUND('',#59811,.T.); #7854=FACE_BOUND('',#59812,.T.); #7855=FACE_BOUND('',#59813,.T.); #7856=FACE_BOUND('',#59814,.T.); #7857=FACE_BOUND('',#59815,.T.); #7858=FACE_BOUND('',#59816,.T.); #7859=FACE_BOUND('',#59817,.T.); #7860=FACE_BOUND('',#59818,.T.); #7861=FACE_BOUND('',#59819,.T.); #7862=FACE_BOUND('',#59820,.T.); #7863=FACE_BOUND('',#59821,.T.); #7864=FACE_BOUND('',#59822,.T.); #7865=FACE_BOUND('',#59823,.T.); #7866=FACE_BOUND('',#59824,.T.); #7867=FACE_BOUND('',#59825,.T.); #7868=FACE_BOUND('',#59826,.T.); #7869=FACE_BOUND('',#59827,.T.); #7870=FACE_BOUND('',#59828,.T.); #7871=FACE_BOUND('',#59829,.T.); #7872=FACE_BOUND('',#59830,.T.); #7873=FACE_BOUND('',#59831,.T.); #7874=FACE_BOUND('',#59832,.T.); #7875=FACE_BOUND('',#59833,.T.); #7876=FACE_BOUND('',#59834,.T.); #7877=FACE_BOUND('',#59835,.T.); #7878=FACE_BOUND('',#59836,.T.); #7879=FACE_BOUND('',#59837,.T.); #7880=FACE_BOUND('',#59838,.T.); #7881=FACE_BOUND('',#59839,.T.); #7882=FACE_BOUND('',#59840,.T.); #7883=FACE_BOUND('',#59841,.T.); #7884=FACE_BOUND('',#59842,.T.); #7885=FACE_BOUND('',#59843,.T.); #7886=FACE_BOUND('',#59844,.T.); #7887=FACE_BOUND('',#59845,.T.); #7888=FACE_BOUND('',#59846,.T.); #7889=FACE_BOUND('',#59847,.T.); #7890=FACE_BOUND('',#59848,.T.); #7891=FACE_BOUND('',#59849,.T.); #7892=FACE_BOUND('',#59850,.T.); #7893=FACE_BOUND('',#59851,.T.); #7894=FACE_BOUND('',#59852,.T.); #7895=FACE_BOUND('',#59853,.T.); #7896=FACE_BOUND('',#59854,.T.); #7897=FACE_BOUND('',#59855,.T.); #7898=FACE_BOUND('',#59856,.T.); #7899=FACE_BOUND('',#59857,.T.); #7900=FACE_BOUND('',#59858,.T.); #7901=FACE_BOUND('',#59859,.T.); #7902=FACE_BOUND('',#59860,.T.); #7903=FACE_BOUND('',#59861,.T.); #7904=FACE_BOUND('',#59862,.T.); #7905=FACE_BOUND('',#59863,.T.); #7906=FACE_BOUND('',#59864,.T.); #7907=FACE_BOUND('',#59865,.T.); #7908=FACE_BOUND('',#59866,.T.); #7909=FACE_BOUND('',#59867,.T.); #7910=FACE_BOUND('',#59868,.T.); #7911=FACE_BOUND('',#59869,.T.); #7912=FACE_BOUND('',#59870,.T.); #7913=FACE_BOUND('',#59871,.T.); #7914=FACE_BOUND('',#59872,.T.); #7915=FACE_BOUND('',#59873,.T.); #7916=FACE_BOUND('',#59874,.T.); #7917=FACE_BOUND('',#59875,.T.); #7918=FACE_BOUND('',#59876,.T.); #7919=FACE_BOUND('',#59877,.T.); #7920=FACE_BOUND('',#59878,.T.); #7921=FACE_BOUND('',#59879,.T.); #7922=FACE_BOUND('',#59880,.T.); #7923=FACE_BOUND('',#59881,.T.); #7924=FACE_BOUND('',#59882,.T.); #7925=FACE_BOUND('',#59883,.T.); #7926=FACE_BOUND('',#59884,.T.); #7927=FACE_BOUND('',#59885,.T.); #7928=FACE_BOUND('',#59893,.T.); #7929=FACE_BOUND('',#59894,.T.); #7930=FACE_BOUND('',#59895,.T.); #7931=FACE_BOUND('',#59896,.T.); #7932=FACE_BOUND('',#59898,.T.); #7933=FACE_BOUND('',#59900,.T.); #7934=FACE_BOUND('',#59902,.T.); #7935=FACE_BOUND('',#59906,.T.); #7936=FACE_BOUND('',#60171,.T.); #7937=FACE_BOUND('',#60227,.T.); #7938=FACE_BOUND('',#60228,.T.); #7939=FACE_BOUND('',#60645,.T.); #7940=FACE_BOUND('',#60647,.T.); #7941=FACE_BOUND('',#60653,.T.); #7942=FACE_BOUND('',#60654,.T.); #7943=FACE_BOUND('',#60656,.T.); #7944=FACE_BOUND('',#60659,.T.); #7945=FACE_BOUND('',#60667,.T.); #7946=FACE_BOUND('',#60674,.T.); #7947=FACE_BOUND('',#60677,.T.); #7948=FACE_BOUND('',#60693,.T.); #7949=FACE_BOUND('',#60696,.T.); #7950=FACE_BOUND('',#60697,.T.); #7951=FACE_BOUND('',#60977,.T.); #7952=FACE_BOUND('',#60981,.T.); #7953=FACE_BOUND('',#60983,.T.); #7954=FACE_BOUND('',#60988,.T.); #7955=FACE_BOUND('',#61099,.T.); #7956=FACE_BOUND('',#61101,.T.); #7957=FACE_BOUND('',#61103,.T.); #7958=FACE_BOUND('',#61104,.T.); #7959=FACE_BOUND('',#61365,.T.); #7960=FACE_BOUND('',#61453,.T.); #7961=FACE_BOUND('',#61584,.T.); #7962=FACE_BOUND('',#61743,.T.); #7963=FACE_BOUND('',#61745,.T.); #7964=FACE_BOUND('',#61759,.T.); #7965=FACE_BOUND('',#61944,.T.); #7966=FACE_BOUND('',#61945,.T.); #7967=FACE_BOUND('',#61958,.T.); #7968=FACE_BOUND('',#61980,.T.); #7969=FACE_BOUND('',#62013,.T.); #7970=FACE_BOUND('',#62014,.T.); #7971=FACE_BOUND('',#62015,.T.); #7972=FACE_BOUND('',#62172,.T.); #7973=FACE_BOUND('',#62673,.T.); #7974=FACE_BOUND('',#62802,.T.); #7975=FACE_BOUND('',#62953,.T.); #7976=FACE_BOUND('',#62954,.T.); #7977=FACE_BOUND('',#62956,.T.); #7978=FACE_BOUND('',#62957,.T.); #7979=FACE_BOUND('',#62973,.T.); #7980=FACE_BOUND('',#62974,.T.); #7981=FACE_BOUND('',#62976,.T.); #7982=FACE_BOUND('',#62977,.T.); #7983=FACE_BOUND('',#62993,.T.); #7984=FACE_BOUND('',#62994,.T.); #7985=FACE_BOUND('',#62996,.T.); #7986=FACE_BOUND('',#62997,.T.); #7987=FACE_BOUND('',#63006,.T.); #7988=FACE_BOUND('',#63014,.T.); #7989=FACE_BOUND('',#63016,.T.); #7990=FACE_BOUND('',#63017,.T.); #7991=FACE_BOUND('',#63018,.T.); #7992=FACE_BOUND('',#63039,.T.); #7993=FACE_BOUND('',#63061,.T.); #7994=FACE_BOUND('',#63078,.T.); #7995=FACE_BOUND('',#63081,.T.); #7996=FACE_BOUND('',#63096,.T.); #7997=FACE_BOUND('',#63097,.T.); #7998=FACE_BOUND('',#63108,.T.); #7999=FACE_BOUND('',#63109,.T.); #8000=FACE_BOUND('',#63110,.T.); #8001=FACE_BOUND('',#63111,.T.); #8002=FACE_BOUND('',#63112,.T.); #8003=FACE_BOUND('',#63113,.T.); #8004=FACE_BOUND('',#63119,.T.); #8005=FACE_BOUND('',#63120,.T.); #8006=FACE_BOUND('',#63121,.T.); #8007=FACE_BOUND('',#63122,.T.); #8008=FACE_BOUND('',#63123,.T.); #8009=FACE_BOUND('',#63124,.T.); #8010=FACE_BOUND('',#63141,.T.); #8011=FACE_BOUND('',#63143,.T.); #8012=FACE_BOUND('',#63155,.T.); #8013=FACE_BOUND('',#63205,.T.); #8014=FACE_BOUND('',#63208,.T.); #8015=FACE_BOUND('',#63210,.T.); #8016=FACE_BOUND('',#63212,.T.); #8017=FACE_BOUND('',#63215,.T.); #8018=FACE_BOUND('',#63229,.T.); #8019=FACE_BOUND('',#63248,.T.); #8020=FACE_BOUND('',#63249,.T.); #8021=FACE_BOUND('',#63250,.T.); #8022=FACE_BOUND('',#63251,.T.); #8023=FACE_BOUND('',#63253,.T.); #8024=FACE_BOUND('',#63254,.T.); #8025=FACE_BOUND('',#63255,.T.); #8026=FACE_BOUND('',#63256,.T.); #8027=FACE_BOUND('',#63266,.T.); #8028=FACE_BOUND('',#63278,.T.); #8029=FACE_BOUND('',#63281,.T.); #8030=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#471343,#471344,#471345),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0839221563756458,0.344235980876899), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972039,1.00558950724641)) REPRESENTATION_ITEM('') ); #8031=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#471388,#471389,#471390),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.344235980876975,-0.0839221563756666), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00558950724639,1.00377494972038,1.00150839779303)) REPRESENTATION_ITEM('') ); #8032=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#471982,#471983,#471984),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.2358317369345,2.35826487158462), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05139389097543,1.05139389097543,1.00899897601722)) REPRESENTATION_ITEM('') ); #8033=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#471987,#471988,#471989),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-2.35826487158456,-1.23583173693445), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601723,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #8034=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#471992,#471993,#471994),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.23523626969719,2.35754423511557), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05135387488329,1.05135387488329,1.00896057347716)) REPRESENTATION_ITEM('') ); #8035=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#472016,#472017,#472018),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-2.35826487158462,-1.23583173693455), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601722,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #8036=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#472021,#472022,#472023),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.23523626969708,2.35754423511552), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05135387488329,1.05135387488329,1.00896057347717)) REPRESENTATION_ITEM('') ); #8037=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#472045,#472046,#472047),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.113398602277999,1.23583173693451), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601675,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #8038=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#472050,#472051,#472052),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.23523626969713,-0.11292830427272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05135387488329,1.05135387488329,1.00896057347671)) REPRESENTATION_ITEM('') ); #8039=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#472073,#472074,#472075),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.113398602277998,1.2358317369345), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00899897601675,1.05139389097543,1.05139389097543)) REPRESENTATION_ITEM('') ); #8040=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#477433,#477434,#477435),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.35428312421794,2.32769543393325), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05194772141163,1.04757651364328,1.01008410779526)) REPRESENTATION_ITEM('') ); #8041=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#477454,#477455,#477456),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.22625049193224,2.3282133998764), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05255544755996,1.05255544755996,1.01011369564238)) REPRESENTATION_ITEM('') ); #8042=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#477458,#477459,#477460),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.225815922371,2.32769542712918), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05252461612102,1.05252461612102,1.01008410740662)) REPRESENTATION_ITEM('') ); #8043=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#477481,#477482,#477483),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.22625049193205,2.32821339987653), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05255544755997,1.05255544755997,1.01011369564238)) REPRESENTATION_ITEM('') ); #8044=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#482262,#482263,#482264),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.22581592237094,-0.123936417610335), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05252461612103,1.05252461612102,1.01008410740642)) REPRESENTATION_ITEM('') ); #8045=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#482283,#482284,#482285),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.2262504919324,-0.124287583985486), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05255544755997,1.05255544755997,1.01011369564219)) REPRESENTATION_ITEM('') ); #8046=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#482287,#482288,#482289),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-1.22580402674756,-0.123926804866046), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.05252377222739,1.05252377222739,1.01008329754076)) REPRESENTATION_ITEM('') ); #8047=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#482311,#482312,#482313),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.124287583985369,1.08100341940862), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.01011369564218,1.04696130262607,1.05181809681153)) REPRESENTATION_ITEM('') ); #8048=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#482314,#482315,#482316),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.132122612402919,0.140154233044186), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00122646778455,1.00125530210393,1.00128310985945)) REPRESENTATION_ITEM('') ); #8049=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#486544,#486545,#486546),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0839221563756497,0.344235980876915), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972038,1.0055895072464)) REPRESENTATION_ITEM('') ); #8050=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#486573,#486574,#486575),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(2.43432562792191,2.69463945242319), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0055895072464,1.00377494972038,1.00150839779303)) REPRESENTATION_ITEM('') ); #8051=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#486912,#486913,#486914),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-2.69463945242318,-2.43432562792192), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972038,1.0055895072464)) REPRESENTATION_ITEM('') ); #8052=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#486941,#486942,#486943),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.344235980876836,-0.0839221563756497), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0055895072464,1.00377494972038,1.00150839779303)) REPRESENTATION_ITEM('') ); #8053=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#487133,#487134,#487135),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0839221563756534,0.344235980876928), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00150839779303,1.00377494972038,1.0055895072464)) REPRESENTATION_ITEM('') ); #8054=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492677,#492678,#492679),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.665164704448418,0.910858957477012), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00031073010661,1.00021275279571,1.)) REPRESENTATION_ITEM('') ); #8055=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492686,#492687,#492688),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363976,1.63179094855835), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.06829604817888,1.06829604817888)) REPRESENTATION_ITEM('') ); #8056=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492690,#492691,#492692),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363972,2.48144404775271), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8057=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492694,#492695,#492696),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363976,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8058=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492698,#492699,#492700),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363975,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8059=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492702,#492703,#492704),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363979,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8060=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492706,#492707,#492708),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363977,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8061=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492710,#492711,#492712),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363975,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8062=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492714,#492715,#492716),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363976,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8063=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492718,#492719,#492720),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363978,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8064=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492722,#492723,#492724),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363975,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8065=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492726,#492727,#492728),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.78213784936398,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136768,1.04977993499008)) REPRESENTATION_ITEM('') ); #8066=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492730,#492731,#492732),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782137849363978,2.48144404775271), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04977993499008,1.08681216136767,1.04977993499008)) REPRESENTATION_ITEM('') ); #8067=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#492733,#492734,#492735),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.63179094855835,2.48144404775272), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.06829604817888,1.06829604817888,1.04977993499008)) REPRESENTATION_ITEM('') ); #8068=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#494158,#494159,#494160),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.245694253028611),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00021275279568,1.00031073010656)) REPRESENTATION_ITEM('') ); #8069=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#494193,#494194,#494195),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.224790789549754,0.543917806158432), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0019755668706,1.00277042669467,1.00184051288008)) REPRESENTATION_ITEM('') ); #8070=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#494208,#494209,#494210),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.199802364347268,0.518929380957421), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00184051288496,1.00277042670195,1.00197556687573)) REPRESENTATION_ITEM('') ); #8071=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500208,#500209,#500210),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502493,2.4789007819404), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412505,1.04989471861944)) REPRESENTATION_ITEM('') ); #8072=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500220,#500221,#500222),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502491,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412505,1.04989471861944)) REPRESENTATION_ITEM('') ); #8073=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500228,#500229,#500230),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502495,2.4789007819404), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412506,1.04989471861944)) REPRESENTATION_ITEM('') ); #8074=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500235,#500236,#500237),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502488,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412506,1.04989471861944)) REPRESENTATION_ITEM('') ); #8075=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500239,#500240,#500241),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.78222889950249,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412505,1.04989471861944)) REPRESENTATION_ITEM('') ); #8076=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500243,#500244,#500245),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.63056484072144,2.4789007819404), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.06841285637225,1.06841285637225,1.04989471861944)) REPRESENTATION_ITEM('') ); #8077=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500250,#500251,#500252),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502492,1.63056484072144), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.06841285637225,1.06841285637225)) REPRESENTATION_ITEM('') ); #8078=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500254,#500255,#500256),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502494,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412505,1.04989471861944)) REPRESENTATION_ITEM('') ); #8079=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500258,#500259,#500260),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502488,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412506,1.04989471861944)) REPRESENTATION_ITEM('') ); #8080=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500262,#500263,#500264),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502492,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412505,1.04989471861944)) REPRESENTATION_ITEM('') ); #8081=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500266,#500267,#500268),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502493,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412505,1.04989471861944)) REPRESENTATION_ITEM('') ); #8082=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500269,#500270,#500271),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.78222889950249,2.47890078194039), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412506,1.04989471861944)) REPRESENTATION_ITEM('') ); #8083=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500272,#500273,#500274),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.782228899502492,2.4789007819404), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04989471861944,1.08693099412505,1.04989471861944)) REPRESENTATION_ITEM('') ); #8084=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500628,#500629,#500630),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.174543654349781,0.257707902524851), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00026244713355,1.00019374728158,1.00000000014199)) REPRESENTATION_ITEM('') ); #8085=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500632,#500633,#500634),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.04736440199232E-8,0.0831642786471549), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00000000014199,1.00019374728124,1.00026244713308)) REPRESENTATION_ITEM('') ); #8086=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500648,#500649,#500650),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.04736432082691E-8,0.083164278647112), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00000000014199,1.00019374728233,1.00026244713456)) REPRESENTATION_ITEM('') ); #8087=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500655,#500656,#500657),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.174543654349725,0.257707902524849), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00026244713303,1.0001937472812,1.00000000014199)) REPRESENTATION_ITEM('') ); #8088=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500670,#500671,#500672),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.174543654349738,0.257707902524851), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00026244713366,1.00019374728167,1.00000000014199)) REPRESENTATION_ITEM('') ); #8089=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500674,#500675,#500676),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.04736425811698E-8,0.0831642786470686), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00000000014199,1.00019374728279,1.00026244713519)) REPRESENTATION_ITEM('') ); #8090=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500691,#500692,#500693),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.6835688380568,14.9709935656799), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.39760086074376,4.41903147733316,4.43999924539201)) REPRESENTATION_ITEM('') ); #8091=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500702,#500703,#500704),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(40.3998271336894,41.3000047961501), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.52729687906724,4.46471882413568,4.39760086074377)) REPRESENTATION_ITEM('') ); #8092=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500708,#500709,#500710),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.6835688380567,14.9709935656799), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.39760086074377,4.41903147733318,4.43999924539202)) REPRESENTATION_ITEM('') ); #8093=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500722,#500723,#500724),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(40.3998271336896,41.3000047961502), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.52729687906724,4.46471882413568,4.39760086074377)) REPRESENTATION_ITEM('') ); #8094=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500789,#500790,#500791),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.1780661752956,14.8386565212631), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.27823601881214,4.3275605699608,4.37436485204132)) REPRESENTATION_ITEM('') ); #8095=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500792,#500793,#500794),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.8386565212631,15.0525600691092), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.37436485204132,4.38952038989095,4.40441167564498)) REPRESENTATION_ITEM('') ); #8096=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500795,#500796,#500797),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(71.1429372211867,72.1894601695895), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((7.57779916885212,7.50650018423628,7.43168020347927)) REPRESENTATION_ITEM('') ); #8097=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500799,#500800,#500801),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(39.160591057743,40.0350849515567), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.40441167564498,4.34353218769789,4.27823601881212)) REPRESENTATION_ITEM('') ); #8098=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500803,#500804,#500805),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.1780661752956,15.0525600691092), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.27823601881215,4.34353218769791,4.40441167564499)) REPRESENTATION_ITEM('') ); #8099=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500806,#500807,#500808),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(71.1429372211869,72.1894601695897), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((7.57779916885216,7.50650018423631,7.4316802034793)) REPRESENTATION_ITEM('') ); #8100=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500810,#500811,#500812),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(39.1605910577431,40.0350849515567), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.40441167564497,4.34353218769789,4.27823601881213)) REPRESENTATION_ITEM('') ); #8101=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500814,#500815,#500816),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.1780661752956,15.0525600691092), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.27823601881215,4.34353218769791,4.40441167564499)) REPRESENTATION_ITEM('') ); #8102=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500817,#500818,#500819),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(71.1429372211871,72.1894601695898), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((7.57779916885219,7.50650018423635,7.43168020347934)) REPRESENTATION_ITEM('') ); #8103=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500820,#500821,#500822),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(14.6835688380567,14.9709935656798), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.39760086074377,4.41903147733318,4.43999924539202)) REPRESENTATION_ITEM('') ); #8104=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500824,#500825,#500826),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(40.3998271336895,41.3000047961502), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.52729687906725,4.46471882413568,4.39760086074377)) REPRESENTATION_ITEM('') ); #8105=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#500827,#500828,#500829),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(39.1605910577434,40.0350849515563), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((4.40441167564494,4.34353218769789,4.27823601881218)) REPRESENTATION_ITEM('') ); #8106=( BOUNDED_CURVE() B_SPLINE_CURVE(3,(#587924,#587925,#587926,#587927),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.0306276689583522,1.),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) REPRESENTATION_ITEM('') ); #8107=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588783,#588784,#588785),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #8108=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588792,#588793,#588794),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8109=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588802,#588803,#588804),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #8110=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588811,#588812,#588813),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8111=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588821,#588822,#588823),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666385,0.558350975401022), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8112=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588830,#588831,#588832),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.28042132444832), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568536,1.15288606854478)) REPRESENTATION_ITEM('') ); #8113=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588835,#588836,#588837),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171351,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #8114=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588842,#588843,#588844),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.19317020594321), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000703)) REPRESENTATION_ITEM('') ); #8115=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588852,#588853,#588854),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #8116=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588861,#588862,#588863),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8117=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588871,#588872,#588873),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #8118=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588880,#588881,#588882),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8119=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588890,#588891,#588892),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.2097473586927)) REPRESENTATION_ITEM('') ); #8120=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588899,#588900,#588901),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8121=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588909,#588910,#588911),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8122=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588918,#588919,#588920),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #8123=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588965,#588966,#588967),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666572,0.558350975401195), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854489,1.19005359568546,1.20974735869279)) REPRESENTATION_ITEM('') ); #8124=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588974,#588975,#588976),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869279,1.19005359568546,1.15288606854489)) REPRESENTATION_ITEM('') ); #8125=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588984,#588985,#588986),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8126=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#588993,#588994,#588995),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444816), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8127=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589003,#589004,#589005),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8128=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589012,#589013,#589014),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8129=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589022,#589023,#589024),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8130=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589031,#589032,#589033),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869271,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #8131=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589036,#589037,#589038),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171344,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #8132=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589050,#589051,#589052),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771366,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #8133=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589057,#589058,#589059),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #8134=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589063,#589064,#589065),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869281,1.19005359568548,1.15288606854491)) REPRESENTATION_ITEM('') ); #8135=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589069,#589070,#589071),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #8136=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589075,#589076,#589077),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #8137=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589086,#589087,#589088),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #8138=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#589090,#589091,#589092),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.19317020594322), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000702)) REPRESENTATION_ITEM('') ); #8139=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595595,#595596,#595597),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588647,1.)) REPRESENTATION_ITEM('') ); #8140=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595599,#595600,#595601),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643273),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588661,1.)) REPRESENTATION_ITEM('') ); #8141=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595605,#595606,#595607),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588663,1.)) REPRESENTATION_ITEM('') ); #8142=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595609,#595610,#595611),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588648,1.)) REPRESENTATION_ITEM('') ); #8143=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595615,#595616,#595617),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643273),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.0008394958859,1.)) REPRESENTATION_ITEM('') ); #8144=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595620,#595621,#595622),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643273),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588703,1.)) REPRESENTATION_ITEM('') ); #8145=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595625,#595626,#595627),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643275),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588616,1.)) REPRESENTATION_ITEM('') ); #8146=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595630,#595631,#595632),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588669,1.)) REPRESENTATION_ITEM('') ); #8147=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595635,#595636,#595637),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588582,1.)) REPRESENTATION_ITEM('') ); #8148=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595640,#595641,#595642),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.301489915643274),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00083949588582,1.)) REPRESENTATION_ITEM('') ); #8149=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595645,#595646,#595647),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.296219351491558),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00123203393596,1.0007845714119)) REPRESENTATION_ITEM('') ); #8150=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595649,#595650,#595651),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.138377924791831,0.434597276283386), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00078457141188,1.00123203393593,1.)) REPRESENTATION_ITEM('') ); #8151=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595692,#595693,#595694),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.74112877083027,1.7426402624637), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04111098587881,1.04111879701973,1.04112659074063)) REPRESENTATION_ITEM('') ); #8152=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595702,#595703,#595704),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(3.09512473740911,3.09663622904254), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.04112659074063,1.04111879701973,1.04111098587881)) REPRESENTATION_ITEM('') ); #8153=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595718,#595719,#595720),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(4.68331332803589,4.68394323423844), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07426789420206,1.07421539014209,1.07416287061499)) REPRESENTATION_ITEM('') ); #8154=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#595722,#595723,#595724),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.40617846164349,0.406808367846041), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07416287061499,1.07421539014209,1.07426789420206)) REPRESENTATION_ITEM('') ); #8155=PLANE('',#351367); #8156=PLANE('',#351369); #8157=PLANE('',#351371); #8158=PLANE('',#351372); #8159=PLANE('',#351373); #8160=PLANE('',#351374); #8161=PLANE('',#351416); #8162=PLANE('',#351417); #8163=PLANE('',#351443); #8164=PLANE('',#351445); #8165=PLANE('',#351447); #8166=PLANE('',#351449); #8167=PLANE('',#351451); #8168=PLANE('',#351453); #8169=PLANE('',#351454); #8170=PLANE('',#351456); #8171=PLANE('',#351457); #8172=PLANE('',#351461); #8173=PLANE('',#351462); #8174=PLANE('',#351466); #8175=PLANE('',#351470); #8176=PLANE('',#351474); #8177=PLANE('',#351478); #8178=PLANE('',#351511); #8179=PLANE('',#351512); #8180=PLANE('',#351538); #8181=PLANE('',#351542); #8182=PLANE('',#351544); #8183=PLANE('',#351545); #8184=PLANE('',#351547); #8185=PLANE('',#351549); #8186=PLANE('',#351551); #8187=PLANE('',#351553); #8188=PLANE('',#351558); #8189=PLANE('',#351562); #8190=PLANE('',#351566); #8191=PLANE('',#351570); #8192=PLANE('',#351599); #8193=PLANE('',#351602); #8194=PLANE('',#351620); #8195=PLANE('',#351626); #8196=PLANE('',#351648); #8197=PLANE('',#351654); #8198=PLANE('',#351655); #8199=PLANE('',#351656); #8200=PLANE('',#351658); #8201=PLANE('',#351667); #8202=PLANE('',#351674); #8203=PLANE('',#351675); #8204=PLANE('',#351676); #8205=PLANE('',#351678); #8206=PLANE('',#351683); #8207=PLANE('',#351686); #8208=PLANE('',#351701); #8209=PLANE('',#351707); #8210=PLANE('',#351711); #8211=PLANE('',#351715); #8212=PLANE('',#351716); #8213=PLANE('',#351720); #8214=PLANE('',#351727); #8215=PLANE('',#351732); #8216=PLANE('',#351736); #8217=PLANE('',#351740); #8218=PLANE('',#351745); #8219=PLANE('',#351746); #8220=PLANE('',#351747); #8221=PLANE('',#351751); #8222=PLANE('',#351755); #8223=PLANE('',#351759); #8224=PLANE('',#351762); #8225=PLANE('',#351766); #8226=PLANE('',#351770); #8227=PLANE('',#351774); #8228=PLANE('',#351778); #8229=PLANE('',#351779); #8230=PLANE('',#351783); #8231=PLANE('',#351792); #8232=PLANE('',#351795); #8233=PLANE('',#351798); #8234=PLANE('',#351802); #8235=PLANE('',#351807); #8236=PLANE('',#351811); #8237=PLANE('',#351812); #8238=PLANE('',#351816); #8239=PLANE('',#351822); #8240=PLANE('',#351826); #8241=PLANE('',#351830); #8242=PLANE('',#351831); #8243=PLANE('',#351835); #8244=PLANE('',#351842); #8245=PLANE('',#351847); #8246=PLANE('',#351851); #8247=PLANE('',#351855); #8248=PLANE('',#351860); #8249=PLANE('',#351861); #8250=PLANE('',#351862); #8251=PLANE('',#351866); #8252=PLANE('',#351870); #8253=PLANE('',#351874); #8254=PLANE('',#351877); #8255=PLANE('',#351881); #8256=PLANE('',#351885); #8257=PLANE('',#351889); #8258=PLANE('',#351893); #8259=PLANE('',#351894); #8260=PLANE('',#351898); #8261=PLANE('',#351905); #8262=PLANE('',#351910); #8263=PLANE('',#351914); #8264=PLANE('',#351918); #8265=PLANE('',#351923); #8266=PLANE('',#351924); #8267=PLANE('',#351927); #8268=PLANE('',#351930); #8269=PLANE('',#351933); #8270=PLANE('',#351936); #8271=PLANE('',#351948); #8272=PLANE('',#351952); #8273=PLANE('',#351956); #8274=PLANE('',#351992); #8275=PLANE('',#352014); #8276=PLANE('',#352016); #8277=PLANE('',#352018); #8278=PLANE('',#352020); #8279=PLANE('',#352022); #8280=PLANE('',#352024); #8281=PLANE('',#352026); #8282=PLANE('',#352029); #8283=PLANE('',#352030); #8284=PLANE('',#352032); #8285=PLANE('',#352033); #8286=PLANE('',#352034); #8287=PLANE('',#352035); #8288=PLANE('',#352036); #8289=PLANE('',#352037); #8290=PLANE('',#352038); #8291=PLANE('',#352039); #8292=PLANE('',#352046); #8293=PLANE('',#352048); #8294=PLANE('',#352051); #8295=PLANE('',#352052); #8296=PLANE('',#352053); #8297=PLANE('',#352056); #8298=PLANE('',#352057); #8299=PLANE('',#352060); #8300=PLANE('',#352063); #8301=PLANE('',#352064); #8302=PLANE('',#352065); #8303=PLANE('',#352067); #8304=PLANE('',#352070); #8305=PLANE('',#352071); #8306=PLANE('',#352072); #8307=PLANE('',#352075); #8308=PLANE('',#352083); #8309=PLANE('',#352084); #8310=PLANE('',#352085); #8311=PLANE('',#352086); #8312=PLANE('',#352087); #8313=PLANE('',#352090); #8314=PLANE('',#352092); #8315=PLANE('',#352096); #8316=PLANE('',#352097); #8317=PLANE('',#352102); #8318=PLANE('',#352103); #8319=PLANE('',#352104); #8320=PLANE('',#352105); #8321=PLANE('',#352106); #8322=PLANE('',#352111); #8323=PLANE('',#352113); #8324=PLANE('',#352114); #8325=PLANE('',#352118); #8326=PLANE('',#352119); #8327=PLANE('',#352125); #8328=PLANE('',#352129); #8329=PLANE('',#352130); #8330=PLANE('',#352133); #8331=PLANE('',#352134); #8332=PLANE('',#352140); #8333=PLANE('',#352144); #8334=PLANE('',#352145); #8335=PLANE('',#352148); #8336=PLANE('',#352149); #8337=PLANE('',#352150); #8338=PLANE('',#352151); #8339=PLANE('',#352152); #8340=PLANE('',#352155); #8341=PLANE('',#352156); #8342=PLANE('',#352157); #8343=PLANE('',#352158); #8344=PLANE('',#352159); #8345=PLANE('',#352160); #8346=PLANE('',#352162); #8347=PLANE('',#352165); #8348=PLANE('',#352168); #8349=PLANE('',#352169); #8350=PLANE('',#352170); #8351=PLANE('',#352171); #8352=PLANE('',#352172); #8353=PLANE('',#352173); #8354=PLANE('',#352174); #8355=PLANE('',#352175); #8356=PLANE('',#352176); #8357=PLANE('',#352177); #8358=PLANE('',#352178); #8359=PLANE('',#352184); #8360=PLANE('',#352185); #8361=PLANE('',#352187); #8362=PLANE('',#352194); #8363=PLANE('',#352195); #8364=PLANE('',#352196); #8365=PLANE('',#352197); #8366=PLANE('',#352198); #8367=PLANE('',#352199); #8368=PLANE('',#352206); #8369=PLANE('',#352207); #8370=PLANE('',#352208); #8371=PLANE('',#352209); #8372=PLANE('',#352210); #8373=PLANE('',#352211); #8374=PLANE('',#352215); #8375=PLANE('',#352220); #8376=PLANE('',#352222); #8377=PLANE('',#352224); #8378=PLANE('',#352227); #8379=PLANE('',#352228); #8380=PLANE('',#352230); #8381=PLANE('',#352231); #8382=PLANE('',#352232); #8383=PLANE('',#352236); #8384=PLANE('',#352237); #8385=PLANE('',#352238); #8386=PLANE('',#352239); #8387=PLANE('',#352240); #8388=PLANE('',#352241); #8389=PLANE('',#352244); #8390=PLANE('',#352247); #8391=PLANE('',#352250); #8392=PLANE('',#352251); #8393=PLANE('',#352252); #8394=PLANE('',#352255); #8395=PLANE('',#352256); #8396=PLANE('',#352257); #8397=PLANE('',#352258); #8398=PLANE('',#352259); #8399=PLANE('',#352260); #8400=PLANE('',#352261); #8401=PLANE('',#352262); #8402=PLANE('',#352263); #8403=PLANE('',#352264); #8404=PLANE('',#352265); #8405=PLANE('',#352268); #8406=PLANE('',#352270); #8407=PLANE('',#352272); #8408=PLANE('',#352274); #8409=PLANE('',#352276); #8410=PLANE('',#352278); #8411=PLANE('',#352280); #8412=PLANE('',#352281); #8413=PLANE('',#352283); #8414=PLANE('',#352285); #8415=PLANE('',#352287); #8416=PLANE('',#352289); #8417=PLANE('',#352291); #8418=PLANE('',#352293); #8419=PLANE('',#352295); #8420=PLANE('',#352297); #8421=PLANE('',#352299); #8422=PLANE('',#352301); #8423=PLANE('',#352303); #8424=PLANE('',#352305); #8425=PLANE('',#352307); #8426=PLANE('',#352309); #8427=PLANE('',#352311); #8428=PLANE('',#352313); #8429=PLANE('',#352315); #8430=PLANE('',#352322); #8431=PLANE('',#352326); #8432=PLANE('',#352330); #8433=PLANE('',#352334); #8434=PLANE('',#352343); #8435=PLANE('',#352346); #8436=PLANE('',#352349); #8437=PLANE('',#352355); #8438=PLANE('',#352359); #8439=PLANE('',#352363); #8440=PLANE('',#352367); #8441=PLANE('',#352376); #8442=PLANE('',#352379); #8443=PLANE('',#352382); #8444=PLANE('',#352388); #8445=PLANE('',#352392); #8446=PLANE('',#352396); #8447=PLANE('',#352400); #8448=PLANE('',#352409); #8449=PLANE('',#352412); #8450=PLANE('',#352415); #8451=PLANE('',#352421); #8452=PLANE('',#352425); #8453=PLANE('',#352429); #8454=PLANE('',#352433); #8455=PLANE('',#352442); #8456=PLANE('',#352445); #8457=PLANE('',#352448); #8458=PLANE('',#352452); #8459=PLANE('',#352454); #8460=PLANE('',#352456); #8461=PLANE('',#352458); #8462=PLANE('',#352460); #8463=PLANE('',#352462); #8464=PLANE('',#352466); #8465=PLANE('',#352469); #8466=PLANE('',#352471); #8467=PLANE('',#352475); #8468=PLANE('',#352477); #8469=PLANE('',#352478); #8470=PLANE('',#352506); #8471=PLANE('',#352508); #8472=PLANE('',#352513); #8473=PLANE('',#352517); #8474=PLANE('',#352519); #8475=PLANE('',#352528); #8476=PLANE('',#352530); #8477=PLANE('',#352531); #8478=PLANE('',#352534); #8479=PLANE('',#352535); #8480=PLANE('',#352539); #8481=PLANE('',#352544); #8482=PLANE('',#352549); #8483=PLANE('',#352554); #8484=PLANE('',#352558); #8485=PLANE('',#352561); #8486=PLANE('',#352564); #8487=PLANE('',#352567); #8488=PLANE('',#352568); #8489=PLANE('',#352571); #8490=PLANE('',#352572); #8491=PLANE('',#352574); #8492=PLANE('',#352575); #8493=PLANE('',#352576); #8494=PLANE('',#352580); #8495=PLANE('',#352581); #8496=PLANE('',#352582); #8497=PLANE('',#352584); #8498=PLANE('',#352585); #8499=PLANE('',#352586); #8500=PLANE('',#352588); #8501=PLANE('',#352589); #8502=PLANE('',#352590); #8503=PLANE('',#352592); #8504=PLANE('',#352593); #8505=PLANE('',#352594); #8506=PLANE('',#352596); #8507=PLANE('',#352597); #8508=PLANE('',#352598); #8509=PLANE('',#352600); #8510=PLANE('',#352601); #8511=PLANE('',#352602); #8512=PLANE('',#352604); #8513=PLANE('',#352605); #8514=PLANE('',#352606); #8515=PLANE('',#352608); #8516=PLANE('',#352609); #8517=PLANE('',#352610); #8518=PLANE('',#352612); #8519=PLANE('',#352613); #8520=PLANE('',#352614); #8521=PLANE('',#352616); #8522=PLANE('',#352617); #8523=PLANE('',#352618); #8524=PLANE('',#352620); #8525=PLANE('',#352621); #8526=PLANE('',#352622); #8527=PLANE('',#352624); #8528=PLANE('',#352625); #8529=PLANE('',#352626); #8530=PLANE('',#352628); #8531=PLANE('',#352629); #8532=PLANE('',#352630); #8533=PLANE('',#352632); #8534=PLANE('',#352633); #8535=PLANE('',#352634); #8536=PLANE('',#352636); #8537=PLANE('',#352637); #8538=PLANE('',#352638); #8539=PLANE('',#352640); #8540=PLANE('',#352641); #8541=PLANE('',#352642); #8542=PLANE('',#352644); #8543=PLANE('',#352645); #8544=PLANE('',#352646); #8545=PLANE('',#352648); #8546=PLANE('',#352649); #8547=PLANE('',#352650); #8548=PLANE('',#352652); #8549=PLANE('',#352653); #8550=PLANE('',#352654); #8551=PLANE('',#352656); #8552=PLANE('',#352657); #8553=PLANE('',#352658); #8554=PLANE('',#352660); #8555=PLANE('',#352661); #8556=PLANE('',#352662); #8557=PLANE('',#352664); #8558=PLANE('',#352665); #8559=PLANE('',#352666); #8560=PLANE('',#352668); #8561=PLANE('',#352669); #8562=PLANE('',#352670); #8563=PLANE('',#352672); #8564=PLANE('',#352673); #8565=PLANE('',#352674); #8566=PLANE('',#352676); #8567=PLANE('',#352677); #8568=PLANE('',#352678); #8569=PLANE('',#352680); #8570=PLANE('',#352681); #8571=PLANE('',#352682); #8572=PLANE('',#352684); #8573=PLANE('',#352685); #8574=PLANE('',#352686); #8575=PLANE('',#352688); #8576=PLANE('',#352689); #8577=PLANE('',#352690); #8578=PLANE('',#352692); #8579=PLANE('',#352693); #8580=PLANE('',#352694); #8581=PLANE('',#352696); #8582=PLANE('',#352697); #8583=PLANE('',#352698); #8584=PLANE('',#352700); #8585=PLANE('',#352701); #8586=PLANE('',#352702); #8587=PLANE('',#352703); #8588=PLANE('',#352705); #8589=PLANE('',#352707); #8590=PLANE('',#352709); #8591=PLANE('',#352710); #8592=PLANE('',#352715); #8593=PLANE('',#352718); #8594=PLANE('',#352721); #8595=PLANE('',#352722); #8596=PLANE('',#352728); #8597=PLANE('',#352734); #8598=PLANE('',#352750); #8599=PLANE('',#352753); #8600=PLANE('',#352756); #8601=PLANE('',#352759); #8602=PLANE('',#352764); #8603=PLANE('',#352794); #8604=PLANE('',#352795); #8605=PLANE('',#352796); #8606=PLANE('',#352799); #8607=PLANE('',#352800); #8608=PLANE('',#352801); #8609=PLANE('',#352802); #8610=PLANE('',#352803); #8611=PLANE('',#352804); #8612=PLANE('',#352805); #8613=PLANE('',#352806); #8614=PLANE('',#352807); #8615=PLANE('',#352808); #8616=PLANE('',#352809); #8617=PLANE('',#352810); #8618=PLANE('',#352811); #8619=PLANE('',#352812); #8620=PLANE('',#352813); #8621=PLANE('',#352814); #8622=PLANE('',#352815); #8623=PLANE('',#352816); #8624=PLANE('',#352817); #8625=PLANE('',#352818); #8626=PLANE('',#352819); #8627=PLANE('',#352820); #8628=PLANE('',#352821); #8629=PLANE('',#352822); #8630=PLANE('',#352823); #8631=PLANE('',#352824); #8632=PLANE('',#352825); #8633=PLANE('',#352826); #8634=PLANE('',#352827); #8635=PLANE('',#352828); #8636=PLANE('',#352829); #8637=PLANE('',#352830); #8638=PLANE('',#352831); #8639=PLANE('',#352834); #8640=PLANE('',#352835); #8641=PLANE('',#352836); #8642=PLANE('',#352837); #8643=PLANE('',#352838); #8644=PLANE('',#352839); #8645=PLANE('',#352840); #8646=PLANE('',#352845); #8647=PLANE('',#352846); #8648=PLANE('',#352847); #8649=PLANE('',#352848); #8650=PLANE('',#352849); #8651=PLANE('',#352850); #8652=PLANE('',#352851); #8653=PLANE('',#352852); #8654=PLANE('',#352853); #8655=PLANE('',#352854); #8656=PLANE('',#352855); #8657=PLANE('',#352860); #8658=PLANE('',#352861); #8659=PLANE('',#352862); #8660=PLANE('',#352863); #8661=PLANE('',#352867); #8662=PLANE('',#352868); #8663=PLANE('',#352874); #8664=PLANE('',#352877); #8665=PLANE('',#352878); #8666=PLANE('',#352884); #8667=PLANE('',#352887); #8668=PLANE('',#352893); #8669=PLANE('',#352894); #8670=PLANE('',#352900); #8671=PLANE('',#352904); #8672=PLANE('',#352909); #8673=PLANE('',#352950); #8674=PLANE('',#352951); #8675=PLANE('',#352952); #8676=PLANE('',#352953); #8677=PLANE('',#352954); #8678=PLANE('',#352955); #8679=PLANE('',#352958); #8680=PLANE('',#352962); #8681=PLANE('',#352964); #8682=PLANE('',#352965); #8683=PLANE('',#352968); #8684=PLANE('',#352971); #8685=PLANE('',#352974); #8686=PLANE('',#352975); #8687=PLANE('',#352976); #8688=PLANE('',#352977); #8689=PLANE('',#352978); #8690=PLANE('',#352979); #8691=PLANE('',#353474); #8692=PLANE('',#353478); #8693=PLANE('',#353485); #8694=PLANE('',#353489); #8695=PLANE('',#353502); #8696=PLANE('',#353506); #8697=PLANE('',#353540); #8698=PLANE('',#353544); #8699=PLANE('',#353551); #8700=PLANE('',#353555); #8701=PLANE('',#353562); #8702=PLANE('',#353566); #8703=PLANE('',#353969); #8704=PLANE('',#353970); #8705=PLANE('',#353977); #8706=PLANE('',#353978); #8707=PLANE('',#353985); #8708=PLANE('',#353986); #8709=PLANE('',#353993); #8710=PLANE('',#353994); #8711=PLANE('',#354001); #8712=PLANE('',#354002); #8713=PLANE('',#354009); #8714=PLANE('',#354010); #8715=PLANE('',#354017); #8716=PLANE('',#354018); #8717=PLANE('',#354025); #8718=PLANE('',#354026); #8719=PLANE('',#354033); #8720=PLANE('',#354034); #8721=PLANE('',#354041); #8722=PLANE('',#354042); #8723=PLANE('',#354049); #8724=PLANE('',#354050); #8725=PLANE('',#354057); #8726=PLANE('',#354058); #8727=PLANE('',#354065); #8728=PLANE('',#354066); #8729=PLANE('',#354073); #8730=PLANE('',#354074); #8731=PLANE('',#354081); #8732=PLANE('',#354082); #8733=PLANE('',#354089); #8734=PLANE('',#354090); #8735=PLANE('',#354097); #8736=PLANE('',#354098); #8737=PLANE('',#354105); #8738=PLANE('',#354106); #8739=PLANE('',#354113); #8740=PLANE('',#354114); #8741=PLANE('',#354121); #8742=PLANE('',#354122); #8743=PLANE('',#354129); #8744=PLANE('',#354130); #8745=PLANE('',#354137); #8746=PLANE('',#354138); #8747=PLANE('',#354145); #8748=PLANE('',#354146); #8749=PLANE('',#354153); #8750=PLANE('',#354154); #8751=PLANE('',#354161); #8752=PLANE('',#354162); #8753=PLANE('',#354169); #8754=PLANE('',#354170); #8755=PLANE('',#354177); #8756=PLANE('',#354178); #8757=PLANE('',#354185); #8758=PLANE('',#354186); #8759=PLANE('',#354193); #8760=PLANE('',#354194); #8761=PLANE('',#354201); #8762=PLANE('',#354202); #8763=PLANE('',#354209); #8764=PLANE('',#354210); #8765=PLANE('',#354217); #8766=PLANE('',#354218); #8767=PLANE('',#354225); #8768=PLANE('',#354226); #8769=PLANE('',#354233); #8770=PLANE('',#354234); #8771=PLANE('',#354241); #8772=PLANE('',#354242); #8773=PLANE('',#354249); #8774=PLANE('',#354250); #8775=PLANE('',#354257); #8776=PLANE('',#354258); #8777=PLANE('',#354265); #8778=PLANE('',#354266); #8779=PLANE('',#354273); #8780=PLANE('',#354274); #8781=PLANE('',#354281); #8782=PLANE('',#354282); #8783=PLANE('',#354289); #8784=PLANE('',#354290); #8785=PLANE('',#354297); #8786=PLANE('',#354298); #8787=PLANE('',#354305); #8788=PLANE('',#354306); #8789=PLANE('',#354313); #8790=PLANE('',#354314); #8791=PLANE('',#354321); #8792=PLANE('',#354322); #8793=PLANE('',#354329); #8794=PLANE('',#354330); #8795=PLANE('',#354337); #8796=PLANE('',#354338); #8797=PLANE('',#354345); #8798=PLANE('',#354346); #8799=PLANE('',#354353); #8800=PLANE('',#354354); #8801=PLANE('',#354361); #8802=PLANE('',#354362); #8803=PLANE('',#354369); #8804=PLANE('',#354370); #8805=PLANE('',#354377); #8806=PLANE('',#354378); #8807=PLANE('',#354385); #8808=PLANE('',#354386); #8809=PLANE('',#354393); #8810=PLANE('',#354394); #8811=PLANE('',#354401); #8812=PLANE('',#354402); #8813=PLANE('',#354409); #8814=PLANE('',#354410); #8815=PLANE('',#354417); #8816=PLANE('',#354418); #8817=PLANE('',#354425); #8818=PLANE('',#354426); #8819=PLANE('',#354433); #8820=PLANE('',#354434); #8821=PLANE('',#354441); #8822=PLANE('',#354442); #8823=PLANE('',#354449); #8824=PLANE('',#354450); #8825=PLANE('',#354457); #8826=PLANE('',#354458); #8827=PLANE('',#354465); #8828=PLANE('',#354466); #8829=PLANE('',#354473); #8830=PLANE('',#354474); #8831=PLANE('',#354481); #8832=PLANE('',#354482); #8833=PLANE('',#354489); #8834=PLANE('',#354490); #8835=PLANE('',#354497); #8836=PLANE('',#354498); #8837=PLANE('',#354505); #8838=PLANE('',#354506); #8839=PLANE('',#354513); #8840=PLANE('',#354514); #8841=PLANE('',#354521); #8842=PLANE('',#354522); #8843=PLANE('',#354529); #8844=PLANE('',#354530); #8845=PLANE('',#354537); #8846=PLANE('',#354538); #8847=PLANE('',#354545); #8848=PLANE('',#354546); #8849=PLANE('',#354553); #8850=PLANE('',#354554); #8851=PLANE('',#354561); #8852=PLANE('',#354562); #8853=PLANE('',#354569); #8854=PLANE('',#354570); #8855=PLANE('',#354577); #8856=PLANE('',#354578); #8857=PLANE('',#354585); #8858=PLANE('',#354586); #8859=PLANE('',#354593); #8860=PLANE('',#354594); #8861=PLANE('',#354601); #8862=PLANE('',#354602); #8863=PLANE('',#354609); #8864=PLANE('',#354610); #8865=PLANE('',#354617); #8866=PLANE('',#354618); #8867=PLANE('',#354625); #8868=PLANE('',#354626); #8869=PLANE('',#354633); #8870=PLANE('',#354634); #8871=PLANE('',#354641); #8872=PLANE('',#354642); #8873=PLANE('',#354649); #8874=PLANE('',#354650); #8875=PLANE('',#354657); #8876=PLANE('',#354658); #8877=PLANE('',#354665); #8878=PLANE('',#354666); #8879=PLANE('',#354673); #8880=PLANE('',#354674); #8881=PLANE('',#354681); #8882=PLANE('',#354682); #8883=PLANE('',#354689); #8884=PLANE('',#354690); #8885=PLANE('',#354697); #8886=PLANE('',#354698); #8887=PLANE('',#354705); #8888=PLANE('',#354706); #8889=PLANE('',#354713); #8890=PLANE('',#354714); #8891=PLANE('',#354721); #8892=PLANE('',#354722); #8893=PLANE('',#354729); #8894=PLANE('',#354730); #8895=PLANE('',#354737); #8896=PLANE('',#354738); #8897=PLANE('',#354745); #8898=PLANE('',#354746); #8899=PLANE('',#354753); #8900=PLANE('',#354754); #8901=PLANE('',#354761); #8902=PLANE('',#354762); #8903=PLANE('',#354769); #8904=PLANE('',#354770); #8905=PLANE('',#354777); #8906=PLANE('',#354778); #8907=PLANE('',#354785); #8908=PLANE('',#354786); #8909=PLANE('',#354793); #8910=PLANE('',#354794); #8911=PLANE('',#354801); #8912=PLANE('',#354802); #8913=PLANE('',#354809); #8914=PLANE('',#354810); #8915=PLANE('',#354817); #8916=PLANE('',#354818); #8917=PLANE('',#354825); #8918=PLANE('',#354826); #8919=PLANE('',#354833); #8920=PLANE('',#354834); #8921=PLANE('',#354841); #8922=PLANE('',#354842); #8923=PLANE('',#354849); #8924=PLANE('',#354850); #8925=PLANE('',#354857); #8926=PLANE('',#354858); #8927=PLANE('',#354865); #8928=PLANE('',#354866); #8929=PLANE('',#354873); #8930=PLANE('',#354874); #8931=PLANE('',#354881); #8932=PLANE('',#354882); #8933=PLANE('',#354889); #8934=PLANE('',#354890); #8935=PLANE('',#354897); #8936=PLANE('',#354898); #8937=PLANE('',#354905); #8938=PLANE('',#354906); #8939=PLANE('',#354913); #8940=PLANE('',#354914); #8941=PLANE('',#354921); #8942=PLANE('',#354922); #8943=PLANE('',#354929); #8944=PLANE('',#354930); #8945=PLANE('',#354937); #8946=PLANE('',#354938); #8947=PLANE('',#354945); #8948=PLANE('',#354946); #8949=PLANE('',#354953); #8950=PLANE('',#354954); #8951=PLANE('',#354961); #8952=PLANE('',#354962); #8953=PLANE('',#354969); #8954=PLANE('',#354970); #8955=PLANE('',#354977); #8956=PLANE('',#354978); #8957=PLANE('',#354985); #8958=PLANE('',#354986); #8959=PLANE('',#354993); #8960=PLANE('',#354994); #8961=PLANE('',#355001); #8962=PLANE('',#355002); #8963=PLANE('',#355009); #8964=PLANE('',#355010); #8965=PLANE('',#355017); #8966=PLANE('',#355018); #8967=PLANE('',#355025); #8968=PLANE('',#355026); #8969=PLANE('',#355027); #8970=PLANE('',#355031); #8971=PLANE('',#355035); #8972=PLANE('',#355039); #8973=PLANE('',#355043); #8974=PLANE('',#355044); #8975=PLANE('',#355051); #8976=PLANE('',#355052); #8977=PLANE('',#355053); #8978=PLANE('',#355057); #8979=PLANE('',#355061); #8980=PLANE('',#355065); #8981=PLANE('',#355069); #8982=PLANE('',#355070); #8983=PLANE('',#355077); #8984=PLANE('',#355078); #8985=PLANE('',#355079); #8986=PLANE('',#355083); #8987=PLANE('',#355087); #8988=PLANE('',#355091); #8989=PLANE('',#355095); #8990=PLANE('',#355096); #8991=PLANE('',#355103); #8992=PLANE('',#355104); #8993=PLANE('',#355111); #8994=PLANE('',#355112); #8995=PLANE('',#355119); #8996=PLANE('',#355120); #8997=PLANE('',#355127); #8998=PLANE('',#355128); #8999=PLANE('',#355135); #9000=PLANE('',#355136); #9001=PLANE('',#355143); #9002=PLANE('',#355144); #9003=PLANE('',#355151); #9004=PLANE('',#355152); #9005=PLANE('',#355159); #9006=PLANE('',#355160); #9007=PLANE('',#355167); #9008=PLANE('',#355168); #9009=PLANE('',#355175); #9010=PLANE('',#355176); #9011=PLANE('',#355177); #9012=PLANE('',#355181); #9013=PLANE('',#355185); #9014=PLANE('',#355189); #9015=PLANE('',#355193); #9016=PLANE('',#355194); #9017=PLANE('',#355201); #9018=PLANE('',#355202); #9019=PLANE('',#355209); #9020=PLANE('',#355210); #9021=PLANE('',#355217); #9022=PLANE('',#355218); #9023=PLANE('',#355219); #9024=PLANE('',#355223); #9025=PLANE('',#355227); #9026=PLANE('',#355231); #9027=PLANE('',#355235); #9028=PLANE('',#355236); #9029=PLANE('',#355243); #9030=PLANE('',#355244); #9031=PLANE('',#355245); #9032=PLANE('',#355249); #9033=PLANE('',#355253); #9034=PLANE('',#355257); #9035=PLANE('',#355261); #9036=PLANE('',#355262); #9037=PLANE('',#355269); #9038=PLANE('',#355270); #9039=PLANE('',#355277); #9040=PLANE('',#355278); #9041=PLANE('',#355285); #9042=PLANE('',#355286); #9043=PLANE('',#355293); #9044=PLANE('',#355294); #9045=PLANE('',#355301); #9046=PLANE('',#355302); #9047=PLANE('',#355309); #9048=PLANE('',#355310); #9049=PLANE('',#355317); #9050=PLANE('',#355318); #9051=PLANE('',#355325); #9052=PLANE('',#355326); #9053=PLANE('',#355333); #9054=PLANE('',#355334); #9055=PLANE('',#355341); #9056=PLANE('',#355342); #9057=PLANE('',#355349); #9058=PLANE('',#355350); #9059=PLANE('',#355357); #9060=PLANE('',#355358); #9061=PLANE('',#355365); #9062=PLANE('',#355366); #9063=PLANE('',#355373); #9064=PLANE('',#355374); #9065=PLANE('',#355381); #9066=PLANE('',#355382); #9067=PLANE('',#355389); #9068=PLANE('',#355390); #9069=PLANE('',#355397); #9070=PLANE('',#355398); #9071=PLANE('',#355405); #9072=PLANE('',#355406); #9073=PLANE('',#355413); #9074=PLANE('',#355414); #9075=PLANE('',#355421); #9076=PLANE('',#355422); #9077=PLANE('',#355429); #9078=PLANE('',#355430); #9079=PLANE('',#355437); #9080=PLANE('',#355438); #9081=PLANE('',#355445); #9082=PLANE('',#355446); #9083=PLANE('',#355453); #9084=PLANE('',#355454); #9085=PLANE('',#355461); #9086=PLANE('',#355462); #9087=PLANE('',#355469); #9088=PLANE('',#355470); #9089=PLANE('',#355477); #9090=PLANE('',#355478); #9091=PLANE('',#355485); #9092=PLANE('',#355486); #9093=PLANE('',#355493); #9094=PLANE('',#355494); #9095=PLANE('',#355501); #9096=PLANE('',#355502); #9097=PLANE('',#355509); #9098=PLANE('',#355510); #9099=PLANE('',#355517); #9100=PLANE('',#355518); #9101=PLANE('',#355525); #9102=PLANE('',#355526); #9103=PLANE('',#355533); #9104=PLANE('',#355534); #9105=PLANE('',#355541); #9106=PLANE('',#355542); #9107=PLANE('',#355549); #9108=PLANE('',#355550); #9109=PLANE('',#355557); #9110=PLANE('',#355558); #9111=PLANE('',#355565); #9112=PLANE('',#355566); #9113=PLANE('',#355573); #9114=PLANE('',#355574); #9115=PLANE('',#355581); #9116=PLANE('',#355582); #9117=PLANE('',#355589); #9118=PLANE('',#355590); #9119=PLANE('',#355597); #9120=PLANE('',#355598); #9121=PLANE('',#355605); #9122=PLANE('',#355606); #9123=PLANE('',#355613); #9124=PLANE('',#355614); #9125=PLANE('',#355621); #9126=PLANE('',#355622); #9127=PLANE('',#355629); #9128=PLANE('',#355630); #9129=PLANE('',#355637); #9130=PLANE('',#355638); #9131=PLANE('',#355645); #9132=PLANE('',#355646); #9133=PLANE('',#355653); #9134=PLANE('',#355654); #9135=PLANE('',#355661); #9136=PLANE('',#355662); #9137=PLANE('',#355669); #9138=PLANE('',#355670); #9139=PLANE('',#355677); #9140=PLANE('',#355678); #9141=PLANE('',#355685); #9142=PLANE('',#355686); #9143=PLANE('',#355693); #9144=PLANE('',#355694); #9145=PLANE('',#355701); #9146=PLANE('',#355702); #9147=PLANE('',#355709); #9148=PLANE('',#355710); #9149=PLANE('',#355717); #9150=PLANE('',#355718); #9151=PLANE('',#355725); #9152=PLANE('',#355726); #9153=PLANE('',#355733); #9154=PLANE('',#355734); #9155=PLANE('',#355741); #9156=PLANE('',#355742); #9157=PLANE('',#355749); #9158=PLANE('',#355750); #9159=PLANE('',#355757); #9160=PLANE('',#355758); #9161=PLANE('',#355765); #9162=PLANE('',#355766); #9163=PLANE('',#355773); #9164=PLANE('',#355774); #9165=PLANE('',#355781); #9166=PLANE('',#355782); #9167=PLANE('',#355789); #9168=PLANE('',#355790); #9169=PLANE('',#355797); #9170=PLANE('',#355798); #9171=PLANE('',#355805); #9172=PLANE('',#355806); #9173=PLANE('',#355813); #9174=PLANE('',#355814); #9175=PLANE('',#355821); #9176=PLANE('',#355822); #9177=PLANE('',#355829); #9178=PLANE('',#355830); #9179=PLANE('',#355837); #9180=PLANE('',#355838); #9181=PLANE('',#355845); #9182=PLANE('',#355846); #9183=PLANE('',#355853); #9184=PLANE('',#355854); #9185=PLANE('',#355861); #9186=PLANE('',#355862); #9187=PLANE('',#355869); #9188=PLANE('',#355870); #9189=PLANE('',#355877); #9190=PLANE('',#355878); #9191=PLANE('',#355885); #9192=PLANE('',#355886); #9193=PLANE('',#355893); #9194=PLANE('',#355894); #9195=PLANE('',#355901); #9196=PLANE('',#355902); #9197=PLANE('',#355909); #9198=PLANE('',#355910); #9199=PLANE('',#355917); #9200=PLANE('',#355918); #9201=PLANE('',#355925); #9202=PLANE('',#355926); #9203=PLANE('',#355933); #9204=PLANE('',#355934); #9205=PLANE('',#355941); #9206=PLANE('',#355942); #9207=PLANE('',#355949); #9208=PLANE('',#355950); #9209=PLANE('',#355957); #9210=PLANE('',#355958); #9211=PLANE('',#355965); #9212=PLANE('',#355966); #9213=PLANE('',#355973); #9214=PLANE('',#355974); #9215=PLANE('',#355981); #9216=PLANE('',#355982); #9217=PLANE('',#355989); #9218=PLANE('',#355990); #9219=PLANE('',#355997); #9220=PLANE('',#355998); #9221=PLANE('',#356005); #9222=PLANE('',#356006); #9223=PLANE('',#356013); #9224=PLANE('',#356014); #9225=PLANE('',#356021); #9226=PLANE('',#356022); #9227=PLANE('',#356029); #9228=PLANE('',#356030); #9229=PLANE('',#356037); #9230=PLANE('',#356038); #9231=PLANE('',#356045); #9232=PLANE('',#356046); #9233=PLANE('',#356053); #9234=PLANE('',#356054); #9235=PLANE('',#356061); #9236=PLANE('',#356062); #9237=PLANE('',#356069); #9238=PLANE('',#356070); #9239=PLANE('',#356077); #9240=PLANE('',#356078); #9241=PLANE('',#356085); #9242=PLANE('',#356086); #9243=PLANE('',#356093); #9244=PLANE('',#356094); #9245=PLANE('',#356101); #9246=PLANE('',#356102); #9247=PLANE('',#356109); #9248=PLANE('',#356110); #9249=PLANE('',#356117); #9250=PLANE('',#356118); #9251=PLANE('',#356125); #9252=PLANE('',#356126); #9253=PLANE('',#356133); #9254=PLANE('',#356134); #9255=PLANE('',#356141); #9256=PLANE('',#356142); #9257=PLANE('',#356149); #9258=PLANE('',#356150); #9259=PLANE('',#356157); #9260=PLANE('',#356158); #9261=PLANE('',#356165); #9262=PLANE('',#356166); #9263=PLANE('',#356173); #9264=PLANE('',#356174); #9265=PLANE('',#356181); #9266=PLANE('',#356182); #9267=PLANE('',#356189); #9268=PLANE('',#356190); #9269=PLANE('',#356197); #9270=PLANE('',#356198); #9271=PLANE('',#356205); #9272=PLANE('',#356206); #9273=PLANE('',#356213); #9274=PLANE('',#356214); #9275=PLANE('',#356221); #9276=PLANE('',#356222); #9277=PLANE('',#356229); #9278=PLANE('',#356230); #9279=PLANE('',#356237); #9280=PLANE('',#356238); #9281=PLANE('',#356245); #9282=PLANE('',#356246); #9283=PLANE('',#356253); #9284=PLANE('',#356254); #9285=PLANE('',#356261); #9286=PLANE('',#356262); #9287=PLANE('',#356269); #9288=PLANE('',#356270); #9289=PLANE('',#356277); #9290=PLANE('',#356278); #9291=PLANE('',#356285); #9292=PLANE('',#356286); #9293=PLANE('',#356293); #9294=PLANE('',#356294); #9295=PLANE('',#356301); #9296=PLANE('',#356302); #9297=PLANE('',#356309); #9298=PLANE('',#356310); #9299=PLANE('',#356317); #9300=PLANE('',#356318); #9301=PLANE('',#356325); #9302=PLANE('',#356326); #9303=PLANE('',#356333); #9304=PLANE('',#356334); #9305=PLANE('',#356341); #9306=PLANE('',#356342); #9307=PLANE('',#356349); #9308=PLANE('',#356350); #9309=PLANE('',#356357); #9310=PLANE('',#356358); #9311=PLANE('',#356365); #9312=PLANE('',#356366); #9313=PLANE('',#356373); #9314=PLANE('',#356374); #9315=PLANE('',#356381); #9316=PLANE('',#356382); #9317=PLANE('',#356389); #9318=PLANE('',#356390); #9319=PLANE('',#356397); #9320=PLANE('',#356398); #9321=PLANE('',#356405); #9322=PLANE('',#356406); #9323=PLANE('',#356413); #9324=PLANE('',#356414); #9325=PLANE('',#356421); #9326=PLANE('',#356422); #9327=PLANE('',#356429); #9328=PLANE('',#356430); #9329=PLANE('',#356437); #9330=PLANE('',#356438); #9331=PLANE('',#356445); #9332=PLANE('',#356446); #9333=PLANE('',#356453); #9334=PLANE('',#356454); #9335=PLANE('',#356461); #9336=PLANE('',#356462); #9337=PLANE('',#356469); #9338=PLANE('',#356470); #9339=PLANE('',#356477); #9340=PLANE('',#356478); #9341=PLANE('',#356485); #9342=PLANE('',#356486); #9343=PLANE('',#356493); #9344=PLANE('',#356494); #9345=PLANE('',#356501); #9346=PLANE('',#356502); #9347=PLANE('',#356509); #9348=PLANE('',#356510); #9349=PLANE('',#356517); #9350=PLANE('',#356518); #9351=PLANE('',#356525); #9352=PLANE('',#356526); #9353=PLANE('',#356533); #9354=PLANE('',#356534); #9355=PLANE('',#356541); #9356=PLANE('',#356542); #9357=PLANE('',#356549); #9358=PLANE('',#356550); #9359=PLANE('',#356555); #9360=PLANE('',#356562); #9361=PLANE('',#356563); #9362=PLANE('',#356564); #9363=PLANE('',#356565); #9364=PLANE('',#356566); #9365=PLANE('',#356567); #9366=PLANE('',#356568); #9367=PLANE('',#356569); #9368=PLANE('',#356570); #9369=PLANE('',#356571); #9370=PLANE('',#356572); #9371=PLANE('',#356573); #9372=PLANE('',#356574); #9373=PLANE('',#356575); #9374=PLANE('',#356576); #9375=PLANE('',#356577); #9376=PLANE('',#356578); #9377=PLANE('',#356579); #9378=PLANE('',#356580); #9379=PLANE('',#356581); #9380=PLANE('',#356582); #9381=PLANE('',#356583); #9382=PLANE('',#356584); #9383=PLANE('',#356585); #9384=PLANE('',#356586); #9385=PLANE('',#356587); #9386=PLANE('',#356588); #9387=PLANE('',#356589); #9388=PLANE('',#356590); #9389=PLANE('',#356591); #9390=PLANE('',#356592); #9391=PLANE('',#356593); #9392=PLANE('',#356594); #9393=PLANE('',#356595); #9394=PLANE('',#356596); #9395=PLANE('',#356597); #9396=PLANE('',#356598); #9397=PLANE('',#356599); #9398=PLANE('',#356600); #9399=PLANE('',#356601); #9400=PLANE('',#356602); #9401=PLANE('',#356603); #9402=PLANE('',#356604); #9403=PLANE('',#356605); #9404=PLANE('',#356606); #9405=PLANE('',#356607); #9406=PLANE('',#356608); #9407=PLANE('',#356609); #9408=PLANE('',#356610); #9409=PLANE('',#356611); #9410=PLANE('',#356612); #9411=PLANE('',#356613); #9412=PLANE('',#356614); #9413=PLANE('',#356615); #9414=PLANE('',#356616); #9415=PLANE('',#356617); #9416=PLANE('',#356618); #9417=PLANE('',#356619); #9418=PLANE('',#356620); #9419=PLANE('',#356621); #9420=PLANE('',#356622); #9421=PLANE('',#356623); #9422=PLANE('',#356627); #9423=PLANE('',#356634); #9424=PLANE('',#356635); #9425=PLANE('',#356642); #9426=PLANE('',#356649); #9427=PLANE('',#356656); #9428=PLANE('',#356660); #9429=PLANE('',#356661); #9430=PLANE('',#356665); #9431=PLANE('',#356672); #9432=PLANE('',#356673); #9433=PLANE('',#356677); #9434=PLANE('',#356684); #9435=PLANE('',#356685); #9436=PLANE('',#356689); #9437=PLANE('',#356693); #9438=PLANE('',#356697); #9439=PLANE('',#356698); #9440=PLANE('',#356699); #9441=PLANE('',#356700); #9442=PLANE('',#356701); #9443=PLANE('',#356702); #9444=PLANE('',#356703); #9445=PLANE('',#356710); #9446=PLANE('',#356711); #9447=PLANE('',#356715); #9448=PLANE('',#356719); #9449=PLANE('',#356720); #9450=PLANE('',#356721); #9451=PLANE('',#356722); #9452=PLANE('',#356723); #9453=PLANE('',#356724); #9454=PLANE('',#356725); #9455=PLANE('',#356729); #9456=PLANE('',#356730); #9457=PLANE('',#356731); #9458=PLANE('',#356732); #9459=PLANE('',#356733); #9460=PLANE('',#356734); #9461=PLANE('',#356735); #9462=PLANE('',#356736); #9463=PLANE('',#356737); #9464=PLANE('',#356738); #9465=PLANE('',#356742); #9466=PLANE('',#356746); #9467=PLANE('',#356747); #9468=PLANE('',#356748); #9469=PLANE('',#356749); #9470=PLANE('',#356750); #9471=PLANE('',#356751); #9472=PLANE('',#356752); #9473=PLANE('',#356756); #9474=PLANE('',#356757); #9475=PLANE('',#356758); #9476=PLANE('',#356759); #9477=PLANE('',#356760); #9478=PLANE('',#356761); #9479=PLANE('',#356762); #9480=PLANE('',#356763); #9481=PLANE('',#356767); #9482=PLANE('',#356768); #9483=PLANE('',#356769); #9484=PLANE('',#356770); #9485=PLANE('',#356771); #9486=PLANE('',#356772); #9487=PLANE('',#356773); #9488=PLANE('',#356777); #9489=PLANE('',#356778); #9490=PLANE('',#356782); #9491=PLANE('',#356783); #9492=PLANE('',#356784); #9493=PLANE('',#356785); #9494=PLANE('',#356786); #9495=PLANE('',#356787); #9496=PLANE('',#356788); #9497=PLANE('',#356792); #9498=PLANE('',#356793); #9499=PLANE('',#356794); #9500=PLANE('',#356795); #9501=PLANE('',#356796); #9502=PLANE('',#356800); #9503=PLANE('',#356804); #9504=PLANE('',#356805); #9505=PLANE('',#356806); #9506=PLANE('',#356807); #9507=PLANE('',#356808); #9508=PLANE('',#356809); #9509=PLANE('',#356810); #9510=PLANE('',#356811); #9511=PLANE('',#356812); #9512=PLANE('',#356813); #9513=PLANE('',#356814); #9514=PLANE('',#356818); #9515=PLANE('',#356822); #9516=PLANE('',#356823); #9517=PLANE('',#356824); #9518=PLANE('',#356825); #9519=PLANE('',#356826); #9520=PLANE('',#356830); #9521=PLANE('',#356831); #9522=PLANE('',#356832); #9523=PLANE('',#356833); #9524=PLANE('',#356834); #9525=PLANE('',#356835); #9526=PLANE('',#356836); #9527=PLANE('',#356840); #9528=PLANE('',#356841); #9529=PLANE('',#356845); #9530=PLANE('',#356846); #9531=PLANE('',#356847); #9532=PLANE('',#356848); #9533=PLANE('',#356849); #9534=PLANE('',#356850); #9535=PLANE('',#356851); #9536=PLANE('',#356855); #9537=PLANE('',#356856); #9538=PLANE('',#356857); #9539=PLANE('',#356858); #9540=PLANE('',#356862); #9541=PLANE('',#356866); #9542=PLANE('',#356867); #9543=PLANE('',#356871); #9544=PLANE('',#356872); #9545=PLANE('',#356876); #9546=PLANE('',#356877); #9547=PLANE('',#356878); #9548=PLANE('',#356879); #9549=PLANE('',#356880); #9550=PLANE('',#356881); #9551=PLANE('',#356882); #9552=PLANE('',#356883); #9553=PLANE('',#356887); #9554=PLANE('',#356888); #9555=PLANE('',#356892); #9556=PLANE('',#356896); #9557=PLANE('',#356897); #9558=PLANE('',#356901); #9559=PLANE('',#356905); #9560=PLANE('',#356906); #9561=PLANE('',#356910); #9562=PLANE('',#356911); #9563=PLANE('',#356912); #9564=PLANE('',#356913); #9565=PLANE('',#356914); #9566=PLANE('',#356915); #9567=PLANE('',#356916); #9568=PLANE('',#356917); #9569=PLANE('',#356921); #9570=PLANE('',#356925); #9571=PLANE('',#356926); #9572=PLANE('',#356930); #9573=PLANE('',#356934); #9574=PLANE('',#356935); #9575=PLANE('',#356936); #9576=PLANE('',#356937); #9577=PLANE('',#356938); #9578=PLANE('',#356939); #9579=PLANE('',#356940); #9580=PLANE('',#356944); #9581=PLANE('',#356948); #9582=PLANE('',#356949); #9583=PLANE('',#356953); #9584=PLANE('',#356957); #9585=PLANE('',#356961); #9586=PLANE('',#356962); #9587=PLANE('',#356963); #9588=PLANE('',#356964); #9589=PLANE('',#356965); #9590=PLANE('',#356966); #9591=PLANE('',#356967); #9592=PLANE('',#356968); #9593=PLANE('',#356969); #9594=PLANE('',#356973); #9595=PLANE('',#356974); #9596=PLANE('',#356981); #9597=PLANE('',#356988); #9598=PLANE('',#356995); #9599=PLANE('',#356999); #9600=PLANE('',#357000); #9601=PLANE('',#357004); #9602=PLANE('',#357008); #9603=PLANE('',#357009); #9604=PLANE('',#357013); #9605=PLANE('',#357014); #9606=PLANE('',#357015); #9607=PLANE('',#357016); #9608=PLANE('',#357017); #9609=PLANE('',#357018); #9610=PLANE('',#357019); #9611=PLANE('',#357020); #9612=PLANE('',#357024); #9613=PLANE('',#357028); #9614=PLANE('',#357029); #9615=PLANE('',#357033); #9616=PLANE('',#357037); #9617=PLANE('',#357038); #9618=PLANE('',#357042); #9619=PLANE('',#357043); #9620=PLANE('',#357047); #9621=PLANE('',#357048); #9622=PLANE('',#357049); #9623=PLANE('',#357050); #9624=PLANE('',#357051); #9625=PLANE('',#357052); #9626=PLANE('',#357053); #9627=PLANE('',#357054); #9628=PLANE('',#357058); #9629=PLANE('',#357059); #9630=PLANE('',#357063); #9631=PLANE('',#357067); #9632=PLANE('',#357068); #9633=PLANE('',#357069); #9634=PLANE('',#357070); #9635=PLANE('',#357071); #9636=PLANE('',#357072); #9637=PLANE('',#357076); #9638=PLANE('',#357080); #9639=PLANE('',#357081); #9640=PLANE('',#357082); #9641=PLANE('',#357086); #9642=PLANE('',#357087); #9643=PLANE('',#357088); #9644=PLANE('',#357089); #9645=PLANE('',#357090); #9646=PLANE('',#357091); #9647=PLANE('',#357092); #9648=PLANE('',#357096); #9649=PLANE('',#357100); #9650=PLANE('',#357101); #9651=PLANE('',#357102); #9652=PLANE('',#357103); #9653=PLANE('',#357104); #9654=PLANE('',#357105); #9655=PLANE('',#357106); #9656=PLANE('',#357110); #9657=PLANE('',#357114); #9658=PLANE('',#357115); #9659=PLANE('',#357119); #9660=PLANE('',#357123); #9661=PLANE('',#357127); #9662=PLANE('',#357128); #9663=PLANE('',#357129); #9664=PLANE('',#357130); #9665=PLANE('',#357131); #9666=PLANE('',#357132); #9667=PLANE('',#357133); #9668=PLANE('',#357137); #9669=PLANE('',#357138); #9670=PLANE('',#357139); #9671=PLANE('',#357140); #9672=PLANE('',#357144); #9673=PLANE('',#357148); #9674=PLANE('',#357149); #9675=PLANE('',#357153); #9676=PLANE('',#357157); #9677=PLANE('',#357158); #9678=PLANE('',#357159); #9679=PLANE('',#357160); #9680=PLANE('',#357161); #9681=PLANE('',#357162); #9682=PLANE('',#357163); #9683=PLANE('',#357170); #9684=PLANE('',#357171); #9685=PLANE('',#357172); #9686=PLANE('',#357173); #9687=PLANE('',#357174); #9688=PLANE('',#357175); #9689=PLANE('',#357176); #9690=PLANE('',#357180); #9691=PLANE('',#357181); #9692=PLANE('',#357182); #9693=PLANE('',#357186); #9694=PLANE('',#357190); #9695=PLANE('',#357194); #9696=PLANE('',#357195); #9697=PLANE('',#357199); #9698=PLANE('',#357200); #9699=PLANE('',#357201); #9700=PLANE('',#357202); #9701=PLANE('',#357203); #9702=PLANE('',#357204); #9703=PLANE('',#357205); #9704=PLANE('',#357209); #9705=PLANE('',#357210); #9706=PLANE('',#357214); #9707=PLANE('',#357215); #9708=PLANE('',#357216); #9709=PLANE('',#357217); #9710=PLANE('',#357221); #9711=PLANE('',#357225); #9712=PLANE('',#357226); #9713=PLANE('',#357227); #9714=PLANE('',#357228); #9715=PLANE('',#357229); #9716=PLANE('',#357230); #9717=PLANE('',#357231); #9718=PLANE('',#357232); #9719=PLANE('',#357233); #9720=PLANE('',#357234); #9721=PLANE('',#357235); #9722=PLANE('',#357239); #9723=PLANE('',#357243); #9724=PLANE('',#357244); #9725=PLANE('',#357245); #9726=PLANE('',#357246); #9727=PLANE('',#357250); #9728=PLANE('',#357251); #9729=PLANE('',#357255); #9730=PLANE('',#357256); #9731=PLANE('',#357257); #9732=PLANE('',#357258); #9733=PLANE('',#357259); #9734=PLANE('',#357260); #9735=PLANE('',#357261); #9736=PLANE('',#357265); #9737=PLANE('',#357266); #9738=PLANE('',#357270); #9739=PLANE('',#357274); #9740=PLANE('',#357278); #9741=PLANE('',#357279); #9742=PLANE('',#357280); #9743=PLANE('',#357284); #9744=PLANE('',#357285); #9745=PLANE('',#357286); #9746=PLANE('',#357287); #9747=PLANE('',#357288); #9748=PLANE('',#357289); #9749=PLANE('',#357290); #9750=PLANE('',#357291); #9751=PLANE('',#357295); #9752=PLANE('',#357296); #9753=PLANE('',#357300); #9754=PLANE('',#357301); #9755=PLANE('',#357302); #9756=PLANE('',#357303); #9757=PLANE('',#357304); #9758=PLANE('',#357305); #9759=PLANE('',#357306); #9760=PLANE('',#357310); #9761=PLANE('',#357311); #9762=PLANE('',#357312); #9763=PLANE('',#357313); #9764=PLANE('',#357314); #9765=PLANE('',#357315); #9766=PLANE('',#357319); #9767=PLANE('',#357320); #9768=PLANE('',#357324); #9769=PLANE('',#357325); #9770=PLANE('',#357326); #9771=PLANE('',#357330); #9772=PLANE('',#357331); #9773=PLANE('',#357338); #9774=PLANE('',#357342); #9775=PLANE('',#357343); #9776=PLANE('',#357347); #9777=PLANE('',#357348); #9778=PLANE('',#357349); #9779=PLANE('',#357350); #9780=PLANE('',#357351); #9781=PLANE('',#357352); #9782=PLANE('',#357353); #9783=PLANE('',#357357); #9784=PLANE('',#357361); #9785=PLANE('',#357362); #9786=PLANE('',#357366); #9787=PLANE('',#357367); #9788=PLANE('',#357368); #9789=PLANE('',#357369); #9790=PLANE('',#357370); #9791=PLANE('',#357371); #9792=PLANE('',#357372); #9793=PLANE('',#357373); #9794=PLANE('',#357377); #9795=PLANE('',#357381); #9796=PLANE('',#357382); #9797=PLANE('',#357386); #9798=PLANE('',#357393); #9799=PLANE('',#357394); #9800=PLANE('',#357395); #9801=PLANE('',#357396); #9802=PLANE('',#357397); #9803=PLANE('',#357398); #9804=PLANE('',#357399); #9805=PLANE('',#357403); #9806=PLANE('',#357407); #9807=PLANE('',#357408); #9808=PLANE('',#357412); #9809=PLANE('',#357413); #9810=PLANE('',#357414); #9811=PLANE('',#357415); #9812=PLANE('',#357416); #9813=PLANE('',#357420); #9814=PLANE('',#357421); #9815=PLANE('',#357425); #9816=PLANE('',#357432); #9817=PLANE('',#357433); #9818=PLANE('',#357437); #9819=PLANE('',#357438); #9820=PLANE('',#357439); #9821=PLANE('',#357440); #9822=PLANE('',#357441); #9823=PLANE('',#357445); #9824=PLANE('',#357449); #9825=PLANE('',#357453); #9826=PLANE('',#357454); #9827=PLANE('',#357455); #9828=PLANE('',#357456); #9829=PLANE('',#357457); #9830=PLANE('',#357458); #9831=PLANE('',#357459); #9832=PLANE('',#357466); #9833=PLANE('',#357467); #9834=PLANE('',#357471); #9835=PLANE('',#357472); #9836=PLANE('',#357473); #9837=PLANE('',#357474); #9838=PLANE('',#357475); #9839=PLANE('',#357476); #9840=PLANE('',#357480); #9841=PLANE('',#357481); #9842=PLANE('',#357488); #9843=PLANE('',#357492); #9844=PLANE('',#357493); #9845=PLANE('',#357497); #9846=PLANE('',#357498); #9847=PLANE('',#357499); #9848=PLANE('',#357500); #9849=PLANE('',#357504); #9850=PLANE('',#357508); #9851=PLANE('',#357509); #9852=PLANE('',#357510); #9853=PLANE('',#357511); #9854=PLANE('',#357512); #9855=PLANE('',#357513); #9856=PLANE('',#357514); #9857=PLANE('',#357521); #9858=PLANE('',#357522); #9859=PLANE('',#357526); #9860=PLANE('',#357533); #9861=PLANE('',#357534); #9862=PLANE('',#357535); #9863=PLANE('',#357536); #9864=PLANE('',#357537); #9865=PLANE('',#357538); #9866=PLANE('',#357539); #9867=PLANE('',#357543); #9868=PLANE('',#357544); #9869=PLANE('',#357548); #9870=PLANE('',#357549); #9871=PLANE('',#357550); #9872=PLANE('',#357551); #9873=PLANE('',#357552); #9874=PLANE('',#357556); #9875=PLANE('',#357557); #9876=PLANE('',#357558); #9877=PLANE('',#357559); #9878=PLANE('',#357560); #9879=PLANE('',#357567); #9880=PLANE('',#357571); #9881=PLANE('',#357575); #9882=PLANE('',#357579); #9883=PLANE('',#357583); #9884=PLANE('',#357584); #9885=PLANE('',#357585); #9886=PLANE('',#357586); #9887=PLANE('',#357590); #9888=PLANE('',#357591); #9889=PLANE('',#357592); #9890=PLANE('',#357593); #9891=PLANE('',#357594); #9892=PLANE('',#357595); #9893=PLANE('',#357596); #9894=PLANE('',#357597); #9895=PLANE('',#357604); #9896=PLANE('',#357608); #9897=PLANE('',#357612); #9898=PLANE('',#357616); #9899=PLANE('',#357617); #9900=PLANE('',#357618); #9901=PLANE('',#357622); #9902=PLANE('',#357623); #9903=PLANE('',#357624); #9904=PLANE('',#357625); #9905=PLANE('',#357626); #9906=PLANE('',#357630); #9907=PLANE('',#357634); #9908=PLANE('',#357638); #9909=PLANE('',#357642); #9910=PLANE('',#357649); #9911=PLANE('',#357650); #9912=PLANE('',#357651); #9913=PLANE('',#357652); #9914=PLANE('',#357653); #9915=PLANE('',#357657); #9916=PLANE('',#357658); #9917=PLANE('',#357659); #9918=PLANE('',#357660); #9919=PLANE('',#357661); #9920=PLANE('',#357662); #9921=PLANE('',#357663); #9922=PLANE('',#357664); #9923=PLANE('',#357668); #9924=PLANE('',#357672); #9925=PLANE('',#357673); #9926=PLANE('',#357674); #9927=PLANE('',#357675); #9928=PLANE('',#357676); #9929=PLANE('',#357677); #9930=PLANE('',#357678); #9931=PLANE('',#357679); #9932=PLANE('',#357680); #9933=PLANE('',#357684); #9934=PLANE('',#357688); #9935=PLANE('',#357689); #9936=PLANE('',#357690); #9937=PLANE('',#357691); #9938=PLANE('',#357692); #9939=PLANE('',#357693); #9940=PLANE('',#357694); #9941=PLANE('',#357698); #9942=PLANE('',#357699); #9943=PLANE('',#357700); #9944=PLANE('',#357701); #9945=PLANE('',#357702); #9946=PLANE('',#357703); #9947=PLANE('',#357707); #9948=PLANE('',#357711); #9949=PLANE('',#357715); #9950=PLANE('',#357716); #9951=PLANE('',#357717); #9952=PLANE('',#357718); #9953=PLANE('',#357722); #9954=PLANE('',#357723); #9955=PLANE('',#357724); #9956=PLANE('',#357725); #9957=PLANE('',#357726); #9958=PLANE('',#357727); #9959=PLANE('',#357728); #9960=PLANE('',#357732); #9961=PLANE('',#357736); #9962=PLANE('',#357740); #9963=PLANE('',#357741); #9964=PLANE('',#357745); #9965=PLANE('',#357746); #9966=PLANE('',#357750); #9967=PLANE('',#357751); #9968=PLANE('',#357752); #9969=PLANE('',#357753); #9970=PLANE('',#357754); #9971=PLANE('',#357755); #9972=PLANE('',#357756); #9973=PLANE('',#357760); #9974=PLANE('',#357767); #9975=PLANE('',#357768); #9976=PLANE('',#357772); #9977=PLANE('',#357773); #9978=PLANE('',#357774); #9979=PLANE('',#357778); #9980=PLANE('',#357779); #9981=PLANE('',#357780); #9982=PLANE('',#357781); #9983=PLANE('',#357782); #9984=PLANE('',#357783); #9985=PLANE('',#357784); #9986=PLANE('',#357785); #9987=PLANE('',#357786); #9988=PLANE('',#357787); #9989=PLANE('',#357791); #9990=PLANE('',#357795); #9991=PLANE('',#357796); #9992=PLANE('',#357797); #9993=PLANE('',#357801); #9994=PLANE('',#357805); #9995=PLANE('',#357806); #9996=PLANE('',#357807); #9997=PLANE('',#357814); #9998=PLANE('',#357818); #9999=PLANE('',#357822); #10000=PLANE('',#357823); #10001=PLANE('',#357824); #10002=PLANE('',#357828); #10003=PLANE('',#357832); #10004=PLANE('',#357833); #10005=PLANE('',#357834); #10006=PLANE('',#357835); #10007=PLANE('',#357836); #10008=PLANE('',#357837); #10009=PLANE('',#357838); #10010=PLANE('',#357839); #10011=PLANE('',#357840); #10012=PLANE('',#357841); #10013=PLANE('',#357842); #10014=PLANE('',#357846); #10015=PLANE('',#357847); #10016=PLANE('',#357848); #10017=PLANE('',#357849); #10018=PLANE('',#357850); #10019=PLANE('',#357854); #10020=PLANE('',#357855); #10021=PLANE('',#357859); #10022=PLANE('',#357860); #10023=PLANE('',#357867); #10024=PLANE('',#357871); #10025=PLANE('',#357872); #10026=PLANE('',#357876); #10027=PLANE('',#357877); #10028=PLANE('',#357878); #10029=PLANE('',#357879); #10030=PLANE('',#357880); #10031=PLANE('',#357884); #10032=PLANE('',#357885); #10033=PLANE('',#357886); #10034=PLANE('',#357887); #10035=PLANE('',#357888); #10036=PLANE('',#357889); #10037=PLANE('',#357893); #10038=PLANE('',#357894); #10039=PLANE('',#357895); #10040=PLANE('',#357896); #10041=PLANE('',#357897); #10042=PLANE('',#357898); #10043=PLANE('',#357902); #10044=PLANE('',#357906); #10045=PLANE('',#357910); #10046=PLANE('',#357911); #10047=PLANE('',#357912); #10048=PLANE('',#357913); #10049=PLANE('',#357914); #10050=PLANE('',#357915); #10051=PLANE('',#357916); #10052=PLANE('',#357917); #10053=PLANE('',#357918); #10054=PLANE('',#357922); #10055=PLANE('',#357923); #10056=PLANE('',#357927); #10057=PLANE('',#357934); #10058=PLANE('',#357935); #10059=PLANE('',#357942); #10060=PLANE('',#357946); #10061=PLANE('',#357953); #10062=PLANE('',#357957); #10063=PLANE('',#357958); #10064=PLANE('',#357962); #10065=PLANE('',#357969); #10066=PLANE('',#357970); #10067=PLANE('',#357974); #10068=PLANE('',#357975); #10069=PLANE('',#357976); #10070=PLANE('',#357977); #10071=PLANE('',#357978); #10072=PLANE('',#357979); #10073=PLANE('',#357983); #10074=PLANE('',#357984); #10075=PLANE('',#357985); #10076=PLANE('',#357986); #10077=PLANE('',#357987); #10078=PLANE('',#357988); #10079=PLANE('',#357989); #10080=PLANE('',#357990); #10081=PLANE('',#357991); #10082=PLANE('',#357992); #10083=PLANE('',#357993); #10084=PLANE('',#357994); #10085=PLANE('',#357998); #10086=PLANE('',#358002); #10087=PLANE('',#358003); #10088=PLANE('',#358004); #10089=PLANE('',#358008); #10090=PLANE('',#358012); #10091=PLANE('',#358019); #10092=PLANE('',#358020); #10093=PLANE('',#358021); #10094=PLANE('',#358025); #10095=PLANE('',#358029); #10096=PLANE('',#358030); #10097=PLANE('',#358031); #10098=PLANE('',#358035); #10099=PLANE('',#358039); #10100=PLANE('',#358040); #10101=PLANE('',#358041); #10102=PLANE('',#358042); #10103=PLANE('',#358043); #10104=PLANE('',#358044); #10105=PLANE('',#358045); #10106=PLANE('',#358046); #10107=PLANE('',#358047); #10108=PLANE('',#358051); #10109=PLANE('',#358052); #10110=PLANE('',#358053); #10111=PLANE('',#358054); #10112=PLANE('',#358058); #10113=PLANE('',#358062); #10114=PLANE('',#358063); #10115=PLANE('',#358067); #10116=PLANE('',#358074); #10117=PLANE('',#358075); #10118=PLANE('',#358079); #10119=PLANE('',#358080); #10120=PLANE('',#358081); #10121=PLANE('',#358082); #10122=PLANE('',#358083); #10123=PLANE('',#358084); #10124=PLANE('',#358085); #10125=PLANE('',#358086); #10126=PLANE('',#358087); #10127=PLANE('',#358088); #10128=PLANE('',#358089); #10129=PLANE('',#358090); #10130=PLANE('',#358091); #10131=PLANE('',#358092); #10132=PLANE('',#358093); #10133=PLANE('',#358094); #10134=PLANE('',#358095); #10135=PLANE('',#358096); #10136=PLANE('',#358097); #10137=PLANE('',#358098); #10138=PLANE('',#358099); #10139=PLANE('',#358100); #10140=PLANE('',#358101); #10141=PLANE('',#358102); #10142=PLANE('',#358103); #10143=PLANE('',#358104); #10144=PLANE('',#358105); #10145=PLANE('',#358106); #10146=PLANE('',#358107); #10147=PLANE('',#358108); #10148=PLANE('',#358109); #10149=PLANE('',#358110); #10150=PLANE('',#358111); #10151=PLANE('',#358112); #10152=PLANE('',#358113); #10153=PLANE('',#358117); #10154=PLANE('',#358118); #10155=PLANE('',#358119); #10156=PLANE('',#358120); #10157=PLANE('',#358124); #10158=PLANE('',#358128); #10159=PLANE('',#358132); #10160=PLANE('',#358139); #10161=PLANE('',#358140); #10162=PLANE('',#358141); #10163=PLANE('',#358142); #10164=PLANE('',#358143); #10165=PLANE('',#358144); #10166=PLANE('',#358145); #10167=PLANE('',#358149); #10168=PLANE('',#358153); #10169=PLANE('',#358157); #10170=PLANE('',#358161); #10171=PLANE('',#358162); #10172=PLANE('',#358163); #10173=PLANE('',#358164); #10174=PLANE('',#358165); #10175=PLANE('',#358166); #10176=PLANE('',#358167); #10177=PLANE('',#358168); #10178=PLANE('',#358169); #10179=PLANE('',#358173); #10180=PLANE('',#358177); #10181=PLANE('',#358178); #10182=PLANE('',#358179); #10183=PLANE('',#358183); #10184=PLANE('',#358184); #10185=PLANE('',#358188); #10186=PLANE('',#358195); #10187=PLANE('',#358196); #10188=PLANE('',#358197); #10189=PLANE('',#358198); #10190=PLANE('',#358199); #10191=PLANE('',#358200); #10192=PLANE('',#358204); #10193=PLANE('',#358208); #10194=PLANE('',#358209); #10195=PLANE('',#358210); #10196=PLANE('',#358211); #10197=PLANE('',#358212); #10198=PLANE('',#358213); #10199=PLANE('',#358217); #10200=PLANE('',#358221); #10201=PLANE('',#358222); #10202=PLANE('',#358223); #10203=PLANE('',#358227); #10204=PLANE('',#358231); #10205=PLANE('',#358232); #10206=PLANE('',#358233); #10207=PLANE('',#358234); #10208=PLANE('',#358235); #10209=PLANE('',#358236); #10210=PLANE('',#358237); #10211=PLANE('',#358238); #10212=PLANE('',#358239); #10213=PLANE('',#358243); #10214=PLANE('',#358247); #10215=PLANE('',#358248); #10216=PLANE('',#358249); #10217=PLANE('',#358253); #10218=PLANE('',#358257); #10219=PLANE('',#358258); #10220=PLANE('',#358259); #10221=PLANE('',#358260); #10222=PLANE('',#358261); #10223=PLANE('',#358262); #10224=PLANE('',#358263); #10225=PLANE('',#358267); #10226=PLANE('',#358271); #10227=PLANE('',#358272); #10228=PLANE('',#358273); #10229=PLANE('',#358274); #10230=PLANE('',#358275); #10231=PLANE('',#358276); #10232=PLANE('',#358277); #10233=PLANE('',#358281); #10234=PLANE('',#358282); #10235=PLANE('',#358289); #10236=PLANE('',#358290); #10237=PLANE('',#358297); #10238=PLANE('',#358298); #10239=PLANE('',#358305); #10240=PLANE('',#358306); #10241=PLANE('',#358307); #10242=PLANE('',#358308); #10243=PLANE('',#358309); #10244=PLANE('',#358310); #10245=PLANE('',#358311); #10246=PLANE('',#358312); #10247=PLANE('',#358313); #10248=PLANE('',#358314); #10249=PLANE('',#358318); #10250=PLANE('',#358322); #10251=PLANE('',#358323); #10252=PLANE('',#358324); #10253=PLANE('',#358325); #10254=PLANE('',#358326); #10255=PLANE('',#358327); #10256=PLANE('',#358331); #10257=PLANE('',#358332); #10258=PLANE('',#358333); #10259=PLANE('',#358334); #10260=PLANE('',#358335); #10261=PLANE('',#358336); #10262=PLANE('',#358337); #10263=PLANE('',#358338); #10264=PLANE('',#358339); #10265=PLANE('',#358340); #10266=PLANE('',#358341); #10267=PLANE('',#358375); #10268=PLANE('',#358376); #10269=PLANE('',#358377); #10270=PLANE('',#358378); #10271=PLANE('',#358382); #10272=PLANE('',#358386); #10273=PLANE('',#358387); #10274=PLANE('',#358388); #10275=PLANE('',#358389); #10276=PLANE('',#358390); #10277=PLANE('',#358391); #10278=PLANE('',#358392); #10279=PLANE('',#358393); #10280=PLANE('',#358394); #10281=PLANE('',#358395); #10282=PLANE('',#358396); #10283=PLANE('',#358397); #10284=PLANE('',#358398); #10285=PLANE('',#358399); #10286=PLANE('',#358400); #10287=PLANE('',#358401); #10288=PLANE('',#358402); #10289=PLANE('',#358403); #10290=PLANE('',#358404); #10291=PLANE('',#358405); #10292=PLANE('',#358406); #10293=PLANE('',#358407); #10294=PLANE('',#358408); #10295=PLANE('',#358409); #10296=PLANE('',#358410); #10297=PLANE('',#358411); #10298=PLANE('',#358412); #10299=PLANE('',#358413); #10300=PLANE('',#358414); #10301=PLANE('',#358415); #10302=PLANE('',#358416); #10303=PLANE('',#358417); #10304=PLANE('',#358418); #10305=PLANE('',#358419); #10306=PLANE('',#358420); #10307=PLANE('',#358421); #10308=PLANE('',#358422); #10309=PLANE('',#358423); #10310=PLANE('',#358424); #10311=PLANE('',#358425); #10312=PLANE('',#358426); #10313=PLANE('',#358427); #10314=PLANE('',#358428); #10315=PLANE('',#358429); #10316=PLANE('',#358430); #10317=PLANE('',#358431); #10318=PLANE('',#358432); #10319=PLANE('',#358433); #10320=PLANE('',#358434); #10321=PLANE('',#358435); #10322=PLANE('',#358436); #10323=PLANE('',#358437); #10324=PLANE('',#358438); #10325=PLANE('',#358439); #10326=PLANE('',#358440); #10327=PLANE('',#358441); #10328=PLANE('',#358442); #10329=PLANE('',#358443); #10330=PLANE('',#358444); #10331=PLANE('',#358445); #10332=PLANE('',#358446); #10333=PLANE('',#358447); #10334=PLANE('',#358448); #10335=PLANE('',#358449); #10336=PLANE('',#358450); #10337=PLANE('',#358451); #10338=PLANE('',#358452); #10339=PLANE('',#358453); #10340=PLANE('',#358454); #10341=PLANE('',#358455); #10342=PLANE('',#358456); #10343=PLANE('',#358457); #10344=PLANE('',#358458); #10345=PLANE('',#358459); #10346=PLANE('',#358460); #10347=PLANE('',#358461); #10348=PLANE('',#358462); #10349=PLANE('',#358463); #10350=PLANE('',#358464); #10351=PLANE('',#358465); #10352=PLANE('',#358466); #10353=PLANE('',#358467); #10354=PLANE('',#358468); #10355=PLANE('',#358469); #10356=PLANE('',#358470); #10357=PLANE('',#358471); #10358=PLANE('',#358472); #10359=PLANE('',#358473); #10360=PLANE('',#358474); #10361=PLANE('',#358475); #10362=PLANE('',#358476); #10363=PLANE('',#358477); #10364=PLANE('',#358478); #10365=PLANE('',#358479); #10366=PLANE('',#358480); #10367=PLANE('',#358481); #10368=PLANE('',#358482); #10369=PLANE('',#358483); #10370=PLANE('',#358484); #10371=PLANE('',#358485); #10372=PLANE('',#358486); #10373=PLANE('',#358487); #10374=PLANE('',#358488); #10375=PLANE('',#358489); #10376=PLANE('',#358490); #10377=PLANE('',#358491); #10378=PLANE('',#358492); #10379=PLANE('',#358493); #10380=PLANE('',#358494); #10381=PLANE('',#358495); #10382=PLANE('',#358496); #10383=PLANE('',#358497); #10384=PLANE('',#358498); #10385=PLANE('',#358499); #10386=PLANE('',#358500); #10387=PLANE('',#358501); #10388=PLANE('',#358502); #10389=PLANE('',#358503); #10390=PLANE('',#358507); #10391=PLANE('',#358511); #10392=PLANE('',#358512); #10393=PLANE('',#358513); #10394=PLANE('',#358514); #10395=PLANE('',#358515); #10396=PLANE('',#358516); #10397=PLANE('',#358517); #10398=PLANE('',#358521); #10399=PLANE('',#358528); #10400=PLANE('',#358529); #10401=PLANE('',#358530); #10402=PLANE('',#358531); #10403=PLANE('',#358532); #10404=PLANE('',#358533); #10405=PLANE('',#358534); #10406=PLANE('',#358535); #10407=PLANE('',#358536); #10408=PLANE('',#358537); #10409=PLANE('',#358538); #10410=PLANE('',#358539); #10411=PLANE('',#358540); #10412=PLANE('',#358541); #10413=PLANE('',#358542); #10414=PLANE('',#358543); #10415=PLANE('',#358544); #10416=PLANE('',#358545); #10417=PLANE('',#358546); #10418=PLANE('',#358547); #10419=PLANE('',#358548); #10420=PLANE('',#358549); #10421=PLANE('',#358550); #10422=PLANE('',#358551); #10423=PLANE('',#358552); #10424=PLANE('',#358553); #10425=PLANE('',#358554); #10426=PLANE('',#358555); #10427=PLANE('',#358556); #10428=PLANE('',#358557); #10429=PLANE('',#358558); #10430=PLANE('',#358559); #10431=PLANE('',#358560); #10432=PLANE('',#358561); #10433=PLANE('',#358562); #10434=PLANE('',#358563); #10435=PLANE('',#358564); #10436=PLANE('',#358565); #10437=PLANE('',#358566); #10438=PLANE('',#358567); #10439=PLANE('',#358568); #10440=PLANE('',#358569); #10441=PLANE('',#358570); #10442=PLANE('',#358571); #10443=PLANE('',#358572); #10444=PLANE('',#358573); #10445=PLANE('',#358574); #10446=PLANE('',#358575); #10447=PLANE('',#358576); #10448=PLANE('',#358577); #10449=PLANE('',#358578); #10450=PLANE('',#358579); #10451=PLANE('',#358580); #10452=PLANE('',#358581); #10453=PLANE('',#358582); #10454=PLANE('',#358583); #10455=PLANE('',#358584); #10456=PLANE('',#358585); #10457=PLANE('',#358586); #10458=PLANE('',#358587); #10459=PLANE('',#358588); #10460=PLANE('',#358589); #10461=PLANE('',#358590); #10462=PLANE('',#358591); #10463=PLANE('',#358592); #10464=PLANE('',#358593); #10465=PLANE('',#358594); #10466=PLANE('',#358595); #10467=PLANE('',#358596); #10468=PLANE('',#358597); #10469=PLANE('',#358598); #10470=PLANE('',#358599); #10471=PLANE('',#358600); #10472=PLANE('',#358601); #10473=PLANE('',#358602); #10474=PLANE('',#358603); #10475=PLANE('',#358604); #10476=PLANE('',#358605); #10477=PLANE('',#358606); #10478=PLANE('',#358607); #10479=PLANE('',#358608); #10480=PLANE('',#358609); #10481=PLANE('',#358610); #10482=PLANE('',#358611); #10483=PLANE('',#358612); #10484=PLANE('',#358613); #10485=PLANE('',#358614); #10486=PLANE('',#358615); #10487=PLANE('',#358616); #10488=PLANE('',#358617); #10489=PLANE('',#358618); #10490=PLANE('',#358619); #10491=PLANE('',#358629); #10492=PLANE('',#358630); #10493=PLANE('',#358631); #10494=PLANE('',#358632); #10495=PLANE('',#358633); #10496=PLANE('',#358634); #10497=PLANE('',#358635); #10498=PLANE('',#358636); #10499=PLANE('',#358637); #10500=PLANE('',#358638); #10501=PLANE('',#358639); #10502=PLANE('',#358640); #10503=PLANE('',#358641); #10504=PLANE('',#358642); #10505=PLANE('',#358643); #10506=PLANE('',#358644); #10507=PLANE('',#358645); #10508=PLANE('',#358646); #10509=PLANE('',#358647); #10510=PLANE('',#358648); #10511=PLANE('',#358649); #10512=PLANE('',#358650); #10513=PLANE('',#358651); #10514=PLANE('',#358652); #10515=PLANE('',#358653); #10516=PLANE('',#358654); #10517=PLANE('',#358655); #10518=PLANE('',#358656); #10519=PLANE('',#358657); #10520=PLANE('',#358658); #10521=PLANE('',#358659); #10522=PLANE('',#358660); #10523=PLANE('',#358661); #10524=PLANE('',#358662); #10525=PLANE('',#358663); #10526=PLANE('',#358664); #10527=PLANE('',#358665); #10528=PLANE('',#358666); #10529=PLANE('',#358667); #10530=PLANE('',#358668); #10531=PLANE('',#358669); #10532=PLANE('',#358670); #10533=PLANE('',#358671); #10534=PLANE('',#358672); #10535=PLANE('',#358673); #10536=PLANE('',#358674); #10537=PLANE('',#358675); #10538=PLANE('',#358676); #10539=PLANE('',#358677); #10540=PLANE('',#358678); #10541=PLANE('',#358679); #10542=PLANE('',#358680); #10543=PLANE('',#358681); #10544=PLANE('',#358682); #10545=PLANE('',#358683); #10546=PLANE('',#358684); #10547=PLANE('',#358685); #10548=PLANE('',#358686); #10549=PLANE('',#358687); #10550=PLANE('',#358688); #10551=PLANE('',#358689); #10552=PLANE('',#358690); #10553=PLANE('',#358691); #10554=PLANE('',#358692); #10555=PLANE('',#358693); #10556=PLANE('',#358694); #10557=PLANE('',#358695); #10558=PLANE('',#358696); #10559=PLANE('',#358697); #10560=PLANE('',#358698); #10561=PLANE('',#358699); #10562=PLANE('',#358700); #10563=PLANE('',#358701); #10564=PLANE('',#358702); #10565=PLANE('',#358703); #10566=PLANE('',#358704); #10567=PLANE('',#358705); #10568=PLANE('',#358706); #10569=PLANE('',#358707); #10570=PLANE('',#358708); #10571=PLANE('',#358709); #10572=PLANE('',#358710); #10573=PLANE('',#358711); #10574=PLANE('',#358712); #10575=PLANE('',#358713); #10576=PLANE('',#358714); #10577=PLANE('',#358715); #10578=PLANE('',#358716); #10579=PLANE('',#358717); #10580=PLANE('',#358718); #10581=PLANE('',#358719); #10582=PLANE('',#358720); #10583=PLANE('',#358721); #10584=PLANE('',#358722); #10585=PLANE('',#358723); #10586=PLANE('',#358724); #10587=PLANE('',#358725); #10588=PLANE('',#358726); #10589=PLANE('',#358727); #10590=PLANE('',#358728); #10591=PLANE('',#358729); #10592=PLANE('',#358730); #10593=PLANE('',#358731); #10594=PLANE('',#358732); #10595=PLANE('',#358733); #10596=PLANE('',#358734); #10597=PLANE('',#358735); #10598=PLANE('',#358736); #10599=PLANE('',#358737); #10600=PLANE('',#358738); #10601=PLANE('',#358739); #10602=PLANE('',#358740); #10603=PLANE('',#358741); #10604=PLANE('',#358742); #10605=PLANE('',#358743); #10606=PLANE('',#358744); #10607=PLANE('',#358745); #10608=PLANE('',#358746); #10609=PLANE('',#358747); #10610=PLANE('',#358748); #10611=PLANE('',#358749); #10612=PLANE('',#358750); #10613=PLANE('',#358751); #10614=PLANE('',#358752); #10615=PLANE('',#358753); #10616=PLANE('',#358754); #10617=PLANE('',#358755); #10618=PLANE('',#358756); #10619=PLANE('',#358757); #10620=PLANE('',#358758); #10621=PLANE('',#358759); #10622=PLANE('',#358760); #10623=PLANE('',#358761); #10624=PLANE('',#358762); #10625=PLANE('',#358763); #10626=PLANE('',#358764); #10627=PLANE('',#358765); #10628=PLANE('',#358766); #10629=PLANE('',#358767); #10630=PLANE('',#358768); #10631=PLANE('',#358769); #10632=PLANE('',#358770); #10633=PLANE('',#358771); #10634=PLANE('',#358772); #10635=PLANE('',#358773); #10636=PLANE('',#358774); #10637=PLANE('',#358775); #10638=PLANE('',#358776); #10639=PLANE('',#358777); #10640=PLANE('',#358778); #10641=PLANE('',#358779); #10642=PLANE('',#358780); #10643=PLANE('',#358781); #10644=PLANE('',#358782); #10645=PLANE('',#358783); #10646=PLANE('',#358784); #10647=PLANE('',#358785); #10648=PLANE('',#358786); #10649=PLANE('',#358787); #10650=PLANE('',#358788); #10651=PLANE('',#358789); #10652=PLANE('',#358790); #10653=PLANE('',#358791); #10654=PLANE('',#358792); #10655=PLANE('',#358793); #10656=PLANE('',#358794); #10657=PLANE('',#358795); #10658=PLANE('',#358796); #10659=PLANE('',#358797); #10660=PLANE('',#358798); #10661=PLANE('',#358799); #10662=PLANE('',#358800); #10663=PLANE('',#358801); #10664=PLANE('',#358805); #10665=PLANE('',#358809); #10666=PLANE('',#358840); #10667=PLANE('',#358841); #10668=PLANE('',#358842); #10669=PLANE('',#358843); #10670=PLANE('',#358844); #10671=PLANE('',#358845); #10672=PLANE('',#358846); #10673=PLANE('',#358847); #10674=PLANE('',#358848); #10675=PLANE('',#358849); #10676=PLANE('',#358850); #10677=PLANE('',#358851); #10678=PLANE('',#358852); #10679=PLANE('',#358853); #10680=PLANE('',#358854); #10681=PLANE('',#358855); #10682=PLANE('',#358856); #10683=PLANE('',#358857); #10684=PLANE('',#358858); #10685=PLANE('',#358859); #10686=PLANE('',#358860); #10687=PLANE('',#358861); #10688=PLANE('',#358862); #10689=PLANE('',#358863); #10690=PLANE('',#358864); #10691=PLANE('',#358865); #10692=PLANE('',#358866); #10693=PLANE('',#358867); #10694=PLANE('',#358868); #10695=PLANE('',#358869); #10696=PLANE('',#358870); #10697=PLANE('',#358871); #10698=PLANE('',#358872); #10699=PLANE('',#358873); #10700=PLANE('',#358874); #10701=PLANE('',#358875); #10702=PLANE('',#358876); #10703=PLANE('',#358877); #10704=PLANE('',#358878); #10705=PLANE('',#358879); #10706=PLANE('',#358880); #10707=PLANE('',#358881); #10708=PLANE('',#358882); #10709=PLANE('',#358883); #10710=PLANE('',#358884); #10711=PLANE('',#358885); #10712=PLANE('',#358886); #10713=PLANE('',#358887); #10714=PLANE('',#358888); #10715=PLANE('',#358889); #10716=PLANE('',#358890); #10717=PLANE('',#358891); #10718=PLANE('',#358892); #10719=PLANE('',#358893); #10720=PLANE('',#358894); #10721=PLANE('',#358895); #10722=PLANE('',#358896); #10723=PLANE('',#358897); #10724=PLANE('',#358898); #10725=PLANE('',#358899); #10726=PLANE('',#358900); #10727=PLANE('',#358901); #10728=PLANE('',#358902); #10729=PLANE('',#358903); #10730=PLANE('',#358904); #10731=PLANE('',#358905); #10732=PLANE('',#358906); #10733=PLANE('',#358907); #10734=PLANE('',#358908); #10735=PLANE('',#358909); #10736=PLANE('',#358910); #10737=PLANE('',#358911); #10738=PLANE('',#358912); #10739=PLANE('',#358913); #10740=PLANE('',#358914); #10741=PLANE('',#358915); #10742=PLANE('',#358916); #10743=PLANE('',#358917); #10744=PLANE('',#358918); #10745=PLANE('',#358919); #10746=PLANE('',#358920); #10747=PLANE('',#358921); #10748=PLANE('',#358922); #10749=PLANE('',#358923); #10750=PLANE('',#358924); #10751=PLANE('',#358925); #10752=PLANE('',#358926); #10753=PLANE('',#358927); #10754=PLANE('',#358928); #10755=PLANE('',#358929); #10756=PLANE('',#358930); #10757=PLANE('',#358931); #10758=PLANE('',#358932); #10759=PLANE('',#358933); #10760=PLANE('',#358934); #10761=PLANE('',#358935); #10762=PLANE('',#358936); #10763=PLANE('',#358937); #10764=PLANE('',#358938); #10765=PLANE('',#358939); #10766=PLANE('',#358940); #10767=PLANE('',#358941); #10768=PLANE('',#358942); #10769=PLANE('',#358943); #10770=PLANE('',#358944); #10771=PLANE('',#358945); #10772=PLANE('',#358946); #10773=PLANE('',#358947); #10774=PLANE('',#358948); #10775=PLANE('',#358949); #10776=PLANE('',#358950); #10777=PLANE('',#358951); #10778=PLANE('',#358952); #10779=PLANE('',#358953); #10780=PLANE('',#358954); #10781=PLANE('',#358955); #10782=PLANE('',#358956); #10783=PLANE('',#358957); #10784=PLANE('',#358958); #10785=PLANE('',#358959); #10786=PLANE('',#358960); #10787=PLANE('',#358961); #10788=PLANE('',#358962); #10789=PLANE('',#358963); #10790=PLANE('',#358964); #10791=PLANE('',#358965); #10792=PLANE('',#358966); #10793=PLANE('',#358967); #10794=PLANE('',#358968); #10795=PLANE('',#358969); #10796=PLANE('',#358970); #10797=PLANE('',#358971); #10798=PLANE('',#358972); #10799=PLANE('',#358973); #10800=PLANE('',#358974); #10801=PLANE('',#358975); #10802=PLANE('',#358976); #10803=PLANE('',#358977); #10804=PLANE('',#358978); #10805=PLANE('',#358979); #10806=PLANE('',#358980); #10807=PLANE('',#358981); #10808=PLANE('',#358982); #10809=PLANE('',#358983); #10810=PLANE('',#358984); #10811=PLANE('',#358985); #10812=PLANE('',#358986); #10813=PLANE('',#358987); #10814=PLANE('',#358988); #10815=PLANE('',#358989); #10816=PLANE('',#358990); #10817=PLANE('',#358991); #10818=PLANE('',#358992); #10819=PLANE('',#358993); #10820=PLANE('',#358994); #10821=PLANE('',#358995); #10822=PLANE('',#358996); #10823=PLANE('',#358997); #10824=PLANE('',#358998); #10825=PLANE('',#358999); #10826=PLANE('',#359000); #10827=PLANE('',#359001); #10828=PLANE('',#359002); #10829=PLANE('',#359003); #10830=PLANE('',#359004); #10831=PLANE('',#359005); #10832=PLANE('',#359006); #10833=PLANE('',#359007); #10834=PLANE('',#359008); #10835=PLANE('',#359009); #10836=PLANE('',#359010); #10837=PLANE('',#359011); #10838=PLANE('',#359012); #10839=PLANE('',#359013); #10840=PLANE('',#359014); #10841=PLANE('',#359015); #10842=PLANE('',#359016); #10843=PLANE('',#359017); #10844=PLANE('',#359018); #10845=PLANE('',#359019); #10846=PLANE('',#359020); #10847=PLANE('',#359021); #10848=PLANE('',#359022); #10849=PLANE('',#359023); #10850=PLANE('',#359024); #10851=PLANE('',#359025); #10852=PLANE('',#359026); #10853=PLANE('',#359027); #10854=PLANE('',#359028); #10855=PLANE('',#359029); #10856=PLANE('',#359030); #10857=PLANE('',#359031); #10858=PLANE('',#359032); #10859=PLANE('',#359033); #10860=PLANE('',#359034); #10861=PLANE('',#359035); #10862=PLANE('',#359036); #10863=PLANE('',#359037); #10864=PLANE('',#359038); #10865=PLANE('',#359039); #10866=PLANE('',#359040); #10867=PLANE('',#359041); #10868=PLANE('',#359042); #10869=PLANE('',#359043); #10870=PLANE('',#359044); #10871=PLANE('',#359045); #10872=PLANE('',#359046); #10873=PLANE('',#359047); #10874=PLANE('',#359048); #10875=PLANE('',#359049); #10876=PLANE('',#359050); #10877=PLANE('',#359051); #10878=PLANE('',#359052); #10879=PLANE('',#359053); #10880=PLANE('',#359054); #10881=PLANE('',#359055); #10882=PLANE('',#359056); #10883=PLANE('',#359057); #10884=PLANE('',#359058); #10885=PLANE('',#359059); #10886=PLANE('',#359060); #10887=PLANE('',#359061); #10888=PLANE('',#359062); #10889=PLANE('',#359063); #10890=PLANE('',#359064); #10891=PLANE('',#359065); #10892=PLANE('',#359066); #10893=PLANE('',#359067); #10894=PLANE('',#359068); #10895=PLANE('',#359069); #10896=PLANE('',#359070); #10897=PLANE('',#359071); #10898=PLANE('',#359072); #10899=PLANE('',#359073); #10900=PLANE('',#359074); #10901=PLANE('',#359075); #10902=PLANE('',#359076); #10903=PLANE('',#359077); #10904=PLANE('',#359078); #10905=PLANE('',#359079); #10906=PLANE('',#359080); #10907=PLANE('',#359081); #10908=PLANE('',#359082); #10909=PLANE('',#359083); #10910=PLANE('',#359084); #10911=PLANE('',#359085); #10912=PLANE('',#359086); #10913=PLANE('',#359087); #10914=PLANE('',#359088); #10915=PLANE('',#359089); #10916=PLANE('',#359090); #10917=PLANE('',#359091); #10918=PLANE('',#359092); #10919=PLANE('',#359093); #10920=PLANE('',#359094); #10921=PLANE('',#359095); #10922=PLANE('',#359096); #10923=PLANE('',#359097); #10924=PLANE('',#359098); #10925=PLANE('',#359099); #10926=PLANE('',#359100); #10927=PLANE('',#359101); #10928=PLANE('',#359102); #10929=PLANE('',#359103); #10930=PLANE('',#359104); #10931=PLANE('',#359105); #10932=PLANE('',#359106); #10933=PLANE('',#359107); #10934=PLANE('',#359108); #10935=PLANE('',#359109); #10936=PLANE('',#359110); #10937=PLANE('',#359111); #10938=PLANE('',#359112); #10939=PLANE('',#359113); #10940=PLANE('',#359219); #10941=PLANE('',#359223); #10942=PLANE('',#359239); #10943=PLANE('',#359243); #10944=PLANE('',#359247); #10945=PLANE('',#359251); #10946=PLANE('',#359255); #10947=PLANE('',#359259); #10948=PLANE('',#359320); #10949=PLANE('',#359321); #10950=PLANE('',#359322); #10951=PLANE('',#359323); #10952=PLANE('',#359324); #10953=PLANE('',#359325); #10954=PLANE('',#359326); #10955=PLANE('',#359327); #10956=PLANE('',#359328); #10957=PLANE('',#359329); #10958=PLANE('',#359330); #10959=PLANE('',#359331); #10960=PLANE('',#359332); #10961=PLANE('',#359333); #10962=PLANE('',#359334); #10963=PLANE('',#359335); #10964=PLANE('',#359336); #10965=PLANE('',#359337); #10966=PLANE('',#359338); #10967=PLANE('',#359339); #10968=PLANE('',#359340); #10969=PLANE('',#359341); #10970=PLANE('',#359342); #10971=PLANE('',#359343); #10972=PLANE('',#359344); #10973=PLANE('',#359345); #10974=PLANE('',#359346); #10975=PLANE('',#359347); #10976=PLANE('',#359348); #10977=PLANE('',#359349); #10978=PLANE('',#359350); #10979=PLANE('',#359351); #10980=PLANE('',#359352); #10981=PLANE('',#359353); #10982=PLANE('',#359354); #10983=PLANE('',#359355); #10984=PLANE('',#359356); #10985=PLANE('',#359357); #10986=PLANE('',#359358); #10987=PLANE('',#359359); #10988=PLANE('',#359360); #10989=PLANE('',#359361); #10990=PLANE('',#359362); #10991=PLANE('',#359363); #10992=PLANE('',#359364); #10993=PLANE('',#359365); #10994=PLANE('',#359366); #10995=PLANE('',#359367); #10996=PLANE('',#359368); #10997=PLANE('',#359369); #10998=PLANE('',#359370); #10999=PLANE('',#359371); #11000=PLANE('',#359372); #11001=PLANE('',#359373); #11002=PLANE('',#359374); #11003=PLANE('',#359375); #11004=PLANE('',#359376); #11005=PLANE('',#359377); #11006=PLANE('',#359378); #11007=PLANE('',#359379); #11008=PLANE('',#359380); #11009=PLANE('',#359381); #11010=PLANE('',#359382); #11011=PLANE('',#359383); #11012=PLANE('',#359384); #11013=PLANE('',#359385); #11014=PLANE('',#359386); #11015=PLANE('',#359387); #11016=PLANE('',#359388); #11017=PLANE('',#359389); #11018=PLANE('',#359390); #11019=PLANE('',#359391); #11020=PLANE('',#359392); #11021=PLANE('',#359393); #11022=PLANE('',#359394); #11023=PLANE('',#359395); #11024=PLANE('',#359396); #11025=PLANE('',#359397); #11026=PLANE('',#359398); #11027=PLANE('',#359399); #11028=PLANE('',#359400); #11029=PLANE('',#359401); #11030=PLANE('',#359402); #11031=PLANE('',#359403); #11032=PLANE('',#359404); #11033=PLANE('',#359405); #11034=PLANE('',#359406); #11035=PLANE('',#359407); #11036=PLANE('',#359408); #11037=PLANE('',#359409); #11038=PLANE('',#359410); #11039=PLANE('',#359411); #11040=PLANE('',#359412); #11041=PLANE('',#359413); #11042=PLANE('',#359414); #11043=PLANE('',#359415); #11044=PLANE('',#359416); #11045=PLANE('',#359417); #11046=PLANE('',#359418); #11047=PLANE('',#359419); #11048=PLANE('',#359420); #11049=PLANE('',#359421); #11050=PLANE('',#359422); #11051=PLANE('',#359423); #11052=PLANE('',#359424); #11053=PLANE('',#359425); #11054=PLANE('',#359426); #11055=PLANE('',#359427); #11056=PLANE('',#359428); #11057=PLANE('',#359429); #11058=PLANE('',#359430); #11059=PLANE('',#359431); #11060=PLANE('',#359432); #11061=PLANE('',#359433); #11062=PLANE('',#359434); #11063=PLANE('',#359435); #11064=PLANE('',#359436); #11065=PLANE('',#359437); #11066=PLANE('',#359438); #11067=PLANE('',#359439); #11068=PLANE('',#359440); #11069=PLANE('',#359441); #11070=PLANE('',#359442); #11071=PLANE('',#359443); #11072=PLANE('',#359444); #11073=PLANE('',#359445); #11074=PLANE('',#359446); #11075=PLANE('',#359447); #11076=PLANE('',#359448); #11077=PLANE('',#359449); #11078=PLANE('',#359450); #11079=PLANE('',#359451); #11080=PLANE('',#359452); #11081=PLANE('',#359453); #11082=PLANE('',#359454); #11083=PLANE('',#359455); #11084=PLANE('',#359456); #11085=PLANE('',#359457); #11086=PLANE('',#359458); #11087=PLANE('',#359459); #11088=PLANE('',#359460); #11089=PLANE('',#359461); #11090=PLANE('',#359462); #11091=PLANE('',#359463); #11092=PLANE('',#359464); #11093=PLANE('',#359465); #11094=PLANE('',#359466); #11095=PLANE('',#359467); #11096=PLANE('',#359468); #11097=PLANE('',#359469); #11098=PLANE('',#359470); #11099=PLANE('',#359471); #11100=PLANE('',#359472); #11101=PLANE('',#359473); #11102=PLANE('',#359474); #11103=PLANE('',#359475); #11104=PLANE('',#359476); #11105=PLANE('',#359477); #11106=PLANE('',#359478); #11107=PLANE('',#359479); #11108=PLANE('',#359480); #11109=PLANE('',#359481); #11110=PLANE('',#359482); #11111=PLANE('',#359483); #11112=PLANE('',#359484); #11113=PLANE('',#359485); #11114=PLANE('',#359486); #11115=PLANE('',#359487); #11116=PLANE('',#359488); #11117=PLANE('',#359489); #11118=PLANE('',#359490); #11119=PLANE('',#359491); #11120=PLANE('',#359492); #11121=PLANE('',#359493); #11122=PLANE('',#359494); #11123=PLANE('',#359495); #11124=PLANE('',#359496); #11125=PLANE('',#359497); #11126=PLANE('',#359498); #11127=PLANE('',#359499); #11128=PLANE('',#359500); #11129=PLANE('',#359501); #11130=PLANE('',#359502); #11131=PLANE('',#359503); #11132=PLANE('',#359504); #11133=PLANE('',#359505); #11134=PLANE('',#359506); #11135=PLANE('',#359507); #11136=PLANE('',#359508); #11137=PLANE('',#359509); #11138=PLANE('',#359510); #11139=PLANE('',#359511); #11140=PLANE('',#359512); #11141=PLANE('',#359513); #11142=PLANE('',#359514); #11143=PLANE('',#359515); #11144=PLANE('',#359516); #11145=PLANE('',#359517); #11146=PLANE('',#359518); #11147=PLANE('',#359519); #11148=PLANE('',#359520); #11149=PLANE('',#359521); #11150=PLANE('',#359522); #11151=PLANE('',#359523); #11152=PLANE('',#359524); #11153=PLANE('',#359525); #11154=PLANE('',#359526); #11155=PLANE('',#359527); #11156=PLANE('',#359528); #11157=PLANE('',#359529); #11158=PLANE('',#359530); #11159=PLANE('',#359531); #11160=PLANE('',#359532); #11161=PLANE('',#359533); #11162=PLANE('',#359534); #11163=PLANE('',#359535); #11164=PLANE('',#359536); #11165=PLANE('',#359537); #11166=PLANE('',#359538); #11167=PLANE('',#359539); #11168=PLANE('',#359540); #11169=PLANE('',#359541); #11170=PLANE('',#359542); #11171=PLANE('',#359543); #11172=PLANE('',#359544); #11173=PLANE('',#359545); #11174=PLANE('',#359546); #11175=PLANE('',#359547); #11176=PLANE('',#359548); #11177=PLANE('',#359549); #11178=PLANE('',#359550); #11179=PLANE('',#359551); #11180=PLANE('',#359552); #11181=PLANE('',#359553); #11182=PLANE('',#359554); #11183=PLANE('',#359555); #11184=PLANE('',#359556); #11185=PLANE('',#359557); #11186=PLANE('',#359558); #11187=PLANE('',#359559); #11188=PLANE('',#359560); #11189=PLANE('',#359561); #11190=PLANE('',#359562); #11191=PLANE('',#359563); #11192=PLANE('',#359564); #11193=PLANE('',#359565); #11194=PLANE('',#359566); #11195=PLANE('',#359567); #11196=PLANE('',#359568); #11197=PLANE('',#359569); #11198=PLANE('',#359570); #11199=PLANE('',#359571); #11200=PLANE('',#359572); #11201=PLANE('',#359573); #11202=PLANE('',#359574); #11203=PLANE('',#359575); #11204=PLANE('',#359576); #11205=PLANE('',#359577); #11206=PLANE('',#359578); #11207=PLANE('',#359579); #11208=PLANE('',#359580); #11209=PLANE('',#359581); #11210=PLANE('',#359582); #11211=PLANE('',#359583); #11212=PLANE('',#359584); #11213=PLANE('',#359585); #11214=PLANE('',#359586); #11215=PLANE('',#359587); #11216=PLANE('',#359588); #11217=PLANE('',#359589); #11218=PLANE('',#359590); #11219=PLANE('',#359591); #11220=PLANE('',#359592); #11221=PLANE('',#359593); #11222=PLANE('',#359594); #11223=PLANE('',#359595); #11224=PLANE('',#359596); #11225=PLANE('',#359597); #11226=PLANE('',#359598); #11227=PLANE('',#359599); #11228=PLANE('',#359600); #11229=PLANE('',#359601); #11230=PLANE('',#359602); #11231=PLANE('',#359603); #11232=PLANE('',#359604); #11233=PLANE('',#359605); #11234=PLANE('',#359606); #11235=PLANE('',#359607); #11236=PLANE('',#359608); #11237=PLANE('',#359609); #11238=PLANE('',#359610); #11239=PLANE('',#359611); #11240=PLANE('',#359612); #11241=PLANE('',#359613); #11242=PLANE('',#359614); #11243=PLANE('',#359615); #11244=PLANE('',#359616); #11245=PLANE('',#359617); #11246=PLANE('',#359618); #11247=PLANE('',#359619); #11248=PLANE('',#359620); #11249=PLANE('',#359621); #11250=PLANE('',#359622); #11251=PLANE('',#359623); #11252=PLANE('',#359624); #11253=PLANE('',#359625); #11254=PLANE('',#359626); #11255=PLANE('',#359627); #11256=PLANE('',#359628); #11257=PLANE('',#359629); #11258=PLANE('',#359630); #11259=PLANE('',#359631); #11260=PLANE('',#359632); #11261=PLANE('',#359633); #11262=PLANE('',#359634); #11263=PLANE('',#359635); #11264=PLANE('',#359636); #11265=PLANE('',#359637); #11266=PLANE('',#359638); #11267=PLANE('',#359639); #11268=PLANE('',#359640); #11269=PLANE('',#359641); #11270=PLANE('',#359642); #11271=PLANE('',#359643); #11272=PLANE('',#359644); #11273=PLANE('',#359645); #11274=PLANE('',#359646); #11275=PLANE('',#359647); #11276=PLANE('',#359648); #11277=PLANE('',#359649); #11278=PLANE('',#359650); #11279=PLANE('',#359651); #11280=PLANE('',#359652); #11281=PLANE('',#359653); #11282=PLANE('',#359654); #11283=PLANE('',#359655); #11284=PLANE('',#359656); #11285=PLANE('',#359657); #11286=PLANE('',#359658); #11287=PLANE('',#359659); #11288=PLANE('',#359660); #11289=PLANE('',#359661); #11290=PLANE('',#359662); #11291=PLANE('',#359663); #11292=PLANE('',#359664); #11293=PLANE('',#359665); #11294=PLANE('',#359666); #11295=PLANE('',#359667); #11296=PLANE('',#359668); #11297=PLANE('',#359669); #11298=PLANE('',#359670); #11299=PLANE('',#359671); #11300=PLANE('',#359672); #11301=PLANE('',#359673); #11302=PLANE('',#359674); #11303=PLANE('',#359675); #11304=PLANE('',#359676); #11305=PLANE('',#359677); #11306=PLANE('',#359678); #11307=PLANE('',#359679); #11308=PLANE('',#359680); #11309=PLANE('',#359681); #11310=PLANE('',#359682); #11311=PLANE('',#359683); #11312=PLANE('',#359684); #11313=PLANE('',#359685); #11314=PLANE('',#359686); #11315=PLANE('',#359687); #11316=PLANE('',#359688); #11317=PLANE('',#359689); #11318=PLANE('',#359690); #11319=PLANE('',#359691); #11320=PLANE('',#359692); #11321=PLANE('',#359693); #11322=PLANE('',#359694); #11323=PLANE('',#359695); #11324=PLANE('',#359696); #11325=PLANE('',#359697); #11326=PLANE('',#359698); #11327=PLANE('',#359699); #11328=PLANE('',#359700); #11329=PLANE('',#359701); #11330=PLANE('',#359702); #11331=PLANE('',#359703); #11332=PLANE('',#359704); #11333=PLANE('',#359705); #11334=PLANE('',#359706); #11335=PLANE('',#359707); #11336=PLANE('',#359708); #11337=PLANE('',#359709); #11338=PLANE('',#359710); #11339=PLANE('',#359711); #11340=PLANE('',#359712); #11341=PLANE('',#359713); #11342=PLANE('',#359714); #11343=PLANE('',#359715); #11344=PLANE('',#359716); #11345=PLANE('',#359717); #11346=PLANE('',#359718); #11347=PLANE('',#359719); #11348=PLANE('',#359720); #11349=PLANE('',#359721); #11350=PLANE('',#359722); #11351=PLANE('',#359723); #11352=PLANE('',#359724); #11353=PLANE('',#359725); #11354=PLANE('',#359726); #11355=PLANE('',#359727); #11356=PLANE('',#359728); #11357=PLANE('',#359729); #11358=PLANE('',#359730); #11359=PLANE('',#359731); #11360=PLANE('',#359732); #11361=PLANE('',#359733); #11362=PLANE('',#359734); #11363=PLANE('',#359735); #11364=PLANE('',#359736); #11365=PLANE('',#359737); #11366=PLANE('',#359738); #11367=PLANE('',#359739); #11368=PLANE('',#359740); #11369=PLANE('',#359741); #11370=PLANE('',#359742); #11371=PLANE('',#359743); #11372=PLANE('',#359744); #11373=PLANE('',#359745); #11374=PLANE('',#359746); #11375=PLANE('',#359747); #11376=PLANE('',#359748); #11377=PLANE('',#359749); #11378=PLANE('',#359750); #11379=PLANE('',#359751); #11380=PLANE('',#359752); #11381=PLANE('',#359753); #11382=PLANE('',#359754); #11383=PLANE('',#359755); #11384=PLANE('',#359756); #11385=PLANE('',#359757); #11386=PLANE('',#359758); #11387=PLANE('',#359759); #11388=PLANE('',#359760); #11389=PLANE('',#359761); #11390=PLANE('',#359762); #11391=PLANE('',#359763); #11392=PLANE('',#359764); #11393=PLANE('',#359765); #11394=PLANE('',#359766); #11395=PLANE('',#359767); #11396=PLANE('',#359768); #11397=PLANE('',#359769); #11398=PLANE('',#359770); #11399=PLANE('',#359771); #11400=PLANE('',#359772); #11401=PLANE('',#359773); #11402=PLANE('',#359774); #11403=PLANE('',#359775); #11404=PLANE('',#359776); #11405=PLANE('',#359777); #11406=PLANE('',#359778); #11407=PLANE('',#359779); #11408=PLANE('',#359780); #11409=PLANE('',#359781); #11410=PLANE('',#359782); #11411=PLANE('',#359783); #11412=PLANE('',#359784); #11413=PLANE('',#359785); #11414=PLANE('',#359786); #11415=PLANE('',#359787); #11416=PLANE('',#359788); #11417=PLANE('',#359789); #11418=PLANE('',#359790); #11419=PLANE('',#359791); #11420=PLANE('',#359792); #11421=PLANE('',#359793); #11422=PLANE('',#359794); #11423=PLANE('',#359795); #11424=PLANE('',#359796); #11425=PLANE('',#359797); #11426=PLANE('',#359798); #11427=PLANE('',#359799); #11428=PLANE('',#359800); #11429=PLANE('',#359801); #11430=PLANE('',#359802); #11431=PLANE('',#359803); #11432=PLANE('',#359804); #11433=PLANE('',#359805); #11434=PLANE('',#359806); #11435=PLANE('',#359807); #11436=PLANE('',#359808); #11437=PLANE('',#359809); #11438=PLANE('',#359810); #11439=PLANE('',#359811); #11440=PLANE('',#359812); #11441=PLANE('',#359813); #11442=PLANE('',#359814); #11443=PLANE('',#359815); #11444=PLANE('',#359816); #11445=PLANE('',#359817); #11446=PLANE('',#359818); #11447=PLANE('',#359819); #11448=PLANE('',#359820); #11449=PLANE('',#359821); #11450=PLANE('',#359822); #11451=PLANE('',#359823); #11452=PLANE('',#359824); #11453=PLANE('',#359825); #11454=PLANE('',#359826); #11455=PLANE('',#359827); #11456=PLANE('',#359828); #11457=PLANE('',#359829); #11458=PLANE('',#359830); #11459=PLANE('',#359831); #11460=PLANE('',#359832); #11461=PLANE('',#359833); #11462=PLANE('',#359834); #11463=PLANE('',#359835); #11464=PLANE('',#359836); #11465=PLANE('',#359837); #11466=PLANE('',#359838); #11467=PLANE('',#359839); #11468=PLANE('',#359840); #11469=PLANE('',#359841); #11470=PLANE('',#359842); #11471=PLANE('',#359843); #11472=PLANE('',#359844); #11473=PLANE('',#359845); #11474=PLANE('',#359846); #11475=PLANE('',#359847); #11476=PLANE('',#359848); #11477=PLANE('',#359849); #11478=PLANE('',#359850); #11479=PLANE('',#359851); #11480=PLANE('',#359852); #11481=PLANE('',#359853); #11482=PLANE('',#359854); #11483=PLANE('',#359855); #11484=PLANE('',#359856); #11485=PLANE('',#359857); #11486=PLANE('',#359858); #11487=PLANE('',#359859); #11488=PLANE('',#359860); #11489=PLANE('',#359861); #11490=PLANE('',#359862); #11491=PLANE('',#359863); #11492=PLANE('',#359864); #11493=PLANE('',#359865); #11494=PLANE('',#359866); #11495=PLANE('',#359867); #11496=PLANE('',#359868); #11497=PLANE('',#359869); #11498=PLANE('',#359870); #11499=PLANE('',#359871); #11500=PLANE('',#359872); #11501=PLANE('',#359873); #11502=PLANE('',#359874); #11503=PLANE('',#359875); #11504=PLANE('',#359876); #11505=PLANE('',#359877); #11506=PLANE('',#359878); #11507=PLANE('',#359879); #11508=PLANE('',#359880); #11509=PLANE('',#359881); #11510=PLANE('',#359882); #11511=PLANE('',#359883); #11512=PLANE('',#359884); #11513=PLANE('',#359885); #11514=PLANE('',#359886); #11515=PLANE('',#359887); #11516=PLANE('',#359888); #11517=PLANE('',#359889); #11518=PLANE('',#359890); #11519=PLANE('',#359891); #11520=PLANE('',#359892); #11521=PLANE('',#359893); #11522=PLANE('',#359894); #11523=PLANE('',#359895); #11524=PLANE('',#359896); #11525=PLANE('',#359897); #11526=PLANE('',#359898); #11527=PLANE('',#359899); #11528=PLANE('',#359900); #11529=PLANE('',#359901); #11530=PLANE('',#359902); #11531=PLANE('',#359903); #11532=PLANE('',#359904); #11533=PLANE('',#359905); #11534=PLANE('',#359906); #11535=PLANE('',#359907); #11536=PLANE('',#359908); #11537=PLANE('',#359909); #11538=PLANE('',#359910); #11539=PLANE('',#359911); #11540=PLANE('',#359912); #11541=PLANE('',#359913); #11542=PLANE('',#359914); #11543=PLANE('',#359915); #11544=PLANE('',#359916); #11545=PLANE('',#359917); #11546=PLANE('',#359918); #11547=PLANE('',#359919); #11548=PLANE('',#359920); #11549=PLANE('',#359921); #11550=PLANE('',#359922); #11551=PLANE('',#359923); #11552=PLANE('',#359924); #11553=PLANE('',#359925); #11554=PLANE('',#359926); #11555=PLANE('',#359927); #11556=PLANE('',#359928); #11557=PLANE('',#359929); #11558=PLANE('',#359930); #11559=PLANE('',#359931); #11560=PLANE('',#359932); #11561=PLANE('',#359933); #11562=PLANE('',#359934); #11563=PLANE('',#359935); #11564=PLANE('',#359936); #11565=PLANE('',#359937); #11566=PLANE('',#359938); #11567=PLANE('',#359939); #11568=PLANE('',#359940); #11569=PLANE('',#359941); #11570=PLANE('',#359942); #11571=PLANE('',#359943); #11572=PLANE('',#359944); #11573=PLANE('',#359945); #11574=PLANE('',#359946); #11575=PLANE('',#359947); #11576=PLANE('',#359948); #11577=PLANE('',#359949); #11578=PLANE('',#359950); #11579=PLANE('',#359951); #11580=PLANE('',#359952); #11581=PLANE('',#359953); #11582=PLANE('',#359954); #11583=PLANE('',#359955); #11584=PLANE('',#359956); #11585=PLANE('',#359957); #11586=PLANE('',#359958); #11587=PLANE('',#359959); #11588=PLANE('',#359960); #11589=PLANE('',#359961); #11590=PLANE('',#359962); #11591=PLANE('',#359963); #11592=PLANE('',#359964); #11593=PLANE('',#359965); #11594=PLANE('',#359966); #11595=PLANE('',#359967); #11596=PLANE('',#359968); #11597=PLANE('',#359969); #11598=PLANE('',#359970); #11599=PLANE('',#359971); #11600=PLANE('',#359972); #11601=PLANE('',#359973); #11602=PLANE('',#359974); #11603=PLANE('',#359975); #11604=PLANE('',#359976); #11605=PLANE('',#359977); #11606=PLANE('',#359978); #11607=PLANE('',#359979); #11608=PLANE('',#359980); #11609=PLANE('',#359981); #11610=PLANE('',#359982); #11611=PLANE('',#359983); #11612=PLANE('',#359984); #11613=PLANE('',#359985); #11614=PLANE('',#359986); #11615=PLANE('',#359987); #11616=PLANE('',#359988); #11617=PLANE('',#359989); #11618=PLANE('',#359990); #11619=PLANE('',#359991); #11620=PLANE('',#359992); #11621=PLANE('',#359993); #11622=PLANE('',#359994); #11623=PLANE('',#359995); #11624=PLANE('',#359996); #11625=PLANE('',#359997); #11626=PLANE('',#359998); #11627=PLANE('',#359999); #11628=PLANE('',#360000); #11629=PLANE('',#360001); #11630=PLANE('',#360002); #11631=PLANE('',#360003); #11632=PLANE('',#360004); #11633=PLANE('',#360005); #11634=PLANE('',#360006); #11635=PLANE('',#360007); #11636=PLANE('',#360008); #11637=PLANE('',#360009); #11638=PLANE('',#360010); #11639=PLANE('',#360011); #11640=PLANE('',#360012); #11641=PLANE('',#360013); #11642=PLANE('',#360014); #11643=PLANE('',#360015); #11644=PLANE('',#360016); #11645=PLANE('',#360017); #11646=PLANE('',#360018); #11647=PLANE('',#360019); #11648=PLANE('',#360020); #11649=PLANE('',#360021); #11650=PLANE('',#360022); #11651=PLANE('',#360023); #11652=PLANE('',#360024); #11653=PLANE('',#360025); #11654=PLANE('',#360026); #11655=PLANE('',#360027); #11656=PLANE('',#360028); #11657=PLANE('',#360029); #11658=PLANE('',#360030); #11659=PLANE('',#360031); #11660=PLANE('',#360032); #11661=PLANE('',#360033); #11662=PLANE('',#360034); #11663=PLANE('',#360035); #11664=PLANE('',#360036); #11665=PLANE('',#360037); #11666=PLANE('',#360038); #11667=PLANE('',#360039); #11668=PLANE('',#360040); #11669=PLANE('',#360041); #11670=PLANE('',#360042); #11671=PLANE('',#360043); #11672=PLANE('',#360044); #11673=PLANE('',#360045); #11674=PLANE('',#360046); #11675=PLANE('',#360047); #11676=PLANE('',#360048); #11677=PLANE('',#360049); #11678=PLANE('',#360050); #11679=PLANE('',#360051); #11680=PLANE('',#360052); #11681=PLANE('',#360053); #11682=PLANE('',#360054); #11683=PLANE('',#360055); #11684=PLANE('',#360056); #11685=PLANE('',#360057); #11686=PLANE('',#360058); #11687=PLANE('',#360059); #11688=PLANE('',#360060); #11689=PLANE('',#360061); #11690=PLANE('',#360062); #11691=PLANE('',#360063); #11692=PLANE('',#360064); #11693=PLANE('',#360065); #11694=PLANE('',#360066); #11695=PLANE('',#360067); #11696=PLANE('',#360068); #11697=PLANE('',#360069); #11698=PLANE('',#360070); #11699=PLANE('',#360071); #11700=PLANE('',#360072); #11701=PLANE('',#360073); #11702=PLANE('',#360074); #11703=PLANE('',#360075); #11704=PLANE('',#360076); #11705=PLANE('',#360077); #11706=PLANE('',#360078); #11707=PLANE('',#360079); #11708=PLANE('',#360080); #11709=PLANE('',#360081); #11710=PLANE('',#360082); #11711=PLANE('',#360083); #11712=PLANE('',#360084); #11713=PLANE('',#360085); #11714=PLANE('',#360086); #11715=PLANE('',#360087); #11716=PLANE('',#360088); #11717=PLANE('',#360089); #11718=PLANE('',#360090); #11719=PLANE('',#360091); #11720=PLANE('',#360092); #11721=PLANE('',#360093); #11722=PLANE('',#360094); #11723=PLANE('',#360095); #11724=PLANE('',#360096); #11725=PLANE('',#360097); #11726=PLANE('',#360098); #11727=PLANE('',#360099); #11728=PLANE('',#360100); #11729=PLANE('',#360101); #11730=PLANE('',#360102); #11731=PLANE('',#360103); #11732=PLANE('',#360104); #11733=PLANE('',#360105); #11734=PLANE('',#360106); #11735=PLANE('',#360107); #11736=PLANE('',#360108); #11737=PLANE('',#360109); #11738=PLANE('',#360110); #11739=PLANE('',#360111); #11740=PLANE('',#360112); #11741=PLANE('',#360113); #11742=PLANE('',#360114); #11743=PLANE('',#360115); #11744=PLANE('',#360116); #11745=PLANE('',#360117); #11746=PLANE('',#360118); #11747=PLANE('',#360119); #11748=PLANE('',#360120); #11749=PLANE('',#360121); #11750=PLANE('',#360122); #11751=PLANE('',#360123); #11752=PLANE('',#360124); #11753=PLANE('',#360125); #11754=PLANE('',#360126); #11755=PLANE('',#360127); #11756=PLANE('',#360128); #11757=PLANE('',#360129); #11758=PLANE('',#360130); #11759=PLANE('',#360131); #11760=PLANE('',#360132); #11761=PLANE('',#360133); #11762=PLANE('',#360134); #11763=PLANE('',#360135); #11764=PLANE('',#360136); #11765=PLANE('',#360137); #11766=PLANE('',#360138); #11767=PLANE('',#360139); #11768=PLANE('',#360140); #11769=PLANE('',#360141); #11770=PLANE('',#360142); #11771=PLANE('',#360143); #11772=PLANE('',#360144); #11773=PLANE('',#360145); #11774=PLANE('',#360146); #11775=PLANE('',#360147); #11776=PLANE('',#360148); #11777=PLANE('',#360149); #11778=PLANE('',#360150); #11779=PLANE('',#360151); #11780=PLANE('',#360152); #11781=PLANE('',#360153); #11782=PLANE('',#360154); #11783=PLANE('',#360155); #11784=PLANE('',#360156); #11785=PLANE('',#360157); #11786=PLANE('',#360158); #11787=PLANE('',#360159); #11788=PLANE('',#360160); #11789=PLANE('',#360161); #11790=PLANE('',#360162); #11791=PLANE('',#360163); #11792=PLANE('',#360164); #11793=PLANE('',#360165); #11794=PLANE('',#360166); #11795=PLANE('',#360167); #11796=PLANE('',#360168); #11797=PLANE('',#360169); #11798=PLANE('',#360170); #11799=PLANE('',#360171); #11800=PLANE('',#360172); #11801=PLANE('',#360173); #11802=PLANE('',#360174); #11803=PLANE('',#360175); #11804=PLANE('',#360176); #11805=PLANE('',#360177); #11806=PLANE('',#360178); #11807=PLANE('',#360179); #11808=PLANE('',#360180); #11809=PLANE('',#360181); #11810=PLANE('',#360182); #11811=PLANE('',#360183); #11812=PLANE('',#360184); #11813=PLANE('',#360185); #11814=PLANE('',#360186); #11815=PLANE('',#360187); #11816=PLANE('',#360188); #11817=PLANE('',#360189); #11818=PLANE('',#360190); #11819=PLANE('',#360191); #11820=PLANE('',#360192); #11821=PLANE('',#360193); #11822=PLANE('',#360194); #11823=PLANE('',#360195); #11824=PLANE('',#360196); #11825=PLANE('',#360197); #11826=PLANE('',#360198); #11827=PLANE('',#360199); #11828=PLANE('',#360200); #11829=PLANE('',#360201); #11830=PLANE('',#360202); #11831=PLANE('',#360203); #11832=PLANE('',#360204); #11833=PLANE('',#360205); #11834=PLANE('',#360206); #11835=PLANE('',#360207); #11836=PLANE('',#360208); #11837=PLANE('',#360209); #11838=PLANE('',#360210); #11839=PLANE('',#360211); #11840=PLANE('',#360212); #11841=PLANE('',#360213); #11842=PLANE('',#360214); #11843=PLANE('',#360215); #11844=PLANE('',#360216); #11845=PLANE('',#360217); #11846=PLANE('',#360218); #11847=PLANE('',#360219); #11848=PLANE('',#360220); #11849=PLANE('',#360221); #11850=PLANE('',#360222); #11851=PLANE('',#360223); #11852=PLANE('',#360224); #11853=PLANE('',#360225); #11854=PLANE('',#360226); #11855=PLANE('',#360227); #11856=PLANE('',#360228); #11857=PLANE('',#360229); #11858=PLANE('',#360230); #11859=PLANE('',#360231); #11860=PLANE('',#360232); #11861=PLANE('',#360233); #11862=PLANE('',#360234); #11863=PLANE('',#360235); #11864=PLANE('',#360236); #11865=PLANE('',#360237); #11866=PLANE('',#360238); #11867=PLANE('',#360239); #11868=PLANE('',#360240); #11869=PLANE('',#360241); #11870=PLANE('',#360242); #11871=PLANE('',#360243); #11872=PLANE('',#360244); #11873=PLANE('',#360245); #11874=PLANE('',#360246); #11875=PLANE('',#360247); #11876=PLANE('',#360248); #11877=PLANE('',#360249); #11878=PLANE('',#360250); #11879=PLANE('',#360251); #11880=PLANE('',#360252); #11881=PLANE('',#360253); #11882=PLANE('',#360254); #11883=PLANE('',#360255); #11884=PLANE('',#360256); #11885=PLANE('',#360257); #11886=PLANE('',#360258); #11887=PLANE('',#360259); #11888=PLANE('',#360260); #11889=PLANE('',#360261); #11890=PLANE('',#360262); #11891=PLANE('',#360263); #11892=PLANE('',#360264); #11893=PLANE('',#360265); #11894=PLANE('',#360266); #11895=PLANE('',#360267); #11896=PLANE('',#360268); #11897=PLANE('',#360269); #11898=PLANE('',#360270); #11899=PLANE('',#360271); #11900=PLANE('',#360272); #11901=PLANE('',#360273); #11902=PLANE('',#360274); #11903=PLANE('',#360275); #11904=PLANE('',#360276); #11905=PLANE('',#360277); #11906=PLANE('',#360278); #11907=PLANE('',#360279); #11908=PLANE('',#360280); #11909=PLANE('',#360281); #11910=PLANE('',#360282); #11911=PLANE('',#360283); #11912=PLANE('',#360284); #11913=PLANE('',#360285); #11914=PLANE('',#360286); #11915=PLANE('',#360287); #11916=PLANE('',#360288); #11917=PLANE('',#360289); #11918=PLANE('',#360290); #11919=PLANE('',#360291); #11920=PLANE('',#360292); #11921=PLANE('',#360293); #11922=PLANE('',#360294); #11923=PLANE('',#360295); #11924=PLANE('',#360296); #11925=PLANE('',#360297); #11926=PLANE('',#360298); #11927=PLANE('',#360299); #11928=PLANE('',#360300); #11929=PLANE('',#360301); #11930=PLANE('',#360302); #11931=PLANE('',#360303); #11932=PLANE('',#360304); #11933=PLANE('',#360305); #11934=PLANE('',#360306); #11935=PLANE('',#360307); #11936=PLANE('',#360308); #11937=PLANE('',#360309); #11938=PLANE('',#360310); #11939=PLANE('',#360311); #11940=PLANE('',#360312); #11941=PLANE('',#360313); #11942=PLANE('',#360314); #11943=PLANE('',#360315); #11944=PLANE('',#360316); #11945=PLANE('',#360317); #11946=PLANE('',#360318); #11947=PLANE('',#360319); #11948=PLANE('',#360320); #11949=PLANE('',#360321); #11950=PLANE('',#360322); #11951=PLANE('',#360323); #11952=PLANE('',#360324); #11953=PLANE('',#360325); #11954=PLANE('',#360326); #11955=PLANE('',#360327); #11956=PLANE('',#360328); #11957=PLANE('',#360329); #11958=PLANE('',#360330); #11959=PLANE('',#360331); #11960=PLANE('',#360332); #11961=PLANE('',#360333); #11962=PLANE('',#360334); #11963=PLANE('',#360335); #11964=PLANE('',#360336); #11965=PLANE('',#360337); #11966=PLANE('',#360338); #11967=PLANE('',#360339); #11968=PLANE('',#360340); #11969=PLANE('',#360341); #11970=PLANE('',#360342); #11971=PLANE('',#360343); #11972=PLANE('',#360344); #11973=PLANE('',#360345); #11974=PLANE('',#360346); #11975=PLANE('',#360347); #11976=PLANE('',#360348); #11977=PLANE('',#360349); #11978=PLANE('',#360350); #11979=PLANE('',#360351); #11980=PLANE('',#360352); #11981=PLANE('',#360353); #11982=PLANE('',#360354); #11983=PLANE('',#360355); #11984=PLANE('',#360356); #11985=PLANE('',#360357); #11986=PLANE('',#360358); #11987=PLANE('',#360359); #11988=PLANE('',#360360); #11989=PLANE('',#360361); #11990=PLANE('',#360362); #11991=PLANE('',#360363); #11992=PLANE('',#360364); #11993=PLANE('',#360365); #11994=PLANE('',#360366); #11995=PLANE('',#360367); #11996=PLANE('',#360368); #11997=PLANE('',#360369); #11998=PLANE('',#360370); #11999=PLANE('',#360371); #12000=PLANE('',#360372); #12001=PLANE('',#360373); #12002=PLANE('',#360374); #12003=PLANE('',#360375); #12004=PLANE('',#360376); #12005=PLANE('',#360377); #12006=PLANE('',#360378); #12007=PLANE('',#360379); #12008=PLANE('',#360380); #12009=PLANE('',#360381); #12010=PLANE('',#360382); #12011=PLANE('',#360383); #12012=PLANE('',#360384); #12013=PLANE('',#360385); #12014=PLANE('',#360386); #12015=PLANE('',#360387); #12016=PLANE('',#360388); #12017=PLANE('',#360389); #12018=PLANE('',#360390); #12019=PLANE('',#360391); #12020=PLANE('',#360392); #12021=PLANE('',#360393); #12022=PLANE('',#360394); #12023=PLANE('',#360395); #12024=PLANE('',#360396); #12025=PLANE('',#360397); #12026=PLANE('',#360398); #12027=PLANE('',#360399); #12028=PLANE('',#360400); #12029=PLANE('',#360401); #12030=PLANE('',#360402); #12031=PLANE('',#360403); #12032=PLANE('',#360404); #12033=PLANE('',#360405); #12034=PLANE('',#360406); #12035=PLANE('',#360407); #12036=PLANE('',#360408); #12037=PLANE('',#360409); #12038=PLANE('',#360410); #12039=PLANE('',#360411); #12040=PLANE('',#360412); #12041=PLANE('',#360413); #12042=PLANE('',#360414); #12043=PLANE('',#360415); #12044=PLANE('',#360416); #12045=PLANE('',#360417); #12046=PLANE('',#360418); #12047=PLANE('',#360419); #12048=PLANE('',#360420); #12049=PLANE('',#360421); #12050=PLANE('',#360422); #12051=PLANE('',#360423); #12052=PLANE('',#360424); #12053=PLANE('',#360425); #12054=PLANE('',#360426); #12055=PLANE('',#360427); #12056=PLANE('',#360428); #12057=PLANE('',#360429); #12058=PLANE('',#360430); #12059=PLANE('',#360431); #12060=PLANE('',#360432); #12061=PLANE('',#360433); #12062=PLANE('',#360434); #12063=PLANE('',#360435); #12064=PLANE('',#360436); #12065=PLANE('',#360437); #12066=PLANE('',#360438); #12067=PLANE('',#360439); #12068=PLANE('',#360440); #12069=PLANE('',#360441); #12070=PLANE('',#360442); #12071=PLANE('',#360443); #12072=PLANE('',#360444); #12073=PLANE('',#360445); #12074=PLANE('',#360446); #12075=PLANE('',#360447); #12076=PLANE('',#360448); #12077=PLANE('',#360449); #12078=PLANE('',#360450); #12079=PLANE('',#360451); #12080=PLANE('',#360452); #12081=PLANE('',#360453); #12082=PLANE('',#360454); #12083=PLANE('',#360455); #12084=PLANE('',#360456); #12085=PLANE('',#360457); #12086=PLANE('',#360458); #12087=PLANE('',#360459); #12088=PLANE('',#360460); #12089=PLANE('',#360461); #12090=PLANE('',#360462); #12091=PLANE('',#360463); #12092=PLANE('',#360464); #12093=PLANE('',#360465); #12094=PLANE('',#360466); #12095=PLANE('',#360467); #12096=PLANE('',#360468); #12097=PLANE('',#360478); #12098=PLANE('',#360479); #12099=PLANE('',#360480); #12100=PLANE('',#360481); #12101=PLANE('',#360482); #12102=PLANE('',#360483); #12103=PLANE('',#360484); #12104=PLANE('',#360485); #12105=PLANE('',#360486); #12106=PLANE('',#360487); #12107=PLANE('',#360488); #12108=PLANE('',#360489); #12109=PLANE('',#360490); #12110=PLANE('',#360491); #12111=PLANE('',#360492); #12112=PLANE('',#360493); #12113=PLANE('',#360494); #12114=PLANE('',#360495); #12115=PLANE('',#360496); #12116=PLANE('',#360497); #12117=PLANE('',#360498); #12118=PLANE('',#360499); #12119=PLANE('',#360500); #12120=PLANE('',#360501); #12121=PLANE('',#360502); #12122=PLANE('',#360503); #12123=PLANE('',#360504); #12124=PLANE('',#360505); #12125=PLANE('',#360506); #12126=PLANE('',#360507); #12127=PLANE('',#360508); #12128=PLANE('',#360509); #12129=PLANE('',#360510); #12130=PLANE('',#360511); #12131=PLANE('',#360512); #12132=PLANE('',#360513); #12133=PLANE('',#360514); #12134=PLANE('',#360515); #12135=PLANE('',#360516); #12136=PLANE('',#360517); #12137=PLANE('',#360518); #12138=PLANE('',#360519); #12139=PLANE('',#360520); #12140=PLANE('',#360521); #12141=PLANE('',#360522); #12142=PLANE('',#360523); #12143=PLANE('',#360524); #12144=PLANE('',#360525); #12145=PLANE('',#360526); #12146=PLANE('',#360527); #12147=PLANE('',#360528); #12148=PLANE('',#360529); #12149=PLANE('',#360530); #12150=PLANE('',#360531); #12151=PLANE('',#360532); #12152=PLANE('',#360533); #12153=PLANE('',#360534); #12154=PLANE('',#360535); #12155=PLANE('',#360536); #12156=PLANE('',#360537); #12157=PLANE('',#360538); #12158=PLANE('',#360539); #12159=PLANE('',#360540); #12160=PLANE('',#360541); #12161=PLANE('',#360542); #12162=PLANE('',#360543); #12163=PLANE('',#360544); #12164=PLANE('',#360545); #12165=PLANE('',#360546); #12166=PLANE('',#360547); #12167=PLANE('',#360548); #12168=PLANE('',#360549); #12169=PLANE('',#360550); #12170=PLANE('',#360551); #12171=PLANE('',#360552); #12172=PLANE('',#360553); #12173=PLANE('',#360554); #12174=PLANE('',#360555); #12175=PLANE('',#360556); #12176=PLANE('',#360557); #12177=PLANE('',#360558); #12178=PLANE('',#360559); #12179=PLANE('',#360560); #12180=PLANE('',#360561); #12181=PLANE('',#360562); #12182=PLANE('',#360563); #12183=PLANE('',#360564); #12184=PLANE('',#360565); #12185=PLANE('',#360566); #12186=PLANE('',#360567); #12187=PLANE('',#360568); #12188=PLANE('',#360569); #12189=PLANE('',#360570); #12190=PLANE('',#360571); #12191=PLANE('',#360572); #12192=PLANE('',#360573); #12193=PLANE('',#360574); #12194=PLANE('',#360575); #12195=PLANE('',#360576); #12196=PLANE('',#360577); #12197=PLANE('',#360578); #12198=PLANE('',#360579); #12199=PLANE('',#360580); #12200=PLANE('',#360581); #12201=PLANE('',#360582); #12202=PLANE('',#360583); #12203=PLANE('',#360584); #12204=PLANE('',#360585); #12205=PLANE('',#360586); #12206=PLANE('',#360587); #12207=PLANE('',#360588); #12208=PLANE('',#360589); #12209=PLANE('',#360590); #12210=PLANE('',#360591); #12211=PLANE('',#360592); #12212=PLANE('',#360593); #12213=PLANE('',#360594); #12214=PLANE('',#360595); #12215=PLANE('',#360596); #12216=PLANE('',#360597); #12217=PLANE('',#360598); #12218=PLANE('',#360599); #12219=PLANE('',#360600); #12220=PLANE('',#360601); #12221=PLANE('',#360602); #12222=PLANE('',#360603); #12223=PLANE('',#360604); #12224=PLANE('',#360605); #12225=PLANE('',#360606); #12226=PLANE('',#360607); #12227=PLANE('',#360608); #12228=PLANE('',#360609); #12229=PLANE('',#360610); #12230=PLANE('',#360611); #12231=PLANE('',#360612); #12232=PLANE('',#360613); #12233=PLANE('',#360614); #12234=PLANE('',#360615); #12235=PLANE('',#360616); #12236=PLANE('',#360617); #12237=PLANE('',#360618); #12238=PLANE('',#360766); #12239=PLANE('',#360767); #12240=PLANE('',#360768); #12241=PLANE('',#360769); #12242=PLANE('',#360770); #12243=PLANE('',#360771); #12244=PLANE('',#360772); #12245=PLANE('',#360773); #12246=PLANE('',#360774); #12247=PLANE('',#360775); #12248=PLANE('',#360776); #12249=PLANE('',#360777); #12250=PLANE('',#360778); #12251=PLANE('',#360779); #12252=PLANE('',#360780); #12253=PLANE('',#360781); #12254=PLANE('',#360782); #12255=PLANE('',#360783); #12256=PLANE('',#360784); #12257=PLANE('',#360785); #12258=PLANE('',#360786); #12259=PLANE('',#360787); #12260=PLANE('',#360788); #12261=PLANE('',#360789); #12262=PLANE('',#360790); #12263=PLANE('',#360791); #12264=PLANE('',#360792); #12265=PLANE('',#360793); #12266=PLANE('',#360794); #12267=PLANE('',#360795); #12268=PLANE('',#360796); #12269=PLANE('',#360797); #12270=PLANE('',#360798); #12271=PLANE('',#360799); #12272=PLANE('',#360800); #12273=PLANE('',#360801); #12274=PLANE('',#360802); #12275=PLANE('',#360803); #12276=PLANE('',#360804); #12277=PLANE('',#360805); #12278=PLANE('',#360806); #12279=PLANE('',#360807); #12280=PLANE('',#360808); #12281=PLANE('',#360809); #12282=PLANE('',#360810); #12283=PLANE('',#360811); #12284=PLANE('',#360812); #12285=PLANE('',#360813); #12286=PLANE('',#360814); #12287=PLANE('',#360815); #12288=PLANE('',#360816); #12289=PLANE('',#360817); #12290=PLANE('',#360818); #12291=PLANE('',#360819); #12292=PLANE('',#360820); #12293=PLANE('',#360821); #12294=PLANE('',#360822); #12295=PLANE('',#360823); #12296=PLANE('',#360824); #12297=PLANE('',#360825); #12298=PLANE('',#360826); #12299=PLANE('',#360827); #12300=PLANE('',#360828); #12301=PLANE('',#360829); #12302=PLANE('',#360830); #12303=PLANE('',#360831); #12304=PLANE('',#360832); #12305=PLANE('',#360833); #12306=PLANE('',#360834); #12307=PLANE('',#360835); #12308=PLANE('',#360836); #12309=PLANE('',#360837); #12310=PLANE('',#360838); #12311=PLANE('',#360839); #12312=PLANE('',#360840); #12313=PLANE('',#360841); #12314=PLANE('',#360842); #12315=PLANE('',#360843); #12316=PLANE('',#360844); #12317=PLANE('',#360845); #12318=PLANE('',#360846); #12319=PLANE('',#360847); #12320=PLANE('',#360848); #12321=PLANE('',#360849); #12322=PLANE('',#360850); #12323=PLANE('',#360851); #12324=PLANE('',#360852); #12325=PLANE('',#360853); #12326=PLANE('',#360854); #12327=PLANE('',#360855); #12328=PLANE('',#360856); #12329=PLANE('',#360857); #12330=PLANE('',#360858); #12331=PLANE('',#360859); #12332=PLANE('',#360860); #12333=PLANE('',#360861); #12334=PLANE('',#360862); #12335=PLANE('',#360863); #12336=PLANE('',#360864); #12337=PLANE('',#360865); #12338=PLANE('',#360866); #12339=PLANE('',#360867); #12340=PLANE('',#360868); #12341=PLANE('',#360869); #12342=PLANE('',#360870); #12343=PLANE('',#360871); #12344=PLANE('',#360872); #12345=PLANE('',#360873); #12346=PLANE('',#360874); #12347=PLANE('',#360875); #12348=PLANE('',#360876); #12349=PLANE('',#360877); #12350=PLANE('',#360878); #12351=PLANE('',#360879); #12352=PLANE('',#360880); #12353=PLANE('',#360881); #12354=PLANE('',#360882); #12355=PLANE('',#360883); #12356=PLANE('',#360884); #12357=PLANE('',#360885); #12358=PLANE('',#360886); #12359=PLANE('',#360887); #12360=PLANE('',#360888); #12361=PLANE('',#360889); #12362=PLANE('',#360890); #12363=PLANE('',#360891); #12364=PLANE('',#360892); #12365=PLANE('',#360893); #12366=PLANE('',#360894); #12367=PLANE('',#360895); #12368=PLANE('',#360896); #12369=PLANE('',#360897); #12370=PLANE('',#360898); #12371=PLANE('',#360899); #12372=PLANE('',#360900); #12373=PLANE('',#360901); #12374=PLANE('',#360902); #12375=PLANE('',#360903); #12376=PLANE('',#360904); #12377=PLANE('',#360905); #12378=PLANE('',#360906); #12379=PLANE('',#360907); #12380=PLANE('',#360908); #12381=PLANE('',#360909); #12382=PLANE('',#360910); #12383=PLANE('',#360911); #12384=PLANE('',#360912); #12385=PLANE('',#360913); #12386=PLANE('',#360914); #12387=PLANE('',#360915); #12388=PLANE('',#360916); #12389=PLANE('',#360917); #12390=PLANE('',#360918); #12391=PLANE('',#360919); #12392=PLANE('',#360920); #12393=PLANE('',#360921); #12394=PLANE('',#360922); #12395=PLANE('',#360923); #12396=PLANE('',#360924); #12397=PLANE('',#360925); #12398=PLANE('',#360926); #12399=PLANE('',#360927); #12400=PLANE('',#360928); #12401=PLANE('',#360929); #12402=PLANE('',#360930); #12403=PLANE('',#360931); #12404=PLANE('',#360932); #12405=PLANE('',#360933); #12406=PLANE('',#360934); #12407=PLANE('',#360935); #12408=PLANE('',#360936); #12409=PLANE('',#360937); #12410=PLANE('',#360938); #12411=PLANE('',#360939); #12412=PLANE('',#360940); #12413=PLANE('',#360941); #12414=PLANE('',#360942); #12415=PLANE('',#360943); #12416=PLANE('',#360944); #12417=PLANE('',#360945); #12418=PLANE('',#360946); #12419=PLANE('',#360947); #12420=PLANE('',#360948); #12421=PLANE('',#360949); #12422=PLANE('',#360950); #12423=PLANE('',#360951); #12424=PLANE('',#360952); #12425=PLANE('',#360953); #12426=PLANE('',#360954); #12427=PLANE('',#360955); #12428=PLANE('',#360956); #12429=PLANE('',#360957); #12430=PLANE('',#360958); #12431=PLANE('',#360959); #12432=PLANE('',#360960); #12433=PLANE('',#360961); #12434=PLANE('',#360962); #12435=PLANE('',#360963); #12436=PLANE('',#360964); #12437=PLANE('',#360965); #12438=PLANE('',#360966); #12439=PLANE('',#360967); #12440=PLANE('',#360968); #12441=PLANE('',#360969); #12442=PLANE('',#360970); #12443=PLANE('',#360971); #12444=PLANE('',#360972); #12445=PLANE('',#360973); #12446=PLANE('',#360974); #12447=PLANE('',#360975); #12448=PLANE('',#360976); #12449=PLANE('',#360977); #12450=PLANE('',#360978); #12451=PLANE('',#360979); #12452=PLANE('',#360980); #12453=PLANE('',#360981); #12454=PLANE('',#360982); #12455=PLANE('',#360983); #12456=PLANE('',#360984); #12457=PLANE('',#360985); #12458=PLANE('',#360986); #12459=PLANE('',#360987); #12460=PLANE('',#360988); #12461=PLANE('',#360989); #12462=PLANE('',#360990); #12463=PLANE('',#360991); #12464=PLANE('',#360992); #12465=PLANE('',#360993); #12466=PLANE('',#360994); #12467=PLANE('',#360995); #12468=PLANE('',#360996); #12469=PLANE('',#360997); #12470=PLANE('',#360998); #12471=PLANE('',#360999); #12472=PLANE('',#361000); #12473=PLANE('',#361001); #12474=PLANE('',#361002); #12475=PLANE('',#361003); #12476=PLANE('',#361004); #12477=PLANE('',#361005); #12478=PLANE('',#361006); #12479=PLANE('',#361007); #12480=PLANE('',#361008); #12481=PLANE('',#361009); #12482=PLANE('',#361010); #12483=PLANE('',#361011); #12484=PLANE('',#361012); #12485=PLANE('',#361013); #12486=PLANE('',#361014); #12487=PLANE('',#361015); #12488=PLANE('',#361016); #12489=PLANE('',#361017); #12490=PLANE('',#361018); #12491=PLANE('',#361019); #12492=PLANE('',#361020); #12493=PLANE('',#361021); #12494=PLANE('',#361022); #12495=PLANE('',#361023); #12496=PLANE('',#361024); #12497=PLANE('',#361025); #12498=PLANE('',#361026); #12499=PLANE('',#361027); #12500=PLANE('',#361028); #12501=PLANE('',#361029); #12502=PLANE('',#361030); #12503=PLANE('',#361031); #12504=PLANE('',#361032); #12505=PLANE('',#361033); #12506=PLANE('',#361034); #12507=PLANE('',#361035); #12508=PLANE('',#361036); #12509=PLANE('',#361037); #12510=PLANE('',#361038); #12511=PLANE('',#361039); #12512=PLANE('',#361040); #12513=PLANE('',#361041); #12514=PLANE('',#361042); #12515=PLANE('',#361043); #12516=PLANE('',#361044); #12517=PLANE('',#361045); #12518=PLANE('',#361046); #12519=PLANE('',#361047); #12520=PLANE('',#361048); #12521=PLANE('',#361049); #12522=PLANE('',#361050); #12523=PLANE('',#361051); #12524=PLANE('',#361052); #12525=PLANE('',#361053); #12526=PLANE('',#361054); #12527=PLANE('',#361055); #12528=PLANE('',#361056); #12529=PLANE('',#361057); #12530=PLANE('',#361058); #12531=PLANE('',#361059); #12532=PLANE('',#361060); #12533=PLANE('',#361061); #12534=PLANE('',#361062); #12535=PLANE('',#361063); #12536=PLANE('',#361064); #12537=PLANE('',#361065); #12538=PLANE('',#361066); #12539=PLANE('',#361067); #12540=PLANE('',#361068); #12541=PLANE('',#361069); #12542=PLANE('',#361070); #12543=PLANE('',#361071); #12544=PLANE('',#361072); #12545=PLANE('',#361073); #12546=PLANE('',#361074); #12547=PLANE('',#361075); #12548=PLANE('',#361076); #12549=PLANE('',#361077); #12550=PLANE('',#361078); #12551=PLANE('',#361079); #12552=PLANE('',#361080); #12553=PLANE('',#361081); #12554=PLANE('',#361082); #12555=PLANE('',#361083); #12556=PLANE('',#361084); #12557=PLANE('',#361085); #12558=PLANE('',#361086); #12559=PLANE('',#361087); #12560=PLANE('',#361088); #12561=PLANE('',#361089); #12562=PLANE('',#361090); #12563=PLANE('',#361091); #12564=PLANE('',#361092); #12565=PLANE('',#361093); #12566=PLANE('',#361094); #12567=PLANE('',#361095); #12568=PLANE('',#361096); #12569=PLANE('',#361097); #12570=PLANE('',#361098); #12571=PLANE('',#361099); #12572=PLANE('',#361100); #12573=PLANE('',#361101); #12574=PLANE('',#361102); #12575=PLANE('',#361103); #12576=PLANE('',#361104); #12577=PLANE('',#361105); #12578=PLANE('',#361106); #12579=PLANE('',#361107); #12580=PLANE('',#361108); #12581=PLANE('',#361109); #12582=PLANE('',#361110); #12583=PLANE('',#361111); #12584=PLANE('',#361112); #12585=PLANE('',#361113); #12586=PLANE('',#361114); #12587=PLANE('',#361115); #12588=PLANE('',#361116); #12589=PLANE('',#361117); #12590=PLANE('',#361118); #12591=PLANE('',#361119); #12592=PLANE('',#361120); #12593=PLANE('',#361121); #12594=PLANE('',#361122); #12595=PLANE('',#361123); #12596=PLANE('',#361124); #12597=PLANE('',#361125); #12598=PLANE('',#361126); #12599=PLANE('',#361127); #12600=PLANE('',#361128); #12601=PLANE('',#361129); #12602=PLANE('',#361130); #12603=PLANE('',#361131); #12604=PLANE('',#361132); #12605=PLANE('',#361133); #12606=PLANE('',#361134); #12607=PLANE('',#361135); #12608=PLANE('',#361136); #12609=PLANE('',#361137); #12610=PLANE('',#361138); #12611=PLANE('',#361139); #12612=PLANE('',#361140); #12613=PLANE('',#361141); #12614=PLANE('',#361142); #12615=PLANE('',#361143); #12616=PLANE('',#361144); #12617=PLANE('',#361145); #12618=PLANE('',#361146); #12619=PLANE('',#361147); #12620=PLANE('',#361148); #12621=PLANE('',#361149); #12622=PLANE('',#361150); #12623=PLANE('',#361151); #12624=PLANE('',#361152); #12625=PLANE('',#361153); #12626=PLANE('',#361154); #12627=PLANE('',#361155); #12628=PLANE('',#361156); #12629=PLANE('',#361157); #12630=PLANE('',#361158); #12631=PLANE('',#361159); #12632=PLANE('',#361160); #12633=PLANE('',#361161); #12634=PLANE('',#361162); #12635=PLANE('',#361163); #12636=PLANE('',#361164); #12637=PLANE('',#361165); #12638=PLANE('',#361166); #12639=PLANE('',#361167); #12640=PLANE('',#361168); #12641=PLANE('',#361169); #12642=PLANE('',#361170); #12643=PLANE('',#361171); #12644=PLANE('',#361172); #12645=PLANE('',#361173); #12646=PLANE('',#361174); #12647=PLANE('',#361175); #12648=PLANE('',#361176); #12649=PLANE('',#361177); #12650=PLANE('',#361178); #12651=PLANE('',#361179); #12652=PLANE('',#361180); #12653=PLANE('',#361181); #12654=PLANE('',#361182); #12655=PLANE('',#361183); #12656=PLANE('',#361184); #12657=PLANE('',#361185); #12658=PLANE('',#361186); #12659=PLANE('',#361187); #12660=PLANE('',#361188); #12661=PLANE('',#361189); #12662=PLANE('',#361190); #12663=PLANE('',#361191); #12664=PLANE('',#361192); #12665=PLANE('',#361193); #12666=PLANE('',#361194); #12667=PLANE('',#361195); #12668=PLANE('',#361196); #12669=PLANE('',#361197); #12670=PLANE('',#361198); #12671=PLANE('',#361199); #12672=PLANE('',#361200); #12673=PLANE('',#361201); #12674=PLANE('',#361202); #12675=PLANE('',#361203); #12676=PLANE('',#361204); #12677=PLANE('',#361205); #12678=PLANE('',#361206); #12679=PLANE('',#361207); #12680=PLANE('',#361208); #12681=PLANE('',#361209); #12682=PLANE('',#361210); #12683=PLANE('',#361211); #12684=PLANE('',#361212); #12685=PLANE('',#361213); #12686=PLANE('',#361214); #12687=PLANE('',#361215); #12688=PLANE('',#361216); #12689=PLANE('',#361217); #12690=PLANE('',#361218); #12691=PLANE('',#361219); #12692=PLANE('',#361220); #12693=PLANE('',#361221); #12694=PLANE('',#361222); #12695=PLANE('',#361223); #12696=PLANE('',#361224); #12697=PLANE('',#361225); #12698=PLANE('',#361226); #12699=PLANE('',#361227); #12700=PLANE('',#361228); #12701=PLANE('',#361229); #12702=PLANE('',#361230); #12703=PLANE('',#361231); #12704=PLANE('',#361232); #12705=PLANE('',#361233); #12706=PLANE('',#361234); #12707=PLANE('',#361235); #12708=PLANE('',#361236); #12709=PLANE('',#361237); #12710=PLANE('',#361238); #12711=PLANE('',#361239); #12712=PLANE('',#361240); #12713=PLANE('',#361241); #12714=PLANE('',#361242); #12715=PLANE('',#361243); #12716=PLANE('',#361244); #12717=PLANE('',#361245); #12718=PLANE('',#361246); #12719=PLANE('',#361247); #12720=PLANE('',#361248); #12721=PLANE('',#361249); #12722=PLANE('',#361250); #12723=PLANE('',#361251); #12724=PLANE('',#361252); #12725=PLANE('',#361253); #12726=PLANE('',#361254); #12727=PLANE('',#361255); #12728=PLANE('',#361256); #12729=PLANE('',#361257); #12730=PLANE('',#361258); #12731=PLANE('',#361259); #12732=PLANE('',#361260); #12733=PLANE('',#361261); #12734=PLANE('',#361262); #12735=PLANE('',#361263); #12736=PLANE('',#361264); #12737=PLANE('',#361265); #12738=PLANE('',#361266); #12739=PLANE('',#361267); #12740=PLANE('',#361268); #12741=PLANE('',#361269); #12742=PLANE('',#361270); #12743=PLANE('',#361271); #12744=PLANE('',#361272); #12745=PLANE('',#361273); #12746=PLANE('',#361274); #12747=PLANE('',#361275); #12748=PLANE('',#361276); #12749=PLANE('',#361277); #12750=PLANE('',#361278); #12751=PLANE('',#361279); #12752=PLANE('',#361280); #12753=PLANE('',#361281); #12754=PLANE('',#361282); #12755=PLANE('',#361283); #12756=PLANE('',#361284); #12757=PLANE('',#361285); #12758=PLANE('',#361286); #12759=PLANE('',#361287); #12760=PLANE('',#361288); #12761=PLANE('',#361289); #12762=PLANE('',#361290); #12763=PLANE('',#361291); #12764=PLANE('',#361292); #12765=PLANE('',#361293); #12766=PLANE('',#361294); #12767=PLANE('',#361295); #12768=PLANE('',#361296); #12769=PLANE('',#361297); #12770=PLANE('',#361298); #12771=PLANE('',#361299); #12772=PLANE('',#361300); #12773=PLANE('',#361301); #12774=PLANE('',#361302); #12775=PLANE('',#361303); #12776=PLANE('',#361304); #12777=PLANE('',#361305); #12778=PLANE('',#361306); #12779=PLANE('',#361307); #12780=PLANE('',#361308); #12781=PLANE('',#361309); #12782=PLANE('',#361310); #12783=PLANE('',#361311); #12784=PLANE('',#361312); #12785=PLANE('',#361313); #12786=PLANE('',#361314); #12787=PLANE('',#361315); #12788=PLANE('',#361316); #12789=PLANE('',#361317); #12790=PLANE('',#361318); #12791=PLANE('',#361319); #12792=PLANE('',#361320); #12793=PLANE('',#361321); #12794=PLANE('',#361322); #12795=PLANE('',#361323); #12796=PLANE('',#361324); #12797=PLANE('',#361325); #12798=PLANE('',#361326); #12799=PLANE('',#361327); #12800=PLANE('',#361328); #12801=PLANE('',#361329); #12802=PLANE('',#361330); #12803=PLANE('',#361331); #12804=PLANE('',#361332); #12805=PLANE('',#361333); #12806=PLANE('',#361334); #12807=PLANE('',#361335); #12808=PLANE('',#361336); #12809=PLANE('',#361337); #12810=PLANE('',#361338); #12811=PLANE('',#361339); #12812=PLANE('',#361340); #12813=PLANE('',#361341); #12814=PLANE('',#361342); #12815=PLANE('',#361343); #12816=PLANE('',#361344); #12817=PLANE('',#361345); #12818=PLANE('',#361346); #12819=PLANE('',#361347); #12820=PLANE('',#361348); #12821=PLANE('',#361349); #12822=PLANE('',#361350); #12823=PLANE('',#361351); #12824=PLANE('',#361352); #12825=PLANE('',#361353); #12826=PLANE('',#361354); #12827=PLANE('',#361355); #12828=PLANE('',#361356); #12829=PLANE('',#361357); #12830=PLANE('',#361358); #12831=PLANE('',#361359); #12832=PLANE('',#361360); #12833=PLANE('',#361361); #12834=PLANE('',#361362); #12835=PLANE('',#361363); #12836=PLANE('',#361364); #12837=PLANE('',#361365); #12838=PLANE('',#361366); #12839=PLANE('',#361367); #12840=PLANE('',#361368); #12841=PLANE('',#361369); #12842=PLANE('',#361370); #12843=PLANE('',#361371); #12844=PLANE('',#361372); #12845=PLANE('',#361373); #12846=PLANE('',#361374); #12847=PLANE('',#361375); #12848=PLANE('',#361376); #12849=PLANE('',#361377); #12850=PLANE('',#361378); #12851=PLANE('',#361379); #12852=PLANE('',#361380); #12853=PLANE('',#361381); #12854=PLANE('',#361382); #12855=PLANE('',#361383); #12856=PLANE('',#361384); #12857=PLANE('',#361385); #12858=PLANE('',#361386); #12859=PLANE('',#361387); #12860=PLANE('',#361388); #12861=PLANE('',#361389); #12862=PLANE('',#361390); #12863=PLANE('',#361391); #12864=PLANE('',#361392); #12865=PLANE('',#361393); #12866=PLANE('',#361394); #12867=PLANE('',#361395); #12868=PLANE('',#361396); #12869=PLANE('',#361397); #12870=PLANE('',#361398); #12871=PLANE('',#361399); #12872=PLANE('',#361400); #12873=PLANE('',#361401); #12874=PLANE('',#361402); #12875=PLANE('',#361403); #12876=PLANE('',#361404); #12877=PLANE('',#361405); #12878=PLANE('',#361406); #12879=PLANE('',#361407); #12880=PLANE('',#361408); #12881=PLANE('',#361409); #12882=PLANE('',#361410); #12883=PLANE('',#361411); #12884=PLANE('',#361412); #12885=PLANE('',#361413); #12886=PLANE('',#361414); #12887=PLANE('',#361415); #12888=PLANE('',#361416); #12889=PLANE('',#361417); #12890=PLANE('',#361418); #12891=PLANE('',#361419); #12892=PLANE('',#361420); #12893=PLANE('',#361421); #12894=PLANE('',#361422); #12895=PLANE('',#361423); #12896=PLANE('',#361424); #12897=PLANE('',#361425); #12898=PLANE('',#361426); #12899=PLANE('',#361427); #12900=PLANE('',#361428); #12901=PLANE('',#361429); #12902=PLANE('',#361430); #12903=PLANE('',#361431); #12904=PLANE('',#361432); #12905=PLANE('',#361433); #12906=PLANE('',#361434); #12907=PLANE('',#361435); #12908=PLANE('',#361436); #12909=PLANE('',#361437); #12910=PLANE('',#361438); #12911=PLANE('',#361439); #12912=PLANE('',#361440); #12913=PLANE('',#361441); #12914=PLANE('',#361442); #12915=PLANE('',#361443); #12916=PLANE('',#361444); #12917=PLANE('',#361445); #12918=PLANE('',#361446); #12919=PLANE('',#361447); #12920=PLANE('',#361448); #12921=PLANE('',#361449); #12922=PLANE('',#361450); #12923=PLANE('',#361451); #12924=PLANE('',#361452); #12925=PLANE('',#361453); #12926=PLANE('',#361454); #12927=PLANE('',#361455); #12928=PLANE('',#361456); #12929=PLANE('',#361457); #12930=PLANE('',#361458); #12931=PLANE('',#361459); #12932=PLANE('',#361460); #12933=PLANE('',#361461); #12934=PLANE('',#361462); #12935=PLANE('',#361463); #12936=PLANE('',#361464); #12937=PLANE('',#361465); #12938=PLANE('',#361466); #12939=PLANE('',#361467); #12940=PLANE('',#361468); #12941=PLANE('',#361469); #12942=PLANE('',#361470); #12943=PLANE('',#361471); #12944=PLANE('',#361472); #12945=PLANE('',#361473); #12946=PLANE('',#361474); #12947=PLANE('',#361475); #12948=PLANE('',#361476); #12949=PLANE('',#361477); #12950=PLANE('',#361478); #12951=PLANE('',#361479); #12952=PLANE('',#361480); #12953=PLANE('',#361481); #12954=PLANE('',#361482); #12955=PLANE('',#361483); #12956=PLANE('',#361484); #12957=PLANE('',#361485); #12958=PLANE('',#361486); #12959=PLANE('',#361487); #12960=PLANE('',#361488); #12961=PLANE('',#361489); #12962=PLANE('',#361490); #12963=PLANE('',#361491); #12964=PLANE('',#361492); #12965=PLANE('',#361493); #12966=PLANE('',#361494); #12967=PLANE('',#361495); #12968=PLANE('',#361496); #12969=PLANE('',#361497); #12970=PLANE('',#361498); #12971=PLANE('',#361499); #12972=PLANE('',#361500); #12973=PLANE('',#361501); #12974=PLANE('',#361502); #12975=PLANE('',#361503); #12976=PLANE('',#361504); #12977=PLANE('',#361505); #12978=PLANE('',#361506); #12979=PLANE('',#361507); #12980=PLANE('',#361508); #12981=PLANE('',#361509); #12982=PLANE('',#361510); #12983=PLANE('',#361511); #12984=PLANE('',#361512); #12985=PLANE('',#361513); #12986=PLANE('',#361514); #12987=PLANE('',#361515); #12988=PLANE('',#361516); #12989=PLANE('',#361517); #12990=PLANE('',#361518); #12991=PLANE('',#361519); #12992=PLANE('',#361520); #12993=PLANE('',#361521); #12994=PLANE('',#361522); #12995=PLANE('',#361523); #12996=PLANE('',#361524); #12997=PLANE('',#361525); #12998=PLANE('',#361526); #12999=PLANE('',#361527); #13000=PLANE('',#361528); #13001=PLANE('',#361529); #13002=PLANE('',#361530); #13003=PLANE('',#361531); #13004=PLANE('',#361532); #13005=PLANE('',#361533); #13006=PLANE('',#361534); #13007=PLANE('',#361535); #13008=PLANE('',#361536); #13009=PLANE('',#361537); #13010=PLANE('',#361538); #13011=PLANE('',#361539); #13012=PLANE('',#361540); #13013=PLANE('',#361541); #13014=PLANE('',#361542); #13015=PLANE('',#361543); #13016=PLANE('',#361544); #13017=PLANE('',#361545); #13018=PLANE('',#361546); #13019=PLANE('',#361547); #13020=PLANE('',#361548); #13021=PLANE('',#361549); #13022=PLANE('',#361550); #13023=PLANE('',#361551); #13024=PLANE('',#361552); #13025=PLANE('',#361553); #13026=PLANE('',#361554); #13027=PLANE('',#361555); #13028=PLANE('',#361556); #13029=PLANE('',#361557); #13030=PLANE('',#361558); #13031=PLANE('',#361559); #13032=PLANE('',#361560); #13033=PLANE('',#361561); #13034=PLANE('',#361562); #13035=PLANE('',#361563); #13036=PLANE('',#361564); #13037=PLANE('',#361565); #13038=PLANE('',#361566); #13039=PLANE('',#361570); #13040=PLANE('',#361574); #13041=PLANE('',#361578); #13042=PLANE('',#361579); #13043=PLANE('',#361580); #13044=PLANE('',#361581); #13045=PLANE('',#361582); #13046=PLANE('',#361583); #13047=PLANE('',#361584); #13048=PLANE('',#361585); #13049=PLANE('',#361586); #13050=PLANE('',#361587); #13051=PLANE('',#361588); #13052=PLANE('',#361589); #13053=PLANE('',#361590); #13054=PLANE('',#361591); #13055=PLANE('',#361592); #13056=PLANE('',#361593); #13057=PLANE('',#361594); #13058=PLANE('',#361595); #13059=PLANE('',#361596); #13060=PLANE('',#361597); #13061=PLANE('',#361598); #13062=PLANE('',#361599); #13063=PLANE('',#361600); #13064=PLANE('',#361601); #13065=PLANE('',#361602); #13066=PLANE('',#361603); #13067=PLANE('',#361604); #13068=PLANE('',#361605); #13069=PLANE('',#361606); #13070=PLANE('',#361607); #13071=PLANE('',#361608); #13072=PLANE('',#361609); #13073=PLANE('',#361610); #13074=PLANE('',#361611); #13075=PLANE('',#361612); #13076=PLANE('',#361613); #13077=PLANE('',#361614); #13078=PLANE('',#361615); #13079=PLANE('',#361616); #13080=PLANE('',#361617); #13081=PLANE('',#361618); #13082=PLANE('',#361619); #13083=PLANE('',#361620); #13084=PLANE('',#361621); #13085=PLANE('',#361622); #13086=PLANE('',#361623); #13087=PLANE('',#361624); #13088=PLANE('',#361625); #13089=PLANE('',#361626); #13090=PLANE('',#361627); #13091=PLANE('',#361628); #13092=PLANE('',#361629); #13093=PLANE('',#361630); #13094=PLANE('',#361631); #13095=PLANE('',#361632); #13096=PLANE('',#361633); #13097=PLANE('',#361634); #13098=PLANE('',#361635); #13099=PLANE('',#361636); #13100=PLANE('',#361637); #13101=PLANE('',#361638); #13102=PLANE('',#361639); #13103=PLANE('',#361640); #13104=PLANE('',#361641); #13105=PLANE('',#361642); #13106=PLANE('',#361643); #13107=PLANE('',#361644); #13108=PLANE('',#361645); #13109=PLANE('',#361646); #13110=PLANE('',#361647); #13111=PLANE('',#361648); #13112=PLANE('',#361649); #13113=PLANE('',#361650); #13114=PLANE('',#361651); #13115=PLANE('',#361652); #13116=PLANE('',#361653); #13117=PLANE('',#361654); #13118=PLANE('',#361655); #13119=PLANE('',#361656); #13120=PLANE('',#361657); #13121=PLANE('',#361658); #13122=PLANE('',#361659); #13123=PLANE('',#361660); #13124=PLANE('',#361661); #13125=PLANE('',#361662); #13126=PLANE('',#361663); #13127=PLANE('',#361664); #13128=PLANE('',#361665); #13129=PLANE('',#361666); #13130=PLANE('',#361667); #13131=PLANE('',#361668); #13132=PLANE('',#361669); #13133=PLANE('',#361670); #13134=PLANE('',#361671); #13135=PLANE('',#361672); #13136=PLANE('',#361673); #13137=PLANE('',#361674); #13138=PLANE('',#361675); #13139=PLANE('',#361676); #13140=PLANE('',#361677); #13141=PLANE('',#361678); #13142=PLANE('',#361679); #13143=PLANE('',#361680); #13144=PLANE('',#361681); #13145=PLANE('',#361682); #13146=PLANE('',#361683); #13147=PLANE('',#361684); #13148=PLANE('',#361685); #13149=PLANE('',#361686); #13150=PLANE('',#361687); #13151=PLANE('',#361688); #13152=PLANE('',#361689); #13153=PLANE('',#361690); #13154=PLANE('',#361691); #13155=PLANE('',#361692); #13156=PLANE('',#361693); #13157=PLANE('',#361694); #13158=PLANE('',#361740); #13159=PLANE('',#361741); #13160=PLANE('',#361742); #13161=PLANE('',#361743); #13162=PLANE('',#361744); #13163=PLANE('',#361745); #13164=PLANE('',#361746); #13165=PLANE('',#361747); #13166=PLANE('',#361748); #13167=PLANE('',#361749); #13168=PLANE('',#361750); #13169=PLANE('',#361751); #13170=PLANE('',#361752); #13171=PLANE('',#361753); #13172=PLANE('',#361754); #13173=PLANE('',#361755); #13174=PLANE('',#361756); #13175=PLANE('',#361757); #13176=PLANE('',#361758); #13177=PLANE('',#361759); #13178=PLANE('',#361760); #13179=PLANE('',#361761); #13180=PLANE('',#361762); #13181=PLANE('',#361763); #13182=PLANE('',#361764); #13183=PLANE('',#361765); #13184=PLANE('',#361766); #13185=PLANE('',#361767); #13186=PLANE('',#361768); #13187=PLANE('',#361769); #13188=PLANE('',#361770); #13189=PLANE('',#361771); #13190=PLANE('',#361772); #13191=PLANE('',#361773); #13192=PLANE('',#361774); #13193=PLANE('',#361775); #13194=PLANE('',#361776); #13195=PLANE('',#361777); #13196=PLANE('',#361778); #13197=PLANE('',#361779); #13198=PLANE('',#361780); #13199=PLANE('',#361781); #13200=PLANE('',#361782); #13201=PLANE('',#361786); #13202=PLANE('',#361787); #13203=PLANE('',#361788); #13204=PLANE('',#361789); #13205=PLANE('',#361790); #13206=PLANE('',#361794); #13207=PLANE('',#361795); #13208=PLANE('',#361799); #13209=PLANE('',#361800); #13210=PLANE('',#361801); #13211=PLANE('',#361802); #13212=PLANE('',#361803); #13213=PLANE('',#361804); #13214=PLANE('',#361805); #13215=PLANE('',#361806); #13216=PLANE('',#361807); #13217=PLANE('',#361808); #13218=PLANE('',#361809); #13219=PLANE('',#361810); #13220=PLANE('',#361811); #13221=PLANE('',#361812); #13222=PLANE('',#361813); #13223=PLANE('',#361814); #13224=PLANE('',#361818); #13225=PLANE('',#361822); #13226=PLANE('',#361826); #13227=PLANE('',#361827); #13228=PLANE('',#361828); #13229=PLANE('',#361832); #13230=PLANE('',#361836); #13231=PLANE('',#361837); #13232=PLANE('',#361841); #13233=PLANE('',#361842); #13234=PLANE('',#361843); #13235=PLANE('',#361844); #13236=PLANE('',#361845); #13237=PLANE('',#361891); #13238=PLANE('',#361892); #13239=PLANE('',#361893); #13240=PLANE('',#361894); #13241=PLANE('',#361895); #13242=PLANE('',#361896); #13243=PLANE('',#361897); #13244=PLANE('',#361898); #13245=PLANE('',#361899); #13246=PLANE('',#361900); #13247=PLANE('',#361901); #13248=PLANE('',#361902); #13249=PLANE('',#361903); #13250=PLANE('',#361904); #13251=PLANE('',#361905); #13252=PLANE('',#361906); #13253=PLANE('',#361907); #13254=PLANE('',#361908); #13255=PLANE('',#361909); #13256=PLANE('',#361910); #13257=PLANE('',#361911); #13258=PLANE('',#361912); #13259=PLANE('',#361913); #13260=PLANE('',#361914); #13261=PLANE('',#361915); #13262=PLANE('',#361916); #13263=PLANE('',#361917); #13264=PLANE('',#361918); #13265=PLANE('',#361919); #13266=PLANE('',#361920); #13267=PLANE('',#361921); #13268=PLANE('',#361922); #13269=PLANE('',#361923); #13270=PLANE('',#361924); #13271=PLANE('',#361925); #13272=PLANE('',#361926); #13273=PLANE('',#361927); #13274=PLANE('',#361928); #13275=PLANE('',#361929); #13276=PLANE('',#361930); #13277=PLANE('',#361931); #13278=PLANE('',#361932); #13279=PLANE('',#361933); #13280=PLANE('',#361934); #13281=PLANE('',#361935); #13282=PLANE('',#361936); #13283=PLANE('',#361937); #13284=PLANE('',#361938); #13285=PLANE('',#361939); #13286=PLANE('',#361940); #13287=PLANE('',#361941); #13288=PLANE('',#361945); #13289=PLANE('',#361946); #13290=PLANE('',#361947); #13291=PLANE('',#361948); #13292=PLANE('',#361949); #13293=PLANE('',#361950); #13294=PLANE('',#361954); #13295=PLANE('',#361955); #13296=PLANE('',#361959); #13297=PLANE('',#361963); #13298=PLANE('',#361964); #13299=PLANE('',#361965); #13300=PLANE('',#361969); #13301=PLANE('',#361973); #13302=PLANE('',#361977); #13303=PLANE('',#361978); #13304=PLANE('',#361979); #13305=PLANE('',#361980); #13306=PLANE('',#361981); #13307=PLANE('',#361982); #13308=PLANE('',#361983); #13309=PLANE('',#361984); #13310=PLANE('',#361985); #13311=PLANE('',#361986); #13312=PLANE('',#361987); #13313=PLANE('',#361988); #13314=PLANE('',#361989); #13315=PLANE('',#361990); #13316=PLANE('',#361991); #13317=PLANE('',#361992); #13318=PLANE('',#361996); #13319=PLANE('',#361997); #13320=PLANE('',#362001); #13321=PLANE('',#362002); #13322=PLANE('',#362003); #13323=PLANE('',#362004); #13324=PLANE('',#362098); #13325=PLANE('',#362102); #13326=PLANE('',#362103); #13327=PLANE('',#362104); #13328=PLANE('',#362108); #13329=PLANE('',#362112); #13330=PLANE('',#362113); #13331=PLANE('',#362114); #13332=PLANE('',#362115); #13333=PLANE('',#362116); #13334=PLANE('',#362117); #13335=PLANE('',#362118); #13336=PLANE('',#362119); #13337=PLANE('',#362120); #13338=PLANE('',#362121); #13339=PLANE('',#362122); #13340=PLANE('',#362123); #13341=PLANE('',#362124); #13342=PLANE('',#362125); #13343=PLANE('',#362126); #13344=PLANE('',#362127); #13345=PLANE('',#362128); #13346=PLANE('',#362129); #13347=PLANE('',#362130); #13348=PLANE('',#362131); #13349=PLANE('',#362132); #13350=PLANE('',#362133); #13351=PLANE('',#362134); #13352=PLANE('',#362135); #13353=PLANE('',#362136); #13354=PLANE('',#362137); #13355=PLANE('',#362138); #13356=PLANE('',#362139); #13357=PLANE('',#362140); #13358=PLANE('',#362141); #13359=PLANE('',#362142); #13360=PLANE('',#362143); #13361=PLANE('',#362144); #13362=PLANE('',#362145); #13363=PLANE('',#362146); #13364=PLANE('',#362147); #13365=PLANE('',#362148); #13366=PLANE('',#362149); #13367=PLANE('',#362150); #13368=PLANE('',#362151); #13369=PLANE('',#362152); #13370=PLANE('',#362153); #13371=PLANE('',#362154); #13372=PLANE('',#362155); #13373=PLANE('',#362156); #13374=PLANE('',#362157); #13375=PLANE('',#362158); #13376=PLANE('',#362159); #13377=PLANE('',#362160); #13378=PLANE('',#362161); #13379=PLANE('',#362162); #13380=PLANE('',#362163); #13381=PLANE('',#362164); #13382=PLANE('',#362165); #13383=PLANE('',#362166); #13384=PLANE('',#362167); #13385=PLANE('',#362168); #13386=PLANE('',#362169); #13387=PLANE('',#362170); #13388=PLANE('',#362171); #13389=PLANE('',#362172); #13390=PLANE('',#362173); #13391=PLANE('',#362174); #13392=PLANE('',#362175); #13393=PLANE('',#362176); #13394=PLANE('',#362177); #13395=PLANE('',#362178); #13396=PLANE('',#362179); #13397=PLANE('',#362180); #13398=PLANE('',#362181); #13399=PLANE('',#362182); #13400=PLANE('',#362183); #13401=PLANE('',#362187); #13402=PLANE('',#362191); #13403=PLANE('',#362195); #13404=PLANE('',#362196); #13405=PLANE('',#362200); #13406=PLANE('',#362201); #13407=PLANE('',#362202); #13408=PLANE('',#362203); #13409=PLANE('',#362204); #13410=PLANE('',#362205); #13411=PLANE('',#362209); #13412=PLANE('',#362210); #13413=PLANE('',#362214); #13414=PLANE('',#362221); #13415=PLANE('',#362222); #13416=PLANE('',#362226); #13417=PLANE('',#362227); #13418=PLANE('',#362231); #13419=PLANE('',#362232); #13420=PLANE('',#362233); #13421=PLANE('',#362234); #13422=PLANE('',#362268); #13423=PLANE('',#362269); #13424=PLANE('',#362270); #13425=PLANE('',#362271); #13426=PLANE('',#362272); #13427=PLANE('',#362273); #13428=PLANE('',#362274); #13429=PLANE('',#362275); #13430=PLANE('',#362276); #13431=PLANE('',#362277); #13432=PLANE('',#362278); #13433=PLANE('',#362279); #13434=PLANE('',#362280); #13435=PLANE('',#362281); #13436=PLANE('',#362282); #13437=PLANE('',#362283); #13438=PLANE('',#362284); #13439=PLANE('',#362285); #13440=PLANE('',#362286); #13441=PLANE('',#362287); #13442=PLANE('',#362288); #13443=PLANE('',#362289); #13444=PLANE('',#362290); #13445=PLANE('',#362291); #13446=PLANE('',#362295); #13447=PLANE('',#362296); #13448=PLANE('',#362300); #13449=PLANE('',#362301); #13450=PLANE('',#362302); #13451=PLANE('',#362303); #13452=PLANE('',#362304); #13453=PLANE('',#362305); #13454=PLANE('',#362306); #13455=PLANE('',#362307); #13456=PLANE('',#362311); #13457=PLANE('',#362315); #13458=PLANE('',#362316); #13459=PLANE('',#362317); #13460=PLANE('',#362318); #13461=PLANE('',#362319); #13462=PLANE('',#362320); #13463=PLANE('',#362321); #13464=PLANE('',#362322); #13465=PLANE('',#362323); #13466=PLANE('',#362324); #13467=PLANE('',#362325); #13468=PLANE('',#362326); #13469=PLANE('',#362327); #13470=PLANE('',#362328); #13471=PLANE('',#362329); #13472=PLANE('',#362333); #13473=PLANE('',#362337); #13474=PLANE('',#362338); #13475=PLANE('',#362339); #13476=PLANE('',#362340); #13477=PLANE('',#362341); #13478=PLANE('',#362342); #13479=PLANE('',#362343); #13480=PLANE('',#362344); #13481=PLANE('',#362345); #13482=PLANE('',#362346); #13483=PLANE('',#362347); #13484=PLANE('',#362348); #13485=PLANE('',#362349); #13486=PLANE('',#362350); #13487=PLANE('',#362351); #13488=PLANE('',#362352); #13489=PLANE('',#362353); #13490=PLANE('',#362354); #13491=PLANE('',#362355); #13492=PLANE('',#362356); #13493=PLANE('',#362357); #13494=PLANE('',#362358); #13495=PLANE('',#362359); #13496=PLANE('',#362360); #13497=PLANE('',#362361); #13498=PLANE('',#362362); #13499=PLANE('',#362363); #13500=PLANE('',#362364); #13501=PLANE('',#362365); #13502=PLANE('',#362366); #13503=PLANE('',#362367); #13504=PLANE('',#362368); #13505=PLANE('',#362369); #13506=PLANE('',#362370); #13507=PLANE('',#362371); #13508=PLANE('',#362372); #13509=PLANE('',#362373); #13510=PLANE('',#362374); #13511=PLANE('',#362375); #13512=PLANE('',#362376); #13513=PLANE('',#362377); #13514=PLANE('',#362378); #13515=PLANE('',#362379); #13516=PLANE('',#362380); #13517=PLANE('',#362381); #13518=PLANE('',#362382); #13519=PLANE('',#362383); #13520=PLANE('',#362384); #13521=PLANE('',#362385); #13522=PLANE('',#362386); #13523=PLANE('',#362387); #13524=PLANE('',#362388); #13525=PLANE('',#362389); #13526=PLANE('',#362390); #13527=PLANE('',#362391); #13528=PLANE('',#362392); #13529=PLANE('',#362393); #13530=PLANE('',#362394); #13531=PLANE('',#362395); #13532=PLANE('',#362396); #13533=PLANE('',#362397); #13534=PLANE('',#362398); #13535=PLANE('',#362399); #13536=PLANE('',#362400); #13537=PLANE('',#362401); #13538=PLANE('',#362402); #13539=PLANE('',#362403); #13540=PLANE('',#362404); #13541=PLANE('',#362405); #13542=PLANE('',#362499); #13543=PLANE('',#362503); #13544=PLANE('',#362507); #13545=PLANE('',#362511); #13546=PLANE('',#362512); #13547=PLANE('',#362513); #13548=PLANE('',#362514); #13549=PLANE('',#362515); #13550=PLANE('',#362516); #13551=PLANE('',#362517); #13552=PLANE('',#362518); #13553=PLANE('',#362519); #13554=PLANE('',#362520); #13555=PLANE('',#362521); #13556=PLANE('',#362522); #13557=PLANE('',#362523); #13558=PLANE('',#362524); #13559=PLANE('',#362525); #13560=PLANE('',#362526); #13561=PLANE('',#362527); #13562=PLANE('',#362528); #13563=PLANE('',#362529); #13564=PLANE('',#362530); #13565=PLANE('',#362531); #13566=PLANE('',#362532); #13567=PLANE('',#362533); #13568=PLANE('',#362534); #13569=PLANE('',#362535); #13570=PLANE('',#362536); #13571=PLANE('',#362537); #13572=PLANE('',#362538); #13573=PLANE('',#362539); #13574=PLANE('',#362540); #13575=PLANE('',#362541); #13576=PLANE('',#362542); #13577=PLANE('',#362543); #13578=PLANE('',#362544); #13579=PLANE('',#362545); #13580=PLANE('',#362546); #13581=PLANE('',#362547); #13582=PLANE('',#362548); #13583=PLANE('',#362549); #13584=PLANE('',#362550); #13585=PLANE('',#362551); #13586=PLANE('',#362552); #13587=PLANE('',#362553); #13588=PLANE('',#362554); #13589=PLANE('',#362555); #13590=PLANE('',#362556); #13591=PLANE('',#362557); #13592=PLANE('',#362558); #13593=PLANE('',#362559); #13594=PLANE('',#362560); #13595=PLANE('',#362561); #13596=PLANE('',#362562); #13597=PLANE('',#362563); #13598=PLANE('',#362564); #13599=PLANE('',#362565); #13600=PLANE('',#362566); #13601=PLANE('',#362567); #13602=PLANE('',#362568); #13603=PLANE('',#362569); #13604=PLANE('',#362570); #13605=PLANE('',#362571); #13606=PLANE('',#362572); #13607=PLANE('',#362573); #13608=PLANE('',#362574); #13609=PLANE('',#362575); #13610=PLANE('',#362576); #13611=PLANE('',#362577); #13612=PLANE('',#362581); #13613=PLANE('',#362585); #13614=PLANE('',#362586); #13615=PLANE('',#362587); #13616=PLANE('',#362591); #13617=PLANE('',#362592); #13618=PLANE('',#362596); #13619=PLANE('',#362597); #13620=PLANE('',#362598); #13621=PLANE('',#362599); #13622=PLANE('',#362600); #13623=PLANE('',#362604); #13624=PLANE('',#362605); #13625=PLANE('',#362609); #13626=PLANE('',#362610); #13627=PLANE('',#362617); #13628=PLANE('',#362621); #13629=PLANE('',#362622); #13630=PLANE('',#362626); #13631=PLANE('',#362627); #13632=PLANE('',#362628); #13633=PLANE('',#362629); #13634=PLANE('',#362630); #13635=PLANE('',#362679); #13636=PLANE('',#362680); #13637=PLANE('',#362681); #13638=PLANE('',#362682); #13639=PLANE('',#362683); #13640=PLANE('',#362684); #13641=PLANE('',#362685); #13642=PLANE('',#362686); #13643=PLANE('',#362687); #13644=PLANE('',#362688); #13645=PLANE('',#362689); #13646=PLANE('',#362690); #13647=PLANE('',#362691); #13648=PLANE('',#362692); #13649=PLANE('',#362693); #13650=PLANE('',#362694); #13651=PLANE('',#362695); #13652=PLANE('',#362696); #13653=PLANE('',#362697); #13654=PLANE('',#362698); #13655=PLANE('',#362699); #13656=PLANE('',#362700); #13657=PLANE('',#362701); #13658=PLANE('',#362702); #13659=PLANE('',#362703); #13660=PLANE('',#362704); #13661=PLANE('',#362705); #13662=PLANE('',#362706); #13663=PLANE('',#362707); #13664=PLANE('',#362708); #13665=PLANE('',#362709); #13666=PLANE('',#362710); #13667=PLANE('',#362711); #13668=PLANE('',#362712); #13669=PLANE('',#362713); #13670=PLANE('',#362714); #13671=PLANE('',#362715); #13672=PLANE('',#362716); #13673=PLANE('',#362717); #13674=PLANE('',#362718); #13675=PLANE('',#362719); #13676=PLANE('',#362720); #13677=PLANE('',#362721); #13678=PLANE('',#362722); #13679=PLANE('',#362723); #13680=PLANE('',#362724); #13681=PLANE('',#362725); #13682=PLANE('',#362726); #13683=PLANE('',#362727); #13684=PLANE('',#362728); #13685=PLANE('',#362729); #13686=PLANE('',#362730); #13687=PLANE('',#362731); #13688=PLANE('',#362732); #13689=PLANE('',#362733); #13690=PLANE('',#362734); #13691=PLANE('',#362735); #13692=PLANE('',#362736); #13693=PLANE('',#362737); #13694=PLANE('',#362738); #13695=PLANE('',#362739); #13696=PLANE('',#362740); #13697=PLANE('',#362741); #13698=PLANE('',#362742); #13699=PLANE('',#362743); #13700=PLANE('',#362744); #13701=PLANE('',#362745); #13702=PLANE('',#362746); #13703=PLANE('',#362747); #13704=PLANE('',#362748); #13705=PLANE('',#362749); #13706=PLANE('',#362750); #13707=PLANE('',#362751); #13708=PLANE('',#362752); #13709=PLANE('',#362753); #13710=PLANE('',#362754); #13711=PLANE('',#362755); #13712=PLANE('',#362756); #13713=PLANE('',#362757); #13714=PLANE('',#362758); #13715=PLANE('',#362759); #13716=PLANE('',#362760); #13717=PLANE('',#362761); #13718=PLANE('',#362762); #13719=PLANE('',#362763); #13720=PLANE('',#362764); #13721=PLANE('',#362765); #13722=PLANE('',#362766); #13723=PLANE('',#362767); #13724=PLANE('',#362768); #13725=PLANE('',#362769); #13726=PLANE('',#362770); #13727=PLANE('',#362771); #13728=PLANE('',#362772); #13729=PLANE('',#362773); #13730=PLANE('',#362774); #13731=PLANE('',#362775); #13732=PLANE('',#362776); #13733=PLANE('',#362777); #13734=PLANE('',#362778); #13735=PLANE('',#362779); #13736=PLANE('',#362780); #13737=PLANE('',#362781); #13738=PLANE('',#362782); #13739=PLANE('',#362783); #13740=PLANE('',#362784); #13741=PLANE('',#362785); #13742=PLANE('',#362786); #13743=PLANE('',#362787); #13744=PLANE('',#362788); #13745=PLANE('',#362789); #13746=PLANE('',#362790); #13747=PLANE('',#362791); #13748=PLANE('',#362792); #13749=PLANE('',#362793); #13750=PLANE('',#362794); #13751=PLANE('',#362795); #13752=PLANE('',#362796); #13753=PLANE('',#362797); #13754=PLANE('',#362798); #13755=PLANE('',#362799); #13756=PLANE('',#362800); #13757=PLANE('',#362801); #13758=PLANE('',#362802); #13759=PLANE('',#362803); #13760=PLANE('',#362804); #13761=PLANE('',#362805); #13762=PLANE('',#362806); #13763=PLANE('',#362807); #13764=PLANE('',#362808); #13765=PLANE('',#362809); #13766=PLANE('',#362810); #13767=PLANE('',#362811); #13768=PLANE('',#362812); #13769=PLANE('',#362813); #13770=PLANE('',#362814); #13771=PLANE('',#362815); #13772=PLANE('',#362816); #13773=PLANE('',#362817); #13774=PLANE('',#362818); #13775=PLANE('',#362819); #13776=PLANE('',#362820); #13777=PLANE('',#362821); #13778=PLANE('',#362822); #13779=PLANE('',#362823); #13780=PLANE('',#362824); #13781=PLANE('',#362825); #13782=PLANE('',#362826); #13783=PLANE('',#362827); #13784=PLANE('',#362828); #13785=PLANE('',#362829); #13786=PLANE('',#362830); #13787=PLANE('',#362831); #13788=PLANE('',#362832); #13789=PLANE('',#362833); #13790=PLANE('',#362834); #13791=PLANE('',#362835); #13792=PLANE('',#362836); #13793=PLANE('',#362837); #13794=PLANE('',#362838); #13795=PLANE('',#362839); #13796=PLANE('',#362840); #13797=PLANE('',#362841); #13798=PLANE('',#362842); #13799=PLANE('',#362843); #13800=PLANE('',#362844); #13801=PLANE('',#362845); #13802=PLANE('',#362846); #13803=PLANE('',#362847); #13804=PLANE('',#362848); #13805=PLANE('',#362849); #13806=PLANE('',#362850); #13807=PLANE('',#362851); #13808=PLANE('',#362852); #13809=PLANE('',#362853); #13810=PLANE('',#362854); #13811=PLANE('',#362855); #13812=PLANE('',#362856); #13813=PLANE('',#362857); #13814=PLANE('',#362858); #13815=PLANE('',#362859); #13816=PLANE('',#362860); #13817=PLANE('',#362861); #13818=PLANE('',#362862); #13819=PLANE('',#362863); #13820=PLANE('',#362864); #13821=PLANE('',#362865); #13822=PLANE('',#362866); #13823=PLANE('',#362867); #13824=PLANE('',#362868); #13825=PLANE('',#362869); #13826=PLANE('',#362870); #13827=PLANE('',#362871); #13828=PLANE('',#362872); #13829=PLANE('',#362873); #13830=PLANE('',#362874); #13831=PLANE('',#362875); #13832=PLANE('',#362876); #13833=PLANE('',#362877); #13834=PLANE('',#362878); #13835=PLANE('',#362879); #13836=PLANE('',#362880); #13837=PLANE('',#362881); #13838=PLANE('',#362882); #13839=PLANE('',#362883); #13840=PLANE('',#362884); #13841=PLANE('',#362885); #13842=PLANE('',#362886); #13843=PLANE('',#362887); #13844=PLANE('',#362888); #13845=PLANE('',#362889); #13846=PLANE('',#362890); #13847=PLANE('',#362891); #13848=PLANE('',#362892); #13849=PLANE('',#362893); #13850=PLANE('',#362894); #13851=PLANE('',#362895); #13852=PLANE('',#362896); #13853=PLANE('',#362897); #13854=PLANE('',#362898); #13855=PLANE('',#362899); #13856=PLANE('',#362900); #13857=PLANE('',#362901); #13858=PLANE('',#362905); #13859=PLANE('',#362909); #13860=PLANE('',#362910); #13861=PLANE('',#362911); #13862=PLANE('',#362912); #13863=PLANE('',#362913); #13864=PLANE('',#362914); #13865=PLANE('',#362915); #13866=PLANE('',#362916); #13867=PLANE('',#362920); #13868=PLANE('',#362921); #13869=PLANE('',#362925); #13870=PLANE('',#362926); #13871=PLANE('',#362927); #13872=PLANE('',#362928); #13873=PLANE('',#362929); #13874=PLANE('',#362930); #13875=PLANE('',#362931); #13876=PLANE('',#362932); #13877=PLANE('',#362933); #13878=PLANE('',#362934); #13879=PLANE('',#362935); #13880=PLANE('',#362936); #13881=PLANE('',#362937); #13882=PLANE('',#362938); #13883=PLANE('',#362939); #13884=PLANE('',#362940); #13885=PLANE('',#362941); #13886=PLANE('',#362942); #13887=PLANE('',#362943); #13888=PLANE('',#362944); #13889=PLANE('',#362945); #13890=PLANE('',#362946); #13891=PLANE('',#362947); #13892=PLANE('',#362948); #13893=PLANE('',#362949); #13894=PLANE('',#362950); #13895=PLANE('',#362951); #13896=PLANE('',#362952); #13897=PLANE('',#362953); #13898=PLANE('',#362954); #13899=PLANE('',#362955); #13900=PLANE('',#362956); #13901=PLANE('',#362957); #13902=PLANE('',#362958); #13903=PLANE('',#362959); #13904=PLANE('',#362960); #13905=PLANE('',#362961); #13906=PLANE('',#362962); #13907=PLANE('',#362963); #13908=PLANE('',#362964); #13909=PLANE('',#362965); #13910=PLANE('',#362966); #13911=PLANE('',#362967); #13912=PLANE('',#362968); #13913=PLANE('',#362969); #13914=PLANE('',#362970); #13915=PLANE('',#362971); #13916=PLANE('',#362972); #13917=PLANE('',#362973); #13918=PLANE('',#362974); #13919=PLANE('',#362975); #13920=PLANE('',#362976); #13921=PLANE('',#362977); #13922=PLANE('',#362978); #13923=PLANE('',#362979); #13924=PLANE('',#362980); #13925=PLANE('',#362981); #13926=PLANE('',#362982); #13927=PLANE('',#362983); #13928=PLANE('',#362984); #13929=PLANE('',#362985); #13930=PLANE('',#362986); #13931=PLANE('',#362987); #13932=PLANE('',#362988); #13933=PLANE('',#362989); #13934=PLANE('',#362990); #13935=PLANE('',#362991); #13936=PLANE('',#362992); #13937=PLANE('',#362993); #13938=PLANE('',#362994); #13939=PLANE('',#362995); #13940=PLANE('',#362996); #13941=PLANE('',#362997); #13942=PLANE('',#362998); #13943=PLANE('',#362999); #13944=PLANE('',#363000); #13945=PLANE('',#363001); #13946=PLANE('',#363002); #13947=PLANE('',#363003); #13948=PLANE('',#363004); #13949=PLANE('',#363005); #13950=PLANE('',#363006); #13951=PLANE('',#363007); #13952=PLANE('',#363008); #13953=PLANE('',#363009); #13954=PLANE('',#363010); #13955=PLANE('',#363011); #13956=PLANE('',#363012); #13957=PLANE('',#363013); #13958=PLANE('',#363014); #13959=PLANE('',#363015); #13960=PLANE('',#363016); #13961=PLANE('',#363017); #13962=PLANE('',#363018); #13963=PLANE('',#363019); #13964=PLANE('',#363020); #13965=PLANE('',#363021); #13966=PLANE('',#363022); #13967=PLANE('',#363023); #13968=PLANE('',#363024); #13969=PLANE('',#363025); #13970=PLANE('',#363026); #13971=PLANE('',#363027); #13972=PLANE('',#363028); #13973=PLANE('',#363029); #13974=PLANE('',#363030); #13975=PLANE('',#363031); #13976=PLANE('',#363032); #13977=PLANE('',#363033); #13978=PLANE('',#363034); #13979=PLANE('',#363035); #13980=PLANE('',#363036); #13981=PLANE('',#363037); #13982=PLANE('',#363038); #13983=PLANE('',#363039); #13984=PLANE('',#363040); #13985=PLANE('',#363041); #13986=PLANE('',#363042); #13987=PLANE('',#363043); #13988=PLANE('',#363044); #13989=PLANE('',#363045); #13990=PLANE('',#363046); #13991=PLANE('',#363047); #13992=PLANE('',#363048); #13993=PLANE('',#363049); #13994=PLANE('',#363050); #13995=PLANE('',#363051); #13996=PLANE('',#363052); #13997=PLANE('',#363053); #13998=PLANE('',#363054); #13999=PLANE('',#363055); #14000=PLANE('',#363056); #14001=PLANE('',#363057); #14002=PLANE('',#363058); #14003=PLANE('',#363059); #14004=PLANE('',#363060); #14005=PLANE('',#363061); #14006=PLANE('',#363062); #14007=PLANE('',#363063); #14008=PLANE('',#363064); #14009=PLANE('',#363065); #14010=PLANE('',#363066); #14011=PLANE('',#363067); #14012=PLANE('',#363068); #14013=PLANE('',#363069); #14014=PLANE('',#363070); #14015=PLANE('',#363071); #14016=PLANE('',#363072); #14017=PLANE('',#363073); #14018=PLANE('',#363074); #14019=PLANE('',#363075); #14020=PLANE('',#363076); #14021=PLANE('',#363077); #14022=PLANE('',#363078); #14023=PLANE('',#363079); #14024=PLANE('',#363080); #14025=PLANE('',#363081); #14026=PLANE('',#363082); #14027=PLANE('',#363083); #14028=PLANE('',#363084); #14029=PLANE('',#363085); #14030=PLANE('',#363086); #14031=PLANE('',#363087); #14032=PLANE('',#363088); #14033=PLANE('',#363089); #14034=PLANE('',#363090); #14035=PLANE('',#363091); #14036=PLANE('',#363092); #14037=PLANE('',#363093); #14038=PLANE('',#363094); #14039=PLANE('',#363095); #14040=PLANE('',#363096); #14041=PLANE('',#363097); #14042=PLANE('',#363098); #14043=PLANE('',#363099); #14044=PLANE('',#363100); #14045=PLANE('',#363101); #14046=PLANE('',#363102); #14047=PLANE('',#363103); #14048=PLANE('',#363104); #14049=PLANE('',#363105); #14050=PLANE('',#363106); #14051=PLANE('',#363107); #14052=PLANE('',#363108); #14053=PLANE('',#363109); #14054=PLANE('',#363110); #14055=PLANE('',#363111); #14056=PLANE('',#363112); #14057=PLANE('',#363113); #14058=PLANE('',#363114); #14059=PLANE('',#363115); #14060=PLANE('',#363116); #14061=PLANE('',#363117); #14062=PLANE('',#363118); #14063=PLANE('',#363119); #14064=PLANE('',#363120); #14065=PLANE('',#363121); #14066=PLANE('',#363122); #14067=PLANE('',#363123); #14068=PLANE('',#363124); #14069=PLANE('',#363125); #14070=PLANE('',#363126); #14071=PLANE('',#363127); #14072=PLANE('',#363128); #14073=PLANE('',#363129); #14074=PLANE('',#363130); #14075=PLANE('',#363131); #14076=PLANE('',#363132); #14077=PLANE('',#363133); #14078=PLANE('',#363134); #14079=PLANE('',#363135); #14080=PLANE('',#363136); #14081=PLANE('',#363137); #14082=PLANE('',#363138); #14083=PLANE('',#363139); #14084=PLANE('',#363140); #14085=PLANE('',#363141); #14086=PLANE('',#363142); #14087=PLANE('',#363143); #14088=PLANE('',#363144); #14089=PLANE('',#363145); #14090=PLANE('',#363146); #14091=PLANE('',#363150); #14092=PLANE('',#363157); #14093=PLANE('',#363158); #14094=PLANE('',#363162); #14095=PLANE('',#363169); #14096=PLANE('',#363170); #14097=PLANE('',#363174); #14098=PLANE('',#363181); #14099=PLANE('',#363182); #14100=PLANE('',#363186); #14101=PLANE('',#363193); #14102=PLANE('',#363194); #14103=PLANE('',#363198); #14104=PLANE('',#363199); #14105=PLANE('',#363200); #14106=PLANE('',#363201); #14107=PLANE('',#363202); #14108=PLANE('',#363203); #14109=PLANE('',#363207); #14110=PLANE('',#363214); #14111=PLANE('',#363215); #14112=PLANE('',#363219); #14113=PLANE('',#363226); #14114=PLANE('',#363227); #14115=PLANE('',#363231); #14116=PLANE('',#363238); #14117=PLANE('',#363239); #14118=PLANE('',#363243); #14119=PLANE('',#363250); #14120=PLANE('',#363251); #14121=PLANE('',#363252); #14122=PLANE('',#363256); #14123=PLANE('',#363260); #14124=PLANE('',#363261); #14125=PLANE('',#363262); #14126=PLANE('',#363263); #14127=PLANE('',#363264); #14128=PLANE('',#363265); #14129=PLANE('',#363266); #14130=PLANE('',#363267); #14131=PLANE('',#363268); #14132=PLANE('',#363269); #14133=PLANE('',#363270); #14134=PLANE('',#363271); #14135=PLANE('',#363272); #14136=PLANE('',#363273); #14137=PLANE('',#363274); #14138=PLANE('',#363275); #14139=PLANE('',#363276); #14140=PLANE('',#363277); #14141=PLANE('',#363278); #14142=PLANE('',#363279); #14143=PLANE('',#363280); #14144=PLANE('',#363281); #14145=PLANE('',#363282); #14146=PLANE('',#363283); #14147=PLANE('',#363284); #14148=PLANE('',#363285); #14149=PLANE('',#363286); #14150=PLANE('',#363287); #14151=PLANE('',#363288); #14152=PLANE('',#363289); #14153=PLANE('',#363290); #14154=PLANE('',#363291); #14155=PLANE('',#363292); #14156=PLANE('',#363293); #14157=PLANE('',#363294); #14158=PLANE('',#363295); #14159=PLANE('',#363296); #14160=PLANE('',#363297); #14161=PLANE('',#363298); #14162=PLANE('',#363299); #14163=PLANE('',#363300); #14164=PLANE('',#363301); #14165=PLANE('',#363302); #14166=PLANE('',#363303); #14167=PLANE('',#363304); #14168=PLANE('',#363305); #14169=PLANE('',#363306); #14170=PLANE('',#363307); #14171=PLANE('',#363308); #14172=PLANE('',#363309); #14173=PLANE('',#363310); #14174=PLANE('',#363311); #14175=PLANE('',#363312); #14176=PLANE('',#363313); #14177=PLANE('',#363314); #14178=PLANE('',#363315); #14179=PLANE('',#363316); #14180=PLANE('',#363317); #14181=PLANE('',#363318); #14182=PLANE('',#363322); #14183=PLANE('',#363326); #14184=PLANE('',#363327); #14185=PLANE('',#363328); #14186=PLANE('',#363329); #14187=PLANE('',#363330); #14188=PLANE('',#363331); #14189=PLANE('',#363332); #14190=PLANE('',#363333); #14191=PLANE('',#363334); #14192=PLANE('',#363335); #14193=PLANE('',#363336); #14194=PLANE('',#363337); #14195=PLANE('',#363338); #14196=PLANE('',#363339); #14197=PLANE('',#363340); #14198=PLANE('',#363341); #14199=PLANE('',#363342); #14200=PLANE('',#363343); #14201=PLANE('',#363344); #14202=PLANE('',#363345); #14203=PLANE('',#363346); #14204=PLANE('',#363347); #14205=PLANE('',#363348); #14206=PLANE('',#363349); #14207=PLANE('',#363350); #14208=PLANE('',#363351); #14209=PLANE('',#363352); #14210=PLANE('',#363353); #14211=PLANE('',#363354); #14212=PLANE('',#363355); #14213=PLANE('',#363356); #14214=PLANE('',#363357); #14215=PLANE('',#363358); #14216=PLANE('',#363359); #14217=PLANE('',#363360); #14218=PLANE('',#363361); #14219=PLANE('',#363362); #14220=PLANE('',#363363); #14221=PLANE('',#363364); #14222=PLANE('',#363365); #14223=PLANE('',#363366); #14224=PLANE('',#363367); #14225=PLANE('',#363368); #14226=PLANE('',#363369); #14227=PLANE('',#363370); #14228=PLANE('',#363371); #14229=PLANE('',#363372); #14230=PLANE('',#363373); #14231=PLANE('',#363374); #14232=PLANE('',#363375); #14233=PLANE('',#363376); #14234=PLANE('',#363377); #14235=PLANE('',#363378); #14236=PLANE('',#363379); #14237=PLANE('',#363380); #14238=PLANE('',#363381); #14239=PLANE('',#363382); #14240=PLANE('',#363383); #14241=PLANE('',#363384); #14242=PLANE('',#363385); #14243=PLANE('',#363386); #14244=PLANE('',#363387); #14245=PLANE('',#363388); #14246=PLANE('',#363389); #14247=PLANE('',#363390); #14248=PLANE('',#363391); #14249=PLANE('',#363392); #14250=PLANE('',#363393); #14251=PLANE('',#363394); #14252=PLANE('',#363395); #14253=PLANE('',#363396); #14254=PLANE('',#363397); #14255=PLANE('',#363398); #14256=PLANE('',#363399); #14257=PLANE('',#363400); #14258=PLANE('',#363404); #14259=PLANE('',#363408); #14260=PLANE('',#363409); #14261=PLANE('',#363410); #14262=PLANE('',#363411); #14263=PLANE('',#363412); #14264=PLANE('',#363413); #14265=PLANE('',#363414); #14266=PLANE('',#363415); #14267=PLANE('',#363416); #14268=PLANE('',#363417); #14269=PLANE('',#363418); #14270=PLANE('',#363419); #14271=PLANE('',#363420); #14272=PLANE('',#363421); #14273=PLANE('',#363422); #14274=PLANE('',#363423); #14275=PLANE('',#363424); #14276=PLANE('',#363425); #14277=PLANE('',#363426); #14278=PLANE('',#363427); #14279=PLANE('',#363428); #14280=PLANE('',#363429); #14281=PLANE('',#363430); #14282=PLANE('',#363431); #14283=PLANE('',#363432); #14284=PLANE('',#363433); #14285=PLANE('',#363434); #14286=PLANE('',#363435); #14287=PLANE('',#363436); #14288=PLANE('',#363437); #14289=PLANE('',#363438); #14290=PLANE('',#363439); #14291=PLANE('',#363440); #14292=PLANE('',#363441); #14293=PLANE('',#363442); #14294=PLANE('',#363443); #14295=PLANE('',#363444); #14296=PLANE('',#363445); #14297=PLANE('',#363446); #14298=PLANE('',#363447); #14299=PLANE('',#363448); #14300=PLANE('',#363449); #14301=PLANE('',#363450); #14302=PLANE('',#363451); #14303=PLANE('',#363452); #14304=PLANE('',#363453); #14305=PLANE('',#363454); #14306=PLANE('',#363455); #14307=PLANE('',#363456); #14308=PLANE('',#363457); #14309=PLANE('',#363458); #14310=PLANE('',#363459); #14311=PLANE('',#363460); #14312=PLANE('',#363461); #14313=PLANE('',#363462); #14314=PLANE('',#363463); #14315=PLANE('',#363464); #14316=PLANE('',#363465); #14317=PLANE('',#363469); #14318=PLANE('',#363470); #14319=PLANE('',#363471); #14320=PLANE('',#363472); #14321=PLANE('',#363473); #14322=PLANE('',#363474); #14323=PLANE('',#363475); #14324=PLANE('',#363479); #14325=PLANE('',#363483); #14326=PLANE('',#363484); #14327=PLANE('',#363485); #14328=PLANE('',#363486); #14329=PLANE('',#363487); #14330=PLANE('',#363488); #14331=PLANE('',#363489); #14332=PLANE('',#363490); #14333=PLANE('',#363491); #14334=PLANE('',#363492); #14335=PLANE('',#363493); #14336=PLANE('',#363494); #14337=PLANE('',#363495); #14338=PLANE('',#363496); #14339=PLANE('',#363497); #14340=PLANE('',#363498); #14341=PLANE('',#363499); #14342=PLANE('',#363500); #14343=PLANE('',#363501); #14344=PLANE('',#363502); #14345=PLANE('',#363503); #14346=PLANE('',#363504); #14347=PLANE('',#363505); #14348=PLANE('',#363506); #14349=PLANE('',#363507); #14350=PLANE('',#363508); #14351=PLANE('',#363509); #14352=PLANE('',#363510); #14353=PLANE('',#363511); #14354=PLANE('',#363512); #14355=PLANE('',#363513); #14356=PLANE('',#363514); #14357=PLANE('',#363515); #14358=PLANE('',#363516); #14359=PLANE('',#363517); #14360=PLANE('',#363518); #14361=PLANE('',#363519); #14362=PLANE('',#363520); #14363=PLANE('',#363521); #14364=PLANE('',#363522); #14365=PLANE('',#363523); #14366=PLANE('',#363524); #14367=PLANE('',#363525); #14368=PLANE('',#363526); #14369=PLANE('',#363527); #14370=PLANE('',#363528); #14371=PLANE('',#363529); #14372=PLANE('',#363530); #14373=PLANE('',#363531); #14374=PLANE('',#363532); #14375=PLANE('',#363533); #14376=PLANE('',#363534); #14377=PLANE('',#363535); #14378=PLANE('',#363536); #14379=PLANE('',#363537); #14380=PLANE('',#363538); #14381=PLANE('',#363539); #14382=PLANE('',#363540); #14383=PLANE('',#363541); #14384=PLANE('',#363545); #14385=PLANE('',#363549); #14386=PLANE('',#363550); #14387=PLANE('',#363551); #14388=PLANE('',#363552); #14389=PLANE('',#363553); #14390=PLANE('',#363554); #14391=PLANE('',#363555); #14392=PLANE('',#363556); #14393=PLANE('',#363557); #14394=PLANE('',#363558); #14395=PLANE('',#363559); #14396=PLANE('',#363560); #14397=PLANE('',#363561); #14398=PLANE('',#363562); #14399=PLANE('',#363563); #14400=PLANE('',#363564); #14401=PLANE('',#363565); #14402=PLANE('',#363566); #14403=PLANE('',#363567); #14404=PLANE('',#363568); #14405=PLANE('',#363569); #14406=PLANE('',#363570); #14407=PLANE('',#363571); #14408=PLANE('',#363572); #14409=PLANE('',#363573); #14410=PLANE('',#363574); #14411=PLANE('',#363575); #14412=PLANE('',#363576); #14413=PLANE('',#363577); #14414=PLANE('',#363578); #14415=PLANE('',#363579); #14416=PLANE('',#363580); #14417=PLANE('',#363581); #14418=PLANE('',#363582); #14419=PLANE('',#363583); #14420=PLANE('',#363584); #14421=PLANE('',#363585); #14422=PLANE('',#363586); #14423=PLANE('',#363587); #14424=PLANE('',#363588); #14425=PLANE('',#363589); #14426=PLANE('',#363590); #14427=PLANE('',#363591); #14428=PLANE('',#363592); #14429=PLANE('',#363593); #14430=PLANE('',#363594); #14431=PLANE('',#363595); #14432=PLANE('',#363596); #14433=PLANE('',#363597); #14434=PLANE('',#363598); #14435=PLANE('',#363599); #14436=PLANE('',#363600); #14437=PLANE('',#363601); #14438=PLANE('',#363602); #14439=PLANE('',#363603); #14440=PLANE('',#363604); #14441=PLANE('',#363605); #14442=PLANE('',#363606); #14443=PLANE('',#363607); #14444=PLANE('',#363608); #14445=PLANE('',#363609); #14446=PLANE('',#363610); #14447=PLANE('',#363611); #14448=PLANE('',#363612); #14449=PLANE('',#363613); #14450=PLANE('',#363614); #14451=PLANE('',#363615); #14452=PLANE('',#363616); #14453=PLANE('',#363617); #14454=PLANE('',#363618); #14455=PLANE('',#363619); #14456=PLANE('',#363620); #14457=PLANE('',#363621); #14458=PLANE('',#363622); #14459=PLANE('',#363626); #14460=PLANE('',#363633); #14461=PLANE('',#363634); #14462=PLANE('',#363638); #14463=PLANE('',#363645); #14464=PLANE('',#363646); #14465=PLANE('',#363647); #14466=PLANE('',#363651); #14467=PLANE('',#363655); #14468=PLANE('',#363656); #14469=PLANE('',#363657); #14470=PLANE('',#363658); #14471=PLANE('',#363659); #14472=PLANE('',#363660); #14473=PLANE('',#363661); #14474=PLANE('',#363662); #14475=PLANE('',#363663); #14476=PLANE('',#363664); #14477=PLANE('',#363665); #14478=PLANE('',#363666); #14479=PLANE('',#363667); #14480=PLANE('',#363668); #14481=PLANE('',#363669); #14482=PLANE('',#363670); #14483=PLANE('',#363671); #14484=PLANE('',#363672); #14485=PLANE('',#363673); #14486=PLANE('',#363674); #14487=PLANE('',#363675); #14488=PLANE('',#363676); #14489=PLANE('',#363677); #14490=PLANE('',#363678); #14491=PLANE('',#363679); #14492=PLANE('',#363680); #14493=PLANE('',#363681); #14494=PLANE('',#363682); #14495=PLANE('',#363683); #14496=PLANE('',#363684); #14497=PLANE('',#363685); #14498=PLANE('',#363686); #14499=PLANE('',#363687); #14500=PLANE('',#363688); #14501=PLANE('',#363689); #14502=PLANE('',#363690); #14503=PLANE('',#363691); #14504=PLANE('',#363692); #14505=PLANE('',#363693); #14506=PLANE('',#363694); #14507=PLANE('',#363695); #14508=PLANE('',#363696); #14509=PLANE('',#363697); #14510=PLANE('',#363698); #14511=PLANE('',#363699); #14512=PLANE('',#363700); #14513=PLANE('',#363701); #14514=PLANE('',#363702); #14515=PLANE('',#363703); #14516=PLANE('',#363704); #14517=PLANE('',#363705); #14518=PLANE('',#363706); #14519=PLANE('',#363707); #14520=PLANE('',#363708); #14521=PLANE('',#363709); #14522=PLANE('',#363710); #14523=PLANE('',#363711); #14524=PLANE('',#363712); #14525=PLANE('',#363716); #14526=PLANE('',#363723); #14527=PLANE('',#363724); #14528=PLANE('',#363728); #14529=PLANE('',#363735); #14530=PLANE('',#363736); #14531=PLANE('',#363740); #14532=PLANE('',#363747); #14533=PLANE('',#363748); #14534=PLANE('',#363752); #14535=PLANE('',#363753); #14536=PLANE('',#363754); #14537=PLANE('',#363755); #14538=PLANE('',#363756); #14539=PLANE('',#363757); #14540=PLANE('',#363761); #14541=PLANE('',#363768); #14542=PLANE('',#363769); #14543=PLANE('',#363770); #14544=PLANE('',#363771); #14545=PLANE('',#363772); #14546=PLANE('',#363773); #14547=PLANE('',#363774); #14548=PLANE('',#363775); #14549=PLANE('',#363776); #14550=PLANE('',#363777); #14551=PLANE('',#363778); #14552=PLANE('',#363779); #14553=PLANE('',#363780); #14554=PLANE('',#363781); #14555=PLANE('',#363782); #14556=PLANE('',#363783); #14557=PLANE('',#363784); #14558=PLANE('',#363785); #14559=PLANE('',#363786); #14560=PLANE('',#363787); #14561=PLANE('',#363788); #14562=PLANE('',#363789); #14563=PLANE('',#363790); #14564=PLANE('',#363791); #14565=PLANE('',#363792); #14566=PLANE('',#363793); #14567=PLANE('',#363794); #14568=PLANE('',#363795); #14569=PLANE('',#363796); #14570=PLANE('',#363797); #14571=PLANE('',#363798); #14572=PLANE('',#363799); #14573=PLANE('',#363800); #14574=PLANE('',#363801); #14575=PLANE('',#363802); #14576=PLANE('',#363803); #14577=PLANE('',#363804); #14578=PLANE('',#363805); #14579=PLANE('',#363806); #14580=PLANE('',#363807); #14581=PLANE('',#363808); #14582=PLANE('',#363809); #14583=PLANE('',#363810); #14584=PLANE('',#363811); #14585=PLANE('',#363812); #14586=PLANE('',#363813); #14587=PLANE('',#363814); #14588=PLANE('',#363815); #14589=PLANE('',#363816); #14590=PLANE('',#363817); #14591=PLANE('',#363818); #14592=PLANE('',#363819); #14593=PLANE('',#363820); #14594=PLANE('',#363821); #14595=PLANE('',#363822); #14596=PLANE('',#363823); #14597=PLANE('',#363824); #14598=PLANE('',#363825); #14599=PLANE('',#363826); #14600=PLANE('',#363827); #14601=PLANE('',#363828); #14602=PLANE('',#363829); #14603=PLANE('',#363830); #14604=PLANE('',#363831); #14605=PLANE('',#363832); #14606=PLANE('',#363833); #14607=PLANE('',#363834); #14608=PLANE('',#363835); #14609=PLANE('',#363836); #14610=PLANE('',#363837); #14611=PLANE('',#363838); #14612=PLANE('',#363839); #14613=PLANE('',#363840); #14614=PLANE('',#363841); #14615=PLANE('',#363842); #14616=PLANE('',#363843); #14617=PLANE('',#363844); #14618=PLANE('',#363845); #14619=PLANE('',#363846); #14620=PLANE('',#363847); #14621=PLANE('',#363866); #14622=PLANE('',#363867); #14623=PLANE('',#363868); #14624=PLANE('',#363869); #14625=PLANE('',#363870); #14626=PLANE('',#363871); #14627=PLANE('',#363872); #14628=PLANE('',#363873); #14629=PLANE('',#363874); #14630=PLANE('',#363875); #14631=PLANE('',#363876); #14632=PLANE('',#363877); #14633=PLANE('',#363878); #14634=PLANE('',#363879); #14635=PLANE('',#363880); #14636=PLANE('',#363881); #14637=PLANE('',#363882); #14638=PLANE('',#363883); #14639=PLANE('',#363884); #14640=PLANE('',#363885); #14641=PLANE('',#363886); #14642=PLANE('',#363887); #14643=PLANE('',#363888); #14644=PLANE('',#363889); #14645=PLANE('',#363890); #14646=PLANE('',#363891); #14647=PLANE('',#363892); #14648=PLANE('',#363893); #14649=PLANE('',#363894); #14650=PLANE('',#363895); #14651=PLANE('',#363896); #14652=PLANE('',#363897); #14653=PLANE('',#363898); #14654=PLANE('',#363899); #14655=PLANE('',#363900); #14656=PLANE('',#363901); #14657=PLANE('',#363902); #14658=PLANE('',#363903); #14659=PLANE('',#363904); #14660=PLANE('',#363905); #14661=PLANE('',#363906); #14662=PLANE('',#363907); #14663=PLANE('',#363908); #14664=PLANE('',#363909); #14665=PLANE('',#363910); #14666=PLANE('',#363911); #14667=PLANE('',#363912); #14668=PLANE('',#363913); #14669=PLANE('',#363914); #14670=PLANE('',#363915); #14671=PLANE('',#363916); #14672=PLANE('',#363917); #14673=PLANE('',#363918); #14674=PLANE('',#363919); #14675=PLANE('',#363920); #14676=PLANE('',#363921); #14677=PLANE('',#363922); #14678=PLANE('',#363923); #14679=PLANE('',#363924); #14680=PLANE('',#363925); #14681=PLANE('',#363926); #14682=PLANE('',#363927); #14683=PLANE('',#363928); #14684=PLANE('',#363929); #14685=PLANE('',#363930); #14686=PLANE('',#363931); #14687=PLANE('',#363932); #14688=PLANE('',#363933); #14689=PLANE('',#363934); #14690=PLANE('',#363935); #14691=PLANE('',#363936); #14692=PLANE('',#363937); #14693=PLANE('',#363938); #14694=PLANE('',#363939); #14695=PLANE('',#363940); #14696=PLANE('',#363941); #14697=PLANE('',#363942); #14698=PLANE('',#363943); #14699=PLANE('',#363944); #14700=PLANE('',#363945); #14701=PLANE('',#363946); #14702=PLANE('',#363947); #14703=PLANE('',#363948); #14704=PLANE('',#363949); #14705=PLANE('',#363950); #14706=PLANE('',#363951); #14707=PLANE('',#363952); #14708=PLANE('',#363953); #14709=PLANE('',#363954); #14710=PLANE('',#363955); #14711=PLANE('',#363956); #14712=PLANE('',#363957); #14713=PLANE('',#363958); #14714=PLANE('',#363959); #14715=PLANE('',#363960); #14716=PLANE('',#363961); #14717=PLANE('',#363962); #14718=PLANE('',#363963); #14719=PLANE('',#363964); #14720=PLANE('',#363965); #14721=PLANE('',#363966); #14722=PLANE('',#363967); #14723=PLANE('',#363968); #14724=PLANE('',#363969); #14725=PLANE('',#363970); #14726=PLANE('',#363971); #14727=PLANE('',#363972); #14728=PLANE('',#363973); #14729=PLANE('',#363974); #14730=PLANE('',#363975); #14731=PLANE('',#363976); #14732=PLANE('',#363977); #14733=PLANE('',#363978); #14734=PLANE('',#363979); #14735=PLANE('',#363980); #14736=PLANE('',#363981); #14737=PLANE('',#363982); #14738=PLANE('',#363983); #14739=PLANE('',#363984); #14740=PLANE('',#363985); #14741=PLANE('',#363986); #14742=PLANE('',#363987); #14743=PLANE('',#363988); #14744=PLANE('',#363989); #14745=PLANE('',#363990); #14746=PLANE('',#363991); #14747=PLANE('',#363992); #14748=PLANE('',#363993); #14749=PLANE('',#363994); #14750=PLANE('',#363995); #14751=PLANE('',#363996); #14752=PLANE('',#363997); #14753=PLANE('',#363998); #14754=PLANE('',#363999); #14755=PLANE('',#364000); #14756=PLANE('',#364001); #14757=PLANE('',#364002); #14758=PLANE('',#364003); #14759=PLANE('',#364004); #14760=PLANE('',#364005); #14761=PLANE('',#364006); #14762=PLANE('',#364007); #14763=PLANE('',#364008); #14764=PLANE('',#364009); #14765=PLANE('',#364010); #14766=PLANE('',#364011); #14767=PLANE('',#364012); #14768=PLANE('',#364013); #14769=PLANE('',#364014); #14770=PLANE('',#364015); #14771=PLANE('',#364016); #14772=PLANE('',#364017); #14773=PLANE('',#364018); #14774=PLANE('',#364019); #14775=PLANE('',#364020); #14776=PLANE('',#364021); #14777=PLANE('',#364022); #14778=PLANE('',#364023); #14779=PLANE('',#364024); #14780=PLANE('',#364025); #14781=PLANE('',#364026); #14782=PLANE('',#364027); #14783=PLANE('',#364046); #14784=PLANE('',#364047); #14785=PLANE('',#364048); #14786=PLANE('',#364049); #14787=PLANE('',#364050); #14788=PLANE('',#364051); #14789=PLANE('',#364052); #14790=PLANE('',#364053); #14791=PLANE('',#364054); #14792=PLANE('',#364055); #14793=PLANE('',#364056); #14794=PLANE('',#364057); #14795=PLANE('',#364058); #14796=PLANE('',#364059); #14797=PLANE('',#364060); #14798=PLANE('',#364061); #14799=PLANE('',#364062); #14800=PLANE('',#364063); #14801=PLANE('',#364064); #14802=PLANE('',#364065); #14803=PLANE('',#364066); #14804=PLANE('',#364067); #14805=PLANE('',#364068); #14806=PLANE('',#364069); #14807=PLANE('',#364070); #14808=PLANE('',#364071); #14809=PLANE('',#364072); #14810=PLANE('',#364073); #14811=PLANE('',#364074); #14812=PLANE('',#364075); #14813=PLANE('',#364076); #14814=PLANE('',#364077); #14815=PLANE('',#364078); #14816=PLANE('',#364079); #14817=PLANE('',#364080); #14818=PLANE('',#364081); #14819=PLANE('',#364082); #14820=PLANE('',#364083); #14821=PLANE('',#364084); #14822=PLANE('',#364085); #14823=PLANE('',#364086); #14824=PLANE('',#364087); #14825=PLANE('',#364106); #14826=PLANE('',#364107); #14827=PLANE('',#364108); #14828=PLANE('',#364109); #14829=PLANE('',#364110); #14830=PLANE('',#364111); #14831=PLANE('',#364112); #14832=PLANE('',#364113); #14833=PLANE('',#364114); #14834=PLANE('',#364115); #14835=PLANE('',#364116); #14836=PLANE('',#364117); #14837=PLANE('',#364118); #14838=PLANE('',#364119); #14839=PLANE('',#364120); #14840=PLANE('',#364121); #14841=PLANE('',#364122); #14842=PLANE('',#364123); #14843=PLANE('',#364271); #14844=PLANE('',#364272); #14845=PLANE('',#364273); #14846=PLANE('',#364274); #14847=PLANE('',#364275); #14848=PLANE('',#364276); #14849=PLANE('',#364277); #14850=PLANE('',#364278); #14851=PLANE('',#364279); #14852=PLANE('',#364280); #14853=PLANE('',#364281); #14854=PLANE('',#364282); #14855=PLANE('',#364283); #14856=PLANE('',#364284); #14857=PLANE('',#364285); #14858=PLANE('',#364286); #14859=PLANE('',#364287); #14860=PLANE('',#364288); #14861=PLANE('',#364289); #14862=PLANE('',#364290); #14863=PLANE('',#364291); #14864=PLANE('',#364292); #14865=PLANE('',#364293); #14866=PLANE('',#364294); #14867=PLANE('',#364295); #14868=PLANE('',#364296); #14869=PLANE('',#364297); #14870=PLANE('',#364298); #14871=PLANE('',#364299); #14872=PLANE('',#364300); #14873=PLANE('',#364301); #14874=PLANE('',#364302); #14875=PLANE('',#364303); #14876=PLANE('',#364304); #14877=PLANE('',#364305); #14878=PLANE('',#364306); #14879=PLANE('',#364307); #14880=PLANE('',#364308); #14881=PLANE('',#364309); #14882=PLANE('',#364310); #14883=PLANE('',#364311); #14884=PLANE('',#364312); #14885=PLANE('',#364313); #14886=PLANE('',#364314); #14887=PLANE('',#364315); #14888=PLANE('',#364316); #14889=PLANE('',#364317); #14890=PLANE('',#364318); #14891=PLANE('',#364319); #14892=PLANE('',#364320); #14893=PLANE('',#364321); #14894=PLANE('',#364322); #14895=PLANE('',#364323); #14896=PLANE('',#364324); #14897=PLANE('',#364325); #14898=PLANE('',#364326); #14899=PLANE('',#364327); #14900=PLANE('',#364328); #14901=PLANE('',#364329); #14902=PLANE('',#364330); #14903=PLANE('',#364331); #14904=PLANE('',#364332); #14905=PLANE('',#364333); #14906=PLANE('',#364334); #14907=PLANE('',#364335); #14908=PLANE('',#364336); #14909=PLANE('',#364337); #14910=PLANE('',#364338); #14911=PLANE('',#364339); #14912=PLANE('',#364340); #14913=PLANE('',#364341); #14914=PLANE('',#364342); #14915=PLANE('',#364343); #14916=PLANE('',#364344); #14917=PLANE('',#364345); #14918=PLANE('',#364346); #14919=PLANE('',#364347); #14920=PLANE('',#364348); #14921=PLANE('',#364349); #14922=PLANE('',#364350); #14923=PLANE('',#364351); #14924=PLANE('',#364352); #14925=PLANE('',#364353); #14926=PLANE('',#364354); #14927=PLANE('',#364355); #14928=PLANE('',#364356); #14929=PLANE('',#364357); #14930=PLANE('',#364358); #14931=PLANE('',#364359); #14932=PLANE('',#364360); #14933=PLANE('',#364361); #14934=PLANE('',#364362); #14935=PLANE('',#364363); #14936=PLANE('',#364364); #14937=PLANE('',#364365); #14938=PLANE('',#364366); #14939=PLANE('',#364367); #14940=PLANE('',#364368); #14941=PLANE('',#364369); #14942=PLANE('',#364370); #14943=PLANE('',#364371); #14944=PLANE('',#364372); #14945=PLANE('',#364373); #14946=PLANE('',#364374); #14947=PLANE('',#364375); #14948=PLANE('',#364376); #14949=PLANE('',#364377); #14950=PLANE('',#364378); #14951=PLANE('',#364379); #14952=PLANE('',#364380); #14953=PLANE('',#364381); #14954=PLANE('',#364382); #14955=PLANE('',#364383); #14956=PLANE('',#364384); #14957=PLANE('',#364388); #14958=PLANE('',#364389); #14959=PLANE('',#364390); #14960=PLANE('',#364391); #14961=PLANE('',#364392); #14962=PLANE('',#364393); #14963=PLANE('',#364394); #14964=PLANE('',#364395); #14965=PLANE('',#364396); #14966=PLANE('',#364397); #14967=PLANE('',#364398); #14968=PLANE('',#364399); #14969=PLANE('',#364400); #14970=PLANE('',#364401); #14971=PLANE('',#364402); #14972=PLANE('',#364403); #14973=PLANE('',#364404); #14974=PLANE('',#364405); #14975=PLANE('',#364409); #14976=PLANE('',#364410); #14977=PLANE('',#364411); #14978=PLANE('',#364412); #14979=PLANE('',#364413); #14980=PLANE('',#364414); #14981=PLANE('',#364415); #14982=PLANE('',#364416); #14983=PLANE('',#364417); #14984=PLANE('',#364418); #14985=PLANE('',#364419); #14986=PLANE('',#364420); #14987=PLANE('',#364421); #14988=PLANE('',#364422); #14989=PLANE('',#364423); #14990=PLANE('',#364424); #14991=PLANE('',#364425); #14992=PLANE('',#364426); #14993=PLANE('',#364427); #14994=PLANE('',#364428); #14995=PLANE('',#364429); #14996=PLANE('',#364430); #14997=PLANE('',#364431); #14998=PLANE('',#364432); #14999=PLANE('',#364433); #15000=PLANE('',#364434); #15001=PLANE('',#364435); #15002=PLANE('',#364436); #15003=PLANE('',#364437); #15004=PLANE('',#364438); #15005=PLANE('',#364439); #15006=PLANE('',#364440); #15007=PLANE('',#364441); #15008=PLANE('',#364442); #15009=PLANE('',#364443); #15010=PLANE('',#364444); #15011=PLANE('',#364445); #15012=PLANE('',#364446); #15013=PLANE('',#364447); #15014=PLANE('',#364448); #15015=PLANE('',#364449); #15016=PLANE('',#364450); #15017=PLANE('',#364451); #15018=PLANE('',#364452); #15019=PLANE('',#364453); #15020=PLANE('',#364454); #15021=PLANE('',#364455); #15022=PLANE('',#364456); #15023=PLANE('',#364457); #15024=PLANE('',#364458); #15025=PLANE('',#364459); #15026=PLANE('',#364460); #15027=PLANE('',#364461); #15028=PLANE('',#364462); #15029=PLANE('',#364463); #15030=PLANE('',#364464); #15031=PLANE('',#364465); #15032=PLANE('',#364466); #15033=PLANE('',#364467); #15034=PLANE('',#364468); #15035=PLANE('',#364469); #15036=PLANE('',#364470); #15037=PLANE('',#364471); #15038=PLANE('',#364472); #15039=PLANE('',#364473); #15040=PLANE('',#364474); #15041=PLANE('',#364475); #15042=PLANE('',#364476); #15043=PLANE('',#364477); #15044=PLANE('',#364478); #15045=PLANE('',#364479); #15046=PLANE('',#364480); #15047=PLANE('',#364508); #15048=PLANE('',#364509); #15049=PLANE('',#364510); #15050=PLANE('',#364511); #15051=PLANE('',#364512); #15052=PLANE('',#364513); #15053=PLANE('',#364514); #15054=PLANE('',#364515); #15055=PLANE('',#364516); #15056=PLANE('',#364517); #15057=PLANE('',#364518); #15058=PLANE('',#364519); #15059=PLANE('',#364523); #15060=PLANE('',#364524); #15061=PLANE('',#364525); #15062=PLANE('',#364526); #15063=PLANE('',#364527); #15064=PLANE('',#364528); #15065=PLANE('',#364529); #15066=PLANE('',#364530); #15067=PLANE('',#364531); #15068=PLANE('',#364532); #15069=PLANE('',#364533); #15070=PLANE('',#364534); #15071=PLANE('',#364535); #15072=PLANE('',#364536); #15073=PLANE('',#364537); #15074=PLANE('',#364538); #15075=PLANE('',#364539); #15076=PLANE('',#364540); #15077=PLANE('',#364541); #15078=PLANE('',#364542); #15079=PLANE('',#364543); #15080=PLANE('',#364544); #15081=PLANE('',#364545); #15082=PLANE('',#364546); #15083=PLANE('',#364547); #15084=PLANE('',#364548); #15085=PLANE('',#364549); #15086=PLANE('',#364550); #15087=PLANE('',#364551); #15088=PLANE('',#364552); #15089=PLANE('',#364553); #15090=PLANE('',#364554); #15091=PLANE('',#364555); #15092=PLANE('',#364556); #15093=PLANE('',#364557); #15094=PLANE('',#364558); #15095=PLANE('',#364562); #15096=PLANE('',#364563); #15097=PLANE('',#364564); #15098=PLANE('',#364565); #15099=PLANE('',#364566); #15100=PLANE('',#364567); #15101=PLANE('',#364571); #15102=PLANE('',#364572); #15103=PLANE('',#364573); #15104=PLANE('',#364574); #15105=PLANE('',#364575); #15106=PLANE('',#364576); #15107=PLANE('',#364577); #15108=PLANE('',#364578); #15109=PLANE('',#364579); #15110=PLANE('',#364580); #15111=PLANE('',#364581); #15112=PLANE('',#364582); #15113=PLANE('',#364583); #15114=PLANE('',#364584); #15115=PLANE('',#364585); #15116=PLANE('',#364586); #15117=PLANE('',#364587); #15118=PLANE('',#364588); #15119=PLANE('',#364589); #15120=PLANE('',#364590); #15121=PLANE('',#364591); #15122=PLANE('',#364592); #15123=PLANE('',#364593); #15124=PLANE('',#364594); #15125=PLANE('',#364595); #15126=PLANE('',#364596); #15127=PLANE('',#364597); #15128=PLANE('',#364598); #15129=PLANE('',#364599); #15130=PLANE('',#364600); #15131=PLANE('',#364601); #15132=PLANE('',#364602); #15133=PLANE('',#364603); #15134=PLANE('',#364604); #15135=PLANE('',#364605); #15136=PLANE('',#364606); #15137=PLANE('',#364607); #15138=PLANE('',#364608); #15139=PLANE('',#364609); #15140=PLANE('',#364610); #15141=PLANE('',#364611); #15142=PLANE('',#364612); #15143=PLANE('',#364613); #15144=PLANE('',#364614); #15145=PLANE('',#364615); #15146=PLANE('',#364616); #15147=PLANE('',#364617); #15148=PLANE('',#364618); #15149=PLANE('',#364619); #15150=PLANE('',#364620); #15151=PLANE('',#364621); #15152=PLANE('',#364622); #15153=PLANE('',#364623); #15154=PLANE('',#364624); #15155=PLANE('',#364625); #15156=PLANE('',#364626); #15157=PLANE('',#364627); #15158=PLANE('',#364628); #15159=PLANE('',#364629); #15160=PLANE('',#364630); #15161=PLANE('',#364631); #15162=PLANE('',#364632); #15163=PLANE('',#364633); #15164=PLANE('',#364634); #15165=PLANE('',#364635); #15166=PLANE('',#364636); #15167=PLANE('',#364637); #15168=PLANE('',#364638); #15169=PLANE('',#364639); #15170=PLANE('',#364640); #15171=PLANE('',#364641); #15172=PLANE('',#364642); #15173=PLANE('',#364661); #15174=PLANE('',#364662); #15175=PLANE('',#364663); #15176=PLANE('',#364664); #15177=PLANE('',#364665); #15178=PLANE('',#364666); #15179=PLANE('',#364667); #15180=PLANE('',#364668); #15181=PLANE('',#364669); #15182=PLANE('',#364670); #15183=PLANE('',#364671); #15184=PLANE('',#364672); #15185=PLANE('',#364673); #15186=PLANE('',#364674); #15187=PLANE('',#364675); #15188=PLANE('',#364676); #15189=PLANE('',#364677); #15190=PLANE('',#364678); #15191=PLANE('',#364679); #15192=PLANE('',#364680); #15193=PLANE('',#364681); #15194=PLANE('',#364682); #15195=PLANE('',#364683); #15196=PLANE('',#364684); #15197=PLANE('',#364703); #15198=PLANE('',#364704); #15199=PLANE('',#364705); #15200=PLANE('',#364706); #15201=PLANE('',#364707); #15202=PLANE('',#364708); #15203=PLANE('',#364709); #15204=PLANE('',#364710); #15205=PLANE('',#364711); #15206=PLANE('',#364712); #15207=PLANE('',#364713); #15208=PLANE('',#364714); #15209=PLANE('',#364715); #15210=PLANE('',#364716); #15211=PLANE('',#364717); #15212=PLANE('',#364718); #15213=PLANE('',#364719); #15214=PLANE('',#364720); #15215=PLANE('',#364721); #15216=PLANE('',#364722); #15217=PLANE('',#364723); #15218=PLANE('',#364724); #15219=PLANE('',#364725); #15220=PLANE('',#364726); #15221=PLANE('',#364727); #15222=PLANE('',#364728); #15223=PLANE('',#364729); #15224=PLANE('',#364730); #15225=PLANE('',#364731); #15226=PLANE('',#364732); #15227=PLANE('',#364733); #15228=PLANE('',#364734); #15229=PLANE('',#364735); #15230=PLANE('',#364736); #15231=PLANE('',#364737); #15232=PLANE('',#364738); #15233=PLANE('',#364739); #15234=PLANE('',#364740); #15235=PLANE('',#364741); #15236=PLANE('',#364742); #15237=PLANE('',#364743); #15238=PLANE('',#364744); #15239=PLANE('',#364745); #15240=PLANE('',#364746); #15241=PLANE('',#364747); #15242=PLANE('',#364748); #15243=PLANE('',#364749); #15244=PLANE('',#364750); #15245=PLANE('',#364751); #15246=PLANE('',#364752); #15247=PLANE('',#364753); #15248=PLANE('',#364754); #15249=PLANE('',#364755); #15250=PLANE('',#364756); #15251=PLANE('',#364757); #15252=PLANE('',#364758); #15253=PLANE('',#364759); #15254=PLANE('',#364760); #15255=PLANE('',#364761); #15256=PLANE('',#364762); #15257=PLANE('',#364763); #15258=PLANE('',#364764); #15259=PLANE('',#364765); #15260=PLANE('',#364766); #15261=PLANE('',#364767); #15262=PLANE('',#364768); #15263=PLANE('',#364769); #15264=PLANE('',#364770); #15265=PLANE('',#364771); #15266=PLANE('',#364772); #15267=PLANE('',#364773); #15268=PLANE('',#364774); #15269=PLANE('',#364775); #15270=PLANE('',#364776); #15271=PLANE('',#364777); #15272=PLANE('',#364778); #15273=PLANE('',#364779); #15274=PLANE('',#364780); #15275=PLANE('',#364781); #15276=PLANE('',#364782); #15277=PLANE('',#364783); #15278=PLANE('',#364784); #15279=PLANE('',#364785); #15280=PLANE('',#364786); #15281=PLANE('',#364787); #15282=PLANE('',#364788); #15283=PLANE('',#364789); #15284=PLANE('',#364790); #15285=PLANE('',#364791); #15286=PLANE('',#364792); #15287=PLANE('',#364793); #15288=PLANE('',#364794); #15289=PLANE('',#364795); #15290=PLANE('',#364796); #15291=PLANE('',#364797); #15292=PLANE('',#364798); #15293=PLANE('',#364799); #15294=PLANE('',#364800); #15295=PLANE('',#364801); #15296=PLANE('',#364802); #15297=PLANE('',#364803); #15298=PLANE('',#364804); #15299=PLANE('',#364805); #15300=PLANE('',#364806); #15301=PLANE('',#364807); #15302=PLANE('',#364808); #15303=PLANE('',#364809); #15304=PLANE('',#364810); #15305=PLANE('',#364811); #15306=PLANE('',#364812); #15307=PLANE('',#364813); #15308=PLANE('',#364814); #15309=PLANE('',#364815); #15310=PLANE('',#364816); #15311=PLANE('',#364817); #15312=PLANE('',#364818); #15313=PLANE('',#364819); #15314=PLANE('',#364820); #15315=PLANE('',#364821); #15316=PLANE('',#364822); #15317=PLANE('',#364823); #15318=PLANE('',#364824); #15319=PLANE('',#364825); #15320=PLANE('',#364826); #15321=PLANE('',#364827); #15322=PLANE('',#364828); #15323=PLANE('',#364829); #15324=PLANE('',#364830); #15325=PLANE('',#364831); #15326=PLANE('',#364832); #15327=PLANE('',#364833); #15328=PLANE('',#364834); #15329=PLANE('',#364835); #15330=PLANE('',#364836); #15331=PLANE('',#364837); #15332=PLANE('',#364838); #15333=PLANE('',#364843); #15334=PLANE('',#364850); #15335=PLANE('',#364851); #15336=PLANE('',#364852); #15337=PLANE('',#364853); #15338=PLANE('',#364854); #15339=PLANE('',#364855); #15340=PLANE('',#364856); #15341=PLANE('',#364857); #15342=PLANE('',#364858); #15343=PLANE('',#364859); #15344=PLANE('',#364860); #15345=PLANE('',#364861); #15346=PLANE('',#364862); #15347=PLANE('',#364863); #15348=PLANE('',#364870); #15349=PLANE('',#364871); #15350=PLANE('',#364872); #15351=PLANE('',#364873); #15352=PLANE('',#364874); #15353=PLANE('',#364875); #15354=PLANE('',#364876); #15355=PLANE('',#364877); #15356=PLANE('',#364878); #15357=PLANE('',#364879); #15358=PLANE('',#364880); #15359=PLANE('',#364881); #15360=PLANE('',#364888); #15361=PLANE('',#364889); #15362=PLANE('',#364890); #15363=PLANE('',#364891); #15364=PLANE('',#364892); #15365=PLANE('',#364893); #15366=PLANE('',#364894); #15367=PLANE('',#364895); #15368=PLANE('',#364896); #15369=PLANE('',#364897); #15370=PLANE('',#364898); #15371=PLANE('',#364899); #15372=PLANE('',#364906); #15373=PLANE('',#364907); #15374=PLANE('',#364908); #15375=PLANE('',#364909); #15376=PLANE('',#364910); #15377=PLANE('',#364911); #15378=PLANE('',#364912); #15379=PLANE('',#364913); #15380=PLANE('',#364914); #15381=PLANE('',#364915); #15382=PLANE('',#364916); #15383=PLANE('',#364917); #15384=PLANE('',#364924); #15385=PLANE('',#364925); #15386=PLANE('',#364926); #15387=PLANE('',#364927); #15388=PLANE('',#364928); #15389=PLANE('',#364929); #15390=PLANE('',#364930); #15391=PLANE('',#364931); #15392=PLANE('',#364932); #15393=PLANE('',#364933); #15394=PLANE('',#364934); #15395=PLANE('',#364935); #15396=PLANE('',#364936); #15397=PLANE('',#364937); #15398=PLANE('',#364938); #15399=PLANE('',#364939); #15400=PLANE('',#364940); #15401=PLANE('',#364941); #15402=PLANE('',#364945); #15403=PLANE('',#364946); #15404=PLANE('',#364947); #15405=PLANE('',#364948); #15406=PLANE('',#364949); #15407=PLANE('',#364953); #15408=PLANE('',#364954); #15409=PLANE('',#364958); #15410=PLANE('',#364959); #15411=PLANE('',#364960); #15412=PLANE('',#364961); #15413=PLANE('',#364962); #15414=PLANE('',#364963); #15415=PLANE('',#364964); #15416=PLANE('',#364968); #15417=PLANE('',#364972); #15418=PLANE('',#364973); #15419=PLANE('',#364974); #15420=PLANE('',#364975); #15421=PLANE('',#364976); #15422=PLANE('',#364977); #15423=PLANE('',#364978); #15424=PLANE('',#364979); #15425=PLANE('',#364983); #15426=PLANE('',#364987); #15427=PLANE('',#364988); #15428=PLANE('',#364989); #15429=PLANE('',#364993); #15430=PLANE('',#364997); #15431=PLANE('',#364998); #15432=PLANE('',#364999); #15433=PLANE('',#365000); #15434=PLANE('',#365001); #15435=PLANE('',#365005); #15436=PLANE('',#365006); #15437=PLANE('',#365007); #15438=PLANE('',#365008); #15439=PLANE('',#365009); #15440=PLANE('',#365010); #15441=PLANE('',#365014); #15442=PLANE('',#365015); #15443=PLANE('',#365016); #15444=PLANE('',#365017); #15445=PLANE('',#365024); #15446=PLANE('',#365031); #15447=PLANE('',#365038); #15448=PLANE('',#365042); #15449=PLANE('',#365043); #15450=PLANE('',#365047); #15451=PLANE('',#365051); #15452=PLANE('',#365052); #15453=PLANE('',#365053); #15454=PLANE('',#365054); #15455=PLANE('',#365055); #15456=PLANE('',#365056); #15457=PLANE('',#365057); #15458=PLANE('',#365061); #15459=PLANE('',#365062); #15460=PLANE('',#365066); #15461=PLANE('',#365067); #15462=PLANE('',#365068); #15463=PLANE('',#365069); #15464=PLANE('',#365070); #15465=PLANE('',#365074); #15466=PLANE('',#365084); #15467=PLANE('',#365085); #15468=PLANE('',#365086); #15469=PLANE('',#365087); #15470=PLANE('',#365088); #15471=PLANE('',#365095); #15472=PLANE('',#365102); #15473=PLANE('',#365103); #15474=PLANE('',#365104); #15475=PLANE('',#365105); #15476=PLANE('',#365106); #15477=PLANE('',#365110); #15478=PLANE('',#365111); #15479=PLANE('',#365115); #15480=PLANE('',#365119); #15481=PLANE('',#365120); #15482=PLANE('',#365121); #15483=PLANE('',#365125); #15484=PLANE('',#365129); #15485=PLANE('',#365136); #15486=PLANE('',#365137); #15487=PLANE('',#365138); #15488=PLANE('',#365142); #15489=PLANE('',#365146); #15490=PLANE('',#365147); #15491=PLANE('',#365148); #15492=PLANE('',#365152); #15493=PLANE('',#365153); #15494=PLANE('',#365157); #15495=PLANE('',#365161); #15496=PLANE('',#365165); #15497=PLANE('',#365169); #15498=PLANE('',#365173); #15499=PLANE('',#365174); #15500=PLANE('',#365181); #15501=PLANE('',#365188); #15502=PLANE('',#365189); #15503=PLANE('',#365196); #15504=PLANE('',#365200); #15505=PLANE('',#365204); #15506=PLANE('',#365205); #15507=PLANE('',#365209); #15508=PLANE('',#365210); #15509=PLANE('',#365211); #15510=PLANE('',#365212); #15511=PLANE('',#365213); #15512=PLANE('',#365217); #15513=PLANE('',#365227); #15514=PLANE('',#365231); #15515=PLANE('',#365232); #15516=PLANE('',#365233); #15517=PLANE('',#365234); #15518=PLANE('',#365235); #15519=PLANE('',#365236); #15520=PLANE('',#365237); #15521=PLANE('',#365238); #15522=PLANE('',#365242); #15523=PLANE('',#365243); #15524=PLANE('',#365244); #15525=PLANE('',#365245); #15526=PLANE('',#365246); #15527=PLANE('',#365250); #15528=PLANE('',#365257); #15529=PLANE('',#365261); #15530=PLANE('',#365262); #15531=PLANE('',#365263); #15532=PLANE('',#365264); #15533=PLANE('',#365265); #15534=PLANE('',#365266); #15535=PLANE('',#365267); #15536=PLANE('',#365268); #15537=PLANE('',#365272); #15538=PLANE('',#365273); #15539=PLANE('',#365274); #15540=PLANE('',#365275); #15541=PLANE('',#365276); #15542=PLANE('',#365277); #15543=PLANE('',#365278); #15544=PLANE('',#365279); #15545=PLANE('',#365283); #15546=PLANE('',#365290); #15547=PLANE('',#365291); #15548=PLANE('',#365298); #15549=PLANE('',#365299); #15550=PLANE('',#365303); #15551=PLANE('',#365304); #15552=PLANE('',#365311); #15553=PLANE('',#365315); #15554=PLANE('',#365316); #15555=PLANE('',#365317); #15556=PLANE('',#365321); #15557=PLANE('',#365322); #15558=PLANE('',#365323); #15559=PLANE('',#365324); #15560=PLANE('',#365325); #15561=PLANE('',#365329); #15562=PLANE('',#365330); #15563=PLANE('',#365334); #15564=PLANE('',#365338); #15565=PLANE('',#365339); #15566=PLANE('',#365340); #15567=PLANE('',#365344); #15568=PLANE('',#365348); #15569=PLANE('',#365352); #15570=PLANE('',#365353); #15571=PLANE('',#365360); #15572=PLANE('',#365364); #15573=PLANE('',#365365); #15574=PLANE('',#365366); #15575=PLANE('',#365367); #15576=PLANE('',#365371); #15577=PLANE('',#365375); #15578=PLANE('',#365376); #15579=PLANE('',#365377); #15580=PLANE('',#365381); #15581=PLANE('',#365382); #15582=PLANE('',#365386); #15583=PLANE('',#365390); #15584=PLANE('',#365394); #15585=PLANE('',#365398); #15586=PLANE('',#365399); #15587=PLANE('',#365403); #15588=PLANE('',#365404); #15589=PLANE('',#365411); #15590=PLANE('',#365418); #15591=PLANE('',#365425); #15592=PLANE('',#365429); #15593=PLANE('',#365430); #15594=PLANE('',#365437); #15595=PLANE('',#365444); #15596=PLANE('',#365451); #15597=PLANE('',#365455); #15598=PLANE('',#365456); #15599=PLANE('',#365463); #15600=PLANE('',#365470); #15601=PLANE('',#365477); #15602=PLANE('',#365481); #15603=PLANE('',#365482); #15604=PLANE('',#365489); #15605=PLANE('',#365493); #15606=PLANE('',#365494); #15607=PLANE('',#365495); #15608=PLANE('',#365502); #15609=PLANE('',#365506); #15610=PLANE('',#365510); #15611=PLANE('',#365514); #15612=PLANE('',#365515); #15613=PLANE('',#365519); #15614=PLANE('',#365520); #15615=PLANE('',#365521); #15616=PLANE('',#365522); #15617=PLANE('',#365523); #15618=PLANE('',#365524); #15619=PLANE('',#365528); #15620=PLANE('',#365529); #15621=PLANE('',#365530); #15622=PLANE('',#365531); #15623=PLANE('',#365532); #15624=PLANE('',#365533); #15625=PLANE('',#365537); #15626=PLANE('',#365538); #15627=PLANE('',#365539); #15628=PLANE('',#365540); #15629=PLANE('',#365541); #15630=PLANE('',#365542); #15631=PLANE('',#365546); #15632=PLANE('',#365550); #15633=PLANE('',#365551); #15634=PLANE('',#365552); #15635=PLANE('',#365553); #15636=PLANE('',#365554); #15637=PLANE('',#365555); #15638=PLANE('',#365556); #15639=PLANE('',#365560); #15640=PLANE('',#365561); #15641=PLANE('',#365568); #15642=PLANE('',#365569); #15643=PLANE('',#365573); #15644=PLANE('',#365574); #15645=PLANE('',#365578); #15646=PLANE('',#365579); #15647=PLANE('',#365586); #15648=PLANE('',#365590); #15649=PLANE('',#365591); #15650=PLANE('',#365595); #15651=PLANE('',#365596); #15652=PLANE('',#365597); #15653=PLANE('',#365598); #15654=PLANE('',#365599); #15655=PLANE('',#365600); #15656=PLANE('',#365604); #15657=PLANE('',#365605); #15658=PLANE('',#365609); #15659=PLANE('',#365613); #15660=PLANE('',#365614); #15661=PLANE('',#365615); #15662=PLANE('',#365619); #15663=PLANE('',#365623); #15664=PLANE('',#365624); #15665=PLANE('',#365628); #15666=PLANE('',#365635); #15667=PLANE('',#365636); #15668=PLANE('',#365640); #15669=PLANE('',#365641); #15670=PLANE('',#365642); #15671=PLANE('',#365646); #15672=PLANE('',#365650); #15673=PLANE('',#365651); #15674=PLANE('',#365652); #15675=PLANE('',#365656); #15676=PLANE('',#365657); #15677=PLANE('',#365661); #15678=PLANE('',#365665); #15679=PLANE('',#365669); #15680=PLANE('',#365673); #15681=PLANE('',#365674); #15682=PLANE('',#365681); #15683=PLANE('',#365685); #15684=PLANE('',#365692); #15685=PLANE('',#365696); #15686=PLANE('',#365697); #15687=PLANE('',#365701); #15688=PLANE('',#365705); #15689=PLANE('',#365706); #15690=PLANE('',#365707); #15691=PLANE('',#365708); #15692=PLANE('',#365709); #15693=PLANE('',#365710); #15694=PLANE('',#365711); #15695=PLANE('',#365715); #15696=PLANE('',#365716); #15697=PLANE('',#365720); #15698=PLANE('',#365724); #15699=PLANE('',#365725); #15700=PLANE('',#365726); #15701=PLANE('',#365727); #15702=PLANE('',#365728); #15703=PLANE('',#365729); #15704=PLANE('',#365730); #15705=PLANE('',#365734); #15706=PLANE('',#365735); #15707=PLANE('',#365739); #15708=PLANE('',#365743); #15709=PLANE('',#365747); #15710=PLANE('',#365751); #15711=PLANE('',#365752); #15712=PLANE('',#365753); #15713=PLANE('',#365754); #15714=PLANE('',#365755); #15715=PLANE('',#365756); #15716=PLANE('',#365757); #15717=PLANE('',#365758); #15718=PLANE('',#365759); #15719=PLANE('',#365766); #15720=PLANE('',#365767); #15721=PLANE('',#365771); #15722=PLANE('',#365772); #15723=PLANE('',#365773); #15724=PLANE('',#365774); #15725=PLANE('',#365775); #15726=PLANE('',#365776); #15727=PLANE('',#365777); #15728=PLANE('',#365778); #15729=PLANE('',#365785); #15730=PLANE('',#365789); #15731=PLANE('',#365793); #15732=PLANE('',#365794); #15733=PLANE('',#365795); #15734=PLANE('',#365799); #15735=PLANE('',#365806); #15736=PLANE('',#365807); #15737=PLANE('',#365811); #15738=PLANE('',#365812); #15739=PLANE('',#365813); #15740=PLANE('',#365814); #15741=PLANE('',#365815); #15742=PLANE('',#365816); #15743=PLANE('',#365817); #15744=PLANE('',#365821); #15745=PLANE('',#365822); #15746=PLANE('',#365829); #15747=PLANE('',#365833); #15748=PLANE('',#365834); #15749=PLANE('',#365838); #15750=PLANE('',#365842); #15751=PLANE('',#365843); #15752=PLANE('',#365844); #15753=PLANE('',#365845); #15754=PLANE('',#365849); #15755=PLANE('',#365850); #15756=PLANE('',#365851); #15757=PLANE('',#365852); #15758=PLANE('',#365856); #15759=PLANE('',#365860); #15760=PLANE('',#365867); #15761=PLANE('',#365868); #15762=PLANE('',#365869); #15763=PLANE('',#365870); #15764=PLANE('',#365871); #15765=PLANE('',#365872); #15766=PLANE('',#365873); #15767=PLANE('',#365874); #15768=PLANE('',#365875); #15769=PLANE('',#365876); #15770=PLANE('',#365877); #15771=PLANE('',#365878); #15772=PLANE('',#365882); #15773=PLANE('',#365883); #15774=PLANE('',#365884); #15775=PLANE('',#365885); #15776=PLANE('',#365886); #15777=PLANE('',#365887); #15778=PLANE('',#365888); #15779=PLANE('',#365889); #15780=PLANE('',#365890); #15781=PLANE('',#365891); #15782=PLANE('',#365892); #15783=PLANE('',#365893); #15784=PLANE('',#365894); #15785=PLANE('',#365895); #15786=PLANE('',#365896); #15787=PLANE('',#365897); #15788=PLANE('',#365898); #15789=PLANE('',#365899); #15790=PLANE('',#365900); #15791=PLANE('',#365901); #15792=PLANE('',#365902); #15793=PLANE('',#365906); #15794=PLANE('',#365907); #15795=PLANE('',#365908); #15796=PLANE('',#365909); #15797=PLANE('',#365913); #15798=PLANE('',#365914); #15799=PLANE('',#365918); #15800=PLANE('',#365922); #15801=PLANE('',#365923); #15802=PLANE('',#365927); #15803=PLANE('',#365928); #15804=PLANE('',#365929); #15805=PLANE('',#365930); #15806=PLANE('',#365931); #15807=PLANE('',#365932); #15808=PLANE('',#365933); #15809=PLANE('',#365934); #15810=PLANE('',#365938); #15811=PLANE('',#365939); #15812=PLANE('',#365940); #15813=PLANE('',#365941); #15814=PLANE('',#365945); #15815=PLANE('',#365946); #15816=PLANE('',#365947); #15817=PLANE('',#365948); #15818=PLANE('',#365949); #15819=PLANE('',#365950); #15820=PLANE('',#365951); #15821=PLANE('',#365952); #15822=PLANE('',#365953); #15823=PLANE('',#365954); #15824=PLANE('',#365955); #15825=PLANE('',#365956); #15826=PLANE('',#365957); #15827=PLANE('',#365958); #15828=PLANE('',#365959); #15829=PLANE('',#365960); #15830=PLANE('',#365964); #15831=PLANE('',#365965); #15832=PLANE('',#365972); #15833=PLANE('',#365976); #15834=PLANE('',#365983); #15835=PLANE('',#365987); #15836=PLANE('',#365988); #15837=PLANE('',#365992); #15838=PLANE('',#365993); #15839=PLANE('',#365994); #15840=PLANE('',#365995); #15841=PLANE('',#365996); #15842=PLANE('',#365997); #15843=PLANE('',#366004); #15844=PLANE('',#366008); #15845=PLANE('',#366012); #15846=PLANE('',#366016); #15847=PLANE('',#366020); #15848=PLANE('',#366024); #15849=PLANE('',#366028); #15850=PLANE('',#366035); #15851=PLANE('',#366036); #15852=PLANE('',#366037); #15853=PLANE('',#366038); #15854=PLANE('',#366039); #15855=PLANE('',#366040); #15856=PLANE('',#366047); #15857=PLANE('',#366048); #15858=PLANE('',#366055); #15859=PLANE('',#366059); #15860=PLANE('',#366066); #15861=PLANE('',#366067); #15862=PLANE('',#366071); #15863=PLANE('',#366072); #15864=PLANE('',#366076); #15865=PLANE('',#366077); #15866=PLANE('',#366078); #15867=PLANE('',#366079); #15868=PLANE('',#366080); #15869=PLANE('',#366081); #15870=PLANE('',#366094); #15871=PLANE('',#366095); #15872=PLANE('',#366096); #15873=PLANE('',#366097); #15874=PLANE('',#366098); #15875=PLANE('',#366099); #15876=PLANE('',#366100); #15877=PLANE('',#366101); #15878=PLANE('',#366102); #15879=PLANE('',#366103); #15880=PLANE('',#366104); #15881=PLANE('',#366105); #15882=PLANE('',#366106); #15883=PLANE('',#366107); #15884=PLANE('',#366108); #15885=PLANE('',#366109); #15886=PLANE('',#366110); #15887=PLANE('',#366111); #15888=PLANE('',#366112); #15889=PLANE('',#366113); #15890=PLANE('',#366114); #15891=PLANE('',#366115); #15892=PLANE('',#366116); #15893=PLANE('',#366117); #15894=PLANE('',#366118); #15895=PLANE('',#366119); #15896=PLANE('',#366120); #15897=PLANE('',#366121); #15898=PLANE('',#366122); #15899=PLANE('',#366123); #15900=PLANE('',#366124); #15901=PLANE('',#366125); #15902=PLANE('',#366126); #15903=PLANE('',#366127); #15904=PLANE('',#366128); #15905=PLANE('',#366129); #15906=PLANE('',#366130); #15907=PLANE('',#366131); #15908=PLANE('',#366132); #15909=PLANE('',#366133); #15910=PLANE('',#366134); #15911=PLANE('',#366135); #15912=PLANE('',#366136); #15913=PLANE('',#366137); #15914=PLANE('',#366138); #15915=PLANE('',#366139); #15916=PLANE('',#366140); #15917=PLANE('',#366141); #15918=PLANE('',#366142); #15919=PLANE('',#366143); #15920=PLANE('',#366144); #15921=PLANE('',#366145); #15922=PLANE('',#366146); #15923=PLANE('',#366147); #15924=PLANE('',#366148); #15925=PLANE('',#366149); #15926=PLANE('',#366150); #15927=PLANE('',#366151); #15928=PLANE('',#366152); #15929=PLANE('',#366153); #15930=PLANE('',#366154); #15931=PLANE('',#366155); #15932=PLANE('',#366156); #15933=PLANE('',#366157); #15934=PLANE('',#366158); #15935=PLANE('',#366159); #15936=PLANE('',#366160); #15937=PLANE('',#366161); #15938=PLANE('',#366162); #15939=PLANE('',#366163); #15940=PLANE('',#366167); #15941=PLANE('',#366168); #15942=PLANE('',#366169); #15943=PLANE('',#366176); #15944=PLANE('',#366180); #15945=PLANE('',#366184); #15946=PLANE('',#366188); #15947=PLANE('',#366189); #15948=PLANE('',#366190); #15949=PLANE('',#366191); #15950=PLANE('',#366192); #15951=PLANE('',#366193); #15952=PLANE('',#366194); #15953=PLANE('',#366195); #15954=PLANE('',#366196); #15955=PLANE('',#366197); #15956=PLANE('',#366201); #15957=PLANE('',#366202); #15958=PLANE('',#366206); #15959=PLANE('',#366207); #15960=PLANE('',#366208); #15961=PLANE('',#366209); #15962=PLANE('',#366210); #15963=PLANE('',#366211); #15964=PLANE('',#366212); #15965=PLANE('',#366213); #15966=PLANE('',#366214); #15967=PLANE('',#366215); #15968=PLANE('',#366216); #15969=PLANE('',#366217); #15970=PLANE('',#366218); #15971=PLANE('',#366219); #15972=PLANE('',#366220); #15973=PLANE('',#366221); #15974=PLANE('',#366222); #15975=PLANE('',#366223); #15976=PLANE('',#366224); #15977=PLANE('',#366225); #15978=PLANE('',#366229); #15979=PLANE('',#366230); #15980=PLANE('',#366231); #15981=PLANE('',#366235); #15982=PLANE('',#366236); #15983=PLANE('',#366240); #15984=PLANE('',#366247); #15985=PLANE('',#366248); #15986=PLANE('',#366252); #15987=PLANE('',#366253); #15988=PLANE('',#366257); #15989=PLANE('',#366261); #15990=PLANE('',#366262); #15991=PLANE('',#366263); #15992=PLANE('',#366264); #15993=PLANE('',#366265); #15994=PLANE('',#366269); #15995=PLANE('',#366270); #15996=PLANE('',#366307); #15997=PLANE('',#366308); #15998=PLANE('',#366309); #15999=PLANE('',#366310); #16000=PLANE('',#366311); #16001=PLANE('',#366312); #16002=PLANE('',#366313); #16003=PLANE('',#366314); #16004=PLANE('',#366315); #16005=PLANE('',#366316); #16006=PLANE('',#366317); #16007=PLANE('',#366318); #16008=PLANE('',#366319); #16009=PLANE('',#366320); #16010=PLANE('',#366321); #16011=PLANE('',#366322); #16012=PLANE('',#366323); #16013=PLANE('',#366324); #16014=PLANE('',#366325); #16015=PLANE('',#366326); #16016=PLANE('',#366327); #16017=PLANE('',#366328); #16018=PLANE('',#366329); #16019=PLANE('',#366330); #16020=PLANE('',#366331); #16021=PLANE('',#366332); #16022=PLANE('',#366333); #16023=PLANE('',#366334); #16024=PLANE('',#366335); #16025=PLANE('',#366336); #16026=PLANE('',#366337); #16027=PLANE('',#366338); #16028=PLANE('',#366339); #16029=PLANE('',#366340); #16030=PLANE('',#366341); #16031=PLANE('',#366342); #16032=PLANE('',#366343); #16033=PLANE('',#366344); #16034=PLANE('',#366345); #16035=PLANE('',#366346); #16036=PLANE('',#366347); #16037=PLANE('',#366348); #16038=PLANE('',#366349); #16039=PLANE('',#366350); #16040=PLANE('',#366351); #16041=PLANE('',#366352); #16042=PLANE('',#366353); #16043=PLANE('',#366354); #16044=PLANE('',#366355); #16045=PLANE('',#366356); #16046=PLANE('',#366357); #16047=PLANE('',#366358); #16048=PLANE('',#366359); #16049=PLANE('',#366360); #16050=PLANE('',#366361); #16051=PLANE('',#366362); #16052=PLANE('',#366363); #16053=PLANE('',#366364); #16054=PLANE('',#366365); #16055=PLANE('',#366366); #16056=PLANE('',#366367); #16057=PLANE('',#366368); #16058=PLANE('',#366369); #16059=PLANE('',#366370); #16060=PLANE('',#366371); #16061=PLANE('',#366372); #16062=PLANE('',#366373); #16063=PLANE('',#366374); #16064=PLANE('',#366375); #16065=PLANE('',#366376); #16066=PLANE('',#366377); #16067=PLANE('',#366378); #16068=PLANE('',#366379); #16069=PLANE('',#366380); #16070=PLANE('',#366381); #16071=PLANE('',#366382); #16072=PLANE('',#366383); #16073=PLANE('',#366384); #16074=PLANE('',#366385); #16075=PLANE('',#366386); #16076=PLANE('',#366387); #16077=PLANE('',#366388); #16078=PLANE('',#366389); #16079=PLANE('',#366390); #16080=PLANE('',#366391); #16081=PLANE('',#366392); #16082=PLANE('',#366393); #16083=PLANE('',#366394); #16084=PLANE('',#366395); #16085=PLANE('',#366396); #16086=PLANE('',#366397); #16087=PLANE('',#366398); #16088=PLANE('',#366399); #16089=PLANE('',#366400); #16090=PLANE('',#366401); #16091=PLANE('',#366402); #16092=PLANE('',#366403); #16093=PLANE('',#366404); #16094=PLANE('',#366405); #16095=PLANE('',#366406); #16096=PLANE('',#366407); #16097=PLANE('',#366408); #16098=PLANE('',#366409); #16099=PLANE('',#366410); #16100=PLANE('',#366411); #16101=PLANE('',#366412); #16102=PLANE('',#366413); #16103=PLANE('',#366414); #16104=PLANE('',#366415); #16105=PLANE('',#366416); #16106=PLANE('',#366417); #16107=PLANE('',#366418); #16108=PLANE('',#366419); #16109=PLANE('',#366420); #16110=PLANE('',#366421); #16111=PLANE('',#366422); #16112=PLANE('',#366423); #16113=PLANE('',#366424); #16114=PLANE('',#366425); #16115=PLANE('',#366426); #16116=PLANE('',#366427); #16117=PLANE('',#366428); #16118=PLANE('',#366429); #16119=PLANE('',#366430); #16120=PLANE('',#366431); #16121=PLANE('',#366432); #16122=PLANE('',#366433); #16123=PLANE('',#366434); #16124=PLANE('',#366435); #16125=PLANE('',#366436); #16126=PLANE('',#366437); #16127=PLANE('',#366438); #16128=PLANE('',#366439); #16129=PLANE('',#366440); #16130=PLANE('',#366441); #16131=PLANE('',#366442); #16132=PLANE('',#366443); #16133=PLANE('',#366444); #16134=PLANE('',#366445); #16135=PLANE('',#366446); #16136=PLANE('',#366447); #16137=PLANE('',#366448); #16138=PLANE('',#366449); #16139=PLANE('',#366450); #16140=PLANE('',#366451); #16141=PLANE('',#366452); #16142=PLANE('',#366453); #16143=PLANE('',#366454); #16144=PLANE('',#366455); #16145=PLANE('',#366456); #16146=PLANE('',#366457); #16147=PLANE('',#366458); #16148=PLANE('',#366459); #16149=PLANE('',#366460); #16150=PLANE('',#366461); #16151=PLANE('',#366462); #16152=PLANE('',#366463); #16153=PLANE('',#366464); #16154=PLANE('',#366465); #16155=PLANE('',#366466); #16156=PLANE('',#366467); #16157=PLANE('',#366468); #16158=PLANE('',#366469); #16159=PLANE('',#366470); #16160=PLANE('',#366471); #16161=PLANE('',#366472); #16162=PLANE('',#366473); #16163=PLANE('',#366474); #16164=PLANE('',#366475); #16165=PLANE('',#366476); #16166=PLANE('',#366477); #16167=PLANE('',#366478); #16168=PLANE('',#366479); #16169=PLANE('',#366480); #16170=PLANE('',#366481); #16171=PLANE('',#366482); #16172=PLANE('',#366483); #16173=PLANE('',#366484); #16174=PLANE('',#366485); #16175=PLANE('',#366486); #16176=PLANE('',#366487); #16177=PLANE('',#366488); #16178=PLANE('',#366489); #16179=PLANE('',#366490); #16180=PLANE('',#366491); #16181=PLANE('',#366492); #16182=PLANE('',#366493); #16183=PLANE('',#366494); #16184=PLANE('',#366495); #16185=PLANE('',#366496); #16186=PLANE('',#366497); #16187=PLANE('',#366498); #16188=PLANE('',#366499); #16189=PLANE('',#366500); #16190=PLANE('',#366501); #16191=PLANE('',#366502); #16192=PLANE('',#366503); #16193=PLANE('',#366504); #16194=PLANE('',#366505); #16195=PLANE('',#366506); #16196=PLANE('',#366507); #16197=PLANE('',#366508); #16198=PLANE('',#366509); #16199=PLANE('',#366510); #16200=PLANE('',#366511); #16201=PLANE('',#366512); #16202=PLANE('',#366513); #16203=PLANE('',#366514); #16204=PLANE('',#366515); #16205=PLANE('',#366516); #16206=PLANE('',#366517); #16207=PLANE('',#366518); #16208=PLANE('',#366519); #16209=PLANE('',#366520); #16210=PLANE('',#366521); #16211=PLANE('',#366522); #16212=PLANE('',#366523); #16213=PLANE('',#366524); #16214=PLANE('',#366525); #16215=PLANE('',#366526); #16216=PLANE('',#366527); #16217=PLANE('',#366528); #16218=PLANE('',#366529); #16219=PLANE('',#366530); #16220=PLANE('',#366531); #16221=PLANE('',#366532); #16222=PLANE('',#366533); #16223=PLANE('',#366534); #16224=PLANE('',#366535); #16225=PLANE('',#366536); #16226=PLANE('',#366537); #16227=PLANE('',#366538); #16228=PLANE('',#366539); #16229=PLANE('',#366540); #16230=PLANE('',#366541); #16231=PLANE('',#366542); #16232=PLANE('',#366543); #16233=PLANE('',#366544); #16234=PLANE('',#366545); #16235=PLANE('',#366546); #16236=PLANE('',#366547); #16237=PLANE('',#366548); #16238=PLANE('',#366549); #16239=PLANE('',#366550); #16240=PLANE('',#366551); #16241=PLANE('',#366552); #16242=PLANE('',#366553); #16243=PLANE('',#366554); #16244=PLANE('',#366555); #16245=PLANE('',#366556); #16246=PLANE('',#366557); #16247=PLANE('',#366558); #16248=PLANE('',#366559); #16249=PLANE('',#366560); #16250=PLANE('',#366561); #16251=PLANE('',#366562); #16252=PLANE('',#366563); #16253=PLANE('',#366564); #16254=PLANE('',#366565); #16255=PLANE('',#366566); #16256=PLANE('',#366567); #16257=PLANE('',#366568); #16258=PLANE('',#366569); #16259=PLANE('',#366570); #16260=PLANE('',#366571); #16261=PLANE('',#366572); #16262=PLANE('',#366573); #16263=PLANE('',#366574); #16264=PLANE('',#366575); #16265=PLANE('',#366576); #16266=PLANE('',#366577); #16267=PLANE('',#366578); #16268=PLANE('',#366579); #16269=PLANE('',#366580); #16270=PLANE('',#366581); #16271=PLANE('',#366582); #16272=PLANE('',#366583); #16273=PLANE('',#366584); #16274=PLANE('',#366585); #16275=PLANE('',#366586); #16276=PLANE('',#366587); #16277=PLANE('',#366588); #16278=PLANE('',#366589); #16279=PLANE('',#366590); #16280=PLANE('',#366591); #16281=PLANE('',#366592); #16282=PLANE('',#366593); #16283=PLANE('',#366594); #16284=PLANE('',#366595); #16285=PLANE('',#366596); #16286=PLANE('',#366597); #16287=PLANE('',#366598); #16288=PLANE('',#366599); #16289=PLANE('',#366600); #16290=PLANE('',#366601); #16291=PLANE('',#366602); #16292=PLANE('',#366603); #16293=PLANE('',#366604); #16294=PLANE('',#366605); #16295=PLANE('',#366606); #16296=PLANE('',#366607); #16297=PLANE('',#366608); #16298=PLANE('',#366609); #16299=PLANE('',#366610); #16300=PLANE('',#366611); #16301=PLANE('',#366612); #16302=PLANE('',#366613); #16303=PLANE('',#366614); #16304=PLANE('',#366615); #16305=PLANE('',#366616); #16306=PLANE('',#366617); #16307=PLANE('',#366618); #16308=PLANE('',#366619); #16309=PLANE('',#366620); #16310=PLANE('',#366621); #16311=PLANE('',#366622); #16312=PLANE('',#366623); #16313=PLANE('',#366624); #16314=PLANE('',#366625); #16315=PLANE('',#366626); #16316=PLANE('',#366627); #16317=PLANE('',#366628); #16318=PLANE('',#366629); #16319=PLANE('',#366630); #16320=PLANE('',#366631); #16321=PLANE('',#366632); #16322=PLANE('',#366633); #16323=PLANE('',#366634); #16324=PLANE('',#366635); #16325=PLANE('',#366636); #16326=PLANE('',#366637); #16327=PLANE('',#366638); #16328=PLANE('',#366831); #16329=PLANE('',#366835); #16330=PLANE('',#366839); #16331=PLANE('',#366843); #16332=PLANE('',#366853); #16333=PLANE('',#366857); #16334=PLANE('',#366876); #16335=PLANE('',#366880); #16336=PLANE('',#366887); #16337=PLANE('',#366891); #16338=PLANE('',#366895); #16339=PLANE('',#366899); #16340=PLANE('',#367059); #16341=PLANE('',#367060); #16342=PLANE('',#367061); #16343=PLANE('',#367062); #16344=PLANE('',#367063); #16345=PLANE('',#367064); #16346=PLANE('',#367065); #16347=PLANE('',#367066); #16348=PLANE('',#367067); #16349=PLANE('',#367068); #16350=PLANE('',#367069); #16351=PLANE('',#367070); #16352=PLANE('',#367071); #16353=PLANE('',#367072); #16354=PLANE('',#367073); #16355=PLANE('',#367074); #16356=PLANE('',#367075); #16357=PLANE('',#367076); #16358=PLANE('',#367077); #16359=PLANE('',#367078); #16360=PLANE('',#367079); #16361=PLANE('',#367080); #16362=PLANE('',#367081); #16363=PLANE('',#367082); #16364=PLANE('',#367083); #16365=PLANE('',#367084); #16366=PLANE('',#367085); #16367=PLANE('',#367086); #16368=PLANE('',#367087); #16369=PLANE('',#367088); #16370=PLANE('',#367089); #16371=PLANE('',#367090); #16372=PLANE('',#367091); #16373=PLANE('',#367092); #16374=PLANE('',#367093); #16375=PLANE('',#367094); #16376=PLANE('',#367095); #16377=PLANE('',#367096); #16378=PLANE('',#367097); #16379=PLANE('',#367098); #16380=PLANE('',#367099); #16381=PLANE('',#367100); #16382=PLANE('',#367101); #16383=PLANE('',#367102); #16384=PLANE('',#367103); #16385=PLANE('',#367104); #16386=PLANE('',#367105); #16387=PLANE('',#367106); #16388=PLANE('',#367107); #16389=PLANE('',#367108); #16390=PLANE('',#367109); #16391=PLANE('',#367110); #16392=PLANE('',#367111); #16393=PLANE('',#367112); #16394=PLANE('',#367113); #16395=PLANE('',#367114); #16396=PLANE('',#367115); #16397=PLANE('',#367116); #16398=PLANE('',#367117); #16399=PLANE('',#367118); #16400=PLANE('',#367119); #16401=PLANE('',#367120); #16402=PLANE('',#367121); #16403=PLANE('',#367122); #16404=PLANE('',#367123); #16405=PLANE('',#367124); #16406=PLANE('',#367125); #16407=PLANE('',#367126); #16408=PLANE('',#367127); #16409=PLANE('',#367128); #16410=PLANE('',#367129); #16411=PLANE('',#367130); #16412=PLANE('',#367131); #16413=PLANE('',#367132); #16414=PLANE('',#367133); #16415=PLANE('',#367134); #16416=PLANE('',#367135); #16417=PLANE('',#367136); #16418=PLANE('',#367137); #16419=PLANE('',#367138); #16420=PLANE('',#367139); #16421=PLANE('',#367140); #16422=PLANE('',#367141); #16423=PLANE('',#367142); #16424=PLANE('',#367143); #16425=PLANE('',#367144); #16426=PLANE('',#367145); #16427=PLANE('',#367146); #16428=PLANE('',#367147); #16429=PLANE('',#367148); #16430=PLANE('',#367149); #16431=PLANE('',#367150); #16432=PLANE('',#367151); #16433=PLANE('',#367152); #16434=PLANE('',#367153); #16435=PLANE('',#367154); #16436=PLANE('',#367155); #16437=PLANE('',#367156); #16438=PLANE('',#367157); #16439=PLANE('',#367158); #16440=PLANE('',#367159); #16441=PLANE('',#367160); #16442=PLANE('',#367161); #16443=PLANE('',#367162); #16444=PLANE('',#367163); #16445=PLANE('',#367164); #16446=PLANE('',#367165); #16447=PLANE('',#367166); #16448=PLANE('',#367167); #16449=PLANE('',#367168); #16450=PLANE('',#367169); #16451=PLANE('',#367170); #16452=PLANE('',#367171); #16453=PLANE('',#367172); #16454=PLANE('',#367173); #16455=PLANE('',#367174); #16456=PLANE('',#367175); #16457=PLANE('',#367176); #16458=PLANE('',#367177); #16459=PLANE('',#367178); #16460=PLANE('',#367179); #16461=PLANE('',#367180); #16462=PLANE('',#367181); #16463=PLANE('',#367182); #16464=PLANE('',#367183); #16465=PLANE('',#367184); #16466=PLANE('',#367185); #16467=PLANE('',#367186); #16468=PLANE('',#367187); #16469=PLANE('',#367188); #16470=PLANE('',#367189); #16471=PLANE('',#367190); #16472=PLANE('',#367191); #16473=PLANE('',#367192); #16474=PLANE('',#367193); #16475=PLANE('',#367194); #16476=PLANE('',#367195); #16477=PLANE('',#367196); #16478=PLANE('',#367197); #16479=PLANE('',#367198); #16480=PLANE('',#367199); #16481=PLANE('',#367200); #16482=PLANE('',#367201); #16483=PLANE('',#367202); #16484=PLANE('',#367203); #16485=PLANE('',#367204); #16486=PLANE('',#367205); #16487=PLANE('',#367206); #16488=PLANE('',#367207); #16489=PLANE('',#367208); #16490=PLANE('',#367209); #16491=PLANE('',#367210); #16492=PLANE('',#367211); #16493=PLANE('',#367212); #16494=PLANE('',#367213); #16495=PLANE('',#367214); #16496=PLANE('',#367215); #16497=PLANE('',#367216); #16498=PLANE('',#367217); #16499=PLANE('',#367218); #16500=PLANE('',#367219); #16501=PLANE('',#367220); #16502=PLANE('',#367221); #16503=PLANE('',#367222); #16504=PLANE('',#367223); #16505=PLANE('',#367224); #16506=PLANE('',#367225); #16507=PLANE('',#367226); #16508=PLANE('',#367227); #16509=PLANE('',#367228); #16510=PLANE('',#367229); #16511=PLANE('',#367230); #16512=PLANE('',#367231); #16513=PLANE('',#367232); #16514=PLANE('',#367233); #16515=PLANE('',#367234); #16516=PLANE('',#367235); #16517=PLANE('',#367236); #16518=PLANE('',#367237); #16519=PLANE('',#367238); #16520=PLANE('',#367239); #16521=PLANE('',#367240); #16522=PLANE('',#367241); #16523=PLANE('',#367242); #16524=PLANE('',#367243); #16525=PLANE('',#367244); #16526=PLANE('',#367245); #16527=PLANE('',#367246); #16528=PLANE('',#367247); #16529=PLANE('',#367248); #16530=PLANE('',#367249); #16531=PLANE('',#367250); #16532=PLANE('',#367251); #16533=PLANE('',#367252); #16534=PLANE('',#367253); #16535=PLANE('',#367254); #16536=PLANE('',#367255); #16537=PLANE('',#367256); #16538=PLANE('',#367257); #16539=PLANE('',#367258); #16540=PLANE('',#367259); #16541=PLANE('',#367260); #16542=PLANE('',#367261); #16543=PLANE('',#367262); #16544=PLANE('',#367263); #16545=PLANE('',#367264); #16546=PLANE('',#367265); #16547=PLANE('',#367266); #16548=PLANE('',#367267); #16549=PLANE('',#367268); #16550=PLANE('',#367269); #16551=PLANE('',#367270); #16552=PLANE('',#367271); #16553=PLANE('',#367272); #16554=PLANE('',#367273); #16555=PLANE('',#367274); #16556=PLANE('',#367275); #16557=PLANE('',#367276); #16558=PLANE('',#367277); #16559=PLANE('',#367278); #16560=PLANE('',#367279); #16561=PLANE('',#367280); #16562=PLANE('',#367281); #16563=PLANE('',#367282); #16564=PLANE('',#367283); #16565=PLANE('',#367284); #16566=PLANE('',#367285); #16567=PLANE('',#367286); #16568=PLANE('',#367287); #16569=PLANE('',#367288); #16570=PLANE('',#367289); #16571=PLANE('',#367290); #16572=PLANE('',#367291); #16573=PLANE('',#367292); #16574=PLANE('',#367293); #16575=PLANE('',#367294); #16576=PLANE('',#367295); #16577=PLANE('',#367296); #16578=PLANE('',#367297); #16579=PLANE('',#367298); #16580=PLANE('',#367299); #16581=PLANE('',#367300); #16582=PLANE('',#367301); #16583=PLANE('',#367302); #16584=PLANE('',#367303); #16585=PLANE('',#367304); #16586=PLANE('',#367305); #16587=PLANE('',#367306); #16588=PLANE('',#367307); #16589=PLANE('',#367308); #16590=PLANE('',#367309); #16591=PLANE('',#367310); #16592=PLANE('',#367311); #16593=PLANE('',#367312); #16594=PLANE('',#367313); #16595=PLANE('',#367314); #16596=PLANE('',#367315); #16597=PLANE('',#367316); #16598=PLANE('',#367317); #16599=PLANE('',#367318); #16600=PLANE('',#367319); #16601=PLANE('',#367320); #16602=PLANE('',#367321); #16603=PLANE('',#367322); #16604=PLANE('',#367323); #16605=PLANE('',#367324); #16606=PLANE('',#367325); #16607=PLANE('',#367326); #16608=PLANE('',#367327); #16609=PLANE('',#367328); #16610=PLANE('',#367329); #16611=PLANE('',#367330); #16612=PLANE('',#367331); #16613=PLANE('',#367332); #16614=PLANE('',#367333); #16615=PLANE('',#367334); #16616=PLANE('',#367335); #16617=PLANE('',#367336); #16618=PLANE('',#367337); #16619=PLANE('',#367338); #16620=PLANE('',#367339); #16621=PLANE('',#367340); #16622=PLANE('',#367341); #16623=PLANE('',#367342); #16624=PLANE('',#367343); #16625=PLANE('',#367344); #16626=PLANE('',#367345); #16627=PLANE('',#367346); #16628=PLANE('',#367347); #16629=PLANE('',#367348); #16630=PLANE('',#367349); #16631=PLANE('',#367350); #16632=PLANE('',#367351); #16633=PLANE('',#367352); #16634=PLANE('',#367353); #16635=PLANE('',#367354); #16636=PLANE('',#367355); #16637=PLANE('',#367356); #16638=PLANE('',#367357); #16639=PLANE('',#367358); #16640=PLANE('',#367359); #16641=PLANE('',#367360); #16642=PLANE('',#367361); #16643=PLANE('',#367362); #16644=PLANE('',#367363); #16645=PLANE('',#367364); #16646=PLANE('',#367365); #16647=PLANE('',#367366); #16648=PLANE('',#367367); #16649=PLANE('',#367368); #16650=PLANE('',#367369); #16651=PLANE('',#367370); #16652=PLANE('',#367371); #16653=PLANE('',#367372); #16654=PLANE('',#367373); #16655=PLANE('',#367374); #16656=PLANE('',#367375); #16657=PLANE('',#367376); #16658=PLANE('',#367377); #16659=PLANE('',#367378); #16660=PLANE('',#367379); #16661=PLANE('',#367380); #16662=PLANE('',#367381); #16663=PLANE('',#367382); #16664=PLANE('',#367383); #16665=PLANE('',#367384); #16666=PLANE('',#367385); #16667=PLANE('',#367386); #16668=PLANE('',#367387); #16669=PLANE('',#367388); #16670=PLANE('',#367389); #16671=PLANE('',#367390); #16672=PLANE('',#367391); #16673=PLANE('',#367392); #16674=PLANE('',#367393); #16675=PLANE('',#367394); #16676=PLANE('',#367395); #16677=PLANE('',#367396); #16678=PLANE('',#367397); #16679=PLANE('',#367398); #16680=PLANE('',#367399); #16681=PLANE('',#367400); #16682=PLANE('',#367401); #16683=PLANE('',#367402); #16684=PLANE('',#367403); #16685=PLANE('',#367404); #16686=PLANE('',#367405); #16687=PLANE('',#367406); #16688=PLANE('',#367407); #16689=PLANE('',#367408); #16690=PLANE('',#367409); #16691=PLANE('',#367410); #16692=PLANE('',#367411); #16693=PLANE('',#367412); #16694=PLANE('',#367413); #16695=PLANE('',#367414); #16696=PLANE('',#367415); #16697=PLANE('',#367416); #16698=PLANE('',#367417); #16699=PLANE('',#367418); #16700=PLANE('',#367419); #16701=PLANE('',#367420); #16702=PLANE('',#367421); #16703=PLANE('',#367422); #16704=PLANE('',#367423); #16705=PLANE('',#367424); #16706=PLANE('',#367425); #16707=PLANE('',#367426); #16708=PLANE('',#367427); #16709=PLANE('',#367428); #16710=PLANE('',#367429); #16711=PLANE('',#367430); #16712=PLANE('',#367431); #16713=PLANE('',#367432); #16714=PLANE('',#367433); #16715=PLANE('',#367434); #16716=PLANE('',#367435); #16717=PLANE('',#367436); #16718=PLANE('',#367437); #16719=PLANE('',#367438); #16720=PLANE('',#367439); #16721=PLANE('',#367440); #16722=PLANE('',#367441); #16723=PLANE('',#367442); #16724=PLANE('',#367443); #16725=PLANE('',#367444); #16726=PLANE('',#367445); #16727=PLANE('',#367446); #16728=PLANE('',#367447); #16729=PLANE('',#367448); #16730=PLANE('',#367449); #16731=PLANE('',#367450); #16732=PLANE('',#367451); #16733=PLANE('',#367452); #16734=PLANE('',#367453); #16735=PLANE('',#367454); #16736=PLANE('',#367455); #16737=PLANE('',#367456); #16738=PLANE('',#367457); #16739=PLANE('',#367458); #16740=PLANE('',#367459); #16741=PLANE('',#367460); #16742=PLANE('',#367461); #16743=PLANE('',#367462); #16744=PLANE('',#367463); #16745=PLANE('',#367464); #16746=PLANE('',#367465); #16747=PLANE('',#367466); #16748=PLANE('',#367467); #16749=PLANE('',#367468); #16750=PLANE('',#367469); #16751=PLANE('',#367470); #16752=PLANE('',#367471); #16753=PLANE('',#367472); #16754=PLANE('',#367473); #16755=PLANE('',#367474); #16756=PLANE('',#367475); #16757=PLANE('',#367476); #16758=PLANE('',#367477); #16759=PLANE('',#367478); #16760=PLANE('',#367479); #16761=PLANE('',#367480); #16762=PLANE('',#367481); #16763=PLANE('',#367482); #16764=PLANE('',#367483); #16765=PLANE('',#367484); #16766=PLANE('',#367485); #16767=PLANE('',#367486); #16768=PLANE('',#367487); #16769=PLANE('',#367488); #16770=PLANE('',#367489); #16771=PLANE('',#367490); #16772=PLANE('',#367491); #16773=PLANE('',#367492); #16774=PLANE('',#367493); #16775=PLANE('',#367494); #16776=PLANE('',#367495); #16777=PLANE('',#367496); #16778=PLANE('',#367497); #16779=PLANE('',#367498); #16780=PLANE('',#367499); #16781=PLANE('',#367500); #16782=PLANE('',#367501); #16783=PLANE('',#367502); #16784=PLANE('',#367503); #16785=PLANE('',#367504); #16786=PLANE('',#367505); #16787=PLANE('',#367506); #16788=PLANE('',#367507); #16789=PLANE('',#367508); #16790=PLANE('',#367509); #16791=PLANE('',#367510); #16792=PLANE('',#367511); #16793=PLANE('',#367512); #16794=PLANE('',#367513); #16795=PLANE('',#367514); #16796=PLANE('',#367515); #16797=PLANE('',#367516); #16798=PLANE('',#367517); #16799=PLANE('',#367518); #16800=PLANE('',#367519); #16801=PLANE('',#367520); #16802=PLANE('',#367521); #16803=PLANE('',#367522); #16804=PLANE('',#367523); #16805=PLANE('',#367524); #16806=PLANE('',#367525); #16807=PLANE('',#367526); #16808=PLANE('',#367527); #16809=PLANE('',#367528); #16810=PLANE('',#367529); #16811=PLANE('',#367530); #16812=PLANE('',#367531); #16813=PLANE('',#367532); #16814=PLANE('',#367533); #16815=PLANE('',#367534); #16816=PLANE('',#367535); #16817=PLANE('',#367536); #16818=PLANE('',#367537); #16819=PLANE('',#367538); #16820=PLANE('',#367539); #16821=PLANE('',#367540); #16822=PLANE('',#367541); #16823=PLANE('',#367542); #16824=PLANE('',#367543); #16825=PLANE('',#367544); #16826=PLANE('',#367545); #16827=PLANE('',#367546); #16828=PLANE('',#367547); #16829=PLANE('',#367548); #16830=PLANE('',#367549); #16831=PLANE('',#367550); #16832=PLANE('',#367551); #16833=PLANE('',#367552); #16834=PLANE('',#367553); #16835=PLANE('',#367554); #16836=PLANE('',#367555); #16837=PLANE('',#367556); #16838=PLANE('',#367557); #16839=PLANE('',#367558); #16840=PLANE('',#367559); #16841=PLANE('',#367560); #16842=PLANE('',#367561); #16843=PLANE('',#367562); #16844=PLANE('',#367563); #16845=PLANE('',#367564); #16846=PLANE('',#367565); #16847=PLANE('',#367566); #16848=PLANE('',#367567); #16849=PLANE('',#367568); #16850=PLANE('',#367569); #16851=PLANE('',#367570); #16852=PLANE('',#367571); #16853=PLANE('',#367572); #16854=PLANE('',#367573); #16855=PLANE('',#367574); #16856=PLANE('',#367575); #16857=PLANE('',#367576); #16858=PLANE('',#367577); #16859=PLANE('',#367578); #16860=PLANE('',#367579); #16861=PLANE('',#367580); #16862=PLANE('',#367581); #16863=PLANE('',#367582); #16864=PLANE('',#367583); #16865=PLANE('',#367584); #16866=PLANE('',#367585); #16867=PLANE('',#367586); #16868=PLANE('',#367587); #16869=PLANE('',#367588); #16870=PLANE('',#367589); #16871=PLANE('',#367590); #16872=PLANE('',#367591); #16873=PLANE('',#367592); #16874=PLANE('',#367593); #16875=PLANE('',#367594); #16876=PLANE('',#367595); #16877=PLANE('',#367596); #16878=PLANE('',#367597); #16879=PLANE('',#367598); #16880=PLANE('',#367599); #16881=PLANE('',#367600); #16882=PLANE('',#367601); #16883=PLANE('',#367602); #16884=PLANE('',#367603); #16885=PLANE('',#367604); #16886=PLANE('',#367605); #16887=PLANE('',#367606); #16888=PLANE('',#367607); #16889=PLANE('',#367608); #16890=PLANE('',#367609); #16891=PLANE('',#367610); #16892=PLANE('',#367611); #16893=PLANE('',#367612); #16894=PLANE('',#367613); #16895=PLANE('',#367614); #16896=PLANE('',#367615); #16897=PLANE('',#367616); #16898=PLANE('',#367617); #16899=PLANE('',#367618); #16900=PLANE('',#367619); #16901=PLANE('',#367620); #16902=PLANE('',#367621); #16903=PLANE('',#367622); #16904=PLANE('',#367623); #16905=PLANE('',#367624); #16906=PLANE('',#367625); #16907=PLANE('',#367626); #16908=PLANE('',#367627); #16909=PLANE('',#367628); #16910=PLANE('',#367629); #16911=PLANE('',#367630); #16912=PLANE('',#367631); #16913=PLANE('',#367632); #16914=PLANE('',#367633); #16915=PLANE('',#367634); #16916=PLANE('',#367635); #16917=PLANE('',#367636); #16918=PLANE('',#367637); #16919=PLANE('',#367638); #16920=PLANE('',#367639); #16921=PLANE('',#367640); #16922=PLANE('',#367641); #16923=PLANE('',#367642); #16924=PLANE('',#367643); #16925=PLANE('',#367644); #16926=PLANE('',#367645); #16927=PLANE('',#367646); #16928=PLANE('',#367647); #16929=PLANE('',#367648); #16930=PLANE('',#367649); #16931=PLANE('',#367650); #16932=PLANE('',#367651); #16933=PLANE('',#367652); #16934=PLANE('',#367653); #16935=PLANE('',#367654); #16936=PLANE('',#367655); #16937=PLANE('',#367656); #16938=PLANE('',#367657); #16939=PLANE('',#367658); #16940=PLANE('',#367659); #16941=PLANE('',#367660); #16942=PLANE('',#367661); #16943=PLANE('',#367662); #16944=PLANE('',#367663); #16945=PLANE('',#367664); #16946=PLANE('',#367665); #16947=PLANE('',#367666); #16948=PLANE('',#367667); #16949=PLANE('',#367668); #16950=PLANE('',#367669); #16951=PLANE('',#367670); #16952=PLANE('',#367671); #16953=PLANE('',#367672); #16954=PLANE('',#367673); #16955=PLANE('',#367674); #16956=PLANE('',#367675); #16957=PLANE('',#367676); #16958=PLANE('',#367677); #16959=PLANE('',#367678); #16960=PLANE('',#367679); #16961=PLANE('',#367680); #16962=PLANE('',#367681); #16963=PLANE('',#367682); #16964=PLANE('',#367683); #16965=PLANE('',#367684); #16966=PLANE('',#367685); #16967=PLANE('',#367686); #16968=PLANE('',#367687); #16969=PLANE('',#367688); #16970=PLANE('',#367689); #16971=PLANE('',#367690); #16972=PLANE('',#367691); #16973=PLANE('',#367692); #16974=PLANE('',#367693); #16975=PLANE('',#367694); #16976=PLANE('',#367695); #16977=PLANE('',#367696); #16978=PLANE('',#367697); #16979=PLANE('',#367698); #16980=PLANE('',#367699); #16981=PLANE('',#367700); #16982=PLANE('',#367701); #16983=PLANE('',#367702); #16984=PLANE('',#367703); #16985=PLANE('',#367704); #16986=PLANE('',#367705); #16987=PLANE('',#367706); #16988=PLANE('',#367707); #16989=PLANE('',#367708); #16990=PLANE('',#367709); #16991=PLANE('',#367710); #16992=PLANE('',#367711); #16993=PLANE('',#367712); #16994=PLANE('',#367713); #16995=PLANE('',#367714); #16996=PLANE('',#367715); #16997=PLANE('',#367716); #16998=PLANE('',#367717); #16999=PLANE('',#367718); #17000=PLANE('',#367719); #17001=PLANE('',#367720); #17002=PLANE('',#367721); #17003=PLANE('',#367722); #17004=PLANE('',#367723); #17005=PLANE('',#367724); #17006=PLANE('',#367725); #17007=PLANE('',#367726); #17008=PLANE('',#367727); #17009=PLANE('',#367728); #17010=PLANE('',#367729); #17011=PLANE('',#367730); #17012=PLANE('',#367731); #17013=PLANE('',#367732); #17014=PLANE('',#367733); #17015=PLANE('',#367734); #17016=PLANE('',#367735); #17017=PLANE('',#367736); #17018=PLANE('',#367737); #17019=PLANE('',#367738); #17020=PLANE('',#367739); #17021=PLANE('',#367740); #17022=PLANE('',#367741); #17023=PLANE('',#367742); #17024=PLANE('',#367743); #17025=PLANE('',#367744); #17026=PLANE('',#367745); #17027=PLANE('',#367746); #17028=PLANE('',#367747); #17029=PLANE('',#367748); #17030=PLANE('',#367749); #17031=PLANE('',#367750); #17032=PLANE('',#367751); #17033=PLANE('',#367752); #17034=PLANE('',#367753); #17035=PLANE('',#367754); #17036=PLANE('',#367755); #17037=PLANE('',#367756); #17038=PLANE('',#367757); #17039=PLANE('',#367758); #17040=PLANE('',#367759); #17041=PLANE('',#367760); #17042=PLANE('',#367761); #17043=PLANE('',#367762); #17044=PLANE('',#367763); #17045=PLANE('',#367764); #17046=PLANE('',#367765); #17047=PLANE('',#367766); #17048=PLANE('',#367767); #17049=PLANE('',#367768); #17050=PLANE('',#367769); #17051=PLANE('',#367770); #17052=PLANE('',#367771); #17053=PLANE('',#367772); #17054=PLANE('',#367773); #17055=PLANE('',#367774); #17056=PLANE('',#367775); #17057=PLANE('',#367776); #17058=PLANE('',#367777); #17059=PLANE('',#367778); #17060=PLANE('',#367779); #17061=PLANE('',#367780); #17062=PLANE('',#367781); #17063=PLANE('',#367782); #17064=PLANE('',#367783); #17065=PLANE('',#367784); #17066=PLANE('',#367785); #17067=PLANE('',#367786); #17068=PLANE('',#367787); #17069=PLANE('',#367788); #17070=PLANE('',#367789); #17071=PLANE('',#367790); #17072=PLANE('',#367791); #17073=PLANE('',#367792); #17074=PLANE('',#367793); #17075=PLANE('',#367794); #17076=PLANE('',#367795); #17077=PLANE('',#367796); #17078=PLANE('',#367797); #17079=PLANE('',#367798); #17080=PLANE('',#367799); #17081=PLANE('',#367800); #17082=PLANE('',#367801); #17083=PLANE('',#367802); #17084=PLANE('',#367803); #17085=PLANE('',#367804); #17086=PLANE('',#367805); #17087=PLANE('',#367806); #17088=PLANE('',#367807); #17089=PLANE('',#367808); #17090=PLANE('',#367809); #17091=PLANE('',#367810); #17092=PLANE('',#367811); #17093=PLANE('',#367812); #17094=PLANE('',#367813); #17095=PLANE('',#367814); #17096=PLANE('',#367815); #17097=PLANE('',#367816); #17098=PLANE('',#367817); #17099=PLANE('',#367818); #17100=PLANE('',#367819); #17101=PLANE('',#367820); #17102=PLANE('',#367821); #17103=PLANE('',#367822); #17104=PLANE('',#367823); #17105=PLANE('',#367824); #17106=PLANE('',#367825); #17107=PLANE('',#367826); #17108=PLANE('',#367827); #17109=PLANE('',#367828); #17110=PLANE('',#367829); #17111=PLANE('',#367830); #17112=PLANE('',#367831); #17113=PLANE('',#367832); #17114=PLANE('',#367833); #17115=PLANE('',#367834); #17116=PLANE('',#367835); #17117=PLANE('',#367836); #17118=PLANE('',#367837); #17119=PLANE('',#367838); #17120=PLANE('',#367839); #17121=PLANE('',#367840); #17122=PLANE('',#367841); #17123=PLANE('',#367842); #17124=PLANE('',#367843); #17125=PLANE('',#367844); #17126=PLANE('',#367845); #17127=PLANE('',#367846); #17128=PLANE('',#367847); #17129=PLANE('',#367848); #17130=PLANE('',#367849); #17131=PLANE('',#367850); #17132=PLANE('',#367851); #17133=PLANE('',#367852); #17134=PLANE('',#367853); #17135=PLANE('',#367854); #17136=PLANE('',#367855); #17137=PLANE('',#367856); #17138=PLANE('',#367857); #17139=PLANE('',#367858); #17140=PLANE('',#367859); #17141=PLANE('',#367860); #17142=PLANE('',#367861); #17143=PLANE('',#367862); #17144=PLANE('',#367863); #17145=PLANE('',#367864); #17146=PLANE('',#367865); #17147=PLANE('',#367866); #17148=PLANE('',#367867); #17149=PLANE('',#367868); #17150=PLANE('',#367869); #17151=PLANE('',#367870); #17152=PLANE('',#367871); #17153=PLANE('',#367872); #17154=PLANE('',#367873); #17155=PLANE('',#367874); #17156=PLANE('',#367875); #17157=PLANE('',#367876); #17158=PLANE('',#367877); #17159=PLANE('',#367878); #17160=PLANE('',#367879); #17161=PLANE('',#367880); #17162=PLANE('',#367881); #17163=PLANE('',#367882); #17164=PLANE('',#367883); #17165=PLANE('',#367884); #17166=PLANE('',#367885); #17167=PLANE('',#367886); #17168=PLANE('',#367887); #17169=PLANE('',#367888); #17170=PLANE('',#367889); #17171=PLANE('',#367890); #17172=PLANE('',#367891); #17173=PLANE('',#367892); #17174=PLANE('',#367893); #17175=PLANE('',#367894); #17176=PLANE('',#367895); #17177=PLANE('',#367896); #17178=PLANE('',#367897); #17179=PLANE('',#367898); #17180=PLANE('',#367899); #17181=PLANE('',#367900); #17182=PLANE('',#367901); #17183=PLANE('',#367902); #17184=PLANE('',#367903); #17185=PLANE('',#367904); #17186=PLANE('',#367905); #17187=PLANE('',#367906); #17188=PLANE('',#367907); #17189=PLANE('',#367908); #17190=PLANE('',#367909); #17191=PLANE('',#367910); #17192=PLANE('',#367911); #17193=PLANE('',#367912); #17194=PLANE('',#367913); #17195=PLANE('',#367914); #17196=PLANE('',#367915); #17197=PLANE('',#367916); #17198=PLANE('',#367917); #17199=PLANE('',#367918); #17200=PLANE('',#367919); #17201=PLANE('',#367920); #17202=PLANE('',#367921); #17203=PLANE('',#367922); #17204=PLANE('',#367923); #17205=PLANE('',#367924); #17206=PLANE('',#367925); #17207=PLANE('',#367926); #17208=PLANE('',#367927); #17209=PLANE('',#367928); #17210=PLANE('',#367929); #17211=PLANE('',#367930); #17212=PLANE('',#367931); #17213=PLANE('',#367932); #17214=PLANE('',#367933); #17215=PLANE('',#367934); #17216=PLANE('',#367935); #17217=PLANE('',#367936); #17218=PLANE('',#367937); #17219=PLANE('',#367938); #17220=PLANE('',#367939); #17221=PLANE('',#367940); #17222=PLANE('',#367941); #17223=PLANE('',#367942); #17224=PLANE('',#367943); #17225=PLANE('',#367944); #17226=PLANE('',#367945); #17227=PLANE('',#367946); #17228=PLANE('',#367947); #17229=PLANE('',#367948); #17230=PLANE('',#367949); #17231=PLANE('',#367950); #17232=PLANE('',#367951); #17233=PLANE('',#367952); #17234=PLANE('',#367953); #17235=PLANE('',#367954); #17236=PLANE('',#367955); #17237=PLANE('',#367956); #17238=PLANE('',#367957); #17239=PLANE('',#367958); #17240=PLANE('',#367959); #17241=PLANE('',#367960); #17242=PLANE('',#367961); #17243=PLANE('',#367962); #17244=PLANE('',#367963); #17245=PLANE('',#367964); #17246=PLANE('',#367965); #17247=PLANE('',#367966); #17248=PLANE('',#367967); #17249=PLANE('',#367968); #17250=PLANE('',#367969); #17251=PLANE('',#367970); #17252=PLANE('',#367971); #17253=PLANE('',#367972); #17254=PLANE('',#367973); #17255=PLANE('',#367974); #17256=PLANE('',#367975); #17257=PLANE('',#367976); #17258=PLANE('',#367977); #17259=PLANE('',#367978); #17260=PLANE('',#367979); #17261=PLANE('',#367980); #17262=PLANE('',#367981); #17263=PLANE('',#367982); #17264=PLANE('',#367983); #17265=PLANE('',#367984); #17266=PLANE('',#367985); #17267=PLANE('',#367986); #17268=PLANE('',#367987); #17269=PLANE('',#367988); #17270=PLANE('',#367989); #17271=PLANE('',#367990); #17272=PLANE('',#367991); #17273=PLANE('',#367992); #17274=PLANE('',#367993); #17275=PLANE('',#367994); #17276=PLANE('',#367995); #17277=PLANE('',#367996); #17278=PLANE('',#367997); #17279=PLANE('',#367998); #17280=PLANE('',#367999); #17281=PLANE('',#368000); #17282=PLANE('',#368001); #17283=PLANE('',#368002); #17284=PLANE('',#368003); #17285=PLANE('',#368004); #17286=PLANE('',#368005); #17287=PLANE('',#368006); #17288=PLANE('',#368007); #17289=PLANE('',#368008); #17290=PLANE('',#368009); #17291=PLANE('',#368010); #17292=PLANE('',#368011); #17293=PLANE('',#368012); #17294=PLANE('',#368013); #17295=PLANE('',#368014); #17296=PLANE('',#368015); #17297=PLANE('',#368016); #17298=PLANE('',#368017); #17299=PLANE('',#368018); #17300=PLANE('',#368019); #17301=PLANE('',#368020); #17302=PLANE('',#368021); #17303=PLANE('',#368022); #17304=PLANE('',#368023); #17305=PLANE('',#368024); #17306=PLANE('',#368025); #17307=PLANE('',#368026); #17308=PLANE('',#368027); #17309=PLANE('',#368028); #17310=PLANE('',#368029); #17311=PLANE('',#368030); #17312=PLANE('',#368031); #17313=PLANE('',#368032); #17314=PLANE('',#368033); #17315=PLANE('',#368034); #17316=PLANE('',#368035); #17317=PLANE('',#368036); #17318=PLANE('',#368037); #17319=PLANE('',#368038); #17320=PLANE('',#368039); #17321=PLANE('',#368040); #17322=PLANE('',#368041); #17323=PLANE('',#368042); #17324=PLANE('',#368043); #17325=PLANE('',#368044); #17326=PLANE('',#368045); #17327=PLANE('',#368046); #17328=PLANE('',#368047); #17329=PLANE('',#368048); #17330=PLANE('',#368049); #17331=PLANE('',#368050); #17332=PLANE('',#368051); #17333=PLANE('',#368052); #17334=PLANE('',#368053); #17335=PLANE('',#368054); #17336=PLANE('',#368055); #17337=PLANE('',#368056); #17338=PLANE('',#368057); #17339=PLANE('',#368058); #17340=PLANE('',#368059); #17341=PLANE('',#368060); #17342=PLANE('',#368061); #17343=PLANE('',#368062); #17344=PLANE('',#368063); #17345=PLANE('',#368064); #17346=PLANE('',#368065); #17347=PLANE('',#368066); #17348=PLANE('',#368067); #17349=PLANE('',#368068); #17350=PLANE('',#368069); #17351=PLANE('',#368070); #17352=PLANE('',#368071); #17353=PLANE('',#368072); #17354=PLANE('',#368073); #17355=PLANE('',#368074); #17356=PLANE('',#368075); #17357=PLANE('',#368076); #17358=PLANE('',#368077); #17359=PLANE('',#368078); #17360=PLANE('',#368079); #17361=PLANE('',#368080); #17362=PLANE('',#368081); #17363=PLANE('',#368082); #17364=PLANE('',#368083); #17365=PLANE('',#368084); #17366=PLANE('',#368085); #17367=PLANE('',#368086); #17368=PLANE('',#368087); #17369=PLANE('',#368088); #17370=PLANE('',#368089); #17371=PLANE('',#368090); #17372=PLANE('',#368091); #17373=PLANE('',#368092); #17374=PLANE('',#368093); #17375=PLANE('',#368094); #17376=PLANE('',#368095); #17377=PLANE('',#368096); #17378=PLANE('',#368097); #17379=PLANE('',#368098); #17380=PLANE('',#368099); #17381=PLANE('',#368100); #17382=PLANE('',#368101); #17383=PLANE('',#368102); #17384=PLANE('',#368103); #17385=PLANE('',#368104); #17386=PLANE('',#368105); #17387=PLANE('',#368106); #17388=PLANE('',#368107); #17389=PLANE('',#368108); #17390=PLANE('',#368109); #17391=PLANE('',#368110); #17392=PLANE('',#368111); #17393=PLANE('',#368112); #17394=PLANE('',#368113); #17395=PLANE('',#368114); #17396=PLANE('',#368115); #17397=PLANE('',#368116); #17398=PLANE('',#368117); #17399=PLANE('',#368118); #17400=PLANE('',#368119); #17401=PLANE('',#368120); #17402=PLANE('',#368121); #17403=PLANE('',#368122); #17404=PLANE('',#368123); #17405=PLANE('',#368124); #17406=PLANE('',#368125); #17407=PLANE('',#368126); #17408=PLANE('',#368127); #17409=PLANE('',#368128); #17410=PLANE('',#368129); #17411=PLANE('',#368130); #17412=PLANE('',#368131); #17413=PLANE('',#368132); #17414=PLANE('',#368133); #17415=PLANE('',#368134); #17416=PLANE('',#368135); #17417=PLANE('',#368136); #17418=PLANE('',#368137); #17419=PLANE('',#368138); #17420=PLANE('',#368139); #17421=PLANE('',#368140); #17422=PLANE('',#368141); #17423=PLANE('',#368142); #17424=PLANE('',#368143); #17425=PLANE('',#368144); #17426=PLANE('',#368145); #17427=PLANE('',#368146); #17428=PLANE('',#368147); #17429=PLANE('',#368148); #17430=PLANE('',#368149); #17431=PLANE('',#368150); #17432=PLANE('',#368151); #17433=PLANE('',#368152); #17434=PLANE('',#368153); #17435=PLANE('',#368154); #17436=PLANE('',#368155); #17437=PLANE('',#368156); #17438=PLANE('',#368157); #17439=PLANE('',#368158); #17440=PLANE('',#368159); #17441=PLANE('',#368160); #17442=PLANE('',#368161); #17443=PLANE('',#368162); #17444=PLANE('',#368163); #17445=PLANE('',#368164); #17446=PLANE('',#368165); #17447=PLANE('',#368166); #17448=PLANE('',#368167); #17449=PLANE('',#368168); #17450=PLANE('',#368169); #17451=PLANE('',#368170); #17452=PLANE('',#368171); #17453=PLANE('',#368172); #17454=PLANE('',#368173); #17455=PLANE('',#368174); #17456=PLANE('',#368175); #17457=PLANE('',#368176); #17458=PLANE('',#368177); #17459=PLANE('',#368178); #17460=PLANE('',#368179); #17461=PLANE('',#368180); #17462=PLANE('',#368181); #17463=PLANE('',#368182); #17464=PLANE('',#368183); #17465=PLANE('',#368184); #17466=PLANE('',#368185); #17467=PLANE('',#368186); #17468=PLANE('',#368187); #17469=PLANE('',#368188); #17470=PLANE('',#368189); #17471=PLANE('',#368190); #17472=PLANE('',#368191); #17473=PLANE('',#368192); #17474=PLANE('',#368193); #17475=PLANE('',#368194); #17476=PLANE('',#368195); #17477=PLANE('',#368196); #17478=PLANE('',#368197); #17479=PLANE('',#368198); #17480=PLANE('',#368199); #17481=PLANE('',#368200); #17482=PLANE('',#368201); #17483=PLANE('',#368202); #17484=PLANE('',#368203); #17485=PLANE('',#368204); #17486=PLANE('',#368205); #17487=PLANE('',#368206); #17488=PLANE('',#368207); #17489=PLANE('',#368208); #17490=PLANE('',#368209); #17491=PLANE('',#368210); #17492=PLANE('',#368211); #17493=PLANE('',#368212); #17494=PLANE('',#368213); #17495=PLANE('',#368214); #17496=PLANE('',#368215); #17497=PLANE('',#368216); #17498=PLANE('',#368217); #17499=PLANE('',#368218); #17500=PLANE('',#368219); #17501=PLANE('',#368220); #17502=PLANE('',#368221); #17503=PLANE('',#368222); #17504=PLANE('',#368223); #17505=PLANE('',#368224); #17506=PLANE('',#368225); #17507=PLANE('',#368226); #17508=PLANE('',#368227); #17509=PLANE('',#368228); #17510=PLANE('',#368229); #17511=PLANE('',#368230); #17512=PLANE('',#368231); #17513=PLANE('',#368232); #17514=PLANE('',#368233); #17515=PLANE('',#368234); #17516=PLANE('',#368235); #17517=PLANE('',#368236); #17518=PLANE('',#368237); #17519=PLANE('',#368238); #17520=PLANE('',#368239); #17521=PLANE('',#368240); #17522=PLANE('',#368241); #17523=PLANE('',#368242); #17524=PLANE('',#368243); #17525=PLANE('',#368244); #17526=PLANE('',#368245); #17527=PLANE('',#368246); #17528=PLANE('',#368247); #17529=PLANE('',#368248); #17530=PLANE('',#368249); #17531=PLANE('',#368250); #17532=PLANE('',#368251); #17533=PLANE('',#368252); #17534=PLANE('',#368253); #17535=PLANE('',#368254); #17536=PLANE('',#368255); #17537=PLANE('',#368256); #17538=PLANE('',#368257); #17539=PLANE('',#368258); #17540=PLANE('',#368259); #17541=PLANE('',#368260); #17542=PLANE('',#368261); #17543=PLANE('',#368262); #17544=PLANE('',#368263); #17545=PLANE('',#368264); #17546=PLANE('',#368265); #17547=PLANE('',#368266); #17548=PLANE('',#368267); #17549=PLANE('',#368268); #17550=PLANE('',#368269); #17551=PLANE('',#368270); #17552=PLANE('',#368271); #17553=PLANE('',#368272); #17554=PLANE('',#368273); #17555=PLANE('',#368274); #17556=PLANE('',#368275); #17557=PLANE('',#368276); #17558=PLANE('',#368277); #17559=PLANE('',#368278); #17560=PLANE('',#368279); #17561=PLANE('',#368280); #17562=PLANE('',#368281); #17563=PLANE('',#368282); #17564=PLANE('',#368283); #17565=PLANE('',#368284); #17566=PLANE('',#368285); #17567=PLANE('',#368286); #17568=PLANE('',#368287); #17569=PLANE('',#368288); #17570=PLANE('',#368289); #17571=PLANE('',#368290); #17572=PLANE('',#368291); #17573=PLANE('',#368292); #17574=PLANE('',#368293); #17575=PLANE('',#368294); #17576=PLANE('',#368295); #17577=PLANE('',#368296); #17578=PLANE('',#368297); #17579=PLANE('',#368298); #17580=PLANE('',#368299); #17581=PLANE('',#368300); #17582=PLANE('',#368301); #17583=PLANE('',#368302); #17584=PLANE('',#368303); #17585=PLANE('',#368304); #17586=PLANE('',#368305); #17587=PLANE('',#368306); #17588=PLANE('',#368307); #17589=PLANE('',#368308); #17590=PLANE('',#368309); #17591=PLANE('',#368310); #17592=PLANE('',#368311); #17593=PLANE('',#368312); #17594=PLANE('',#368313); #17595=PLANE('',#368314); #17596=PLANE('',#368315); #17597=PLANE('',#368316); #17598=PLANE('',#368317); #17599=PLANE('',#368318); #17600=PLANE('',#368319); #17601=PLANE('',#368320); #17602=PLANE('',#368321); #17603=PLANE('',#368322); #17604=PLANE('',#368323); #17605=PLANE('',#368324); #17606=PLANE('',#368325); #17607=PLANE('',#368326); #17608=PLANE('',#368327); #17609=PLANE('',#368328); #17610=PLANE('',#368329); #17611=PLANE('',#368330); #17612=PLANE('',#368331); #17613=PLANE('',#368332); #17614=PLANE('',#368333); #17615=PLANE('',#368334); #17616=PLANE('',#368335); #17617=PLANE('',#368336); #17618=PLANE('',#368337); #17619=PLANE('',#368338); #17620=PLANE('',#368339); #17621=PLANE('',#368340); #17622=PLANE('',#368341); #17623=PLANE('',#368342); #17624=PLANE('',#368343); #17625=PLANE('',#368344); #17626=PLANE('',#368345); #17627=PLANE('',#368346); #17628=PLANE('',#368347); #17629=PLANE('',#368348); #17630=PLANE('',#368349); #17631=PLANE('',#368350); #17632=PLANE('',#368351); #17633=PLANE('',#368352); #17634=PLANE('',#368353); #17635=PLANE('',#368354); #17636=PLANE('',#368355); #17637=PLANE('',#368356); #17638=PLANE('',#368357); #17639=PLANE('',#368358); #17640=PLANE('',#368359); #17641=PLANE('',#368360); #17642=PLANE('',#368361); #17643=PLANE('',#368362); #17644=PLANE('',#368363); #17645=PLANE('',#368364); #17646=PLANE('',#368365); #17647=PLANE('',#368366); #17648=PLANE('',#368367); #17649=PLANE('',#368368); #17650=PLANE('',#368369); #17651=PLANE('',#368370); #17652=PLANE('',#368371); #17653=PLANE('',#368372); #17654=PLANE('',#368373); #17655=PLANE('',#368374); #17656=PLANE('',#368375); #17657=PLANE('',#368376); #17658=PLANE('',#368377); #17659=PLANE('',#368378); #17660=PLANE('',#368379); #17661=PLANE('',#368380); #17662=PLANE('',#368381); #17663=PLANE('',#368382); #17664=PLANE('',#368383); #17665=PLANE('',#368384); #17666=PLANE('',#368385); #17667=PLANE('',#368386); #17668=PLANE('',#368387); #17669=PLANE('',#368388); #17670=PLANE('',#368389); #17671=PLANE('',#368390); #17672=PLANE('',#368391); #17673=PLANE('',#368392); #17674=PLANE('',#368393); #17675=PLANE('',#368394); #17676=PLANE('',#368395); #17677=PLANE('',#368396); #17678=PLANE('',#368397); #17679=PLANE('',#368398); #17680=PLANE('',#368399); #17681=PLANE('',#368400); #17682=PLANE('',#368401); #17683=PLANE('',#368402); #17684=PLANE('',#368403); #17685=PLANE('',#368404); #17686=PLANE('',#368405); #17687=PLANE('',#368406); #17688=PLANE('',#368407); #17689=PLANE('',#368408); #17690=PLANE('',#368409); #17691=PLANE('',#368410); #17692=PLANE('',#368411); #17693=PLANE('',#368412); #17694=PLANE('',#368413); #17695=PLANE('',#368414); #17696=PLANE('',#368415); #17697=PLANE('',#368416); #17698=PLANE('',#368417); #17699=PLANE('',#368418); #17700=PLANE('',#368419); #17701=PLANE('',#368420); #17702=PLANE('',#368421); #17703=PLANE('',#368422); #17704=PLANE('',#368423); #17705=PLANE('',#368424); #17706=PLANE('',#368425); #17707=PLANE('',#368426); #17708=PLANE('',#368427); #17709=PLANE('',#368428); #17710=PLANE('',#368429); #17711=PLANE('',#368430); #17712=PLANE('',#368431); #17713=PLANE('',#368432); #17714=PLANE('',#368433); #17715=PLANE('',#368434); #17716=PLANE('',#368435); #17717=PLANE('',#368436); #17718=PLANE('',#368437); #17719=PLANE('',#368438); #17720=PLANE('',#368439); #17721=PLANE('',#368440); #17722=PLANE('',#368441); #17723=PLANE('',#368442); #17724=PLANE('',#368443); #17725=PLANE('',#368444); #17726=PLANE('',#368445); #17727=PLANE('',#368446); #17728=PLANE('',#368447); #17729=PLANE('',#368448); #17730=PLANE('',#368449); #17731=PLANE('',#368450); #17732=PLANE('',#368451); #17733=PLANE('',#368452); #17734=PLANE('',#368453); #17735=PLANE('',#368454); #17736=PLANE('',#368455); #17737=PLANE('',#368456); #17738=PLANE('',#368457); #17739=PLANE('',#368458); #17740=PLANE('',#368459); #17741=PLANE('',#368460); #17742=PLANE('',#368461); #17743=PLANE('',#368462); #17744=PLANE('',#368463); #17745=PLANE('',#368464); #17746=PLANE('',#368465); #17747=PLANE('',#368466); #17748=PLANE('',#368467); #17749=PLANE('',#368468); #17750=PLANE('',#368469); #17751=PLANE('',#368470); #17752=PLANE('',#368471); #17753=PLANE('',#368472); #17754=PLANE('',#368473); #17755=PLANE('',#368474); #17756=PLANE('',#368475); #17757=PLANE('',#368476); #17758=PLANE('',#368477); #17759=PLANE('',#368478); #17760=PLANE('',#368479); #17761=PLANE('',#368480); #17762=PLANE('',#368481); #17763=PLANE('',#368482); #17764=PLANE('',#368483); #17765=PLANE('',#368484); #17766=PLANE('',#368485); #17767=PLANE('',#368486); #17768=PLANE('',#368487); #17769=PLANE('',#368488); #17770=PLANE('',#368489); #17771=PLANE('',#368490); #17772=PLANE('',#368491); #17773=PLANE('',#368492); #17774=PLANE('',#368493); #17775=PLANE('',#368494); #17776=PLANE('',#368495); #17777=PLANE('',#368496); #17778=PLANE('',#368497); #17779=PLANE('',#368498); #17780=PLANE('',#368499); #17781=PLANE('',#368500); #17782=PLANE('',#368501); #17783=PLANE('',#368502); #17784=PLANE('',#368503); #17785=PLANE('',#368504); #17786=PLANE('',#368505); #17787=PLANE('',#368506); #17788=PLANE('',#368507); #17789=PLANE('',#368508); #17790=PLANE('',#368509); #17791=PLANE('',#368510); #17792=PLANE('',#368511); #17793=PLANE('',#368512); #17794=PLANE('',#368513); #17795=PLANE('',#368514); #17796=PLANE('',#368515); #17797=PLANE('',#368516); #17798=PLANE('',#368517); #17799=PLANE('',#368518); #17800=PLANE('',#368519); #17801=PLANE('',#368520); #17802=PLANE('',#368521); #17803=PLANE('',#368522); #17804=PLANE('',#368523); #17805=PLANE('',#368524); #17806=PLANE('',#368525); #17807=PLANE('',#368526); #17808=PLANE('',#368527); #17809=PLANE('',#368528); #17810=PLANE('',#368529); #17811=PLANE('',#368530); #17812=PLANE('',#368531); #17813=PLANE('',#368532); #17814=PLANE('',#368533); #17815=PLANE('',#368534); #17816=PLANE('',#368535); #17817=PLANE('',#368536); #17818=PLANE('',#368537); #17819=PLANE('',#368538); #17820=PLANE('',#368539); #17821=PLANE('',#368540); #17822=PLANE('',#368541); #17823=PLANE('',#368542); #17824=PLANE('',#368543); #17825=PLANE('',#368544); #17826=PLANE('',#368545); #17827=PLANE('',#368546); #17828=PLANE('',#368547); #17829=PLANE('',#368548); #17830=PLANE('',#368549); #17831=PLANE('',#368550); #17832=PLANE('',#368551); #17833=PLANE('',#368552); #17834=PLANE('',#368553); #17835=PLANE('',#368554); #17836=PLANE('',#368555); #17837=PLANE('',#368556); #17838=PLANE('',#368557); #17839=PLANE('',#368558); #17840=PLANE('',#368559); #17841=PLANE('',#368560); #17842=PLANE('',#368561); #17843=PLANE('',#368562); #17844=PLANE('',#368563); #17845=PLANE('',#368564); #17846=PLANE('',#368565); #17847=PLANE('',#368566); #17848=PLANE('',#368567); #17849=PLANE('',#368568); #17850=PLANE('',#368569); #17851=PLANE('',#368570); #17852=PLANE('',#368571); #17853=PLANE('',#368572); #17854=PLANE('',#368573); #17855=PLANE('',#368574); #17856=PLANE('',#368575); #17857=PLANE('',#368576); #17858=PLANE('',#368577); #17859=PLANE('',#368578); #17860=PLANE('',#368579); #17861=PLANE('',#368580); #17862=PLANE('',#368581); #17863=PLANE('',#368582); #17864=PLANE('',#368583); #17865=PLANE('',#368584); #17866=PLANE('',#368585); #17867=PLANE('',#368586); #17868=PLANE('',#368587); #17869=PLANE('',#368588); #17870=PLANE('',#368589); #17871=PLANE('',#368590); #17872=PLANE('',#368591); #17873=PLANE('',#368592); #17874=PLANE('',#368593); #17875=PLANE('',#368594); #17876=PLANE('',#368595); #17877=PLANE('',#368596); #17878=PLANE('',#368597); #17879=PLANE('',#368598); #17880=PLANE('',#368599); #17881=PLANE('',#368600); #17882=PLANE('',#368601); #17883=PLANE('',#368602); #17884=PLANE('',#368603); #17885=PLANE('',#368604); #17886=PLANE('',#368605); #17887=PLANE('',#368606); #17888=PLANE('',#368607); #17889=PLANE('',#368608); #17890=PLANE('',#368609); #17891=PLANE('',#368610); #17892=PLANE('',#368611); #17893=PLANE('',#368612); #17894=PLANE('',#368613); #17895=PLANE('',#368614); #17896=PLANE('',#368615); #17897=PLANE('',#368616); #17898=PLANE('',#368617); #17899=PLANE('',#368618); #17900=PLANE('',#368619); #17901=PLANE('',#368620); #17902=PLANE('',#368621); #17903=PLANE('',#368622); #17904=PLANE('',#368623); #17905=PLANE('',#368624); #17906=PLANE('',#368625); #17907=PLANE('',#368626); #17908=PLANE('',#368627); #17909=PLANE('',#368628); #17910=PLANE('',#368629); #17911=PLANE('',#368630); #17912=PLANE('',#368631); #17913=PLANE('',#368632); #17914=PLANE('',#368633); #17915=PLANE('',#368634); #17916=PLANE('',#368635); #17917=PLANE('',#368636); #17918=PLANE('',#368637); #17919=PLANE('',#368638); #17920=PLANE('',#368639); #17921=PLANE('',#368640); #17922=PLANE('',#368641); #17923=PLANE('',#368642); #17924=PLANE('',#368643); #17925=PLANE('',#368644); #17926=PLANE('',#368645); #17927=PLANE('',#368646); #17928=PLANE('',#368647); #17929=PLANE('',#368648); #17930=PLANE('',#368649); #17931=PLANE('',#368650); #17932=PLANE('',#368651); #17933=PLANE('',#368652); #17934=PLANE('',#368653); #17935=PLANE('',#368654); #17936=PLANE('',#368655); #17937=PLANE('',#368656); #17938=PLANE('',#368657); #17939=PLANE('',#368658); #17940=PLANE('',#368659); #17941=PLANE('',#368660); #17942=PLANE('',#368661); #17943=PLANE('',#368662); #17944=PLANE('',#368663); #17945=PLANE('',#368664); #17946=PLANE('',#368665); #17947=PLANE('',#368666); #17948=PLANE('',#368667); #17949=PLANE('',#368668); #17950=PLANE('',#368669); #17951=PLANE('',#368670); #17952=PLANE('',#368671); #17953=PLANE('',#368672); #17954=PLANE('',#368673); #17955=PLANE('',#368674); #17956=PLANE('',#368675); #17957=PLANE('',#368676); #17958=PLANE('',#368677); #17959=PLANE('',#368678); #17960=PLANE('',#368679); #17961=PLANE('',#368680); #17962=PLANE('',#368681); #17963=PLANE('',#368682); #17964=PLANE('',#368683); #17965=PLANE('',#368684); #17966=PLANE('',#368685); #17967=PLANE('',#368686); #17968=PLANE('',#368687); #17969=PLANE('',#368688); #17970=PLANE('',#368689); #17971=PLANE('',#368690); #17972=PLANE('',#368691); #17973=PLANE('',#368692); #17974=PLANE('',#368693); #17975=PLANE('',#368694); #17976=PLANE('',#368695); #17977=PLANE('',#368696); #17978=PLANE('',#368697); #17979=PLANE('',#368698); #17980=PLANE('',#368699); #17981=PLANE('',#368700); #17982=PLANE('',#368701); #17983=PLANE('',#368702); #17984=PLANE('',#368703); #17985=PLANE('',#368704); #17986=PLANE('',#368705); #17987=PLANE('',#368706); #17988=PLANE('',#368707); #17989=PLANE('',#368708); #17990=PLANE('',#368709); #17991=PLANE('',#368710); #17992=PLANE('',#368711); #17993=PLANE('',#368712); #17994=PLANE('',#368713); #17995=PLANE('',#368714); #17996=PLANE('',#368715); #17997=PLANE('',#368716); #17998=PLANE('',#368717); #17999=PLANE('',#368718); #18000=PLANE('',#368719); #18001=PLANE('',#368720); #18002=PLANE('',#368721); #18003=PLANE('',#368722); #18004=PLANE('',#368723); #18005=PLANE('',#368724); #18006=PLANE('',#368725); #18007=PLANE('',#368726); #18008=PLANE('',#368727); #18009=PLANE('',#368728); #18010=PLANE('',#368729); #18011=PLANE('',#368730); #18012=PLANE('',#368731); #18013=PLANE('',#368732); #18014=PLANE('',#368733); #18015=PLANE('',#368734); #18016=PLANE('',#368735); #18017=PLANE('',#368736); #18018=PLANE('',#368737); #18019=PLANE('',#368738); #18020=PLANE('',#368739); #18021=PLANE('',#368740); #18022=PLANE('',#368741); #18023=PLANE('',#368742); #18024=PLANE('',#368743); #18025=PLANE('',#368744); #18026=PLANE('',#368745); #18027=PLANE('',#368746); #18028=PLANE('',#368747); #18029=PLANE('',#368748); #18030=PLANE('',#368749); #18031=PLANE('',#368750); #18032=PLANE('',#368751); #18033=PLANE('',#368752); #18034=PLANE('',#368753); #18035=PLANE('',#368754); #18036=PLANE('',#368755); #18037=PLANE('',#368756); #18038=PLANE('',#368757); #18039=PLANE('',#368758); #18040=PLANE('',#368759); #18041=PLANE('',#368760); #18042=PLANE('',#368761); #18043=PLANE('',#368762); #18044=PLANE('',#368763); #18045=PLANE('',#368764); #18046=PLANE('',#368765); #18047=PLANE('',#368766); #18048=PLANE('',#368767); #18049=PLANE('',#368768); #18050=PLANE('',#368769); #18051=PLANE('',#368770); #18052=PLANE('',#368771); #18053=PLANE('',#368772); #18054=PLANE('',#368773); #18055=PLANE('',#368774); #18056=PLANE('',#368775); #18057=PLANE('',#368776); #18058=PLANE('',#368777); #18059=PLANE('',#368778); #18060=PLANE('',#368779); #18061=PLANE('',#368780); #18062=PLANE('',#368781); #18063=PLANE('',#368782); #18064=PLANE('',#368783); #18065=PLANE('',#368784); #18066=PLANE('',#368785); #18067=PLANE('',#368786); #18068=PLANE('',#368787); #18069=PLANE('',#368788); #18070=PLANE('',#368789); #18071=PLANE('',#368790); #18072=PLANE('',#368791); #18073=PLANE('',#368792); #18074=PLANE('',#368793); #18075=PLANE('',#368794); #18076=PLANE('',#368795); #18077=PLANE('',#368796); #18078=PLANE('',#368797); #18079=PLANE('',#368798); #18080=PLANE('',#368799); #18081=PLANE('',#368800); #18082=PLANE('',#368801); #18083=PLANE('',#368802); #18084=PLANE('',#368803); #18085=PLANE('',#368804); #18086=PLANE('',#368805); #18087=PLANE('',#368806); #18088=PLANE('',#368807); #18089=PLANE('',#368808); #18090=PLANE('',#368809); #18091=PLANE('',#368810); #18092=PLANE('',#368811); #18093=PLANE('',#368812); #18094=PLANE('',#368813); #18095=PLANE('',#368814); #18096=PLANE('',#368815); #18097=PLANE('',#368816); #18098=PLANE('',#368817); #18099=PLANE('',#368818); #18100=PLANE('',#368819); #18101=PLANE('',#368820); #18102=PLANE('',#368821); #18103=PLANE('',#368822); #18104=PLANE('',#368823); #18105=PLANE('',#368824); #18106=PLANE('',#368825); #18107=PLANE('',#368826); #18108=PLANE('',#368827); #18109=PLANE('',#368828); #18110=PLANE('',#368829); #18111=PLANE('',#368830); #18112=PLANE('',#368831); #18113=PLANE('',#368832); #18114=PLANE('',#368833); #18115=PLANE('',#368834); #18116=PLANE('',#368835); #18117=PLANE('',#368836); #18118=PLANE('',#368837); #18119=PLANE('',#368838); #18120=PLANE('',#368839); #18121=PLANE('',#368840); #18122=PLANE('',#368841); #18123=PLANE('',#368842); #18124=PLANE('',#368843); #18125=PLANE('',#368844); #18126=PLANE('',#368845); #18127=PLANE('',#368846); #18128=PLANE('',#368847); #18129=PLANE('',#368848); #18130=PLANE('',#368849); #18131=PLANE('',#368850); #18132=PLANE('',#368851); #18133=PLANE('',#368852); #18134=PLANE('',#368853); #18135=PLANE('',#368854); #18136=PLANE('',#368855); #18137=PLANE('',#368856); #18138=PLANE('',#368857); #18139=PLANE('',#368858); #18140=PLANE('',#368859); #18141=PLANE('',#368860); #18142=PLANE('',#368861); #18143=PLANE('',#368862); #18144=PLANE('',#368863); #18145=PLANE('',#368864); #18146=PLANE('',#368865); #18147=PLANE('',#368866); #18148=PLANE('',#368867); #18149=PLANE('',#368868); #18150=PLANE('',#368869); #18151=PLANE('',#368870); #18152=PLANE('',#368871); #18153=PLANE('',#368872); #18154=PLANE('',#368873); #18155=PLANE('',#368874); #18156=PLANE('',#368875); #18157=PLANE('',#368876); #18158=PLANE('',#368877); #18159=PLANE('',#368878); #18160=PLANE('',#368879); #18161=PLANE('',#368880); #18162=PLANE('',#368881); #18163=PLANE('',#368882); #18164=PLANE('',#368883); #18165=PLANE('',#368884); #18166=PLANE('',#368885); #18167=PLANE('',#368886); #18168=PLANE('',#368887); #18169=PLANE('',#368888); #18170=PLANE('',#368889); #18171=PLANE('',#368890); #18172=PLANE('',#368891); #18173=PLANE('',#368892); #18174=PLANE('',#368893); #18175=PLANE('',#368894); #18176=PLANE('',#368895); #18177=PLANE('',#368896); #18178=PLANE('',#368897); #18179=PLANE('',#368898); #18180=PLANE('',#368899); #18181=PLANE('',#368900); #18182=PLANE('',#368901); #18183=PLANE('',#368902); #18184=PLANE('',#368951); #18185=PLANE('',#368952); #18186=PLANE('',#368953); #18187=PLANE('',#368954); #18188=PLANE('',#368955); #18189=PLANE('',#368956); #18190=PLANE('',#368957); #18191=PLANE('',#368958); #18192=PLANE('',#368959); #18193=PLANE('',#368960); #18194=PLANE('',#368961); #18195=PLANE('',#368962); #18196=PLANE('',#368963); #18197=PLANE('',#368964); #18198=PLANE('',#368965); #18199=PLANE('',#368966); #18200=PLANE('',#368967); #18201=PLANE('',#368968); #18202=PLANE('',#368969); #18203=PLANE('',#368970); #18204=PLANE('',#368971); #18205=PLANE('',#368972); #18206=PLANE('',#368973); #18207=PLANE('',#368974); #18208=PLANE('',#368975); #18209=PLANE('',#368976); #18210=PLANE('',#368977); #18211=PLANE('',#368978); #18212=PLANE('',#368979); #18213=PLANE('',#368980); #18214=PLANE('',#368981); #18215=PLANE('',#368982); #18216=PLANE('',#368983); #18217=PLANE('',#368984); #18218=PLANE('',#368985); #18219=PLANE('',#368986); #18220=PLANE('',#368987); #18221=PLANE('',#368988); #18222=PLANE('',#368989); #18223=PLANE('',#368990); #18224=PLANE('',#368991); #18225=PLANE('',#368992); #18226=PLANE('',#368993); #18227=PLANE('',#368994); #18228=PLANE('',#368995); #18229=PLANE('',#368996); #18230=PLANE('',#368997); #18231=PLANE('',#368998); #18232=PLANE('',#368999); #18233=PLANE('',#369000); #18234=PLANE('',#369001); #18235=PLANE('',#369002); #18236=PLANE('',#369003); #18237=PLANE('',#369004); #18238=PLANE('',#369005); #18239=PLANE('',#369006); #18240=PLANE('',#369007); #18241=PLANE('',#369008); #18242=PLANE('',#369009); #18243=PLANE('',#369010); #18244=PLANE('',#369011); #18245=PLANE('',#369012); #18246=PLANE('',#369013); #18247=PLANE('',#369014); #18248=PLANE('',#369015); #18249=PLANE('',#369016); #18250=PLANE('',#369017); #18251=PLANE('',#369018); #18252=PLANE('',#369019); #18253=PLANE('',#369020); #18254=PLANE('',#369021); #18255=PLANE('',#369022); #18256=PLANE('',#369023); #18257=PLANE('',#369024); #18258=PLANE('',#369025); #18259=PLANE('',#369026); #18260=PLANE('',#369075); #18261=PLANE('',#369076); #18262=PLANE('',#369077); #18263=PLANE('',#369078); #18264=PLANE('',#369079); #18265=PLANE('',#369080); #18266=PLANE('',#369081); #18267=PLANE('',#369082); #18268=PLANE('',#369083); #18269=PLANE('',#369084); #18270=PLANE('',#369085); #18271=PLANE('',#369086); #18272=PLANE('',#369087); #18273=PLANE('',#369088); #18274=PLANE('',#369089); #18275=PLANE('',#369090); #18276=PLANE('',#369091); #18277=PLANE('',#369092); #18278=PLANE('',#369093); #18279=PLANE('',#369094); #18280=PLANE('',#369095); #18281=PLANE('',#369096); #18282=PLANE('',#369097); #18283=PLANE('',#369098); #18284=PLANE('',#369099); #18285=PLANE('',#369100); #18286=PLANE('',#369101); #18287=PLANE('',#369102); #18288=PLANE('',#369103); #18289=PLANE('',#369104); #18290=PLANE('',#369105); #18291=PLANE('',#369106); #18292=PLANE('',#369107); #18293=PLANE('',#369108); #18294=PLANE('',#369109); #18295=PLANE('',#369110); #18296=PLANE('',#369111); #18297=PLANE('',#369112); #18298=PLANE('',#369113); #18299=PLANE('',#369114); #18300=PLANE('',#369115); #18301=PLANE('',#369116); #18302=PLANE('',#369117); #18303=PLANE('',#369118); #18304=PLANE('',#369119); #18305=PLANE('',#369120); #18306=PLANE('',#369121); #18307=PLANE('',#369122); #18308=PLANE('',#369123); #18309=PLANE('',#369124); #18310=PLANE('',#369125); #18311=PLANE('',#369126); #18312=PLANE('',#369127); #18313=PLANE('',#369128); #18314=PLANE('',#369129); #18315=PLANE('',#369130); #18316=PLANE('',#369131); #18317=PLANE('',#369132); #18318=PLANE('',#369133); #18319=PLANE('',#369134); #18320=PLANE('',#369135); #18321=PLANE('',#369136); #18322=PLANE('',#369137); #18323=PLANE('',#369138); #18324=PLANE('',#369139); #18325=PLANE('',#369140); #18326=PLANE('',#369141); #18327=PLANE('',#369142); #18328=PLANE('',#369143); #18329=PLANE('',#369144); #18330=PLANE('',#369145); #18331=PLANE('',#369146); #18332=PLANE('',#369237); #18333=PLANE('',#369238); #18334=PLANE('',#369239); #18335=PLANE('',#369240); #18336=PLANE('',#369241); #18337=PLANE('',#369242); #18338=PLANE('',#369243); #18339=PLANE('',#369244); #18340=PLANE('',#369245); #18341=PLANE('',#369246); #18342=PLANE('',#369247); #18343=PLANE('',#369248); #18344=PLANE('',#369249); #18345=PLANE('',#369250); #18346=PLANE('',#369251); #18347=PLANE('',#369252); #18348=PLANE('',#369253); #18349=PLANE('',#369254); #18350=PLANE('',#369255); #18351=PLANE('',#369256); #18352=PLANE('',#369257); #18353=PLANE('',#369258); #18354=PLANE('',#369259); #18355=PLANE('',#369260); #18356=PLANE('',#369261); #18357=PLANE('',#369262); #18358=PLANE('',#369263); #18359=PLANE('',#369264); #18360=PLANE('',#369265); #18361=PLANE('',#369266); #18362=PLANE('',#369267); #18363=PLANE('',#369268); #18364=PLANE('',#369269); #18365=PLANE('',#369270); #18366=PLANE('',#369271); #18367=PLANE('',#369272); #18368=PLANE('',#369273); #18369=PLANE('',#369274); #18370=PLANE('',#369275); #18371=PLANE('',#369276); #18372=PLANE('',#369277); #18373=PLANE('',#369278); #18374=PLANE('',#369279); #18375=PLANE('',#369280); #18376=PLANE('',#369281); #18377=PLANE('',#369282); #18378=PLANE('',#369283); #18379=PLANE('',#369290); #18380=PLANE('',#369294); #18381=PLANE('',#369301); #18382=PLANE('',#369305); #18383=PLANE('',#369306); #18384=PLANE('',#369337); #18385=PLANE('',#369338); #18386=PLANE('',#369339); #18387=PLANE('',#369340); #18388=PLANE('',#369341); #18389=PLANE('',#369342); #18390=PLANE('',#369343); #18391=PLANE('',#369344); #18392=PLANE('',#369345); #18393=PLANE('',#369346); #18394=PLANE('',#369347); #18395=PLANE('',#369348); #18396=PLANE('',#369349); #18397=PLANE('',#369350); #18398=PLANE('',#369351); #18399=PLANE('',#369352); #18400=PLANE('',#369353); #18401=PLANE('',#369354); #18402=PLANE('',#369355); #18403=PLANE('',#369356); #18404=PLANE('',#369357); #18405=PLANE('',#369358); #18406=PLANE('',#369359); #18407=PLANE('',#369360); #18408=PLANE('',#369361); #18409=PLANE('',#369362); #18410=PLANE('',#369366); #18411=PLANE('',#369373); #18412=PLANE('',#369374); #18413=PLANE('',#369465); #18414=PLANE('',#369466); #18415=PLANE('',#369467); #18416=PLANE('',#369468); #18417=PLANE('',#369469); #18418=PLANE('',#369470); #18419=PLANE('',#369471); #18420=PLANE('',#369472); #18421=PLANE('',#369473); #18422=PLANE('',#369474); #18423=PLANE('',#369475); #18424=PLANE('',#369476); #18425=PLANE('',#369477); #18426=PLANE('',#369478); #18427=PLANE('',#369479); #18428=PLANE('',#369480); #18429=PLANE('',#369481); #18430=PLANE('',#369482); #18431=PLANE('',#369483); #18432=PLANE('',#369484); #18433=PLANE('',#369485); #18434=PLANE('',#369486); #18435=PLANE('',#369487); #18436=PLANE('',#369488); #18437=PLANE('',#369489); #18438=PLANE('',#369490); #18439=PLANE('',#369491); #18440=PLANE('',#369492); #18441=PLANE('',#369493); #18442=PLANE('',#369494); #18443=PLANE('',#369495); #18444=PLANE('',#369496); #18445=PLANE('',#369497); #18446=PLANE('',#369498); #18447=PLANE('',#369499); #18448=PLANE('',#369500); #18449=PLANE('',#369501); #18450=PLANE('',#369502); #18451=PLANE('',#369503); #18452=PLANE('',#369504); #18453=PLANE('',#369505); #18454=PLANE('',#369506); #18455=PLANE('',#369507); #18456=PLANE('',#369508); #18457=PLANE('',#369509); #18458=PLANE('',#369510); #18459=PLANE('',#369511); #18460=PLANE('',#369518); #18461=PLANE('',#369522); #18462=PLANE('',#369529); #18463=PLANE('',#369533); #18464=PLANE('',#369534); #18465=PLANE('',#369538); #18466=PLANE('',#369545); #18467=PLANE('',#369546); #18468=PLANE('',#369592); #18469=PLANE('',#369593); #18470=PLANE('',#369594); #18471=PLANE('',#369595); #18472=PLANE('',#369596); #18473=PLANE('',#369597); #18474=PLANE('',#369598); #18475=PLANE('',#369599); #18476=PLANE('',#369600); #18477=PLANE('',#369601); #18478=PLANE('',#369602); #18479=PLANE('',#369603); #18480=PLANE('',#369604); #18481=PLANE('',#369605); #18482=PLANE('',#369606); #18483=PLANE('',#369607); #18484=PLANE('',#369608); #18485=PLANE('',#369609); #18486=PLANE('',#369610); #18487=PLANE('',#369611); #18488=PLANE('',#369612); #18489=PLANE('',#369613); #18490=PLANE('',#369614); #18491=PLANE('',#369615); #18492=PLANE('',#369616); #18493=PLANE('',#369617); #18494=PLANE('',#369618); #18495=PLANE('',#369619); #18496=PLANE('',#369620); #18497=PLANE('',#369621); #18498=PLANE('',#369622); #18499=PLANE('',#369623); #18500=PLANE('',#369624); #18501=PLANE('',#369625); #18502=PLANE('',#369626); #18503=PLANE('',#369627); #18504=PLANE('',#369628); #18505=PLANE('',#369629); #18506=PLANE('',#369630); #18507=PLANE('',#369631); #18508=PLANE('',#369632); #18509=PLANE('',#369633); #18510=PLANE('',#369634); #18511=PLANE('',#369635); #18512=PLANE('',#369636); #18513=PLANE('',#369637); #18514=PLANE('',#369638); #18515=PLANE('',#369639); #18516=PLANE('',#369640); #18517=PLANE('',#369641); #18518=PLANE('',#369642); #18519=PLANE('',#369643); #18520=PLANE('',#369644); #18521=PLANE('',#369645); #18522=PLANE('',#369646); #18523=PLANE('',#369647); #18524=PLANE('',#369648); #18525=PLANE('',#369649); #18526=PLANE('',#369650); #18527=PLANE('',#369651); #18528=PLANE('',#369652); #18529=PLANE('',#369653); #18530=PLANE('',#369654); #18531=PLANE('',#369655); #18532=PLANE('',#369656); #18533=PLANE('',#369657); #18534=PLANE('',#369658); #18535=PLANE('',#369659); #18536=PLANE('',#369660); #18537=PLANE('',#369661); #18538=PLANE('',#369662); #18539=PLANE('',#369663); #18540=PLANE('',#369664); #18541=PLANE('',#369665); #18542=PLANE('',#369666); #18543=PLANE('',#369667); #18544=PLANE('',#369668); #18545=PLANE('',#369669); #18546=PLANE('',#369670); #18547=PLANE('',#369671); #18548=PLANE('',#369672); #18549=PLANE('',#369673); #18550=PLANE('',#369674); #18551=PLANE('',#369675); #18552=PLANE('',#369676); #18553=PLANE('',#369677); #18554=PLANE('',#369678); #18555=PLANE('',#369679); #18556=PLANE('',#369680); #18557=PLANE('',#369681); #18558=PLANE('',#369682); #18559=PLANE('',#369683); #18560=PLANE('',#369684); #18561=PLANE('',#369685); #18562=PLANE('',#369686); #18563=PLANE('',#369687); #18564=PLANE('',#369688); #18565=PLANE('',#369689); #18566=PLANE('',#369690); #18567=PLANE('',#369691); #18568=PLANE('',#369692); #18569=PLANE('',#369693); #18570=PLANE('',#369694); #18571=PLANE('',#369695); #18572=PLANE('',#369696); #18573=PLANE('',#369697); #18574=PLANE('',#369698); #18575=PLANE('',#369699); #18576=PLANE('',#369700); #18577=PLANE('',#369701); #18578=PLANE('',#369702); #18579=PLANE('',#369703); #18580=PLANE('',#369704); #18581=PLANE('',#369705); #18582=PLANE('',#369706); #18583=PLANE('',#369707); #18584=PLANE('',#369708); #18585=PLANE('',#369709); #18586=PLANE('',#369710); #18587=PLANE('',#369711); #18588=PLANE('',#369712); #18589=PLANE('',#369713); #18590=PLANE('',#369714); #18591=PLANE('',#369715); #18592=PLANE('',#369716); #18593=PLANE('',#369717); #18594=PLANE('',#369718); #18595=PLANE('',#369719); #18596=PLANE('',#369720); #18597=PLANE('',#369721); #18598=PLANE('',#369722); #18599=PLANE('',#369723); #18600=PLANE('',#369724); #18601=PLANE('',#369725); #18602=PLANE('',#369726); #18603=PLANE('',#369727); #18604=PLANE('',#369728); #18605=PLANE('',#369729); #18606=PLANE('',#369730); #18607=PLANE('',#369731); #18608=PLANE('',#369732); #18609=PLANE('',#369733); #18610=PLANE('',#369734); #18611=PLANE('',#369735); #18612=PLANE('',#369736); #18613=PLANE('',#369737); #18614=PLANE('',#369738); #18615=PLANE('',#369739); #18616=PLANE('',#369740); #18617=PLANE('',#369741); #18618=PLANE('',#369742); #18619=PLANE('',#369743); #18620=PLANE('',#369744); #18621=PLANE('',#369745); #18622=PLANE('',#369746); #18623=PLANE('',#369747); #18624=PLANE('',#369748); #18625=PLANE('',#369749); #18626=PLANE('',#369750); #18627=PLANE('',#369751); #18628=PLANE('',#369752); #18629=PLANE('',#369753); #18630=PLANE('',#369754); #18631=PLANE('',#369755); #18632=PLANE('',#369756); #18633=PLANE('',#369757); #18634=PLANE('',#369758); #18635=PLANE('',#369759); #18636=PLANE('',#369760); #18637=PLANE('',#369764); #18638=PLANE('',#369771); #18639=PLANE('',#369772); #18640=PLANE('',#369776); #18641=PLANE('',#369783); #18642=PLANE('',#369784); #18643=PLANE('',#369788); #18644=PLANE('',#369795); #18645=PLANE('',#369796); #18646=PLANE('',#369800); #18647=PLANE('',#369807); #18648=PLANE('',#369808); #18649=PLANE('',#369812); #18650=PLANE('',#369813); #18651=PLANE('',#369814); #18652=PLANE('',#369815); #18653=PLANE('',#369816); #18654=PLANE('',#369817); #18655=PLANE('',#369821); #18656=PLANE('',#369828); #18657=PLANE('',#369829); #18658=PLANE('',#369833); #18659=PLANE('',#369840); #18660=PLANE('',#369841); #18661=PLANE('',#369845); #18662=PLANE('',#369852); #18663=PLANE('',#369853); #18664=PLANE('',#369857); #18665=PLANE('',#369864); #18666=PLANE('',#369865); #18667=PLANE('',#369866); #18668=PLANE('',#369870); #18669=PLANE('',#369874); #18670=PLANE('',#369875); #18671=PLANE('',#369876); #18672=PLANE('',#369877); #18673=PLANE('',#369878); #18674=PLANE('',#369879); #18675=PLANE('',#369880); #18676=PLANE('',#369881); #18677=PLANE('',#369882); #18678=PLANE('',#369883); #18679=PLANE('',#369884); #18680=PLANE('',#369885); #18681=PLANE('',#369886); #18682=PLANE('',#369887); #18683=PLANE('',#369888); #18684=PLANE('',#369889); #18685=PLANE('',#369890); #18686=PLANE('',#369891); #18687=PLANE('',#369892); #18688=PLANE('',#369893); #18689=PLANE('',#369894); #18690=PLANE('',#369895); #18691=PLANE('',#369896); #18692=PLANE('',#369897); #18693=PLANE('',#369898); #18694=PLANE('',#369899); #18695=PLANE('',#369900); #18696=PLANE('',#369901); #18697=PLANE('',#369902); #18698=PLANE('',#369903); #18699=PLANE('',#369904); #18700=PLANE('',#369905); #18701=PLANE('',#369906); #18702=PLANE('',#369907); #18703=PLANE('',#369908); #18704=PLANE('',#369909); #18705=PLANE('',#369910); #18706=PLANE('',#369911); #18707=PLANE('',#369912); #18708=PLANE('',#369913); #18709=PLANE('',#369914); #18710=PLANE('',#369915); #18711=PLANE('',#369916); #18712=PLANE('',#369917); #18713=PLANE('',#369918); #18714=PLANE('',#369919); #18715=PLANE('',#369920); #18716=PLANE('',#369921); #18717=PLANE('',#369922); #18718=PLANE('',#369923); #18719=PLANE('',#369924); #18720=PLANE('',#369925); #18721=PLANE('',#369926); #18722=PLANE('',#369927); #18723=PLANE('',#369928); #18724=PLANE('',#369929); #18725=PLANE('',#369930); #18726=PLANE('',#369931); #18727=PLANE('',#369932); #18728=PLANE('',#369936); #18729=PLANE('',#369940); #18730=PLANE('',#369941); #18731=PLANE('',#369942); #18732=PLANE('',#369943); #18733=PLANE('',#369944); #18734=PLANE('',#369945); #18735=PLANE('',#369946); #18736=PLANE('',#369947); #18737=PLANE('',#369948); #18738=PLANE('',#369949); #18739=PLANE('',#369950); #18740=PLANE('',#369951); #18741=PLANE('',#369952); #18742=PLANE('',#369953); #18743=PLANE('',#369954); #18744=PLANE('',#369955); #18745=PLANE('',#369956); #18746=PLANE('',#369957); #18747=PLANE('',#369958); #18748=PLANE('',#369959); #18749=PLANE('',#369960); #18750=PLANE('',#369961); #18751=PLANE('',#369962); #18752=PLANE('',#369963); #18753=PLANE('',#369964); #18754=PLANE('',#369965); #18755=PLANE('',#369966); #18756=PLANE('',#369967); #18757=PLANE('',#369968); #18758=PLANE('',#369969); #18759=PLANE('',#369970); #18760=PLANE('',#369971); #18761=PLANE('',#369972); #18762=PLANE('',#369973); #18763=PLANE('',#369974); #18764=PLANE('',#369975); #18765=PLANE('',#369976); #18766=PLANE('',#369977); #18767=PLANE('',#369978); #18768=PLANE('',#369979); #18769=PLANE('',#369980); #18770=PLANE('',#369981); #18771=PLANE('',#369982); #18772=PLANE('',#369983); #18773=PLANE('',#369984); #18774=PLANE('',#369985); #18775=PLANE('',#369986); #18776=PLANE('',#369987); #18777=PLANE('',#369988); #18778=PLANE('',#369989); #18779=PLANE('',#369990); #18780=PLANE('',#369991); #18781=PLANE('',#369992); #18782=PLANE('',#369993); #18783=PLANE('',#369994); #18784=PLANE('',#369995); #18785=PLANE('',#369996); #18786=PLANE('',#369997); #18787=PLANE('',#369998); #18788=PLANE('',#369999); #18789=PLANE('',#370000); #18790=PLANE('',#370001); #18791=PLANE('',#370002); #18792=PLANE('',#370003); #18793=PLANE('',#370004); #18794=PLANE('',#370005); #18795=PLANE('',#370006); #18796=PLANE('',#370007); #18797=PLANE('',#370008); #18798=PLANE('',#370009); #18799=PLANE('',#370010); #18800=PLANE('',#370011); #18801=PLANE('',#370012); #18802=PLANE('',#370013); #18803=PLANE('',#370014); #18804=PLANE('',#370018); #18805=PLANE('',#370022); #18806=PLANE('',#370023); #18807=PLANE('',#370024); #18808=PLANE('',#370025); #18809=PLANE('',#370026); #18810=PLANE('',#370027); #18811=PLANE('',#370028); #18812=PLANE('',#370029); #18813=PLANE('',#370030); #18814=PLANE('',#370031); #18815=PLANE('',#370032); #18816=PLANE('',#370033); #18817=PLANE('',#370034); #18818=PLANE('',#370035); #18819=PLANE('',#370036); #18820=PLANE('',#370037); #18821=PLANE('',#370038); #18822=PLANE('',#370039); #18823=PLANE('',#370040); #18824=PLANE('',#370041); #18825=PLANE('',#370042); #18826=PLANE('',#370043); #18827=PLANE('',#370044); #18828=PLANE('',#370045); #18829=PLANE('',#370046); #18830=PLANE('',#370047); #18831=PLANE('',#370048); #18832=PLANE('',#370049); #18833=PLANE('',#370050); #18834=PLANE('',#370051); #18835=PLANE('',#370052); #18836=PLANE('',#370053); #18837=PLANE('',#370054); #18838=PLANE('',#370055); #18839=PLANE('',#370056); #18840=PLANE('',#370057); #18841=PLANE('',#370058); #18842=PLANE('',#370059); #18843=PLANE('',#370060); #18844=PLANE('',#370061); #18845=PLANE('',#370062); #18846=PLANE('',#370063); #18847=PLANE('',#370064); #18848=PLANE('',#370065); #18849=PLANE('',#370066); #18850=PLANE('',#370067); #18851=PLANE('',#370068); #18852=PLANE('',#370069); #18853=PLANE('',#370070); #18854=PLANE('',#370071); #18855=PLANE('',#370072); #18856=PLANE('',#370073); #18857=PLANE('',#370074); #18858=PLANE('',#370075); #18859=PLANE('',#370076); #18860=PLANE('',#370077); #18861=PLANE('',#370078); #18862=PLANE('',#370079); #18863=PLANE('',#370083); #18864=PLANE('',#370084); #18865=PLANE('',#370085); #18866=PLANE('',#370086); #18867=PLANE('',#370087); #18868=PLANE('',#370088); #18869=PLANE('',#370089); #18870=PLANE('',#370093); #18871=PLANE('',#370097); #18872=PLANE('',#370098); #18873=PLANE('',#370099); #18874=PLANE('',#370100); #18875=PLANE('',#370101); #18876=PLANE('',#370102); #18877=PLANE('',#370103); #18878=PLANE('',#370104); #18879=PLANE('',#370105); #18880=PLANE('',#370106); #18881=PLANE('',#370107); #18882=PLANE('',#370108); #18883=PLANE('',#370109); #18884=PLANE('',#370110); #18885=PLANE('',#370111); #18886=PLANE('',#370112); #18887=PLANE('',#370113); #18888=PLANE('',#370114); #18889=PLANE('',#370115); #18890=PLANE('',#370116); #18891=PLANE('',#370117); #18892=PLANE('',#370118); #18893=PLANE('',#370119); #18894=PLANE('',#370120); #18895=PLANE('',#370121); #18896=PLANE('',#370122); #18897=PLANE('',#370123); #18898=PLANE('',#370124); #18899=PLANE('',#370125); #18900=PLANE('',#370126); #18901=PLANE('',#370127); #18902=PLANE('',#370128); #18903=PLANE('',#370129); #18904=PLANE('',#370130); #18905=PLANE('',#370131); #18906=PLANE('',#370132); #18907=PLANE('',#370133); #18908=PLANE('',#370134); #18909=PLANE('',#370135); #18910=PLANE('',#370136); #18911=PLANE('',#370137); #18912=PLANE('',#370138); #18913=PLANE('',#370139); #18914=PLANE('',#370140); #18915=PLANE('',#370141); #18916=PLANE('',#370142); #18917=PLANE('',#370143); #18918=PLANE('',#370144); #18919=PLANE('',#370145); #18920=PLANE('',#370146); #18921=PLANE('',#370147); #18922=PLANE('',#370148); #18923=PLANE('',#370149); #18924=PLANE('',#370150); #18925=PLANE('',#370151); #18926=PLANE('',#370152); #18927=PLANE('',#370153); #18928=PLANE('',#370154); #18929=PLANE('',#370155); #18930=PLANE('',#370159); #18931=PLANE('',#370163); #18932=PLANE('',#370164); #18933=PLANE('',#370165); #18934=PLANE('',#370166); #18935=PLANE('',#370167); #18936=PLANE('',#370168); #18937=PLANE('',#370169); #18938=PLANE('',#370170); #18939=PLANE('',#370171); #18940=PLANE('',#370172); #18941=PLANE('',#370173); #18942=PLANE('',#370174); #18943=PLANE('',#370175); #18944=PLANE('',#370176); #18945=PLANE('',#370177); #18946=PLANE('',#370178); #18947=PLANE('',#370179); #18948=PLANE('',#370180); #18949=PLANE('',#370181); #18950=PLANE('',#370182); #18951=PLANE('',#370183); #18952=PLANE('',#370184); #18953=PLANE('',#370185); #18954=PLANE('',#370186); #18955=PLANE('',#370187); #18956=PLANE('',#370188); #18957=PLANE('',#370189); #18958=PLANE('',#370190); #18959=PLANE('',#370191); #18960=PLANE('',#370192); #18961=PLANE('',#370193); #18962=PLANE('',#370194); #18963=PLANE('',#370195); #18964=PLANE('',#370196); #18965=PLANE('',#370197); #18966=PLANE('',#370198); #18967=PLANE('',#370199); #18968=PLANE('',#370200); #18969=PLANE('',#370201); #18970=PLANE('',#370202); #18971=PLANE('',#370203); #18972=PLANE('',#370204); #18973=PLANE('',#370205); #18974=PLANE('',#370206); #18975=PLANE('',#370207); #18976=PLANE('',#370208); #18977=PLANE('',#370209); #18978=PLANE('',#370210); #18979=PLANE('',#370211); #18980=PLANE('',#370212); #18981=PLANE('',#370213); #18982=PLANE('',#370214); #18983=PLANE('',#370215); #18984=PLANE('',#370216); #18985=PLANE('',#370217); #18986=PLANE('',#370218); #18987=PLANE('',#370219); #18988=PLANE('',#370220); #18989=PLANE('',#370221); #18990=PLANE('',#370222); #18991=PLANE('',#370223); #18992=PLANE('',#370224); #18993=PLANE('',#370225); #18994=PLANE('',#370226); #18995=PLANE('',#370227); #18996=PLANE('',#370228); #18997=PLANE('',#370229); #18998=PLANE('',#370230); #18999=PLANE('',#370231); #19000=PLANE('',#370232); #19001=PLANE('',#370233); #19002=PLANE('',#370234); #19003=PLANE('',#370235); #19004=PLANE('',#370236); #19005=PLANE('',#370240); #19006=PLANE('',#370247); #19007=PLANE('',#370248); #19008=PLANE('',#370252); #19009=PLANE('',#370259); #19010=PLANE('',#370260); #19011=PLANE('',#370261); #19012=PLANE('',#370265); #19013=PLANE('',#370269); #19014=PLANE('',#370270); #19015=PLANE('',#370271); #19016=PLANE('',#370272); #19017=PLANE('',#370273); #19018=PLANE('',#370274); #19019=PLANE('',#370275); #19020=PLANE('',#370276); #19021=PLANE('',#370277); #19022=PLANE('',#370278); #19023=PLANE('',#370279); #19024=PLANE('',#370280); #19025=PLANE('',#370281); #19026=PLANE('',#370282); #19027=PLANE('',#370283); #19028=PLANE('',#370284); #19029=PLANE('',#370285); #19030=PLANE('',#370286); #19031=PLANE('',#370287); #19032=PLANE('',#370288); #19033=PLANE('',#370289); #19034=PLANE('',#370290); #19035=PLANE('',#370291); #19036=PLANE('',#370292); #19037=PLANE('',#370293); #19038=PLANE('',#370294); #19039=PLANE('',#370295); #19040=PLANE('',#370296); #19041=PLANE('',#370297); #19042=PLANE('',#370298); #19043=PLANE('',#370299); #19044=PLANE('',#370300); #19045=PLANE('',#370301); #19046=PLANE('',#370302); #19047=PLANE('',#370303); #19048=PLANE('',#370304); #19049=PLANE('',#370305); #19050=PLANE('',#370306); #19051=PLANE('',#370307); #19052=PLANE('',#370308); #19053=PLANE('',#370309); #19054=PLANE('',#370310); #19055=PLANE('',#370311); #19056=PLANE('',#370312); #19057=PLANE('',#370313); #19058=PLANE('',#370314); #19059=PLANE('',#370315); #19060=PLANE('',#370316); #19061=PLANE('',#370317); #19062=PLANE('',#370318); #19063=PLANE('',#370319); #19064=PLANE('',#370320); #19065=PLANE('',#370321); #19066=PLANE('',#370322); #19067=PLANE('',#370323); #19068=PLANE('',#370324); #19069=PLANE('',#370325); #19070=PLANE('',#370326); #19071=PLANE('',#370330); #19072=PLANE('',#370337); #19073=PLANE('',#370338); #19074=PLANE('',#370342); #19075=PLANE('',#370349); #19076=PLANE('',#370350); #19077=PLANE('',#370354); #19078=PLANE('',#370361); #19079=PLANE('',#370362); #19080=PLANE('',#370366); #19081=PLANE('',#370367); #19082=PLANE('',#370368); #19083=PLANE('',#370369); #19084=PLANE('',#370370); #19085=PLANE('',#370371); #19086=PLANE('',#370375); #19087=PLANE('',#370382); #19088=PLANE('',#370383); #19089=PLANE('',#370384); #19090=PLANE('',#370385); #19091=PLANE('',#370386); #19092=PLANE('',#370387); #19093=PLANE('',#370388); #19094=PLANE('',#370389); #19095=PLANE('',#370390); #19096=PLANE('',#370391); #19097=PLANE('',#370392); #19098=PLANE('',#370393); #19099=PLANE('',#370394); #19100=PLANE('',#370395); #19101=PLANE('',#370396); #19102=PLANE('',#370397); #19103=PLANE('',#370398); #19104=PLANE('',#370399); #19105=PLANE('',#370400); #19106=PLANE('',#370401); #19107=PLANE('',#370402); #19108=PLANE('',#370403); #19109=PLANE('',#370404); #19110=PLANE('',#370405); #19111=PLANE('',#370406); #19112=PLANE('',#370407); #19113=PLANE('',#370408); #19114=PLANE('',#370409); #19115=PLANE('',#370410); #19116=PLANE('',#370411); #19117=PLANE('',#370412); #19118=PLANE('',#370413); #19119=PLANE('',#370414); #19120=PLANE('',#370415); #19121=PLANE('',#370416); #19122=PLANE('',#370417); #19123=PLANE('',#370418); #19124=PLANE('',#370419); #19125=PLANE('',#370420); #19126=PLANE('',#370421); #19127=PLANE('',#370422); #19128=PLANE('',#370423); #19129=PLANE('',#370424); #19130=PLANE('',#370425); #19131=PLANE('',#370426); #19132=PLANE('',#370427); #19133=PLANE('',#370428); #19134=PLANE('',#370429); #19135=PLANE('',#370430); #19136=PLANE('',#370431); #19137=PLANE('',#370432); #19138=PLANE('',#370433); #19139=PLANE('',#370434); #19140=PLANE('',#370435); #19141=PLANE('',#370436); #19142=PLANE('',#370437); #19143=PLANE('',#370438); #19144=PLANE('',#370439); #19145=PLANE('',#370440); #19146=PLANE('',#370441); #19147=PLANE('',#370442); #19148=PLANE('',#370443); #19149=PLANE('',#370444); #19150=PLANE('',#370445); #19151=PLANE('',#370446); #19152=PLANE('',#370447); #19153=PLANE('',#370448); #19154=PLANE('',#370449); #19155=PLANE('',#370450); #19156=PLANE('',#370451); #19157=PLANE('',#370452); #19158=PLANE('',#370453); #19159=PLANE('',#370454); #19160=PLANE('',#370455); #19161=PLANE('',#370456); #19162=PLANE('',#370457); #19163=PLANE('',#370458); #19164=PLANE('',#370459); #19165=PLANE('',#370460); #19166=PLANE('',#370461); #19167=PLANE('',#370462); #19168=PLANE('',#370463); #19169=PLANE('',#370464); #19170=PLANE('',#370465); #19171=PLANE('',#370466); #19172=PLANE('',#370467); #19173=PLANE('',#370468); #19174=PLANE('',#370469); #19175=PLANE('',#370470); #19176=PLANE('',#370471); #19177=PLANE('',#370472); #19178=PLANE('',#370473); #19179=PLANE('',#370474); #19180=PLANE('',#370475); #19181=PLANE('',#370476); #19182=PLANE('',#370477); #19183=PLANE('',#370478); #19184=PLANE('',#370479); #19185=PLANE('',#370480); #19186=PLANE('',#370481); #19187=PLANE('',#370482); #19188=PLANE('',#370483); #19189=PLANE('',#370484); #19190=PLANE('',#370485); #19191=PLANE('',#370486); #19192=PLANE('',#370487); #19193=PLANE('',#370488); #19194=PLANE('',#370489); #19195=PLANE('',#370490); #19196=PLANE('',#370491); #19197=PLANE('',#370492); #19198=PLANE('',#370493); #19199=PLANE('',#370494); #19200=PLANE('',#370495); #19201=PLANE('',#370496); #19202=PLANE('',#370497); #19203=PLANE('',#370498); #19204=PLANE('',#370499); #19205=PLANE('',#370500); #19206=PLANE('',#370501); #19207=PLANE('',#370502); #19208=PLANE('',#370503); #19209=PLANE('',#370504); #19210=PLANE('',#370505); #19211=PLANE('',#370506); #19212=PLANE('',#370507); #19213=PLANE('',#370508); #19214=PLANE('',#370509); #19215=PLANE('',#370510); #19216=PLANE('',#370511); #19217=PLANE('',#370512); #19218=PLANE('',#370513); #19219=PLANE('',#370514); #19220=PLANE('',#370515); #19221=PLANE('',#370516); #19222=PLANE('',#370517); #19223=PLANE('',#370518); #19224=PLANE('',#370519); #19225=PLANE('',#370520); #19226=PLANE('',#370521); #19227=PLANE('',#370528); #19228=PLANE('',#370529); #19229=PLANE('',#370530); #19230=PLANE('',#370531); #19231=PLANE('',#370532); #19232=PLANE('',#370533); #19233=PLANE('',#370534); #19234=PLANE('',#370535); #19235=PLANE('',#370536); #19236=PLANE('',#370537); #19237=PLANE('',#370538); #19238=PLANE('',#370539); #19239=PLANE('',#370546); #19240=PLANE('',#370547); #19241=PLANE('',#370548); #19242=PLANE('',#370549); #19243=PLANE('',#370550); #19244=PLANE('',#370551); #19245=PLANE('',#370552); #19246=PLANE('',#370553); #19247=PLANE('',#370554); #19248=PLANE('',#370555); #19249=PLANE('',#370556); #19250=PLANE('',#370557); #19251=PLANE('',#370558); #19252=PLANE('',#370559); #19253=PLANE('',#370560); #19254=PLANE('',#370561); #19255=PLANE('',#370562); #19256=PLANE('',#370563); #19257=PLANE('',#370564); #19258=PLANE('',#370565); #19259=PLANE('',#370566); #19260=PLANE('',#370567); #19261=PLANE('',#370568); #19262=PLANE('',#370569); #19263=PLANE('',#370570); #19264=PLANE('',#370571); #19265=PLANE('',#370572); #19266=PLANE('',#370573); #19267=PLANE('',#370574); #19268=PLANE('',#370575); #19269=PLANE('',#370576); #19270=PLANE('',#370577); #19271=PLANE('',#370578); #19272=PLANE('',#370579); #19273=PLANE('',#370580); #19274=PLANE('',#370581); #19275=PLANE('',#370582); #19276=PLANE('',#370583); #19277=PLANE('',#370584); #19278=PLANE('',#370585); #19279=PLANE('',#370586); #19280=PLANE('',#370587); #19281=PLANE('',#370588); #19282=PLANE('',#370589); #19283=PLANE('',#370590); #19284=PLANE('',#370591); #19285=PLANE('',#370592); #19286=PLANE('',#370593); #19287=PLANE('',#370594); #19288=PLANE('',#370595); #19289=PLANE('',#370596); #19290=PLANE('',#370597); #19291=PLANE('',#370598); #19292=PLANE('',#370599); #19293=PLANE('',#370600); #19294=PLANE('',#370601); #19295=PLANE('',#370602); #19296=PLANE('',#370603); #19297=PLANE('',#370604); #19298=PLANE('',#370605); #19299=PLANE('',#370606); #19300=PLANE('',#370607); #19301=PLANE('',#370608); #19302=PLANE('',#370609); #19303=PLANE('',#370610); #19304=PLANE('',#370611); #19305=PLANE('',#370612); #19306=PLANE('',#370613); #19307=PLANE('',#370614); #19308=PLANE('',#370615); #19309=PLANE('',#370616); #19310=PLANE('',#370617); #19311=PLANE('',#370618); #19312=PLANE('',#370619); #19313=PLANE('',#370620); #19314=PLANE('',#370621); #19315=PLANE('',#370622); #19316=PLANE('',#370623); #19317=PLANE('',#370624); #19318=PLANE('',#370625); #19319=PLANE('',#370626); #19320=PLANE('',#370627); #19321=PLANE('',#370628); #19322=PLANE('',#370629); #19323=PLANE('',#370630); #19324=PLANE('',#370631); #19325=PLANE('',#370632); #19326=PLANE('',#370633); #19327=PLANE('',#370634); #19328=PLANE('',#370635); #19329=PLANE('',#370636); #19330=PLANE('',#370637); #19331=PLANE('',#370638); #19332=PLANE('',#370639); #19333=PLANE('',#370640); #19334=PLANE('',#370641); #19335=PLANE('',#370642); #19336=PLANE('',#370643); #19337=PLANE('',#370644); #19338=PLANE('',#370645); #19339=PLANE('',#370646); #19340=PLANE('',#370647); #19341=PLANE('',#370651); #19342=PLANE('',#370652); #19343=PLANE('',#370653); #19344=PLANE('',#370654); #19345=PLANE('',#370655); #19346=PLANE('',#370656); #19347=PLANE('',#370657); #19348=PLANE('',#370658); #19349=PLANE('',#370659); #19350=PLANE('',#370660); #19351=PLANE('',#370661); #19352=PLANE('',#370662); #19353=PLANE('',#370663); #19354=PLANE('',#370664); #19355=PLANE('',#370665); #19356=PLANE('',#370666); #19357=PLANE('',#370667); #19358=PLANE('',#370668); #19359=PLANE('',#370669); #19360=PLANE('',#370670); #19361=PLANE('',#370671); #19362=PLANE('',#370672); #19363=PLANE('',#370673); #19364=PLANE('',#370674); #19365=PLANE('',#370675); #19366=PLANE('',#370676); #19367=PLANE('',#370677); #19368=PLANE('',#370678); #19369=PLANE('',#370679); #19370=PLANE('',#370680); #19371=PLANE('',#370726); #19372=PLANE('',#370727); #19373=PLANE('',#370728); #19374=PLANE('',#370729); #19375=PLANE('',#370730); #19376=PLANE('',#370731); #19377=PLANE('',#370732); #19378=PLANE('',#370733); #19379=PLANE('',#370734); #19380=PLANE('',#370735); #19381=PLANE('',#370736); #19382=PLANE('',#370737); #19383=PLANE('',#370738); #19384=PLANE('',#370739); #19385=PLANE('',#370740); #19386=PLANE('',#370741); #19387=PLANE('',#370742); #19388=PLANE('',#370743); #19389=PLANE('',#370744); #19390=PLANE('',#370745); #19391=PLANE('',#370746); #19392=PLANE('',#370747); #19393=PLANE('',#370748); #19394=PLANE('',#370749); #19395=PLANE('',#370753); #19396=PLANE('',#370754); #19397=PLANE('',#370755); #19398=PLANE('',#370756); #19399=PLANE('',#370757); #19400=PLANE('',#370758); #19401=PLANE('',#370759); #19402=PLANE('',#370760); #19403=PLANE('',#370761); #19404=PLANE('',#370762); #19405=PLANE('',#370763); #19406=PLANE('',#370764); #19407=PLANE('',#370765); #19408=PLANE('',#370766); #19409=PLANE('',#370767); #19410=PLANE('',#370768); #19411=PLANE('',#370769); #19412=PLANE('',#370770); #19413=PLANE('',#370771); #19414=PLANE('',#370772); #19415=PLANE('',#370773); #19416=PLANE('',#370774); #19417=PLANE('',#370775); #19418=PLANE('',#370776); #19419=PLANE('',#370777); #19420=PLANE('',#370778); #19421=PLANE('',#370779); #19422=PLANE('',#370780); #19423=PLANE('',#370781); #19424=PLANE('',#370782); #19425=PLANE('',#370828); #19426=PLANE('',#370829); #19427=PLANE('',#370830); #19428=PLANE('',#370831); #19429=PLANE('',#370832); #19430=PLANE('',#370833); #19431=PLANE('',#370834); #19432=PLANE('',#370835); #19433=PLANE('',#370836); #19434=PLANE('',#370837); #19435=PLANE('',#370838); #19436=PLANE('',#370839); #19437=PLANE('',#370840); #19438=PLANE('',#370841); #19439=PLANE('',#370842); #19440=PLANE('',#370843); #19441=PLANE('',#370844); #19442=PLANE('',#370845); #19443=PLANE('',#370846); #19444=PLANE('',#370847); #19445=PLANE('',#370848); #19446=PLANE('',#370849); #19447=PLANE('',#370850); #19448=PLANE('',#370851); #19449=PLANE('',#370855); #19450=PLANE('',#370856); #19451=PLANE('',#370857); #19452=PLANE('',#370858); #19453=PLANE('',#370859); #19454=PLANE('',#370860); #19455=PLANE('',#370864); #19456=PLANE('',#370865); #19457=PLANE('',#370866); #19458=PLANE('',#370867); #19459=PLANE('',#370868); #19460=PLANE('',#370869); #19461=PLANE('',#370873); #19462=PLANE('',#370874); #19463=PLANE('',#370875); #19464=PLANE('',#370876); #19465=PLANE('',#370877); #19466=PLANE('',#370878); #19467=PLANE('',#370924); #19468=PLANE('',#370925); #19469=PLANE('',#370926); #19470=PLANE('',#370927); #19471=PLANE('',#370928); #19472=PLANE('',#370929); #19473=PLANE('',#370930); #19474=PLANE('',#370931); #19475=PLANE('',#370932); #19476=PLANE('',#370933); #19477=PLANE('',#370934); #19478=PLANE('',#370935); #19479=PLANE('',#370936); #19480=PLANE('',#370937); #19481=PLANE('',#370938); #19482=PLANE('',#370939); #19483=PLANE('',#370940); #19484=PLANE('',#370941); #19485=PLANE('',#370942); #19486=PLANE('',#370943); #19487=PLANE('',#370944); #19488=PLANE('',#370945); #19489=PLANE('',#370946); #19490=PLANE('',#370947); #19491=PLANE('',#370948); #19492=PLANE('',#370949); #19493=PLANE('',#370950); #19494=PLANE('',#370951); #19495=PLANE('',#370952); #19496=PLANE('',#370953); #19497=PLANE('',#370954); #19498=PLANE('',#370955); #19499=PLANE('',#370956); #19500=PLANE('',#370957); #19501=PLANE('',#370958); #19502=PLANE('',#370959); #19503=PLANE('',#370960); #19504=PLANE('',#370961); #19505=PLANE('',#370962); #19506=PLANE('',#370963); #19507=PLANE('',#370964); #19508=PLANE('',#370965); #19509=PLANE('',#370966); #19510=PLANE('',#370967); #19511=PLANE('',#370968); #19512=PLANE('',#370969); #19513=PLANE('',#370970); #19514=PLANE('',#370971); #19515=PLANE('',#370972); #19516=PLANE('',#370973); #19517=PLANE('',#370974); #19518=PLANE('',#370975); #19519=PLANE('',#370976); #19520=PLANE('',#370977); #19521=PLANE('',#370978); #19522=PLANE('',#370979); #19523=PLANE('',#370980); #19524=PLANE('',#370981); #19525=PLANE('',#370982); #19526=PLANE('',#370983); #19527=PLANE('',#370984); #19528=PLANE('',#370985); #19529=PLANE('',#370986); #19530=PLANE('',#370987); #19531=PLANE('',#370988); #19532=PLANE('',#370989); #19533=PLANE('',#370990); #19534=PLANE('',#370991); #19535=PLANE('',#370992); #19536=PLANE('',#370993); #19537=PLANE('',#370994); #19538=PLANE('',#370995); #19539=PLANE('',#370996); #19540=PLANE('',#370997); #19541=PLANE('',#370998); #19542=PLANE('',#370999); #19543=PLANE('',#371000); #19544=PLANE('',#371001); #19545=PLANE('',#371002); #19546=PLANE('',#371003); #19547=PLANE('',#371004); #19548=PLANE('',#371005); #19549=PLANE('',#371006); #19550=PLANE('',#371007); #19551=PLANE('',#371008); #19552=PLANE('',#371009); #19553=PLANE('',#371010); #19554=PLANE('',#371011); #19555=PLANE('',#371012); #19556=PLANE('',#371013); #19557=PLANE('',#371014); #19558=PLANE('',#371015); #19559=PLANE('',#371016); #19560=PLANE('',#371017); #19561=PLANE('',#371018); #19562=PLANE('',#371019); #19563=PLANE('',#371020); #19564=PLANE('',#371021); #19565=PLANE('',#371022); #19566=PLANE('',#371023); #19567=PLANE('',#371024); #19568=PLANE('',#371025); #19569=PLANE('',#371026); #19570=PLANE('',#371027); #19571=PLANE('',#371028); #19572=PLANE('',#371029); #19573=PLANE('',#371030); #19574=PLANE('',#371031); #19575=PLANE('',#371032); #19576=PLANE('',#371033); #19577=PLANE('',#371034); #19578=PLANE('',#371035); #19579=PLANE('',#371036); #19580=PLANE('',#371037); #19581=PLANE('',#371038); #19582=PLANE('',#371039); #19583=PLANE('',#371040); #19584=PLANE('',#371041); #19585=PLANE('',#371042); #19586=PLANE('',#371043); #19587=PLANE('',#371044); #19588=PLANE('',#371045); #19589=PLANE('',#371046); #19590=PLANE('',#371047); #19591=PLANE('',#371048); #19592=PLANE('',#371049); #19593=PLANE('',#371095); #19594=PLANE('',#371096); #19595=PLANE('',#371097); #19596=PLANE('',#371098); #19597=PLANE('',#371099); #19598=PLANE('',#371100); #19599=PLANE('',#371101); #19600=PLANE('',#371102); #19601=PLANE('',#371103); #19602=PLANE('',#371104); #19603=PLANE('',#371105); #19604=PLANE('',#371106); #19605=PLANE('',#371107); #19606=PLANE('',#371108); #19607=PLANE('',#371109); #19608=PLANE('',#371110); #19609=PLANE('',#371111); #19610=PLANE('',#371112); #19611=PLANE('',#371113); #19612=PLANE('',#371114); #19613=PLANE('',#371115); #19614=PLANE('',#371116); #19615=PLANE('',#371117); #19616=PLANE('',#371118); #19617=PLANE('',#371119); #19618=PLANE('',#371120); #19619=PLANE('',#371121); #19620=PLANE('',#371122); #19621=PLANE('',#371123); #19622=PLANE('',#371124); #19623=PLANE('',#371125); #19624=PLANE('',#371126); #19625=PLANE('',#371127); #19626=PLANE('',#371128); #19627=PLANE('',#371129); #19628=PLANE('',#371130); #19629=PLANE('',#371131); #19630=PLANE('',#371132); #19631=PLANE('',#371133); #19632=PLANE('',#371134); #19633=PLANE('',#371135); #19634=PLANE('',#371136); #19635=PLANE('',#371140); #19636=PLANE('',#371141); #19637=PLANE('',#371142); #19638=PLANE('',#371143); #19639=PLANE('',#371144); #19640=PLANE('',#371145); #19641=PLANE('',#371152); #19642=PLANE('',#371153); #19643=PLANE('',#371154); #19644=PLANE('',#371155); #19645=PLANE('',#371156); #19646=PLANE('',#371157); #19647=PLANE('',#371164); #19648=PLANE('',#371165); #19649=PLANE('',#371166); #19650=PLANE('',#371167); #19651=PLANE('',#371168); #19652=PLANE('',#371169); #19653=PLANE('',#371173); #19654=PLANE('',#371174); #19655=PLANE('',#371175); #19656=PLANE('',#371176); #19657=PLANE('',#371177); #19658=PLANE('',#371178); #19659=PLANE('',#371669); #19660=PLANE('',#371673); #19661=PLANE('',#371680); #19662=PLANE('',#371684); #19663=PLANE('',#371697); #19664=PLANE('',#371701); #19665=PLANE('',#371735); #19666=PLANE('',#371739); #19667=PLANE('',#371746); #19668=PLANE('',#371750); #19669=PLANE('',#371757); #19670=PLANE('',#371761); #19671=PLANE('',#372164); #19672=PLANE('',#372165); #19673=PLANE('',#372656); #19674=PLANE('',#372660); #19675=PLANE('',#372667); #19676=PLANE('',#372671); #19677=PLANE('',#372684); #19678=PLANE('',#372688); #19679=PLANE('',#372722); #19680=PLANE('',#372726); #19681=PLANE('',#372733); #19682=PLANE('',#372737); #19683=PLANE('',#372744); #19684=PLANE('',#372748); #19685=PLANE('',#373151); #19686=PLANE('',#373152); #19687=PLANE('',#373156); #19688=PLANE('',#373157); #19689=PLANE('',#373158); #19690=PLANE('',#373159); #19691=PLANE('',#373160); #19692=PLANE('',#373161); #19693=PLANE('',#373162); #19694=PLANE('',#373163); #19695=PLANE('',#373164); #19696=PLANE('',#373165); #19697=PLANE('',#373166); #19698=PLANE('',#373167); #19699=PLANE('',#373168); #19700=PLANE('',#373169); #19701=PLANE('',#373170); #19702=PLANE('',#373171); #19703=PLANE('',#373172); #19704=PLANE('',#373173); #19705=PLANE('',#373174); #19706=PLANE('',#373175); #19707=PLANE('',#373176); #19708=PLANE('',#373177); #19709=PLANE('',#373178); #19710=PLANE('',#373179); #19711=PLANE('',#373180); #19712=PLANE('',#373181); #19713=PLANE('',#373182); #19714=PLANE('',#373183); #19715=PLANE('',#373184); #19716=PLANE('',#373185); #19717=PLANE('',#373186); #19718=PLANE('',#373187); #19719=PLANE('',#373188); #19720=PLANE('',#373189); #19721=PLANE('',#373190); #19722=PLANE('',#373191); #19723=PLANE('',#373192); #19724=PLANE('',#373193); #19725=PLANE('',#373194); #19726=PLANE('',#373195); #19727=PLANE('',#373196); #19728=PLANE('',#373197); #19729=PLANE('',#373198); #19730=PLANE('',#373199); #19731=PLANE('',#373200); #19732=PLANE('',#373201); #19733=PLANE('',#373202); #19734=PLANE('',#373203); #19735=PLANE('',#373204); #19736=PLANE('',#373205); #19737=PLANE('',#373206); #19738=PLANE('',#373207); #19739=PLANE('',#373208); #19740=PLANE('',#373209); #19741=PLANE('',#373210); #19742=PLANE('',#373211); #19743=PLANE('',#373212); #19744=PLANE('',#373213); #19745=PLANE('',#373214); #19746=PLANE('',#373215); #19747=PLANE('',#373216); #19748=PLANE('',#373217); #19749=PLANE('',#373218); #19750=PLANE('',#373219); #19751=PLANE('',#373220); #19752=PLANE('',#373221); #19753=PLANE('',#373222); #19754=PLANE('',#373223); #19755=PLANE('',#373224); #19756=PLANE('',#373225); #19757=PLANE('',#373226); #19758=PLANE('',#373227); #19759=PLANE('',#373228); #19760=PLANE('',#373229); #19761=PLANE('',#373230); #19762=PLANE('',#373231); #19763=PLANE('',#373232); #19764=PLANE('',#373233); #19765=PLANE('',#373234); #19766=PLANE('',#373235); #19767=PLANE('',#373236); #19768=PLANE('',#373237); #19769=PLANE('',#373238); #19770=PLANE('',#373239); #19771=PLANE('',#373240); #19772=PLANE('',#373241); #19773=PLANE('',#373242); #19774=PLANE('',#373243); #19775=PLANE('',#373244); #19776=PLANE('',#373245); #19777=PLANE('',#373246); #19778=PLANE('',#373247); #19779=PLANE('',#373248); #19780=PLANE('',#373249); #19781=PLANE('',#373250); #19782=PLANE('',#373251); #19783=PLANE('',#373252); #19784=PLANE('',#373253); #19785=PLANE('',#373254); #19786=PLANE('',#373255); #19787=PLANE('',#373256); #19788=PLANE('',#373257); #19789=PLANE('',#373258); #19790=PLANE('',#373259); #19791=PLANE('',#373309); #19792=PLANE('',#373310); #19793=PLANE('',#373311); #19794=PLANE('',#373312); #19795=PLANE('',#373313); #19796=PLANE('',#373314); #19797=PLANE('',#373359); #19798=PLANE('',#373360); #19799=PLANE('',#373361); #19800=PLANE('',#373362); #19801=PLANE('',#373363); #19802=PLANE('',#373364); #19803=PLANE('',#373365); #19804=PLANE('',#373366); #19805=PLANE('',#373367); #19806=PLANE('',#373368); #19807=PLANE('',#373369); #19808=PLANE('',#373370); #19809=PLANE('',#373371); #19810=PLANE('',#373372); #19811=PLANE('',#373373); #19812=PLANE('',#373374); #19813=PLANE('',#373375); #19814=PLANE('',#373376); #19815=PLANE('',#373377); #19816=PLANE('',#373378); #19817=PLANE('',#373379); #19818=PLANE('',#373380); #19819=PLANE('',#373381); #19820=PLANE('',#373382); #19821=PLANE('',#373412); #19822=PLANE('',#373413); #19823=PLANE('',#373424); #19824=PLANE('',#373425); #19825=PLANE('',#373426); #19826=PLANE('',#373427); #19827=PLANE('',#373428); #19828=PLANE('',#373429); #19829=PLANE('',#373431); #19830=PLANE('',#373437); #19831=PLANE('',#373438); #19832=PLANE('',#373439); #19833=PLANE('',#373440); #19834=PLANE('',#373441); #19835=PLANE('',#373442); #19836=PLANE('',#373443); #19837=PLANE('',#373444); #19838=PLANE('',#373445); #19839=PLANE('',#373446); #19840=PLANE('',#373447); #19841=PLANE('',#373448); #19842=PLANE('',#373449); #19843=PLANE('',#373450); #19844=PLANE('',#373451); #19845=PLANE('',#373452); #19846=PLANE('',#373453); #19847=PLANE('',#373454); #19848=PLANE('',#373455); #19849=PLANE('',#373456); #19850=PLANE('',#373457); #19851=PLANE('',#373458); #19852=PLANE('',#373459); #19853=PLANE('',#373460); #19854=PLANE('',#373461); #19855=PLANE('',#373462); #19856=PLANE('',#373463); #19857=PLANE('',#373464); #19858=PLANE('',#373465); #19859=PLANE('',#373466); #19860=PLANE('',#373467); #19861=PLANE('',#373468); #19862=PLANE('',#373469); #19863=PLANE('',#373470); #19864=PLANE('',#373471); #19865=PLANE('',#373472); #19866=PLANE('',#373473); #19867=PLANE('',#373474); #19868=PLANE('',#373475); #19869=PLANE('',#373476); #19870=PLANE('',#373477); #19871=PLANE('',#373478); #19872=PLANE('',#373479); #19873=PLANE('',#373480); #19874=PLANE('',#373481); #19875=PLANE('',#373482); #19876=PLANE('',#373483); #19877=PLANE('',#373484); #19878=PLANE('',#373485); #19879=PLANE('',#373486); #19880=PLANE('',#373487); #19881=PLANE('',#373488); #19882=PLANE('',#373489); #19883=PLANE('',#373490); #19884=PLANE('',#373491); #19885=PLANE('',#373492); #19886=PLANE('',#373493); #19887=PLANE('',#373494); #19888=PLANE('',#373495); #19889=PLANE('',#373496); #19890=PLANE('',#373497); #19891=PLANE('',#373498); #19892=PLANE('',#373499); #19893=PLANE('',#373500); #19894=PLANE('',#373501); #19895=PLANE('',#373502); #19896=PLANE('',#373506); #19897=PLANE('',#373507); #19898=PLANE('',#373508); #19899=PLANE('',#373509); #19900=PLANE('',#373510); #19901=PLANE('',#373511); #19902=PLANE('',#373516); #19903=PLANE('',#373517); #19904=PLANE('',#373518); #19905=PLANE('',#373521); #19906=PLANE('',#373522); #19907=PLANE('',#373523); #19908=PLANE('',#373524); #19909=PLANE('',#373528); #19910=PLANE('',#373534); #19911=PLANE('',#373535); #19912=PLANE('',#373540); #19913=PLANE('',#373545); #19914=PLANE('',#373546); #19915=PLANE('',#373551); #19916=PLANE('',#373552); #19917=PLANE('',#373556); #19918=PLANE('',#373557); #19919=PLANE('',#373558); #19920=PLANE('',#373559); #19921=PLANE('',#373560); #19922=PLANE('',#373564); #19923=PLANE('',#373565); #19924=PLANE('',#373567); #19925=PLANE('',#373568); #19926=PLANE('',#373571); #19927=PLANE('',#373572); #19928=PLANE('',#373575); #19929=PLANE('',#373578); #19930=PLANE('',#373580); #19931=PLANE('',#373583); #19932=PLANE('',#373588); #19933=PLANE('',#373589); #19934=PLANE('',#373590); #19935=PLANE('',#373591); #19936=PLANE('',#373592); #19937=PLANE('',#373593); #19938=PLANE('',#373594); #19939=PLANE('',#373595); #19940=PLANE('',#373596); #19941=PLANE('',#373597); #19942=PLANE('',#373598); #19943=PLANE('',#373599); #19944=PLANE('',#373600); #19945=PLANE('',#373601); #19946=PLANE('',#373602); #19947=PLANE('',#373603); #19948=PLANE('',#373604); #19949=PLANE('',#373605); #19950=PLANE('',#373606); #19951=PLANE('',#373607); #19952=PLANE('',#373608); #19953=PLANE('',#373609); #19954=PLANE('',#373610); #19955=PLANE('',#373611); #19956=PLANE('',#373612); #19957=PLANE('',#373613); #19958=PLANE('',#373618); #19959=PLANE('',#373622); #19960=PLANE('',#373626); #19961=PLANE('',#373627); #19962=PLANE('',#373631); #19963=PLANE('',#373632); #19964=PLANE('',#373633); #19965=PLANE('',#373634); #19966=PLANE('',#373635); #19967=PLANE('',#373636); #19968=PLANE('',#373637); #19969=PLANE('',#373638); #19970=PLANE('',#373639); #19971=PLANE('',#373640); #19972=PLANE('',#373641); #19973=PLANE('',#373642); #19974=PLANE('',#373643); #19975=PLANE('',#373644); #19976=PLANE('',#373645); #19977=PLANE('',#373646); #19978=PLANE('',#373647); #19979=PLANE('',#373648); #19980=PLANE('',#373649); #19981=PLANE('',#373650); #19982=PLANE('',#373651); #19983=PLANE('',#373652); #19984=PLANE('',#373653); #19985=PLANE('',#373654); #19986=PLANE('',#373655); #19987=PLANE('',#373656); #19988=PLANE('',#373657); #19989=PLANE('',#373658); #19990=PLANE('',#373659); #19991=PLANE('',#373660); #19992=PLANE('',#373661); #19993=PLANE('',#373662); #19994=PLANE('',#373663); #19995=PLANE('',#373664); #19996=PLANE('',#373665); #19997=PLANE('',#373666); #19998=PLANE('',#373667); #19999=PLANE('',#373668); #20000=PLANE('',#373669); #20001=PLANE('',#373670); #20002=PLANE('',#373671); #20003=PLANE('',#373672); #20004=PLANE('',#373673); #20005=PLANE('',#373674); #20006=PLANE('',#373675); #20007=PLANE('',#373676); #20008=PLANE('',#373677); #20009=PLANE('',#373678); #20010=PLANE('',#373679); #20011=PLANE('',#373680); #20012=PLANE('',#373681); #20013=PLANE('',#373682); #20014=PLANE('',#373683); #20015=PLANE('',#373684); #20016=PLANE('',#373685); #20017=PLANE('',#373686); #20018=PLANE('',#373687); #20019=PLANE('',#373688); #20020=PLANE('',#373689); #20021=PLANE('',#373690); #20022=PLANE('',#373691); #20023=PLANE('',#373692); #20024=PLANE('',#373693); #20025=PLANE('',#373694); #20026=PLANE('',#373695); #20027=PLANE('',#373696); #20028=PLANE('',#373697); #20029=PLANE('',#373698); #20030=PLANE('',#373699); #20031=PLANE('',#373700); #20032=PLANE('',#373701); #20033=PLANE('',#373702); #20034=PLANE('',#373703); #20035=PLANE('',#373704); #20036=PLANE('',#373705); #20037=PLANE('',#373706); #20038=PLANE('',#373707); #20039=PLANE('',#373708); #20040=PLANE('',#373709); #20041=PLANE('',#373710); #20042=PLANE('',#373711); #20043=PLANE('',#373712); #20044=PLANE('',#373713); #20045=PLANE('',#373714); #20046=PLANE('',#373715); #20047=PLANE('',#373716); #20048=PLANE('',#373718); #20049=PLANE('',#373719); #20050=PLANE('',#373724); #20051=PLANE('',#373729); #20052=PLANE('',#373730); #20053=PLANE('',#373731); #20054=PLANE('',#373732); #20055=PLANE('',#373733); #20056=PLANE('',#373734); #20057=PLANE('',#373735); #20058=PLANE('',#373737); #20059=PLANE('',#373739); #20060=PLANE('',#373741); #20061=PLANE('',#373743); #20062=PLANE('',#373744); #20063=PLANE('',#373745); #20064=PLANE('',#373747); #20065=PLANE('',#373748); #20066=PLANE('',#373753); #20067=PLANE('',#373758); #20068=PLANE('',#373759); #20069=PLANE('',#373760); #20070=PLANE('',#373761); #20071=PLANE('',#373762); #20072=PLANE('',#373763); #20073=PLANE('',#373764); #20074=PLANE('',#373766); #20075=PLANE('',#373768); #20076=PLANE('',#373770); #20077=PLANE('',#373772); #20078=PLANE('',#373773); #20079=PLANE('',#373774); #20080=PLANE('',#373776); #20081=PLANE('',#373777); #20082=PLANE('',#373782); #20083=PLANE('',#373787); #20084=PLANE('',#373788); #20085=PLANE('',#373789); #20086=PLANE('',#373790); #20087=PLANE('',#373791); #20088=PLANE('',#373792); #20089=PLANE('',#373793); #20090=PLANE('',#373795); #20091=PLANE('',#373797); #20092=PLANE('',#373799); #20093=PLANE('',#373801); #20094=PLANE('',#373802); #20095=PLANE('',#373803); #20096=PLANE('',#373805); #20097=PLANE('',#373806); #20098=PLANE('',#373811); #20099=PLANE('',#373816); #20100=PLANE('',#373817); #20101=PLANE('',#373818); #20102=PLANE('',#373819); #20103=PLANE('',#373820); #20104=PLANE('',#373821); #20105=PLANE('',#373822); #20106=PLANE('',#373824); #20107=PLANE('',#373826); #20108=PLANE('',#373828); #20109=PLANE('',#373830); #20110=PLANE('',#373831); #20111=PLANE('',#373832); #20112=PLANE('',#373834); #20113=PLANE('',#373835); #20114=PLANE('',#373840); #20115=PLANE('',#373845); #20116=PLANE('',#373846); #20117=PLANE('',#373847); #20118=PLANE('',#373848); #20119=PLANE('',#373849); #20120=PLANE('',#373850); #20121=PLANE('',#373851); #20122=PLANE('',#373853); #20123=PLANE('',#373855); #20124=PLANE('',#373857); #20125=PLANE('',#373859); #20126=PLANE('',#373860); #20127=PLANE('',#373861); #20128=PLANE('',#373863); #20129=PLANE('',#373864); #20130=PLANE('',#373869); #20131=PLANE('',#373874); #20132=PLANE('',#373875); #20133=PLANE('',#373876); #20134=PLANE('',#373877); #20135=PLANE('',#373878); #20136=PLANE('',#373879); #20137=PLANE('',#373880); #20138=PLANE('',#373882); #20139=PLANE('',#373884); #20140=PLANE('',#373886); #20141=PLANE('',#373888); #20142=PLANE('',#373889); #20143=PLANE('',#373890); #20144=PLANE('',#373892); #20145=PLANE('',#373893); #20146=PLANE('',#373898); #20147=PLANE('',#373903); #20148=PLANE('',#373904); #20149=PLANE('',#373905); #20150=PLANE('',#373906); #20151=PLANE('',#373907); #20152=PLANE('',#373908); #20153=PLANE('',#373909); #20154=PLANE('',#373911); #20155=PLANE('',#373913); #20156=PLANE('',#373915); #20157=PLANE('',#373917); #20158=PLANE('',#373918); #20159=PLANE('',#373919); #20160=PLANE('',#373921); #20161=PLANE('',#373922); #20162=PLANE('',#373927); #20163=PLANE('',#373932); #20164=PLANE('',#373933); #20165=PLANE('',#373934); #20166=PLANE('',#373935); #20167=PLANE('',#373936); #20168=PLANE('',#373937); #20169=PLANE('',#373938); #20170=PLANE('',#373940); #20171=PLANE('',#373942); #20172=PLANE('',#373944); #20173=PLANE('',#373946); #20174=PLANE('',#373947); #20175=PLANE('',#373948); #20176=PLANE('',#373950); #20177=PLANE('',#373951); #20178=PLANE('',#373956); #20179=PLANE('',#373961); #20180=PLANE('',#373962); #20181=PLANE('',#373963); #20182=PLANE('',#373964); #20183=PLANE('',#373965); #20184=PLANE('',#373966); #20185=PLANE('',#373967); #20186=PLANE('',#373969); #20187=PLANE('',#373971); #20188=PLANE('',#373973); #20189=PLANE('',#373975); #20190=PLANE('',#373976); #20191=PLANE('',#373977); #20192=PLANE('',#373979); #20193=PLANE('',#373980); #20194=PLANE('',#373985); #20195=PLANE('',#373990); #20196=PLANE('',#373991); #20197=PLANE('',#373992); #20198=PLANE('',#373993); #20199=PLANE('',#373994); #20200=PLANE('',#373995); #20201=PLANE('',#373996); #20202=PLANE('',#373998); #20203=PLANE('',#374000); #20204=PLANE('',#374002); #20205=PLANE('',#374004); #20206=PLANE('',#374005); #20207=PLANE('',#374006); #20208=PLANE('',#374008); #20209=PLANE('',#374009); #20210=PLANE('',#374014); #20211=PLANE('',#374019); #20212=PLANE('',#374020); #20213=PLANE('',#374021); #20214=PLANE('',#374022); #20215=PLANE('',#374023); #20216=PLANE('',#374024); #20217=PLANE('',#374025); #20218=PLANE('',#374027); #20219=PLANE('',#374029); #20220=PLANE('',#374031); #20221=PLANE('',#374033); #20222=PLANE('',#374034); #20223=PLANE('',#374035); #20224=PLANE('',#374037); #20225=PLANE('',#374038); #20226=PLANE('',#374043); #20227=PLANE('',#374048); #20228=PLANE('',#374049); #20229=PLANE('',#374050); #20230=PLANE('',#374051); #20231=PLANE('',#374052); #20232=PLANE('',#374053); #20233=PLANE('',#374054); #20234=PLANE('',#374056); #20235=PLANE('',#374058); #20236=PLANE('',#374060); #20237=PLANE('',#374062); #20238=PLANE('',#374063); #20239=PLANE('',#374064); #20240=PLANE('',#374066); #20241=PLANE('',#374067); #20242=PLANE('',#374072); #20243=PLANE('',#374077); #20244=PLANE('',#374078); #20245=PLANE('',#374079); #20246=PLANE('',#374080); #20247=PLANE('',#374081); #20248=PLANE('',#374082); #20249=PLANE('',#374083); #20250=PLANE('',#374085); #20251=PLANE('',#374087); #20252=PLANE('',#374089); #20253=PLANE('',#374091); #20254=PLANE('',#374092); #20255=PLANE('',#374093); #20256=PLANE('',#374095); #20257=PLANE('',#374096); #20258=PLANE('',#374101); #20259=PLANE('',#374106); #20260=PLANE('',#374107); #20261=PLANE('',#374108); #20262=PLANE('',#374109); #20263=PLANE('',#374110); #20264=PLANE('',#374111); #20265=PLANE('',#374112); #20266=PLANE('',#374114); #20267=PLANE('',#374116); #20268=PLANE('',#374118); #20269=PLANE('',#374120); #20270=PLANE('',#374121); #20271=PLANE('',#374122); #20272=PLANE('',#374124); #20273=PLANE('',#374125); #20274=PLANE('',#374126); #20275=PLANE('',#374127); #20276=PLANE('',#374131); #20277=PLANE('',#374132); #20278=PLANE('',#374133); #20279=PLANE('',#374137); #20280=PLANE('',#374144); #20281=PLANE('',#374148); #20282=PLANE('',#374152); #20283=PLANE('',#374153); #20284=PLANE('',#374154); #20285=PLANE('',#374155); #20286=PLANE('',#374156); #20287=PLANE('',#374157); #20288=PLANE('',#374158); #20289=PLANE('',#374162); #20290=PLANE('',#374166); #20291=PLANE('',#374173); #20292=PLANE('',#374177); #20293=PLANE('',#374178); #20294=PLANE('',#374179); #20295=PLANE('',#374183); #20296=PLANE('',#374184); #20297=PLANE('',#374185); #20298=PLANE('',#374187); #20299=PLANE('',#374188); #20300=PLANE('',#374189); #20301=PLANE('',#374207); #20302=PLANE('',#374225); #20303=PLANE('',#374226); #20304=PLANE('',#374233); #20305=PLANE('',#374236); #20306=PLANE('',#374256); #20307=PLANE('',#374259); #20308=PLANE('',#374277); #20309=PLANE('',#374278); #20310=PLANE('',#374279); #20311=PLANE('',#374280); #20312=PLANE('',#374281); #20313=PLANE('',#374285); #20314=PLANE('',#374288); #20315=PLANE('',#374309); #20316=PLANE('',#374310); #20317=PLANE('',#374311); #20318=PLANE('',#374312); #20319=PLANE('',#374313); #20320=PLANE('',#374333); #20321=PLANE('',#374337); #20322=PLANE('',#374373); #20323=PLANE('',#374374); #20324=PLANE('',#374375); #20325=PLANE('',#374378); #20326=PLANE('',#374379); #20327=PLANE('',#374393); #20328=PLANE('',#374414); #20329=PLANE('',#374424); #20330=PLANE('',#374425); #20331=PLANE('',#374429); #20332=PLANE('',#374431); #20333=PLANE('',#374432); #20334=PLANE('',#374434); #20335=PLANE('',#374436); #20336=PLANE('',#374438); #20337=PLANE('',#374441); #20338=PLANE('',#374443); #20339=PLANE('',#374445); #20340=PLANE('',#374447); #20341=PLANE('',#374448); #20342=PLANE('',#374450); #20343=PLANE('',#374452); #20344=PLANE('',#374454); #20345=PLANE('',#374456); #20346=PLANE('',#374458); #20347=PLANE('',#374459); #20348=PLANE('',#374461); #20349=PLANE('',#374463); #20350=PLANE('',#374465); #20351=PLANE('',#374467); #20352=PLANE('',#374469); #20353=PLANE('',#374471); #20354=PLANE('',#374473); #20355=PLANE('',#374477); #20356=PLANE('',#374479); #20357=PLANE('',#374482); #20358=PLANE('',#374483); #20359=PLANE('',#374484); #20360=PLANE('',#374486); #20361=PLANE('',#374488); #20362=PLANE('',#374490); #20363=PLANE('',#374492); #20364=PLANE('',#374495); #20365=PLANE('',#374496); #20366=PLANE('',#374500); #20367=PLANE('',#374502); #20368=PLANE('',#374504); #20369=PLANE('',#374506); #20370=PLANE('',#374508); #20371=PLANE('',#374509); #20372=PLANE('',#374510); #20373=PLANE('',#374511); #20374=PLANE('',#374512); #20375=PLANE('',#374513); #20376=PLANE('',#374522); #20377=PLANE('',#374532); #20378=PLANE('',#374534); #20379=PLANE('',#374536); #20380=PLANE('',#374539); #20381=PLANE('',#374541); #20382=PLANE('',#374543); #20383=PLANE('',#374545); #20384=PLANE('',#374546); #20385=PLANE('',#374547); #20386=PLANE('',#374548); #20387=PLANE('',#374549); #20388=PLANE('',#374558); #20389=PLANE('',#374568); #20390=PLANE('',#374570); #20391=PLANE('',#374572); #20392=PLANE('',#374575); #20393=PLANE('',#374577); #20394=PLANE('',#374579); #20395=PLANE('',#374581); #20396=PLANE('',#374585); #20397=PLANE('',#374589); #20398=PLANE('',#374593); #20399=PLANE('',#374599); #20400=PLANE('',#374603); #20401=PLANE('',#374609); #20402=PLANE('',#374610); #20403=PLANE('',#374611); #20404=PLANE('',#374612); #20405=PLANE('',#374613); #20406=PLANE('',#374614); #20407=PLANE('',#374615); #20408=PLANE('',#374617); #20409=PLANE('',#374621); #20410=PLANE('',#374623); #20411=PLANE('',#374625); #20412=PLANE('',#374627); #20413=PLANE('',#374629); #20414=PLANE('',#374632); #20415=PLANE('',#374634); #20416=PLANE('',#374636); #20417=PLANE('',#374638); #20418=PLANE('',#374640); #20419=PLANE('',#374641); #20420=PLANE('',#374642); #20421=PLANE('',#374645); #20422=PLANE('',#374649); #20423=PLANE('',#374650); #20424=PLANE('',#374651); #20425=PLANE('',#374652); #20426=PLANE('',#374653); #20427=PLANE('',#374662); #20428=PLANE('',#374672); #20429=PLANE('',#374674); #20430=PLANE('',#374676); #20431=PLANE('',#374679); #20432=PLANE('',#374681); #20433=PLANE('',#374683); #20434=PLANE('',#374685); #20435=PLANE('',#374686); #20436=PLANE('',#374687); #20437=PLANE('',#374688); #20438=PLANE('',#374689); #20439=PLANE('',#374698); #20440=PLANE('',#374708); #20441=PLANE('',#374710); #20442=PLANE('',#374712); #20443=PLANE('',#374715); #20444=PLANE('',#374717); #20445=PLANE('',#374719); #20446=PLANE('',#374724); #20447=PLANE('',#374728); #20448=PLANE('',#374732); #20449=PLANE('',#374733); #20450=PLANE('',#374742); #20451=PLANE('',#374743); #20452=PLANE('',#374749); #20453=PLANE('',#374750); #20454=PLANE('',#374751); #20455=PLANE('',#374752); #20456=PLANE('',#374753); #20457=PLANE('',#374754); #20458=PLANE('',#374758); #20459=PLANE('',#374768); #20460=PLANE('',#374778); #20461=PLANE('',#374782); #20462=PLANE('',#374786); #20463=PLANE('',#374796); #20464=PLANE('',#374806); #20465=PLANE('',#374810); #20466=PLANE('',#374814); #20467=PLANE('',#374818); #20468=PLANE('',#374821); #20469=PLANE('',#374825); #20470=PLANE('',#374826); #20471=PLANE('',#374827); #20472=PLANE('',#374828); #20473=PLANE('',#374832); #20474=PLANE('',#374833); #20475=PLANE('',#374838); #20476=PLANE('',#374839); #20477=PLANE('',#374842); #20478=PLANE('',#374854); #20479=PLANE('',#374858); #20480=PLANE('',#374862); #20481=PLANE('',#374870); #20482=PLANE('',#374878); #20483=PLANE('',#374886); #20484=PLANE('',#374894); #20485=PLANE('',#374898); #20486=PLANE('',#374902); #20487=PLANE('',#374914); #20488=PLANE('',#374915); #20489=PLANE('',#374922); #20490=PLANE('',#374925); #20491=PLANE('',#374930); #20492=PLANE('',#374933); #20493=PLANE('',#374938); #20494=PLANE('',#374943); #20495=PLANE('',#374951); #20496=PLANE('',#374953); #20497=PLANE('',#374955); #20498=PLANE('',#374958); #20499=PLANE('',#374960); #20500=PLANE('',#374962); #20501=PLANE('',#374965); #20502=PLANE('',#374967); #20503=PLANE('',#374969); #20504=PLANE('',#374972); #20505=PLANE('',#374974); #20506=PLANE('',#374976); #20507=PLANE('',#374979); #20508=PLANE('',#374980); #20509=PLANE('',#374985); #20510=PLANE('',#374990); #20511=PLANE('',#374991); #20512=PLANE('',#374992); #20513=PLANE('',#374993); #20514=PLANE('',#374994); #20515=PLANE('',#374995); #20516=PLANE('',#374996); #20517=PLANE('',#374998); #20518=PLANE('',#375000); #20519=PLANE('',#375002); #20520=PLANE('',#375004); #20521=PLANE('',#375005); #20522=PLANE('',#375006); #20523=PLANE('',#375031); #20524=PLANE('',#375032); #20525=PLANE('',#375033); #20526=PLANE('',#375034); #20527=PLANE('',#375035); #20528=PLANE('',#375036); #20529=PLANE('',#375037); #20530=PLANE('',#375038); #20531=PLANE('',#375039); #20532=PLANE('',#375040); #20533=PLANE('',#375041); #20534=PLANE('',#375042); #20535=PLANE('',#375043); #20536=PLANE('',#375044); #20537=PLANE('',#375046); #20538=PLANE('',#375048); #20539=PLANE('',#375051); #20540=PLANE('',#375052); #20541=PLANE('',#375055); #20542=PLANE('',#375057); #20543=PLANE('',#375058); #20544=PLANE('',#375060); #20545=PLANE('',#375061); #20546=PLANE('',#375062); #20547=PLANE('',#375063); #20548=PLANE('',#375065); #20549=PLANE('',#375066); #20550=PLANE('',#375068); #20551=PLANE('',#375069); #20552=PLANE('',#375070); #20553=PLANE('',#375071); #20554=PLANE('',#375072); #20555=PLANE('',#375073); #20556=PLANE('',#375074); #20557=PLANE('',#375075); #20558=PLANE('',#375076); #20559=PLANE('',#375077); #20560=PLANE('',#375078); #20561=PLANE('',#375079); #20562=PLANE('',#375080); #20563=PLANE('',#375081); #20564=PLANE('',#375082); #20565=PLANE('',#375083); #20566=PLANE('',#375084); #20567=PLANE('',#375085); #20568=PLANE('',#375086); #20569=PLANE('',#375087); #20570=PLANE('',#375088); #20571=PLANE('',#375089); #20572=PLANE('',#375090); #20573=PLANE('',#375091); #20574=PLANE('',#375092); #20575=PLANE('',#375093); #20576=PLANE('',#375094); #20577=PLANE('',#375095); #20578=PLANE('',#375096); #20579=PLANE('',#375097); #20580=PLANE('',#375098); #20581=PLANE('',#375099); #20582=PLANE('',#375100); #20583=PLANE('',#375101); #20584=PLANE('',#375102); #20585=PLANE('',#375103); #20586=PLANE('',#375104); #20587=PLANE('',#375105); #20588=PLANE('',#375106); #20589=PLANE('',#375107); #20590=PLANE('',#375108); #20591=PLANE('',#375109); #20592=PLANE('',#375110); #20593=PLANE('',#375111); #20594=PLANE('',#375112); #20595=PLANE('',#375113); #20596=PLANE('',#375114); #20597=PLANE('',#375117); #20598=PLANE('',#375118); #20599=PLANE('',#375119); #20600=PLANE('',#375168); #20601=PLANE('',#375169); #20602=PLANE('',#375170); #20603=PLANE('',#375171); #20604=PLANE('',#375172); #20605=PLANE('',#375173); #20606=PLANE('',#375218); #20607=PLANE('',#375219); #20608=PLANE('',#375220); #20609=PLANE('',#375221); #20610=PLANE('',#375222); #20611=PLANE('',#375223); #20612=PLANE('',#375224); #20613=PLANE('',#375225); #20614=PLANE('',#375226); #20615=PLANE('',#375227); #20616=PLANE('',#375228); #20617=PLANE('',#375229); #20618=PLANE('',#375299); #20619=PLANE('',#375300); #20620=PLANE('',#375301); #20621=PLANE('',#375302); #20622=PLANE('',#375303); #20623=PLANE('',#375304); #20624=PLANE('',#375349); #20625=PLANE('',#375350); #20626=PLANE('',#375351); #20627=PLANE('',#375352); #20628=PLANE('',#375353); #20629=PLANE('',#375354); #20630=PLANE('',#375355); #20631=PLANE('',#375356); #20632=PLANE('',#375357); #20633=PLANE('',#375358); #20634=PLANE('',#375359); #20635=PLANE('',#375360); #20636=PLANE('',#375408); #20637=PLANE('',#375409); #20638=PLANE('',#375410); #20639=PLANE('',#375411); #20640=PLANE('',#375412); #20641=PLANE('',#375413); #20642=PLANE('',#375458); #20643=PLANE('',#375459); #20644=PLANE('',#375460); #20645=PLANE('',#375461); #20646=PLANE('',#375462); #20647=PLANE('',#375463); #20648=PLANE('',#375464); #20649=PLANE('',#375465); #20650=PLANE('',#375466); #20651=PLANE('',#375467); #20652=PLANE('',#375468); #20653=PLANE('',#375469); #20654=PLANE('',#375476); #20655=PLANE('',#375477); #20656=PLANE('',#375498); #20657=PLANE('',#375499); #20658=PLANE('',#375522); #20659=PLANE('',#375543); #20660=PLANE('',#375552); #20661=PLANE('',#375556); #20662=PLANE('',#375557); #20663=PLANE('',#375561); #20664=PLANE('',#375562); #20665=PLANE('',#375563); #20666=PLANE('',#375564); #20667=PLANE('',#375569); #20668=PLANE('',#375572); #20669=PLANE('',#375573); #20670=PLANE('',#375574); #20671=PLANE('',#375579); #20672=PLANE('',#375582); #20673=PLANE('',#375583); #20674=PLANE('',#375584); #20675=PLANE('',#375589); #20676=PLANE('',#375592); #20677=PLANE('',#375593); #20678=PLANE('',#375594); #20679=PLANE('',#375599); #20680=PLANE('',#375602); #20681=PLANE('',#375603); #20682=PLANE('',#375604); #20683=PLANE('',#375609); #20684=PLANE('',#375612); #20685=PLANE('',#375613); #20686=PLANE('',#375614); #20687=PLANE('',#375619); #20688=PLANE('',#375622); #20689=PLANE('',#375623); #20690=PLANE('',#375624); #20691=PLANE('',#375629); #20692=PLANE('',#375632); #20693=PLANE('',#375633); #20694=PLANE('',#375634); #20695=PLANE('',#375639); #20696=PLANE('',#375642); #20697=PLANE('',#375643); #20698=PLANE('',#375644); #20699=PLANE('',#375649); #20700=PLANE('',#375652); #20701=PLANE('',#375659); #20702=PLANE('',#375660); #20703=PLANE('',#375661); #20704=PLANE('',#375662); #20705=PLANE('',#375663); #20706=PLANE('',#375664); #20707=PLANE('',#375665); #20708=PLANE('',#375666); #20709=PLANE('',#375667); #20710=PLANE('',#375668); #20711=PLANE('',#375669); #20712=PLANE('',#375670); #20713=PLANE('',#375671); #20714=PLANE('',#375672); #20715=PLANE('',#375673); #20716=PLANE('',#375674); #20717=PLANE('',#375675); #20718=PLANE('',#375676); #20719=PLANE('',#375677); #20720=PLANE('',#375681); #20721=PLANE('',#375685); #20722=PLANE('',#375686); #20723=PLANE('',#375687); #20724=PLANE('',#375688); #20725=PLANE('',#375689); #20726=PLANE('',#375693); #20727=PLANE('',#375697); #20728=PLANE('',#375698); #20729=PLANE('',#375699); #20730=PLANE('',#375700); #20731=PLANE('',#375701); #20732=PLANE('',#375705); #20733=PLANE('',#375709); #20734=PLANE('',#375710); #20735=PLANE('',#375711); #20736=PLANE('',#375712); #20737=PLANE('',#375713); #20738=PLANE('',#375717); #20739=PLANE('',#375721); #20740=PLANE('',#375722); #20741=PLANE('',#375723); #20742=PLANE('',#375724); #20743=PLANE('',#375725); #20744=PLANE('',#375729); #20745=PLANE('',#375733); #20746=PLANE('',#375734); #20747=PLANE('',#375735); #20748=PLANE('',#375736); #20749=PLANE('',#375737); #20750=PLANE('',#375741); #20751=PLANE('',#375745); #20752=PLANE('',#375746); #20753=PLANE('',#375747); #20754=PLANE('',#375748); #20755=PLANE('',#375749); #20756=PLANE('',#375753); #20757=PLANE('',#375757); #20758=PLANE('',#375758); #20759=PLANE('',#375759); #20760=PLANE('',#375760); #20761=PLANE('',#375761); #20762=PLANE('',#375765); #20763=PLANE('',#375769); #20764=PLANE('',#375770); #20765=PLANE('',#375771); #20766=PLANE('',#375772); #20767=PLANE('',#375773); #20768=PLANE('',#375774); #20769=PLANE('',#375775); #20770=PLANE('',#375776); #20771=PLANE('',#375777); #20772=PLANE('',#375778); #20773=PLANE('',#375779); #20774=PLANE('',#375780); #20775=PLANE('',#375781); #20776=PLANE('',#375782); #20777=PLANE('',#375783); #20778=PLANE('',#375784); #20779=PLANE('',#375785); #20780=PLANE('',#375786); #20781=PLANE('',#375787); #20782=PLANE('',#375788); #20783=PLANE('',#375789); #20784=PLANE('',#375790); #20785=PLANE('',#375791); #20786=PLANE('',#375792); #20787=PLANE('',#375793); #20788=PLANE('',#375794); #20789=PLANE('',#375795); #20790=PLANE('',#375796); #20791=PLANE('',#375797); #20792=PLANE('',#375798); #20793=PLANE('',#375799); #20794=PLANE('',#375800); #20795=PLANE('',#375801); #20796=PLANE('',#375802); #20797=PLANE('',#375803); #20798=PLANE('',#375804); #20799=PLANE('',#375805); #20800=PLANE('',#375806); #20801=PLANE('',#375807); #20802=PLANE('',#375808); #20803=PLANE('',#375809); #20804=PLANE('',#375810); #20805=PLANE('',#375811); #20806=PLANE('',#375812); #20807=PLANE('',#375813); #20808=PLANE('',#375814); #20809=PLANE('',#375815); #20810=PLANE('',#375816); #20811=PLANE('',#375817); #20812=PLANE('',#375818); #20813=PLANE('',#375819); #20814=PLANE('',#375826); #20815=PLANE('',#375827); #20816=PLANE('',#375828); #20817=PLANE('',#375829); #20818=PLANE('',#375830); #20819=PLANE('',#375831); #20820=PLANE('',#375832); #20821=PLANE('',#375833); #20822=PLANE('',#375834); #20823=PLANE('',#375835); #20824=PLANE('',#375836); #20825=PLANE('',#375837); #20826=PLANE('',#375838); #20827=PLANE('',#375839); #20828=PLANE('',#375840); #20829=PLANE('',#375841); #20830=PLANE('',#375842); #20831=PLANE('',#375843); #20832=PLANE('',#375844); #20833=PLANE('',#375848); #20834=PLANE('',#375852); #20835=PLANE('',#375853); #20836=PLANE('',#375854); #20837=PLANE('',#375855); #20838=PLANE('',#375856); #20839=PLANE('',#375860); #20840=PLANE('',#375864); #20841=PLANE('',#375865); #20842=PLANE('',#375866); #20843=PLANE('',#375867); #20844=PLANE('',#375868); #20845=PLANE('',#375872); #20846=PLANE('',#375876); #20847=PLANE('',#375877); #20848=PLANE('',#375878); #20849=PLANE('',#375879); #20850=PLANE('',#375880); #20851=PLANE('',#375884); #20852=PLANE('',#375888); #20853=PLANE('',#375889); #20854=PLANE('',#375890); #20855=PLANE('',#375891); #20856=PLANE('',#375892); #20857=PLANE('',#375896); #20858=PLANE('',#375900); #20859=PLANE('',#375901); #20860=PLANE('',#375902); #20861=PLANE('',#375903); #20862=PLANE('',#375904); #20863=PLANE('',#375908); #20864=PLANE('',#375912); #20865=PLANE('',#375913); #20866=PLANE('',#375914); #20867=PLANE('',#375915); #20868=PLANE('',#375916); #20869=PLANE('',#375920); #20870=PLANE('',#375924); #20871=PLANE('',#375925); #20872=PLANE('',#375926); #20873=PLANE('',#375927); #20874=PLANE('',#375928); #20875=PLANE('',#375932); #20876=PLANE('',#375936); #20877=PLANE('',#375937); #20878=PLANE('',#375938); #20879=PLANE('',#375939); #20880=PLANE('',#375940); #20881=PLANE('',#375941); #20882=PLANE('',#375942); #20883=PLANE('',#375943); #20884=PLANE('',#375944); #20885=PLANE('',#375945); #20886=PLANE('',#375946); #20887=PLANE('',#375947); #20888=PLANE('',#375948); #20889=PLANE('',#375949); #20890=PLANE('',#375950); #20891=PLANE('',#375951); #20892=PLANE('',#375952); #20893=PLANE('',#375953); #20894=PLANE('',#375954); #20895=PLANE('',#375955); #20896=PLANE('',#375956); #20897=PLANE('',#375957); #20898=PLANE('',#375958); #20899=PLANE('',#375959); #20900=PLANE('',#375960); #20901=PLANE('',#375961); #20902=PLANE('',#375962); #20903=PLANE('',#375963); #20904=PLANE('',#375964); #20905=PLANE('',#375965); #20906=PLANE('',#375966); #20907=PLANE('',#375967); #20908=PLANE('',#375968); #20909=PLANE('',#375969); #20910=PLANE('',#375970); #20911=PLANE('',#375971); #20912=PLANE('',#375972); #20913=PLANE('',#375973); #20914=PLANE('',#375974); #20915=PLANE('',#375975); #20916=PLANE('',#375976); #20917=PLANE('',#375977); #20918=PLANE('',#375978); #20919=PLANE('',#375979); #20920=PLANE('',#375980); #20921=PLANE('',#375981); #20922=PLANE('',#375982); #20923=PLANE('',#375983); #20924=PLANE('',#375984); #20925=PLANE('',#375985); #20926=PLANE('',#375986); #20927=PLANE('',#375990); #20928=PLANE('',#375991); #20929=PLANE('',#375992); #20930=PLANE('',#375993); #20931=PLANE('',#375994); #20932=PLANE('',#375995); #20933=PLANE('',#375996); #20934=PLANE('',#375997); #20935=PLANE('',#375998); #20936=PLANE('',#375999); #20937=PLANE('',#376000); #20938=PLANE('',#376001); #20939=PLANE('',#376002); #20940=PLANE('',#376003); #20941=PLANE('',#376004); #20942=PLANE('',#376005); #20943=PLANE('',#376006); #20944=PLANE('',#376007); #20945=PLANE('',#376008); #20946=PLANE('',#376009); #20947=PLANE('',#376010); #20948=PLANE('',#376011); #20949=PLANE('',#376012); #20950=PLANE('',#376013); #20951=PLANE('',#376014); #20952=PLANE('',#376015); #20953=PLANE('',#376016); #20954=PLANE('',#376017); #20955=PLANE('',#376018); #20956=PLANE('',#376019); #20957=PLANE('',#376020); #20958=PLANE('',#376021); #20959=PLANE('',#376022); #20960=PLANE('',#376023); #20961=PLANE('',#376033); #20962=PLANE('',#376034); #20963=PLANE('',#376045); #20964=PLANE('',#376046); #20965=PLANE('',#376047); #20966=PLANE('',#376048); #20967=PLANE('',#376049); #20968=PLANE('',#376050); #20969=PLANE('',#376051); #20970=PLANE('',#376069); #20971=PLANE('',#376070); #20972=PLANE('',#376071); #20973=PLANE('',#376072); #20974=PLANE('',#376073); #20975=PLANE('',#376074); #20976=PLANE('',#376075); #20977=PLANE('',#376076); #20978=PLANE('',#376077); #20979=PLANE('',#376078); #20980=PLANE('',#376082); #20981=PLANE('',#376083); #20982=PLANE('',#376084); #20983=PLANE('',#376085); #20984=PLANE('',#376086); #20985=PLANE('',#376087); #20986=PLANE('',#376088); #20987=PLANE('',#376089); #20988=PLANE('',#376090); #20989=PLANE('',#376091); #20990=PLANE('',#376092); #20991=PLANE('',#376093); #20992=PLANE('',#376094); #20993=PLANE('',#376095); #20994=PLANE('',#376096); #20995=PLANE('',#376097); #20996=PLANE('',#376098); #20997=PLANE('',#376099); #20998=PLANE('',#376100); #20999=PLANE('',#376104); #21000=PLANE('',#376126); #21001=PLANE('',#376128); #21002=PLANE('',#376130); #21003=PLANE('',#376132); #21004=PLANE('',#376134); #21005=PLANE('',#376136); #21006=PLANE('',#376138); #21007=PLANE('',#376139); #21008=PLANE('',#376140); #21009=PLANE('',#376141); #21010=PLANE('',#376142); #21011=PLANE('',#376143); #21012=PLANE('',#376144); #21013=PLANE('',#376145); #21014=PLANE('',#376167); #21015=PLANE('',#376171); #21016=PLANE('',#376172); #21017=PLANE('',#376173); #21018=PLANE('',#376174); #21019=PLANE('',#376175); #21020=PLANE('',#376176); #21021=PLANE('',#376177); #21022=PLANE('',#376178); #21023=PLANE('',#376179); #21024=PLANE('',#376181); #21025=PLANE('',#376183); #21026=PLANE('',#376185); #21027=PLANE('',#376187); #21028=PLANE('',#376189); #21029=PLANE('',#376191); #21030=PLANE('',#376193); #21031=PLANE('',#376197); #21032=PLANE('',#376198); #21033=PLANE('',#376205); #21034=PLANE('',#376210); #21035=PLANE('',#376211); #21036=PLANE('',#376212); #21037=PLANE('',#376213); #21038=PLANE('',#376216); #21039=PLANE('',#376221); #21040=PLANE('',#376222); #21041=PLANE('',#376225); #21042=PLANE('',#376226); #21043=PLANE('',#376231); #21044=PLANE('',#376232); #21045=PLANE('',#376238); #21046=PLANE('',#376241); #21047=PLANE('',#376243); #21048=PLANE('',#376244); #21049=PLANE('',#376245); #21050=PLANE('',#376246); #21051=PLANE('',#376247); #21052=PLANE('',#376254); #21053=PLANE('',#376255); #21054=PLANE('',#376260); #21055=PLANE('',#376263); #21056=PLANE('',#376267); #21057=PLANE('',#376270); #21058=PLANE('',#376272); #21059=PLANE('',#376273); #21060=PLANE('',#376274); #21061=PLANE('',#376275); #21062=PLANE('',#376276); #21063=PLANE('',#376277); #21064=PLANE('',#376284); #21065=PLANE('',#376289); #21066=PLANE('',#376290); #21067=PLANE('',#376291); #21068=PLANE('',#376292); #21069=PLANE('',#376295); #21070=PLANE('',#376300); #21071=PLANE('',#376301); #21072=PLANE('',#376304); #21073=PLANE('',#376305); #21074=PLANE('',#376310); #21075=PLANE('',#376311); #21076=PLANE('',#376316); #21077=PLANE('',#376317); #21078=PLANE('',#376318); #21079=PLANE('',#376319); #21080=PLANE('',#376321); #21081=PLANE('',#376324); #21082=PLANE('',#376326); #21083=PLANE('',#376329); #21084=PLANE('',#376330); #21085=PLANE('',#376333); #21086=PLANE('',#376336); #21087=PLANE('',#376345); #21088=PLANE('',#376346); #21089=PLANE('',#376347); #21090=PLANE('',#376348); #21091=PLANE('',#376349); #21092=PLANE('',#376350); #21093=PLANE('',#376351); #21094=PLANE('',#376352); #21095=PLANE('',#376353); #21096=PLANE('',#376354); #21097=PLANE('',#376355); #21098=PLANE('',#376356); #21099=PLANE('',#376357); #21100=PLANE('',#376358); #21101=PLANE('',#376362); #21102=PLANE('',#376363); #21103=PLANE('',#376366); #21104=PLANE('',#376367); #21105=PLANE('',#376368); #21106=PLANE('',#376371); #21107=PLANE('',#376375); #21108=PLANE('',#376376); #21109=PLANE('',#376377); #21110=PLANE('',#376378); #21111=PLANE('',#376382); #21112=PLANE('',#376386); #21113=PLANE('',#376389); #21114=PLANE('',#376390); #21115=PLANE('',#376391); #21116=PLANE('',#376394); #21117=PLANE('',#376395); #21118=PLANE('',#376398); #21119=PLANE('',#376399); #21120=PLANE('',#376403); #21121=PLANE('',#376407); #21122=PLANE('',#376408); #21123=PLANE('',#376409); #21124=PLANE('',#376410); #21125=PLANE('',#376411); #21126=PLANE('',#376412); #21127=PLANE('',#376415); #21128=PLANE('',#376418); #21129=PLANE('',#376419); #21130=PLANE('',#376420); #21131=PLANE('',#376423); #21132=PLANE('',#376424); #21133=PLANE('',#376428); #21134=PLANE('',#376434); #21135=PLANE('',#376436); #21136=PLANE('',#376437); #21137=PLANE('',#376440); #21138=PLANE('',#376441); #21139=PLANE('',#376444); #21140=PLANE('',#376449); #21141=PLANE('',#376452); #21142=PLANE('',#376465); #21143=PLANE('',#376467); #21144=PLANE('',#376469); #21145=PLANE('',#376470); #21146=PLANE('',#376471); #21147=PLANE('',#376472); #21148=PLANE('',#376473); #21149=PLANE('',#376474); #21150=PLANE('',#376475); #21151=PLANE('',#376476); #21152=PLANE('',#376477); #21153=PLANE('',#376478); #21154=PLANE('',#376485); #21155=PLANE('',#376486); #21156=PLANE('',#376487); #21157=PLANE('',#376488); #21158=PLANE('',#376493); #21159=PLANE('',#376495); #21160=PLANE('',#376497); #21161=PLANE('',#376498); #21162=PLANE('',#376499); #21163=PLANE('',#376500); #21164=PLANE('',#376507); #21165=PLANE('',#376508); #21166=PLANE('',#376509); #21167=PLANE('',#376510); #21168=PLANE('',#376515); #21169=PLANE('',#376517); #21170=PLANE('',#376519); #21171=PLANE('',#376520); #21172=PLANE('',#376521); #21173=PLANE('',#376522); #21174=PLANE('',#376523); #21175=PLANE('',#376525); #21176=PLANE('',#376531); #21177=PLANE('',#376532); #21178=PLANE('',#376535); #21179=PLANE('',#376540); #21180=PLANE('',#376544); #21181=PLANE('',#376546); #21182=PLANE('',#376552); #21183=PLANE('',#376553); #21184=PLANE('',#376558); #21185=PLANE('',#376560); #21186=PLANE('',#376561); #21187=PLANE('',#376562); #21188=PLANE('',#376564); #21189=PLANE('',#376566); #21190=PLANE('',#376567); #21191=PLANE('',#376568); #21192=PLANE('',#376569); #21193=PLANE('',#376570); #21194=PLANE('',#376581); #21195=PLANE('',#376584); #21196=PLANE('',#376593); #21197=PLANE('',#376595); #21198=PLANE('',#376599); #21199=PLANE('',#376600); #21200=PLANE('',#376601); #21201=PLANE('',#376602); #21202=PLANE('',#376603); #21203=PLANE('',#376605); #21204=PLANE('',#376609); #21205=PLANE('',#376610); #21206=PLANE('',#376611); #21207=PLANE('',#376612); #21208=PLANE('',#376613); #21209=PLANE('',#376614); #21210=PLANE('',#376615); #21211=PLANE('',#376616); #21212=PLANE('',#376617); #21213=PLANE('',#376624); #21214=PLANE('',#376627); #21215=PLANE('',#376636); #21216=PLANE('',#376638); #21217=PLANE('',#376639); #21218=PLANE('',#376640); #21219=PLANE('',#376641); #21220=PLANE('',#376642); #21221=PLANE('',#376643); #21222=PLANE('',#376645); #21223=PLANE('',#376646); #21224=PLANE('',#376647); #21225=PLANE('',#376648); #21226=PLANE('',#376651); #21227=PLANE('',#376652); #21228=PLANE('',#376653); #21229=PLANE('',#376654); #21230=PLANE('',#376655); #21231=PLANE('',#376658); #21232=PLANE('',#376667); #21233=PLANE('',#376668); #21234=PLANE('',#376669); #21235=PLANE('',#376670); #21236=PLANE('',#376671); #21237=PLANE('',#376672); #21238=PLANE('',#376673); #21239=PLANE('',#376674); #21240=PLANE('',#376675); #21241=PLANE('',#376676); #21242=PLANE('',#376677); #21243=PLANE('',#376678); #21244=PLANE('',#376682); #21245=PLANE('',#376683); #21246=PLANE('',#376684); #21247=PLANE('',#376685); #21248=PLANE('',#376686); #21249=PLANE('',#376687); #21250=PLANE('',#376688); #21251=PLANE('',#376692); #21252=PLANE('',#376693); #21253=PLANE('',#376694); #21254=PLANE('',#376695); #21255=PLANE('',#376696); #21256=PLANE('',#376697); #21257=PLANE('',#376698); #21258=PLANE('',#376702); #21259=PLANE('',#376703); #21260=PLANE('',#376704); #21261=PLANE('',#376705); #21262=PLANE('',#376706); #21263=PLANE('',#376707); #21264=PLANE('',#376708); #21265=PLANE('',#376712); #21266=PLANE('',#376713); #21267=PLANE('',#376714); #21268=PLANE('',#376715); #21269=PLANE('',#376716); #21270=PLANE('',#376717); #21271=PLANE('',#376718); #21272=PLANE('',#376722); #21273=PLANE('',#376723); #21274=PLANE('',#376724); #21275=PLANE('',#376725); #21276=PLANE('',#376726); #21277=PLANE('',#376727); #21278=PLANE('',#376728); #21279=PLANE('',#376732); #21280=PLANE('',#376733); #21281=PLANE('',#376734); #21282=PLANE('',#376735); #21283=PLANE('',#376736); #21284=PLANE('',#376737); #21285=PLANE('',#376738); #21286=PLANE('',#376742); #21287=PLANE('',#376743); #21288=PLANE('',#376744); #21289=PLANE('',#376745); #21290=PLANE('',#376746); #21291=PLANE('',#376747); #21292=PLANE('',#376748); #21293=PLANE('',#376752); #21294=PLANE('',#376753); #21295=PLANE('',#376754); #21296=PLANE('',#376755); #21297=PLANE('',#376756); #21298=PLANE('',#376757); #21299=PLANE('',#376758); #21300=PLANE('',#376762); #21301=PLANE('',#376763); #21302=PLANE('',#376764); #21303=PLANE('',#376765); #21304=PLANE('',#376766); #21305=PLANE('',#376767); #21306=PLANE('',#376768); #21307=PLANE('',#376772); #21308=PLANE('',#376773); #21309=PLANE('',#376774); #21310=PLANE('',#376775); #21311=PLANE('',#376776); #21312=PLANE('',#376777); #21313=PLANE('',#376778); #21314=PLANE('',#376782); #21315=PLANE('',#376783); #21316=PLANE('',#376784); #21317=PLANE('',#376785); #21318=PLANE('',#376786); #21319=PLANE('',#376787); #21320=PLANE('',#376788); #21321=PLANE('',#376792); #21322=PLANE('',#376793); #21323=PLANE('',#376794); #21324=PLANE('',#376795); #21325=PLANE('',#376796); #21326=PLANE('',#376797); #21327=PLANE('',#376798); #21328=PLANE('',#376802); #21329=PLANE('',#376803); #21330=PLANE('',#376804); #21331=PLANE('',#376805); #21332=PLANE('',#376806); #21333=PLANE('',#376807); #21334=PLANE('',#376808); #21335=PLANE('',#376812); #21336=PLANE('',#376813); #21337=PLANE('',#376814); #21338=PLANE('',#376815); #21339=PLANE('',#376816); #21340=PLANE('',#376817); #21341=PLANE('',#376818); #21342=PLANE('',#376822); #21343=PLANE('',#376823); #21344=PLANE('',#376824); #21345=PLANE('',#376825); #21346=PLANE('',#376826); #21347=PLANE('',#376827); #21348=PLANE('',#376828); #21349=PLANE('',#376832); #21350=PLANE('',#376833); #21351=PLANE('',#376834); #21352=PLANE('',#376835); #21353=PLANE('',#376836); #21354=PLANE('',#376837); #21355=PLANE('',#376838); #21356=PLANE('',#376842); #21357=PLANE('',#376843); #21358=PLANE('',#376844); #21359=PLANE('',#376845); #21360=PLANE('',#376846); #21361=PLANE('',#376847); #21362=PLANE('',#376848); #21363=PLANE('',#376852); #21364=PLANE('',#376853); #21365=PLANE('',#376854); #21366=PLANE('',#376855); #21367=PLANE('',#376856); #21368=PLANE('',#376857); #21369=PLANE('',#376858); #21370=PLANE('',#376862); #21371=PLANE('',#376863); #21372=PLANE('',#376864); #21373=PLANE('',#376865); #21374=PLANE('',#376866); #21375=PLANE('',#376867); #21376=PLANE('',#376868); #21377=PLANE('',#376872); #21378=PLANE('',#376873); #21379=PLANE('',#376874); #21380=PLANE('',#376875); #21381=PLANE('',#376876); #21382=PLANE('',#376877); #21383=PLANE('',#376878); #21384=PLANE('',#376882); #21385=PLANE('',#376883); #21386=PLANE('',#376884); #21387=PLANE('',#376885); #21388=PLANE('',#376886); #21389=PLANE('',#376887); #21390=PLANE('',#376888); #21391=PLANE('',#376892); #21392=PLANE('',#376893); #21393=PLANE('',#376894); #21394=PLANE('',#376895); #21395=PLANE('',#376896); #21396=PLANE('',#376897); #21397=PLANE('',#376898); #21398=PLANE('',#376902); #21399=PLANE('',#376903); #21400=PLANE('',#376904); #21401=PLANE('',#376905); #21402=PLANE('',#376906); #21403=PLANE('',#376907); #21404=PLANE('',#376908); #21405=PLANE('',#376912); #21406=PLANE('',#376913); #21407=PLANE('',#376914); #21408=PLANE('',#376915); #21409=PLANE('',#376916); #21410=PLANE('',#376917); #21411=PLANE('',#376918); #21412=PLANE('',#376922); #21413=PLANE('',#376923); #21414=PLANE('',#376924); #21415=PLANE('',#376925); #21416=PLANE('',#376926); #21417=PLANE('',#376927); #21418=PLANE('',#376928); #21419=PLANE('',#376932); #21420=PLANE('',#376933); #21421=PLANE('',#376934); #21422=PLANE('',#376935); #21423=PLANE('',#376936); #21424=PLANE('',#376937); #21425=PLANE('',#376938); #21426=PLANE('',#376942); #21427=PLANE('',#376943); #21428=PLANE('',#376944); #21429=PLANE('',#376945); #21430=PLANE('',#376946); #21431=PLANE('',#376947); #21432=PLANE('',#376948); #21433=PLANE('',#376952); #21434=PLANE('',#376953); #21435=PLANE('',#376954); #21436=PLANE('',#376955); #21437=PLANE('',#376956); #21438=PLANE('',#376957); #21439=PLANE('',#376958); #21440=PLANE('',#376962); #21441=PLANE('',#376963); #21442=PLANE('',#376964); #21443=PLANE('',#376965); #21444=PLANE('',#376966); #21445=PLANE('',#376967); #21446=PLANE('',#376968); #21447=PLANE('',#376972); #21448=PLANE('',#376973); #21449=PLANE('',#376974); #21450=PLANE('',#376975); #21451=PLANE('',#376976); #21452=PLANE('',#376977); #21453=PLANE('',#376978); #21454=PLANE('',#376982); #21455=PLANE('',#376983); #21456=PLANE('',#376984); #21457=PLANE('',#376985); #21458=PLANE('',#376986); #21459=PLANE('',#376987); #21460=PLANE('',#376988); #21461=PLANE('',#376992); #21462=PLANE('',#376993); #21463=PLANE('',#376994); #21464=PLANE('',#376995); #21465=PLANE('',#376996); #21466=PLANE('',#376997); #21467=PLANE('',#376998); #21468=PLANE('',#377002); #21469=PLANE('',#377003); #21470=PLANE('',#377004); #21471=PLANE('',#377005); #21472=PLANE('',#377006); #21473=PLANE('',#377007); #21474=PLANE('',#377008); #21475=PLANE('',#377012); #21476=PLANE('',#377013); #21477=PLANE('',#377014); #21478=PLANE('',#377015); #21479=PLANE('',#377016); #21480=PLANE('',#377017); #21481=PLANE('',#377018); #21482=PLANE('',#377022); #21483=PLANE('',#377023); #21484=PLANE('',#377024); #21485=PLANE('',#377025); #21486=PLANE('',#377026); #21487=PLANE('',#377027); #21488=PLANE('',#377028); #21489=PLANE('',#377032); #21490=PLANE('',#377033); #21491=PLANE('',#377034); #21492=PLANE('',#377035); #21493=PLANE('',#377036); #21494=PLANE('',#377037); #21495=PLANE('',#377038); #21496=PLANE('',#377042); #21497=PLANE('',#377043); #21498=PLANE('',#377044); #21499=PLANE('',#377045); #21500=PLANE('',#377046); #21501=PLANE('',#377047); #21502=PLANE('',#377048); #21503=PLANE('',#377052); #21504=PLANE('',#377053); #21505=PLANE('',#377054); #21506=PLANE('',#377055); #21507=PLANE('',#377056); #21508=PLANE('',#377057); #21509=PLANE('',#377058); #21510=PLANE('',#377062); #21511=PLANE('',#377063); #21512=PLANE('',#377064); #21513=PLANE('',#377065); #21514=PLANE('',#377066); #21515=PLANE('',#377067); #21516=PLANE('',#377068); #21517=PLANE('',#377072); #21518=PLANE('',#377073); #21519=PLANE('',#377074); #21520=PLANE('',#377075); #21521=PLANE('',#377076); #21522=PLANE('',#377077); #21523=PLANE('',#377078); #21524=PLANE('',#377082); #21525=PLANE('',#377083); #21526=PLANE('',#377084); #21527=PLANE('',#377085); #21528=PLANE('',#377086); #21529=PLANE('',#377087); #21530=PLANE('',#377088); #21531=PLANE('',#377092); #21532=PLANE('',#377093); #21533=PLANE('',#377094); #21534=PLANE('',#377095); #21535=PLANE('',#377096); #21536=PLANE('',#377097); #21537=PLANE('',#377098); #21538=PLANE('',#377102); #21539=PLANE('',#377103); #21540=PLANE('',#377104); #21541=PLANE('',#377105); #21542=PLANE('',#377106); #21543=PLANE('',#377107); #21544=PLANE('',#377108); #21545=PLANE('',#377112); #21546=PLANE('',#377113); #21547=PLANE('',#377114); #21548=PLANE('',#377115); #21549=PLANE('',#377116); #21550=PLANE('',#377117); #21551=PLANE('',#377118); #21552=PLANE('',#377122); #21553=PLANE('',#377123); #21554=PLANE('',#377124); #21555=PLANE('',#377125); #21556=PLANE('',#377126); #21557=PLANE('',#377127); #21558=PLANE('',#377128); #21559=PLANE('',#377132); #21560=PLANE('',#377133); #21561=PLANE('',#377134); #21562=PLANE('',#377135); #21563=PLANE('',#377136); #21564=PLANE('',#377137); #21565=PLANE('',#377138); #21566=PLANE('',#377142); #21567=PLANE('',#377143); #21568=PLANE('',#377144); #21569=PLANE('',#377145); #21570=PLANE('',#377146); #21571=PLANE('',#377147); #21572=PLANE('',#377148); #21573=PLANE('',#377152); #21574=PLANE('',#377153); #21575=PLANE('',#377154); #21576=PLANE('',#377155); #21577=PLANE('',#377156); #21578=PLANE('',#377157); #21579=PLANE('',#377158); #21580=PLANE('',#377159); #21581=PLANE('',#377160); #21582=PLANE('',#377161); #21583=PLANE('',#377162); #21584=PLANE('',#377163); #21585=PLANE('',#377211); #21586=PLANE('',#377212); #21587=PLANE('',#377213); #21588=PLANE('',#377214); #21589=PLANE('',#377215); #21590=PLANE('',#377216); #21591=PLANE('',#377261); #21592=PLANE('',#377262); #21593=PLANE('',#377263); #21594=PLANE('',#377264); #21595=PLANE('',#377265); #21596=PLANE('',#377266); #21597=PLANE('',#377267); #21598=PLANE('',#377268); #21599=PLANE('',#377269); #21600=PLANE('',#377270); #21601=PLANE('',#377271); #21602=PLANE('',#377272); #21603=PLANE('',#377276); #21604=PLANE('',#377277); #21605=PLANE('',#377278); #21606=PLANE('',#377279); #21607=PLANE('',#377280); #21608=PLANE('',#377281); #21609=PLANE('',#377282); #21610=PLANE('',#377283); #21611=PLANE('',#377284); #21612=PLANE('',#377285); #21613=PLANE('',#377286); #21614=PLANE('',#377287); #21615=PLANE('',#377288); #21616=PLANE('',#377289); #21617=PLANE('',#377290); #21618=PLANE('',#377291); #21619=PLANE('',#377292); #21620=PLANE('',#377293); #21621=PLANE('',#377294); #21622=PLANE('',#377295); #21623=PLANE('',#377296); #21624=PLANE('',#377297); #21625=PLANE('',#377298); #21626=PLANE('',#377299); #21627=PLANE('',#377300); #21628=PLANE('',#377301); #21629=PLANE('',#377302); #21630=PLANE('',#377303); #21631=PLANE('',#377304); #21632=PLANE('',#377305); #21633=PLANE('',#377306); #21634=PLANE('',#377307); #21635=PLANE('',#377308); #21636=PLANE('',#377309); #21637=PLANE('',#377310); #21638=PLANE('',#377311); #21639=PLANE('',#377312); #21640=PLANE('',#377313); #21641=PLANE('',#377314); #21642=PLANE('',#377315); #21643=PLANE('',#377322); #21644=PLANE('',#377323); #21645=PLANE('',#377324); #21646=PLANE('',#377325); #21647=PLANE('',#377326); #21648=PLANE('',#377327); #21649=PLANE('',#377328); #21650=PLANE('',#377329); #21651=PLANE('',#377330); #21652=PLANE('',#377331); #21653=PLANE('',#377332); #21654=PLANE('',#377333); #21655=PLANE('',#377334); #21656=PLANE('',#377335); #21657=PLANE('',#377336); #21658=PLANE('',#377337); #21659=PLANE('',#377342); #21660=PLANE('',#377345); #21661=PLANE('',#377346); #21662=PLANE('',#377347); #21663=PLANE('',#377350); #21664=PLANE('',#377353); #21665=PLANE('',#377354); #21666=PLANE('',#377355); #21667=PLANE('',#377358); #21668=PLANE('',#377359); #21669=PLANE('',#377364); #21670=PLANE('',#377367); #21671=PLANE('',#377368); #21672=PLANE('',#377369); #21673=PLANE('',#377372); #21674=PLANE('',#377375); #21675=PLANE('',#377376); #21676=PLANE('',#377377); #21677=PLANE('',#377380); #21678=PLANE('',#377381); #21679=PLANE('',#377386); #21680=PLANE('',#377389); #21681=PLANE('',#377390); #21682=PLANE('',#377391); #21683=PLANE('',#377394); #21684=PLANE('',#377397); #21685=PLANE('',#377398); #21686=PLANE('',#377399); #21687=PLANE('',#377402); #21688=PLANE('',#377403); #21689=PLANE('',#377408); #21690=PLANE('',#377411); #21691=PLANE('',#377412); #21692=PLANE('',#377413); #21693=PLANE('',#377416); #21694=PLANE('',#377419); #21695=PLANE('',#377420); #21696=PLANE('',#377421); #21697=PLANE('',#377424); #21698=PLANE('',#377425); #21699=PLANE('',#377430); #21700=PLANE('',#377433); #21701=PLANE('',#377434); #21702=PLANE('',#377435); #21703=PLANE('',#377438); #21704=PLANE('',#377441); #21705=PLANE('',#377442); #21706=PLANE('',#377443); #21707=PLANE('',#377446); #21708=PLANE('',#377447); #21709=PLANE('',#377452); #21710=PLANE('',#377455); #21711=PLANE('',#377456); #21712=PLANE('',#377457); #21713=PLANE('',#377460); #21714=PLANE('',#377463); #21715=PLANE('',#377464); #21716=PLANE('',#377465); #21717=PLANE('',#377468); #21718=PLANE('',#377469); #21719=PLANE('',#377474); #21720=PLANE('',#377477); #21721=PLANE('',#377478); #21722=PLANE('',#377479); #21723=PLANE('',#377482); #21724=PLANE('',#377485); #21725=PLANE('',#377486); #21726=PLANE('',#377487); #21727=PLANE('',#377490); #21728=PLANE('',#377491); #21729=PLANE('',#377496); #21730=PLANE('',#377499); #21731=PLANE('',#377500); #21732=PLANE('',#377501); #21733=PLANE('',#377504); #21734=PLANE('',#377507); #21735=PLANE('',#377508); #21736=PLANE('',#377509); #21737=PLANE('',#377512); #21738=PLANE('',#377519); #21739=PLANE('',#377520); #21740=PLANE('',#377521); #21741=PLANE('',#377522); #21742=PLANE('',#377523); #21743=PLANE('',#377524); #21744=PLANE('',#377525); #21745=PLANE('',#377526); #21746=PLANE('',#377527); #21747=PLANE('',#377528); #21748=PLANE('',#377529); #21749=PLANE('',#377530); #21750=PLANE('',#377531); #21751=PLANE('',#377532); #21752=PLANE('',#377533); #21753=PLANE('',#377534); #21754=PLANE('',#377539); #21755=PLANE('',#377540); #21756=PLANE('',#377541); #21757=PLANE('',#377544); #21758=PLANE('',#377547); #21759=PLANE('',#377548); #21760=PLANE('',#377549); #21761=PLANE('',#377552); #21762=PLANE('',#377555); #21763=PLANE('',#377556); #21764=PLANE('',#377561); #21765=PLANE('',#377562); #21766=PLANE('',#377563); #21767=PLANE('',#377566); #21768=PLANE('',#377569); #21769=PLANE('',#377570); #21770=PLANE('',#377571); #21771=PLANE('',#377574); #21772=PLANE('',#377577); #21773=PLANE('',#377578); #21774=PLANE('',#377583); #21775=PLANE('',#377584); #21776=PLANE('',#377585); #21777=PLANE('',#377588); #21778=PLANE('',#377591); #21779=PLANE('',#377592); #21780=PLANE('',#377593); #21781=PLANE('',#377596); #21782=PLANE('',#377599); #21783=PLANE('',#377600); #21784=PLANE('',#377605); #21785=PLANE('',#377606); #21786=PLANE('',#377607); #21787=PLANE('',#377610); #21788=PLANE('',#377613); #21789=PLANE('',#377614); #21790=PLANE('',#377615); #21791=PLANE('',#377618); #21792=PLANE('',#377621); #21793=PLANE('',#377622); #21794=PLANE('',#377627); #21795=PLANE('',#377628); #21796=PLANE('',#377629); #21797=PLANE('',#377632); #21798=PLANE('',#377635); #21799=PLANE('',#377636); #21800=PLANE('',#377637); #21801=PLANE('',#377640); #21802=PLANE('',#377643); #21803=PLANE('',#377691); #21804=PLANE('',#377692); #21805=PLANE('',#377693); #21806=PLANE('',#377694); #21807=PLANE('',#377695); #21808=PLANE('',#377696); #21809=PLANE('',#377741); #21810=PLANE('',#377742); #21811=PLANE('',#377743); #21812=PLANE('',#377744); #21813=PLANE('',#377745); #21814=PLANE('',#377746); #21815=PLANE('',#377747); #21816=PLANE('',#377748); #21817=PLANE('',#377749); #21818=PLANE('',#377750); #21819=PLANE('',#377751); #21820=PLANE('',#377752); #21821=PLANE('',#377836); #21822=PLANE('',#377837); #21823=PLANE('',#377838); #21824=PLANE('',#377839); #21825=PLANE('',#377840); #21826=PLANE('',#377841); #21827=PLANE('',#377842); #21828=PLANE('',#377843); #21829=PLANE('',#377844); #21830=PLANE('',#377845); #21831=PLANE('',#377846); #21832=PLANE('',#377847); #21833=PLANE('',#377848); #21834=PLANE('',#377849); #21835=PLANE('',#377857); #21836=PLANE('',#377858); #21837=PLANE('',#377859); #21838=PLANE('',#377860); #21839=PLANE('',#377861); #21840=PLANE('',#377862); #21841=PLANE('',#377863); #21842=PLANE('',#377864); #21843=PLANE('',#377865); #21844=PLANE('',#377866); #21845=PLANE('',#377867); #21846=PLANE('',#377868); #21847=PLANE('',#377869); #21848=PLANE('',#377870); #21849=PLANE('',#377878); #21850=PLANE('',#377879); #21851=PLANE('',#377880); #21852=PLANE('',#377881); #21853=PLANE('',#377882); #21854=PLANE('',#377883); #21855=PLANE('',#377884); #21856=PLANE('',#377885); #21857=PLANE('',#377886); #21858=PLANE('',#377887); #21859=PLANE('',#377888); #21860=PLANE('',#377889); #21861=PLANE('',#377890); #21862=PLANE('',#377891); #21863=PLANE('',#377892); #21864=PLANE('',#377893); #21865=PLANE('',#377894); #21866=PLANE('',#377895); #21867=PLANE('',#377896); #21868=PLANE('',#377897); #21869=PLANE('',#377904); #21870=PLANE('',#377909); #21871=PLANE('',#377910); #21872=PLANE('',#377911); #21873=PLANE('',#377917); #21874=PLANE('',#377932); #21875=PLANE('',#377933); #21876=PLANE('',#377934); #21877=PLANE('',#377935); #21878=PLANE('',#377936); #21879=PLANE('',#377937); #21880=PLANE('',#377955); #21881=PLANE('',#377956); #21882=PLANE('',#377960); #21883=PLANE('',#377964); #21884=PLANE('',#377965); #21885=PLANE('',#377968); #21886=PLANE('',#377970); #21887=PLANE('',#377971); #21888=PLANE('',#377973); #21889=PLANE('',#377984); #21890=PLANE('',#377987); #21891=PLANE('',#377992); #21892=PLANE('',#377997); #21893=PLANE('',#378000); #21894=PLANE('',#378002); #21895=PLANE('',#378018); #21896=PLANE('',#378020); #21897=PLANE('',#378022); #21898=PLANE('',#378023); #21899=PLANE('',#378026); #21900=PLANE('',#378028); #21901=PLANE('',#378033); #21902=PLANE('',#378035); #21903=PLANE('',#378037); #21904=PLANE('',#378043); #21905=PLANE('',#378044); #21906=PLANE('',#378045); #21907=PLANE('',#378046); #21908=PLANE('',#378047); #21909=PLANE('',#378048); #21910=PLANE('',#378049); #21911=PLANE('',#378050); #21912=PLANE('',#378051); #21913=PLANE('',#378055); #21914=PLANE('',#378059); #21915=PLANE('',#378060); #21916=PLANE('',#378061); #21917=PLANE('',#378062); #21918=PLANE('',#378063); #21919=PLANE('',#378070); #21920=PLANE('',#378085); #21921=PLANE('',#378097); #21922=PLANE('',#378100); #21923=PLANE('',#378103); #21924=PLANE('',#378107); #21925=PLANE('',#378110); #21926=PLANE('',#378112); #21927=PLANE('',#378113); #21928=PLANE('',#378114); #21929=PLANE('',#378115); #21930=PLANE('',#378118); #21931=PLANE('',#378122); #21932=PLANE('',#378125); #21933=PLANE('',#378126); #21934=PLANE('',#378128); #21935=PLANE('',#378131); #21936=PLANE('',#378135); #21937=PLANE('',#378137); #21938=PLANE('',#378138); #21939=PLANE('',#378140); #21940=PLANE('',#378141); #21941=PLANE('',#378143); #21942=PLANE('',#378145); #21943=PLANE('',#378146); #21944=PLANE('',#378148); #21945=PLANE('',#378150); #21946=PLANE('',#378152); #21947=PLANE('',#378153); #21948=PLANE('',#378155); #21949=PLANE('',#378156); #21950=PLANE('',#378158); #21951=PLANE('',#378159); #21952=PLANE('',#378160); #21953=PLANE('',#378161); #21954=PLANE('',#378162); #21955=PLANE('',#378163); #21956=PLANE('',#378164); #21957=PLANE('',#378165); #21958=PLANE('',#378166); #21959=PLANE('',#378167); #21960=PLANE('',#378168); #21961=PLANE('',#378169); #21962=PLANE('',#378170); #21963=PLANE('',#378171); #21964=PLANE('',#378172); #21965=PLANE('',#378173); #21966=PLANE('',#378174); #21967=PLANE('',#378175); #21968=PLANE('',#378176); #21969=PLANE('',#378177); #21970=PLANE('',#378178); #21971=PLANE('',#378179); #21972=PLANE('',#378180); #21973=PLANE('',#378181); #21974=PLANE('',#378183); #21975=PLANE('',#378184); #21976=PLANE('',#378185); #21977=PLANE('',#378186); #21978=PLANE('',#378193); #21979=PLANE('',#378194); #21980=PLANE('',#378195); #21981=PLANE('',#378196); #21982=PLANE('',#378197); #21983=PLANE('',#378198); #21984=PLANE('',#378201); #21985=PLANE('',#378203); #21986=PLANE('',#378204); #21987=PLANE('',#378213); #21988=PLANE('',#378214); #21989=PLANE('',#378217); #21990=PLANE('',#378218); #21991=PLANE('',#378219); #21992=PLANE('',#378226); #21993=PLANE('',#378227); #21994=PLANE('',#378228); #21995=PLANE('',#378229); #21996=PLANE('',#378230); #21997=PLANE('',#378234); #21998=PLANE('',#378235); #21999=PLANE('',#378236); #22000=PLANE('',#378240); #22001=PLANE('',#378241); #22002=PLANE('',#378242); #22003=PLANE('',#378243); #22004=PLANE('',#378244); #22005=PLANE('',#378245); #22006=PLANE('',#378249); #22007=PLANE('',#378253); #22008=PLANE('',#378257); #22009=PLANE('',#378258); #22010=PLANE('',#378272); #22011=PLANE('',#378291); #22012=PLANE('',#378295); #22013=PLANE('',#378300); #22014=PLANE('',#378301); #22015=PLANE('',#378305); #22016=PLANE('',#378306); #22017=PLANE('',#378310); #22018=PLANE('',#378311); #22019=PLANE('',#378315); #22020=PLANE('',#378316); #22021=FACE_OUTER_BOUND('',#40441,.T.); #22022=FACE_OUTER_BOUND('',#40442,.T.); #22023=FACE_OUTER_BOUND('',#40443,.T.); #22024=FACE_OUTER_BOUND('',#40444,.T.); #22025=FACE_OUTER_BOUND('',#40445,.T.); #22026=FACE_OUTER_BOUND('',#40446,.T.); #22027=FACE_OUTER_BOUND('',#40447,.T.); #22028=FACE_OUTER_BOUND('',#40448,.T.); #22029=FACE_OUTER_BOUND('',#40453,.T.); #22030=FACE_OUTER_BOUND('',#40454,.T.); #22031=FACE_OUTER_BOUND('',#40460,.T.); #22032=FACE_OUTER_BOUND('',#40461,.T.); #22033=FACE_OUTER_BOUND('',#40462,.T.); #22034=FACE_OUTER_BOUND('',#40463,.T.); #22035=FACE_OUTER_BOUND('',#40464,.T.); #22036=FACE_OUTER_BOUND('',#40465,.T.); #22037=FACE_OUTER_BOUND('',#40466,.T.); #22038=FACE_OUTER_BOUND('',#40467,.T.); #22039=FACE_OUTER_BOUND('',#40468,.T.); #22040=FACE_OUTER_BOUND('',#40469,.T.); #22041=FACE_OUTER_BOUND('',#40470,.T.); #22042=FACE_OUTER_BOUND('',#40471,.T.); #22043=FACE_OUTER_BOUND('',#40472,.T.); #22044=FACE_OUTER_BOUND('',#40473,.T.); #22045=FACE_OUTER_BOUND('',#40474,.T.); #22046=FACE_OUTER_BOUND('',#40475,.T.); #22047=FACE_OUTER_BOUND('',#40476,.T.); #22048=FACE_OUTER_BOUND('',#40477,.T.); #22049=FACE_OUTER_BOUND('',#40478,.T.); #22050=FACE_OUTER_BOUND('',#40479,.T.); #22051=FACE_OUTER_BOUND('',#40480,.T.); #22052=FACE_OUTER_BOUND('',#40481,.T.); #22053=FACE_OUTER_BOUND('',#40482,.T.); #22054=FACE_OUTER_BOUND('',#40483,.T.); #22055=FACE_OUTER_BOUND('',#40484,.T.); #22056=FACE_OUTER_BOUND('',#40485,.T.); #22057=FACE_OUTER_BOUND('',#40490,.T.); #22058=FACE_OUTER_BOUND('',#40491,.T.); #22059=FACE_OUTER_BOUND('',#40497,.T.); #22060=FACE_OUTER_BOUND('',#40498,.T.); #22061=FACE_OUTER_BOUND('',#40499,.T.); #22062=FACE_OUTER_BOUND('',#40500,.T.); #22063=FACE_OUTER_BOUND('',#40501,.T.); #22064=FACE_OUTER_BOUND('',#40502,.T.); #22065=FACE_OUTER_BOUND('',#40503,.T.); #22066=FACE_OUTER_BOUND('',#40504,.T.); #22067=FACE_OUTER_BOUND('',#40505,.T.); #22068=FACE_OUTER_BOUND('',#40506,.T.); #22069=FACE_OUTER_BOUND('',#40507,.T.); #22070=FACE_OUTER_BOUND('',#40508,.T.); #22071=FACE_OUTER_BOUND('',#40509,.T.); #22072=FACE_OUTER_BOUND('',#40510,.T.); #22073=FACE_OUTER_BOUND('',#40511,.T.); #22074=FACE_OUTER_BOUND('',#40512,.T.); #22075=FACE_OUTER_BOUND('',#40513,.T.); #22076=FACE_OUTER_BOUND('',#40514,.T.); #22077=FACE_OUTER_BOUND('',#40515,.T.); #22078=FACE_OUTER_BOUND('',#40516,.T.); #22079=FACE_OUTER_BOUND('',#40517,.T.); #22080=FACE_OUTER_BOUND('',#40518,.T.); #22081=FACE_OUTER_BOUND('',#40519,.T.); #22082=FACE_OUTER_BOUND('',#40520,.T.); #22083=FACE_OUTER_BOUND('',#40521,.T.); #22084=FACE_OUTER_BOUND('',#40522,.T.); #22085=FACE_OUTER_BOUND('',#40523,.T.); #22086=FACE_OUTER_BOUND('',#40524,.T.); #22087=FACE_OUTER_BOUND('',#40525,.T.); #22088=FACE_OUTER_BOUND('',#40526,.T.); #22089=FACE_OUTER_BOUND('',#40527,.T.); #22090=FACE_OUTER_BOUND('',#40528,.T.); #22091=FACE_OUTER_BOUND('',#40529,.T.); #22092=FACE_OUTER_BOUND('',#40530,.T.); #22093=FACE_OUTER_BOUND('',#40531,.T.); #22094=FACE_OUTER_BOUND('',#40532,.T.); #22095=FACE_OUTER_BOUND('',#40533,.T.); #22096=FACE_OUTER_BOUND('',#40534,.T.); #22097=FACE_OUTER_BOUND('',#40535,.T.); #22098=FACE_OUTER_BOUND('',#40536,.T.); #22099=FACE_OUTER_BOUND('',#40537,.T.); #22100=FACE_OUTER_BOUND('',#40538,.T.); #22101=FACE_OUTER_BOUND('',#40539,.T.); #22102=FACE_OUTER_BOUND('',#40540,.T.); #22103=FACE_OUTER_BOUND('',#40541,.T.); #22104=FACE_OUTER_BOUND('',#40542,.T.); #22105=FACE_OUTER_BOUND('',#40543,.T.); #22106=FACE_OUTER_BOUND('',#40544,.T.); #22107=FACE_OUTER_BOUND('',#40545,.T.); #22108=FACE_OUTER_BOUND('',#40546,.T.); #22109=FACE_OUTER_BOUND('',#40547,.T.); #22110=FACE_OUTER_BOUND('',#40548,.T.); #22111=FACE_OUTER_BOUND('',#40549,.T.); #22112=FACE_OUTER_BOUND('',#40550,.T.); #22113=FACE_OUTER_BOUND('',#40551,.T.); #22114=FACE_OUTER_BOUND('',#40552,.T.); #22115=FACE_OUTER_BOUND('',#40553,.T.); #22116=FACE_OUTER_BOUND('',#40554,.T.); #22117=FACE_OUTER_BOUND('',#40555,.T.); #22118=FACE_OUTER_BOUND('',#40556,.T.); #22119=FACE_OUTER_BOUND('',#40557,.T.); #22120=FACE_OUTER_BOUND('',#40558,.T.); #22121=FACE_OUTER_BOUND('',#40559,.T.); #22122=FACE_OUTER_BOUND('',#40560,.T.); #22123=FACE_OUTER_BOUND('',#40561,.T.); #22124=FACE_OUTER_BOUND('',#40562,.T.); #22125=FACE_OUTER_BOUND('',#40563,.T.); #22126=FACE_OUTER_BOUND('',#40564,.T.); #22127=FACE_OUTER_BOUND('',#40565,.T.); #22128=FACE_OUTER_BOUND('',#40566,.T.); #22129=FACE_OUTER_BOUND('',#40567,.T.); #22130=FACE_OUTER_BOUND('',#40568,.T.); #22131=FACE_OUTER_BOUND('',#40569,.T.); #22132=FACE_OUTER_BOUND('',#40570,.T.); #22133=FACE_OUTER_BOUND('',#40571,.T.); #22134=FACE_OUTER_BOUND('',#40572,.T.); #22135=FACE_OUTER_BOUND('',#40573,.T.); #22136=FACE_OUTER_BOUND('',#40574,.T.); #22137=FACE_OUTER_BOUND('',#40575,.T.); #22138=FACE_OUTER_BOUND('',#40576,.T.); #22139=FACE_OUTER_BOUND('',#40577,.T.); #22140=FACE_OUTER_BOUND('',#40578,.T.); #22141=FACE_OUTER_BOUND('',#40579,.T.); #22142=FACE_OUTER_BOUND('',#40580,.T.); #22143=FACE_OUTER_BOUND('',#40581,.T.); #22144=FACE_OUTER_BOUND('',#40582,.T.); #22145=FACE_OUTER_BOUND('',#40583,.T.); #22146=FACE_OUTER_BOUND('',#40584,.T.); #22147=FACE_OUTER_BOUND('',#40585,.T.); #22148=FACE_OUTER_BOUND('',#40586,.T.); #22149=FACE_OUTER_BOUND('',#40587,.T.); #22150=FACE_OUTER_BOUND('',#40588,.T.); #22151=FACE_OUTER_BOUND('',#40589,.T.); #22152=FACE_OUTER_BOUND('',#40590,.T.); #22153=FACE_OUTER_BOUND('',#40591,.T.); #22154=FACE_OUTER_BOUND('',#40592,.T.); #22155=FACE_OUTER_BOUND('',#40593,.T.); #22156=FACE_OUTER_BOUND('',#40594,.T.); #22157=FACE_OUTER_BOUND('',#40595,.T.); #22158=FACE_OUTER_BOUND('',#40596,.T.); #22159=FACE_OUTER_BOUND('',#40597,.T.); #22160=FACE_OUTER_BOUND('',#40598,.T.); #22161=FACE_OUTER_BOUND('',#40599,.T.); #22162=FACE_OUTER_BOUND('',#40600,.T.); #22163=FACE_OUTER_BOUND('',#40601,.T.); #22164=FACE_OUTER_BOUND('',#40602,.T.); #22165=FACE_OUTER_BOUND('',#40603,.T.); #22166=FACE_OUTER_BOUND('',#40604,.T.); #22167=FACE_OUTER_BOUND('',#40605,.T.); #22168=FACE_OUTER_BOUND('',#40606,.T.); #22169=FACE_OUTER_BOUND('',#40607,.T.); #22170=FACE_OUTER_BOUND('',#40608,.T.); #22171=FACE_OUTER_BOUND('',#40609,.T.); #22172=FACE_OUTER_BOUND('',#40610,.T.); #22173=FACE_OUTER_BOUND('',#40611,.T.); #22174=FACE_OUTER_BOUND('',#40612,.T.); #22175=FACE_OUTER_BOUND('',#40613,.T.); #22176=FACE_OUTER_BOUND('',#40614,.T.); #22177=FACE_OUTER_BOUND('',#40615,.T.); #22178=FACE_OUTER_BOUND('',#40616,.T.); #22179=FACE_OUTER_BOUND('',#40617,.T.); #22180=FACE_OUTER_BOUND('',#40618,.T.); #22181=FACE_OUTER_BOUND('',#40619,.T.); #22182=FACE_OUTER_BOUND('',#40620,.T.); #22183=FACE_OUTER_BOUND('',#40621,.T.); #22184=FACE_OUTER_BOUND('',#40622,.T.); #22185=FACE_OUTER_BOUND('',#40623,.T.); #22186=FACE_OUTER_BOUND('',#40624,.T.); #22187=FACE_OUTER_BOUND('',#40625,.T.); #22188=FACE_OUTER_BOUND('',#40626,.T.); #22189=FACE_OUTER_BOUND('',#40627,.T.); #22190=FACE_OUTER_BOUND('',#40628,.T.); #22191=FACE_OUTER_BOUND('',#40629,.T.); #22192=FACE_OUTER_BOUND('',#40630,.T.); #22193=FACE_OUTER_BOUND('',#40631,.T.); #22194=FACE_OUTER_BOUND('',#40632,.T.); #22195=FACE_OUTER_BOUND('',#40633,.T.); #22196=FACE_OUTER_BOUND('',#40634,.T.); #22197=FACE_OUTER_BOUND('',#40635,.T.); #22198=FACE_OUTER_BOUND('',#40636,.T.); #22199=FACE_OUTER_BOUND('',#40637,.T.); #22200=FACE_OUTER_BOUND('',#40638,.T.); #22201=FACE_OUTER_BOUND('',#40639,.T.); #22202=FACE_OUTER_BOUND('',#40640,.T.); #22203=FACE_OUTER_BOUND('',#40641,.T.); #22204=FACE_OUTER_BOUND('',#40642,.T.); #22205=FACE_OUTER_BOUND('',#40643,.T.); #22206=FACE_OUTER_BOUND('',#40644,.T.); #22207=FACE_OUTER_BOUND('',#40645,.T.); #22208=FACE_OUTER_BOUND('',#40646,.T.); #22209=FACE_OUTER_BOUND('',#40647,.T.); #22210=FACE_OUTER_BOUND('',#40648,.T.); #22211=FACE_OUTER_BOUND('',#40649,.T.); #22212=FACE_OUTER_BOUND('',#40650,.T.); #22213=FACE_OUTER_BOUND('',#40651,.T.); #22214=FACE_OUTER_BOUND('',#40652,.T.); #22215=FACE_OUTER_BOUND('',#40653,.T.); #22216=FACE_OUTER_BOUND('',#40654,.T.); #22217=FACE_OUTER_BOUND('',#40655,.T.); #22218=FACE_OUTER_BOUND('',#40656,.T.); #22219=FACE_OUTER_BOUND('',#40657,.T.); #22220=FACE_OUTER_BOUND('',#40658,.T.); #22221=FACE_OUTER_BOUND('',#40659,.T.); #22222=FACE_OUTER_BOUND('',#40660,.T.); #22223=FACE_OUTER_BOUND('',#40661,.T.); #22224=FACE_OUTER_BOUND('',#40662,.T.); #22225=FACE_OUTER_BOUND('',#40663,.T.); #22226=FACE_OUTER_BOUND('',#40664,.T.); #22227=FACE_OUTER_BOUND('',#40665,.T.); #22228=FACE_OUTER_BOUND('',#40666,.T.); #22229=FACE_OUTER_BOUND('',#40667,.T.); #22230=FACE_OUTER_BOUND('',#40668,.T.); #22231=FACE_OUTER_BOUND('',#40669,.T.); #22232=FACE_OUTER_BOUND('',#40670,.T.); #22233=FACE_OUTER_BOUND('',#40671,.T.); #22234=FACE_OUTER_BOUND('',#40672,.T.); #22235=FACE_OUTER_BOUND('',#40673,.T.); #22236=FACE_OUTER_BOUND('',#40674,.T.); #22237=FACE_OUTER_BOUND('',#40675,.T.); #22238=FACE_OUTER_BOUND('',#40676,.T.); #22239=FACE_OUTER_BOUND('',#40677,.T.); #22240=FACE_OUTER_BOUND('',#40678,.T.); #22241=FACE_OUTER_BOUND('',#40679,.T.); #22242=FACE_OUTER_BOUND('',#40680,.T.); #22243=FACE_OUTER_BOUND('',#40681,.T.); #22244=FACE_OUTER_BOUND('',#40682,.T.); #22245=FACE_OUTER_BOUND('',#40683,.T.); #22246=FACE_OUTER_BOUND('',#40684,.T.); #22247=FACE_OUTER_BOUND('',#40685,.T.); #22248=FACE_OUTER_BOUND('',#40686,.T.); #22249=FACE_OUTER_BOUND('',#40687,.T.); #22250=FACE_OUTER_BOUND('',#40688,.T.); #22251=FACE_OUTER_BOUND('',#40689,.T.); #22252=FACE_OUTER_BOUND('',#40690,.T.); #22253=FACE_OUTER_BOUND('',#40691,.T.); #22254=FACE_OUTER_BOUND('',#40692,.T.); #22255=FACE_OUTER_BOUND('',#40693,.T.); #22256=FACE_OUTER_BOUND('',#40694,.T.); #22257=FACE_OUTER_BOUND('',#40695,.T.); #22258=FACE_OUTER_BOUND('',#40696,.T.); #22259=FACE_OUTER_BOUND('',#40697,.T.); #22260=FACE_OUTER_BOUND('',#40698,.T.); #22261=FACE_OUTER_BOUND('',#40699,.T.); #22262=FACE_OUTER_BOUND('',#40700,.T.); #22263=FACE_OUTER_BOUND('',#40701,.T.); #22264=FACE_OUTER_BOUND('',#40702,.T.); #22265=FACE_OUTER_BOUND('',#40703,.T.); #22266=FACE_OUTER_BOUND('',#40704,.T.); #22267=FACE_OUTER_BOUND('',#40705,.T.); #22268=FACE_OUTER_BOUND('',#40706,.T.); #22269=FACE_OUTER_BOUND('',#40707,.T.); #22270=FACE_OUTER_BOUND('',#40708,.T.); #22271=FACE_OUTER_BOUND('',#40709,.T.); #22272=FACE_OUTER_BOUND('',#40710,.T.); #22273=FACE_OUTER_BOUND('',#40711,.T.); #22274=FACE_OUTER_BOUND('',#40712,.T.); #22275=FACE_OUTER_BOUND('',#40713,.T.); #22276=FACE_OUTER_BOUND('',#40714,.T.); #22277=FACE_OUTER_BOUND('',#40715,.T.); #22278=FACE_OUTER_BOUND('',#40716,.T.); #22279=FACE_OUTER_BOUND('',#40717,.T.); #22280=FACE_OUTER_BOUND('',#40718,.T.); #22281=FACE_OUTER_BOUND('',#40719,.T.); #22282=FACE_OUTER_BOUND('',#40720,.T.); #22283=FACE_OUTER_BOUND('',#40721,.T.); #22284=FACE_OUTER_BOUND('',#40722,.T.); #22285=FACE_OUTER_BOUND('',#40723,.T.); #22286=FACE_OUTER_BOUND('',#40724,.T.); #22287=FACE_OUTER_BOUND('',#40725,.T.); #22288=FACE_OUTER_BOUND('',#40726,.T.); #22289=FACE_OUTER_BOUND('',#40727,.T.); #22290=FACE_OUTER_BOUND('',#40728,.T.); #22291=FACE_OUTER_BOUND('',#40729,.T.); #22292=FACE_OUTER_BOUND('',#40730,.T.); #22293=FACE_OUTER_BOUND('',#40731,.T.); #22294=FACE_OUTER_BOUND('',#40732,.T.); #22295=FACE_OUTER_BOUND('',#40733,.T.); #22296=FACE_OUTER_BOUND('',#40734,.T.); #22297=FACE_OUTER_BOUND('',#40735,.T.); #22298=FACE_OUTER_BOUND('',#40736,.T.); #22299=FACE_OUTER_BOUND('',#40737,.T.); #22300=FACE_OUTER_BOUND('',#40738,.T.); #22301=FACE_OUTER_BOUND('',#40739,.T.); #22302=FACE_OUTER_BOUND('',#40740,.T.); #22303=FACE_OUTER_BOUND('',#40741,.T.); #22304=FACE_OUTER_BOUND('',#40742,.T.); #22305=FACE_OUTER_BOUND('',#40743,.T.); #22306=FACE_OUTER_BOUND('',#40744,.T.); #22307=FACE_OUTER_BOUND('',#40745,.T.); #22308=FACE_OUTER_BOUND('',#40746,.T.); #22309=FACE_OUTER_BOUND('',#40747,.T.); #22310=FACE_OUTER_BOUND('',#40748,.T.); #22311=FACE_OUTER_BOUND('',#40749,.T.); #22312=FACE_OUTER_BOUND('',#40750,.T.); #22313=FACE_OUTER_BOUND('',#40751,.T.); #22314=FACE_OUTER_BOUND('',#40752,.T.); #22315=FACE_OUTER_BOUND('',#40753,.T.); #22316=FACE_OUTER_BOUND('',#40754,.T.); #22317=FACE_OUTER_BOUND('',#40755,.T.); #22318=FACE_OUTER_BOUND('',#40756,.T.); #22319=FACE_OUTER_BOUND('',#40757,.T.); #22320=FACE_OUTER_BOUND('',#40758,.T.); #22321=FACE_OUTER_BOUND('',#40759,.T.); #22322=FACE_OUTER_BOUND('',#40760,.T.); #22323=FACE_OUTER_BOUND('',#40761,.T.); #22324=FACE_OUTER_BOUND('',#40762,.T.); #22325=FACE_OUTER_BOUND('',#40763,.T.); #22326=FACE_OUTER_BOUND('',#40764,.T.); #22327=FACE_OUTER_BOUND('',#40765,.T.); #22328=FACE_OUTER_BOUND('',#40766,.T.); #22329=FACE_OUTER_BOUND('',#40767,.T.); #22330=FACE_OUTER_BOUND('',#40768,.T.); #22331=FACE_OUTER_BOUND('',#40769,.T.); #22332=FACE_OUTER_BOUND('',#40770,.T.); #22333=FACE_OUTER_BOUND('',#40771,.T.); #22334=FACE_OUTER_BOUND('',#40772,.T.); #22335=FACE_OUTER_BOUND('',#40773,.T.); #22336=FACE_OUTER_BOUND('',#40774,.T.); #22337=FACE_OUTER_BOUND('',#40775,.T.); #22338=FACE_OUTER_BOUND('',#40776,.T.); #22339=FACE_OUTER_BOUND('',#40777,.T.); #22340=FACE_OUTER_BOUND('',#40778,.T.); #22341=FACE_OUTER_BOUND('',#40779,.T.); #22342=FACE_OUTER_BOUND('',#40780,.T.); #22343=FACE_OUTER_BOUND('',#40781,.T.); #22344=FACE_OUTER_BOUND('',#40782,.T.); #22345=FACE_OUTER_BOUND('',#40783,.T.); #22346=FACE_OUTER_BOUND('',#40784,.T.); #22347=FACE_OUTER_BOUND('',#40785,.T.); #22348=FACE_OUTER_BOUND('',#40786,.T.); #22349=FACE_OUTER_BOUND('',#40787,.T.); #22350=FACE_OUTER_BOUND('',#40788,.T.); #22351=FACE_OUTER_BOUND('',#40789,.T.); #22352=FACE_OUTER_BOUND('',#40790,.T.); #22353=FACE_OUTER_BOUND('',#40791,.T.); #22354=FACE_OUTER_BOUND('',#40792,.T.); #22355=FACE_OUTER_BOUND('',#40793,.T.); #22356=FACE_OUTER_BOUND('',#40794,.T.); #22357=FACE_OUTER_BOUND('',#40795,.T.); #22358=FACE_OUTER_BOUND('',#40796,.T.); #22359=FACE_OUTER_BOUND('',#40797,.T.); #22360=FACE_OUTER_BOUND('',#40798,.T.); #22361=FACE_OUTER_BOUND('',#40799,.T.); #22362=FACE_OUTER_BOUND('',#40800,.T.); #22363=FACE_OUTER_BOUND('',#40801,.T.); #22364=FACE_OUTER_BOUND('',#40802,.T.); #22365=FACE_OUTER_BOUND('',#40803,.T.); #22366=FACE_OUTER_BOUND('',#40804,.T.); #22367=FACE_OUTER_BOUND('',#40805,.T.); #22368=FACE_OUTER_BOUND('',#40806,.T.); #22369=FACE_OUTER_BOUND('',#40807,.T.); #22370=FACE_OUTER_BOUND('',#40808,.T.); #22371=FACE_OUTER_BOUND('',#40809,.T.); #22372=FACE_OUTER_BOUND('',#40810,.T.); #22373=FACE_OUTER_BOUND('',#40811,.T.); #22374=FACE_OUTER_BOUND('',#40812,.T.); #22375=FACE_OUTER_BOUND('',#40813,.T.); #22376=FACE_OUTER_BOUND('',#40814,.T.); #22377=FACE_OUTER_BOUND('',#40815,.T.); #22378=FACE_OUTER_BOUND('',#40816,.T.); #22379=FACE_OUTER_BOUND('',#40817,.T.); #22380=FACE_OUTER_BOUND('',#40818,.T.); #22381=FACE_OUTER_BOUND('',#40819,.T.); #22382=FACE_OUTER_BOUND('',#40820,.T.); #22383=FACE_OUTER_BOUND('',#40821,.T.); #22384=FACE_OUTER_BOUND('',#40822,.T.); #22385=FACE_OUTER_BOUND('',#40823,.T.); #22386=FACE_OUTER_BOUND('',#40824,.T.); #22387=FACE_OUTER_BOUND('',#40825,.T.); #22388=FACE_OUTER_BOUND('',#40826,.T.); #22389=FACE_OUTER_BOUND('',#40827,.T.); #22390=FACE_OUTER_BOUND('',#40828,.T.); #22391=FACE_OUTER_BOUND('',#40829,.T.); #22392=FACE_OUTER_BOUND('',#40830,.T.); #22393=FACE_OUTER_BOUND('',#40831,.T.); #22394=FACE_OUTER_BOUND('',#40832,.T.); #22395=FACE_OUTER_BOUND('',#40833,.T.); #22396=FACE_OUTER_BOUND('',#40834,.T.); #22397=FACE_OUTER_BOUND('',#40835,.T.); #22398=FACE_OUTER_BOUND('',#40836,.T.); #22399=FACE_OUTER_BOUND('',#40837,.T.); #22400=FACE_OUTER_BOUND('',#40838,.T.); #22401=FACE_OUTER_BOUND('',#40839,.T.); #22402=FACE_OUTER_BOUND('',#40840,.T.); #22403=FACE_OUTER_BOUND('',#40841,.T.); #22404=FACE_OUTER_BOUND('',#40842,.T.); #22405=FACE_OUTER_BOUND('',#40843,.T.); #22406=FACE_OUTER_BOUND('',#40844,.T.); #22407=FACE_OUTER_BOUND('',#40845,.T.); #22408=FACE_OUTER_BOUND('',#40846,.T.); #22409=FACE_OUTER_BOUND('',#40847,.T.); #22410=FACE_OUTER_BOUND('',#40848,.T.); #22411=FACE_OUTER_BOUND('',#40849,.T.); #22412=FACE_OUTER_BOUND('',#40850,.T.); #22413=FACE_OUTER_BOUND('',#40851,.T.); #22414=FACE_OUTER_BOUND('',#40852,.T.); #22415=FACE_OUTER_BOUND('',#40853,.T.); #22416=FACE_OUTER_BOUND('',#40854,.T.); #22417=FACE_OUTER_BOUND('',#40855,.T.); #22418=FACE_OUTER_BOUND('',#40856,.T.); #22419=FACE_OUTER_BOUND('',#40857,.T.); #22420=FACE_OUTER_BOUND('',#40858,.T.); #22421=FACE_OUTER_BOUND('',#40859,.T.); #22422=FACE_OUTER_BOUND('',#40860,.T.); #22423=FACE_OUTER_BOUND('',#40861,.T.); #22424=FACE_OUTER_BOUND('',#40862,.T.); #22425=FACE_OUTER_BOUND('',#40863,.T.); #22426=FACE_OUTER_BOUND('',#40864,.T.); #22427=FACE_OUTER_BOUND('',#40865,.T.); #22428=FACE_OUTER_BOUND('',#40866,.T.); #22429=FACE_OUTER_BOUND('',#40867,.T.); #22430=FACE_OUTER_BOUND('',#40868,.T.); #22431=FACE_OUTER_BOUND('',#40869,.T.); #22432=FACE_OUTER_BOUND('',#40870,.T.); #22433=FACE_OUTER_BOUND('',#40871,.T.); #22434=FACE_OUTER_BOUND('',#40872,.T.); #22435=FACE_OUTER_BOUND('',#40873,.T.); #22436=FACE_OUTER_BOUND('',#40874,.T.); #22437=FACE_OUTER_BOUND('',#40875,.T.); #22438=FACE_OUTER_BOUND('',#40876,.T.); #22439=FACE_OUTER_BOUND('',#40877,.T.); #22440=FACE_OUTER_BOUND('',#40878,.T.); #22441=FACE_OUTER_BOUND('',#40879,.T.); #22442=FACE_OUTER_BOUND('',#40880,.T.); #22443=FACE_OUTER_BOUND('',#40881,.T.); #22444=FACE_OUTER_BOUND('',#40882,.T.); #22445=FACE_OUTER_BOUND('',#40883,.T.); #22446=FACE_OUTER_BOUND('',#40884,.T.); #22447=FACE_OUTER_BOUND('',#40885,.T.); #22448=FACE_OUTER_BOUND('',#40886,.T.); #22449=FACE_OUTER_BOUND('',#40887,.T.); #22450=FACE_OUTER_BOUND('',#40888,.T.); #22451=FACE_OUTER_BOUND('',#40889,.T.); #22452=FACE_OUTER_BOUND('',#40890,.T.); #22453=FACE_OUTER_BOUND('',#40891,.T.); #22454=FACE_OUTER_BOUND('',#40892,.T.); #22455=FACE_OUTER_BOUND('',#40893,.T.); #22456=FACE_OUTER_BOUND('',#40894,.T.); #22457=FACE_OUTER_BOUND('',#40895,.T.); #22458=FACE_OUTER_BOUND('',#40896,.T.); #22459=FACE_OUTER_BOUND('',#40897,.T.); #22460=FACE_OUTER_BOUND('',#40898,.T.); #22461=FACE_OUTER_BOUND('',#40899,.T.); #22462=FACE_OUTER_BOUND('',#40900,.T.); #22463=FACE_OUTER_BOUND('',#40901,.T.); #22464=FACE_OUTER_BOUND('',#40902,.T.); #22465=FACE_OUTER_BOUND('',#40903,.T.); #22466=FACE_OUTER_BOUND('',#40904,.T.); #22467=FACE_OUTER_BOUND('',#40905,.T.); #22468=FACE_OUTER_BOUND('',#40906,.T.); #22469=FACE_OUTER_BOUND('',#40907,.T.); #22470=FACE_OUTER_BOUND('',#40908,.T.); #22471=FACE_OUTER_BOUND('',#40909,.T.); #22472=FACE_OUTER_BOUND('',#40910,.T.); #22473=FACE_OUTER_BOUND('',#40911,.T.); #22474=FACE_OUTER_BOUND('',#40912,.T.); #22475=FACE_OUTER_BOUND('',#40913,.T.); #22476=FACE_OUTER_BOUND('',#40914,.T.); #22477=FACE_OUTER_BOUND('',#40915,.T.); #22478=FACE_OUTER_BOUND('',#40916,.T.); #22479=FACE_OUTER_BOUND('',#40917,.T.); #22480=FACE_OUTER_BOUND('',#40918,.T.); #22481=FACE_OUTER_BOUND('',#40919,.T.); #22482=FACE_OUTER_BOUND('',#40920,.T.); #22483=FACE_OUTER_BOUND('',#40921,.T.); #22484=FACE_OUTER_BOUND('',#40922,.T.); #22485=FACE_OUTER_BOUND('',#40923,.T.); #22486=FACE_OUTER_BOUND('',#40924,.T.); #22487=FACE_OUTER_BOUND('',#40925,.T.); #22488=FACE_OUTER_BOUND('',#40926,.T.); #22489=FACE_OUTER_BOUND('',#40927,.T.); #22490=FACE_OUTER_BOUND('',#40928,.T.); #22491=FACE_OUTER_BOUND('',#40929,.T.); #22492=FACE_OUTER_BOUND('',#40930,.T.); #22493=FACE_OUTER_BOUND('',#40931,.T.); #22494=FACE_OUTER_BOUND('',#40932,.T.); #22495=FACE_OUTER_BOUND('',#40933,.T.); #22496=FACE_OUTER_BOUND('',#40934,.T.); #22497=FACE_OUTER_BOUND('',#40935,.T.); #22498=FACE_OUTER_BOUND('',#40936,.T.); #22499=FACE_OUTER_BOUND('',#40937,.T.); #22500=FACE_OUTER_BOUND('',#40938,.T.); #22501=FACE_OUTER_BOUND('',#40939,.T.); #22502=FACE_OUTER_BOUND('',#40940,.T.); #22503=FACE_OUTER_BOUND('',#40941,.T.); #22504=FACE_OUTER_BOUND('',#40942,.T.); #22505=FACE_OUTER_BOUND('',#40943,.T.); #22506=FACE_OUTER_BOUND('',#40944,.T.); #22507=FACE_OUTER_BOUND('',#40945,.T.); #22508=FACE_OUTER_BOUND('',#40946,.T.); #22509=FACE_OUTER_BOUND('',#40947,.T.); #22510=FACE_OUTER_BOUND('',#40948,.T.); #22511=FACE_OUTER_BOUND('',#40949,.T.); #22512=FACE_OUTER_BOUND('',#40950,.T.); #22513=FACE_OUTER_BOUND('',#40951,.T.); #22514=FACE_OUTER_BOUND('',#40952,.T.); #22515=FACE_OUTER_BOUND('',#40953,.T.); #22516=FACE_OUTER_BOUND('',#40954,.T.); #22517=FACE_OUTER_BOUND('',#40955,.T.); #22518=FACE_OUTER_BOUND('',#40956,.T.); #22519=FACE_OUTER_BOUND('',#40957,.T.); #22520=FACE_OUTER_BOUND('',#40958,.T.); #22521=FACE_OUTER_BOUND('',#40959,.T.); #22522=FACE_OUTER_BOUND('',#40960,.T.); #22523=FACE_OUTER_BOUND('',#40961,.T.); #22524=FACE_OUTER_BOUND('',#40962,.T.); #22525=FACE_OUTER_BOUND('',#40963,.T.); #22526=FACE_OUTER_BOUND('',#40964,.T.); #22527=FACE_OUTER_BOUND('',#40965,.T.); #22528=FACE_OUTER_BOUND('',#40966,.T.); #22529=FACE_OUTER_BOUND('',#40967,.T.); #22530=FACE_OUTER_BOUND('',#40968,.T.); #22531=FACE_OUTER_BOUND('',#40970,.T.); #22532=FACE_OUTER_BOUND('',#40971,.T.); #22533=FACE_OUTER_BOUND('',#40972,.T.); #22534=FACE_OUTER_BOUND('',#40973,.T.); #22535=FACE_OUTER_BOUND('',#40974,.T.); #22536=FACE_OUTER_BOUND('',#40975,.T.); #22537=FACE_OUTER_BOUND('',#40976,.T.); #22538=FACE_OUTER_BOUND('',#40977,.T.); #22539=FACE_OUTER_BOUND('',#40978,.T.); #22540=FACE_OUTER_BOUND('',#40979,.T.); #22541=FACE_OUTER_BOUND('',#40980,.T.); #22542=FACE_OUTER_BOUND('',#40981,.T.); #22543=FACE_OUTER_BOUND('',#40982,.T.); #22544=FACE_OUTER_BOUND('',#40983,.T.); #22545=FACE_OUTER_BOUND('',#40984,.T.); #22546=FACE_OUTER_BOUND('',#40985,.T.); #22547=FACE_OUTER_BOUND('',#40986,.T.); #22548=FACE_OUTER_BOUND('',#40987,.T.); #22549=FACE_OUTER_BOUND('',#40988,.T.); #22550=FACE_OUTER_BOUND('',#40989,.T.); #22551=FACE_OUTER_BOUND('',#40990,.T.); #22552=FACE_OUTER_BOUND('',#40991,.T.); #22553=FACE_OUTER_BOUND('',#40992,.T.); #22554=FACE_OUTER_BOUND('',#40993,.T.); #22555=FACE_OUTER_BOUND('',#40994,.T.); #22556=FACE_OUTER_BOUND('',#40995,.T.); #22557=FACE_OUTER_BOUND('',#40996,.T.); #22558=FACE_OUTER_BOUND('',#40997,.T.); #22559=FACE_OUTER_BOUND('',#40998,.T.); #22560=FACE_OUTER_BOUND('',#40999,.T.); #22561=FACE_OUTER_BOUND('',#41000,.T.); #22562=FACE_OUTER_BOUND('',#41001,.T.); #22563=FACE_OUTER_BOUND('',#41002,.T.); #22564=FACE_OUTER_BOUND('',#41003,.T.); #22565=FACE_OUTER_BOUND('',#41004,.T.); #22566=FACE_OUTER_BOUND('',#41005,.T.); #22567=FACE_OUTER_BOUND('',#41006,.T.); #22568=FACE_OUTER_BOUND('',#41007,.T.); #22569=FACE_OUTER_BOUND('',#41008,.T.); #22570=FACE_OUTER_BOUND('',#41009,.T.); #22571=FACE_OUTER_BOUND('',#41010,.T.); #22572=FACE_OUTER_BOUND('',#41011,.T.); #22573=FACE_OUTER_BOUND('',#41012,.T.); #22574=FACE_OUTER_BOUND('',#41013,.T.); #22575=FACE_OUTER_BOUND('',#41014,.T.); #22576=FACE_OUTER_BOUND('',#41015,.T.); #22577=FACE_OUTER_BOUND('',#41016,.T.); #22578=FACE_OUTER_BOUND('',#41017,.T.); #22579=FACE_OUTER_BOUND('',#41018,.T.); #22580=FACE_OUTER_BOUND('',#41019,.T.); #22581=FACE_OUTER_BOUND('',#41020,.T.); #22582=FACE_OUTER_BOUND('',#41021,.T.); #22583=FACE_OUTER_BOUND('',#41022,.T.); #22584=FACE_OUTER_BOUND('',#41023,.T.); #22585=FACE_OUTER_BOUND('',#41024,.T.); #22586=FACE_OUTER_BOUND('',#41025,.T.); #22587=FACE_OUTER_BOUND('',#41026,.T.); #22588=FACE_OUTER_BOUND('',#41027,.T.); #22589=FACE_OUTER_BOUND('',#41028,.T.); #22590=FACE_OUTER_BOUND('',#41029,.T.); #22591=FACE_OUTER_BOUND('',#41030,.T.); #22592=FACE_OUTER_BOUND('',#41031,.T.); #22593=FACE_OUTER_BOUND('',#41032,.T.); #22594=FACE_OUTER_BOUND('',#41033,.T.); #22595=FACE_OUTER_BOUND('',#41034,.T.); #22596=FACE_OUTER_BOUND('',#41035,.T.); #22597=FACE_OUTER_BOUND('',#41036,.T.); #22598=FACE_OUTER_BOUND('',#41037,.T.); #22599=FACE_OUTER_BOUND('',#41038,.T.); #22600=FACE_OUTER_BOUND('',#41039,.T.); #22601=FACE_OUTER_BOUND('',#41040,.T.); #22602=FACE_OUTER_BOUND('',#41041,.T.); #22603=FACE_OUTER_BOUND('',#41042,.T.); #22604=FACE_OUTER_BOUND('',#41043,.T.); #22605=FACE_OUTER_BOUND('',#41044,.T.); #22606=FACE_OUTER_BOUND('',#41045,.T.); #22607=FACE_OUTER_BOUND('',#41046,.T.); #22608=FACE_OUTER_BOUND('',#41047,.T.); #22609=FACE_OUTER_BOUND('',#41048,.T.); #22610=FACE_OUTER_BOUND('',#41049,.T.); #22611=FACE_OUTER_BOUND('',#41050,.T.); #22612=FACE_OUTER_BOUND('',#41051,.T.); #22613=FACE_OUTER_BOUND('',#41052,.T.); #22614=FACE_OUTER_BOUND('',#41053,.T.); #22615=FACE_OUTER_BOUND('',#41054,.T.); #22616=FACE_OUTER_BOUND('',#41055,.T.); #22617=FACE_OUTER_BOUND('',#41056,.T.); #22618=FACE_OUTER_BOUND('',#41057,.T.); #22619=FACE_OUTER_BOUND('',#41058,.T.); #22620=FACE_OUTER_BOUND('',#41059,.T.); #22621=FACE_OUTER_BOUND('',#41060,.T.); #22622=FACE_OUTER_BOUND('',#41061,.T.); #22623=FACE_OUTER_BOUND('',#41062,.T.); #22624=FACE_OUTER_BOUND('',#41063,.T.); #22625=FACE_OUTER_BOUND('',#41064,.T.); #22626=FACE_OUTER_BOUND('',#41065,.T.); #22627=FACE_OUTER_BOUND('',#41066,.T.); #22628=FACE_OUTER_BOUND('',#41067,.T.); #22629=FACE_OUTER_BOUND('',#41068,.T.); #22630=FACE_OUTER_BOUND('',#41069,.T.); #22631=FACE_OUTER_BOUND('',#41070,.T.); #22632=FACE_OUTER_BOUND('',#41071,.T.); #22633=FACE_OUTER_BOUND('',#41072,.T.); #22634=FACE_OUTER_BOUND('',#41073,.T.); #22635=FACE_OUTER_BOUND('',#41074,.T.); #22636=FACE_OUTER_BOUND('',#41075,.T.); #22637=FACE_OUTER_BOUND('',#41076,.T.); #22638=FACE_OUTER_BOUND('',#41077,.T.); #22639=FACE_OUTER_BOUND('',#41078,.T.); #22640=FACE_OUTER_BOUND('',#41079,.T.); #22641=FACE_OUTER_BOUND('',#41080,.T.); #22642=FACE_OUTER_BOUND('',#41081,.T.); #22643=FACE_OUTER_BOUND('',#41082,.T.); #22644=FACE_OUTER_BOUND('',#41083,.T.); #22645=FACE_OUTER_BOUND('',#41084,.T.); #22646=FACE_OUTER_BOUND('',#41085,.T.); #22647=FACE_OUTER_BOUND('',#41086,.T.); #22648=FACE_OUTER_BOUND('',#41087,.T.); #22649=FACE_OUTER_BOUND('',#41088,.T.); #22650=FACE_OUTER_BOUND('',#41089,.T.); #22651=FACE_OUTER_BOUND('',#41090,.T.); #22652=FACE_OUTER_BOUND('',#41091,.T.); #22653=FACE_OUTER_BOUND('',#41092,.T.); #22654=FACE_OUTER_BOUND('',#41093,.T.); #22655=FACE_OUTER_BOUND('',#41094,.T.); #22656=FACE_OUTER_BOUND('',#41095,.T.); #22657=FACE_OUTER_BOUND('',#41096,.T.); #22658=FACE_OUTER_BOUND('',#41097,.T.); #22659=FACE_OUTER_BOUND('',#41098,.T.); #22660=FACE_OUTER_BOUND('',#41099,.T.); #22661=FACE_OUTER_BOUND('',#41100,.T.); #22662=FACE_OUTER_BOUND('',#41101,.T.); #22663=FACE_OUTER_BOUND('',#41102,.T.); #22664=FACE_OUTER_BOUND('',#41103,.T.); #22665=FACE_OUTER_BOUND('',#41104,.T.); #22666=FACE_OUTER_BOUND('',#41105,.T.); #22667=FACE_OUTER_BOUND('',#41106,.T.); #22668=FACE_OUTER_BOUND('',#41107,.T.); #22669=FACE_OUTER_BOUND('',#41108,.T.); #22670=FACE_OUTER_BOUND('',#41109,.T.); #22671=FACE_OUTER_BOUND('',#41110,.T.); #22672=FACE_OUTER_BOUND('',#41111,.T.); #22673=FACE_OUTER_BOUND('',#41112,.T.); #22674=FACE_OUTER_BOUND('',#41113,.T.); #22675=FACE_OUTER_BOUND('',#41114,.T.); #22676=FACE_OUTER_BOUND('',#41115,.T.); #22677=FACE_OUTER_BOUND('',#41116,.T.); #22678=FACE_OUTER_BOUND('',#41117,.T.); #22679=FACE_OUTER_BOUND('',#41118,.T.); #22680=FACE_OUTER_BOUND('',#41119,.T.); #22681=FACE_OUTER_BOUND('',#41120,.T.); #22682=FACE_OUTER_BOUND('',#41121,.T.); #22683=FACE_OUTER_BOUND('',#41122,.T.); #22684=FACE_OUTER_BOUND('',#41123,.T.); #22685=FACE_OUTER_BOUND('',#41124,.T.); #22686=FACE_OUTER_BOUND('',#41125,.T.); #22687=FACE_OUTER_BOUND('',#41126,.T.); #22688=FACE_OUTER_BOUND('',#41127,.T.); #22689=FACE_OUTER_BOUND('',#41128,.T.); #22690=FACE_OUTER_BOUND('',#41129,.T.); #22691=FACE_OUTER_BOUND('',#41130,.T.); #22692=FACE_OUTER_BOUND('',#41131,.T.); #22693=FACE_OUTER_BOUND('',#41132,.T.); #22694=FACE_OUTER_BOUND('',#41133,.T.); #22695=FACE_OUTER_BOUND('',#41134,.T.); #22696=FACE_OUTER_BOUND('',#41135,.T.); #22697=FACE_OUTER_BOUND('',#41136,.T.); #22698=FACE_OUTER_BOUND('',#41137,.T.); #22699=FACE_OUTER_BOUND('',#41138,.T.); #22700=FACE_OUTER_BOUND('',#41139,.T.); #22701=FACE_OUTER_BOUND('',#41140,.T.); #22702=FACE_OUTER_BOUND('',#41141,.T.); #22703=FACE_OUTER_BOUND('',#41142,.T.); #22704=FACE_OUTER_BOUND('',#41143,.T.); #22705=FACE_OUTER_BOUND('',#41144,.T.); #22706=FACE_OUTER_BOUND('',#41145,.T.); #22707=FACE_OUTER_BOUND('',#41146,.T.); #22708=FACE_OUTER_BOUND('',#41147,.T.); #22709=FACE_OUTER_BOUND('',#41148,.T.); #22710=FACE_OUTER_BOUND('',#41149,.T.); #22711=FACE_OUTER_BOUND('',#41150,.T.); #22712=FACE_OUTER_BOUND('',#41151,.T.); #22713=FACE_OUTER_BOUND('',#41152,.T.); #22714=FACE_OUTER_BOUND('',#41154,.T.); #22715=FACE_OUTER_BOUND('',#41155,.T.); #22716=FACE_OUTER_BOUND('',#41157,.T.); #22717=FACE_OUTER_BOUND('',#41158,.T.); #22718=FACE_OUTER_BOUND('',#41160,.T.); #22719=FACE_OUTER_BOUND('',#41161,.T.); #22720=FACE_OUTER_BOUND('',#41163,.T.); #22721=FACE_OUTER_BOUND('',#41164,.T.); #22722=FACE_OUTER_BOUND('',#41165,.T.); #22723=FACE_OUTER_BOUND('',#41166,.T.); #22724=FACE_OUTER_BOUND('',#41167,.T.); #22725=FACE_OUTER_BOUND('',#41168,.T.); #22726=FACE_OUTER_BOUND('',#41169,.T.); #22727=FACE_OUTER_BOUND('',#41170,.T.); #22728=FACE_OUTER_BOUND('',#41171,.T.); #22729=FACE_OUTER_BOUND('',#41173,.T.); #22730=FACE_OUTER_BOUND('',#41174,.T.); #22731=FACE_OUTER_BOUND('',#41175,.T.); #22732=FACE_OUTER_BOUND('',#41176,.T.); #22733=FACE_OUTER_BOUND('',#41177,.T.); #22734=FACE_OUTER_BOUND('',#41178,.T.); #22735=FACE_OUTER_BOUND('',#41179,.T.); #22736=FACE_OUTER_BOUND('',#41180,.T.); #22737=FACE_OUTER_BOUND('',#41181,.T.); #22738=FACE_OUTER_BOUND('',#41182,.T.); #22739=FACE_OUTER_BOUND('',#41183,.T.); #22740=FACE_OUTER_BOUND('',#41184,.T.); #22741=FACE_OUTER_BOUND('',#41185,.T.); #22742=FACE_OUTER_BOUND('',#41205,.T.); #22743=FACE_OUTER_BOUND('',#41206,.T.); #22744=FACE_OUTER_BOUND('',#41207,.T.); #22745=FACE_OUTER_BOUND('',#41208,.T.); #22746=FACE_OUTER_BOUND('',#41209,.T.); #22747=FACE_OUTER_BOUND('',#41210,.T.); #22748=FACE_OUTER_BOUND('',#41211,.T.); #22749=FACE_OUTER_BOUND('',#41212,.T.); #22750=FACE_OUTER_BOUND('',#41213,.T.); #22751=FACE_OUTER_BOUND('',#41214,.T.); #22752=FACE_OUTER_BOUND('',#41215,.T.); #22753=FACE_OUTER_BOUND('',#41216,.T.); #22754=FACE_OUTER_BOUND('',#41217,.T.); #22755=FACE_OUTER_BOUND('',#41218,.T.); #22756=FACE_OUTER_BOUND('',#41219,.T.); #22757=FACE_OUTER_BOUND('',#41220,.T.); #22758=FACE_OUTER_BOUND('',#41221,.T.); #22759=FACE_OUTER_BOUND('',#41222,.T.); #22760=FACE_OUTER_BOUND('',#41223,.T.); #22761=FACE_OUTER_BOUND('',#41224,.T.); #22762=FACE_OUTER_BOUND('',#41225,.T.); #22763=FACE_OUTER_BOUND('',#41226,.T.); #22764=FACE_OUTER_BOUND('',#41227,.T.); #22765=FACE_OUTER_BOUND('',#41228,.T.); #22766=FACE_OUTER_BOUND('',#41229,.T.); #22767=FACE_OUTER_BOUND('',#41230,.T.); #22768=FACE_OUTER_BOUND('',#41231,.T.); #22769=FACE_OUTER_BOUND('',#41232,.T.); #22770=FACE_OUTER_BOUND('',#41233,.T.); #22771=FACE_OUTER_BOUND('',#41234,.T.); #22772=FACE_OUTER_BOUND('',#41235,.T.); #22773=FACE_OUTER_BOUND('',#41236,.T.); #22774=FACE_OUTER_BOUND('',#41237,.T.); #22775=FACE_OUTER_BOUND('',#41238,.T.); #22776=FACE_OUTER_BOUND('',#41239,.T.); #22777=FACE_OUTER_BOUND('',#41240,.T.); #22778=FACE_OUTER_BOUND('',#41241,.T.); #22779=FACE_OUTER_BOUND('',#41242,.T.); #22780=FACE_OUTER_BOUND('',#41243,.T.); #22781=FACE_OUTER_BOUND('',#41244,.T.); #22782=FACE_OUTER_BOUND('',#41245,.T.); #22783=FACE_OUTER_BOUND('',#41246,.T.); #22784=FACE_OUTER_BOUND('',#41247,.T.); #22785=FACE_OUTER_BOUND('',#41248,.T.); #22786=FACE_OUTER_BOUND('',#41249,.T.); #22787=FACE_OUTER_BOUND('',#41250,.T.); #22788=FACE_OUTER_BOUND('',#41251,.T.); #22789=FACE_OUTER_BOUND('',#41252,.T.); #22790=FACE_OUTER_BOUND('',#41253,.T.); #22791=FACE_OUTER_BOUND('',#41254,.T.); #22792=FACE_OUTER_BOUND('',#41255,.T.); #22793=FACE_OUTER_BOUND('',#41256,.T.); #22794=FACE_OUTER_BOUND('',#41257,.T.); #22795=FACE_OUTER_BOUND('',#41258,.T.); #22796=FACE_OUTER_BOUND('',#41259,.T.); #22797=FACE_OUTER_BOUND('',#41260,.T.); #22798=FACE_OUTER_BOUND('',#41261,.T.); #22799=FACE_OUTER_BOUND('',#41262,.T.); #22800=FACE_OUTER_BOUND('',#41263,.T.); #22801=FACE_OUTER_BOUND('',#41264,.T.); #22802=FACE_OUTER_BOUND('',#41265,.T.); #22803=FACE_OUTER_BOUND('',#41266,.T.); #22804=FACE_OUTER_BOUND('',#41267,.T.); #22805=FACE_OUTER_BOUND('',#41268,.T.); #22806=FACE_OUTER_BOUND('',#41269,.T.); #22807=FACE_OUTER_BOUND('',#41270,.T.); #22808=FACE_OUTER_BOUND('',#41271,.T.); #22809=FACE_OUTER_BOUND('',#41272,.T.); #22810=FACE_OUTER_BOUND('',#41273,.T.); #22811=FACE_OUTER_BOUND('',#41274,.T.); #22812=FACE_OUTER_BOUND('',#41275,.T.); #22813=FACE_OUTER_BOUND('',#41276,.T.); #22814=FACE_OUTER_BOUND('',#41277,.T.); #22815=FACE_OUTER_BOUND('',#41278,.T.); #22816=FACE_OUTER_BOUND('',#41279,.T.); #22817=FACE_OUTER_BOUND('',#41280,.T.); #22818=FACE_OUTER_BOUND('',#41281,.T.); #22819=FACE_OUTER_BOUND('',#41282,.T.); #22820=FACE_OUTER_BOUND('',#41283,.T.); #22821=FACE_OUTER_BOUND('',#41284,.T.); #22822=FACE_OUTER_BOUND('',#41285,.T.); #22823=FACE_OUTER_BOUND('',#41286,.T.); #22824=FACE_OUTER_BOUND('',#41287,.T.); #22825=FACE_OUTER_BOUND('',#41288,.T.); #22826=FACE_OUTER_BOUND('',#41289,.T.); #22827=FACE_OUTER_BOUND('',#41290,.T.); #22828=FACE_OUTER_BOUND('',#41291,.T.); #22829=FACE_OUTER_BOUND('',#41292,.T.); #22830=FACE_OUTER_BOUND('',#41293,.T.); #22831=FACE_OUTER_BOUND('',#41294,.T.); #22832=FACE_OUTER_BOUND('',#41295,.T.); #22833=FACE_OUTER_BOUND('',#41296,.T.); #22834=FACE_OUTER_BOUND('',#41297,.T.); #22835=FACE_OUTER_BOUND('',#41298,.T.); #22836=FACE_OUTER_BOUND('',#41299,.T.); #22837=FACE_OUTER_BOUND('',#41300,.T.); #22838=FACE_OUTER_BOUND('',#41301,.T.); #22839=FACE_OUTER_BOUND('',#41302,.T.); #22840=FACE_OUTER_BOUND('',#41303,.T.); #22841=FACE_OUTER_BOUND('',#41304,.T.); #22842=FACE_OUTER_BOUND('',#41305,.T.); #22843=FACE_OUTER_BOUND('',#41306,.T.); #22844=FACE_OUTER_BOUND('',#41307,.T.); #22845=FACE_OUTER_BOUND('',#41308,.T.); #22846=FACE_OUTER_BOUND('',#41309,.T.); #22847=FACE_OUTER_BOUND('',#41310,.T.); #22848=FACE_OUTER_BOUND('',#41311,.T.); #22849=FACE_OUTER_BOUND('',#41312,.T.); #22850=FACE_OUTER_BOUND('',#41313,.T.); #22851=FACE_OUTER_BOUND('',#41314,.T.); #22852=FACE_OUTER_BOUND('',#41315,.T.); #22853=FACE_OUTER_BOUND('',#41316,.T.); #22854=FACE_OUTER_BOUND('',#41317,.T.); #22855=FACE_OUTER_BOUND('',#41318,.T.); #22856=FACE_OUTER_BOUND('',#41319,.T.); #22857=FACE_OUTER_BOUND('',#41320,.T.); #22858=FACE_OUTER_BOUND('',#41321,.T.); #22859=FACE_OUTER_BOUND('',#41322,.T.); #22860=FACE_OUTER_BOUND('',#41323,.T.); #22861=FACE_OUTER_BOUND('',#41324,.T.); #22862=FACE_OUTER_BOUND('',#41325,.T.); #22863=FACE_OUTER_BOUND('',#41326,.T.); #22864=FACE_OUTER_BOUND('',#41327,.T.); #22865=FACE_OUTER_BOUND('',#41328,.T.); #22866=FACE_OUTER_BOUND('',#41329,.T.); #22867=FACE_OUTER_BOUND('',#41330,.T.); #22868=FACE_OUTER_BOUND('',#41331,.T.); #22869=FACE_OUTER_BOUND('',#41332,.T.); #22870=FACE_OUTER_BOUND('',#41333,.T.); #22871=FACE_OUTER_BOUND('',#41334,.T.); #22872=FACE_OUTER_BOUND('',#41335,.T.); #22873=FACE_OUTER_BOUND('',#41336,.T.); #22874=FACE_OUTER_BOUND('',#41337,.T.); #22875=FACE_OUTER_BOUND('',#41338,.T.); #22876=FACE_OUTER_BOUND('',#41339,.T.); #22877=FACE_OUTER_BOUND('',#41340,.T.); #22878=FACE_OUTER_BOUND('',#41341,.T.); #22879=FACE_OUTER_BOUND('',#41342,.T.); #22880=FACE_OUTER_BOUND('',#41343,.T.); #22881=FACE_OUTER_BOUND('',#41344,.T.); #22882=FACE_OUTER_BOUND('',#41345,.T.); #22883=FACE_OUTER_BOUND('',#41346,.T.); #22884=FACE_OUTER_BOUND('',#41347,.T.); #22885=FACE_OUTER_BOUND('',#41348,.T.); #22886=FACE_OUTER_BOUND('',#41349,.T.); #22887=FACE_OUTER_BOUND('',#41350,.T.); #22888=FACE_OUTER_BOUND('',#41351,.T.); #22889=FACE_OUTER_BOUND('',#41352,.T.); #22890=FACE_OUTER_BOUND('',#41353,.T.); #22891=FACE_OUTER_BOUND('',#41354,.T.); #22892=FACE_OUTER_BOUND('',#41355,.T.); #22893=FACE_OUTER_BOUND('',#41356,.T.); #22894=FACE_OUTER_BOUND('',#41357,.T.); #22895=FACE_OUTER_BOUND('',#41358,.T.); #22896=FACE_OUTER_BOUND('',#41359,.T.); #22897=FACE_OUTER_BOUND('',#41360,.T.); #22898=FACE_OUTER_BOUND('',#41361,.T.); #22899=FACE_OUTER_BOUND('',#41362,.T.); #22900=FACE_OUTER_BOUND('',#41363,.T.); #22901=FACE_OUTER_BOUND('',#41364,.T.); #22902=FACE_OUTER_BOUND('',#41365,.T.); #22903=FACE_OUTER_BOUND('',#41366,.T.); #22904=FACE_OUTER_BOUND('',#41367,.T.); #22905=FACE_OUTER_BOUND('',#41368,.T.); #22906=FACE_OUTER_BOUND('',#41369,.T.); #22907=FACE_OUTER_BOUND('',#41370,.T.); #22908=FACE_OUTER_BOUND('',#41371,.T.); #22909=FACE_OUTER_BOUND('',#41372,.T.); #22910=FACE_OUTER_BOUND('',#41373,.T.); #22911=FACE_OUTER_BOUND('',#41374,.T.); #22912=FACE_OUTER_BOUND('',#41375,.T.); #22913=FACE_OUTER_BOUND('',#41376,.T.); #22914=FACE_OUTER_BOUND('',#41377,.T.); #22915=FACE_OUTER_BOUND('',#41378,.T.); #22916=FACE_OUTER_BOUND('',#41379,.T.); #22917=FACE_OUTER_BOUND('',#41380,.T.); #22918=FACE_OUTER_BOUND('',#41381,.T.); #22919=FACE_OUTER_BOUND('',#41382,.T.); #22920=FACE_OUTER_BOUND('',#41383,.T.); #22921=FACE_OUTER_BOUND('',#41384,.T.); #22922=FACE_OUTER_BOUND('',#41385,.T.); #22923=FACE_OUTER_BOUND('',#41386,.T.); #22924=FACE_OUTER_BOUND('',#41387,.T.); #22925=FACE_OUTER_BOUND('',#41388,.T.); #22926=FACE_OUTER_BOUND('',#41389,.T.); #22927=FACE_OUTER_BOUND('',#41390,.T.); #22928=FACE_OUTER_BOUND('',#41391,.T.); #22929=FACE_OUTER_BOUND('',#41392,.T.); #22930=FACE_OUTER_BOUND('',#41393,.T.); #22931=FACE_OUTER_BOUND('',#41394,.T.); #22932=FACE_OUTER_BOUND('',#41395,.T.); #22933=FACE_OUTER_BOUND('',#41396,.T.); #22934=FACE_OUTER_BOUND('',#41397,.T.); #22935=FACE_OUTER_BOUND('',#41398,.T.); #22936=FACE_OUTER_BOUND('',#41399,.T.); #22937=FACE_OUTER_BOUND('',#41400,.T.); #22938=FACE_OUTER_BOUND('',#41406,.T.); #22939=FACE_OUTER_BOUND('',#41407,.T.); #22940=FACE_OUTER_BOUND('',#41408,.T.); #22941=FACE_OUTER_BOUND('',#41414,.T.); #22942=FACE_OUTER_BOUND('',#41416,.T.); #22943=FACE_OUTER_BOUND('',#41417,.T.); #22944=FACE_OUTER_BOUND('',#41418,.T.); #22945=FACE_OUTER_BOUND('',#41419,.T.); #22946=FACE_OUTER_BOUND('',#41420,.T.); #22947=FACE_OUTER_BOUND('',#41421,.T.); #22948=FACE_OUTER_BOUND('',#41423,.T.); #22949=FACE_OUTER_BOUND('',#41424,.T.); #22950=FACE_OUTER_BOUND('',#41426,.T.); #22951=FACE_OUTER_BOUND('',#41427,.T.); #22952=FACE_OUTER_BOUND('',#41429,.T.); #22953=FACE_OUTER_BOUND('',#41430,.T.); #22954=FACE_OUTER_BOUND('',#41432,.T.); #22955=FACE_OUTER_BOUND('',#41433,.T.); #22956=FACE_OUTER_BOUND('',#41434,.T.); #22957=FACE_OUTER_BOUND('',#41440,.T.); #22958=FACE_OUTER_BOUND('',#41441,.T.); #22959=FACE_OUTER_BOUND('',#41442,.T.); #22960=FACE_OUTER_BOUND('',#41443,.T.); #22961=FACE_OUTER_BOUND('',#41444,.T.); #22962=FACE_OUTER_BOUND('',#41445,.T.); #22963=FACE_OUTER_BOUND('',#41446,.T.); #22964=FACE_OUTER_BOUND('',#41447,.T.); #22965=FACE_OUTER_BOUND('',#41448,.T.); #22966=FACE_OUTER_BOUND('',#41449,.T.); #22967=FACE_OUTER_BOUND('',#41450,.T.); #22968=FACE_OUTER_BOUND('',#41451,.T.); #22969=FACE_OUTER_BOUND('',#41452,.T.); #22970=FACE_OUTER_BOUND('',#41453,.T.); #22971=FACE_OUTER_BOUND('',#41454,.T.); #22972=FACE_OUTER_BOUND('',#41455,.T.); #22973=FACE_OUTER_BOUND('',#41456,.T.); #22974=FACE_OUTER_BOUND('',#41457,.T.); #22975=FACE_OUTER_BOUND('',#41458,.T.); #22976=FACE_OUTER_BOUND('',#41459,.T.); #22977=FACE_OUTER_BOUND('',#41460,.T.); #22978=FACE_OUTER_BOUND('',#41461,.T.); #22979=FACE_OUTER_BOUND('',#41462,.T.); #22980=FACE_OUTER_BOUND('',#41463,.T.); #22981=FACE_OUTER_BOUND('',#41464,.T.); #22982=FACE_OUTER_BOUND('',#41465,.T.); #22983=FACE_OUTER_BOUND('',#41466,.T.); #22984=FACE_OUTER_BOUND('',#41467,.T.); #22985=FACE_OUTER_BOUND('',#41468,.T.); #22986=FACE_OUTER_BOUND('',#41469,.T.); #22987=FACE_OUTER_BOUND('',#41470,.T.); #22988=FACE_OUTER_BOUND('',#41471,.T.); #22989=FACE_OUTER_BOUND('',#41472,.T.); #22990=FACE_OUTER_BOUND('',#41473,.T.); #22991=FACE_OUTER_BOUND('',#41474,.T.); #22992=FACE_OUTER_BOUND('',#41475,.T.); #22993=FACE_OUTER_BOUND('',#41476,.T.); #22994=FACE_OUTER_BOUND('',#41477,.T.); #22995=FACE_OUTER_BOUND('',#41478,.T.); #22996=FACE_OUTER_BOUND('',#41479,.T.); #22997=FACE_OUTER_BOUND('',#41480,.T.); #22998=FACE_OUTER_BOUND('',#41481,.T.); #22999=FACE_OUTER_BOUND('',#41482,.T.); #23000=FACE_OUTER_BOUND('',#41483,.T.); #23001=FACE_OUTER_BOUND('',#41484,.T.); #23002=FACE_OUTER_BOUND('',#41485,.T.); #23003=FACE_OUTER_BOUND('',#41486,.T.); #23004=FACE_OUTER_BOUND('',#41487,.T.); #23005=FACE_OUTER_BOUND('',#41488,.T.); #23006=FACE_OUTER_BOUND('',#41489,.T.); #23007=FACE_OUTER_BOUND('',#41490,.T.); #23008=FACE_OUTER_BOUND('',#41491,.T.); #23009=FACE_OUTER_BOUND('',#41492,.T.); #23010=FACE_OUTER_BOUND('',#41493,.T.); #23011=FACE_OUTER_BOUND('',#41494,.T.); #23012=FACE_OUTER_BOUND('',#41495,.T.); #23013=FACE_OUTER_BOUND('',#41496,.T.); #23014=FACE_OUTER_BOUND('',#41497,.T.); #23015=FACE_OUTER_BOUND('',#41498,.T.); #23016=FACE_OUTER_BOUND('',#41500,.T.); #23017=FACE_OUTER_BOUND('',#41501,.T.); #23018=FACE_OUTER_BOUND('',#41502,.T.); #23019=FACE_OUTER_BOUND('',#41503,.T.); #23020=FACE_OUTER_BOUND('',#41504,.T.); #23021=FACE_OUTER_BOUND('',#41505,.T.); #23022=FACE_OUTER_BOUND('',#41506,.T.); #23023=FACE_OUTER_BOUND('',#41507,.T.); #23024=FACE_OUTER_BOUND('',#41508,.T.); #23025=FACE_OUTER_BOUND('',#41509,.T.); #23026=FACE_OUTER_BOUND('',#41510,.T.); #23027=FACE_OUTER_BOUND('',#41511,.T.); #23028=FACE_OUTER_BOUND('',#41512,.T.); #23029=FACE_OUTER_BOUND('',#41513,.T.); #23030=FACE_OUTER_BOUND('',#41514,.T.); #23031=FACE_OUTER_BOUND('',#41515,.T.); #23032=FACE_OUTER_BOUND('',#41516,.T.); #23033=FACE_OUTER_BOUND('',#41517,.T.); #23034=FACE_OUTER_BOUND('',#41518,.T.); #23035=FACE_OUTER_BOUND('',#41519,.T.); #23036=FACE_OUTER_BOUND('',#41520,.T.); #23037=FACE_OUTER_BOUND('',#41521,.T.); #23038=FACE_OUTER_BOUND('',#41522,.T.); #23039=FACE_OUTER_BOUND('',#41523,.T.); #23040=FACE_OUTER_BOUND('',#41524,.T.); #23041=FACE_OUTER_BOUND('',#41525,.T.); #23042=FACE_OUTER_BOUND('',#41526,.T.); #23043=FACE_OUTER_BOUND('',#41527,.T.); #23044=FACE_OUTER_BOUND('',#41528,.T.); #23045=FACE_OUTER_BOUND('',#41529,.T.); #23046=FACE_OUTER_BOUND('',#41530,.T.); #23047=FACE_OUTER_BOUND('',#41531,.T.); #23048=FACE_OUTER_BOUND('',#41532,.T.); #23049=FACE_OUTER_BOUND('',#41533,.T.); #23050=FACE_OUTER_BOUND('',#41534,.T.); #23051=FACE_OUTER_BOUND('',#41535,.T.); #23052=FACE_OUTER_BOUND('',#41536,.T.); #23053=FACE_OUTER_BOUND('',#41537,.T.); #23054=FACE_OUTER_BOUND('',#41538,.T.); #23055=FACE_OUTER_BOUND('',#41539,.T.); #23056=FACE_OUTER_BOUND('',#41540,.T.); #23057=FACE_OUTER_BOUND('',#41541,.T.); #23058=FACE_OUTER_BOUND('',#41542,.T.); #23059=FACE_OUTER_BOUND('',#41543,.T.); #23060=FACE_OUTER_BOUND('',#41544,.T.); #23061=FACE_OUTER_BOUND('',#41545,.T.); #23062=FACE_OUTER_BOUND('',#41546,.T.); #23063=FACE_OUTER_BOUND('',#41547,.T.); #23064=FACE_OUTER_BOUND('',#41548,.T.); #23065=FACE_OUTER_BOUND('',#41549,.T.); #23066=FACE_OUTER_BOUND('',#41550,.T.); #23067=FACE_OUTER_BOUND('',#41551,.T.); #23068=FACE_OUTER_BOUND('',#41552,.T.); #23069=FACE_OUTER_BOUND('',#41553,.T.); #23070=FACE_OUTER_BOUND('',#41554,.T.); #23071=FACE_OUTER_BOUND('',#41556,.T.); #23072=FACE_OUTER_BOUND('',#41557,.T.); #23073=FACE_OUTER_BOUND('',#41558,.T.); #23074=FACE_OUTER_BOUND('',#41559,.T.); #23075=FACE_OUTER_BOUND('',#41560,.T.); #23076=FACE_OUTER_BOUND('',#41561,.T.); #23077=FACE_OUTER_BOUND('',#41562,.T.); #23078=FACE_OUTER_BOUND('',#41563,.T.); #23079=FACE_OUTER_BOUND('',#41564,.T.); #23080=FACE_OUTER_BOUND('',#41565,.T.); #23081=FACE_OUTER_BOUND('',#41567,.T.); #23082=FACE_OUTER_BOUND('',#41568,.T.); #23083=FACE_OUTER_BOUND('',#41569,.T.); #23084=FACE_OUTER_BOUND('',#41570,.T.); #23085=FACE_OUTER_BOUND('',#41571,.T.); #23086=FACE_OUTER_BOUND('',#41572,.T.); #23087=FACE_OUTER_BOUND('',#41573,.T.); #23088=FACE_OUTER_BOUND('',#41574,.T.); #23089=FACE_OUTER_BOUND('',#41575,.T.); #23090=FACE_OUTER_BOUND('',#41576,.T.); #23091=FACE_OUTER_BOUND('',#41577,.T.); #23092=FACE_OUTER_BOUND('',#41578,.T.); #23093=FACE_OUTER_BOUND('',#41579,.T.); #23094=FACE_OUTER_BOUND('',#41580,.T.); #23095=FACE_OUTER_BOUND('',#41581,.T.); #23096=FACE_OUTER_BOUND('',#41582,.T.); #23097=FACE_OUTER_BOUND('',#41583,.T.); #23098=FACE_OUTER_BOUND('',#41584,.T.); #23099=FACE_OUTER_BOUND('',#41585,.T.); #23100=FACE_OUTER_BOUND('',#41586,.T.); #23101=FACE_OUTER_BOUND('',#41587,.T.); #23102=FACE_OUTER_BOUND('',#41588,.T.); #23103=FACE_OUTER_BOUND('',#41589,.T.); #23104=FACE_OUTER_BOUND('',#41590,.T.); #23105=FACE_OUTER_BOUND('',#41591,.T.); #23106=FACE_OUTER_BOUND('',#41592,.T.); #23107=FACE_OUTER_BOUND('',#41593,.T.); #23108=FACE_OUTER_BOUND('',#41594,.T.); #23109=FACE_OUTER_BOUND('',#41595,.T.); #23110=FACE_OUTER_BOUND('',#41596,.T.); #23111=FACE_OUTER_BOUND('',#41597,.T.); #23112=FACE_OUTER_BOUND('',#41598,.T.); #23113=FACE_OUTER_BOUND('',#41599,.T.); #23114=FACE_OUTER_BOUND('',#41600,.T.); #23115=FACE_OUTER_BOUND('',#41601,.T.); #23116=FACE_OUTER_BOUND('',#41602,.T.); #23117=FACE_OUTER_BOUND('',#41603,.T.); #23118=FACE_OUTER_BOUND('',#41604,.T.); #23119=FACE_OUTER_BOUND('',#41605,.T.); #23120=FACE_OUTER_BOUND('',#41606,.T.); #23121=FACE_OUTER_BOUND('',#41607,.T.); #23122=FACE_OUTER_BOUND('',#41608,.T.); #23123=FACE_OUTER_BOUND('',#41609,.T.); #23124=FACE_OUTER_BOUND('',#41610,.T.); #23125=FACE_OUTER_BOUND('',#41611,.T.); #23126=FACE_OUTER_BOUND('',#41612,.T.); #23127=FACE_OUTER_BOUND('',#41613,.T.); #23128=FACE_OUTER_BOUND('',#41614,.T.); #23129=FACE_OUTER_BOUND('',#41615,.T.); #23130=FACE_OUTER_BOUND('',#41616,.T.); #23131=FACE_OUTER_BOUND('',#41617,.T.); #23132=FACE_OUTER_BOUND('',#41618,.T.); #23133=FACE_OUTER_BOUND('',#41619,.T.); #23134=FACE_OUTER_BOUND('',#41620,.T.); #23135=FACE_OUTER_BOUND('',#41621,.T.); #23136=FACE_OUTER_BOUND('',#41622,.T.); #23137=FACE_OUTER_BOUND('',#41623,.T.); #23138=FACE_OUTER_BOUND('',#41624,.T.); #23139=FACE_OUTER_BOUND('',#41625,.T.); #23140=FACE_OUTER_BOUND('',#41626,.T.); #23141=FACE_OUTER_BOUND('',#41627,.T.); #23142=FACE_OUTER_BOUND('',#41628,.T.); #23143=FACE_OUTER_BOUND('',#41629,.T.); #23144=FACE_OUTER_BOUND('',#41630,.T.); #23145=FACE_OUTER_BOUND('',#41631,.T.); #23146=FACE_OUTER_BOUND('',#41632,.T.); #23147=FACE_OUTER_BOUND('',#41633,.T.); #23148=FACE_OUTER_BOUND('',#41634,.T.); #23149=FACE_OUTER_BOUND('',#41635,.T.); #23150=FACE_OUTER_BOUND('',#41636,.T.); #23151=FACE_OUTER_BOUND('',#41637,.T.); #23152=FACE_OUTER_BOUND('',#41638,.T.); #23153=FACE_OUTER_BOUND('',#41639,.T.); #23154=FACE_OUTER_BOUND('',#41640,.T.); #23155=FACE_OUTER_BOUND('',#41641,.T.); #23156=FACE_OUTER_BOUND('',#41642,.T.); #23157=FACE_OUTER_BOUND('',#41643,.T.); #23158=FACE_OUTER_BOUND('',#41644,.T.); #23159=FACE_OUTER_BOUND('',#41645,.T.); #23160=FACE_OUTER_BOUND('',#41646,.T.); #23161=FACE_OUTER_BOUND('',#41647,.T.); #23162=FACE_OUTER_BOUND('',#41648,.T.); #23163=FACE_OUTER_BOUND('',#41649,.T.); #23164=FACE_OUTER_BOUND('',#41650,.T.); #23165=FACE_OUTER_BOUND('',#41651,.T.); #23166=FACE_OUTER_BOUND('',#41652,.T.); #23167=FACE_OUTER_BOUND('',#41653,.T.); #23168=FACE_OUTER_BOUND('',#41654,.T.); #23169=FACE_OUTER_BOUND('',#41655,.T.); #23170=FACE_OUTER_BOUND('',#41656,.T.); #23171=FACE_OUTER_BOUND('',#41657,.T.); #23172=FACE_OUTER_BOUND('',#41658,.T.); #23173=FACE_OUTER_BOUND('',#41659,.T.); #23174=FACE_OUTER_BOUND('',#41660,.T.); #23175=FACE_OUTER_BOUND('',#41661,.T.); #23176=FACE_OUTER_BOUND('',#41662,.T.); #23177=FACE_OUTER_BOUND('',#41663,.T.); #23178=FACE_OUTER_BOUND('',#41664,.T.); #23179=FACE_OUTER_BOUND('',#41665,.T.); #23180=FACE_OUTER_BOUND('',#41666,.T.); #23181=FACE_OUTER_BOUND('',#41667,.T.); #23182=FACE_OUTER_BOUND('',#41668,.T.); #23183=FACE_OUTER_BOUND('',#41669,.T.); #23184=FACE_OUTER_BOUND('',#41670,.T.); #23185=FACE_OUTER_BOUND('',#41671,.T.); #23186=FACE_OUTER_BOUND('',#41672,.T.); #23187=FACE_OUTER_BOUND('',#41673,.T.); #23188=FACE_OUTER_BOUND('',#41674,.T.); #23189=FACE_OUTER_BOUND('',#41675,.T.); #23190=FACE_OUTER_BOUND('',#41676,.T.); #23191=FACE_OUTER_BOUND('',#41677,.T.); #23192=FACE_OUTER_BOUND('',#41678,.T.); #23193=FACE_OUTER_BOUND('',#41679,.T.); #23194=FACE_OUTER_BOUND('',#41680,.T.); #23195=FACE_OUTER_BOUND('',#41681,.T.); #23196=FACE_OUTER_BOUND('',#41682,.T.); #23197=FACE_OUTER_BOUND('',#41683,.T.); #23198=FACE_OUTER_BOUND('',#41684,.T.); #23199=FACE_OUTER_BOUND('',#41685,.T.); #23200=FACE_OUTER_BOUND('',#41686,.T.); #23201=FACE_OUTER_BOUND('',#41687,.T.); #23202=FACE_OUTER_BOUND('',#41688,.T.); #23203=FACE_OUTER_BOUND('',#41689,.T.); #23204=FACE_OUTER_BOUND('',#41690,.T.); #23205=FACE_OUTER_BOUND('',#41691,.T.); #23206=FACE_OUTER_BOUND('',#41692,.T.); #23207=FACE_OUTER_BOUND('',#41693,.T.); #23208=FACE_OUTER_BOUND('',#41694,.T.); #23209=FACE_OUTER_BOUND('',#41695,.T.); #23210=FACE_OUTER_BOUND('',#41696,.T.); #23211=FACE_OUTER_BOUND('',#41697,.T.); #23212=FACE_OUTER_BOUND('',#41698,.T.); #23213=FACE_OUTER_BOUND('',#41699,.T.); #23214=FACE_OUTER_BOUND('',#41700,.T.); #23215=FACE_OUTER_BOUND('',#41701,.T.); #23216=FACE_OUTER_BOUND('',#41702,.T.); #23217=FACE_OUTER_BOUND('',#41703,.T.); #23218=FACE_OUTER_BOUND('',#41704,.T.); #23219=FACE_OUTER_BOUND('',#41705,.T.); #23220=FACE_OUTER_BOUND('',#41706,.T.); #23221=FACE_OUTER_BOUND('',#41707,.T.); #23222=FACE_OUTER_BOUND('',#41708,.T.); #23223=FACE_OUTER_BOUND('',#41709,.T.); #23224=FACE_OUTER_BOUND('',#41710,.T.); #23225=FACE_OUTER_BOUND('',#41711,.T.); #23226=FACE_OUTER_BOUND('',#41712,.T.); #23227=FACE_OUTER_BOUND('',#41713,.T.); #23228=FACE_OUTER_BOUND('',#41714,.T.); #23229=FACE_OUTER_BOUND('',#41715,.T.); #23230=FACE_OUTER_BOUND('',#41716,.T.); #23231=FACE_OUTER_BOUND('',#41717,.T.); #23232=FACE_OUTER_BOUND('',#41718,.T.); #23233=FACE_OUTER_BOUND('',#41719,.T.); #23234=FACE_OUTER_BOUND('',#41720,.T.); #23235=FACE_OUTER_BOUND('',#41721,.T.); #23236=FACE_OUTER_BOUND('',#41722,.T.); #23237=FACE_OUTER_BOUND('',#41723,.T.); #23238=FACE_OUTER_BOUND('',#41724,.T.); #23239=FACE_OUTER_BOUND('',#41725,.T.); #23240=FACE_OUTER_BOUND('',#41726,.T.); #23241=FACE_OUTER_BOUND('',#41727,.T.); #23242=FACE_OUTER_BOUND('',#41728,.T.); #23243=FACE_OUTER_BOUND('',#41729,.T.); #23244=FACE_OUTER_BOUND('',#41730,.T.); #23245=FACE_OUTER_BOUND('',#41731,.T.); #23246=FACE_OUTER_BOUND('',#41732,.T.); #23247=FACE_OUTER_BOUND('',#41733,.T.); #23248=FACE_OUTER_BOUND('',#41734,.T.); #23249=FACE_OUTER_BOUND('',#41735,.T.); #23250=FACE_OUTER_BOUND('',#41736,.T.); #23251=FACE_OUTER_BOUND('',#41737,.T.); #23252=FACE_OUTER_BOUND('',#41738,.T.); #23253=FACE_OUTER_BOUND('',#41739,.T.); #23254=FACE_OUTER_BOUND('',#41740,.T.); #23255=FACE_OUTER_BOUND('',#41741,.T.); #23256=FACE_OUTER_BOUND('',#41742,.T.); #23257=FACE_OUTER_BOUND('',#41743,.T.); #23258=FACE_OUTER_BOUND('',#41744,.T.); #23259=FACE_OUTER_BOUND('',#41745,.T.); #23260=FACE_OUTER_BOUND('',#41746,.T.); #23261=FACE_OUTER_BOUND('',#41747,.T.); #23262=FACE_OUTER_BOUND('',#41748,.T.); #23263=FACE_OUTER_BOUND('',#41749,.T.); #23264=FACE_OUTER_BOUND('',#41750,.T.); #23265=FACE_OUTER_BOUND('',#41751,.T.); #23266=FACE_OUTER_BOUND('',#41752,.T.); #23267=FACE_OUTER_BOUND('',#41753,.T.); #23268=FACE_OUTER_BOUND('',#41754,.T.); #23269=FACE_OUTER_BOUND('',#41755,.T.); #23270=FACE_OUTER_BOUND('',#41756,.T.); #23271=FACE_OUTER_BOUND('',#41757,.T.); #23272=FACE_OUTER_BOUND('',#41758,.T.); #23273=FACE_OUTER_BOUND('',#41759,.T.); #23274=FACE_OUTER_BOUND('',#41760,.T.); #23275=FACE_OUTER_BOUND('',#41761,.T.); #23276=FACE_OUTER_BOUND('',#41762,.T.); #23277=FACE_OUTER_BOUND('',#41763,.T.); #23278=FACE_OUTER_BOUND('',#41764,.T.); #23279=FACE_OUTER_BOUND('',#41765,.T.); #23280=FACE_OUTER_BOUND('',#41766,.T.); #23281=FACE_OUTER_BOUND('',#41767,.T.); #23282=FACE_OUTER_BOUND('',#41768,.T.); #23283=FACE_OUTER_BOUND('',#41769,.T.); #23284=FACE_OUTER_BOUND('',#41770,.T.); #23285=FACE_OUTER_BOUND('',#41771,.T.); #23286=FACE_OUTER_BOUND('',#41772,.T.); #23287=FACE_OUTER_BOUND('',#41773,.T.); #23288=FACE_OUTER_BOUND('',#41774,.T.); #23289=FACE_OUTER_BOUND('',#41775,.T.); #23290=FACE_OUTER_BOUND('',#41776,.T.); #23291=FACE_OUTER_BOUND('',#41777,.T.); #23292=FACE_OUTER_BOUND('',#41778,.T.); #23293=FACE_OUTER_BOUND('',#41779,.T.); #23294=FACE_OUTER_BOUND('',#41780,.T.); #23295=FACE_OUTER_BOUND('',#41781,.T.); #23296=FACE_OUTER_BOUND('',#41782,.T.); #23297=FACE_OUTER_BOUND('',#41783,.T.); #23298=FACE_OUTER_BOUND('',#41784,.T.); #23299=FACE_OUTER_BOUND('',#41785,.T.); #23300=FACE_OUTER_BOUND('',#41786,.T.); #23301=FACE_OUTER_BOUND('',#41787,.T.); #23302=FACE_OUTER_BOUND('',#41788,.T.); #23303=FACE_OUTER_BOUND('',#41789,.T.); #23304=FACE_OUTER_BOUND('',#41790,.T.); #23305=FACE_OUTER_BOUND('',#41791,.T.); #23306=FACE_OUTER_BOUND('',#41792,.T.); #23307=FACE_OUTER_BOUND('',#41793,.T.); #23308=FACE_OUTER_BOUND('',#41794,.T.); #23309=FACE_OUTER_BOUND('',#41795,.T.); #23310=FACE_OUTER_BOUND('',#41796,.T.); #23311=FACE_OUTER_BOUND('',#41797,.T.); #23312=FACE_OUTER_BOUND('',#41798,.T.); #23313=FACE_OUTER_BOUND('',#41799,.T.); #23314=FACE_OUTER_BOUND('',#41800,.T.); #23315=FACE_OUTER_BOUND('',#41801,.T.); #23316=FACE_OUTER_BOUND('',#41802,.T.); #23317=FACE_OUTER_BOUND('',#41803,.T.); #23318=FACE_OUTER_BOUND('',#41804,.T.); #23319=FACE_OUTER_BOUND('',#41805,.T.); #23320=FACE_OUTER_BOUND('',#41806,.T.); #23321=FACE_OUTER_BOUND('',#41807,.T.); #23322=FACE_OUTER_BOUND('',#41808,.T.); #23323=FACE_OUTER_BOUND('',#41809,.T.); #23324=FACE_OUTER_BOUND('',#41810,.T.); #23325=FACE_OUTER_BOUND('',#41811,.T.); #23326=FACE_OUTER_BOUND('',#41812,.T.); #23327=FACE_OUTER_BOUND('',#41813,.T.); #23328=FACE_OUTER_BOUND('',#41814,.T.); #23329=FACE_OUTER_BOUND('',#41815,.T.); #23330=FACE_OUTER_BOUND('',#41816,.T.); #23331=FACE_OUTER_BOUND('',#41817,.T.); #23332=FACE_OUTER_BOUND('',#41818,.T.); #23333=FACE_OUTER_BOUND('',#41819,.T.); #23334=FACE_OUTER_BOUND('',#41820,.T.); #23335=FACE_OUTER_BOUND('',#41821,.T.); #23336=FACE_OUTER_BOUND('',#41822,.T.); #23337=FACE_OUTER_BOUND('',#41823,.T.); #23338=FACE_OUTER_BOUND('',#41824,.T.); #23339=FACE_OUTER_BOUND('',#41825,.T.); #23340=FACE_OUTER_BOUND('',#41826,.T.); #23341=FACE_OUTER_BOUND('',#41827,.T.); #23342=FACE_OUTER_BOUND('',#41828,.T.); #23343=FACE_OUTER_BOUND('',#41829,.T.); #23344=FACE_OUTER_BOUND('',#41830,.T.); #23345=FACE_OUTER_BOUND('',#41831,.T.); #23346=FACE_OUTER_BOUND('',#41832,.T.); #23347=FACE_OUTER_BOUND('',#41833,.T.); #23348=FACE_OUTER_BOUND('',#41834,.T.); #23349=FACE_OUTER_BOUND('',#41835,.T.); #23350=FACE_OUTER_BOUND('',#41836,.T.); #23351=FACE_OUTER_BOUND('',#41837,.T.); #23352=FACE_OUTER_BOUND('',#41838,.T.); #23353=FACE_OUTER_BOUND('',#41839,.T.); #23354=FACE_OUTER_BOUND('',#41840,.T.); #23355=FACE_OUTER_BOUND('',#41841,.T.); #23356=FACE_OUTER_BOUND('',#41842,.T.); #23357=FACE_OUTER_BOUND('',#41843,.T.); #23358=FACE_OUTER_BOUND('',#41844,.T.); #23359=FACE_OUTER_BOUND('',#41845,.T.); #23360=FACE_OUTER_BOUND('',#41846,.T.); #23361=FACE_OUTER_BOUND('',#41847,.T.); #23362=FACE_OUTER_BOUND('',#41848,.T.); #23363=FACE_OUTER_BOUND('',#41849,.T.); #23364=FACE_OUTER_BOUND('',#41850,.T.); #23365=FACE_OUTER_BOUND('',#41851,.T.); #23366=FACE_OUTER_BOUND('',#41852,.T.); #23367=FACE_OUTER_BOUND('',#41853,.T.); #23368=FACE_OUTER_BOUND('',#41854,.T.); #23369=FACE_OUTER_BOUND('',#41855,.T.); #23370=FACE_OUTER_BOUND('',#41856,.T.); #23371=FACE_OUTER_BOUND('',#41857,.T.); #23372=FACE_OUTER_BOUND('',#41858,.T.); #23373=FACE_OUTER_BOUND('',#41859,.T.); #23374=FACE_OUTER_BOUND('',#41860,.T.); #23375=FACE_OUTER_BOUND('',#41861,.T.); #23376=FACE_OUTER_BOUND('',#41862,.T.); #23377=FACE_OUTER_BOUND('',#41863,.T.); #23378=FACE_OUTER_BOUND('',#41864,.T.); #23379=FACE_OUTER_BOUND('',#41865,.T.); #23380=FACE_OUTER_BOUND('',#41866,.T.); #23381=FACE_OUTER_BOUND('',#41867,.T.); #23382=FACE_OUTER_BOUND('',#41868,.T.); #23383=FACE_OUTER_BOUND('',#41869,.T.); #23384=FACE_OUTER_BOUND('',#41870,.T.); #23385=FACE_OUTER_BOUND('',#41871,.T.); #23386=FACE_OUTER_BOUND('',#41872,.T.); #23387=FACE_OUTER_BOUND('',#41873,.T.); #23388=FACE_OUTER_BOUND('',#41874,.T.); #23389=FACE_OUTER_BOUND('',#41875,.T.); #23390=FACE_OUTER_BOUND('',#41876,.T.); #23391=FACE_OUTER_BOUND('',#41877,.T.); #23392=FACE_OUTER_BOUND('',#41878,.T.); #23393=FACE_OUTER_BOUND('',#41879,.T.); #23394=FACE_OUTER_BOUND('',#41880,.T.); #23395=FACE_OUTER_BOUND('',#41881,.T.); #23396=FACE_OUTER_BOUND('',#41882,.T.); #23397=FACE_OUTER_BOUND('',#41883,.T.); #23398=FACE_OUTER_BOUND('',#41884,.T.); #23399=FACE_OUTER_BOUND('',#41885,.T.); #23400=FACE_OUTER_BOUND('',#41886,.T.); #23401=FACE_OUTER_BOUND('',#41887,.T.); #23402=FACE_OUTER_BOUND('',#41888,.T.); #23403=FACE_OUTER_BOUND('',#41889,.T.); #23404=FACE_OUTER_BOUND('',#41890,.T.); #23405=FACE_OUTER_BOUND('',#41891,.T.); #23406=FACE_OUTER_BOUND('',#41892,.T.); #23407=FACE_OUTER_BOUND('',#41893,.T.); #23408=FACE_OUTER_BOUND('',#41894,.T.); #23409=FACE_OUTER_BOUND('',#41895,.T.); #23410=FACE_OUTER_BOUND('',#41896,.T.); #23411=FACE_OUTER_BOUND('',#41897,.T.); #23412=FACE_OUTER_BOUND('',#41898,.T.); #23413=FACE_OUTER_BOUND('',#41899,.T.); #23414=FACE_OUTER_BOUND('',#41900,.T.); #23415=FACE_OUTER_BOUND('',#41901,.T.); #23416=FACE_OUTER_BOUND('',#41902,.T.); #23417=FACE_OUTER_BOUND('',#41903,.T.); #23418=FACE_OUTER_BOUND('',#41904,.T.); #23419=FACE_OUTER_BOUND('',#42222,.T.); #23420=FACE_OUTER_BOUND('',#42540,.T.); #23421=FACE_OUTER_BOUND('',#42541,.T.); #23422=FACE_OUTER_BOUND('',#42542,.T.); #23423=FACE_OUTER_BOUND('',#42544,.T.); #23424=FACE_OUTER_BOUND('',#42546,.T.); #23425=FACE_OUTER_BOUND('',#42547,.T.); #23426=FACE_OUTER_BOUND('',#42548,.T.); #23427=FACE_OUTER_BOUND('',#42550,.T.); #23428=FACE_OUTER_BOUND('',#42552,.T.); #23429=FACE_OUTER_BOUND('',#42553,.T.); #23430=FACE_OUTER_BOUND('',#42554,.T.); #23431=FACE_OUTER_BOUND('',#42556,.T.); #23432=FACE_OUTER_BOUND('',#42558,.T.); #23433=FACE_OUTER_BOUND('',#42559,.T.); #23434=FACE_OUTER_BOUND('',#42560,.T.); #23435=FACE_OUTER_BOUND('',#42562,.T.); #23436=FACE_OUTER_BOUND('',#42564,.T.); #23437=FACE_OUTER_BOUND('',#42565,.T.); #23438=FACE_OUTER_BOUND('',#42566,.T.); #23439=FACE_OUTER_BOUND('',#42568,.T.); #23440=FACE_OUTER_BOUND('',#42570,.T.); #23441=FACE_OUTER_BOUND('',#42571,.T.); #23442=FACE_OUTER_BOUND('',#42572,.T.); #23443=FACE_OUTER_BOUND('',#42574,.T.); #23444=FACE_OUTER_BOUND('',#42576,.T.); #23445=FACE_OUTER_BOUND('',#42577,.T.); #23446=FACE_OUTER_BOUND('',#42578,.T.); #23447=FACE_OUTER_BOUND('',#42580,.T.); #23448=FACE_OUTER_BOUND('',#42582,.T.); #23449=FACE_OUTER_BOUND('',#42583,.T.); #23450=FACE_OUTER_BOUND('',#42584,.T.); #23451=FACE_OUTER_BOUND('',#42586,.T.); #23452=FACE_OUTER_BOUND('',#42588,.T.); #23453=FACE_OUTER_BOUND('',#42589,.T.); #23454=FACE_OUTER_BOUND('',#42590,.T.); #23455=FACE_OUTER_BOUND('',#42592,.T.); #23456=FACE_OUTER_BOUND('',#42594,.T.); #23457=FACE_OUTER_BOUND('',#42595,.T.); #23458=FACE_OUTER_BOUND('',#42596,.T.); #23459=FACE_OUTER_BOUND('',#42598,.T.); #23460=FACE_OUTER_BOUND('',#42600,.T.); #23461=FACE_OUTER_BOUND('',#42601,.T.); #23462=FACE_OUTER_BOUND('',#42602,.T.); #23463=FACE_OUTER_BOUND('',#42604,.T.); #23464=FACE_OUTER_BOUND('',#42606,.T.); #23465=FACE_OUTER_BOUND('',#42607,.T.); #23466=FACE_OUTER_BOUND('',#42608,.T.); #23467=FACE_OUTER_BOUND('',#42610,.T.); #23468=FACE_OUTER_BOUND('',#42612,.T.); #23469=FACE_OUTER_BOUND('',#42613,.T.); #23470=FACE_OUTER_BOUND('',#42614,.T.); #23471=FACE_OUTER_BOUND('',#42616,.T.); #23472=FACE_OUTER_BOUND('',#42618,.T.); #23473=FACE_OUTER_BOUND('',#42619,.T.); #23474=FACE_OUTER_BOUND('',#42620,.T.); #23475=FACE_OUTER_BOUND('',#42622,.T.); #23476=FACE_OUTER_BOUND('',#42624,.T.); #23477=FACE_OUTER_BOUND('',#42625,.T.); #23478=FACE_OUTER_BOUND('',#42626,.T.); #23479=FACE_OUTER_BOUND('',#42628,.T.); #23480=FACE_OUTER_BOUND('',#42630,.T.); #23481=FACE_OUTER_BOUND('',#42631,.T.); #23482=FACE_OUTER_BOUND('',#42632,.T.); #23483=FACE_OUTER_BOUND('',#42634,.T.); #23484=FACE_OUTER_BOUND('',#42636,.T.); #23485=FACE_OUTER_BOUND('',#42637,.T.); #23486=FACE_OUTER_BOUND('',#42638,.T.); #23487=FACE_OUTER_BOUND('',#42640,.T.); #23488=FACE_OUTER_BOUND('',#42642,.T.); #23489=FACE_OUTER_BOUND('',#42643,.T.); #23490=FACE_OUTER_BOUND('',#42644,.T.); #23491=FACE_OUTER_BOUND('',#42646,.T.); #23492=FACE_OUTER_BOUND('',#42648,.T.); #23493=FACE_OUTER_BOUND('',#42649,.T.); #23494=FACE_OUTER_BOUND('',#42650,.T.); #23495=FACE_OUTER_BOUND('',#42652,.T.); #23496=FACE_OUTER_BOUND('',#42654,.T.); #23497=FACE_OUTER_BOUND('',#42655,.T.); #23498=FACE_OUTER_BOUND('',#42656,.T.); #23499=FACE_OUTER_BOUND('',#42658,.T.); #23500=FACE_OUTER_BOUND('',#42660,.T.); #23501=FACE_OUTER_BOUND('',#42661,.T.); #23502=FACE_OUTER_BOUND('',#42662,.T.); #23503=FACE_OUTER_BOUND('',#42664,.T.); #23504=FACE_OUTER_BOUND('',#42666,.T.); #23505=FACE_OUTER_BOUND('',#42667,.T.); #23506=FACE_OUTER_BOUND('',#42668,.T.); #23507=FACE_OUTER_BOUND('',#42670,.T.); #23508=FACE_OUTER_BOUND('',#42672,.T.); #23509=FACE_OUTER_BOUND('',#42673,.T.); #23510=FACE_OUTER_BOUND('',#42674,.T.); #23511=FACE_OUTER_BOUND('',#42676,.T.); #23512=FACE_OUTER_BOUND('',#42678,.T.); #23513=FACE_OUTER_BOUND('',#42679,.T.); #23514=FACE_OUTER_BOUND('',#42680,.T.); #23515=FACE_OUTER_BOUND('',#42682,.T.); #23516=FACE_OUTER_BOUND('',#42684,.T.); #23517=FACE_OUTER_BOUND('',#42685,.T.); #23518=FACE_OUTER_BOUND('',#42686,.T.); #23519=FACE_OUTER_BOUND('',#42688,.T.); #23520=FACE_OUTER_BOUND('',#42690,.T.); #23521=FACE_OUTER_BOUND('',#42691,.T.); #23522=FACE_OUTER_BOUND('',#42692,.T.); #23523=FACE_OUTER_BOUND('',#42694,.T.); #23524=FACE_OUTER_BOUND('',#42696,.T.); #23525=FACE_OUTER_BOUND('',#42697,.T.); #23526=FACE_OUTER_BOUND('',#42698,.T.); #23527=FACE_OUTER_BOUND('',#42700,.T.); #23528=FACE_OUTER_BOUND('',#42702,.T.); #23529=FACE_OUTER_BOUND('',#42703,.T.); #23530=FACE_OUTER_BOUND('',#42704,.T.); #23531=FACE_OUTER_BOUND('',#42706,.T.); #23532=FACE_OUTER_BOUND('',#42708,.T.); #23533=FACE_OUTER_BOUND('',#42709,.T.); #23534=FACE_OUTER_BOUND('',#42710,.T.); #23535=FACE_OUTER_BOUND('',#42712,.T.); #23536=FACE_OUTER_BOUND('',#42714,.T.); #23537=FACE_OUTER_BOUND('',#42715,.T.); #23538=FACE_OUTER_BOUND('',#42716,.T.); #23539=FACE_OUTER_BOUND('',#42718,.T.); #23540=FACE_OUTER_BOUND('',#42720,.T.); #23541=FACE_OUTER_BOUND('',#42721,.T.); #23542=FACE_OUTER_BOUND('',#42722,.T.); #23543=FACE_OUTER_BOUND('',#42724,.T.); #23544=FACE_OUTER_BOUND('',#42726,.T.); #23545=FACE_OUTER_BOUND('',#42727,.T.); #23546=FACE_OUTER_BOUND('',#42728,.T.); #23547=FACE_OUTER_BOUND('',#42730,.T.); #23548=FACE_OUTER_BOUND('',#42732,.T.); #23549=FACE_OUTER_BOUND('',#42733,.T.); #23550=FACE_OUTER_BOUND('',#42734,.T.); #23551=FACE_OUTER_BOUND('',#42736,.T.); #23552=FACE_OUTER_BOUND('',#42738,.T.); #23553=FACE_OUTER_BOUND('',#42739,.T.); #23554=FACE_OUTER_BOUND('',#42740,.T.); #23555=FACE_OUTER_BOUND('',#42742,.T.); #23556=FACE_OUTER_BOUND('',#42744,.T.); #23557=FACE_OUTER_BOUND('',#42745,.T.); #23558=FACE_OUTER_BOUND('',#42746,.T.); #23559=FACE_OUTER_BOUND('',#42748,.T.); #23560=FACE_OUTER_BOUND('',#42750,.T.); #23561=FACE_OUTER_BOUND('',#42751,.T.); #23562=FACE_OUTER_BOUND('',#42752,.T.); #23563=FACE_OUTER_BOUND('',#42754,.T.); #23564=FACE_OUTER_BOUND('',#42756,.T.); #23565=FACE_OUTER_BOUND('',#42757,.T.); #23566=FACE_OUTER_BOUND('',#42758,.T.); #23567=FACE_OUTER_BOUND('',#42760,.T.); #23568=FACE_OUTER_BOUND('',#42762,.T.); #23569=FACE_OUTER_BOUND('',#42763,.T.); #23570=FACE_OUTER_BOUND('',#42764,.T.); #23571=FACE_OUTER_BOUND('',#42766,.T.); #23572=FACE_OUTER_BOUND('',#42768,.T.); #23573=FACE_OUTER_BOUND('',#42769,.T.); #23574=FACE_OUTER_BOUND('',#42770,.T.); #23575=FACE_OUTER_BOUND('',#42772,.T.); #23576=FACE_OUTER_BOUND('',#42774,.T.); #23577=FACE_OUTER_BOUND('',#42775,.T.); #23578=FACE_OUTER_BOUND('',#42776,.T.); #23579=FACE_OUTER_BOUND('',#42778,.T.); #23580=FACE_OUTER_BOUND('',#42780,.T.); #23581=FACE_OUTER_BOUND('',#42781,.T.); #23582=FACE_OUTER_BOUND('',#42782,.T.); #23583=FACE_OUTER_BOUND('',#42784,.T.); #23584=FACE_OUTER_BOUND('',#42786,.T.); #23585=FACE_OUTER_BOUND('',#42787,.T.); #23586=FACE_OUTER_BOUND('',#42788,.T.); #23587=FACE_OUTER_BOUND('',#42790,.T.); #23588=FACE_OUTER_BOUND('',#42792,.T.); #23589=FACE_OUTER_BOUND('',#42793,.T.); #23590=FACE_OUTER_BOUND('',#42794,.T.); #23591=FACE_OUTER_BOUND('',#42796,.T.); #23592=FACE_OUTER_BOUND('',#42798,.T.); #23593=FACE_OUTER_BOUND('',#42799,.T.); #23594=FACE_OUTER_BOUND('',#42800,.T.); #23595=FACE_OUTER_BOUND('',#42802,.T.); #23596=FACE_OUTER_BOUND('',#42804,.T.); #23597=FACE_OUTER_BOUND('',#42805,.T.); #23598=FACE_OUTER_BOUND('',#42806,.T.); #23599=FACE_OUTER_BOUND('',#42808,.T.); #23600=FACE_OUTER_BOUND('',#42810,.T.); #23601=FACE_OUTER_BOUND('',#42811,.T.); #23602=FACE_OUTER_BOUND('',#42812,.T.); #23603=FACE_OUTER_BOUND('',#42814,.T.); #23604=FACE_OUTER_BOUND('',#42816,.T.); #23605=FACE_OUTER_BOUND('',#42817,.T.); #23606=FACE_OUTER_BOUND('',#42818,.T.); #23607=FACE_OUTER_BOUND('',#42820,.T.); #23608=FACE_OUTER_BOUND('',#42822,.T.); #23609=FACE_OUTER_BOUND('',#42823,.T.); #23610=FACE_OUTER_BOUND('',#42824,.T.); #23611=FACE_OUTER_BOUND('',#42826,.T.); #23612=FACE_OUTER_BOUND('',#42828,.T.); #23613=FACE_OUTER_BOUND('',#42829,.T.); #23614=FACE_OUTER_BOUND('',#42830,.T.); #23615=FACE_OUTER_BOUND('',#42832,.T.); #23616=FACE_OUTER_BOUND('',#42834,.T.); #23617=FACE_OUTER_BOUND('',#42835,.T.); #23618=FACE_OUTER_BOUND('',#42836,.T.); #23619=FACE_OUTER_BOUND('',#42838,.T.); #23620=FACE_OUTER_BOUND('',#42840,.T.); #23621=FACE_OUTER_BOUND('',#42841,.T.); #23622=FACE_OUTER_BOUND('',#42842,.T.); #23623=FACE_OUTER_BOUND('',#42844,.T.); #23624=FACE_OUTER_BOUND('',#42846,.T.); #23625=FACE_OUTER_BOUND('',#42847,.T.); #23626=FACE_OUTER_BOUND('',#42848,.T.); #23627=FACE_OUTER_BOUND('',#42850,.T.); #23628=FACE_OUTER_BOUND('',#42852,.T.); #23629=FACE_OUTER_BOUND('',#42853,.T.); #23630=FACE_OUTER_BOUND('',#42854,.T.); #23631=FACE_OUTER_BOUND('',#42856,.T.); #23632=FACE_OUTER_BOUND('',#42858,.T.); #23633=FACE_OUTER_BOUND('',#42859,.T.); #23634=FACE_OUTER_BOUND('',#42860,.T.); #23635=FACE_OUTER_BOUND('',#42862,.T.); #23636=FACE_OUTER_BOUND('',#42864,.T.); #23637=FACE_OUTER_BOUND('',#42865,.T.); #23638=FACE_OUTER_BOUND('',#42866,.T.); #23639=FACE_OUTER_BOUND('',#42868,.T.); #23640=FACE_OUTER_BOUND('',#42870,.T.); #23641=FACE_OUTER_BOUND('',#42871,.T.); #23642=FACE_OUTER_BOUND('',#42872,.T.); #23643=FACE_OUTER_BOUND('',#42874,.T.); #23644=FACE_OUTER_BOUND('',#42876,.T.); #23645=FACE_OUTER_BOUND('',#42877,.T.); #23646=FACE_OUTER_BOUND('',#42878,.T.); #23647=FACE_OUTER_BOUND('',#42880,.T.); #23648=FACE_OUTER_BOUND('',#42882,.T.); #23649=FACE_OUTER_BOUND('',#42883,.T.); #23650=FACE_OUTER_BOUND('',#42884,.T.); #23651=FACE_OUTER_BOUND('',#42886,.T.); #23652=FACE_OUTER_BOUND('',#42888,.T.); #23653=FACE_OUTER_BOUND('',#42889,.T.); #23654=FACE_OUTER_BOUND('',#42890,.T.); #23655=FACE_OUTER_BOUND('',#42892,.T.); #23656=FACE_OUTER_BOUND('',#42894,.T.); #23657=FACE_OUTER_BOUND('',#42895,.T.); #23658=FACE_OUTER_BOUND('',#42896,.T.); #23659=FACE_OUTER_BOUND('',#42898,.T.); #23660=FACE_OUTER_BOUND('',#42900,.T.); #23661=FACE_OUTER_BOUND('',#42901,.T.); #23662=FACE_OUTER_BOUND('',#42902,.T.); #23663=FACE_OUTER_BOUND('',#42904,.T.); #23664=FACE_OUTER_BOUND('',#42906,.T.); #23665=FACE_OUTER_BOUND('',#42907,.T.); #23666=FACE_OUTER_BOUND('',#42908,.T.); #23667=FACE_OUTER_BOUND('',#42910,.T.); #23668=FACE_OUTER_BOUND('',#42912,.T.); #23669=FACE_OUTER_BOUND('',#42913,.T.); #23670=FACE_OUTER_BOUND('',#42914,.T.); #23671=FACE_OUTER_BOUND('',#42916,.T.); #23672=FACE_OUTER_BOUND('',#42918,.T.); #23673=FACE_OUTER_BOUND('',#42919,.T.); #23674=FACE_OUTER_BOUND('',#42920,.T.); #23675=FACE_OUTER_BOUND('',#42922,.T.); #23676=FACE_OUTER_BOUND('',#42924,.T.); #23677=FACE_OUTER_BOUND('',#42925,.T.); #23678=FACE_OUTER_BOUND('',#42926,.T.); #23679=FACE_OUTER_BOUND('',#42928,.T.); #23680=FACE_OUTER_BOUND('',#42930,.T.); #23681=FACE_OUTER_BOUND('',#42931,.T.); #23682=FACE_OUTER_BOUND('',#42932,.T.); #23683=FACE_OUTER_BOUND('',#42934,.T.); #23684=FACE_OUTER_BOUND('',#42936,.T.); #23685=FACE_OUTER_BOUND('',#42937,.T.); #23686=FACE_OUTER_BOUND('',#42938,.T.); #23687=FACE_OUTER_BOUND('',#42940,.T.); #23688=FACE_OUTER_BOUND('',#42942,.T.); #23689=FACE_OUTER_BOUND('',#42943,.T.); #23690=FACE_OUTER_BOUND('',#42944,.T.); #23691=FACE_OUTER_BOUND('',#42946,.T.); #23692=FACE_OUTER_BOUND('',#42948,.T.); #23693=FACE_OUTER_BOUND('',#42949,.T.); #23694=FACE_OUTER_BOUND('',#42950,.T.); #23695=FACE_OUTER_BOUND('',#42952,.T.); #23696=FACE_OUTER_BOUND('',#42954,.T.); #23697=FACE_OUTER_BOUND('',#42955,.T.); #23698=FACE_OUTER_BOUND('',#42956,.T.); #23699=FACE_OUTER_BOUND('',#42958,.T.); #23700=FACE_OUTER_BOUND('',#42960,.T.); #23701=FACE_OUTER_BOUND('',#42961,.T.); #23702=FACE_OUTER_BOUND('',#42962,.T.); #23703=FACE_OUTER_BOUND('',#42964,.T.); #23704=FACE_OUTER_BOUND('',#42966,.T.); #23705=FACE_OUTER_BOUND('',#42967,.T.); #23706=FACE_OUTER_BOUND('',#42968,.T.); #23707=FACE_OUTER_BOUND('',#42970,.T.); #23708=FACE_OUTER_BOUND('',#42972,.T.); #23709=FACE_OUTER_BOUND('',#42973,.T.); #23710=FACE_OUTER_BOUND('',#42974,.T.); #23711=FACE_OUTER_BOUND('',#42976,.T.); #23712=FACE_OUTER_BOUND('',#42978,.T.); #23713=FACE_OUTER_BOUND('',#42979,.T.); #23714=FACE_OUTER_BOUND('',#42980,.T.); #23715=FACE_OUTER_BOUND('',#42982,.T.); #23716=FACE_OUTER_BOUND('',#42984,.T.); #23717=FACE_OUTER_BOUND('',#42985,.T.); #23718=FACE_OUTER_BOUND('',#42986,.T.); #23719=FACE_OUTER_BOUND('',#42988,.T.); #23720=FACE_OUTER_BOUND('',#42990,.T.); #23721=FACE_OUTER_BOUND('',#42991,.T.); #23722=FACE_OUTER_BOUND('',#42992,.T.); #23723=FACE_OUTER_BOUND('',#42994,.T.); #23724=FACE_OUTER_BOUND('',#42996,.T.); #23725=FACE_OUTER_BOUND('',#42997,.T.); #23726=FACE_OUTER_BOUND('',#42998,.T.); #23727=FACE_OUTER_BOUND('',#43000,.T.); #23728=FACE_OUTER_BOUND('',#43002,.T.); #23729=FACE_OUTER_BOUND('',#43003,.T.); #23730=FACE_OUTER_BOUND('',#43004,.T.); #23731=FACE_OUTER_BOUND('',#43006,.T.); #23732=FACE_OUTER_BOUND('',#43008,.T.); #23733=FACE_OUTER_BOUND('',#43009,.T.); #23734=FACE_OUTER_BOUND('',#43010,.T.); #23735=FACE_OUTER_BOUND('',#43012,.T.); #23736=FACE_OUTER_BOUND('',#43014,.T.); #23737=FACE_OUTER_BOUND('',#43015,.T.); #23738=FACE_OUTER_BOUND('',#43016,.T.); #23739=FACE_OUTER_BOUND('',#43018,.T.); #23740=FACE_OUTER_BOUND('',#43020,.T.); #23741=FACE_OUTER_BOUND('',#43021,.T.); #23742=FACE_OUTER_BOUND('',#43022,.T.); #23743=FACE_OUTER_BOUND('',#43024,.T.); #23744=FACE_OUTER_BOUND('',#43026,.T.); #23745=FACE_OUTER_BOUND('',#43027,.T.); #23746=FACE_OUTER_BOUND('',#43028,.T.); #23747=FACE_OUTER_BOUND('',#43030,.T.); #23748=FACE_OUTER_BOUND('',#43032,.T.); #23749=FACE_OUTER_BOUND('',#43033,.T.); #23750=FACE_OUTER_BOUND('',#43034,.T.); #23751=FACE_OUTER_BOUND('',#43036,.T.); #23752=FACE_OUTER_BOUND('',#43038,.T.); #23753=FACE_OUTER_BOUND('',#43039,.T.); #23754=FACE_OUTER_BOUND('',#43040,.T.); #23755=FACE_OUTER_BOUND('',#43042,.T.); #23756=FACE_OUTER_BOUND('',#43044,.T.); #23757=FACE_OUTER_BOUND('',#43045,.T.); #23758=FACE_OUTER_BOUND('',#43046,.T.); #23759=FACE_OUTER_BOUND('',#43048,.T.); #23760=FACE_OUTER_BOUND('',#43050,.T.); #23761=FACE_OUTER_BOUND('',#43051,.T.); #23762=FACE_OUTER_BOUND('',#43052,.T.); #23763=FACE_OUTER_BOUND('',#43054,.T.); #23764=FACE_OUTER_BOUND('',#43056,.T.); #23765=FACE_OUTER_BOUND('',#43057,.T.); #23766=FACE_OUTER_BOUND('',#43058,.T.); #23767=FACE_OUTER_BOUND('',#43060,.T.); #23768=FACE_OUTER_BOUND('',#43062,.T.); #23769=FACE_OUTER_BOUND('',#43063,.T.); #23770=FACE_OUTER_BOUND('',#43064,.T.); #23771=FACE_OUTER_BOUND('',#43066,.T.); #23772=FACE_OUTER_BOUND('',#43068,.T.); #23773=FACE_OUTER_BOUND('',#43069,.T.); #23774=FACE_OUTER_BOUND('',#43070,.T.); #23775=FACE_OUTER_BOUND('',#43072,.T.); #23776=FACE_OUTER_BOUND('',#43074,.T.); #23777=FACE_OUTER_BOUND('',#43075,.T.); #23778=FACE_OUTER_BOUND('',#43076,.T.); #23779=FACE_OUTER_BOUND('',#43078,.T.); #23780=FACE_OUTER_BOUND('',#43080,.T.); #23781=FACE_OUTER_BOUND('',#43081,.T.); #23782=FACE_OUTER_BOUND('',#43082,.T.); #23783=FACE_OUTER_BOUND('',#43084,.T.); #23784=FACE_OUTER_BOUND('',#43086,.T.); #23785=FACE_OUTER_BOUND('',#43087,.T.); #23786=FACE_OUTER_BOUND('',#43088,.T.); #23787=FACE_OUTER_BOUND('',#43090,.T.); #23788=FACE_OUTER_BOUND('',#43092,.T.); #23789=FACE_OUTER_BOUND('',#43093,.T.); #23790=FACE_OUTER_BOUND('',#43094,.T.); #23791=FACE_OUTER_BOUND('',#43096,.T.); #23792=FACE_OUTER_BOUND('',#43098,.T.); #23793=FACE_OUTER_BOUND('',#43099,.T.); #23794=FACE_OUTER_BOUND('',#43100,.T.); #23795=FACE_OUTER_BOUND('',#43102,.T.); #23796=FACE_OUTER_BOUND('',#43104,.T.); #23797=FACE_OUTER_BOUND('',#43105,.T.); #23798=FACE_OUTER_BOUND('',#43106,.T.); #23799=FACE_OUTER_BOUND('',#43108,.T.); #23800=FACE_OUTER_BOUND('',#43110,.T.); #23801=FACE_OUTER_BOUND('',#43111,.T.); #23802=FACE_OUTER_BOUND('',#43112,.T.); #23803=FACE_OUTER_BOUND('',#43114,.T.); #23804=FACE_OUTER_BOUND('',#43116,.T.); #23805=FACE_OUTER_BOUND('',#43117,.T.); #23806=FACE_OUTER_BOUND('',#43118,.T.); #23807=FACE_OUTER_BOUND('',#43120,.T.); #23808=FACE_OUTER_BOUND('',#43122,.T.); #23809=FACE_OUTER_BOUND('',#43123,.T.); #23810=FACE_OUTER_BOUND('',#43124,.T.); #23811=FACE_OUTER_BOUND('',#43126,.T.); #23812=FACE_OUTER_BOUND('',#43128,.T.); #23813=FACE_OUTER_BOUND('',#43129,.T.); #23814=FACE_OUTER_BOUND('',#43130,.T.); #23815=FACE_OUTER_BOUND('',#43132,.T.); #23816=FACE_OUTER_BOUND('',#43134,.T.); #23817=FACE_OUTER_BOUND('',#43135,.T.); #23818=FACE_OUTER_BOUND('',#43136,.T.); #23819=FACE_OUTER_BOUND('',#43138,.T.); #23820=FACE_OUTER_BOUND('',#43140,.T.); #23821=FACE_OUTER_BOUND('',#43141,.T.); #23822=FACE_OUTER_BOUND('',#43142,.T.); #23823=FACE_OUTER_BOUND('',#43144,.T.); #23824=FACE_OUTER_BOUND('',#43146,.T.); #23825=FACE_OUTER_BOUND('',#43147,.T.); #23826=FACE_OUTER_BOUND('',#43148,.T.); #23827=FACE_OUTER_BOUND('',#43150,.T.); #23828=FACE_OUTER_BOUND('',#43152,.T.); #23829=FACE_OUTER_BOUND('',#43153,.T.); #23830=FACE_OUTER_BOUND('',#43154,.T.); #23831=FACE_OUTER_BOUND('',#43156,.T.); #23832=FACE_OUTER_BOUND('',#43158,.T.); #23833=FACE_OUTER_BOUND('',#43159,.T.); #23834=FACE_OUTER_BOUND('',#43160,.T.); #23835=FACE_OUTER_BOUND('',#43162,.T.); #23836=FACE_OUTER_BOUND('',#43164,.T.); #23837=FACE_OUTER_BOUND('',#43165,.T.); #23838=FACE_OUTER_BOUND('',#43166,.T.); #23839=FACE_OUTER_BOUND('',#43168,.T.); #23840=FACE_OUTER_BOUND('',#43170,.T.); #23841=FACE_OUTER_BOUND('',#43171,.T.); #23842=FACE_OUTER_BOUND('',#43172,.T.); #23843=FACE_OUTER_BOUND('',#43174,.T.); #23844=FACE_OUTER_BOUND('',#43176,.T.); #23845=FACE_OUTER_BOUND('',#43177,.T.); #23846=FACE_OUTER_BOUND('',#43178,.T.); #23847=FACE_OUTER_BOUND('',#43180,.T.); #23848=FACE_OUTER_BOUND('',#43182,.T.); #23849=FACE_OUTER_BOUND('',#43183,.T.); #23850=FACE_OUTER_BOUND('',#43184,.T.); #23851=FACE_OUTER_BOUND('',#43186,.T.); #23852=FACE_OUTER_BOUND('',#43188,.T.); #23853=FACE_OUTER_BOUND('',#43189,.T.); #23854=FACE_OUTER_BOUND('',#43190,.T.); #23855=FACE_OUTER_BOUND('',#43192,.T.); #23856=FACE_OUTER_BOUND('',#43194,.T.); #23857=FACE_OUTER_BOUND('',#43195,.T.); #23858=FACE_OUTER_BOUND('',#43196,.T.); #23859=FACE_OUTER_BOUND('',#43198,.T.); #23860=FACE_OUTER_BOUND('',#43200,.T.); #23861=FACE_OUTER_BOUND('',#43201,.T.); #23862=FACE_OUTER_BOUND('',#43202,.T.); #23863=FACE_OUTER_BOUND('',#43204,.T.); #23864=FACE_OUTER_BOUND('',#43206,.T.); #23865=FACE_OUTER_BOUND('',#43207,.T.); #23866=FACE_OUTER_BOUND('',#43208,.T.); #23867=FACE_OUTER_BOUND('',#43210,.T.); #23868=FACE_OUTER_BOUND('',#43212,.T.); #23869=FACE_OUTER_BOUND('',#43213,.T.); #23870=FACE_OUTER_BOUND('',#43214,.T.); #23871=FACE_OUTER_BOUND('',#43216,.T.); #23872=FACE_OUTER_BOUND('',#43218,.T.); #23873=FACE_OUTER_BOUND('',#43219,.T.); #23874=FACE_OUTER_BOUND('',#43220,.T.); #23875=FACE_OUTER_BOUND('',#43222,.T.); #23876=FACE_OUTER_BOUND('',#43224,.T.); #23877=FACE_OUTER_BOUND('',#43225,.T.); #23878=FACE_OUTER_BOUND('',#43226,.T.); #23879=FACE_OUTER_BOUND('',#43228,.T.); #23880=FACE_OUTER_BOUND('',#43230,.T.); #23881=FACE_OUTER_BOUND('',#43231,.T.); #23882=FACE_OUTER_BOUND('',#43232,.T.); #23883=FACE_OUTER_BOUND('',#43234,.T.); #23884=FACE_OUTER_BOUND('',#43236,.T.); #23885=FACE_OUTER_BOUND('',#43237,.T.); #23886=FACE_OUTER_BOUND('',#43238,.T.); #23887=FACE_OUTER_BOUND('',#43240,.T.); #23888=FACE_OUTER_BOUND('',#43242,.T.); #23889=FACE_OUTER_BOUND('',#43243,.T.); #23890=FACE_OUTER_BOUND('',#43244,.T.); #23891=FACE_OUTER_BOUND('',#43246,.T.); #23892=FACE_OUTER_BOUND('',#43248,.T.); #23893=FACE_OUTER_BOUND('',#43249,.T.); #23894=FACE_OUTER_BOUND('',#43250,.T.); #23895=FACE_OUTER_BOUND('',#43252,.T.); #23896=FACE_OUTER_BOUND('',#43254,.T.); #23897=FACE_OUTER_BOUND('',#43255,.T.); #23898=FACE_OUTER_BOUND('',#43256,.T.); #23899=FACE_OUTER_BOUND('',#43258,.T.); #23900=FACE_OUTER_BOUND('',#43260,.T.); #23901=FACE_OUTER_BOUND('',#43261,.T.); #23902=FACE_OUTER_BOUND('',#43262,.T.); #23903=FACE_OUTER_BOUND('',#43264,.T.); #23904=FACE_OUTER_BOUND('',#43266,.T.); #23905=FACE_OUTER_BOUND('',#43267,.T.); #23906=FACE_OUTER_BOUND('',#43268,.T.); #23907=FACE_OUTER_BOUND('',#43270,.T.); #23908=FACE_OUTER_BOUND('',#43272,.T.); #23909=FACE_OUTER_BOUND('',#43273,.T.); #23910=FACE_OUTER_BOUND('',#43274,.T.); #23911=FACE_OUTER_BOUND('',#43276,.T.); #23912=FACE_OUTER_BOUND('',#43278,.T.); #23913=FACE_OUTER_BOUND('',#43279,.T.); #23914=FACE_OUTER_BOUND('',#43280,.T.); #23915=FACE_OUTER_BOUND('',#43282,.T.); #23916=FACE_OUTER_BOUND('',#43284,.T.); #23917=FACE_OUTER_BOUND('',#43285,.T.); #23918=FACE_OUTER_BOUND('',#43286,.T.); #23919=FACE_OUTER_BOUND('',#43288,.T.); #23920=FACE_OUTER_BOUND('',#43290,.T.); #23921=FACE_OUTER_BOUND('',#43291,.T.); #23922=FACE_OUTER_BOUND('',#43292,.T.); #23923=FACE_OUTER_BOUND('',#43294,.T.); #23924=FACE_OUTER_BOUND('',#43296,.T.); #23925=FACE_OUTER_BOUND('',#43297,.T.); #23926=FACE_OUTER_BOUND('',#43298,.T.); #23927=FACE_OUTER_BOUND('',#43300,.T.); #23928=FACE_OUTER_BOUND('',#43302,.T.); #23929=FACE_OUTER_BOUND('',#43303,.T.); #23930=FACE_OUTER_BOUND('',#43304,.T.); #23931=FACE_OUTER_BOUND('',#43306,.T.); #23932=FACE_OUTER_BOUND('',#43308,.T.); #23933=FACE_OUTER_BOUND('',#43309,.T.); #23934=FACE_OUTER_BOUND('',#43310,.T.); #23935=FACE_OUTER_BOUND('',#43312,.T.); #23936=FACE_OUTER_BOUND('',#43314,.T.); #23937=FACE_OUTER_BOUND('',#43315,.T.); #23938=FACE_OUTER_BOUND('',#43316,.T.); #23939=FACE_OUTER_BOUND('',#43318,.T.); #23940=FACE_OUTER_BOUND('',#43320,.T.); #23941=FACE_OUTER_BOUND('',#43321,.T.); #23942=FACE_OUTER_BOUND('',#43322,.T.); #23943=FACE_OUTER_BOUND('',#43324,.T.); #23944=FACE_OUTER_BOUND('',#43326,.T.); #23945=FACE_OUTER_BOUND('',#43327,.T.); #23946=FACE_OUTER_BOUND('',#43328,.T.); #23947=FACE_OUTER_BOUND('',#43330,.T.); #23948=FACE_OUTER_BOUND('',#43332,.T.); #23949=FACE_OUTER_BOUND('',#43333,.T.); #23950=FACE_OUTER_BOUND('',#43334,.T.); #23951=FACE_OUTER_BOUND('',#43335,.T.); #23952=FACE_OUTER_BOUND('',#43336,.T.); #23953=FACE_OUTER_BOUND('',#43337,.T.); #23954=FACE_OUTER_BOUND('',#43338,.T.); #23955=FACE_OUTER_BOUND('',#43339,.T.); #23956=FACE_OUTER_BOUND('',#43340,.T.); #23957=FACE_OUTER_BOUND('',#43342,.T.); #23958=FACE_OUTER_BOUND('',#43344,.T.); #23959=FACE_OUTER_BOUND('',#43345,.T.); #23960=FACE_OUTER_BOUND('',#43346,.T.); #23961=FACE_OUTER_BOUND('',#43348,.T.); #23962=FACE_OUTER_BOUND('',#43350,.T.); #23963=FACE_OUTER_BOUND('',#43351,.T.); #23964=FACE_OUTER_BOUND('',#43352,.T.); #23965=FACE_OUTER_BOUND('',#43353,.T.); #23966=FACE_OUTER_BOUND('',#43354,.T.); #23967=FACE_OUTER_BOUND('',#43355,.T.); #23968=FACE_OUTER_BOUND('',#43356,.T.); #23969=FACE_OUTER_BOUND('',#43357,.T.); #23970=FACE_OUTER_BOUND('',#43358,.T.); #23971=FACE_OUTER_BOUND('',#43360,.T.); #23972=FACE_OUTER_BOUND('',#43362,.T.); #23973=FACE_OUTER_BOUND('',#43363,.T.); #23974=FACE_OUTER_BOUND('',#43364,.T.); #23975=FACE_OUTER_BOUND('',#43366,.T.); #23976=FACE_OUTER_BOUND('',#43368,.T.); #23977=FACE_OUTER_BOUND('',#43369,.T.); #23978=FACE_OUTER_BOUND('',#43370,.T.); #23979=FACE_OUTER_BOUND('',#43371,.T.); #23980=FACE_OUTER_BOUND('',#43372,.T.); #23981=FACE_OUTER_BOUND('',#43373,.T.); #23982=FACE_OUTER_BOUND('',#43374,.T.); #23983=FACE_OUTER_BOUND('',#43375,.T.); #23984=FACE_OUTER_BOUND('',#43376,.T.); #23985=FACE_OUTER_BOUND('',#43378,.T.); #23986=FACE_OUTER_BOUND('',#43380,.T.); #23987=FACE_OUTER_BOUND('',#43381,.T.); #23988=FACE_OUTER_BOUND('',#43382,.T.); #23989=FACE_OUTER_BOUND('',#43384,.T.); #23990=FACE_OUTER_BOUND('',#43386,.T.); #23991=FACE_OUTER_BOUND('',#43387,.T.); #23992=FACE_OUTER_BOUND('',#43388,.T.); #23993=FACE_OUTER_BOUND('',#43390,.T.); #23994=FACE_OUTER_BOUND('',#43392,.T.); #23995=FACE_OUTER_BOUND('',#43393,.T.); #23996=FACE_OUTER_BOUND('',#43394,.T.); #23997=FACE_OUTER_BOUND('',#43396,.T.); #23998=FACE_OUTER_BOUND('',#43398,.T.); #23999=FACE_OUTER_BOUND('',#43399,.T.); #24000=FACE_OUTER_BOUND('',#43400,.T.); #24001=FACE_OUTER_BOUND('',#43402,.T.); #24002=FACE_OUTER_BOUND('',#43404,.T.); #24003=FACE_OUTER_BOUND('',#43405,.T.); #24004=FACE_OUTER_BOUND('',#43406,.T.); #24005=FACE_OUTER_BOUND('',#43408,.T.); #24006=FACE_OUTER_BOUND('',#43410,.T.); #24007=FACE_OUTER_BOUND('',#43411,.T.); #24008=FACE_OUTER_BOUND('',#43412,.T.); #24009=FACE_OUTER_BOUND('',#43414,.T.); #24010=FACE_OUTER_BOUND('',#43416,.T.); #24011=FACE_OUTER_BOUND('',#43417,.T.); #24012=FACE_OUTER_BOUND('',#43418,.T.); #24013=FACE_OUTER_BOUND('',#43420,.T.); #24014=FACE_OUTER_BOUND('',#43422,.T.); #24015=FACE_OUTER_BOUND('',#43423,.T.); #24016=FACE_OUTER_BOUND('',#43424,.T.); #24017=FACE_OUTER_BOUND('',#43426,.T.); #24018=FACE_OUTER_BOUND('',#43428,.T.); #24019=FACE_OUTER_BOUND('',#43429,.T.); #24020=FACE_OUTER_BOUND('',#43430,.T.); #24021=FACE_OUTER_BOUND('',#43432,.T.); #24022=FACE_OUTER_BOUND('',#43434,.T.); #24023=FACE_OUTER_BOUND('',#43435,.T.); #24024=FACE_OUTER_BOUND('',#43436,.T.); #24025=FACE_OUTER_BOUND('',#43438,.T.); #24026=FACE_OUTER_BOUND('',#43440,.T.); #24027=FACE_OUTER_BOUND('',#43441,.T.); #24028=FACE_OUTER_BOUND('',#43442,.T.); #24029=FACE_OUTER_BOUND('',#43443,.T.); #24030=FACE_OUTER_BOUND('',#43444,.T.); #24031=FACE_OUTER_BOUND('',#43445,.T.); #24032=FACE_OUTER_BOUND('',#43446,.T.); #24033=FACE_OUTER_BOUND('',#43447,.T.); #24034=FACE_OUTER_BOUND('',#43448,.T.); #24035=FACE_OUTER_BOUND('',#43450,.T.); #24036=FACE_OUTER_BOUND('',#43452,.T.); #24037=FACE_OUTER_BOUND('',#43453,.T.); #24038=FACE_OUTER_BOUND('',#43454,.T.); #24039=FACE_OUTER_BOUND('',#43456,.T.); #24040=FACE_OUTER_BOUND('',#43458,.T.); #24041=FACE_OUTER_BOUND('',#43459,.T.); #24042=FACE_OUTER_BOUND('',#43460,.T.); #24043=FACE_OUTER_BOUND('',#43462,.T.); #24044=FACE_OUTER_BOUND('',#43464,.T.); #24045=FACE_OUTER_BOUND('',#43465,.T.); #24046=FACE_OUTER_BOUND('',#43466,.T.); #24047=FACE_OUTER_BOUND('',#43468,.T.); #24048=FACE_OUTER_BOUND('',#43470,.T.); #24049=FACE_OUTER_BOUND('',#43471,.T.); #24050=FACE_OUTER_BOUND('',#43472,.T.); #24051=FACE_OUTER_BOUND('',#43473,.T.); #24052=FACE_OUTER_BOUND('',#43474,.T.); #24053=FACE_OUTER_BOUND('',#43475,.T.); #24054=FACE_OUTER_BOUND('',#43476,.T.); #24055=FACE_OUTER_BOUND('',#43477,.T.); #24056=FACE_OUTER_BOUND('',#43478,.T.); #24057=FACE_OUTER_BOUND('',#43480,.T.); #24058=FACE_OUTER_BOUND('',#43482,.T.); #24059=FACE_OUTER_BOUND('',#43483,.T.); #24060=FACE_OUTER_BOUND('',#43484,.T.); #24061=FACE_OUTER_BOUND('',#43486,.T.); #24062=FACE_OUTER_BOUND('',#43488,.T.); #24063=FACE_OUTER_BOUND('',#43489,.T.); #24064=FACE_OUTER_BOUND('',#43490,.T.); #24065=FACE_OUTER_BOUND('',#43491,.T.); #24066=FACE_OUTER_BOUND('',#43492,.T.); #24067=FACE_OUTER_BOUND('',#43493,.T.); #24068=FACE_OUTER_BOUND('',#43494,.T.); #24069=FACE_OUTER_BOUND('',#43495,.T.); #24070=FACE_OUTER_BOUND('',#43496,.T.); #24071=FACE_OUTER_BOUND('',#43498,.T.); #24072=FACE_OUTER_BOUND('',#43500,.T.); #24073=FACE_OUTER_BOUND('',#43501,.T.); #24074=FACE_OUTER_BOUND('',#43502,.T.); #24075=FACE_OUTER_BOUND('',#43504,.T.); #24076=FACE_OUTER_BOUND('',#43506,.T.); #24077=FACE_OUTER_BOUND('',#43507,.T.); #24078=FACE_OUTER_BOUND('',#43508,.T.); #24079=FACE_OUTER_BOUND('',#43510,.T.); #24080=FACE_OUTER_BOUND('',#43512,.T.); #24081=FACE_OUTER_BOUND('',#43513,.T.); #24082=FACE_OUTER_BOUND('',#43514,.T.); #24083=FACE_OUTER_BOUND('',#43516,.T.); #24084=FACE_OUTER_BOUND('',#43518,.T.); #24085=FACE_OUTER_BOUND('',#43519,.T.); #24086=FACE_OUTER_BOUND('',#43520,.T.); #24087=FACE_OUTER_BOUND('',#43522,.T.); #24088=FACE_OUTER_BOUND('',#43524,.T.); #24089=FACE_OUTER_BOUND('',#43525,.T.); #24090=FACE_OUTER_BOUND('',#43526,.T.); #24091=FACE_OUTER_BOUND('',#43528,.T.); #24092=FACE_OUTER_BOUND('',#43530,.T.); #24093=FACE_OUTER_BOUND('',#43531,.T.); #24094=FACE_OUTER_BOUND('',#43532,.T.); #24095=FACE_OUTER_BOUND('',#43534,.T.); #24096=FACE_OUTER_BOUND('',#43536,.T.); #24097=FACE_OUTER_BOUND('',#43537,.T.); #24098=FACE_OUTER_BOUND('',#43538,.T.); #24099=FACE_OUTER_BOUND('',#43540,.T.); #24100=FACE_OUTER_BOUND('',#43542,.T.); #24101=FACE_OUTER_BOUND('',#43543,.T.); #24102=FACE_OUTER_BOUND('',#43544,.T.); #24103=FACE_OUTER_BOUND('',#43546,.T.); #24104=FACE_OUTER_BOUND('',#43548,.T.); #24105=FACE_OUTER_BOUND('',#43549,.T.); #24106=FACE_OUTER_BOUND('',#43550,.T.); #24107=FACE_OUTER_BOUND('',#43552,.T.); #24108=FACE_OUTER_BOUND('',#43554,.T.); #24109=FACE_OUTER_BOUND('',#43555,.T.); #24110=FACE_OUTER_BOUND('',#43556,.T.); #24111=FACE_OUTER_BOUND('',#43558,.T.); #24112=FACE_OUTER_BOUND('',#43560,.T.); #24113=FACE_OUTER_BOUND('',#43561,.T.); #24114=FACE_OUTER_BOUND('',#43562,.T.); #24115=FACE_OUTER_BOUND('',#43564,.T.); #24116=FACE_OUTER_BOUND('',#43566,.T.); #24117=FACE_OUTER_BOUND('',#43567,.T.); #24118=FACE_OUTER_BOUND('',#43568,.T.); #24119=FACE_OUTER_BOUND('',#43570,.T.); #24120=FACE_OUTER_BOUND('',#43572,.T.); #24121=FACE_OUTER_BOUND('',#43573,.T.); #24122=FACE_OUTER_BOUND('',#43574,.T.); #24123=FACE_OUTER_BOUND('',#43576,.T.); #24124=FACE_OUTER_BOUND('',#43578,.T.); #24125=FACE_OUTER_BOUND('',#43579,.T.); #24126=FACE_OUTER_BOUND('',#43580,.T.); #24127=FACE_OUTER_BOUND('',#43582,.T.); #24128=FACE_OUTER_BOUND('',#43584,.T.); #24129=FACE_OUTER_BOUND('',#43585,.T.); #24130=FACE_OUTER_BOUND('',#43586,.T.); #24131=FACE_OUTER_BOUND('',#43588,.T.); #24132=FACE_OUTER_BOUND('',#43590,.T.); #24133=FACE_OUTER_BOUND('',#43591,.T.); #24134=FACE_OUTER_BOUND('',#43592,.T.); #24135=FACE_OUTER_BOUND('',#43594,.T.); #24136=FACE_OUTER_BOUND('',#43596,.T.); #24137=FACE_OUTER_BOUND('',#43597,.T.); #24138=FACE_OUTER_BOUND('',#43598,.T.); #24139=FACE_OUTER_BOUND('',#43600,.T.); #24140=FACE_OUTER_BOUND('',#43602,.T.); #24141=FACE_OUTER_BOUND('',#43603,.T.); #24142=FACE_OUTER_BOUND('',#43604,.T.); #24143=FACE_OUTER_BOUND('',#43606,.T.); #24144=FACE_OUTER_BOUND('',#43608,.T.); #24145=FACE_OUTER_BOUND('',#43609,.T.); #24146=FACE_OUTER_BOUND('',#43610,.T.); #24147=FACE_OUTER_BOUND('',#43612,.T.); #24148=FACE_OUTER_BOUND('',#43614,.T.); #24149=FACE_OUTER_BOUND('',#43615,.T.); #24150=FACE_OUTER_BOUND('',#43616,.T.); #24151=FACE_OUTER_BOUND('',#43618,.T.); #24152=FACE_OUTER_BOUND('',#43620,.T.); #24153=FACE_OUTER_BOUND('',#43621,.T.); #24154=FACE_OUTER_BOUND('',#43622,.T.); #24155=FACE_OUTER_BOUND('',#43624,.T.); #24156=FACE_OUTER_BOUND('',#43626,.T.); #24157=FACE_OUTER_BOUND('',#43627,.T.); #24158=FACE_OUTER_BOUND('',#43628,.T.); #24159=FACE_OUTER_BOUND('',#43630,.T.); #24160=FACE_OUTER_BOUND('',#43632,.T.); #24161=FACE_OUTER_BOUND('',#43633,.T.); #24162=FACE_OUTER_BOUND('',#43634,.T.); #24163=FACE_OUTER_BOUND('',#43636,.T.); #24164=FACE_OUTER_BOUND('',#43638,.T.); #24165=FACE_OUTER_BOUND('',#43639,.T.); #24166=FACE_OUTER_BOUND('',#43640,.T.); #24167=FACE_OUTER_BOUND('',#43642,.T.); #24168=FACE_OUTER_BOUND('',#43644,.T.); #24169=FACE_OUTER_BOUND('',#43645,.T.); #24170=FACE_OUTER_BOUND('',#43646,.T.); #24171=FACE_OUTER_BOUND('',#43648,.T.); #24172=FACE_OUTER_BOUND('',#43650,.T.); #24173=FACE_OUTER_BOUND('',#43651,.T.); #24174=FACE_OUTER_BOUND('',#43652,.T.); #24175=FACE_OUTER_BOUND('',#43654,.T.); #24176=FACE_OUTER_BOUND('',#43656,.T.); #24177=FACE_OUTER_BOUND('',#43657,.T.); #24178=FACE_OUTER_BOUND('',#43658,.T.); #24179=FACE_OUTER_BOUND('',#43660,.T.); #24180=FACE_OUTER_BOUND('',#43662,.T.); #24181=FACE_OUTER_BOUND('',#43663,.T.); #24182=FACE_OUTER_BOUND('',#43664,.T.); #24183=FACE_OUTER_BOUND('',#43666,.T.); #24184=FACE_OUTER_BOUND('',#43668,.T.); #24185=FACE_OUTER_BOUND('',#43669,.T.); #24186=FACE_OUTER_BOUND('',#43670,.T.); #24187=FACE_OUTER_BOUND('',#43672,.T.); #24188=FACE_OUTER_BOUND('',#43674,.T.); #24189=FACE_OUTER_BOUND('',#43675,.T.); #24190=FACE_OUTER_BOUND('',#43676,.T.); #24191=FACE_OUTER_BOUND('',#43678,.T.); #24192=FACE_OUTER_BOUND('',#43680,.T.); #24193=FACE_OUTER_BOUND('',#43681,.T.); #24194=FACE_OUTER_BOUND('',#43682,.T.); #24195=FACE_OUTER_BOUND('',#43684,.T.); #24196=FACE_OUTER_BOUND('',#43686,.T.); #24197=FACE_OUTER_BOUND('',#43687,.T.); #24198=FACE_OUTER_BOUND('',#43688,.T.); #24199=FACE_OUTER_BOUND('',#43690,.T.); #24200=FACE_OUTER_BOUND('',#43692,.T.); #24201=FACE_OUTER_BOUND('',#43693,.T.); #24202=FACE_OUTER_BOUND('',#43694,.T.); #24203=FACE_OUTER_BOUND('',#43696,.T.); #24204=FACE_OUTER_BOUND('',#43698,.T.); #24205=FACE_OUTER_BOUND('',#43699,.T.); #24206=FACE_OUTER_BOUND('',#43700,.T.); #24207=FACE_OUTER_BOUND('',#43702,.T.); #24208=FACE_OUTER_BOUND('',#43704,.T.); #24209=FACE_OUTER_BOUND('',#43705,.T.); #24210=FACE_OUTER_BOUND('',#43706,.T.); #24211=FACE_OUTER_BOUND('',#43708,.T.); #24212=FACE_OUTER_BOUND('',#43710,.T.); #24213=FACE_OUTER_BOUND('',#43711,.T.); #24214=FACE_OUTER_BOUND('',#43712,.T.); #24215=FACE_OUTER_BOUND('',#43714,.T.); #24216=FACE_OUTER_BOUND('',#43716,.T.); #24217=FACE_OUTER_BOUND('',#43717,.T.); #24218=FACE_OUTER_BOUND('',#43718,.T.); #24219=FACE_OUTER_BOUND('',#43720,.T.); #24220=FACE_OUTER_BOUND('',#43722,.T.); #24221=FACE_OUTER_BOUND('',#43723,.T.); #24222=FACE_OUTER_BOUND('',#43724,.T.); #24223=FACE_OUTER_BOUND('',#43726,.T.); #24224=FACE_OUTER_BOUND('',#43728,.T.); #24225=FACE_OUTER_BOUND('',#43729,.T.); #24226=FACE_OUTER_BOUND('',#43730,.T.); #24227=FACE_OUTER_BOUND('',#43732,.T.); #24228=FACE_OUTER_BOUND('',#43734,.T.); #24229=FACE_OUTER_BOUND('',#43735,.T.); #24230=FACE_OUTER_BOUND('',#43736,.T.); #24231=FACE_OUTER_BOUND('',#43738,.T.); #24232=FACE_OUTER_BOUND('',#43740,.T.); #24233=FACE_OUTER_BOUND('',#43741,.T.); #24234=FACE_OUTER_BOUND('',#43742,.T.); #24235=FACE_OUTER_BOUND('',#43744,.T.); #24236=FACE_OUTER_BOUND('',#43746,.T.); #24237=FACE_OUTER_BOUND('',#43747,.T.); #24238=FACE_OUTER_BOUND('',#43748,.T.); #24239=FACE_OUTER_BOUND('',#43750,.T.); #24240=FACE_OUTER_BOUND('',#43752,.T.); #24241=FACE_OUTER_BOUND('',#43753,.T.); #24242=FACE_OUTER_BOUND('',#43754,.T.); #24243=FACE_OUTER_BOUND('',#43756,.T.); #24244=FACE_OUTER_BOUND('',#43758,.T.); #24245=FACE_OUTER_BOUND('',#43759,.T.); #24246=FACE_OUTER_BOUND('',#43760,.T.); #24247=FACE_OUTER_BOUND('',#43762,.T.); #24248=FACE_OUTER_BOUND('',#43764,.T.); #24249=FACE_OUTER_BOUND('',#43765,.T.); #24250=FACE_OUTER_BOUND('',#43766,.T.); #24251=FACE_OUTER_BOUND('',#43768,.T.); #24252=FACE_OUTER_BOUND('',#43770,.T.); #24253=FACE_OUTER_BOUND('',#43771,.T.); #24254=FACE_OUTER_BOUND('',#43772,.T.); #24255=FACE_OUTER_BOUND('',#43774,.T.); #24256=FACE_OUTER_BOUND('',#43776,.T.); #24257=FACE_OUTER_BOUND('',#43777,.T.); #24258=FACE_OUTER_BOUND('',#43778,.T.); #24259=FACE_OUTER_BOUND('',#43780,.T.); #24260=FACE_OUTER_BOUND('',#43782,.T.); #24261=FACE_OUTER_BOUND('',#43783,.T.); #24262=FACE_OUTER_BOUND('',#43784,.T.); #24263=FACE_OUTER_BOUND('',#43786,.T.); #24264=FACE_OUTER_BOUND('',#43788,.T.); #24265=FACE_OUTER_BOUND('',#43789,.T.); #24266=FACE_OUTER_BOUND('',#43790,.T.); #24267=FACE_OUTER_BOUND('',#43792,.T.); #24268=FACE_OUTER_BOUND('',#43794,.T.); #24269=FACE_OUTER_BOUND('',#43795,.T.); #24270=FACE_OUTER_BOUND('',#43796,.T.); #24271=FACE_OUTER_BOUND('',#43798,.T.); #24272=FACE_OUTER_BOUND('',#43800,.T.); #24273=FACE_OUTER_BOUND('',#43801,.T.); #24274=FACE_OUTER_BOUND('',#43802,.T.); #24275=FACE_OUTER_BOUND('',#43804,.T.); #24276=FACE_OUTER_BOUND('',#43806,.T.); #24277=FACE_OUTER_BOUND('',#43807,.T.); #24278=FACE_OUTER_BOUND('',#43808,.T.); #24279=FACE_OUTER_BOUND('',#43810,.T.); #24280=FACE_OUTER_BOUND('',#43812,.T.); #24281=FACE_OUTER_BOUND('',#43813,.T.); #24282=FACE_OUTER_BOUND('',#43814,.T.); #24283=FACE_OUTER_BOUND('',#43816,.T.); #24284=FACE_OUTER_BOUND('',#43818,.T.); #24285=FACE_OUTER_BOUND('',#43819,.T.); #24286=FACE_OUTER_BOUND('',#43820,.T.); #24287=FACE_OUTER_BOUND('',#43822,.T.); #24288=FACE_OUTER_BOUND('',#43824,.T.); #24289=FACE_OUTER_BOUND('',#43825,.T.); #24290=FACE_OUTER_BOUND('',#43826,.T.); #24291=FACE_OUTER_BOUND('',#43828,.T.); #24292=FACE_OUTER_BOUND('',#43830,.T.); #24293=FACE_OUTER_BOUND('',#43831,.T.); #24294=FACE_OUTER_BOUND('',#43832,.T.); #24295=FACE_OUTER_BOUND('',#43834,.T.); #24296=FACE_OUTER_BOUND('',#43836,.T.); #24297=FACE_OUTER_BOUND('',#43837,.T.); #24298=FACE_OUTER_BOUND('',#43838,.T.); #24299=FACE_OUTER_BOUND('',#43840,.T.); #24300=FACE_OUTER_BOUND('',#43842,.T.); #24301=FACE_OUTER_BOUND('',#43843,.T.); #24302=FACE_OUTER_BOUND('',#43844,.T.); #24303=FACE_OUTER_BOUND('',#43846,.T.); #24304=FACE_OUTER_BOUND('',#43848,.T.); #24305=FACE_OUTER_BOUND('',#43849,.T.); #24306=FACE_OUTER_BOUND('',#43850,.T.); #24307=FACE_OUTER_BOUND('',#43852,.T.); #24308=FACE_OUTER_BOUND('',#43854,.T.); #24309=FACE_OUTER_BOUND('',#43855,.T.); #24310=FACE_OUTER_BOUND('',#43856,.T.); #24311=FACE_OUTER_BOUND('',#43858,.T.); #24312=FACE_OUTER_BOUND('',#43860,.T.); #24313=FACE_OUTER_BOUND('',#43861,.T.); #24314=FACE_OUTER_BOUND('',#43862,.T.); #24315=FACE_OUTER_BOUND('',#43864,.T.); #24316=FACE_OUTER_BOUND('',#43866,.T.); #24317=FACE_OUTER_BOUND('',#43867,.T.); #24318=FACE_OUTER_BOUND('',#43868,.T.); #24319=FACE_OUTER_BOUND('',#43870,.T.); #24320=FACE_OUTER_BOUND('',#43872,.T.); #24321=FACE_OUTER_BOUND('',#43873,.T.); #24322=FACE_OUTER_BOUND('',#43874,.T.); #24323=FACE_OUTER_BOUND('',#43876,.T.); #24324=FACE_OUTER_BOUND('',#43878,.T.); #24325=FACE_OUTER_BOUND('',#43879,.T.); #24326=FACE_OUTER_BOUND('',#43880,.T.); #24327=FACE_OUTER_BOUND('',#43882,.T.); #24328=FACE_OUTER_BOUND('',#43884,.T.); #24329=FACE_OUTER_BOUND('',#43885,.T.); #24330=FACE_OUTER_BOUND('',#43886,.T.); #24331=FACE_OUTER_BOUND('',#43888,.T.); #24332=FACE_OUTER_BOUND('',#43890,.T.); #24333=FACE_OUTER_BOUND('',#43891,.T.); #24334=FACE_OUTER_BOUND('',#43892,.T.); #24335=FACE_OUTER_BOUND('',#43894,.T.); #24336=FACE_OUTER_BOUND('',#43896,.T.); #24337=FACE_OUTER_BOUND('',#43897,.T.); #24338=FACE_OUTER_BOUND('',#43898,.T.); #24339=FACE_OUTER_BOUND('',#43900,.T.); #24340=FACE_OUTER_BOUND('',#43902,.T.); #24341=FACE_OUTER_BOUND('',#43903,.T.); #24342=FACE_OUTER_BOUND('',#43904,.T.); #24343=FACE_OUTER_BOUND('',#43906,.T.); #24344=FACE_OUTER_BOUND('',#43908,.T.); #24345=FACE_OUTER_BOUND('',#43909,.T.); #24346=FACE_OUTER_BOUND('',#43910,.T.); #24347=FACE_OUTER_BOUND('',#43912,.T.); #24348=FACE_OUTER_BOUND('',#43914,.T.); #24349=FACE_OUTER_BOUND('',#43915,.T.); #24350=FACE_OUTER_BOUND('',#43916,.T.); #24351=FACE_OUTER_BOUND('',#43918,.T.); #24352=FACE_OUTER_BOUND('',#43920,.T.); #24353=FACE_OUTER_BOUND('',#43921,.T.); #24354=FACE_OUTER_BOUND('',#43922,.T.); #24355=FACE_OUTER_BOUND('',#43924,.T.); #24356=FACE_OUTER_BOUND('',#43926,.T.); #24357=FACE_OUTER_BOUND('',#43927,.T.); #24358=FACE_OUTER_BOUND('',#43928,.T.); #24359=FACE_OUTER_BOUND('',#43930,.T.); #24360=FACE_OUTER_BOUND('',#43932,.T.); #24361=FACE_OUTER_BOUND('',#43933,.T.); #24362=FACE_OUTER_BOUND('',#43934,.T.); #24363=FACE_OUTER_BOUND('',#43936,.T.); #24364=FACE_OUTER_BOUND('',#43938,.T.); #24365=FACE_OUTER_BOUND('',#43939,.T.); #24366=FACE_OUTER_BOUND('',#43940,.T.); #24367=FACE_OUTER_BOUND('',#43942,.T.); #24368=FACE_OUTER_BOUND('',#43944,.T.); #24369=FACE_OUTER_BOUND('',#43945,.T.); #24370=FACE_OUTER_BOUND('',#43946,.T.); #24371=FACE_OUTER_BOUND('',#43948,.T.); #24372=FACE_OUTER_BOUND('',#43950,.T.); #24373=FACE_OUTER_BOUND('',#43951,.T.); #24374=FACE_OUTER_BOUND('',#43952,.T.); #24375=FACE_OUTER_BOUND('',#43954,.T.); #24376=FACE_OUTER_BOUND('',#43956,.T.); #24377=FACE_OUTER_BOUND('',#43957,.T.); #24378=FACE_OUTER_BOUND('',#43958,.T.); #24379=FACE_OUTER_BOUND('',#43960,.T.); #24380=FACE_OUTER_BOUND('',#43962,.T.); #24381=FACE_OUTER_BOUND('',#43963,.T.); #24382=FACE_OUTER_BOUND('',#43964,.T.); #24383=FACE_OUTER_BOUND('',#43966,.T.); #24384=FACE_OUTER_BOUND('',#43968,.T.); #24385=FACE_OUTER_BOUND('',#43969,.T.); #24386=FACE_OUTER_BOUND('',#43970,.T.); #24387=FACE_OUTER_BOUND('',#43972,.T.); #24388=FACE_OUTER_BOUND('',#43974,.T.); #24389=FACE_OUTER_BOUND('',#43975,.T.); #24390=FACE_OUTER_BOUND('',#43976,.T.); #24391=FACE_OUTER_BOUND('',#43978,.T.); #24392=FACE_OUTER_BOUND('',#43980,.T.); #24393=FACE_OUTER_BOUND('',#43981,.T.); #24394=FACE_OUTER_BOUND('',#43982,.T.); #24395=FACE_OUTER_BOUND('',#43984,.T.); #24396=FACE_OUTER_BOUND('',#43986,.T.); #24397=FACE_OUTER_BOUND('',#43987,.T.); #24398=FACE_OUTER_BOUND('',#43988,.T.); #24399=FACE_OUTER_BOUND('',#43990,.T.); #24400=FACE_OUTER_BOUND('',#43992,.T.); #24401=FACE_OUTER_BOUND('',#43993,.T.); #24402=FACE_OUTER_BOUND('',#43994,.T.); #24403=FACE_OUTER_BOUND('',#43996,.T.); #24404=FACE_OUTER_BOUND('',#43998,.T.); #24405=FACE_OUTER_BOUND('',#43999,.T.); #24406=FACE_OUTER_BOUND('',#44000,.T.); #24407=FACE_OUTER_BOUND('',#44002,.T.); #24408=FACE_OUTER_BOUND('',#44004,.T.); #24409=FACE_OUTER_BOUND('',#44005,.T.); #24410=FACE_OUTER_BOUND('',#44006,.T.); #24411=FACE_OUTER_BOUND('',#44008,.T.); #24412=FACE_OUTER_BOUND('',#44010,.T.); #24413=FACE_OUTER_BOUND('',#44011,.T.); #24414=FACE_OUTER_BOUND('',#44012,.T.); #24415=FACE_OUTER_BOUND('',#44014,.T.); #24416=FACE_OUTER_BOUND('',#44016,.T.); #24417=FACE_OUTER_BOUND('',#44017,.T.); #24418=FACE_OUTER_BOUND('',#44018,.T.); #24419=FACE_OUTER_BOUND('',#44020,.T.); #24420=FACE_OUTER_BOUND('',#44022,.T.); #24421=FACE_OUTER_BOUND('',#44023,.T.); #24422=FACE_OUTER_BOUND('',#44024,.T.); #24423=FACE_OUTER_BOUND('',#44026,.T.); #24424=FACE_OUTER_BOUND('',#44028,.T.); #24425=FACE_OUTER_BOUND('',#44029,.T.); #24426=FACE_OUTER_BOUND('',#44030,.T.); #24427=FACE_OUTER_BOUND('',#44032,.T.); #24428=FACE_OUTER_BOUND('',#44034,.T.); #24429=FACE_OUTER_BOUND('',#44035,.T.); #24430=FACE_OUTER_BOUND('',#44036,.T.); #24431=FACE_OUTER_BOUND('',#44038,.T.); #24432=FACE_OUTER_BOUND('',#44040,.T.); #24433=FACE_OUTER_BOUND('',#44041,.T.); #24434=FACE_OUTER_BOUND('',#44042,.T.); #24435=FACE_OUTER_BOUND('',#44044,.T.); #24436=FACE_OUTER_BOUND('',#44046,.T.); #24437=FACE_OUTER_BOUND('',#44047,.T.); #24438=FACE_OUTER_BOUND('',#44048,.T.); #24439=FACE_OUTER_BOUND('',#44050,.T.); #24440=FACE_OUTER_BOUND('',#44052,.T.); #24441=FACE_OUTER_BOUND('',#44053,.T.); #24442=FACE_OUTER_BOUND('',#44054,.T.); #24443=FACE_OUTER_BOUND('',#44056,.T.); #24444=FACE_OUTER_BOUND('',#44058,.T.); #24445=FACE_OUTER_BOUND('',#44059,.T.); #24446=FACE_OUTER_BOUND('',#44060,.T.); #24447=FACE_OUTER_BOUND('',#44062,.T.); #24448=FACE_OUTER_BOUND('',#44064,.T.); #24449=FACE_OUTER_BOUND('',#44065,.T.); #24450=FACE_OUTER_BOUND('',#44066,.T.); #24451=FACE_OUTER_BOUND('',#44068,.T.); #24452=FACE_OUTER_BOUND('',#44070,.T.); #24453=FACE_OUTER_BOUND('',#44071,.T.); #24454=FACE_OUTER_BOUND('',#44072,.T.); #24455=FACE_OUTER_BOUND('',#44074,.T.); #24456=FACE_OUTER_BOUND('',#44076,.T.); #24457=FACE_OUTER_BOUND('',#44077,.T.); #24458=FACE_OUTER_BOUND('',#44078,.T.); #24459=FACE_OUTER_BOUND('',#44080,.T.); #24460=FACE_OUTER_BOUND('',#44082,.T.); #24461=FACE_OUTER_BOUND('',#44083,.T.); #24462=FACE_OUTER_BOUND('',#44084,.T.); #24463=FACE_OUTER_BOUND('',#44086,.T.); #24464=FACE_OUTER_BOUND('',#44088,.T.); #24465=FACE_OUTER_BOUND('',#44089,.T.); #24466=FACE_OUTER_BOUND('',#44090,.T.); #24467=FACE_OUTER_BOUND('',#44092,.T.); #24468=FACE_OUTER_BOUND('',#44094,.T.); #24469=FACE_OUTER_BOUND('',#44095,.T.); #24470=FACE_OUTER_BOUND('',#44096,.T.); #24471=FACE_OUTER_BOUND('',#44098,.T.); #24472=FACE_OUTER_BOUND('',#44100,.T.); #24473=FACE_OUTER_BOUND('',#44101,.T.); #24474=FACE_OUTER_BOUND('',#44102,.T.); #24475=FACE_OUTER_BOUND('',#44104,.T.); #24476=FACE_OUTER_BOUND('',#44106,.T.); #24477=FACE_OUTER_BOUND('',#44107,.T.); #24478=FACE_OUTER_BOUND('',#44108,.T.); #24479=FACE_OUTER_BOUND('',#44110,.T.); #24480=FACE_OUTER_BOUND('',#44112,.T.); #24481=FACE_OUTER_BOUND('',#44113,.T.); #24482=FACE_OUTER_BOUND('',#44114,.T.); #24483=FACE_OUTER_BOUND('',#44116,.T.); #24484=FACE_OUTER_BOUND('',#44118,.T.); #24485=FACE_OUTER_BOUND('',#44119,.T.); #24486=FACE_OUTER_BOUND('',#44120,.T.); #24487=FACE_OUTER_BOUND('',#44122,.T.); #24488=FACE_OUTER_BOUND('',#44124,.T.); #24489=FACE_OUTER_BOUND('',#44125,.T.); #24490=FACE_OUTER_BOUND('',#44126,.T.); #24491=FACE_OUTER_BOUND('',#44128,.T.); #24492=FACE_OUTER_BOUND('',#44130,.T.); #24493=FACE_OUTER_BOUND('',#44131,.T.); #24494=FACE_OUTER_BOUND('',#44132,.T.); #24495=FACE_OUTER_BOUND('',#44134,.T.); #24496=FACE_OUTER_BOUND('',#44136,.T.); #24497=FACE_OUTER_BOUND('',#44137,.T.); #24498=FACE_OUTER_BOUND('',#44138,.T.); #24499=FACE_OUTER_BOUND('',#44140,.T.); #24500=FACE_OUTER_BOUND('',#44142,.T.); #24501=FACE_OUTER_BOUND('',#44143,.T.); #24502=FACE_OUTER_BOUND('',#44144,.T.); #24503=FACE_OUTER_BOUND('',#44146,.T.); #24504=FACE_OUTER_BOUND('',#44148,.T.); #24505=FACE_OUTER_BOUND('',#44149,.T.); #24506=FACE_OUTER_BOUND('',#44150,.T.); #24507=FACE_OUTER_BOUND('',#44152,.T.); #24508=FACE_OUTER_BOUND('',#44154,.T.); #24509=FACE_OUTER_BOUND('',#44155,.T.); #24510=FACE_OUTER_BOUND('',#44156,.T.); #24511=FACE_OUTER_BOUND('',#44158,.T.); #24512=FACE_OUTER_BOUND('',#44160,.T.); #24513=FACE_OUTER_BOUND('',#44161,.T.); #24514=FACE_OUTER_BOUND('',#44162,.T.); #24515=FACE_OUTER_BOUND('',#44164,.T.); #24516=FACE_OUTER_BOUND('',#44166,.T.); #24517=FACE_OUTER_BOUND('',#44167,.T.); #24518=FACE_OUTER_BOUND('',#44168,.T.); #24519=FACE_OUTER_BOUND('',#44170,.T.); #24520=FACE_OUTER_BOUND('',#44172,.T.); #24521=FACE_OUTER_BOUND('',#44173,.T.); #24522=FACE_OUTER_BOUND('',#44174,.T.); #24523=FACE_OUTER_BOUND('',#44176,.T.); #24524=FACE_OUTER_BOUND('',#44178,.T.); #24525=FACE_OUTER_BOUND('',#44179,.T.); #24526=FACE_OUTER_BOUND('',#44180,.T.); #24527=FACE_OUTER_BOUND('',#44182,.T.); #24528=FACE_OUTER_BOUND('',#44184,.T.); #24529=FACE_OUTER_BOUND('',#44185,.T.); #24530=FACE_OUTER_BOUND('',#44186,.T.); #24531=FACE_OUTER_BOUND('',#44188,.T.); #24532=FACE_OUTER_BOUND('',#44190,.T.); #24533=FACE_OUTER_BOUND('',#44191,.T.); #24534=FACE_OUTER_BOUND('',#44192,.T.); #24535=FACE_OUTER_BOUND('',#44194,.T.); #24536=FACE_OUTER_BOUND('',#44196,.T.); #24537=FACE_OUTER_BOUND('',#44197,.T.); #24538=FACE_OUTER_BOUND('',#44198,.T.); #24539=FACE_OUTER_BOUND('',#44200,.T.); #24540=FACE_OUTER_BOUND('',#44202,.T.); #24541=FACE_OUTER_BOUND('',#44203,.T.); #24542=FACE_OUTER_BOUND('',#44204,.T.); #24543=FACE_OUTER_BOUND('',#44206,.T.); #24544=FACE_OUTER_BOUND('',#44208,.T.); #24545=FACE_OUTER_BOUND('',#44209,.T.); #24546=FACE_OUTER_BOUND('',#44210,.T.); #24547=FACE_OUTER_BOUND('',#44212,.T.); #24548=FACE_OUTER_BOUND('',#44214,.T.); #24549=FACE_OUTER_BOUND('',#44215,.T.); #24550=FACE_OUTER_BOUND('',#44216,.T.); #24551=FACE_OUTER_BOUND('',#44218,.T.); #24552=FACE_OUTER_BOUND('',#44220,.T.); #24553=FACE_OUTER_BOUND('',#44221,.T.); #24554=FACE_OUTER_BOUND('',#44222,.T.); #24555=FACE_OUTER_BOUND('',#44224,.T.); #24556=FACE_OUTER_BOUND('',#44226,.T.); #24557=FACE_OUTER_BOUND('',#44227,.T.); #24558=FACE_OUTER_BOUND('',#44228,.T.); #24559=FACE_OUTER_BOUND('',#44230,.T.); #24560=FACE_OUTER_BOUND('',#44232,.T.); #24561=FACE_OUTER_BOUND('',#44233,.T.); #24562=FACE_OUTER_BOUND('',#44234,.T.); #24563=FACE_OUTER_BOUND('',#44236,.T.); #24564=FACE_OUTER_BOUND('',#44238,.T.); #24565=FACE_OUTER_BOUND('',#44239,.T.); #24566=FACE_OUTER_BOUND('',#44240,.T.); #24567=FACE_OUTER_BOUND('',#44242,.T.); #24568=FACE_OUTER_BOUND('',#44244,.T.); #24569=FACE_OUTER_BOUND('',#44245,.T.); #24570=FACE_OUTER_BOUND('',#44246,.T.); #24571=FACE_OUTER_BOUND('',#44248,.T.); #24572=FACE_OUTER_BOUND('',#44250,.T.); #24573=FACE_OUTER_BOUND('',#44251,.T.); #24574=FACE_OUTER_BOUND('',#44252,.T.); #24575=FACE_OUTER_BOUND('',#44254,.T.); #24576=FACE_OUTER_BOUND('',#44256,.T.); #24577=FACE_OUTER_BOUND('',#44257,.T.); #24578=FACE_OUTER_BOUND('',#44258,.T.); #24579=FACE_OUTER_BOUND('',#44260,.T.); #24580=FACE_OUTER_BOUND('',#44262,.T.); #24581=FACE_OUTER_BOUND('',#44263,.T.); #24582=FACE_OUTER_BOUND('',#44264,.T.); #24583=FACE_OUTER_BOUND('',#44266,.T.); #24584=FACE_OUTER_BOUND('',#44268,.T.); #24585=FACE_OUTER_BOUND('',#44269,.T.); #24586=FACE_OUTER_BOUND('',#44270,.T.); #24587=FACE_OUTER_BOUND('',#44272,.T.); #24588=FACE_OUTER_BOUND('',#44274,.T.); #24589=FACE_OUTER_BOUND('',#44275,.T.); #24590=FACE_OUTER_BOUND('',#44276,.T.); #24591=FACE_OUTER_BOUND('',#44278,.T.); #24592=FACE_OUTER_BOUND('',#44280,.T.); #24593=FACE_OUTER_BOUND('',#44281,.T.); #24594=FACE_OUTER_BOUND('',#44282,.T.); #24595=FACE_OUTER_BOUND('',#44284,.T.); #24596=FACE_OUTER_BOUND('',#44286,.T.); #24597=FACE_OUTER_BOUND('',#44287,.T.); #24598=FACE_OUTER_BOUND('',#44288,.T.); #24599=FACE_OUTER_BOUND('',#44290,.T.); #24600=FACE_OUTER_BOUND('',#44292,.T.); #24601=FACE_OUTER_BOUND('',#44293,.T.); #24602=FACE_OUTER_BOUND('',#44294,.T.); #24603=FACE_OUTER_BOUND('',#44296,.T.); #24604=FACE_OUTER_BOUND('',#44298,.T.); #24605=FACE_OUTER_BOUND('',#44299,.T.); #24606=FACE_OUTER_BOUND('',#44300,.T.); #24607=FACE_OUTER_BOUND('',#44302,.T.); #24608=FACE_OUTER_BOUND('',#44304,.T.); #24609=FACE_OUTER_BOUND('',#44305,.T.); #24610=FACE_OUTER_BOUND('',#44306,.T.); #24611=FACE_OUTER_BOUND('',#44308,.T.); #24612=FACE_OUTER_BOUND('',#44310,.T.); #24613=FACE_OUTER_BOUND('',#44311,.T.); #24614=FACE_OUTER_BOUND('',#44312,.T.); #24615=FACE_OUTER_BOUND('',#44314,.T.); #24616=FACE_OUTER_BOUND('',#44316,.T.); #24617=FACE_OUTER_BOUND('',#44317,.T.); #24618=FACE_OUTER_BOUND('',#44318,.T.); #24619=FACE_OUTER_BOUND('',#44320,.T.); #24620=FACE_OUTER_BOUND('',#44322,.T.); #24621=FACE_OUTER_BOUND('',#44323,.T.); #24622=FACE_OUTER_BOUND('',#44324,.T.); #24623=FACE_OUTER_BOUND('',#44326,.T.); #24624=FACE_OUTER_BOUND('',#44328,.T.); #24625=FACE_OUTER_BOUND('',#44329,.T.); #24626=FACE_OUTER_BOUND('',#44330,.T.); #24627=FACE_OUTER_BOUND('',#44332,.T.); #24628=FACE_OUTER_BOUND('',#44334,.T.); #24629=FACE_OUTER_BOUND('',#44335,.T.); #24630=FACE_OUTER_BOUND('',#44336,.T.); #24631=FACE_OUTER_BOUND('',#44338,.T.); #24632=FACE_OUTER_BOUND('',#44340,.T.); #24633=FACE_OUTER_BOUND('',#44341,.T.); #24634=FACE_OUTER_BOUND('',#44342,.T.); #24635=FACE_OUTER_BOUND('',#44344,.T.); #24636=FACE_OUTER_BOUND('',#44346,.T.); #24637=FACE_OUTER_BOUND('',#44347,.T.); #24638=FACE_OUTER_BOUND('',#44348,.T.); #24639=FACE_OUTER_BOUND('',#44350,.T.); #24640=FACE_OUTER_BOUND('',#44352,.T.); #24641=FACE_OUTER_BOUND('',#44353,.T.); #24642=FACE_OUTER_BOUND('',#44354,.T.); #24643=FACE_OUTER_BOUND('',#44356,.T.); #24644=FACE_OUTER_BOUND('',#44358,.T.); #24645=FACE_OUTER_BOUND('',#44359,.T.); #24646=FACE_OUTER_BOUND('',#44360,.T.); #24647=FACE_OUTER_BOUND('',#44362,.T.); #24648=FACE_OUTER_BOUND('',#44364,.T.); #24649=FACE_OUTER_BOUND('',#44365,.T.); #24650=FACE_OUTER_BOUND('',#44366,.T.); #24651=FACE_OUTER_BOUND('',#44368,.T.); #24652=FACE_OUTER_BOUND('',#44370,.T.); #24653=FACE_OUTER_BOUND('',#44371,.T.); #24654=FACE_OUTER_BOUND('',#44372,.T.); #24655=FACE_OUTER_BOUND('',#44374,.T.); #24656=FACE_OUTER_BOUND('',#44376,.T.); #24657=FACE_OUTER_BOUND('',#44377,.T.); #24658=FACE_OUTER_BOUND('',#44378,.T.); #24659=FACE_OUTER_BOUND('',#44380,.T.); #24660=FACE_OUTER_BOUND('',#44382,.T.); #24661=FACE_OUTER_BOUND('',#44383,.T.); #24662=FACE_OUTER_BOUND('',#44384,.T.); #24663=FACE_OUTER_BOUND('',#44386,.T.); #24664=FACE_OUTER_BOUND('',#44388,.T.); #24665=FACE_OUTER_BOUND('',#44389,.T.); #24666=FACE_OUTER_BOUND('',#44390,.T.); #24667=FACE_OUTER_BOUND('',#44392,.T.); #24668=FACE_OUTER_BOUND('',#44394,.T.); #24669=FACE_OUTER_BOUND('',#44395,.T.); #24670=FACE_OUTER_BOUND('',#44396,.T.); #24671=FACE_OUTER_BOUND('',#44398,.T.); #24672=FACE_OUTER_BOUND('',#44400,.T.); #24673=FACE_OUTER_BOUND('',#44401,.T.); #24674=FACE_OUTER_BOUND('',#44402,.T.); #24675=FACE_OUTER_BOUND('',#44404,.T.); #24676=FACE_OUTER_BOUND('',#44406,.T.); #24677=FACE_OUTER_BOUND('',#44407,.T.); #24678=FACE_OUTER_BOUND('',#44408,.T.); #24679=FACE_OUTER_BOUND('',#44410,.T.); #24680=FACE_OUTER_BOUND('',#44412,.T.); #24681=FACE_OUTER_BOUND('',#44413,.T.); #24682=FACE_OUTER_BOUND('',#44414,.T.); #24683=FACE_OUTER_BOUND('',#44416,.T.); #24684=FACE_OUTER_BOUND('',#44418,.T.); #24685=FACE_OUTER_BOUND('',#44419,.T.); #24686=FACE_OUTER_BOUND('',#44420,.T.); #24687=FACE_OUTER_BOUND('',#44422,.T.); #24688=FACE_OUTER_BOUND('',#44424,.T.); #24689=FACE_OUTER_BOUND('',#44425,.T.); #24690=FACE_OUTER_BOUND('',#44426,.T.); #24691=FACE_OUTER_BOUND('',#44428,.T.); #24692=FACE_OUTER_BOUND('',#44430,.T.); #24693=FACE_OUTER_BOUND('',#44431,.T.); #24694=FACE_OUTER_BOUND('',#44432,.T.); #24695=FACE_OUTER_BOUND('',#44434,.T.); #24696=FACE_OUTER_BOUND('',#44436,.T.); #24697=FACE_OUTER_BOUND('',#44437,.T.); #24698=FACE_OUTER_BOUND('',#44438,.T.); #24699=FACE_OUTER_BOUND('',#44440,.T.); #24700=FACE_OUTER_BOUND('',#44442,.T.); #24701=FACE_OUTER_BOUND('',#44443,.T.); #24702=FACE_OUTER_BOUND('',#44444,.T.); #24703=FACE_OUTER_BOUND('',#44446,.T.); #24704=FACE_OUTER_BOUND('',#44448,.T.); #24705=FACE_OUTER_BOUND('',#44449,.T.); #24706=FACE_OUTER_BOUND('',#44450,.T.); #24707=FACE_OUTER_BOUND('',#44452,.T.); #24708=FACE_OUTER_BOUND('',#44454,.T.); #24709=FACE_OUTER_BOUND('',#44455,.T.); #24710=FACE_OUTER_BOUND('',#44456,.T.); #24711=FACE_OUTER_BOUND('',#44458,.T.); #24712=FACE_OUTER_BOUND('',#44460,.T.); #24713=FACE_OUTER_BOUND('',#44461,.T.); #24714=FACE_OUTER_BOUND('',#44462,.T.); #24715=FACE_OUTER_BOUND('',#44464,.T.); #24716=FACE_OUTER_BOUND('',#44466,.T.); #24717=FACE_OUTER_BOUND('',#44467,.T.); #24718=FACE_OUTER_BOUND('',#44468,.T.); #24719=FACE_OUTER_BOUND('',#44469,.T.); #24720=FACE_OUTER_BOUND('',#44470,.T.); #24721=FACE_OUTER_BOUND('',#44472,.T.); #24722=FACE_OUTER_BOUND('',#44474,.T.); #24723=FACE_OUTER_BOUND('',#44475,.T.); #24724=FACE_OUTER_BOUND('',#44476,.T.); #24725=FACE_OUTER_BOUND('',#44477,.T.); #24726=FACE_OUTER_BOUND('',#44478,.T.); #24727=FACE_OUTER_BOUND('',#44479,.T.); #24728=FACE_OUTER_BOUND('',#44480,.T.); #24729=FACE_OUTER_BOUND('',#44481,.T.); #24730=FACE_OUTER_BOUND('',#44482,.T.); #24731=FACE_OUTER_BOUND('',#44483,.T.); #24732=FACE_OUTER_BOUND('',#44484,.T.); #24733=FACE_OUTER_BOUND('',#44485,.T.); #24734=FACE_OUTER_BOUND('',#44486,.T.); #24735=FACE_OUTER_BOUND('',#44487,.T.); #24736=FACE_OUTER_BOUND('',#44488,.T.); #24737=FACE_OUTER_BOUND('',#44489,.T.); #24738=FACE_OUTER_BOUND('',#44490,.T.); #24739=FACE_OUTER_BOUND('',#44491,.T.); #24740=FACE_OUTER_BOUND('',#44492,.T.); #24741=FACE_OUTER_BOUND('',#44493,.T.); #24742=FACE_OUTER_BOUND('',#44494,.T.); #24743=FACE_OUTER_BOUND('',#44495,.T.); #24744=FACE_OUTER_BOUND('',#44496,.T.); #24745=FACE_OUTER_BOUND('',#44497,.T.); #24746=FACE_OUTER_BOUND('',#44498,.T.); #24747=FACE_OUTER_BOUND('',#44499,.T.); #24748=FACE_OUTER_BOUND('',#44500,.T.); #24749=FACE_OUTER_BOUND('',#44501,.T.); #24750=FACE_OUTER_BOUND('',#44502,.T.); #24751=FACE_OUTER_BOUND('',#44503,.T.); #24752=FACE_OUTER_BOUND('',#44504,.T.); #24753=FACE_OUTER_BOUND('',#44505,.T.); #24754=FACE_OUTER_BOUND('',#44506,.T.); #24755=FACE_OUTER_BOUND('',#44507,.T.); #24756=FACE_OUTER_BOUND('',#44508,.T.); #24757=FACE_OUTER_BOUND('',#44509,.T.); #24758=FACE_OUTER_BOUND('',#44510,.T.); #24759=FACE_OUTER_BOUND('',#44511,.T.); #24760=FACE_OUTER_BOUND('',#44512,.T.); #24761=FACE_OUTER_BOUND('',#44513,.T.); #24762=FACE_OUTER_BOUND('',#44514,.T.); #24763=FACE_OUTER_BOUND('',#44515,.T.); #24764=FACE_OUTER_BOUND('',#44516,.T.); #24765=FACE_OUTER_BOUND('',#44517,.T.); #24766=FACE_OUTER_BOUND('',#44518,.T.); #24767=FACE_OUTER_BOUND('',#44519,.T.); #24768=FACE_OUTER_BOUND('',#44520,.T.); #24769=FACE_OUTER_BOUND('',#44521,.T.); #24770=FACE_OUTER_BOUND('',#44522,.T.); #24771=FACE_OUTER_BOUND('',#44523,.T.); #24772=FACE_OUTER_BOUND('',#44524,.T.); #24773=FACE_OUTER_BOUND('',#44525,.T.); #24774=FACE_OUTER_BOUND('',#44526,.T.); #24775=FACE_OUTER_BOUND('',#44527,.T.); #24776=FACE_OUTER_BOUND('',#44528,.T.); #24777=FACE_OUTER_BOUND('',#44529,.T.); #24778=FACE_OUTER_BOUND('',#44530,.T.); #24779=FACE_OUTER_BOUND('',#44531,.T.); #24780=FACE_OUTER_BOUND('',#44532,.T.); #24781=FACE_OUTER_BOUND('',#44533,.T.); #24782=FACE_OUTER_BOUND('',#44534,.T.); #24783=FACE_OUTER_BOUND('',#44535,.T.); #24784=FACE_OUTER_BOUND('',#44536,.T.); #24785=FACE_OUTER_BOUND('',#44537,.T.); #24786=FACE_OUTER_BOUND('',#44538,.T.); #24787=FACE_OUTER_BOUND('',#44540,.T.); #24788=FACE_OUTER_BOUND('',#44542,.T.); #24789=FACE_OUTER_BOUND('',#44543,.T.); #24790=FACE_OUTER_BOUND('',#44544,.T.); #24791=FACE_OUTER_BOUND('',#44545,.T.); #24792=FACE_OUTER_BOUND('',#44546,.T.); #24793=FACE_OUTER_BOUND('',#44547,.T.); #24794=FACE_OUTER_BOUND('',#44548,.T.); #24795=FACE_OUTER_BOUND('',#44549,.T.); #24796=FACE_OUTER_BOUND('',#44550,.T.); #24797=FACE_OUTER_BOUND('',#44551,.T.); #24798=FACE_OUTER_BOUND('',#44552,.T.); #24799=FACE_OUTER_BOUND('',#44555,.T.); #24800=FACE_OUTER_BOUND('',#44558,.T.); #24801=FACE_OUTER_BOUND('',#44559,.T.); #24802=FACE_OUTER_BOUND('',#44560,.T.); #24803=FACE_OUTER_BOUND('',#44561,.T.); #24804=FACE_OUTER_BOUND('',#44562,.T.); #24805=FACE_OUTER_BOUND('',#44564,.T.); #24806=FACE_OUTER_BOUND('',#44566,.T.); #24807=FACE_OUTER_BOUND('',#44567,.T.); #24808=FACE_OUTER_BOUND('',#44568,.T.); #24809=FACE_OUTER_BOUND('',#44569,.T.); #24810=FACE_OUTER_BOUND('',#44570,.T.); #24811=FACE_OUTER_BOUND('',#44572,.T.); #24812=FACE_OUTER_BOUND('',#44574,.T.); #24813=FACE_OUTER_BOUND('',#44575,.T.); #24814=FACE_OUTER_BOUND('',#44576,.T.); #24815=FACE_OUTER_BOUND('',#44577,.T.); #24816=FACE_OUTER_BOUND('',#44578,.T.); #24817=FACE_OUTER_BOUND('',#44579,.T.); #24818=FACE_OUTER_BOUND('',#44580,.T.); #24819=FACE_OUTER_BOUND('',#44581,.T.); #24820=FACE_OUTER_BOUND('',#44582,.T.); #24821=FACE_OUTER_BOUND('',#44583,.T.); #24822=FACE_OUTER_BOUND('',#44584,.T.); #24823=FACE_OUTER_BOUND('',#44585,.T.); #24824=FACE_OUTER_BOUND('',#44586,.T.); #24825=FACE_OUTER_BOUND('',#44587,.T.); #24826=FACE_OUTER_BOUND('',#44588,.T.); #24827=FACE_OUTER_BOUND('',#44590,.T.); #24828=FACE_OUTER_BOUND('',#44592,.T.); #24829=FACE_OUTER_BOUND('',#44593,.T.); #24830=FACE_OUTER_BOUND('',#44594,.T.); #24831=FACE_OUTER_BOUND('',#44595,.T.); #24832=FACE_OUTER_BOUND('',#44596,.T.); #24833=FACE_OUTER_BOUND('',#44597,.T.); #24834=FACE_OUTER_BOUND('',#44598,.T.); #24835=FACE_OUTER_BOUND('',#44599,.T.); #24836=FACE_OUTER_BOUND('',#44600,.T.); #24837=FACE_OUTER_BOUND('',#44601,.T.); #24838=FACE_OUTER_BOUND('',#44602,.T.); #24839=FACE_OUTER_BOUND('',#44603,.T.); #24840=FACE_OUTER_BOUND('',#44605,.T.); #24841=FACE_OUTER_BOUND('',#44607,.T.); #24842=FACE_OUTER_BOUND('',#44608,.T.); #24843=FACE_OUTER_BOUND('',#44609,.T.); #24844=FACE_OUTER_BOUND('',#44610,.T.); #24845=FACE_OUTER_BOUND('',#44611,.T.); #24846=FACE_OUTER_BOUND('',#44612,.T.); #24847=FACE_OUTER_BOUND('',#44613,.T.); #24848=FACE_OUTER_BOUND('',#44614,.T.); #24849=FACE_OUTER_BOUND('',#44615,.T.); #24850=FACE_OUTER_BOUND('',#44616,.T.); #24851=FACE_OUTER_BOUND('',#44617,.T.); #24852=FACE_OUTER_BOUND('',#44618,.T.); #24853=FACE_OUTER_BOUND('',#44619,.T.); #24854=FACE_OUTER_BOUND('',#44620,.T.); #24855=FACE_OUTER_BOUND('',#44621,.T.); #24856=FACE_OUTER_BOUND('',#44622,.T.); #24857=FACE_OUTER_BOUND('',#44623,.T.); #24858=FACE_OUTER_BOUND('',#44624,.T.); #24859=FACE_OUTER_BOUND('',#44625,.T.); #24860=FACE_OUTER_BOUND('',#44626,.T.); #24861=FACE_OUTER_BOUND('',#44627,.T.); #24862=FACE_OUTER_BOUND('',#44628,.T.); #24863=FACE_OUTER_BOUND('',#44629,.T.); #24864=FACE_OUTER_BOUND('',#44630,.T.); #24865=FACE_OUTER_BOUND('',#44631,.T.); #24866=FACE_OUTER_BOUND('',#44632,.T.); #24867=FACE_OUTER_BOUND('',#44633,.T.); #24868=FACE_OUTER_BOUND('',#44634,.T.); #24869=FACE_OUTER_BOUND('',#44635,.T.); #24870=FACE_OUTER_BOUND('',#44636,.T.); #24871=FACE_OUTER_BOUND('',#44637,.T.); #24872=FACE_OUTER_BOUND('',#44638,.T.); #24873=FACE_OUTER_BOUND('',#44639,.T.); #24874=FACE_OUTER_BOUND('',#44640,.T.); #24875=FACE_OUTER_BOUND('',#44641,.T.); #24876=FACE_OUTER_BOUND('',#44642,.T.); #24877=FACE_OUTER_BOUND('',#44643,.T.); #24878=FACE_OUTER_BOUND('',#44644,.T.); #24879=FACE_OUTER_BOUND('',#44645,.T.); #24880=FACE_OUTER_BOUND('',#44646,.T.); #24881=FACE_OUTER_BOUND('',#44647,.T.); #24882=FACE_OUTER_BOUND('',#44648,.T.); #24883=FACE_OUTER_BOUND('',#44649,.T.); #24884=FACE_OUTER_BOUND('',#44650,.T.); #24885=FACE_OUTER_BOUND('',#44651,.T.); #24886=FACE_OUTER_BOUND('',#44652,.T.); #24887=FACE_OUTER_BOUND('',#44653,.T.); #24888=FACE_OUTER_BOUND('',#44654,.T.); #24889=FACE_OUTER_BOUND('',#44655,.T.); #24890=FACE_OUTER_BOUND('',#44656,.T.); #24891=FACE_OUTER_BOUND('',#44657,.T.); #24892=FACE_OUTER_BOUND('',#44658,.T.); #24893=FACE_OUTER_BOUND('',#44659,.T.); #24894=FACE_OUTER_BOUND('',#44660,.T.); #24895=FACE_OUTER_BOUND('',#44661,.T.); #24896=FACE_OUTER_BOUND('',#44662,.T.); #24897=FACE_OUTER_BOUND('',#44663,.T.); #24898=FACE_OUTER_BOUND('',#44664,.T.); #24899=FACE_OUTER_BOUND('',#44665,.T.); #24900=FACE_OUTER_BOUND('',#44666,.T.); #24901=FACE_OUTER_BOUND('',#44667,.T.); #24902=FACE_OUTER_BOUND('',#44668,.T.); #24903=FACE_OUTER_BOUND('',#44669,.T.); #24904=FACE_OUTER_BOUND('',#44670,.T.); #24905=FACE_OUTER_BOUND('',#44671,.T.); #24906=FACE_OUTER_BOUND('',#44672,.T.); #24907=FACE_OUTER_BOUND('',#44673,.T.); #24908=FACE_OUTER_BOUND('',#44674,.T.); #24909=FACE_OUTER_BOUND('',#44675,.T.); #24910=FACE_OUTER_BOUND('',#44676,.T.); #24911=FACE_OUTER_BOUND('',#44677,.T.); #24912=FACE_OUTER_BOUND('',#44678,.T.); #24913=FACE_OUTER_BOUND('',#44679,.T.); #24914=FACE_OUTER_BOUND('',#44680,.T.); #24915=FACE_OUTER_BOUND('',#44681,.T.); #24916=FACE_OUTER_BOUND('',#44682,.T.); #24917=FACE_OUTER_BOUND('',#44683,.T.); #24918=FACE_OUTER_BOUND('',#44684,.T.); #24919=FACE_OUTER_BOUND('',#44685,.T.); #24920=FACE_OUTER_BOUND('',#44686,.T.); #24921=FACE_OUTER_BOUND('',#44687,.T.); #24922=FACE_OUTER_BOUND('',#44688,.T.); #24923=FACE_OUTER_BOUND('',#44689,.T.); #24924=FACE_OUTER_BOUND('',#44690,.T.); #24925=FACE_OUTER_BOUND('',#44691,.T.); #24926=FACE_OUTER_BOUND('',#44692,.T.); #24927=FACE_OUTER_BOUND('',#44693,.T.); #24928=FACE_OUTER_BOUND('',#44694,.T.); #24929=FACE_OUTER_BOUND('',#44695,.T.); #24930=FACE_OUTER_BOUND('',#44696,.T.); #24931=FACE_OUTER_BOUND('',#44697,.T.); #24932=FACE_OUTER_BOUND('',#44698,.T.); #24933=FACE_OUTER_BOUND('',#44699,.T.); #24934=FACE_OUTER_BOUND('',#44700,.T.); #24935=FACE_OUTER_BOUND('',#44701,.T.); #24936=FACE_OUTER_BOUND('',#44702,.T.); #24937=FACE_OUTER_BOUND('',#44703,.T.); #24938=FACE_OUTER_BOUND('',#44704,.T.); #24939=FACE_OUTER_BOUND('',#44705,.T.); #24940=FACE_OUTER_BOUND('',#44706,.T.); #24941=FACE_OUTER_BOUND('',#44707,.T.); #24942=FACE_OUTER_BOUND('',#44708,.T.); #24943=FACE_OUTER_BOUND('',#44709,.T.); #24944=FACE_OUTER_BOUND('',#44710,.T.); #24945=FACE_OUTER_BOUND('',#44711,.T.); #24946=FACE_OUTER_BOUND('',#44712,.T.); #24947=FACE_OUTER_BOUND('',#44713,.T.); #24948=FACE_OUTER_BOUND('',#44714,.T.); #24949=FACE_OUTER_BOUND('',#44715,.T.); #24950=FACE_OUTER_BOUND('',#44716,.T.); #24951=FACE_OUTER_BOUND('',#44717,.T.); #24952=FACE_OUTER_BOUND('',#44718,.T.); #24953=FACE_OUTER_BOUND('',#44719,.T.); #24954=FACE_OUTER_BOUND('',#44720,.T.); #24955=FACE_OUTER_BOUND('',#44721,.T.); #24956=FACE_OUTER_BOUND('',#44722,.T.); #24957=FACE_OUTER_BOUND('',#44723,.T.); #24958=FACE_OUTER_BOUND('',#44724,.T.); #24959=FACE_OUTER_BOUND('',#44725,.T.); #24960=FACE_OUTER_BOUND('',#44726,.T.); #24961=FACE_OUTER_BOUND('',#44727,.T.); #24962=FACE_OUTER_BOUND('',#44728,.T.); #24963=FACE_OUTER_BOUND('',#44730,.T.); #24964=FACE_OUTER_BOUND('',#44732,.T.); #24965=FACE_OUTER_BOUND('',#44733,.T.); #24966=FACE_OUTER_BOUND('',#44734,.T.); #24967=FACE_OUTER_BOUND('',#44735,.T.); #24968=FACE_OUTER_BOUND('',#44736,.T.); #24969=FACE_OUTER_BOUND('',#44737,.T.); #24970=FACE_OUTER_BOUND('',#44738,.T.); #24971=FACE_OUTER_BOUND('',#44739,.T.); #24972=FACE_OUTER_BOUND('',#44740,.T.); #24973=FACE_OUTER_BOUND('',#44741,.T.); #24974=FACE_OUTER_BOUND('',#44742,.T.); #24975=FACE_OUTER_BOUND('',#44743,.T.); #24976=FACE_OUTER_BOUND('',#44744,.T.); #24977=FACE_OUTER_BOUND('',#44745,.T.); #24978=FACE_OUTER_BOUND('',#44746,.T.); #24979=FACE_OUTER_BOUND('',#44747,.T.); #24980=FACE_OUTER_BOUND('',#44748,.T.); #24981=FACE_OUTER_BOUND('',#44749,.T.); #24982=FACE_OUTER_BOUND('',#44751,.T.); #24983=FACE_OUTER_BOUND('',#44753,.T.); #24984=FACE_OUTER_BOUND('',#44754,.T.); #24985=FACE_OUTER_BOUND('',#44755,.T.); #24986=FACE_OUTER_BOUND('',#44756,.T.); #24987=FACE_OUTER_BOUND('',#44757,.T.); #24988=FACE_OUTER_BOUND('',#44758,.T.); #24989=FACE_OUTER_BOUND('',#44759,.T.); #24990=FACE_OUTER_BOUND('',#44760,.T.); #24991=FACE_OUTER_BOUND('',#44761,.T.); #24992=FACE_OUTER_BOUND('',#44762,.T.); #24993=FACE_OUTER_BOUND('',#44763,.T.); #24994=FACE_OUTER_BOUND('',#44764,.T.); #24995=FACE_OUTER_BOUND('',#44765,.T.); #24996=FACE_OUTER_BOUND('',#44766,.T.); #24997=FACE_OUTER_BOUND('',#44768,.T.); #24998=FACE_OUTER_BOUND('',#44770,.T.); #24999=FACE_OUTER_BOUND('',#44771,.T.); #25000=FACE_OUTER_BOUND('',#44772,.T.); #25001=FACE_OUTER_BOUND('',#44773,.T.); #25002=FACE_OUTER_BOUND('',#44774,.T.); #25003=FACE_OUTER_BOUND('',#44775,.T.); #25004=FACE_OUTER_BOUND('',#44776,.T.); #25005=FACE_OUTER_BOUND('',#44777,.T.); #25006=FACE_OUTER_BOUND('',#44778,.T.); #25007=FACE_OUTER_BOUND('',#44779,.T.); #25008=FACE_OUTER_BOUND('',#44780,.T.); #25009=FACE_OUTER_BOUND('',#44781,.T.); #25010=FACE_OUTER_BOUND('',#44782,.T.); #25011=FACE_OUTER_BOUND('',#44783,.T.); #25012=FACE_OUTER_BOUND('',#44784,.T.); #25013=FACE_OUTER_BOUND('',#44785,.T.); #25014=FACE_OUTER_BOUND('',#44787,.T.); #25015=FACE_OUTER_BOUND('',#44789,.T.); #25016=FACE_OUTER_BOUND('',#44790,.T.); #25017=FACE_OUTER_BOUND('',#44791,.T.); #25018=FACE_OUTER_BOUND('',#44792,.T.); #25019=FACE_OUTER_BOUND('',#44793,.T.); #25020=FACE_OUTER_BOUND('',#44794,.T.); #25021=FACE_OUTER_BOUND('',#44795,.T.); #25022=FACE_OUTER_BOUND('',#44796,.T.); #25023=FACE_OUTER_BOUND('',#44797,.T.); #25024=FACE_OUTER_BOUND('',#44798,.T.); #25025=FACE_OUTER_BOUND('',#44799,.T.); #25026=FACE_OUTER_BOUND('',#44802,.T.); #25027=FACE_OUTER_BOUND('',#44805,.T.); #25028=FACE_OUTER_BOUND('',#44806,.T.); #25029=FACE_OUTER_BOUND('',#44807,.T.); #25030=FACE_OUTER_BOUND('',#44808,.T.); #25031=FACE_OUTER_BOUND('',#44809,.T.); #25032=FACE_OUTER_BOUND('',#44810,.T.); #25033=FACE_OUTER_BOUND('',#44811,.T.); #25034=FACE_OUTER_BOUND('',#44812,.T.); #25035=FACE_OUTER_BOUND('',#44813,.T.); #25036=FACE_OUTER_BOUND('',#44814,.T.); #25037=FACE_OUTER_BOUND('',#44815,.T.); #25038=FACE_OUTER_BOUND('',#44816,.T.); #25039=FACE_OUTER_BOUND('',#44817,.T.); #25040=FACE_OUTER_BOUND('',#44818,.T.); #25041=FACE_OUTER_BOUND('',#44819,.T.); #25042=FACE_OUTER_BOUND('',#44820,.T.); #25043=FACE_OUTER_BOUND('',#44821,.T.); #25044=FACE_OUTER_BOUND('',#44822,.T.); #25045=FACE_OUTER_BOUND('',#44824,.T.); #25046=FACE_OUTER_BOUND('',#44826,.T.); #25047=FACE_OUTER_BOUND('',#44827,.T.); #25048=FACE_OUTER_BOUND('',#44828,.T.); #25049=FACE_OUTER_BOUND('',#44829,.T.); #25050=FACE_OUTER_BOUND('',#44830,.T.); #25051=FACE_OUTER_BOUND('',#44831,.T.); #25052=FACE_OUTER_BOUND('',#44832,.T.); #25053=FACE_OUTER_BOUND('',#44833,.T.); #25054=FACE_OUTER_BOUND('',#44834,.T.); #25055=FACE_OUTER_BOUND('',#44835,.T.); #25056=FACE_OUTER_BOUND('',#44836,.T.); #25057=FACE_OUTER_BOUND('',#44837,.T.); #25058=FACE_OUTER_BOUND('',#44838,.T.); #25059=FACE_OUTER_BOUND('',#44839,.T.); #25060=FACE_OUTER_BOUND('',#44840,.T.); #25061=FACE_OUTER_BOUND('',#44841,.T.); #25062=FACE_OUTER_BOUND('',#44842,.T.); #25063=FACE_OUTER_BOUND('',#44843,.T.); #25064=FACE_OUTER_BOUND('',#44844,.T.); #25065=FACE_OUTER_BOUND('',#44845,.T.); #25066=FACE_OUTER_BOUND('',#44846,.T.); #25067=FACE_OUTER_BOUND('',#44847,.T.); #25068=FACE_OUTER_BOUND('',#44848,.T.); #25069=FACE_OUTER_BOUND('',#44849,.T.); #25070=FACE_OUTER_BOUND('',#44851,.T.); #25071=FACE_OUTER_BOUND('',#44853,.T.); #25072=FACE_OUTER_BOUND('',#44854,.T.); #25073=FACE_OUTER_BOUND('',#44855,.T.); #25074=FACE_OUTER_BOUND('',#44856,.T.); #25075=FACE_OUTER_BOUND('',#44857,.T.); #25076=FACE_OUTER_BOUND('',#44858,.T.); #25077=FACE_OUTER_BOUND('',#44859,.T.); #25078=FACE_OUTER_BOUND('',#44860,.T.); #25079=FACE_OUTER_BOUND('',#44861,.T.); #25080=FACE_OUTER_BOUND('',#44862,.T.); #25081=FACE_OUTER_BOUND('',#44863,.T.); #25082=FACE_OUTER_BOUND('',#44864,.T.); #25083=FACE_OUTER_BOUND('',#44865,.T.); #25084=FACE_OUTER_BOUND('',#44866,.T.); #25085=FACE_OUTER_BOUND('',#44867,.T.); #25086=FACE_OUTER_BOUND('',#44868,.T.); #25087=FACE_OUTER_BOUND('',#44869,.T.); #25088=FACE_OUTER_BOUND('',#44870,.T.); #25089=FACE_OUTER_BOUND('',#44871,.T.); #25090=FACE_OUTER_BOUND('',#44872,.T.); #25091=FACE_OUTER_BOUND('',#44873,.T.); #25092=FACE_OUTER_BOUND('',#44874,.T.); #25093=FACE_OUTER_BOUND('',#44875,.T.); #25094=FACE_OUTER_BOUND('',#44876,.T.); #25095=FACE_OUTER_BOUND('',#44877,.T.); #25096=FACE_OUTER_BOUND('',#44878,.T.); #25097=FACE_OUTER_BOUND('',#44879,.T.); #25098=FACE_OUTER_BOUND('',#44880,.T.); #25099=FACE_OUTER_BOUND('',#44881,.T.); #25100=FACE_OUTER_BOUND('',#44882,.T.); #25101=FACE_OUTER_BOUND('',#44883,.T.); #25102=FACE_OUTER_BOUND('',#44884,.T.); #25103=FACE_OUTER_BOUND('',#44885,.T.); #25104=FACE_OUTER_BOUND('',#44886,.T.); #25105=FACE_OUTER_BOUND('',#44887,.T.); #25106=FACE_OUTER_BOUND('',#44888,.T.); #25107=FACE_OUTER_BOUND('',#44889,.T.); #25108=FACE_OUTER_BOUND('',#44890,.T.); #25109=FACE_OUTER_BOUND('',#44891,.T.); #25110=FACE_OUTER_BOUND('',#44892,.T.); #25111=FACE_OUTER_BOUND('',#44893,.T.); #25112=FACE_OUTER_BOUND('',#44894,.T.); #25113=FACE_OUTER_BOUND('',#44895,.T.); #25114=FACE_OUTER_BOUND('',#44896,.T.); #25115=FACE_OUTER_BOUND('',#44897,.T.); #25116=FACE_OUTER_BOUND('',#44898,.T.); #25117=FACE_OUTER_BOUND('',#44899,.T.); #25118=FACE_OUTER_BOUND('',#44900,.T.); #25119=FACE_OUTER_BOUND('',#44901,.T.); #25120=FACE_OUTER_BOUND('',#44902,.T.); #25121=FACE_OUTER_BOUND('',#44903,.T.); #25122=FACE_OUTER_BOUND('',#44904,.T.); #25123=FACE_OUTER_BOUND('',#44905,.T.); #25124=FACE_OUTER_BOUND('',#44906,.T.); #25125=FACE_OUTER_BOUND('',#44908,.T.); #25126=FACE_OUTER_BOUND('',#44910,.T.); #25127=FACE_OUTER_BOUND('',#44911,.T.); #25128=FACE_OUTER_BOUND('',#44912,.T.); #25129=FACE_OUTER_BOUND('',#44913,.T.); #25130=FACE_OUTER_BOUND('',#44914,.T.); #25131=FACE_OUTER_BOUND('',#44915,.T.); #25132=FACE_OUTER_BOUND('',#44916,.T.); #25133=FACE_OUTER_BOUND('',#44917,.T.); #25134=FACE_OUTER_BOUND('',#44918,.T.); #25135=FACE_OUTER_BOUND('',#44919,.T.); #25136=FACE_OUTER_BOUND('',#44920,.T.); #25137=FACE_OUTER_BOUND('',#44921,.T.); #25138=FACE_OUTER_BOUND('',#44922,.T.); #25139=FACE_OUTER_BOUND('',#44924,.T.); #25140=FACE_OUTER_BOUND('',#44926,.T.); #25141=FACE_OUTER_BOUND('',#44927,.T.); #25142=FACE_OUTER_BOUND('',#44928,.T.); #25143=FACE_OUTER_BOUND('',#44929,.T.); #25144=FACE_OUTER_BOUND('',#44930,.T.); #25145=FACE_OUTER_BOUND('',#44931,.T.); #25146=FACE_OUTER_BOUND('',#44932,.T.); #25147=FACE_OUTER_BOUND('',#44933,.T.); #25148=FACE_OUTER_BOUND('',#44934,.T.); #25149=FACE_OUTER_BOUND('',#44935,.T.); #25150=FACE_OUTER_BOUND('',#44936,.T.); #25151=FACE_OUTER_BOUND('',#44937,.T.); #25152=FACE_OUTER_BOUND('',#44938,.T.); #25153=FACE_OUTER_BOUND('',#44939,.T.); #25154=FACE_OUTER_BOUND('',#44940,.T.); #25155=FACE_OUTER_BOUND('',#44941,.T.); #25156=FACE_OUTER_BOUND('',#44942,.T.); #25157=FACE_OUTER_BOUND('',#44943,.T.); #25158=FACE_OUTER_BOUND('',#44944,.T.); #25159=FACE_OUTER_BOUND('',#44945,.T.); #25160=FACE_OUTER_BOUND('',#44946,.T.); #25161=FACE_OUTER_BOUND('',#44947,.T.); #25162=FACE_OUTER_BOUND('',#44948,.T.); #25163=FACE_OUTER_BOUND('',#44949,.T.); #25164=FACE_OUTER_BOUND('',#44950,.T.); #25165=FACE_OUTER_BOUND('',#44951,.T.); #25166=FACE_OUTER_BOUND('',#44952,.T.); #25167=FACE_OUTER_BOUND('',#44953,.T.); #25168=FACE_OUTER_BOUND('',#44954,.T.); #25169=FACE_OUTER_BOUND('',#44955,.T.); #25170=FACE_OUTER_BOUND('',#44956,.T.); #25171=FACE_OUTER_BOUND('',#44957,.T.); #25172=FACE_OUTER_BOUND('',#44958,.T.); #25173=FACE_OUTER_BOUND('',#44959,.T.); #25174=FACE_OUTER_BOUND('',#44960,.T.); #25175=FACE_OUTER_BOUND('',#44961,.T.); #25176=FACE_OUTER_BOUND('',#44962,.T.); #25177=FACE_OUTER_BOUND('',#44963,.T.); #25178=FACE_OUTER_BOUND('',#44964,.T.); #25179=FACE_OUTER_BOUND('',#44965,.T.); #25180=FACE_OUTER_BOUND('',#44966,.T.); #25181=FACE_OUTER_BOUND('',#44967,.T.); #25182=FACE_OUTER_BOUND('',#44968,.T.); #25183=FACE_OUTER_BOUND('',#44969,.T.); #25184=FACE_OUTER_BOUND('',#44970,.T.); #25185=FACE_OUTER_BOUND('',#44971,.T.); #25186=FACE_OUTER_BOUND('',#44972,.T.); #25187=FACE_OUTER_BOUND('',#44973,.T.); #25188=FACE_OUTER_BOUND('',#44974,.T.); #25189=FACE_OUTER_BOUND('',#44975,.T.); #25190=FACE_OUTER_BOUND('',#44976,.T.); #25191=FACE_OUTER_BOUND('',#44977,.T.); #25192=FACE_OUTER_BOUND('',#44978,.T.); #25193=FACE_OUTER_BOUND('',#44979,.T.); #25194=FACE_OUTER_BOUND('',#44980,.T.); #25195=FACE_OUTER_BOUND('',#44981,.T.); #25196=FACE_OUTER_BOUND('',#44982,.T.); #25197=FACE_OUTER_BOUND('',#44983,.T.); #25198=FACE_OUTER_BOUND('',#44984,.T.); #25199=FACE_OUTER_BOUND('',#44985,.T.); #25200=FACE_OUTER_BOUND('',#44986,.T.); #25201=FACE_OUTER_BOUND('',#44987,.T.); #25202=FACE_OUTER_BOUND('',#44988,.T.); #25203=FACE_OUTER_BOUND('',#44989,.T.); #25204=FACE_OUTER_BOUND('',#44990,.T.); #25205=FACE_OUTER_BOUND('',#44991,.T.); #25206=FACE_OUTER_BOUND('',#44992,.T.); #25207=FACE_OUTER_BOUND('',#44993,.T.); #25208=FACE_OUTER_BOUND('',#44994,.T.); #25209=FACE_OUTER_BOUND('',#44995,.T.); #25210=FACE_OUTER_BOUND('',#44996,.T.); #25211=FACE_OUTER_BOUND('',#44997,.T.); #25212=FACE_OUTER_BOUND('',#44998,.T.); #25213=FACE_OUTER_BOUND('',#44999,.T.); #25214=FACE_OUTER_BOUND('',#45000,.T.); #25215=FACE_OUTER_BOUND('',#45001,.T.); #25216=FACE_OUTER_BOUND('',#45002,.T.); #25217=FACE_OUTER_BOUND('',#45003,.T.); #25218=FACE_OUTER_BOUND('',#45004,.T.); #25219=FACE_OUTER_BOUND('',#45005,.T.); #25220=FACE_OUTER_BOUND('',#45006,.T.); #25221=FACE_OUTER_BOUND('',#45007,.T.); #25222=FACE_OUTER_BOUND('',#45008,.T.); #25223=FACE_OUTER_BOUND('',#45009,.T.); #25224=FACE_OUTER_BOUND('',#45010,.T.); #25225=FACE_OUTER_BOUND('',#45011,.T.); #25226=FACE_OUTER_BOUND('',#45012,.T.); #25227=FACE_OUTER_BOUND('',#45013,.T.); #25228=FACE_OUTER_BOUND('',#45014,.T.); #25229=FACE_OUTER_BOUND('',#45015,.T.); #25230=FACE_OUTER_BOUND('',#45016,.T.); #25231=FACE_OUTER_BOUND('',#45017,.T.); #25232=FACE_OUTER_BOUND('',#45018,.T.); #25233=FACE_OUTER_BOUND('',#45019,.T.); #25234=FACE_OUTER_BOUND('',#45020,.T.); #25235=FACE_OUTER_BOUND('',#45021,.T.); #25236=FACE_OUTER_BOUND('',#45022,.T.); #25237=FACE_OUTER_BOUND('',#45023,.T.); #25238=FACE_OUTER_BOUND('',#45024,.T.); #25239=FACE_OUTER_BOUND('',#45025,.T.); #25240=FACE_OUTER_BOUND('',#45026,.T.); #25241=FACE_OUTER_BOUND('',#45027,.T.); #25242=FACE_OUTER_BOUND('',#45028,.T.); #25243=FACE_OUTER_BOUND('',#45029,.T.); #25244=FACE_OUTER_BOUND('',#45030,.T.); #25245=FACE_OUTER_BOUND('',#45031,.T.); #25246=FACE_OUTER_BOUND('',#45032,.T.); #25247=FACE_OUTER_BOUND('',#45033,.T.); #25248=FACE_OUTER_BOUND('',#45034,.T.); #25249=FACE_OUTER_BOUND('',#45035,.T.); #25250=FACE_OUTER_BOUND('',#45036,.T.); #25251=FACE_OUTER_BOUND('',#45037,.T.); #25252=FACE_OUTER_BOUND('',#45038,.T.); #25253=FACE_OUTER_BOUND('',#45039,.T.); #25254=FACE_OUTER_BOUND('',#45040,.T.); #25255=FACE_OUTER_BOUND('',#45041,.T.); #25256=FACE_OUTER_BOUND('',#45042,.T.); #25257=FACE_OUTER_BOUND('',#45043,.T.); #25258=FACE_OUTER_BOUND('',#45044,.T.); #25259=FACE_OUTER_BOUND('',#45045,.T.); #25260=FACE_OUTER_BOUND('',#45046,.T.); #25261=FACE_OUTER_BOUND('',#45047,.T.); #25262=FACE_OUTER_BOUND('',#45048,.T.); #25263=FACE_OUTER_BOUND('',#45049,.T.); #25264=FACE_OUTER_BOUND('',#45050,.T.); #25265=FACE_OUTER_BOUND('',#45052,.T.); #25266=FACE_OUTER_BOUND('',#45054,.T.); #25267=FACE_OUTER_BOUND('',#45055,.T.); #25268=FACE_OUTER_BOUND('',#45056,.T.); #25269=FACE_OUTER_BOUND('',#45057,.T.); #25270=FACE_OUTER_BOUND('',#45058,.T.); #25271=FACE_OUTER_BOUND('',#45059,.T.); #25272=FACE_OUTER_BOUND('',#45060,.T.); #25273=FACE_OUTER_BOUND('',#45061,.T.); #25274=FACE_OUTER_BOUND('',#45062,.T.); #25275=FACE_OUTER_BOUND('',#45063,.T.); #25276=FACE_OUTER_BOUND('',#45064,.T.); #25277=FACE_OUTER_BOUND('',#45065,.T.); #25278=FACE_OUTER_BOUND('',#45066,.T.); #25279=FACE_OUTER_BOUND('',#45067,.T.); #25280=FACE_OUTER_BOUND('',#45068,.T.); #25281=FACE_OUTER_BOUND('',#45069,.T.); #25282=FACE_OUTER_BOUND('',#45070,.T.); #25283=FACE_OUTER_BOUND('',#45071,.T.); #25284=FACE_OUTER_BOUND('',#45073,.T.); #25285=FACE_OUTER_BOUND('',#45075,.T.); #25286=FACE_OUTER_BOUND('',#45076,.T.); #25287=FACE_OUTER_BOUND('',#45077,.T.); #25288=FACE_OUTER_BOUND('',#45078,.T.); #25289=FACE_OUTER_BOUND('',#45079,.T.); #25290=FACE_OUTER_BOUND('',#45080,.T.); #25291=FACE_OUTER_BOUND('',#45081,.T.); #25292=FACE_OUTER_BOUND('',#45082,.T.); #25293=FACE_OUTER_BOUND('',#45083,.T.); #25294=FACE_OUTER_BOUND('',#45084,.T.); #25295=FACE_OUTER_BOUND('',#45085,.T.); #25296=FACE_OUTER_BOUND('',#45086,.T.); #25297=FACE_OUTER_BOUND('',#45087,.T.); #25298=FACE_OUTER_BOUND('',#45088,.T.); #25299=FACE_OUTER_BOUND('',#45089,.T.); #25300=FACE_OUTER_BOUND('',#45091,.T.); #25301=FACE_OUTER_BOUND('',#45093,.T.); #25302=FACE_OUTER_BOUND('',#45094,.T.); #25303=FACE_OUTER_BOUND('',#45095,.T.); #25304=FACE_OUTER_BOUND('',#45096,.T.); #25305=FACE_OUTER_BOUND('',#45097,.T.); #25306=FACE_OUTER_BOUND('',#45098,.T.); #25307=FACE_OUTER_BOUND('',#45099,.T.); #25308=FACE_OUTER_BOUND('',#45100,.T.); #25309=FACE_OUTER_BOUND('',#45101,.T.); #25310=FACE_OUTER_BOUND('',#45102,.T.); #25311=FACE_OUTER_BOUND('',#45103,.T.); #25312=FACE_OUTER_BOUND('',#45104,.T.); #25313=FACE_OUTER_BOUND('',#45105,.T.); #25314=FACE_OUTER_BOUND('',#45106,.T.); #25315=FACE_OUTER_BOUND('',#45107,.T.); #25316=FACE_OUTER_BOUND('',#45108,.T.); #25317=FACE_OUTER_BOUND('',#45109,.T.); #25318=FACE_OUTER_BOUND('',#45110,.T.); #25319=FACE_OUTER_BOUND('',#45111,.T.); #25320=FACE_OUTER_BOUND('',#45112,.T.); #25321=FACE_OUTER_BOUND('',#45114,.T.); #25322=FACE_OUTER_BOUND('',#45116,.T.); #25323=FACE_OUTER_BOUND('',#45117,.T.); #25324=FACE_OUTER_BOUND('',#45118,.T.); #25325=FACE_OUTER_BOUND('',#45119,.T.); #25326=FACE_OUTER_BOUND('',#45120,.T.); #25327=FACE_OUTER_BOUND('',#45121,.T.); #25328=FACE_OUTER_BOUND('',#45122,.T.); #25329=FACE_OUTER_BOUND('',#45123,.T.); #25330=FACE_OUTER_BOUND('',#45124,.T.); #25331=FACE_OUTER_BOUND('',#45125,.T.); #25332=FACE_OUTER_BOUND('',#45126,.T.); #25333=FACE_OUTER_BOUND('',#45127,.T.); #25334=FACE_OUTER_BOUND('',#45128,.T.); #25335=FACE_OUTER_BOUND('',#45129,.T.); #25336=FACE_OUTER_BOUND('',#45130,.T.); #25337=FACE_OUTER_BOUND('',#45132,.T.); #25338=FACE_OUTER_BOUND('',#45134,.T.); #25339=FACE_OUTER_BOUND('',#45135,.T.); #25340=FACE_OUTER_BOUND('',#45136,.T.); #25341=FACE_OUTER_BOUND('',#45137,.T.); #25342=FACE_OUTER_BOUND('',#45138,.T.); #25343=FACE_OUTER_BOUND('',#45139,.T.); #25344=FACE_OUTER_BOUND('',#45140,.T.); #25345=FACE_OUTER_BOUND('',#45141,.T.); #25346=FACE_OUTER_BOUND('',#45142,.T.); #25347=FACE_OUTER_BOUND('',#45143,.T.); #25348=FACE_OUTER_BOUND('',#45144,.T.); #25349=FACE_OUTER_BOUND('',#45145,.T.); #25350=FACE_OUTER_BOUND('',#45146,.T.); #25351=FACE_OUTER_BOUND('',#45147,.T.); #25352=FACE_OUTER_BOUND('',#45148,.T.); #25353=FACE_OUTER_BOUND('',#45149,.T.); #25354=FACE_OUTER_BOUND('',#45150,.T.); #25355=FACE_OUTER_BOUND('',#45151,.T.); #25356=FACE_OUTER_BOUND('',#45152,.T.); #25357=FACE_OUTER_BOUND('',#45153,.T.); #25358=FACE_OUTER_BOUND('',#45155,.T.); #25359=FACE_OUTER_BOUND('',#45157,.T.); #25360=FACE_OUTER_BOUND('',#45158,.T.); #25361=FACE_OUTER_BOUND('',#45159,.T.); #25362=FACE_OUTER_BOUND('',#45160,.T.); #25363=FACE_OUTER_BOUND('',#45161,.T.); #25364=FACE_OUTER_BOUND('',#45162,.T.); #25365=FACE_OUTER_BOUND('',#45163,.T.); #25366=FACE_OUTER_BOUND('',#45164,.T.); #25367=FACE_OUTER_BOUND('',#45165,.T.); #25368=FACE_OUTER_BOUND('',#45166,.T.); #25369=FACE_OUTER_BOUND('',#45167,.T.); #25370=FACE_OUTER_BOUND('',#45168,.T.); #25371=FACE_OUTER_BOUND('',#45169,.T.); #25372=FACE_OUTER_BOUND('',#45171,.T.); #25373=FACE_OUTER_BOUND('',#45173,.T.); #25374=FACE_OUTER_BOUND('',#45174,.T.); #25375=FACE_OUTER_BOUND('',#45175,.T.); #25376=FACE_OUTER_BOUND('',#45176,.T.); #25377=FACE_OUTER_BOUND('',#45177,.T.); #25378=FACE_OUTER_BOUND('',#45178,.T.); #25379=FACE_OUTER_BOUND('',#45179,.T.); #25380=FACE_OUTER_BOUND('',#45180,.T.); #25381=FACE_OUTER_BOUND('',#45181,.T.); #25382=FACE_OUTER_BOUND('',#45182,.T.); #25383=FACE_OUTER_BOUND('',#45183,.T.); #25384=FACE_OUTER_BOUND('',#45184,.T.); #25385=FACE_OUTER_BOUND('',#45185,.T.); #25386=FACE_OUTER_BOUND('',#45187,.T.); #25387=FACE_OUTER_BOUND('',#45189,.T.); #25388=FACE_OUTER_BOUND('',#45190,.T.); #25389=FACE_OUTER_BOUND('',#45191,.T.); #25390=FACE_OUTER_BOUND('',#45192,.T.); #25391=FACE_OUTER_BOUND('',#45193,.T.); #25392=FACE_OUTER_BOUND('',#45194,.T.); #25393=FACE_OUTER_BOUND('',#45195,.T.); #25394=FACE_OUTER_BOUND('',#45196,.T.); #25395=FACE_OUTER_BOUND('',#45197,.T.); #25396=FACE_OUTER_BOUND('',#45198,.T.); #25397=FACE_OUTER_BOUND('',#45199,.T.); #25398=FACE_OUTER_BOUND('',#45200,.T.); #25399=FACE_OUTER_BOUND('',#45201,.T.); #25400=FACE_OUTER_BOUND('',#45202,.T.); #25401=FACE_OUTER_BOUND('',#45203,.T.); #25402=FACE_OUTER_BOUND('',#45204,.T.); #25403=FACE_OUTER_BOUND('',#45205,.T.); #25404=FACE_OUTER_BOUND('',#45206,.T.); #25405=FACE_OUTER_BOUND('',#45207,.T.); #25406=FACE_OUTER_BOUND('',#45208,.T.); #25407=FACE_OUTER_BOUND('',#45209,.T.); #25408=FACE_OUTER_BOUND('',#45210,.T.); #25409=FACE_OUTER_BOUND('',#45211,.T.); #25410=FACE_OUTER_BOUND('',#45212,.T.); #25411=FACE_OUTER_BOUND('',#45213,.T.); #25412=FACE_OUTER_BOUND('',#45215,.T.); #25413=FACE_OUTER_BOUND('',#45217,.T.); #25414=FACE_OUTER_BOUND('',#45218,.T.); #25415=FACE_OUTER_BOUND('',#45219,.T.); #25416=FACE_OUTER_BOUND('',#45220,.T.); #25417=FACE_OUTER_BOUND('',#45221,.T.); #25418=FACE_OUTER_BOUND('',#45222,.T.); #25419=FACE_OUTER_BOUND('',#45223,.T.); #25420=FACE_OUTER_BOUND('',#45224,.T.); #25421=FACE_OUTER_BOUND('',#45225,.T.); #25422=FACE_OUTER_BOUND('',#45226,.T.); #25423=FACE_OUTER_BOUND('',#45227,.T.); #25424=FACE_OUTER_BOUND('',#45228,.T.); #25425=FACE_OUTER_BOUND('',#45229,.T.); #25426=FACE_OUTER_BOUND('',#45230,.T.); #25427=FACE_OUTER_BOUND('',#45231,.T.); #25428=FACE_OUTER_BOUND('',#45232,.T.); #25429=FACE_OUTER_BOUND('',#45233,.T.); #25430=FACE_OUTER_BOUND('',#45234,.T.); #25431=FACE_OUTER_BOUND('',#45235,.T.); #25432=FACE_OUTER_BOUND('',#45237,.T.); #25433=FACE_OUTER_BOUND('',#45239,.T.); #25434=FACE_OUTER_BOUND('',#45240,.T.); #25435=FACE_OUTER_BOUND('',#45241,.T.); #25436=FACE_OUTER_BOUND('',#45242,.T.); #25437=FACE_OUTER_BOUND('',#45243,.T.); #25438=FACE_OUTER_BOUND('',#45244,.T.); #25439=FACE_OUTER_BOUND('',#45245,.T.); #25440=FACE_OUTER_BOUND('',#45246,.T.); #25441=FACE_OUTER_BOUND('',#45247,.T.); #25442=FACE_OUTER_BOUND('',#45248,.T.); #25443=FACE_OUTER_BOUND('',#45249,.T.); #25444=FACE_OUTER_BOUND('',#45250,.T.); #25445=FACE_OUTER_BOUND('',#45251,.T.); #25446=FACE_OUTER_BOUND('',#45252,.T.); #25447=FACE_OUTER_BOUND('',#45253,.T.); #25448=FACE_OUTER_BOUND('',#45254,.T.); #25449=FACE_OUTER_BOUND('',#45255,.T.); #25450=FACE_OUTER_BOUND('',#45256,.T.); #25451=FACE_OUTER_BOUND('',#45257,.T.); #25452=FACE_OUTER_BOUND('',#45258,.T.); #25453=FACE_OUTER_BOUND('',#45259,.T.); #25454=FACE_OUTER_BOUND('',#45260,.T.); #25455=FACE_OUTER_BOUND('',#45261,.T.); #25456=FACE_OUTER_BOUND('',#45262,.T.); #25457=FACE_OUTER_BOUND('',#45263,.T.); #25458=FACE_OUTER_BOUND('',#45265,.T.); #25459=FACE_OUTER_BOUND('',#45267,.T.); #25460=FACE_OUTER_BOUND('',#45268,.T.); #25461=FACE_OUTER_BOUND('',#45269,.T.); #25462=FACE_OUTER_BOUND('',#45270,.T.); #25463=FACE_OUTER_BOUND('',#45271,.T.); #25464=FACE_OUTER_BOUND('',#45272,.T.); #25465=FACE_OUTER_BOUND('',#45273,.T.); #25466=FACE_OUTER_BOUND('',#45274,.T.); #25467=FACE_OUTER_BOUND('',#45275,.T.); #25468=FACE_OUTER_BOUND('',#45276,.T.); #25469=FACE_OUTER_BOUND('',#45277,.T.); #25470=FACE_OUTER_BOUND('',#45278,.T.); #25471=FACE_OUTER_BOUND('',#45279,.T.); #25472=FACE_OUTER_BOUND('',#45280,.T.); #25473=FACE_OUTER_BOUND('',#45281,.T.); #25474=FACE_OUTER_BOUND('',#45282,.T.); #25475=FACE_OUTER_BOUND('',#45283,.T.); #25476=FACE_OUTER_BOUND('',#45284,.T.); #25477=FACE_OUTER_BOUND('',#45285,.T.); #25478=FACE_OUTER_BOUND('',#45286,.T.); #25479=FACE_OUTER_BOUND('',#45287,.T.); #25480=FACE_OUTER_BOUND('',#45288,.T.); #25481=FACE_OUTER_BOUND('',#45289,.T.); #25482=FACE_OUTER_BOUND('',#45290,.T.); #25483=FACE_OUTER_BOUND('',#45291,.T.); #25484=FACE_OUTER_BOUND('',#45292,.T.); #25485=FACE_OUTER_BOUND('',#45293,.T.); #25486=FACE_OUTER_BOUND('',#45294,.T.); #25487=FACE_OUTER_BOUND('',#45295,.T.); #25488=FACE_OUTER_BOUND('',#45296,.T.); #25489=FACE_OUTER_BOUND('',#45297,.T.); #25490=FACE_OUTER_BOUND('',#45298,.T.); #25491=FACE_OUTER_BOUND('',#45300,.T.); #25492=FACE_OUTER_BOUND('',#45302,.T.); #25493=FACE_OUTER_BOUND('',#45303,.T.); #25494=FACE_OUTER_BOUND('',#45304,.T.); #25495=FACE_OUTER_BOUND('',#45305,.T.); #25496=FACE_OUTER_BOUND('',#45306,.T.); #25497=FACE_OUTER_BOUND('',#45307,.T.); #25498=FACE_OUTER_BOUND('',#45308,.T.); #25499=FACE_OUTER_BOUND('',#45309,.T.); #25500=FACE_OUTER_BOUND('',#45310,.T.); #25501=FACE_OUTER_BOUND('',#45311,.T.); #25502=FACE_OUTER_BOUND('',#45312,.T.); #25503=FACE_OUTER_BOUND('',#45313,.T.); #25504=FACE_OUTER_BOUND('',#45314,.T.); #25505=FACE_OUTER_BOUND('',#45315,.T.); #25506=FACE_OUTER_BOUND('',#45316,.T.); #25507=FACE_OUTER_BOUND('',#45317,.T.); #25508=FACE_OUTER_BOUND('',#45318,.T.); #25509=FACE_OUTER_BOUND('',#45319,.T.); #25510=FACE_OUTER_BOUND('',#45320,.T.); #25511=FACE_OUTER_BOUND('',#45321,.T.); #25512=FACE_OUTER_BOUND('',#45322,.T.); #25513=FACE_OUTER_BOUND('',#45323,.T.); #25514=FACE_OUTER_BOUND('',#45324,.T.); #25515=FACE_OUTER_BOUND('',#45325,.T.); #25516=FACE_OUTER_BOUND('',#45326,.T.); #25517=FACE_OUTER_BOUND('',#45327,.T.); #25518=FACE_OUTER_BOUND('',#45329,.T.); #25519=FACE_OUTER_BOUND('',#45331,.T.); #25520=FACE_OUTER_BOUND('',#45332,.T.); #25521=FACE_OUTER_BOUND('',#45333,.T.); #25522=FACE_OUTER_BOUND('',#45334,.T.); #25523=FACE_OUTER_BOUND('',#45335,.T.); #25524=FACE_OUTER_BOUND('',#45336,.T.); #25525=FACE_OUTER_BOUND('',#45337,.T.); #25526=FACE_OUTER_BOUND('',#45338,.T.); #25527=FACE_OUTER_BOUND('',#45339,.T.); #25528=FACE_OUTER_BOUND('',#45340,.T.); #25529=FACE_OUTER_BOUND('',#45341,.T.); #25530=FACE_OUTER_BOUND('',#45342,.T.); #25531=FACE_OUTER_BOUND('',#45343,.T.); #25532=FACE_OUTER_BOUND('',#45344,.T.); #25533=FACE_OUTER_BOUND('',#45345,.T.); #25534=FACE_OUTER_BOUND('',#45346,.T.); #25535=FACE_OUTER_BOUND('',#45347,.T.); #25536=FACE_OUTER_BOUND('',#45349,.T.); #25537=FACE_OUTER_BOUND('',#45351,.T.); #25538=FACE_OUTER_BOUND('',#45352,.T.); #25539=FACE_OUTER_BOUND('',#45353,.T.); #25540=FACE_OUTER_BOUND('',#45354,.T.); #25541=FACE_OUTER_BOUND('',#45355,.T.); #25542=FACE_OUTER_BOUND('',#45356,.T.); #25543=FACE_OUTER_BOUND('',#45357,.T.); #25544=FACE_OUTER_BOUND('',#45358,.T.); #25545=FACE_OUTER_BOUND('',#45359,.T.); #25546=FACE_OUTER_BOUND('',#45360,.T.); #25547=FACE_OUTER_BOUND('',#45361,.T.); #25548=FACE_OUTER_BOUND('',#45362,.T.); #25549=FACE_OUTER_BOUND('',#45363,.T.); #25550=FACE_OUTER_BOUND('',#45364,.T.); #25551=FACE_OUTER_BOUND('',#45365,.T.); #25552=FACE_OUTER_BOUND('',#45366,.T.); #25553=FACE_OUTER_BOUND('',#45367,.T.); #25554=FACE_OUTER_BOUND('',#45368,.T.); #25555=FACE_OUTER_BOUND('',#45369,.T.); #25556=FACE_OUTER_BOUND('',#45370,.T.); #25557=FACE_OUTER_BOUND('',#45371,.T.); #25558=FACE_OUTER_BOUND('',#45372,.T.); #25559=FACE_OUTER_BOUND('',#45373,.T.); #25560=FACE_OUTER_BOUND('',#45374,.T.); #25561=FACE_OUTER_BOUND('',#45375,.T.); #25562=FACE_OUTER_BOUND('',#45376,.T.); #25563=FACE_OUTER_BOUND('',#45377,.T.); #25564=FACE_OUTER_BOUND('',#45378,.T.); #25565=FACE_OUTER_BOUND('',#45379,.T.); #25566=FACE_OUTER_BOUND('',#45380,.T.); #25567=FACE_OUTER_BOUND('',#45381,.T.); #25568=FACE_OUTER_BOUND('',#45382,.T.); #25569=FACE_OUTER_BOUND('',#45383,.T.); #25570=FACE_OUTER_BOUND('',#45384,.T.); #25571=FACE_OUTER_BOUND('',#45385,.T.); #25572=FACE_OUTER_BOUND('',#45386,.T.); #25573=FACE_OUTER_BOUND('',#45387,.T.); #25574=FACE_OUTER_BOUND('',#45388,.T.); #25575=FACE_OUTER_BOUND('',#45389,.T.); #25576=FACE_OUTER_BOUND('',#45390,.T.); #25577=FACE_OUTER_BOUND('',#45391,.T.); #25578=FACE_OUTER_BOUND('',#45392,.T.); #25579=FACE_OUTER_BOUND('',#45393,.T.); #25580=FACE_OUTER_BOUND('',#45394,.T.); #25581=FACE_OUTER_BOUND('',#45395,.T.); #25582=FACE_OUTER_BOUND('',#45397,.T.); #25583=FACE_OUTER_BOUND('',#45399,.T.); #25584=FACE_OUTER_BOUND('',#45400,.T.); #25585=FACE_OUTER_BOUND('',#45401,.T.); #25586=FACE_OUTER_BOUND('',#45402,.T.); #25587=FACE_OUTER_BOUND('',#45403,.T.); #25588=FACE_OUTER_BOUND('',#45404,.T.); #25589=FACE_OUTER_BOUND('',#45405,.T.); #25590=FACE_OUTER_BOUND('',#45406,.T.); #25591=FACE_OUTER_BOUND('',#45407,.T.); #25592=FACE_OUTER_BOUND('',#45408,.T.); #25593=FACE_OUTER_BOUND('',#45409,.T.); #25594=FACE_OUTER_BOUND('',#45410,.T.); #25595=FACE_OUTER_BOUND('',#45411,.T.); #25596=FACE_OUTER_BOUND('',#45412,.T.); #25597=FACE_OUTER_BOUND('',#45413,.T.); #25598=FACE_OUTER_BOUND('',#45414,.T.); #25599=FACE_OUTER_BOUND('',#45415,.T.); #25600=FACE_OUTER_BOUND('',#45416,.T.); #25601=FACE_OUTER_BOUND('',#45417,.T.); #25602=FACE_OUTER_BOUND('',#45418,.T.); #25603=FACE_OUTER_BOUND('',#45419,.T.); #25604=FACE_OUTER_BOUND('',#45420,.T.); #25605=FACE_OUTER_BOUND('',#45421,.T.); #25606=FACE_OUTER_BOUND('',#45423,.T.); #25607=FACE_OUTER_BOUND('',#45425,.T.); #25608=FACE_OUTER_BOUND('',#45426,.T.); #25609=FACE_OUTER_BOUND('',#45427,.T.); #25610=FACE_OUTER_BOUND('',#45428,.T.); #25611=FACE_OUTER_BOUND('',#45429,.T.); #25612=FACE_OUTER_BOUND('',#45430,.T.); #25613=FACE_OUTER_BOUND('',#45432,.T.); #25614=FACE_OUTER_BOUND('',#45434,.T.); #25615=FACE_OUTER_BOUND('',#45435,.T.); #25616=FACE_OUTER_BOUND('',#45436,.T.); #25617=FACE_OUTER_BOUND('',#45437,.T.); #25618=FACE_OUTER_BOUND('',#45438,.T.); #25619=FACE_OUTER_BOUND('',#45439,.T.); #25620=FACE_OUTER_BOUND('',#45441,.T.); #25621=FACE_OUTER_BOUND('',#45443,.T.); #25622=FACE_OUTER_BOUND('',#45444,.T.); #25623=FACE_OUTER_BOUND('',#45445,.T.); #25624=FACE_OUTER_BOUND('',#45446,.T.); #25625=FACE_OUTER_BOUND('',#45447,.T.); #25626=FACE_OUTER_BOUND('',#45448,.T.); #25627=FACE_OUTER_BOUND('',#45449,.T.); #25628=FACE_OUTER_BOUND('',#45450,.T.); #25629=FACE_OUTER_BOUND('',#45451,.T.); #25630=FACE_OUTER_BOUND('',#45452,.T.); #25631=FACE_OUTER_BOUND('',#45453,.T.); #25632=FACE_OUTER_BOUND('',#45454,.T.); #25633=FACE_OUTER_BOUND('',#45455,.T.); #25634=FACE_OUTER_BOUND('',#45456,.T.); #25635=FACE_OUTER_BOUND('',#45457,.T.); #25636=FACE_OUTER_BOUND('',#45458,.T.); #25637=FACE_OUTER_BOUND('',#45460,.T.); #25638=FACE_OUTER_BOUND('',#45462,.T.); #25639=FACE_OUTER_BOUND('',#45463,.T.); #25640=FACE_OUTER_BOUND('',#45464,.T.); #25641=FACE_OUTER_BOUND('',#45465,.T.); #25642=FACE_OUTER_BOUND('',#45466,.T.); #25643=FACE_OUTER_BOUND('',#45468,.T.); #25644=FACE_OUTER_BOUND('',#45470,.T.); #25645=FACE_OUTER_BOUND('',#45471,.T.); #25646=FACE_OUTER_BOUND('',#45472,.T.); #25647=FACE_OUTER_BOUND('',#45473,.T.); #25648=FACE_OUTER_BOUND('',#45474,.T.); #25649=FACE_OUTER_BOUND('',#45475,.T.); #25650=FACE_OUTER_BOUND('',#45476,.T.); #25651=FACE_OUTER_BOUND('',#45477,.T.); #25652=FACE_OUTER_BOUND('',#45478,.T.); #25653=FACE_OUTER_BOUND('',#45479,.T.); #25654=FACE_OUTER_BOUND('',#45482,.T.); #25655=FACE_OUTER_BOUND('',#45485,.T.); #25656=FACE_OUTER_BOUND('',#45486,.T.); #25657=FACE_OUTER_BOUND('',#45487,.T.); #25658=FACE_OUTER_BOUND('',#45488,.T.); #25659=FACE_OUTER_BOUND('',#45489,.T.); #25660=FACE_OUTER_BOUND('',#45491,.T.); #25661=FACE_OUTER_BOUND('',#45493,.T.); #25662=FACE_OUTER_BOUND('',#45494,.T.); #25663=FACE_OUTER_BOUND('',#45495,.T.); #25664=FACE_OUTER_BOUND('',#45496,.T.); #25665=FACE_OUTER_BOUND('',#45497,.T.); #25666=FACE_OUTER_BOUND('',#45498,.T.); #25667=FACE_OUTER_BOUND('',#45500,.T.); #25668=FACE_OUTER_BOUND('',#45502,.T.); #25669=FACE_OUTER_BOUND('',#45503,.T.); #25670=FACE_OUTER_BOUND('',#45504,.T.); #25671=FACE_OUTER_BOUND('',#45505,.T.); #25672=FACE_OUTER_BOUND('',#45506,.T.); #25673=FACE_OUTER_BOUND('',#45507,.T.); #25674=FACE_OUTER_BOUND('',#45508,.T.); #25675=FACE_OUTER_BOUND('',#45509,.T.); #25676=FACE_OUTER_BOUND('',#45510,.T.); #25677=FACE_OUTER_BOUND('',#45511,.T.); #25678=FACE_OUTER_BOUND('',#45512,.T.); #25679=FACE_OUTER_BOUND('',#45513,.T.); #25680=FACE_OUTER_BOUND('',#45514,.T.); #25681=FACE_OUTER_BOUND('',#45515,.T.); #25682=FACE_OUTER_BOUND('',#45516,.T.); #25683=FACE_OUTER_BOUND('',#45517,.T.); #25684=FACE_OUTER_BOUND('',#45518,.T.); #25685=FACE_OUTER_BOUND('',#45519,.T.); #25686=FACE_OUTER_BOUND('',#45520,.T.); #25687=FACE_OUTER_BOUND('',#45521,.T.); #25688=FACE_OUTER_BOUND('',#45522,.T.); #25689=FACE_OUTER_BOUND('',#45523,.T.); #25690=FACE_OUTER_BOUND('',#45524,.T.); #25691=FACE_OUTER_BOUND('',#45525,.T.); #25692=FACE_OUTER_BOUND('',#45526,.T.); #25693=FACE_OUTER_BOUND('',#45527,.T.); #25694=FACE_OUTER_BOUND('',#45528,.T.); #25695=FACE_OUTER_BOUND('',#45529,.T.); #25696=FACE_OUTER_BOUND('',#45530,.T.); #25697=FACE_OUTER_BOUND('',#45531,.T.); #25698=FACE_OUTER_BOUND('',#45532,.T.); #25699=FACE_OUTER_BOUND('',#45533,.T.); #25700=FACE_OUTER_BOUND('',#45534,.T.); #25701=FACE_OUTER_BOUND('',#45535,.T.); #25702=FACE_OUTER_BOUND('',#45536,.T.); #25703=FACE_OUTER_BOUND('',#45537,.T.); #25704=FACE_OUTER_BOUND('',#45538,.T.); #25705=FACE_OUTER_BOUND('',#45539,.T.); #25706=FACE_OUTER_BOUND('',#45540,.T.); #25707=FACE_OUTER_BOUND('',#45541,.T.); #25708=FACE_OUTER_BOUND('',#45542,.T.); #25709=FACE_OUTER_BOUND('',#45543,.T.); #25710=FACE_OUTER_BOUND('',#45544,.T.); #25711=FACE_OUTER_BOUND('',#45545,.T.); #25712=FACE_OUTER_BOUND('',#45546,.T.); #25713=FACE_OUTER_BOUND('',#45548,.T.); #25714=FACE_OUTER_BOUND('',#45550,.T.); #25715=FACE_OUTER_BOUND('',#45551,.T.); #25716=FACE_OUTER_BOUND('',#45552,.T.); #25717=FACE_OUTER_BOUND('',#45553,.T.); #25718=FACE_OUTER_BOUND('',#45554,.T.); #25719=FACE_OUTER_BOUND('',#45555,.T.); #25720=FACE_OUTER_BOUND('',#45556,.T.); #25721=FACE_OUTER_BOUND('',#45557,.T.); #25722=FACE_OUTER_BOUND('',#45558,.T.); #25723=FACE_OUTER_BOUND('',#45559,.T.); #25724=FACE_OUTER_BOUND('',#45560,.T.); #25725=FACE_OUTER_BOUND('',#45561,.T.); #25726=FACE_OUTER_BOUND('',#45562,.T.); #25727=FACE_OUTER_BOUND('',#45563,.T.); #25728=FACE_OUTER_BOUND('',#45564,.T.); #25729=FACE_OUTER_BOUND('',#45565,.T.); #25730=FACE_OUTER_BOUND('',#45566,.T.); #25731=FACE_OUTER_BOUND('',#45567,.T.); #25732=FACE_OUTER_BOUND('',#45568,.T.); #25733=FACE_OUTER_BOUND('',#45569,.T.); #25734=FACE_OUTER_BOUND('',#45570,.T.); #25735=FACE_OUTER_BOUND('',#45571,.T.); #25736=FACE_OUTER_BOUND('',#45572,.T.); #25737=FACE_OUTER_BOUND('',#45574,.T.); #25738=FACE_OUTER_BOUND('',#45576,.T.); #25739=FACE_OUTER_BOUND('',#45577,.T.); #25740=FACE_OUTER_BOUND('',#45578,.T.); #25741=FACE_OUTER_BOUND('',#45579,.T.); #25742=FACE_OUTER_BOUND('',#45580,.T.); #25743=FACE_OUTER_BOUND('',#45581,.T.); #25744=FACE_OUTER_BOUND('',#45582,.T.); #25745=FACE_OUTER_BOUND('',#45583,.T.); #25746=FACE_OUTER_BOUND('',#45584,.T.); #25747=FACE_OUTER_BOUND('',#45585,.T.); #25748=FACE_OUTER_BOUND('',#45586,.T.); #25749=FACE_OUTER_BOUND('',#45587,.T.); #25750=FACE_OUTER_BOUND('',#45588,.T.); #25751=FACE_OUTER_BOUND('',#45589,.T.); #25752=FACE_OUTER_BOUND('',#45590,.T.); #25753=FACE_OUTER_BOUND('',#45591,.T.); #25754=FACE_OUTER_BOUND('',#45592,.T.); #25755=FACE_OUTER_BOUND('',#45593,.T.); #25756=FACE_OUTER_BOUND('',#45594,.T.); #25757=FACE_OUTER_BOUND('',#45595,.T.); #25758=FACE_OUTER_BOUND('',#45596,.T.); #25759=FACE_OUTER_BOUND('',#45597,.T.); #25760=FACE_OUTER_BOUND('',#45598,.T.); #25761=FACE_OUTER_BOUND('',#45599,.T.); #25762=FACE_OUTER_BOUND('',#45600,.T.); #25763=FACE_OUTER_BOUND('',#45601,.T.); #25764=FACE_OUTER_BOUND('',#45602,.T.); #25765=FACE_OUTER_BOUND('',#45603,.T.); #25766=FACE_OUTER_BOUND('',#45604,.T.); #25767=FACE_OUTER_BOUND('',#45605,.T.); #25768=FACE_OUTER_BOUND('',#45606,.T.); #25769=FACE_OUTER_BOUND('',#45607,.T.); #25770=FACE_OUTER_BOUND('',#45608,.T.); #25771=FACE_OUTER_BOUND('',#45609,.T.); #25772=FACE_OUTER_BOUND('',#45610,.T.); #25773=FACE_OUTER_BOUND('',#45611,.T.); #25774=FACE_OUTER_BOUND('',#45612,.T.); #25775=FACE_OUTER_BOUND('',#45613,.T.); #25776=FACE_OUTER_BOUND('',#45614,.T.); #25777=FACE_OUTER_BOUND('',#45615,.T.); #25778=FACE_OUTER_BOUND('',#45616,.T.); #25779=FACE_OUTER_BOUND('',#45617,.T.); #25780=FACE_OUTER_BOUND('',#45618,.T.); #25781=FACE_OUTER_BOUND('',#45619,.T.); #25782=FACE_OUTER_BOUND('',#45620,.T.); #25783=FACE_OUTER_BOUND('',#45621,.T.); #25784=FACE_OUTER_BOUND('',#45622,.T.); #25785=FACE_OUTER_BOUND('',#45624,.T.); #25786=FACE_OUTER_BOUND('',#45626,.T.); #25787=FACE_OUTER_BOUND('',#45627,.T.); #25788=FACE_OUTER_BOUND('',#45628,.T.); #25789=FACE_OUTER_BOUND('',#45629,.T.); #25790=FACE_OUTER_BOUND('',#45630,.T.); #25791=FACE_OUTER_BOUND('',#45631,.T.); #25792=FACE_OUTER_BOUND('',#45632,.T.); #25793=FACE_OUTER_BOUND('',#45633,.T.); #25794=FACE_OUTER_BOUND('',#45634,.T.); #25795=FACE_OUTER_BOUND('',#45635,.T.); #25796=FACE_OUTER_BOUND('',#45636,.T.); #25797=FACE_OUTER_BOUND('',#45637,.T.); #25798=FACE_OUTER_BOUND('',#45638,.T.); #25799=FACE_OUTER_BOUND('',#45639,.T.); #25800=FACE_OUTER_BOUND('',#45640,.T.); #25801=FACE_OUTER_BOUND('',#45641,.T.); #25802=FACE_OUTER_BOUND('',#45642,.T.); #25803=FACE_OUTER_BOUND('',#45643,.T.); #25804=FACE_OUTER_BOUND('',#45644,.T.); #25805=FACE_OUTER_BOUND('',#45645,.T.); #25806=FACE_OUTER_BOUND('',#45646,.T.); #25807=FACE_OUTER_BOUND('',#45647,.T.); #25808=FACE_OUTER_BOUND('',#45648,.T.); #25809=FACE_OUTER_BOUND('',#45649,.T.); #25810=FACE_OUTER_BOUND('',#45651,.T.); #25811=FACE_OUTER_BOUND('',#45653,.T.); #25812=FACE_OUTER_BOUND('',#45654,.T.); #25813=FACE_OUTER_BOUND('',#45655,.T.); #25814=FACE_OUTER_BOUND('',#45656,.T.); #25815=FACE_OUTER_BOUND('',#45657,.T.); #25816=FACE_OUTER_BOUND('',#45658,.T.); #25817=FACE_OUTER_BOUND('',#45659,.T.); #25818=FACE_OUTER_BOUND('',#45660,.T.); #25819=FACE_OUTER_BOUND('',#45661,.T.); #25820=FACE_OUTER_BOUND('',#45662,.T.); #25821=FACE_OUTER_BOUND('',#45663,.T.); #25822=FACE_OUTER_BOUND('',#45664,.T.); #25823=FACE_OUTER_BOUND('',#45665,.T.); #25824=FACE_OUTER_BOUND('',#45666,.T.); #25825=FACE_OUTER_BOUND('',#45668,.T.); #25826=FACE_OUTER_BOUND('',#45670,.T.); #25827=FACE_OUTER_BOUND('',#45671,.T.); #25828=FACE_OUTER_BOUND('',#45672,.T.); #25829=FACE_OUTER_BOUND('',#45673,.T.); #25830=FACE_OUTER_BOUND('',#45674,.T.); #25831=FACE_OUTER_BOUND('',#45675,.T.); #25832=FACE_OUTER_BOUND('',#45676,.T.); #25833=FACE_OUTER_BOUND('',#45677,.T.); #25834=FACE_OUTER_BOUND('',#45678,.T.); #25835=FACE_OUTER_BOUND('',#45679,.T.); #25836=FACE_OUTER_BOUND('',#45680,.T.); #25837=FACE_OUTER_BOUND('',#45681,.T.); #25838=FACE_OUTER_BOUND('',#45682,.T.); #25839=FACE_OUTER_BOUND('',#45683,.T.); #25840=FACE_OUTER_BOUND('',#45684,.T.); #25841=FACE_OUTER_BOUND('',#45685,.T.); #25842=FACE_OUTER_BOUND('',#45686,.T.); #25843=FACE_OUTER_BOUND('',#45687,.T.); #25844=FACE_OUTER_BOUND('',#45688,.T.); #25845=FACE_OUTER_BOUND('',#45689,.T.); #25846=FACE_OUTER_BOUND('',#45690,.T.); #25847=FACE_OUTER_BOUND('',#45691,.T.); #25848=FACE_OUTER_BOUND('',#45692,.T.); #25849=FACE_OUTER_BOUND('',#45693,.T.); #25850=FACE_OUTER_BOUND('',#45694,.T.); #25851=FACE_OUTER_BOUND('',#45695,.T.); #25852=FACE_OUTER_BOUND('',#45696,.T.); #25853=FACE_OUTER_BOUND('',#45697,.T.); #25854=FACE_OUTER_BOUND('',#45698,.T.); #25855=FACE_OUTER_BOUND('',#45699,.T.); #25856=FACE_OUTER_BOUND('',#45700,.T.); #25857=FACE_OUTER_BOUND('',#45701,.T.); #25858=FACE_OUTER_BOUND('',#45702,.T.); #25859=FACE_OUTER_BOUND('',#45703,.T.); #25860=FACE_OUTER_BOUND('',#45704,.T.); #25861=FACE_OUTER_BOUND('',#45705,.T.); #25862=FACE_OUTER_BOUND('',#45706,.T.); #25863=FACE_OUTER_BOUND('',#45707,.T.); #25864=FACE_OUTER_BOUND('',#45708,.T.); #25865=FACE_OUTER_BOUND('',#45709,.T.); #25866=FACE_OUTER_BOUND('',#45710,.T.); #25867=FACE_OUTER_BOUND('',#45711,.T.); #25868=FACE_OUTER_BOUND('',#45712,.T.); #25869=FACE_OUTER_BOUND('',#45713,.T.); #25870=FACE_OUTER_BOUND('',#45714,.T.); #25871=FACE_OUTER_BOUND('',#45715,.T.); #25872=FACE_OUTER_BOUND('',#45716,.T.); #25873=FACE_OUTER_BOUND('',#45717,.T.); #25874=FACE_OUTER_BOUND('',#45718,.T.); #25875=FACE_OUTER_BOUND('',#45719,.T.); #25876=FACE_OUTER_BOUND('',#45721,.T.); #25877=FACE_OUTER_BOUND('',#45723,.T.); #25878=FACE_OUTER_BOUND('',#45724,.T.); #25879=FACE_OUTER_BOUND('',#45725,.T.); #25880=FACE_OUTER_BOUND('',#45726,.T.); #25881=FACE_OUTER_BOUND('',#45727,.T.); #25882=FACE_OUTER_BOUND('',#45728,.T.); #25883=FACE_OUTER_BOUND('',#45729,.T.); #25884=FACE_OUTER_BOUND('',#45730,.T.); #25885=FACE_OUTER_BOUND('',#45731,.T.); #25886=FACE_OUTER_BOUND('',#45732,.T.); #25887=FACE_OUTER_BOUND('',#45733,.T.); #25888=FACE_OUTER_BOUND('',#45734,.T.); #25889=FACE_OUTER_BOUND('',#45735,.T.); #25890=FACE_OUTER_BOUND('',#45736,.T.); #25891=FACE_OUTER_BOUND('',#45737,.T.); #25892=FACE_OUTER_BOUND('',#45738,.T.); #25893=FACE_OUTER_BOUND('',#45739,.T.); #25894=FACE_OUTER_BOUND('',#45740,.T.); #25895=FACE_OUTER_BOUND('',#45741,.T.); #25896=FACE_OUTER_BOUND('',#45742,.T.); #25897=FACE_OUTER_BOUND('',#45743,.T.); #25898=FACE_OUTER_BOUND('',#45744,.T.); #25899=FACE_OUTER_BOUND('',#45745,.T.); #25900=FACE_OUTER_BOUND('',#45746,.T.); #25901=FACE_OUTER_BOUND('',#45749,.T.); #25902=FACE_OUTER_BOUND('',#45752,.T.); #25903=FACE_OUTER_BOUND('',#45753,.T.); #25904=FACE_OUTER_BOUND('',#45754,.T.); #25905=FACE_OUTER_BOUND('',#45755,.T.); #25906=FACE_OUTER_BOUND('',#45756,.T.); #25907=FACE_OUTER_BOUND('',#45757,.T.); #25908=FACE_OUTER_BOUND('',#45758,.T.); #25909=FACE_OUTER_BOUND('',#45759,.T.); #25910=FACE_OUTER_BOUND('',#45760,.T.); #25911=FACE_OUTER_BOUND('',#45761,.T.); #25912=FACE_OUTER_BOUND('',#45762,.T.); #25913=FACE_OUTER_BOUND('',#45763,.T.); #25914=FACE_OUTER_BOUND('',#45764,.T.); #25915=FACE_OUTER_BOUND('',#45765,.T.); #25916=FACE_OUTER_BOUND('',#45766,.T.); #25917=FACE_OUTER_BOUND('',#45767,.T.); #25918=FACE_OUTER_BOUND('',#45768,.T.); #25919=FACE_OUTER_BOUND('',#45769,.T.); #25920=FACE_OUTER_BOUND('',#45770,.T.); #25921=FACE_OUTER_BOUND('',#45771,.T.); #25922=FACE_OUTER_BOUND('',#45772,.T.); #25923=FACE_OUTER_BOUND('',#45773,.T.); #25924=FACE_OUTER_BOUND('',#45774,.T.); #25925=FACE_OUTER_BOUND('',#45775,.T.); #25926=FACE_OUTER_BOUND('',#45776,.T.); #25927=FACE_OUTER_BOUND('',#45777,.T.); #25928=FACE_OUTER_BOUND('',#45778,.T.); #25929=FACE_OUTER_BOUND('',#45779,.T.); #25930=FACE_OUTER_BOUND('',#45780,.T.); #25931=FACE_OUTER_BOUND('',#45781,.T.); #25932=FACE_OUTER_BOUND('',#45782,.T.); #25933=FACE_OUTER_BOUND('',#45783,.T.); #25934=FACE_OUTER_BOUND('',#45784,.T.); #25935=FACE_OUTER_BOUND('',#45785,.T.); #25936=FACE_OUTER_BOUND('',#45786,.T.); #25937=FACE_OUTER_BOUND('',#45787,.T.); #25938=FACE_OUTER_BOUND('',#45788,.T.); #25939=FACE_OUTER_BOUND('',#45789,.T.); #25940=FACE_OUTER_BOUND('',#45790,.T.); #25941=FACE_OUTER_BOUND('',#45791,.T.); #25942=FACE_OUTER_BOUND('',#45792,.T.); #25943=FACE_OUTER_BOUND('',#45793,.T.); #25944=FACE_OUTER_BOUND('',#45794,.T.); #25945=FACE_OUTER_BOUND('',#45795,.T.); #25946=FACE_OUTER_BOUND('',#45796,.T.); #25947=FACE_OUTER_BOUND('',#45797,.T.); #25948=FACE_OUTER_BOUND('',#45798,.T.); #25949=FACE_OUTER_BOUND('',#45799,.T.); #25950=FACE_OUTER_BOUND('',#45800,.T.); #25951=FACE_OUTER_BOUND('',#45801,.T.); #25952=FACE_OUTER_BOUND('',#45802,.T.); #25953=FACE_OUTER_BOUND('',#45803,.T.); #25954=FACE_OUTER_BOUND('',#45804,.T.); #25955=FACE_OUTER_BOUND('',#45805,.T.); #25956=FACE_OUTER_BOUND('',#45806,.T.); #25957=FACE_OUTER_BOUND('',#45807,.T.); #25958=FACE_OUTER_BOUND('',#45808,.T.); #25959=FACE_OUTER_BOUND('',#45809,.T.); #25960=FACE_OUTER_BOUND('',#45810,.T.); #25961=FACE_OUTER_BOUND('',#45811,.T.); #25962=FACE_OUTER_BOUND('',#45812,.T.); #25963=FACE_OUTER_BOUND('',#45813,.T.); #25964=FACE_OUTER_BOUND('',#45814,.T.); #25965=FACE_OUTER_BOUND('',#45815,.T.); #25966=FACE_OUTER_BOUND('',#45816,.T.); #25967=FACE_OUTER_BOUND('',#45817,.T.); #25968=FACE_OUTER_BOUND('',#45818,.T.); #25969=FACE_OUTER_BOUND('',#45819,.T.); #25970=FACE_OUTER_BOUND('',#45820,.T.); #25971=FACE_OUTER_BOUND('',#45821,.T.); #25972=FACE_OUTER_BOUND('',#45822,.T.); #25973=FACE_OUTER_BOUND('',#45823,.T.); #25974=FACE_OUTER_BOUND('',#45824,.T.); #25975=FACE_OUTER_BOUND('',#45825,.T.); #25976=FACE_OUTER_BOUND('',#45826,.T.); #25977=FACE_OUTER_BOUND('',#45827,.T.); #25978=FACE_OUTER_BOUND('',#45828,.T.); #25979=FACE_OUTER_BOUND('',#45829,.T.); #25980=FACE_OUTER_BOUND('',#45830,.T.); #25981=FACE_OUTER_BOUND('',#45831,.T.); #25982=FACE_OUTER_BOUND('',#45832,.T.); #25983=FACE_OUTER_BOUND('',#45833,.T.); #25984=FACE_OUTER_BOUND('',#45834,.T.); #25985=FACE_OUTER_BOUND('',#45835,.T.); #25986=FACE_OUTER_BOUND('',#45836,.T.); #25987=FACE_OUTER_BOUND('',#45837,.T.); #25988=FACE_OUTER_BOUND('',#45838,.T.); #25989=FACE_OUTER_BOUND('',#45839,.T.); #25990=FACE_OUTER_BOUND('',#45840,.T.); #25991=FACE_OUTER_BOUND('',#45841,.T.); #25992=FACE_OUTER_BOUND('',#45842,.T.); #25993=FACE_OUTER_BOUND('',#45843,.T.); #25994=FACE_OUTER_BOUND('',#45844,.T.); #25995=FACE_OUTER_BOUND('',#45845,.T.); #25996=FACE_OUTER_BOUND('',#45846,.T.); #25997=FACE_OUTER_BOUND('',#45847,.T.); #25998=FACE_OUTER_BOUND('',#45848,.T.); #25999=FACE_OUTER_BOUND('',#45849,.T.); #26000=FACE_OUTER_BOUND('',#45850,.T.); #26001=FACE_OUTER_BOUND('',#45851,.T.); #26002=FACE_OUTER_BOUND('',#45852,.T.); #26003=FACE_OUTER_BOUND('',#45853,.T.); #26004=FACE_OUTER_BOUND('',#45854,.T.); #26005=FACE_OUTER_BOUND('',#45855,.T.); #26006=FACE_OUTER_BOUND('',#45856,.T.); #26007=FACE_OUTER_BOUND('',#45857,.T.); #26008=FACE_OUTER_BOUND('',#45858,.T.); #26009=FACE_OUTER_BOUND('',#45859,.T.); #26010=FACE_OUTER_BOUND('',#45860,.T.); #26011=FACE_OUTER_BOUND('',#45861,.T.); #26012=FACE_OUTER_BOUND('',#45862,.T.); #26013=FACE_OUTER_BOUND('',#45863,.T.); #26014=FACE_OUTER_BOUND('',#45864,.T.); #26015=FACE_OUTER_BOUND('',#45865,.T.); #26016=FACE_OUTER_BOUND('',#45866,.T.); #26017=FACE_OUTER_BOUND('',#45867,.T.); #26018=FACE_OUTER_BOUND('',#45868,.T.); #26019=FACE_OUTER_BOUND('',#45869,.T.); #26020=FACE_OUTER_BOUND('',#45870,.T.); #26021=FACE_OUTER_BOUND('',#45871,.T.); #26022=FACE_OUTER_BOUND('',#45872,.T.); #26023=FACE_OUTER_BOUND('',#45873,.T.); #26024=FACE_OUTER_BOUND('',#45874,.T.); #26025=FACE_OUTER_BOUND('',#45875,.T.); #26026=FACE_OUTER_BOUND('',#45876,.T.); #26027=FACE_OUTER_BOUND('',#45877,.T.); #26028=FACE_OUTER_BOUND('',#45878,.T.); #26029=FACE_OUTER_BOUND('',#45879,.T.); #26030=FACE_OUTER_BOUND('',#45880,.T.); #26031=FACE_OUTER_BOUND('',#45881,.T.); #26032=FACE_OUTER_BOUND('',#45882,.T.); #26033=FACE_OUTER_BOUND('',#45883,.T.); #26034=FACE_OUTER_BOUND('',#45884,.T.); #26035=FACE_OUTER_BOUND('',#45885,.T.); #26036=FACE_OUTER_BOUND('',#45886,.T.); #26037=FACE_OUTER_BOUND('',#45887,.T.); #26038=FACE_OUTER_BOUND('',#45888,.T.); #26039=FACE_OUTER_BOUND('',#45889,.T.); #26040=FACE_OUTER_BOUND('',#45890,.T.); #26041=FACE_OUTER_BOUND('',#45891,.T.); #26042=FACE_OUTER_BOUND('',#45892,.T.); #26043=FACE_OUTER_BOUND('',#45893,.T.); #26044=FACE_OUTER_BOUND('',#45894,.T.); #26045=FACE_OUTER_BOUND('',#45895,.T.); #26046=FACE_OUTER_BOUND('',#45896,.T.); #26047=FACE_OUTER_BOUND('',#45897,.T.); #26048=FACE_OUTER_BOUND('',#45898,.T.); #26049=FACE_OUTER_BOUND('',#45899,.T.); #26050=FACE_OUTER_BOUND('',#45900,.T.); #26051=FACE_OUTER_BOUND('',#45901,.T.); #26052=FACE_OUTER_BOUND('',#45902,.T.); #26053=FACE_OUTER_BOUND('',#45903,.T.); #26054=FACE_OUTER_BOUND('',#45904,.T.); #26055=FACE_OUTER_BOUND('',#45905,.T.); #26056=FACE_OUTER_BOUND('',#45906,.T.); #26057=FACE_OUTER_BOUND('',#45907,.T.); #26058=FACE_OUTER_BOUND('',#45908,.T.); #26059=FACE_OUTER_BOUND('',#45909,.T.); #26060=FACE_OUTER_BOUND('',#45910,.T.); #26061=FACE_OUTER_BOUND('',#45911,.T.); #26062=FACE_OUTER_BOUND('',#45912,.T.); #26063=FACE_OUTER_BOUND('',#45924,.T.); #26064=FACE_OUTER_BOUND('',#45936,.T.); #26065=FACE_OUTER_BOUND('',#45937,.T.); #26066=FACE_OUTER_BOUND('',#45938,.T.); #26067=FACE_OUTER_BOUND('',#45939,.T.); #26068=FACE_OUTER_BOUND('',#45940,.T.); #26069=FACE_OUTER_BOUND('',#45942,.T.); #26070=FACE_OUTER_BOUND('',#45944,.T.); #26071=FACE_OUTER_BOUND('',#45945,.T.); #26072=FACE_OUTER_BOUND('',#45946,.T.); #26073=FACE_OUTER_BOUND('',#45947,.T.); #26074=FACE_OUTER_BOUND('',#45948,.T.); #26075=FACE_OUTER_BOUND('',#45949,.T.); #26076=FACE_OUTER_BOUND('',#45950,.T.); #26077=FACE_OUTER_BOUND('',#45951,.T.); #26078=FACE_OUTER_BOUND('',#45952,.T.); #26079=FACE_OUTER_BOUND('',#45953,.T.); #26080=FACE_OUTER_BOUND('',#45954,.T.); #26081=FACE_OUTER_BOUND('',#45955,.T.); #26082=FACE_OUTER_BOUND('',#45956,.T.); #26083=FACE_OUTER_BOUND('',#45957,.T.); #26084=FACE_OUTER_BOUND('',#45958,.T.); #26085=FACE_OUTER_BOUND('',#45959,.T.); #26086=FACE_OUTER_BOUND('',#45960,.T.); #26087=FACE_OUTER_BOUND('',#45961,.T.); #26088=FACE_OUTER_BOUND('',#45962,.T.); #26089=FACE_OUTER_BOUND('',#45963,.T.); #26090=FACE_OUTER_BOUND('',#45964,.T.); #26091=FACE_OUTER_BOUND('',#45965,.T.); #26092=FACE_OUTER_BOUND('',#45966,.T.); #26093=FACE_OUTER_BOUND('',#45967,.T.); #26094=FACE_OUTER_BOUND('',#45968,.T.); #26095=FACE_OUTER_BOUND('',#45969,.T.); #26096=FACE_OUTER_BOUND('',#45970,.T.); #26097=FACE_OUTER_BOUND('',#45971,.T.); #26098=FACE_OUTER_BOUND('',#45972,.T.); #26099=FACE_OUTER_BOUND('',#45973,.T.); #26100=FACE_OUTER_BOUND('',#45974,.T.); #26101=FACE_OUTER_BOUND('',#45975,.T.); #26102=FACE_OUTER_BOUND('',#45976,.T.); #26103=FACE_OUTER_BOUND('',#45977,.T.); #26104=FACE_OUTER_BOUND('',#45978,.T.); #26105=FACE_OUTER_BOUND('',#45979,.T.); #26106=FACE_OUTER_BOUND('',#45980,.T.); #26107=FACE_OUTER_BOUND('',#45981,.T.); #26108=FACE_OUTER_BOUND('',#45982,.T.); #26109=FACE_OUTER_BOUND('',#45983,.T.); #26110=FACE_OUTER_BOUND('',#45984,.T.); #26111=FACE_OUTER_BOUND('',#45985,.T.); #26112=FACE_OUTER_BOUND('',#45986,.T.); #26113=FACE_OUTER_BOUND('',#45987,.T.); #26114=FACE_OUTER_BOUND('',#45988,.T.); #26115=FACE_OUTER_BOUND('',#45989,.T.); #26116=FACE_OUTER_BOUND('',#45990,.T.); #26117=FACE_OUTER_BOUND('',#45991,.T.); #26118=FACE_OUTER_BOUND('',#45992,.T.); #26119=FACE_OUTER_BOUND('',#45993,.T.); #26120=FACE_OUTER_BOUND('',#45994,.T.); #26121=FACE_OUTER_BOUND('',#45995,.T.); #26122=FACE_OUTER_BOUND('',#45996,.T.); #26123=FACE_OUTER_BOUND('',#45997,.T.); #26124=FACE_OUTER_BOUND('',#45998,.T.); #26125=FACE_OUTER_BOUND('',#45999,.T.); #26126=FACE_OUTER_BOUND('',#46000,.T.); #26127=FACE_OUTER_BOUND('',#46001,.T.); #26128=FACE_OUTER_BOUND('',#46002,.T.); #26129=FACE_OUTER_BOUND('',#46003,.T.); #26130=FACE_OUTER_BOUND('',#46004,.T.); #26131=FACE_OUTER_BOUND('',#46005,.T.); #26132=FACE_OUTER_BOUND('',#46006,.T.); #26133=FACE_OUTER_BOUND('',#46007,.T.); #26134=FACE_OUTER_BOUND('',#46008,.T.); #26135=FACE_OUTER_BOUND('',#46009,.T.); #26136=FACE_OUTER_BOUND('',#46010,.T.); #26137=FACE_OUTER_BOUND('',#46011,.T.); #26138=FACE_OUTER_BOUND('',#46012,.T.); #26139=FACE_OUTER_BOUND('',#46013,.T.); #26140=FACE_OUTER_BOUND('',#46014,.T.); #26141=FACE_OUTER_BOUND('',#46015,.T.); #26142=FACE_OUTER_BOUND('',#46016,.T.); #26143=FACE_OUTER_BOUND('',#46017,.T.); #26144=FACE_OUTER_BOUND('',#46018,.T.); #26145=FACE_OUTER_BOUND('',#46019,.T.); #26146=FACE_OUTER_BOUND('',#46020,.T.); #26147=FACE_OUTER_BOUND('',#46021,.T.); #26148=FACE_OUTER_BOUND('',#46022,.T.); #26149=FACE_OUTER_BOUND('',#46023,.T.); #26150=FACE_OUTER_BOUND('',#46024,.T.); #26151=FACE_OUTER_BOUND('',#46025,.T.); #26152=FACE_OUTER_BOUND('',#46026,.T.); #26153=FACE_OUTER_BOUND('',#46027,.T.); #26154=FACE_OUTER_BOUND('',#46028,.T.); #26155=FACE_OUTER_BOUND('',#46029,.T.); #26156=FACE_OUTER_BOUND('',#46030,.T.); #26157=FACE_OUTER_BOUND('',#46031,.T.); #26158=FACE_OUTER_BOUND('',#46032,.T.); #26159=FACE_OUTER_BOUND('',#46033,.T.); #26160=FACE_OUTER_BOUND('',#46034,.T.); #26161=FACE_OUTER_BOUND('',#46035,.T.); #26162=FACE_OUTER_BOUND('',#46036,.T.); #26163=FACE_OUTER_BOUND('',#46037,.T.); #26164=FACE_OUTER_BOUND('',#46038,.T.); #26165=FACE_OUTER_BOUND('',#46039,.T.); #26166=FACE_OUTER_BOUND('',#46040,.T.); #26167=FACE_OUTER_BOUND('',#46041,.T.); #26168=FACE_OUTER_BOUND('',#46042,.T.); #26169=FACE_OUTER_BOUND('',#46043,.T.); #26170=FACE_OUTER_BOUND('',#46044,.T.); #26171=FACE_OUTER_BOUND('',#46045,.T.); #26172=FACE_OUTER_BOUND('',#46046,.T.); #26173=FACE_OUTER_BOUND('',#46047,.T.); #26174=FACE_OUTER_BOUND('',#46048,.T.); #26175=FACE_OUTER_BOUND('',#46049,.T.); #26176=FACE_OUTER_BOUND('',#46050,.T.); #26177=FACE_OUTER_BOUND('',#46051,.T.); #26178=FACE_OUTER_BOUND('',#46052,.T.); #26179=FACE_OUTER_BOUND('',#46053,.T.); #26180=FACE_OUTER_BOUND('',#46054,.T.); #26181=FACE_OUTER_BOUND('',#46055,.T.); #26182=FACE_OUTER_BOUND('',#46056,.T.); #26183=FACE_OUTER_BOUND('',#46057,.T.); #26184=FACE_OUTER_BOUND('',#46058,.T.); #26185=FACE_OUTER_BOUND('',#46059,.T.); #26186=FACE_OUTER_BOUND('',#46060,.T.); #26187=FACE_OUTER_BOUND('',#46061,.T.); #26188=FACE_OUTER_BOUND('',#46062,.T.); #26189=FACE_OUTER_BOUND('',#46063,.T.); #26190=FACE_OUTER_BOUND('',#46064,.T.); #26191=FACE_OUTER_BOUND('',#46065,.T.); #26192=FACE_OUTER_BOUND('',#46066,.T.); #26193=FACE_OUTER_BOUND('',#46067,.T.); #26194=FACE_OUTER_BOUND('',#46068,.T.); #26195=FACE_OUTER_BOUND('',#46069,.T.); #26196=FACE_OUTER_BOUND('',#46070,.T.); #26197=FACE_OUTER_BOUND('',#46071,.T.); #26198=FACE_OUTER_BOUND('',#46072,.T.); #26199=FACE_OUTER_BOUND('',#46073,.T.); #26200=FACE_OUTER_BOUND('',#46074,.T.); #26201=FACE_OUTER_BOUND('',#46075,.T.); #26202=FACE_OUTER_BOUND('',#46076,.T.); #26203=FACE_OUTER_BOUND('',#46077,.T.); #26204=FACE_OUTER_BOUND('',#46078,.T.); #26205=FACE_OUTER_BOUND('',#46079,.T.); #26206=FACE_OUTER_BOUND('',#46080,.T.); #26207=FACE_OUTER_BOUND('',#46081,.T.); #26208=FACE_OUTER_BOUND('',#46082,.T.); #26209=FACE_OUTER_BOUND('',#46083,.T.); #26210=FACE_OUTER_BOUND('',#46084,.T.); #26211=FACE_OUTER_BOUND('',#46085,.T.); #26212=FACE_OUTER_BOUND('',#46086,.T.); #26213=FACE_OUTER_BOUND('',#46087,.T.); #26214=FACE_OUTER_BOUND('',#46088,.T.); #26215=FACE_OUTER_BOUND('',#46089,.T.); #26216=FACE_OUTER_BOUND('',#46090,.T.); #26217=FACE_OUTER_BOUND('',#46091,.T.); #26218=FACE_OUTER_BOUND('',#46092,.T.); #26219=FACE_OUTER_BOUND('',#46093,.T.); #26220=FACE_OUTER_BOUND('',#46094,.T.); #26221=FACE_OUTER_BOUND('',#46095,.T.); #26222=FACE_OUTER_BOUND('',#46096,.T.); #26223=FACE_OUTER_BOUND('',#46097,.T.); #26224=FACE_OUTER_BOUND('',#46098,.T.); #26225=FACE_OUTER_BOUND('',#46099,.T.); #26226=FACE_OUTER_BOUND('',#46100,.T.); #26227=FACE_OUTER_BOUND('',#46101,.T.); #26228=FACE_OUTER_BOUND('',#46102,.T.); #26229=FACE_OUTER_BOUND('',#46103,.T.); #26230=FACE_OUTER_BOUND('',#46104,.T.); #26231=FACE_OUTER_BOUND('',#46105,.T.); #26232=FACE_OUTER_BOUND('',#46106,.T.); #26233=FACE_OUTER_BOUND('',#46107,.T.); #26234=FACE_OUTER_BOUND('',#46108,.T.); #26235=FACE_OUTER_BOUND('',#46109,.T.); #26236=FACE_OUTER_BOUND('',#46110,.T.); #26237=FACE_OUTER_BOUND('',#46111,.T.); #26238=FACE_OUTER_BOUND('',#46112,.T.); #26239=FACE_OUTER_BOUND('',#46113,.T.); #26240=FACE_OUTER_BOUND('',#46114,.T.); #26241=FACE_OUTER_BOUND('',#46115,.T.); #26242=FACE_OUTER_BOUND('',#46116,.T.); #26243=FACE_OUTER_BOUND('',#46117,.T.); #26244=FACE_OUTER_BOUND('',#46118,.T.); #26245=FACE_OUTER_BOUND('',#46119,.T.); #26246=FACE_OUTER_BOUND('',#46120,.T.); #26247=FACE_OUTER_BOUND('',#46121,.T.); #26248=FACE_OUTER_BOUND('',#46122,.T.); #26249=FACE_OUTER_BOUND('',#46123,.T.); #26250=FACE_OUTER_BOUND('',#46124,.T.); #26251=FACE_OUTER_BOUND('',#46125,.T.); #26252=FACE_OUTER_BOUND('',#46126,.T.); #26253=FACE_OUTER_BOUND('',#46127,.T.); #26254=FACE_OUTER_BOUND('',#46131,.T.); #26255=FACE_OUTER_BOUND('',#46135,.T.); #26256=FACE_OUTER_BOUND('',#46136,.T.); #26257=FACE_OUTER_BOUND('',#46137,.T.); #26258=FACE_OUTER_BOUND('',#46138,.T.); #26259=FACE_OUTER_BOUND('',#46139,.T.); #26260=FACE_OUTER_BOUND('',#46140,.T.); #26261=FACE_OUTER_BOUND('',#46141,.T.); #26262=FACE_OUTER_BOUND('',#46142,.T.); #26263=FACE_OUTER_BOUND('',#46143,.T.); #26264=FACE_OUTER_BOUND('',#46144,.T.); #26265=FACE_OUTER_BOUND('',#46145,.T.); #26266=FACE_OUTER_BOUND('',#46146,.T.); #26267=FACE_OUTER_BOUND('',#46147,.T.); #26268=FACE_OUTER_BOUND('',#46148,.T.); #26269=FACE_OUTER_BOUND('',#46149,.T.); #26270=FACE_OUTER_BOUND('',#46150,.T.); #26271=FACE_OUTER_BOUND('',#46151,.T.); #26272=FACE_OUTER_BOUND('',#46152,.T.); #26273=FACE_OUTER_BOUND('',#46153,.T.); #26274=FACE_OUTER_BOUND('',#46154,.T.); #26275=FACE_OUTER_BOUND('',#46155,.T.); #26276=FACE_OUTER_BOUND('',#46156,.T.); #26277=FACE_OUTER_BOUND('',#46157,.T.); #26278=FACE_OUTER_BOUND('',#46158,.T.); #26279=FACE_OUTER_BOUND('',#46159,.T.); #26280=FACE_OUTER_BOUND('',#46160,.T.); #26281=FACE_OUTER_BOUND('',#46161,.T.); #26282=FACE_OUTER_BOUND('',#46162,.T.); #26283=FACE_OUTER_BOUND('',#46163,.T.); #26284=FACE_OUTER_BOUND('',#46164,.T.); #26285=FACE_OUTER_BOUND('',#46165,.T.); #26286=FACE_OUTER_BOUND('',#46166,.T.); #26287=FACE_OUTER_BOUND('',#46167,.T.); #26288=FACE_OUTER_BOUND('',#46168,.T.); #26289=FACE_OUTER_BOUND('',#46169,.T.); #26290=FACE_OUTER_BOUND('',#46170,.T.); #26291=FACE_OUTER_BOUND('',#46171,.T.); #26292=FACE_OUTER_BOUND('',#46172,.T.); #26293=FACE_OUTER_BOUND('',#46173,.T.); #26294=FACE_OUTER_BOUND('',#46174,.T.); #26295=FACE_OUTER_BOUND('',#46175,.T.); #26296=FACE_OUTER_BOUND('',#46176,.T.); #26297=FACE_OUTER_BOUND('',#46177,.T.); #26298=FACE_OUTER_BOUND('',#46178,.T.); #26299=FACE_OUTER_BOUND('',#46179,.T.); #26300=FACE_OUTER_BOUND('',#46180,.T.); #26301=FACE_OUTER_BOUND('',#46181,.T.); #26302=FACE_OUTER_BOUND('',#46182,.T.); #26303=FACE_OUTER_BOUND('',#46183,.T.); #26304=FACE_OUTER_BOUND('',#46184,.T.); #26305=FACE_OUTER_BOUND('',#46185,.T.); #26306=FACE_OUTER_BOUND('',#46186,.T.); #26307=FACE_OUTER_BOUND('',#46187,.T.); #26308=FACE_OUTER_BOUND('',#46188,.T.); #26309=FACE_OUTER_BOUND('',#46189,.T.); #26310=FACE_OUTER_BOUND('',#46190,.T.); #26311=FACE_OUTER_BOUND('',#46191,.T.); #26312=FACE_OUTER_BOUND('',#46192,.T.); #26313=FACE_OUTER_BOUND('',#46193,.T.); #26314=FACE_OUTER_BOUND('',#46194,.T.); #26315=FACE_OUTER_BOUND('',#46195,.T.); #26316=FACE_OUTER_BOUND('',#46196,.T.); #26317=FACE_OUTER_BOUND('',#46197,.T.); #26318=FACE_OUTER_BOUND('',#46198,.T.); #26319=FACE_OUTER_BOUND('',#46199,.T.); #26320=FACE_OUTER_BOUND('',#46200,.T.); #26321=FACE_OUTER_BOUND('',#46201,.T.); #26322=FACE_OUTER_BOUND('',#46202,.T.); #26323=FACE_OUTER_BOUND('',#46203,.T.); #26324=FACE_OUTER_BOUND('',#46204,.T.); #26325=FACE_OUTER_BOUND('',#46205,.T.); #26326=FACE_OUTER_BOUND('',#46206,.T.); #26327=FACE_OUTER_BOUND('',#46207,.T.); #26328=FACE_OUTER_BOUND('',#46208,.T.); #26329=FACE_OUTER_BOUND('',#46209,.T.); #26330=FACE_OUTER_BOUND('',#46210,.T.); #26331=FACE_OUTER_BOUND('',#46211,.T.); #26332=FACE_OUTER_BOUND('',#46212,.T.); #26333=FACE_OUTER_BOUND('',#46213,.T.); #26334=FACE_OUTER_BOUND('',#46214,.T.); #26335=FACE_OUTER_BOUND('',#46215,.T.); #26336=FACE_OUTER_BOUND('',#46216,.T.); #26337=FACE_OUTER_BOUND('',#46217,.T.); #26338=FACE_OUTER_BOUND('',#46218,.T.); #26339=FACE_OUTER_BOUND('',#46219,.T.); #26340=FACE_OUTER_BOUND('',#46220,.T.); #26341=FACE_OUTER_BOUND('',#46221,.T.); #26342=FACE_OUTER_BOUND('',#46222,.T.); #26343=FACE_OUTER_BOUND('',#46223,.T.); #26344=FACE_OUTER_BOUND('',#46224,.T.); #26345=FACE_OUTER_BOUND('',#46225,.T.); #26346=FACE_OUTER_BOUND('',#46226,.T.); #26347=FACE_OUTER_BOUND('',#46227,.T.); #26348=FACE_OUTER_BOUND('',#46228,.T.); #26349=FACE_OUTER_BOUND('',#46229,.T.); #26350=FACE_OUTER_BOUND('',#46230,.T.); #26351=FACE_OUTER_BOUND('',#46231,.T.); #26352=FACE_OUTER_BOUND('',#46232,.T.); #26353=FACE_OUTER_BOUND('',#46233,.T.); #26354=FACE_OUTER_BOUND('',#46234,.T.); #26355=FACE_OUTER_BOUND('',#46235,.T.); #26356=FACE_OUTER_BOUND('',#46236,.T.); #26357=FACE_OUTER_BOUND('',#46237,.T.); #26358=FACE_OUTER_BOUND('',#46238,.T.); #26359=FACE_OUTER_BOUND('',#46239,.T.); #26360=FACE_OUTER_BOUND('',#46240,.T.); #26361=FACE_OUTER_BOUND('',#46241,.T.); #26362=FACE_OUTER_BOUND('',#46242,.T.); #26363=FACE_OUTER_BOUND('',#46243,.T.); #26364=FACE_OUTER_BOUND('',#46244,.T.); #26365=FACE_OUTER_BOUND('',#46245,.T.); #26366=FACE_OUTER_BOUND('',#46246,.T.); #26367=FACE_OUTER_BOUND('',#46247,.T.); #26368=FACE_OUTER_BOUND('',#46248,.T.); #26369=FACE_OUTER_BOUND('',#46249,.T.); #26370=FACE_OUTER_BOUND('',#46250,.T.); #26371=FACE_OUTER_BOUND('',#46251,.T.); #26372=FACE_OUTER_BOUND('',#46252,.T.); #26373=FACE_OUTER_BOUND('',#46253,.T.); #26374=FACE_OUTER_BOUND('',#46254,.T.); #26375=FACE_OUTER_BOUND('',#46255,.T.); #26376=FACE_OUTER_BOUND('',#46256,.T.); #26377=FACE_OUTER_BOUND('',#46257,.T.); #26378=FACE_OUTER_BOUND('',#46258,.T.); #26379=FACE_OUTER_BOUND('',#46259,.T.); #26380=FACE_OUTER_BOUND('',#46260,.T.); #26381=FACE_OUTER_BOUND('',#46261,.T.); #26382=FACE_OUTER_BOUND('',#46262,.T.); #26383=FACE_OUTER_BOUND('',#46263,.T.); #26384=FACE_OUTER_BOUND('',#46264,.T.); #26385=FACE_OUTER_BOUND('',#46265,.T.); #26386=FACE_OUTER_BOUND('',#46266,.T.); #26387=FACE_OUTER_BOUND('',#46267,.T.); #26388=FACE_OUTER_BOUND('',#46268,.T.); #26389=FACE_OUTER_BOUND('',#46269,.T.); #26390=FACE_OUTER_BOUND('',#46270,.T.); #26391=FACE_OUTER_BOUND('',#46271,.T.); #26392=FACE_OUTER_BOUND('',#46272,.T.); #26393=FACE_OUTER_BOUND('',#46273,.T.); #26394=FACE_OUTER_BOUND('',#46274,.T.); #26395=FACE_OUTER_BOUND('',#46275,.T.); #26396=FACE_OUTER_BOUND('',#46276,.T.); #26397=FACE_OUTER_BOUND('',#46277,.T.); #26398=FACE_OUTER_BOUND('',#46278,.T.); #26399=FACE_OUTER_BOUND('',#46279,.T.); #26400=FACE_OUTER_BOUND('',#46280,.T.); #26401=FACE_OUTER_BOUND('',#46281,.T.); #26402=FACE_OUTER_BOUND('',#46282,.T.); #26403=FACE_OUTER_BOUND('',#46283,.T.); #26404=FACE_OUTER_BOUND('',#46284,.T.); #26405=FACE_OUTER_BOUND('',#46285,.T.); #26406=FACE_OUTER_BOUND('',#46286,.T.); #26407=FACE_OUTER_BOUND('',#46287,.T.); #26408=FACE_OUTER_BOUND('',#46288,.T.); #26409=FACE_OUTER_BOUND('',#46289,.T.); #26410=FACE_OUTER_BOUND('',#46290,.T.); #26411=FACE_OUTER_BOUND('',#46291,.T.); #26412=FACE_OUTER_BOUND('',#46292,.T.); #26413=FACE_OUTER_BOUND('',#46293,.T.); #26414=FACE_OUTER_BOUND('',#46294,.T.); #26415=FACE_OUTER_BOUND('',#46295,.T.); #26416=FACE_OUTER_BOUND('',#46296,.T.); #26417=FACE_OUTER_BOUND('',#46297,.T.); #26418=FACE_OUTER_BOUND('',#46298,.T.); #26419=FACE_OUTER_BOUND('',#46299,.T.); #26420=FACE_OUTER_BOUND('',#46300,.T.); #26421=FACE_OUTER_BOUND('',#46301,.T.); #26422=FACE_OUTER_BOUND('',#46302,.T.); #26423=FACE_OUTER_BOUND('',#46303,.T.); #26424=FACE_OUTER_BOUND('',#46304,.T.); #26425=FACE_OUTER_BOUND('',#46305,.T.); #26426=FACE_OUTER_BOUND('',#46306,.T.); #26427=FACE_OUTER_BOUND('',#46307,.T.); #26428=FACE_OUTER_BOUND('',#46308,.T.); #26429=FACE_OUTER_BOUND('',#46309,.T.); #26430=FACE_OUTER_BOUND('',#46310,.T.); #26431=FACE_OUTER_BOUND('',#46311,.T.); #26432=FACE_OUTER_BOUND('',#46312,.T.); #26433=FACE_OUTER_BOUND('',#46313,.T.); #26434=FACE_OUTER_BOUND('',#46314,.T.); #26435=FACE_OUTER_BOUND('',#46315,.T.); #26436=FACE_OUTER_BOUND('',#46316,.T.); #26437=FACE_OUTER_BOUND('',#46317,.T.); #26438=FACE_OUTER_BOUND('',#46318,.T.); #26439=FACE_OUTER_BOUND('',#46319,.T.); #26440=FACE_OUTER_BOUND('',#46320,.T.); #26441=FACE_OUTER_BOUND('',#46321,.T.); #26442=FACE_OUTER_BOUND('',#46322,.T.); #26443=FACE_OUTER_BOUND('',#46323,.T.); #26444=FACE_OUTER_BOUND('',#46324,.T.); #26445=FACE_OUTER_BOUND('',#46325,.T.); #26446=FACE_OUTER_BOUND('',#46326,.T.); #26447=FACE_OUTER_BOUND('',#46327,.T.); #26448=FACE_OUTER_BOUND('',#46328,.T.); #26449=FACE_OUTER_BOUND('',#46329,.T.); #26450=FACE_OUTER_BOUND('',#46330,.T.); #26451=FACE_OUTER_BOUND('',#46331,.T.); #26452=FACE_OUTER_BOUND('',#46332,.T.); #26453=FACE_OUTER_BOUND('',#46333,.T.); #26454=FACE_OUTER_BOUND('',#46334,.T.); #26455=FACE_OUTER_BOUND('',#46335,.T.); #26456=FACE_OUTER_BOUND('',#46336,.T.); #26457=FACE_OUTER_BOUND('',#46337,.T.); #26458=FACE_OUTER_BOUND('',#46338,.T.); #26459=FACE_OUTER_BOUND('',#46339,.T.); #26460=FACE_OUTER_BOUND('',#46340,.T.); #26461=FACE_OUTER_BOUND('',#46341,.T.); #26462=FACE_OUTER_BOUND('',#46342,.T.); #26463=FACE_OUTER_BOUND('',#46343,.T.); #26464=FACE_OUTER_BOUND('',#46344,.T.); #26465=FACE_OUTER_BOUND('',#46345,.T.); #26466=FACE_OUTER_BOUND('',#46346,.T.); #26467=FACE_OUTER_BOUND('',#46347,.T.); #26468=FACE_OUTER_BOUND('',#46348,.T.); #26469=FACE_OUTER_BOUND('',#46349,.T.); #26470=FACE_OUTER_BOUND('',#46350,.T.); #26471=FACE_OUTER_BOUND('',#46351,.T.); #26472=FACE_OUTER_BOUND('',#46352,.T.); #26473=FACE_OUTER_BOUND('',#46353,.T.); #26474=FACE_OUTER_BOUND('',#46354,.T.); #26475=FACE_OUTER_BOUND('',#46355,.T.); #26476=FACE_OUTER_BOUND('',#46356,.T.); #26477=FACE_OUTER_BOUND('',#46357,.T.); #26478=FACE_OUTER_BOUND('',#46358,.T.); #26479=FACE_OUTER_BOUND('',#46359,.T.); #26480=FACE_OUTER_BOUND('',#46360,.T.); #26481=FACE_OUTER_BOUND('',#46361,.T.); #26482=FACE_OUTER_BOUND('',#46362,.T.); #26483=FACE_OUTER_BOUND('',#46363,.T.); #26484=FACE_OUTER_BOUND('',#46364,.T.); #26485=FACE_OUTER_BOUND('',#46365,.T.); #26486=FACE_OUTER_BOUND('',#46366,.T.); #26487=FACE_OUTER_BOUND('',#46367,.T.); #26488=FACE_OUTER_BOUND('',#46368,.T.); #26489=FACE_OUTER_BOUND('',#46369,.T.); #26490=FACE_OUTER_BOUND('',#46370,.T.); #26491=FACE_OUTER_BOUND('',#46371,.T.); #26492=FACE_OUTER_BOUND('',#46372,.T.); #26493=FACE_OUTER_BOUND('',#46373,.T.); #26494=FACE_OUTER_BOUND('',#46374,.T.); #26495=FACE_OUTER_BOUND('',#46375,.T.); #26496=FACE_OUTER_BOUND('',#46376,.T.); #26497=FACE_OUTER_BOUND('',#46377,.T.); #26498=FACE_OUTER_BOUND('',#46378,.T.); #26499=FACE_OUTER_BOUND('',#46379,.T.); #26500=FACE_OUTER_BOUND('',#46380,.T.); #26501=FACE_OUTER_BOUND('',#46381,.T.); #26502=FACE_OUTER_BOUND('',#46382,.T.); #26503=FACE_OUTER_BOUND('',#46383,.T.); #26504=FACE_OUTER_BOUND('',#46384,.T.); #26505=FACE_OUTER_BOUND('',#46385,.T.); #26506=FACE_OUTER_BOUND('',#46386,.T.); #26507=FACE_OUTER_BOUND('',#46387,.T.); #26508=FACE_OUTER_BOUND('',#46388,.T.); #26509=FACE_OUTER_BOUND('',#46389,.T.); #26510=FACE_OUTER_BOUND('',#46390,.T.); #26511=FACE_OUTER_BOUND('',#46391,.T.); #26512=FACE_OUTER_BOUND('',#46392,.T.); #26513=FACE_OUTER_BOUND('',#46393,.T.); #26514=FACE_OUTER_BOUND('',#46394,.T.); #26515=FACE_OUTER_BOUND('',#46395,.T.); #26516=FACE_OUTER_BOUND('',#46396,.T.); #26517=FACE_OUTER_BOUND('',#46397,.T.); #26518=FACE_OUTER_BOUND('',#46398,.T.); #26519=FACE_OUTER_BOUND('',#46399,.T.); #26520=FACE_OUTER_BOUND('',#46400,.T.); #26521=FACE_OUTER_BOUND('',#46401,.T.); #26522=FACE_OUTER_BOUND('',#46402,.T.); #26523=FACE_OUTER_BOUND('',#46403,.T.); #26524=FACE_OUTER_BOUND('',#46404,.T.); #26525=FACE_OUTER_BOUND('',#46405,.T.); #26526=FACE_OUTER_BOUND('',#46406,.T.); #26527=FACE_OUTER_BOUND('',#46407,.T.); #26528=FACE_OUTER_BOUND('',#46408,.T.); #26529=FACE_OUTER_BOUND('',#46409,.T.); #26530=FACE_OUTER_BOUND('',#46410,.T.); #26531=FACE_OUTER_BOUND('',#46411,.T.); #26532=FACE_OUTER_BOUND('',#46412,.T.); #26533=FACE_OUTER_BOUND('',#46413,.T.); #26534=FACE_OUTER_BOUND('',#46414,.T.); #26535=FACE_OUTER_BOUND('',#46415,.T.); #26536=FACE_OUTER_BOUND('',#46416,.T.); #26537=FACE_OUTER_BOUND('',#46417,.T.); #26538=FACE_OUTER_BOUND('',#46418,.T.); #26539=FACE_OUTER_BOUND('',#46419,.T.); #26540=FACE_OUTER_BOUND('',#46420,.T.); #26541=FACE_OUTER_BOUND('',#46421,.T.); #26542=FACE_OUTER_BOUND('',#46422,.T.); #26543=FACE_OUTER_BOUND('',#46423,.T.); #26544=FACE_OUTER_BOUND('',#46424,.T.); #26545=FACE_OUTER_BOUND('',#46425,.T.); #26546=FACE_OUTER_BOUND('',#46426,.T.); #26547=FACE_OUTER_BOUND('',#46427,.T.); #26548=FACE_OUTER_BOUND('',#46428,.T.); #26549=FACE_OUTER_BOUND('',#46429,.T.); #26550=FACE_OUTER_BOUND('',#46430,.T.); #26551=FACE_OUTER_BOUND('',#46431,.T.); #26552=FACE_OUTER_BOUND('',#46432,.T.); #26553=FACE_OUTER_BOUND('',#46433,.T.); #26554=FACE_OUTER_BOUND('',#46434,.T.); #26555=FACE_OUTER_BOUND('',#46435,.T.); #26556=FACE_OUTER_BOUND('',#46436,.T.); #26557=FACE_OUTER_BOUND('',#46437,.T.); #26558=FACE_OUTER_BOUND('',#46438,.T.); #26559=FACE_OUTER_BOUND('',#46439,.T.); #26560=FACE_OUTER_BOUND('',#46440,.T.); #26561=FACE_OUTER_BOUND('',#46441,.T.); #26562=FACE_OUTER_BOUND('',#46442,.T.); #26563=FACE_OUTER_BOUND('',#46443,.T.); #26564=FACE_OUTER_BOUND('',#46444,.T.); #26565=FACE_OUTER_BOUND('',#46445,.T.); #26566=FACE_OUTER_BOUND('',#46446,.T.); #26567=FACE_OUTER_BOUND('',#46447,.T.); #26568=FACE_OUTER_BOUND('',#46448,.T.); #26569=FACE_OUTER_BOUND('',#46449,.T.); #26570=FACE_OUTER_BOUND('',#46450,.T.); #26571=FACE_OUTER_BOUND('',#46451,.T.); #26572=FACE_OUTER_BOUND('',#46452,.T.); #26573=FACE_OUTER_BOUND('',#46453,.T.); #26574=FACE_OUTER_BOUND('',#46454,.T.); #26575=FACE_OUTER_BOUND('',#46455,.T.); #26576=FACE_OUTER_BOUND('',#46456,.T.); #26577=FACE_OUTER_BOUND('',#46457,.T.); #26578=FACE_OUTER_BOUND('',#46470,.T.); #26579=FACE_OUTER_BOUND('',#46483,.T.); #26580=FACE_OUTER_BOUND('',#46484,.T.); #26581=FACE_OUTER_BOUND('',#46485,.T.); #26582=FACE_OUTER_BOUND('',#46486,.T.); #26583=FACE_OUTER_BOUND('',#46487,.T.); #26584=FACE_OUTER_BOUND('',#46488,.T.); #26585=FACE_OUTER_BOUND('',#46489,.T.); #26586=FACE_OUTER_BOUND('',#46490,.T.); #26587=FACE_OUTER_BOUND('',#46491,.T.); #26588=FACE_OUTER_BOUND('',#46492,.T.); #26589=FACE_OUTER_BOUND('',#46493,.T.); #26590=FACE_OUTER_BOUND('',#46494,.T.); #26591=FACE_OUTER_BOUND('',#46495,.T.); #26592=FACE_OUTER_BOUND('',#46496,.T.); #26593=FACE_OUTER_BOUND('',#46497,.T.); #26594=FACE_OUTER_BOUND('',#46498,.T.); #26595=FACE_OUTER_BOUND('',#46499,.T.); #26596=FACE_OUTER_BOUND('',#46500,.T.); #26597=FACE_OUTER_BOUND('',#46501,.T.); #26598=FACE_OUTER_BOUND('',#46502,.T.); #26599=FACE_OUTER_BOUND('',#46503,.T.); #26600=FACE_OUTER_BOUND('',#46504,.T.); #26601=FACE_OUTER_BOUND('',#46505,.T.); #26602=FACE_OUTER_BOUND('',#46506,.T.); #26603=FACE_OUTER_BOUND('',#46507,.T.); #26604=FACE_OUTER_BOUND('',#46508,.T.); #26605=FACE_OUTER_BOUND('',#46509,.T.); #26606=FACE_OUTER_BOUND('',#46510,.T.); #26607=FACE_OUTER_BOUND('',#46511,.T.); #26608=FACE_OUTER_BOUND('',#46512,.T.); #26609=FACE_OUTER_BOUND('',#46513,.T.); #26610=FACE_OUTER_BOUND('',#46514,.T.); #26611=FACE_OUTER_BOUND('',#46515,.T.); #26612=FACE_OUTER_BOUND('',#46516,.T.); #26613=FACE_OUTER_BOUND('',#46517,.T.); #26614=FACE_OUTER_BOUND('',#46518,.T.); #26615=FACE_OUTER_BOUND('',#46519,.T.); #26616=FACE_OUTER_BOUND('',#46520,.T.); #26617=FACE_OUTER_BOUND('',#46521,.T.); #26618=FACE_OUTER_BOUND('',#46522,.T.); #26619=FACE_OUTER_BOUND('',#46523,.T.); #26620=FACE_OUTER_BOUND('',#46524,.T.); #26621=FACE_OUTER_BOUND('',#46525,.T.); #26622=FACE_OUTER_BOUND('',#46526,.T.); #26623=FACE_OUTER_BOUND('',#46527,.T.); #26624=FACE_OUTER_BOUND('',#46528,.T.); #26625=FACE_OUTER_BOUND('',#46529,.T.); #26626=FACE_OUTER_BOUND('',#46530,.T.); #26627=FACE_OUTER_BOUND('',#46531,.T.); #26628=FACE_OUTER_BOUND('',#46532,.T.); #26629=FACE_OUTER_BOUND('',#46533,.T.); #26630=FACE_OUTER_BOUND('',#46534,.T.); #26631=FACE_OUTER_BOUND('',#46535,.T.); #26632=FACE_OUTER_BOUND('',#46536,.T.); #26633=FACE_OUTER_BOUND('',#46537,.T.); #26634=FACE_OUTER_BOUND('',#46538,.T.); #26635=FACE_OUTER_BOUND('',#46539,.T.); #26636=FACE_OUTER_BOUND('',#46540,.T.); #26637=FACE_OUTER_BOUND('',#46541,.T.); #26638=FACE_OUTER_BOUND('',#46542,.T.); #26639=FACE_OUTER_BOUND('',#46543,.T.); #26640=FACE_OUTER_BOUND('',#46544,.T.); #26641=FACE_OUTER_BOUND('',#46545,.T.); #26642=FACE_OUTER_BOUND('',#46546,.T.); #26643=FACE_OUTER_BOUND('',#46547,.T.); #26644=FACE_OUTER_BOUND('',#46548,.T.); #26645=FACE_OUTER_BOUND('',#46549,.T.); #26646=FACE_OUTER_BOUND('',#46550,.T.); #26647=FACE_OUTER_BOUND('',#46551,.T.); #26648=FACE_OUTER_BOUND('',#46552,.T.); #26649=FACE_OUTER_BOUND('',#46553,.T.); #26650=FACE_OUTER_BOUND('',#46554,.T.); #26651=FACE_OUTER_BOUND('',#46555,.T.); #26652=FACE_OUTER_BOUND('',#46556,.T.); #26653=FACE_OUTER_BOUND('',#46557,.T.); #26654=FACE_OUTER_BOUND('',#46558,.T.); #26655=FACE_OUTER_BOUND('',#46559,.T.); #26656=FACE_OUTER_BOUND('',#46560,.T.); #26657=FACE_OUTER_BOUND('',#46561,.T.); #26658=FACE_OUTER_BOUND('',#46562,.T.); #26659=FACE_OUTER_BOUND('',#46563,.T.); #26660=FACE_OUTER_BOUND('',#46564,.T.); #26661=FACE_OUTER_BOUND('',#46565,.T.); #26662=FACE_OUTER_BOUND('',#46566,.T.); #26663=FACE_OUTER_BOUND('',#46567,.T.); #26664=FACE_OUTER_BOUND('',#46568,.T.); #26665=FACE_OUTER_BOUND('',#46569,.T.); #26666=FACE_OUTER_BOUND('',#46570,.T.); #26667=FACE_OUTER_BOUND('',#46571,.T.); #26668=FACE_OUTER_BOUND('',#46572,.T.); #26669=FACE_OUTER_BOUND('',#46573,.T.); #26670=FACE_OUTER_BOUND('',#46574,.T.); #26671=FACE_OUTER_BOUND('',#46575,.T.); #26672=FACE_OUTER_BOUND('',#46576,.T.); #26673=FACE_OUTER_BOUND('',#46577,.T.); #26674=FACE_OUTER_BOUND('',#46578,.T.); #26675=FACE_OUTER_BOUND('',#46579,.T.); #26676=FACE_OUTER_BOUND('',#46580,.T.); #26677=FACE_OUTER_BOUND('',#46581,.T.); #26678=FACE_OUTER_BOUND('',#46582,.T.); #26679=FACE_OUTER_BOUND('',#46583,.T.); #26680=FACE_OUTER_BOUND('',#46584,.T.); #26681=FACE_OUTER_BOUND('',#46585,.T.); #26682=FACE_OUTER_BOUND('',#46586,.T.); #26683=FACE_OUTER_BOUND('',#46587,.T.); #26684=FACE_OUTER_BOUND('',#46588,.T.); #26685=FACE_OUTER_BOUND('',#46589,.T.); #26686=FACE_OUTER_BOUND('',#46590,.T.); #26687=FACE_OUTER_BOUND('',#46591,.T.); #26688=FACE_OUTER_BOUND('',#46592,.T.); #26689=FACE_OUTER_BOUND('',#46593,.T.); #26690=FACE_OUTER_BOUND('',#46594,.T.); #26691=FACE_OUTER_BOUND('',#46595,.T.); #26692=FACE_OUTER_BOUND('',#46596,.T.); #26693=FACE_OUTER_BOUND('',#46597,.T.); #26694=FACE_OUTER_BOUND('',#46598,.T.); #26695=FACE_OUTER_BOUND('',#46599,.T.); #26696=FACE_OUTER_BOUND('',#46600,.T.); #26697=FACE_OUTER_BOUND('',#46601,.T.); #26698=FACE_OUTER_BOUND('',#46602,.T.); #26699=FACE_OUTER_BOUND('',#46603,.T.); #26700=FACE_OUTER_BOUND('',#46604,.T.); #26701=FACE_OUTER_BOUND('',#46605,.T.); #26702=FACE_OUTER_BOUND('',#46606,.T.); #26703=FACE_OUTER_BOUND('',#46607,.T.); #26704=FACE_OUTER_BOUND('',#46608,.T.); #26705=FACE_OUTER_BOUND('',#46609,.T.); #26706=FACE_OUTER_BOUND('',#46610,.T.); #26707=FACE_OUTER_BOUND('',#46611,.T.); #26708=FACE_OUTER_BOUND('',#46612,.T.); #26709=FACE_OUTER_BOUND('',#46613,.T.); #26710=FACE_OUTER_BOUND('',#46614,.T.); #26711=FACE_OUTER_BOUND('',#46615,.T.); #26712=FACE_OUTER_BOUND('',#46616,.T.); #26713=FACE_OUTER_BOUND('',#46617,.T.); #26714=FACE_OUTER_BOUND('',#46618,.T.); #26715=FACE_OUTER_BOUND('',#46619,.T.); #26716=FACE_OUTER_BOUND('',#46620,.T.); #26717=FACE_OUTER_BOUND('',#46621,.T.); #26718=FACE_OUTER_BOUND('',#46622,.T.); #26719=FACE_OUTER_BOUND('',#46623,.T.); #26720=FACE_OUTER_BOUND('',#46624,.T.); #26721=FACE_OUTER_BOUND('',#46625,.T.); #26722=FACE_OUTER_BOUND('',#46626,.T.); #26723=FACE_OUTER_BOUND('',#46627,.T.); #26724=FACE_OUTER_BOUND('',#46628,.T.); #26725=FACE_OUTER_BOUND('',#46629,.T.); #26726=FACE_OUTER_BOUND('',#46630,.T.); #26727=FACE_OUTER_BOUND('',#46631,.T.); #26728=FACE_OUTER_BOUND('',#46632,.T.); #26729=FACE_OUTER_BOUND('',#46633,.T.); #26730=FACE_OUTER_BOUND('',#46634,.T.); #26731=FACE_OUTER_BOUND('',#46635,.T.); #26732=FACE_OUTER_BOUND('',#46636,.T.); #26733=FACE_OUTER_BOUND('',#46637,.T.); #26734=FACE_OUTER_BOUND('',#46638,.T.); #26735=FACE_OUTER_BOUND('',#46639,.T.); #26736=FACE_OUTER_BOUND('',#46640,.T.); #26737=FACE_OUTER_BOUND('',#46641,.T.); #26738=FACE_OUTER_BOUND('',#46642,.T.); #26739=FACE_OUTER_BOUND('',#46643,.T.); #26740=FACE_OUTER_BOUND('',#46644,.T.); #26741=FACE_OUTER_BOUND('',#46645,.T.); #26742=FACE_OUTER_BOUND('',#46646,.T.); #26743=FACE_OUTER_BOUND('',#46647,.T.); #26744=FACE_OUTER_BOUND('',#46648,.T.); #26745=FACE_OUTER_BOUND('',#46649,.T.); #26746=FACE_OUTER_BOUND('',#46650,.T.); #26747=FACE_OUTER_BOUND('',#46651,.T.); #26748=FACE_OUTER_BOUND('',#46652,.T.); #26749=FACE_OUTER_BOUND('',#46653,.T.); #26750=FACE_OUTER_BOUND('',#46654,.T.); #26751=FACE_OUTER_BOUND('',#46655,.T.); #26752=FACE_OUTER_BOUND('',#46656,.T.); #26753=FACE_OUTER_BOUND('',#46657,.T.); #26754=FACE_OUTER_BOUND('',#46658,.T.); #26755=FACE_OUTER_BOUND('',#46659,.T.); #26756=FACE_OUTER_BOUND('',#46660,.T.); #26757=FACE_OUTER_BOUND('',#46661,.T.); #26758=FACE_OUTER_BOUND('',#46662,.T.); #26759=FACE_OUTER_BOUND('',#46663,.T.); #26760=FACE_OUTER_BOUND('',#46664,.T.); #26761=FACE_OUTER_BOUND('',#46665,.T.); #26762=FACE_OUTER_BOUND('',#46666,.T.); #26763=FACE_OUTER_BOUND('',#46667,.T.); #26764=FACE_OUTER_BOUND('',#46668,.T.); #26765=FACE_OUTER_BOUND('',#46669,.T.); #26766=FACE_OUTER_BOUND('',#46670,.T.); #26767=FACE_OUTER_BOUND('',#46671,.T.); #26768=FACE_OUTER_BOUND('',#46672,.T.); #26769=FACE_OUTER_BOUND('',#46673,.T.); #26770=FACE_OUTER_BOUND('',#46674,.T.); #26771=FACE_OUTER_BOUND('',#46675,.T.); #26772=FACE_OUTER_BOUND('',#46676,.T.); #26773=FACE_OUTER_BOUND('',#46677,.T.); #26774=FACE_OUTER_BOUND('',#46678,.T.); #26775=FACE_OUTER_BOUND('',#46679,.T.); #26776=FACE_OUTER_BOUND('',#46680,.T.); #26777=FACE_OUTER_BOUND('',#46681,.T.); #26778=FACE_OUTER_BOUND('',#46682,.T.); #26779=FACE_OUTER_BOUND('',#46683,.T.); #26780=FACE_OUTER_BOUND('',#46684,.T.); #26781=FACE_OUTER_BOUND('',#46685,.T.); #26782=FACE_OUTER_BOUND('',#46686,.T.); #26783=FACE_OUTER_BOUND('',#46687,.T.); #26784=FACE_OUTER_BOUND('',#46688,.T.); #26785=FACE_OUTER_BOUND('',#46689,.T.); #26786=FACE_OUTER_BOUND('',#46690,.T.); #26787=FACE_OUTER_BOUND('',#46691,.T.); #26788=FACE_OUTER_BOUND('',#46692,.T.); #26789=FACE_OUTER_BOUND('',#46693,.T.); #26790=FACE_OUTER_BOUND('',#46694,.T.); #26791=FACE_OUTER_BOUND('',#46695,.T.); #26792=FACE_OUTER_BOUND('',#46696,.T.); #26793=FACE_OUTER_BOUND('',#46697,.T.); #26794=FACE_OUTER_BOUND('',#46698,.T.); #26795=FACE_OUTER_BOUND('',#46699,.T.); #26796=FACE_OUTER_BOUND('',#46700,.T.); #26797=FACE_OUTER_BOUND('',#46701,.T.); #26798=FACE_OUTER_BOUND('',#46702,.T.); #26799=FACE_OUTER_BOUND('',#46703,.T.); #26800=FACE_OUTER_BOUND('',#46704,.T.); #26801=FACE_OUTER_BOUND('',#46705,.T.); #26802=FACE_OUTER_BOUND('',#46706,.T.); #26803=FACE_OUTER_BOUND('',#46707,.T.); #26804=FACE_OUTER_BOUND('',#46708,.T.); #26805=FACE_OUTER_BOUND('',#46709,.T.); #26806=FACE_OUTER_BOUND('',#46710,.T.); #26807=FACE_OUTER_BOUND('',#46711,.T.); #26808=FACE_OUTER_BOUND('',#46712,.T.); #26809=FACE_OUTER_BOUND('',#46713,.T.); #26810=FACE_OUTER_BOUND('',#46714,.T.); #26811=FACE_OUTER_BOUND('',#46715,.T.); #26812=FACE_OUTER_BOUND('',#46716,.T.); #26813=FACE_OUTER_BOUND('',#46717,.T.); #26814=FACE_OUTER_BOUND('',#46718,.T.); #26815=FACE_OUTER_BOUND('',#46719,.T.); #26816=FACE_OUTER_BOUND('',#46720,.T.); #26817=FACE_OUTER_BOUND('',#46721,.T.); #26818=FACE_OUTER_BOUND('',#46722,.T.); #26819=FACE_OUTER_BOUND('',#46723,.T.); #26820=FACE_OUTER_BOUND('',#46724,.T.); #26821=FACE_OUTER_BOUND('',#46725,.T.); #26822=FACE_OUTER_BOUND('',#46726,.T.); #26823=FACE_OUTER_BOUND('',#46727,.T.); #26824=FACE_OUTER_BOUND('',#46728,.T.); #26825=FACE_OUTER_BOUND('',#46729,.T.); #26826=FACE_OUTER_BOUND('',#46730,.T.); #26827=FACE_OUTER_BOUND('',#46731,.T.); #26828=FACE_OUTER_BOUND('',#46732,.T.); #26829=FACE_OUTER_BOUND('',#46733,.T.); #26830=FACE_OUTER_BOUND('',#46734,.T.); #26831=FACE_OUTER_BOUND('',#46735,.T.); #26832=FACE_OUTER_BOUND('',#46736,.T.); #26833=FACE_OUTER_BOUND('',#46737,.T.); #26834=FACE_OUTER_BOUND('',#46738,.T.); #26835=FACE_OUTER_BOUND('',#46739,.T.); #26836=FACE_OUTER_BOUND('',#46740,.T.); #26837=FACE_OUTER_BOUND('',#46741,.T.); #26838=FACE_OUTER_BOUND('',#46742,.T.); #26839=FACE_OUTER_BOUND('',#46743,.T.); #26840=FACE_OUTER_BOUND('',#46744,.T.); #26841=FACE_OUTER_BOUND('',#46745,.T.); #26842=FACE_OUTER_BOUND('',#46746,.T.); #26843=FACE_OUTER_BOUND('',#46747,.T.); #26844=FACE_OUTER_BOUND('',#46748,.T.); #26845=FACE_OUTER_BOUND('',#46749,.T.); #26846=FACE_OUTER_BOUND('',#46750,.T.); #26847=FACE_OUTER_BOUND('',#46751,.T.); #26848=FACE_OUTER_BOUND('',#46752,.T.); #26849=FACE_OUTER_BOUND('',#46753,.T.); #26850=FACE_OUTER_BOUND('',#46754,.T.); #26851=FACE_OUTER_BOUND('',#46755,.T.); #26852=FACE_OUTER_BOUND('',#46756,.T.); #26853=FACE_OUTER_BOUND('',#46757,.T.); #26854=FACE_OUTER_BOUND('',#46758,.T.); #26855=FACE_OUTER_BOUND('',#46759,.T.); #26856=FACE_OUTER_BOUND('',#46760,.T.); #26857=FACE_OUTER_BOUND('',#46761,.T.); #26858=FACE_OUTER_BOUND('',#46762,.T.); #26859=FACE_OUTER_BOUND('',#46763,.T.); #26860=FACE_OUTER_BOUND('',#46764,.T.); #26861=FACE_OUTER_BOUND('',#46765,.T.); #26862=FACE_OUTER_BOUND('',#46766,.T.); #26863=FACE_OUTER_BOUND('',#46767,.T.); #26864=FACE_OUTER_BOUND('',#46768,.T.); #26865=FACE_OUTER_BOUND('',#46769,.T.); #26866=FACE_OUTER_BOUND('',#46770,.T.); #26867=FACE_OUTER_BOUND('',#46771,.T.); #26868=FACE_OUTER_BOUND('',#46772,.T.); #26869=FACE_OUTER_BOUND('',#46773,.T.); #26870=FACE_OUTER_BOUND('',#46774,.T.); #26871=FACE_OUTER_BOUND('',#46775,.T.); #26872=FACE_OUTER_BOUND('',#46776,.T.); #26873=FACE_OUTER_BOUND('',#46777,.T.); #26874=FACE_OUTER_BOUND('',#46778,.T.); #26875=FACE_OUTER_BOUND('',#46779,.T.); #26876=FACE_OUTER_BOUND('',#46780,.T.); #26877=FACE_OUTER_BOUND('',#46781,.T.); #26878=FACE_OUTER_BOUND('',#46782,.T.); #26879=FACE_OUTER_BOUND('',#46783,.T.); #26880=FACE_OUTER_BOUND('',#46784,.T.); #26881=FACE_OUTER_BOUND('',#46785,.T.); #26882=FACE_OUTER_BOUND('',#46786,.T.); #26883=FACE_OUTER_BOUND('',#46787,.T.); #26884=FACE_OUTER_BOUND('',#46788,.T.); #26885=FACE_OUTER_BOUND('',#46789,.T.); #26886=FACE_OUTER_BOUND('',#46790,.T.); #26887=FACE_OUTER_BOUND('',#46791,.T.); #26888=FACE_OUTER_BOUND('',#46792,.T.); #26889=FACE_OUTER_BOUND('',#46793,.T.); #26890=FACE_OUTER_BOUND('',#46794,.T.); #26891=FACE_OUTER_BOUND('',#46795,.T.); #26892=FACE_OUTER_BOUND('',#46796,.T.); #26893=FACE_OUTER_BOUND('',#46797,.T.); #26894=FACE_OUTER_BOUND('',#46798,.T.); #26895=FACE_OUTER_BOUND('',#46799,.T.); #26896=FACE_OUTER_BOUND('',#46800,.T.); #26897=FACE_OUTER_BOUND('',#46801,.T.); #26898=FACE_OUTER_BOUND('',#46802,.T.); #26899=FACE_OUTER_BOUND('',#46803,.T.); #26900=FACE_OUTER_BOUND('',#46804,.T.); #26901=FACE_OUTER_BOUND('',#46805,.T.); #26902=FACE_OUTER_BOUND('',#46806,.T.); #26903=FACE_OUTER_BOUND('',#46807,.T.); #26904=FACE_OUTER_BOUND('',#46808,.T.); #26905=FACE_OUTER_BOUND('',#46809,.T.); #26906=FACE_OUTER_BOUND('',#46810,.T.); #26907=FACE_OUTER_BOUND('',#46811,.T.); #26908=FACE_OUTER_BOUND('',#46812,.T.); #26909=FACE_OUTER_BOUND('',#46813,.T.); #26910=FACE_OUTER_BOUND('',#46814,.T.); #26911=FACE_OUTER_BOUND('',#46815,.T.); #26912=FACE_OUTER_BOUND('',#46816,.T.); #26913=FACE_OUTER_BOUND('',#46817,.T.); #26914=FACE_OUTER_BOUND('',#46818,.T.); #26915=FACE_OUTER_BOUND('',#46819,.T.); #26916=FACE_OUTER_BOUND('',#46820,.T.); #26917=FACE_OUTER_BOUND('',#46821,.T.); #26918=FACE_OUTER_BOUND('',#46822,.T.); #26919=FACE_OUTER_BOUND('',#46823,.T.); #26920=FACE_OUTER_BOUND('',#46824,.T.); #26921=FACE_OUTER_BOUND('',#46825,.T.); #26922=FACE_OUTER_BOUND('',#46826,.T.); #26923=FACE_OUTER_BOUND('',#46827,.T.); #26924=FACE_OUTER_BOUND('',#46828,.T.); #26925=FACE_OUTER_BOUND('',#46829,.T.); #26926=FACE_OUTER_BOUND('',#46830,.T.); #26927=FACE_OUTER_BOUND('',#46831,.T.); #26928=FACE_OUTER_BOUND('',#46832,.T.); #26929=FACE_OUTER_BOUND('',#46833,.T.); #26930=FACE_OUTER_BOUND('',#46834,.T.); #26931=FACE_OUTER_BOUND('',#46835,.T.); #26932=FACE_OUTER_BOUND('',#46836,.T.); #26933=FACE_OUTER_BOUND('',#46837,.T.); #26934=FACE_OUTER_BOUND('',#46838,.T.); #26935=FACE_OUTER_BOUND('',#46839,.T.); #26936=FACE_OUTER_BOUND('',#46840,.T.); #26937=FACE_OUTER_BOUND('',#46841,.T.); #26938=FACE_OUTER_BOUND('',#46842,.T.); #26939=FACE_OUTER_BOUND('',#46843,.T.); #26940=FACE_OUTER_BOUND('',#46844,.T.); #26941=FACE_OUTER_BOUND('',#46845,.T.); #26942=FACE_OUTER_BOUND('',#46846,.T.); #26943=FACE_OUTER_BOUND('',#46847,.T.); #26944=FACE_OUTER_BOUND('',#46848,.T.); #26945=FACE_OUTER_BOUND('',#46849,.T.); #26946=FACE_OUTER_BOUND('',#46850,.T.); #26947=FACE_OUTER_BOUND('',#46851,.T.); #26948=FACE_OUTER_BOUND('',#46852,.T.); #26949=FACE_OUTER_BOUND('',#46853,.T.); #26950=FACE_OUTER_BOUND('',#46854,.T.); #26951=FACE_OUTER_BOUND('',#46855,.T.); #26952=FACE_OUTER_BOUND('',#46856,.T.); #26953=FACE_OUTER_BOUND('',#46857,.T.); #26954=FACE_OUTER_BOUND('',#46858,.T.); #26955=FACE_OUTER_BOUND('',#46859,.T.); #26956=FACE_OUTER_BOUND('',#46860,.T.); #26957=FACE_OUTER_BOUND('',#46861,.T.); #26958=FACE_OUTER_BOUND('',#46862,.T.); #26959=FACE_OUTER_BOUND('',#46863,.T.); #26960=FACE_OUTER_BOUND('',#46864,.T.); #26961=FACE_OUTER_BOUND('',#46865,.T.); #26962=FACE_OUTER_BOUND('',#46866,.T.); #26963=FACE_OUTER_BOUND('',#46867,.T.); #26964=FACE_OUTER_BOUND('',#46868,.T.); #26965=FACE_OUTER_BOUND('',#46869,.T.); #26966=FACE_OUTER_BOUND('',#46870,.T.); #26967=FACE_OUTER_BOUND('',#46871,.T.); #26968=FACE_OUTER_BOUND('',#46872,.T.); #26969=FACE_OUTER_BOUND('',#46873,.T.); #26970=FACE_OUTER_BOUND('',#46874,.T.); #26971=FACE_OUTER_BOUND('',#46875,.T.); #26972=FACE_OUTER_BOUND('',#46876,.T.); #26973=FACE_OUTER_BOUND('',#46877,.T.); #26974=FACE_OUTER_BOUND('',#46878,.T.); #26975=FACE_OUTER_BOUND('',#46879,.T.); #26976=FACE_OUTER_BOUND('',#46880,.T.); #26977=FACE_OUTER_BOUND('',#46881,.T.); #26978=FACE_OUTER_BOUND('',#46882,.T.); #26979=FACE_OUTER_BOUND('',#46883,.T.); #26980=FACE_OUTER_BOUND('',#46884,.T.); #26981=FACE_OUTER_BOUND('',#46885,.T.); #26982=FACE_OUTER_BOUND('',#46886,.T.); #26983=FACE_OUTER_BOUND('',#46887,.T.); #26984=FACE_OUTER_BOUND('',#46888,.T.); #26985=FACE_OUTER_BOUND('',#46889,.T.); #26986=FACE_OUTER_BOUND('',#46890,.T.); #26987=FACE_OUTER_BOUND('',#46891,.T.); #26988=FACE_OUTER_BOUND('',#46892,.T.); #26989=FACE_OUTER_BOUND('',#46893,.T.); #26990=FACE_OUTER_BOUND('',#46894,.T.); #26991=FACE_OUTER_BOUND('',#46895,.T.); #26992=FACE_OUTER_BOUND('',#46896,.T.); #26993=FACE_OUTER_BOUND('',#46897,.T.); #26994=FACE_OUTER_BOUND('',#46898,.T.); #26995=FACE_OUTER_BOUND('',#46899,.T.); #26996=FACE_OUTER_BOUND('',#46900,.T.); #26997=FACE_OUTER_BOUND('',#46901,.T.); #26998=FACE_OUTER_BOUND('',#46902,.T.); #26999=FACE_OUTER_BOUND('',#46903,.T.); #27000=FACE_OUTER_BOUND('',#46904,.T.); #27001=FACE_OUTER_BOUND('',#46905,.T.); #27002=FACE_OUTER_BOUND('',#46906,.T.); #27003=FACE_OUTER_BOUND('',#46907,.T.); #27004=FACE_OUTER_BOUND('',#46908,.T.); #27005=FACE_OUTER_BOUND('',#46909,.T.); #27006=FACE_OUTER_BOUND('',#46910,.T.); #27007=FACE_OUTER_BOUND('',#46911,.T.); #27008=FACE_OUTER_BOUND('',#46912,.T.); #27009=FACE_OUTER_BOUND('',#46913,.T.); #27010=FACE_OUTER_BOUND('',#46914,.T.); #27011=FACE_OUTER_BOUND('',#46915,.T.); #27012=FACE_OUTER_BOUND('',#46916,.T.); #27013=FACE_OUTER_BOUND('',#46917,.T.); #27014=FACE_OUTER_BOUND('',#46918,.T.); #27015=FACE_OUTER_BOUND('',#46919,.T.); #27016=FACE_OUTER_BOUND('',#46920,.T.); #27017=FACE_OUTER_BOUND('',#46921,.T.); #27018=FACE_OUTER_BOUND('',#46922,.T.); #27019=FACE_OUTER_BOUND('',#46923,.T.); #27020=FACE_OUTER_BOUND('',#46924,.T.); #27021=FACE_OUTER_BOUND('',#46925,.T.); #27022=FACE_OUTER_BOUND('',#46926,.T.); #27023=FACE_OUTER_BOUND('',#46927,.T.); #27024=FACE_OUTER_BOUND('',#46928,.T.); #27025=FACE_OUTER_BOUND('',#46929,.T.); #27026=FACE_OUTER_BOUND('',#46930,.T.); #27027=FACE_OUTER_BOUND('',#46931,.T.); #27028=FACE_OUTER_BOUND('',#46932,.T.); #27029=FACE_OUTER_BOUND('',#46933,.T.); #27030=FACE_OUTER_BOUND('',#46934,.T.); #27031=FACE_OUTER_BOUND('',#46935,.T.); #27032=FACE_OUTER_BOUND('',#46936,.T.); #27033=FACE_OUTER_BOUND('',#46937,.T.); #27034=FACE_OUTER_BOUND('',#46938,.T.); #27035=FACE_OUTER_BOUND('',#46939,.T.); #27036=FACE_OUTER_BOUND('',#46940,.T.); #27037=FACE_OUTER_BOUND('',#46941,.T.); #27038=FACE_OUTER_BOUND('',#46942,.T.); #27039=FACE_OUTER_BOUND('',#46943,.T.); #27040=FACE_OUTER_BOUND('',#46944,.T.); #27041=FACE_OUTER_BOUND('',#46945,.T.); #27042=FACE_OUTER_BOUND('',#46946,.T.); #27043=FACE_OUTER_BOUND('',#46947,.T.); #27044=FACE_OUTER_BOUND('',#46948,.T.); #27045=FACE_OUTER_BOUND('',#46949,.T.); #27046=FACE_OUTER_BOUND('',#46950,.T.); #27047=FACE_OUTER_BOUND('',#46951,.T.); #27048=FACE_OUTER_BOUND('',#46952,.T.); #27049=FACE_OUTER_BOUND('',#46953,.T.); #27050=FACE_OUTER_BOUND('',#46954,.T.); #27051=FACE_OUTER_BOUND('',#46955,.T.); #27052=FACE_OUTER_BOUND('',#46956,.T.); #27053=FACE_OUTER_BOUND('',#46957,.T.); #27054=FACE_OUTER_BOUND('',#46958,.T.); #27055=FACE_OUTER_BOUND('',#46959,.T.); #27056=FACE_OUTER_BOUND('',#46960,.T.); #27057=FACE_OUTER_BOUND('',#46961,.T.); #27058=FACE_OUTER_BOUND('',#46962,.T.); #27059=FACE_OUTER_BOUND('',#46963,.T.); #27060=FACE_OUTER_BOUND('',#46964,.T.); #27061=FACE_OUTER_BOUND('',#46965,.T.); #27062=FACE_OUTER_BOUND('',#46966,.T.); #27063=FACE_OUTER_BOUND('',#46967,.T.); #27064=FACE_OUTER_BOUND('',#46968,.T.); #27065=FACE_OUTER_BOUND('',#46969,.T.); #27066=FACE_OUTER_BOUND('',#46970,.T.); #27067=FACE_OUTER_BOUND('',#46971,.T.); #27068=FACE_OUTER_BOUND('',#46972,.T.); #27069=FACE_OUTER_BOUND('',#46973,.T.); #27070=FACE_OUTER_BOUND('',#46974,.T.); #27071=FACE_OUTER_BOUND('',#46975,.T.); #27072=FACE_OUTER_BOUND('',#46976,.T.); #27073=FACE_OUTER_BOUND('',#46977,.T.); #27074=FACE_OUTER_BOUND('',#46978,.T.); #27075=FACE_OUTER_BOUND('',#46979,.T.); #27076=FACE_OUTER_BOUND('',#46980,.T.); #27077=FACE_OUTER_BOUND('',#46981,.T.); #27078=FACE_OUTER_BOUND('',#46982,.T.); #27079=FACE_OUTER_BOUND('',#46983,.T.); #27080=FACE_OUTER_BOUND('',#46984,.T.); #27081=FACE_OUTER_BOUND('',#46985,.T.); #27082=FACE_OUTER_BOUND('',#46986,.T.); #27083=FACE_OUTER_BOUND('',#46987,.T.); #27084=FACE_OUTER_BOUND('',#46988,.T.); #27085=FACE_OUTER_BOUND('',#46989,.T.); #27086=FACE_OUTER_BOUND('',#46990,.T.); #27087=FACE_OUTER_BOUND('',#46991,.T.); #27088=FACE_OUTER_BOUND('',#46992,.T.); #27089=FACE_OUTER_BOUND('',#46993,.T.); #27090=FACE_OUTER_BOUND('',#46994,.T.); #27091=FACE_OUTER_BOUND('',#46995,.T.); #27092=FACE_OUTER_BOUND('',#46996,.T.); #27093=FACE_OUTER_BOUND('',#46997,.T.); #27094=FACE_OUTER_BOUND('',#46998,.T.); #27095=FACE_OUTER_BOUND('',#46999,.T.); #27096=FACE_OUTER_BOUND('',#47000,.T.); #27097=FACE_OUTER_BOUND('',#47001,.T.); #27098=FACE_OUTER_BOUND('',#47002,.T.); #27099=FACE_OUTER_BOUND('',#47003,.T.); #27100=FACE_OUTER_BOUND('',#47004,.T.); #27101=FACE_OUTER_BOUND('',#47005,.T.); #27102=FACE_OUTER_BOUND('',#47006,.T.); #27103=FACE_OUTER_BOUND('',#47007,.T.); #27104=FACE_OUTER_BOUND('',#47008,.T.); #27105=FACE_OUTER_BOUND('',#47009,.T.); #27106=FACE_OUTER_BOUND('',#47010,.T.); #27107=FACE_OUTER_BOUND('',#47011,.T.); #27108=FACE_OUTER_BOUND('',#47012,.T.); #27109=FACE_OUTER_BOUND('',#47013,.T.); #27110=FACE_OUTER_BOUND('',#47014,.T.); #27111=FACE_OUTER_BOUND('',#47015,.T.); #27112=FACE_OUTER_BOUND('',#47016,.T.); #27113=FACE_OUTER_BOUND('',#47017,.T.); #27114=FACE_OUTER_BOUND('',#47018,.T.); #27115=FACE_OUTER_BOUND('',#47019,.T.); #27116=FACE_OUTER_BOUND('',#47020,.T.); #27117=FACE_OUTER_BOUND('',#47021,.T.); #27118=FACE_OUTER_BOUND('',#47022,.T.); #27119=FACE_OUTER_BOUND('',#47023,.T.); #27120=FACE_OUTER_BOUND('',#47024,.T.); #27121=FACE_OUTER_BOUND('',#47025,.T.); #27122=FACE_OUTER_BOUND('',#47026,.T.); #27123=FACE_OUTER_BOUND('',#47027,.T.); #27124=FACE_OUTER_BOUND('',#47028,.T.); #27125=FACE_OUTER_BOUND('',#47029,.T.); #27126=FACE_OUTER_BOUND('',#47030,.T.); #27127=FACE_OUTER_BOUND('',#47031,.T.); #27128=FACE_OUTER_BOUND('',#47032,.T.); #27129=FACE_OUTER_BOUND('',#47033,.T.); #27130=FACE_OUTER_BOUND('',#47034,.T.); #27131=FACE_OUTER_BOUND('',#47035,.T.); #27132=FACE_OUTER_BOUND('',#47036,.T.); #27133=FACE_OUTER_BOUND('',#47037,.T.); #27134=FACE_OUTER_BOUND('',#47038,.T.); #27135=FACE_OUTER_BOUND('',#47039,.T.); #27136=FACE_OUTER_BOUND('',#47040,.T.); #27137=FACE_OUTER_BOUND('',#47041,.T.); #27138=FACE_OUTER_BOUND('',#47042,.T.); #27139=FACE_OUTER_BOUND('',#47043,.T.); #27140=FACE_OUTER_BOUND('',#47044,.T.); #27141=FACE_OUTER_BOUND('',#47045,.T.); #27142=FACE_OUTER_BOUND('',#47046,.T.); #27143=FACE_OUTER_BOUND('',#47047,.T.); #27144=FACE_OUTER_BOUND('',#47048,.T.); #27145=FACE_OUTER_BOUND('',#47049,.T.); #27146=FACE_OUTER_BOUND('',#47050,.T.); #27147=FACE_OUTER_BOUND('',#47051,.T.); #27148=FACE_OUTER_BOUND('',#47052,.T.); #27149=FACE_OUTER_BOUND('',#47053,.T.); #27150=FACE_OUTER_BOUND('',#47054,.T.); #27151=FACE_OUTER_BOUND('',#47055,.T.); #27152=FACE_OUTER_BOUND('',#47056,.T.); #27153=FACE_OUTER_BOUND('',#47057,.T.); #27154=FACE_OUTER_BOUND('',#47058,.T.); #27155=FACE_OUTER_BOUND('',#47059,.T.); #27156=FACE_OUTER_BOUND('',#47060,.T.); #27157=FACE_OUTER_BOUND('',#47061,.T.); #27158=FACE_OUTER_BOUND('',#47062,.T.); #27159=FACE_OUTER_BOUND('',#47063,.T.); #27160=FACE_OUTER_BOUND('',#47064,.T.); #27161=FACE_OUTER_BOUND('',#47065,.T.); #27162=FACE_OUTER_BOUND('',#47066,.T.); #27163=FACE_OUTER_BOUND('',#47067,.T.); #27164=FACE_OUTER_BOUND('',#47068,.T.); #27165=FACE_OUTER_BOUND('',#47069,.T.); #27166=FACE_OUTER_BOUND('',#47070,.T.); #27167=FACE_OUTER_BOUND('',#47071,.T.); #27168=FACE_OUTER_BOUND('',#47072,.T.); #27169=FACE_OUTER_BOUND('',#47073,.T.); #27170=FACE_OUTER_BOUND('',#47074,.T.); #27171=FACE_OUTER_BOUND('',#47075,.T.); #27172=FACE_OUTER_BOUND('',#47076,.T.); #27173=FACE_OUTER_BOUND('',#47077,.T.); #27174=FACE_OUTER_BOUND('',#47078,.T.); #27175=FACE_OUTER_BOUND('',#47079,.T.); #27176=FACE_OUTER_BOUND('',#47080,.T.); #27177=FACE_OUTER_BOUND('',#47081,.T.); #27178=FACE_OUTER_BOUND('',#47082,.T.); #27179=FACE_OUTER_BOUND('',#47083,.T.); #27180=FACE_OUTER_BOUND('',#47084,.T.); #27181=FACE_OUTER_BOUND('',#47085,.T.); #27182=FACE_OUTER_BOUND('',#47086,.T.); #27183=FACE_OUTER_BOUND('',#47087,.T.); #27184=FACE_OUTER_BOUND('',#47088,.T.); #27185=FACE_OUTER_BOUND('',#47089,.T.); #27186=FACE_OUTER_BOUND('',#47090,.T.); #27187=FACE_OUTER_BOUND('',#47091,.T.); #27188=FACE_OUTER_BOUND('',#47092,.T.); #27189=FACE_OUTER_BOUND('',#47093,.T.); #27190=FACE_OUTER_BOUND('',#47094,.T.); #27191=FACE_OUTER_BOUND('',#47095,.T.); #27192=FACE_OUTER_BOUND('',#47096,.T.); #27193=FACE_OUTER_BOUND('',#47097,.T.); #27194=FACE_OUTER_BOUND('',#47098,.T.); #27195=FACE_OUTER_BOUND('',#47099,.T.); #27196=FACE_OUTER_BOUND('',#47100,.T.); #27197=FACE_OUTER_BOUND('',#47101,.T.); #27198=FACE_OUTER_BOUND('',#47102,.T.); #27199=FACE_OUTER_BOUND('',#47103,.T.); #27200=FACE_OUTER_BOUND('',#47104,.T.); #27201=FACE_OUTER_BOUND('',#47105,.T.); #27202=FACE_OUTER_BOUND('',#47106,.T.); #27203=FACE_OUTER_BOUND('',#47107,.T.); #27204=FACE_OUTER_BOUND('',#47108,.T.); #27205=FACE_OUTER_BOUND('',#47109,.T.); #27206=FACE_OUTER_BOUND('',#47110,.T.); #27207=FACE_OUTER_BOUND('',#47111,.T.); #27208=FACE_OUTER_BOUND('',#47112,.T.); #27209=FACE_OUTER_BOUND('',#47113,.T.); #27210=FACE_OUTER_BOUND('',#47114,.T.); #27211=FACE_OUTER_BOUND('',#47115,.T.); #27212=FACE_OUTER_BOUND('',#47116,.T.); #27213=FACE_OUTER_BOUND('',#47117,.T.); #27214=FACE_OUTER_BOUND('',#47118,.T.); #27215=FACE_OUTER_BOUND('',#47119,.T.); #27216=FACE_OUTER_BOUND('',#47120,.T.); #27217=FACE_OUTER_BOUND('',#47121,.T.); #27218=FACE_OUTER_BOUND('',#47122,.T.); #27219=FACE_OUTER_BOUND('',#47123,.T.); #27220=FACE_OUTER_BOUND('',#47124,.T.); #27221=FACE_OUTER_BOUND('',#47125,.T.); #27222=FACE_OUTER_BOUND('',#47126,.T.); #27223=FACE_OUTER_BOUND('',#47127,.T.); #27224=FACE_OUTER_BOUND('',#47128,.T.); #27225=FACE_OUTER_BOUND('',#47129,.T.); #27226=FACE_OUTER_BOUND('',#47130,.T.); #27227=FACE_OUTER_BOUND('',#47131,.T.); #27228=FACE_OUTER_BOUND('',#47132,.T.); #27229=FACE_OUTER_BOUND('',#47133,.T.); #27230=FACE_OUTER_BOUND('',#47134,.T.); #27231=FACE_OUTER_BOUND('',#47135,.T.); #27232=FACE_OUTER_BOUND('',#47136,.T.); #27233=FACE_OUTER_BOUND('',#47137,.T.); #27234=FACE_OUTER_BOUND('',#47138,.T.); #27235=FACE_OUTER_BOUND('',#47139,.T.); #27236=FACE_OUTER_BOUND('',#47140,.T.); #27237=FACE_OUTER_BOUND('',#47141,.T.); #27238=FACE_OUTER_BOUND('',#47142,.T.); #27239=FACE_OUTER_BOUND('',#47143,.T.); #27240=FACE_OUTER_BOUND('',#47144,.T.); #27241=FACE_OUTER_BOUND('',#47145,.T.); #27242=FACE_OUTER_BOUND('',#47146,.T.); #27243=FACE_OUTER_BOUND('',#47147,.T.); #27244=FACE_OUTER_BOUND('',#47148,.T.); #27245=FACE_OUTER_BOUND('',#47149,.T.); #27246=FACE_OUTER_BOUND('',#47150,.T.); #27247=FACE_OUTER_BOUND('',#47151,.T.); #27248=FACE_OUTER_BOUND('',#47152,.T.); #27249=FACE_OUTER_BOUND('',#47153,.T.); #27250=FACE_OUTER_BOUND('',#47154,.T.); #27251=FACE_OUTER_BOUND('',#47155,.T.); #27252=FACE_OUTER_BOUND('',#47156,.T.); #27253=FACE_OUTER_BOUND('',#47157,.T.); #27254=FACE_OUTER_BOUND('',#47158,.T.); #27255=FACE_OUTER_BOUND('',#47159,.T.); #27256=FACE_OUTER_BOUND('',#47160,.T.); #27257=FACE_OUTER_BOUND('',#47161,.T.); #27258=FACE_OUTER_BOUND('',#47162,.T.); #27259=FACE_OUTER_BOUND('',#47163,.T.); #27260=FACE_OUTER_BOUND('',#47164,.T.); #27261=FACE_OUTER_BOUND('',#47165,.T.); #27262=FACE_OUTER_BOUND('',#47166,.T.); #27263=FACE_OUTER_BOUND('',#47167,.T.); #27264=FACE_OUTER_BOUND('',#47168,.T.); #27265=FACE_OUTER_BOUND('',#47169,.T.); #27266=FACE_OUTER_BOUND('',#47170,.T.); #27267=FACE_OUTER_BOUND('',#47171,.T.); #27268=FACE_OUTER_BOUND('',#47172,.T.); #27269=FACE_OUTER_BOUND('',#47173,.T.); #27270=FACE_OUTER_BOUND('',#47174,.T.); #27271=FACE_OUTER_BOUND('',#47175,.T.); #27272=FACE_OUTER_BOUND('',#47176,.T.); #27273=FACE_OUTER_BOUND('',#47177,.T.); #27274=FACE_OUTER_BOUND('',#47178,.T.); #27275=FACE_OUTER_BOUND('',#47179,.T.); #27276=FACE_OUTER_BOUND('',#47180,.T.); #27277=FACE_OUTER_BOUND('',#47181,.T.); #27278=FACE_OUTER_BOUND('',#47182,.T.); #27279=FACE_OUTER_BOUND('',#47183,.T.); #27280=FACE_OUTER_BOUND('',#47184,.T.); #27281=FACE_OUTER_BOUND('',#47185,.T.); #27282=FACE_OUTER_BOUND('',#47186,.T.); #27283=FACE_OUTER_BOUND('',#47187,.T.); #27284=FACE_OUTER_BOUND('',#47188,.T.); #27285=FACE_OUTER_BOUND('',#47189,.T.); #27286=FACE_OUTER_BOUND('',#47190,.T.); #27287=FACE_OUTER_BOUND('',#47191,.T.); #27288=FACE_OUTER_BOUND('',#47192,.T.); #27289=FACE_OUTER_BOUND('',#47193,.T.); #27290=FACE_OUTER_BOUND('',#47194,.T.); #27291=FACE_OUTER_BOUND('',#47195,.T.); #27292=FACE_OUTER_BOUND('',#47196,.T.); #27293=FACE_OUTER_BOUND('',#47197,.T.); #27294=FACE_OUTER_BOUND('',#47198,.T.); #27295=FACE_OUTER_BOUND('',#47199,.T.); #27296=FACE_OUTER_BOUND('',#47200,.T.); #27297=FACE_OUTER_BOUND('',#47201,.T.); #27298=FACE_OUTER_BOUND('',#47202,.T.); #27299=FACE_OUTER_BOUND('',#47203,.T.); #27300=FACE_OUTER_BOUND('',#47204,.T.); #27301=FACE_OUTER_BOUND('',#47205,.T.); #27302=FACE_OUTER_BOUND('',#47206,.T.); #27303=FACE_OUTER_BOUND('',#47207,.T.); #27304=FACE_OUTER_BOUND('',#47208,.T.); #27305=FACE_OUTER_BOUND('',#47209,.T.); #27306=FACE_OUTER_BOUND('',#47210,.T.); #27307=FACE_OUTER_BOUND('',#47211,.T.); #27308=FACE_OUTER_BOUND('',#47212,.T.); #27309=FACE_OUTER_BOUND('',#47213,.T.); #27310=FACE_OUTER_BOUND('',#47214,.T.); #27311=FACE_OUTER_BOUND('',#47215,.T.); #27312=FACE_OUTER_BOUND('',#47216,.T.); #27313=FACE_OUTER_BOUND('',#47217,.T.); #27314=FACE_OUTER_BOUND('',#47218,.T.); #27315=FACE_OUTER_BOUND('',#47219,.T.); #27316=FACE_OUTER_BOUND('',#47220,.T.); #27317=FACE_OUTER_BOUND('',#47221,.T.); #27318=FACE_OUTER_BOUND('',#47222,.T.); #27319=FACE_OUTER_BOUND('',#47223,.T.); #27320=FACE_OUTER_BOUND('',#47224,.T.); #27321=FACE_OUTER_BOUND('',#47225,.T.); #27322=FACE_OUTER_BOUND('',#47226,.T.); #27323=FACE_OUTER_BOUND('',#47227,.T.); #27324=FACE_OUTER_BOUND('',#47228,.T.); #27325=FACE_OUTER_BOUND('',#47229,.T.); #27326=FACE_OUTER_BOUND('',#47230,.T.); #27327=FACE_OUTER_BOUND('',#47231,.T.); #27328=FACE_OUTER_BOUND('',#47232,.T.); #27329=FACE_OUTER_BOUND('',#47233,.T.); #27330=FACE_OUTER_BOUND('',#47234,.T.); #27331=FACE_OUTER_BOUND('',#47235,.T.); #27332=FACE_OUTER_BOUND('',#47236,.T.); #27333=FACE_OUTER_BOUND('',#47237,.T.); #27334=FACE_OUTER_BOUND('',#47238,.T.); #27335=FACE_OUTER_BOUND('',#47239,.T.); #27336=FACE_OUTER_BOUND('',#47240,.T.); #27337=FACE_OUTER_BOUND('',#47241,.T.); #27338=FACE_OUTER_BOUND('',#47242,.T.); #27339=FACE_OUTER_BOUND('',#47243,.T.); #27340=FACE_OUTER_BOUND('',#47244,.T.); #27341=FACE_OUTER_BOUND('',#47245,.T.); #27342=FACE_OUTER_BOUND('',#47246,.T.); #27343=FACE_OUTER_BOUND('',#47247,.T.); #27344=FACE_OUTER_BOUND('',#47248,.T.); #27345=FACE_OUTER_BOUND('',#47249,.T.); #27346=FACE_OUTER_BOUND('',#47250,.T.); #27347=FACE_OUTER_BOUND('',#47251,.T.); #27348=FACE_OUTER_BOUND('',#47252,.T.); #27349=FACE_OUTER_BOUND('',#47253,.T.); #27350=FACE_OUTER_BOUND('',#47254,.T.); #27351=FACE_OUTER_BOUND('',#47255,.T.); #27352=FACE_OUTER_BOUND('',#47256,.T.); #27353=FACE_OUTER_BOUND('',#47257,.T.); #27354=FACE_OUTER_BOUND('',#47258,.T.); #27355=FACE_OUTER_BOUND('',#47259,.T.); #27356=FACE_OUTER_BOUND('',#47260,.T.); #27357=FACE_OUTER_BOUND('',#47261,.T.); #27358=FACE_OUTER_BOUND('',#47262,.T.); #27359=FACE_OUTER_BOUND('',#47263,.T.); #27360=FACE_OUTER_BOUND('',#47264,.T.); #27361=FACE_OUTER_BOUND('',#47265,.T.); #27362=FACE_OUTER_BOUND('',#47266,.T.); #27363=FACE_OUTER_BOUND('',#47267,.T.); #27364=FACE_OUTER_BOUND('',#47268,.T.); #27365=FACE_OUTER_BOUND('',#47269,.T.); #27366=FACE_OUTER_BOUND('',#47270,.T.); #27367=FACE_OUTER_BOUND('',#47271,.T.); #27368=FACE_OUTER_BOUND('',#47272,.T.); #27369=FACE_OUTER_BOUND('',#47273,.T.); #27370=FACE_OUTER_BOUND('',#47274,.T.); #27371=FACE_OUTER_BOUND('',#47275,.T.); #27372=FACE_OUTER_BOUND('',#47276,.T.); #27373=FACE_OUTER_BOUND('',#47277,.T.); #27374=FACE_OUTER_BOUND('',#47278,.T.); #27375=FACE_OUTER_BOUND('',#47279,.T.); #27376=FACE_OUTER_BOUND('',#47280,.T.); #27377=FACE_OUTER_BOUND('',#47281,.T.); #27378=FACE_OUTER_BOUND('',#47282,.T.); #27379=FACE_OUTER_BOUND('',#47283,.T.); #27380=FACE_OUTER_BOUND('',#47284,.T.); #27381=FACE_OUTER_BOUND('',#47285,.T.); #27382=FACE_OUTER_BOUND('',#47286,.T.); #27383=FACE_OUTER_BOUND('',#47287,.T.); #27384=FACE_OUTER_BOUND('',#47288,.T.); #27385=FACE_OUTER_BOUND('',#47289,.T.); #27386=FACE_OUTER_BOUND('',#47290,.T.); #27387=FACE_OUTER_BOUND('',#47291,.T.); #27388=FACE_OUTER_BOUND('',#47292,.T.); #27389=FACE_OUTER_BOUND('',#47293,.T.); #27390=FACE_OUTER_BOUND('',#47294,.T.); #27391=FACE_OUTER_BOUND('',#47295,.T.); #27392=FACE_OUTER_BOUND('',#47296,.T.); #27393=FACE_OUTER_BOUND('',#47297,.T.); #27394=FACE_OUTER_BOUND('',#47298,.T.); #27395=FACE_OUTER_BOUND('',#47299,.T.); #27396=FACE_OUTER_BOUND('',#47300,.T.); #27397=FACE_OUTER_BOUND('',#47301,.T.); #27398=FACE_OUTER_BOUND('',#47302,.T.); #27399=FACE_OUTER_BOUND('',#47303,.T.); #27400=FACE_OUTER_BOUND('',#47304,.T.); #27401=FACE_OUTER_BOUND('',#47305,.T.); #27402=FACE_OUTER_BOUND('',#47306,.T.); #27403=FACE_OUTER_BOUND('',#47307,.T.); #27404=FACE_OUTER_BOUND('',#47308,.T.); #27405=FACE_OUTER_BOUND('',#47309,.T.); #27406=FACE_OUTER_BOUND('',#47310,.T.); #27407=FACE_OUTER_BOUND('',#47311,.T.); #27408=FACE_OUTER_BOUND('',#47312,.T.); #27409=FACE_OUTER_BOUND('',#47313,.T.); #27410=FACE_OUTER_BOUND('',#47314,.T.); #27411=FACE_OUTER_BOUND('',#47315,.T.); #27412=FACE_OUTER_BOUND('',#47316,.T.); #27413=FACE_OUTER_BOUND('',#47317,.T.); #27414=FACE_OUTER_BOUND('',#47318,.T.); #27415=FACE_OUTER_BOUND('',#47319,.T.); #27416=FACE_OUTER_BOUND('',#47320,.T.); #27417=FACE_OUTER_BOUND('',#47321,.T.); #27418=FACE_OUTER_BOUND('',#47322,.T.); #27419=FACE_OUTER_BOUND('',#47323,.T.); #27420=FACE_OUTER_BOUND('',#47324,.T.); #27421=FACE_OUTER_BOUND('',#47325,.T.); #27422=FACE_OUTER_BOUND('',#47326,.T.); #27423=FACE_OUTER_BOUND('',#47327,.T.); #27424=FACE_OUTER_BOUND('',#47328,.T.); #27425=FACE_OUTER_BOUND('',#47329,.T.); #27426=FACE_OUTER_BOUND('',#47330,.T.); #27427=FACE_OUTER_BOUND('',#47331,.T.); #27428=FACE_OUTER_BOUND('',#47332,.T.); #27429=FACE_OUTER_BOUND('',#47333,.T.); #27430=FACE_OUTER_BOUND('',#47334,.T.); #27431=FACE_OUTER_BOUND('',#47335,.T.); #27432=FACE_OUTER_BOUND('',#47336,.T.); #27433=FACE_OUTER_BOUND('',#47337,.T.); #27434=FACE_OUTER_BOUND('',#47338,.T.); #27435=FACE_OUTER_BOUND('',#47339,.T.); #27436=FACE_OUTER_BOUND('',#47340,.T.); #27437=FACE_OUTER_BOUND('',#47341,.T.); #27438=FACE_OUTER_BOUND('',#47342,.T.); #27439=FACE_OUTER_BOUND('',#47343,.T.); #27440=FACE_OUTER_BOUND('',#47344,.T.); #27441=FACE_OUTER_BOUND('',#47345,.T.); #27442=FACE_OUTER_BOUND('',#47346,.T.); #27443=FACE_OUTER_BOUND('',#47347,.T.); #27444=FACE_OUTER_BOUND('',#47348,.T.); #27445=FACE_OUTER_BOUND('',#47349,.T.); #27446=FACE_OUTER_BOUND('',#47350,.T.); #27447=FACE_OUTER_BOUND('',#47351,.T.); #27448=FACE_OUTER_BOUND('',#47352,.T.); #27449=FACE_OUTER_BOUND('',#47353,.T.); #27450=FACE_OUTER_BOUND('',#47354,.T.); #27451=FACE_OUTER_BOUND('',#47355,.T.); #27452=FACE_OUTER_BOUND('',#47356,.T.); #27453=FACE_OUTER_BOUND('',#47357,.T.); #27454=FACE_OUTER_BOUND('',#47358,.T.); #27455=FACE_OUTER_BOUND('',#47359,.T.); #27456=FACE_OUTER_BOUND('',#47360,.T.); #27457=FACE_OUTER_BOUND('',#47361,.T.); #27458=FACE_OUTER_BOUND('',#47362,.T.); #27459=FACE_OUTER_BOUND('',#47363,.T.); #27460=FACE_OUTER_BOUND('',#47364,.T.); #27461=FACE_OUTER_BOUND('',#47365,.T.); #27462=FACE_OUTER_BOUND('',#47366,.T.); #27463=FACE_OUTER_BOUND('',#47367,.T.); #27464=FACE_OUTER_BOUND('',#47368,.T.); #27465=FACE_OUTER_BOUND('',#47369,.T.); #27466=FACE_OUTER_BOUND('',#47370,.T.); #27467=FACE_OUTER_BOUND('',#47371,.T.); #27468=FACE_OUTER_BOUND('',#47372,.T.); #27469=FACE_OUTER_BOUND('',#47373,.T.); #27470=FACE_OUTER_BOUND('',#47374,.T.); #27471=FACE_OUTER_BOUND('',#47375,.T.); #27472=FACE_OUTER_BOUND('',#47376,.T.); #27473=FACE_OUTER_BOUND('',#47377,.T.); #27474=FACE_OUTER_BOUND('',#47378,.T.); #27475=FACE_OUTER_BOUND('',#47379,.T.); #27476=FACE_OUTER_BOUND('',#47380,.T.); #27477=FACE_OUTER_BOUND('',#47381,.T.); #27478=FACE_OUTER_BOUND('',#47382,.T.); #27479=FACE_OUTER_BOUND('',#47383,.T.); #27480=FACE_OUTER_BOUND('',#47384,.T.); #27481=FACE_OUTER_BOUND('',#47385,.T.); #27482=FACE_OUTER_BOUND('',#47386,.T.); #27483=FACE_OUTER_BOUND('',#47387,.T.); #27484=FACE_OUTER_BOUND('',#47388,.T.); #27485=FACE_OUTER_BOUND('',#47389,.T.); #27486=FACE_OUTER_BOUND('',#47390,.T.); #27487=FACE_OUTER_BOUND('',#47391,.T.); #27488=FACE_OUTER_BOUND('',#47392,.T.); #27489=FACE_OUTER_BOUND('',#47393,.T.); #27490=FACE_OUTER_BOUND('',#47394,.T.); #27491=FACE_OUTER_BOUND('',#47395,.T.); #27492=FACE_OUTER_BOUND('',#47396,.T.); #27493=FACE_OUTER_BOUND('',#47397,.T.); #27494=FACE_OUTER_BOUND('',#47398,.T.); #27495=FACE_OUTER_BOUND('',#47399,.T.); #27496=FACE_OUTER_BOUND('',#47400,.T.); #27497=FACE_OUTER_BOUND('',#47401,.T.); #27498=FACE_OUTER_BOUND('',#47402,.T.); #27499=FACE_OUTER_BOUND('',#47403,.T.); #27500=FACE_OUTER_BOUND('',#47404,.T.); #27501=FACE_OUTER_BOUND('',#47405,.T.); #27502=FACE_OUTER_BOUND('',#47406,.T.); #27503=FACE_OUTER_BOUND('',#47407,.T.); #27504=FACE_OUTER_BOUND('',#47408,.T.); #27505=FACE_OUTER_BOUND('',#47409,.T.); #27506=FACE_OUTER_BOUND('',#47410,.T.); #27507=FACE_OUTER_BOUND('',#47411,.T.); #27508=FACE_OUTER_BOUND('',#47412,.T.); #27509=FACE_OUTER_BOUND('',#47413,.T.); #27510=FACE_OUTER_BOUND('',#47414,.T.); #27511=FACE_OUTER_BOUND('',#47415,.T.); #27512=FACE_OUTER_BOUND('',#47416,.T.); #27513=FACE_OUTER_BOUND('',#47417,.T.); #27514=FACE_OUTER_BOUND('',#47418,.T.); #27515=FACE_OUTER_BOUND('',#47419,.T.); #27516=FACE_OUTER_BOUND('',#47420,.T.); #27517=FACE_OUTER_BOUND('',#47421,.T.); #27518=FACE_OUTER_BOUND('',#47422,.T.); #27519=FACE_OUTER_BOUND('',#47423,.T.); #27520=FACE_OUTER_BOUND('',#47424,.T.); #27521=FACE_OUTER_BOUND('',#47425,.T.); #27522=FACE_OUTER_BOUND('',#47426,.T.); #27523=FACE_OUTER_BOUND('',#47427,.T.); #27524=FACE_OUTER_BOUND('',#47428,.T.); #27525=FACE_OUTER_BOUND('',#47429,.T.); #27526=FACE_OUTER_BOUND('',#47430,.T.); #27527=FACE_OUTER_BOUND('',#47431,.T.); #27528=FACE_OUTER_BOUND('',#47432,.T.); #27529=FACE_OUTER_BOUND('',#47433,.T.); #27530=FACE_OUTER_BOUND('',#47434,.T.); #27531=FACE_OUTER_BOUND('',#47435,.T.); #27532=FACE_OUTER_BOUND('',#47436,.T.); #27533=FACE_OUTER_BOUND('',#47437,.T.); #27534=FACE_OUTER_BOUND('',#47438,.T.); #27535=FACE_OUTER_BOUND('',#47439,.T.); #27536=FACE_OUTER_BOUND('',#47440,.T.); #27537=FACE_OUTER_BOUND('',#47441,.T.); #27538=FACE_OUTER_BOUND('',#47442,.T.); #27539=FACE_OUTER_BOUND('',#47443,.T.); #27540=FACE_OUTER_BOUND('',#47444,.T.); #27541=FACE_OUTER_BOUND('',#47445,.T.); #27542=FACE_OUTER_BOUND('',#47446,.T.); #27543=FACE_OUTER_BOUND('',#47447,.T.); #27544=FACE_OUTER_BOUND('',#47448,.T.); #27545=FACE_OUTER_BOUND('',#47449,.T.); #27546=FACE_OUTER_BOUND('',#47450,.T.); #27547=FACE_OUTER_BOUND('',#47451,.T.); #27548=FACE_OUTER_BOUND('',#47452,.T.); #27549=FACE_OUTER_BOUND('',#47453,.T.); #27550=FACE_OUTER_BOUND('',#47454,.T.); #27551=FACE_OUTER_BOUND('',#47455,.T.); #27552=FACE_OUTER_BOUND('',#47456,.T.); #27553=FACE_OUTER_BOUND('',#47457,.T.); #27554=FACE_OUTER_BOUND('',#47458,.T.); #27555=FACE_OUTER_BOUND('',#47459,.T.); #27556=FACE_OUTER_BOUND('',#47460,.T.); #27557=FACE_OUTER_BOUND('',#47461,.T.); #27558=FACE_OUTER_BOUND('',#47462,.T.); #27559=FACE_OUTER_BOUND('',#47463,.T.); #27560=FACE_OUTER_BOUND('',#47464,.T.); #27561=FACE_OUTER_BOUND('',#47465,.T.); #27562=FACE_OUTER_BOUND('',#47466,.T.); #27563=FACE_OUTER_BOUND('',#47467,.T.); #27564=FACE_OUTER_BOUND('',#47468,.T.); #27565=FACE_OUTER_BOUND('',#47469,.T.); #27566=FACE_OUTER_BOUND('',#47470,.T.); #27567=FACE_OUTER_BOUND('',#47471,.T.); #27568=FACE_OUTER_BOUND('',#47472,.T.); #27569=FACE_OUTER_BOUND('',#47473,.T.); #27570=FACE_OUTER_BOUND('',#47474,.T.); #27571=FACE_OUTER_BOUND('',#47475,.T.); #27572=FACE_OUTER_BOUND('',#47476,.T.); #27573=FACE_OUTER_BOUND('',#47477,.T.); #27574=FACE_OUTER_BOUND('',#47478,.T.); #27575=FACE_OUTER_BOUND('',#47479,.T.); #27576=FACE_OUTER_BOUND('',#47480,.T.); #27577=FACE_OUTER_BOUND('',#47481,.T.); #27578=FACE_OUTER_BOUND('',#47482,.T.); #27579=FACE_OUTER_BOUND('',#47483,.T.); #27580=FACE_OUTER_BOUND('',#47484,.T.); #27581=FACE_OUTER_BOUND('',#47485,.T.); #27582=FACE_OUTER_BOUND('',#47486,.T.); #27583=FACE_OUTER_BOUND('',#47487,.T.); #27584=FACE_OUTER_BOUND('',#47488,.T.); #27585=FACE_OUTER_BOUND('',#47489,.T.); #27586=FACE_OUTER_BOUND('',#47490,.T.); #27587=FACE_OUTER_BOUND('',#47491,.T.); #27588=FACE_OUTER_BOUND('',#47492,.T.); #27589=FACE_OUTER_BOUND('',#47493,.T.); #27590=FACE_OUTER_BOUND('',#47494,.T.); #27591=FACE_OUTER_BOUND('',#47495,.T.); #27592=FACE_OUTER_BOUND('',#47496,.T.); #27593=FACE_OUTER_BOUND('',#47497,.T.); #27594=FACE_OUTER_BOUND('',#47498,.T.); #27595=FACE_OUTER_BOUND('',#47499,.T.); #27596=FACE_OUTER_BOUND('',#47500,.T.); #27597=FACE_OUTER_BOUND('',#47501,.T.); #27598=FACE_OUTER_BOUND('',#47502,.T.); #27599=FACE_OUTER_BOUND('',#47503,.T.); #27600=FACE_OUTER_BOUND('',#47504,.T.); #27601=FACE_OUTER_BOUND('',#47505,.T.); #27602=FACE_OUTER_BOUND('',#47506,.T.); #27603=FACE_OUTER_BOUND('',#47507,.T.); #27604=FACE_OUTER_BOUND('',#47508,.T.); #27605=FACE_OUTER_BOUND('',#47509,.T.); #27606=FACE_OUTER_BOUND('',#47510,.T.); #27607=FACE_OUTER_BOUND('',#47511,.T.); #27608=FACE_OUTER_BOUND('',#47512,.T.); #27609=FACE_OUTER_BOUND('',#47513,.T.); #27610=FACE_OUTER_BOUND('',#47514,.T.); #27611=FACE_OUTER_BOUND('',#47515,.T.); #27612=FACE_OUTER_BOUND('',#47516,.T.); #27613=FACE_OUTER_BOUND('',#47517,.T.); #27614=FACE_OUTER_BOUND('',#47518,.T.); #27615=FACE_OUTER_BOUND('',#47519,.T.); #27616=FACE_OUTER_BOUND('',#47520,.T.); #27617=FACE_OUTER_BOUND('',#47521,.T.); #27618=FACE_OUTER_BOUND('',#47522,.T.); #27619=FACE_OUTER_BOUND('',#47523,.T.); #27620=FACE_OUTER_BOUND('',#47524,.T.); #27621=FACE_OUTER_BOUND('',#47525,.T.); #27622=FACE_OUTER_BOUND('',#47526,.T.); #27623=FACE_OUTER_BOUND('',#47527,.T.); #27624=FACE_OUTER_BOUND('',#47528,.T.); #27625=FACE_OUTER_BOUND('',#47529,.T.); #27626=FACE_OUTER_BOUND('',#47530,.T.); #27627=FACE_OUTER_BOUND('',#47531,.T.); #27628=FACE_OUTER_BOUND('',#47532,.T.); #27629=FACE_OUTER_BOUND('',#47533,.T.); #27630=FACE_OUTER_BOUND('',#47534,.T.); #27631=FACE_OUTER_BOUND('',#47535,.T.); #27632=FACE_OUTER_BOUND('',#47536,.T.); #27633=FACE_OUTER_BOUND('',#47537,.T.); #27634=FACE_OUTER_BOUND('',#47538,.T.); #27635=FACE_OUTER_BOUND('',#47539,.T.); #27636=FACE_OUTER_BOUND('',#47610,.T.); #27637=FACE_OUTER_BOUND('',#47681,.T.); #27638=FACE_OUTER_BOUND('',#47682,.T.); #27639=FACE_OUTER_BOUND('',#47683,.T.); #27640=FACE_OUTER_BOUND('',#47684,.T.); #27641=FACE_OUTER_BOUND('',#47685,.T.); #27642=FACE_OUTER_BOUND('',#47686,.T.); #27643=FACE_OUTER_BOUND('',#47687,.T.); #27644=FACE_OUTER_BOUND('',#47688,.T.); #27645=FACE_OUTER_BOUND('',#47689,.T.); #27646=FACE_OUTER_BOUND('',#47690,.T.); #27647=FACE_OUTER_BOUND('',#47691,.T.); #27648=FACE_OUTER_BOUND('',#47692,.T.); #27649=FACE_OUTER_BOUND('',#47693,.T.); #27650=FACE_OUTER_BOUND('',#47694,.T.); #27651=FACE_OUTER_BOUND('',#47695,.T.); #27652=FACE_OUTER_BOUND('',#47696,.T.); #27653=FACE_OUTER_BOUND('',#47697,.T.); #27654=FACE_OUTER_BOUND('',#47698,.T.); #27655=FACE_OUTER_BOUND('',#47699,.T.); #27656=FACE_OUTER_BOUND('',#47700,.T.); #27657=FACE_OUTER_BOUND('',#47701,.T.); #27658=FACE_OUTER_BOUND('',#47702,.T.); #27659=FACE_OUTER_BOUND('',#47703,.T.); #27660=FACE_OUTER_BOUND('',#47704,.T.); #27661=FACE_OUTER_BOUND('',#47705,.T.); #27662=FACE_OUTER_BOUND('',#47706,.T.); #27663=FACE_OUTER_BOUND('',#47707,.T.); #27664=FACE_OUTER_BOUND('',#47708,.T.); #27665=FACE_OUTER_BOUND('',#47709,.T.); #27666=FACE_OUTER_BOUND('',#47710,.T.); #27667=FACE_OUTER_BOUND('',#47711,.T.); #27668=FACE_OUTER_BOUND('',#47712,.T.); #27669=FACE_OUTER_BOUND('',#47713,.T.); #27670=FACE_OUTER_BOUND('',#47714,.T.); #27671=FACE_OUTER_BOUND('',#47715,.T.); #27672=FACE_OUTER_BOUND('',#47716,.T.); #27673=FACE_OUTER_BOUND('',#47717,.T.); #27674=FACE_OUTER_BOUND('',#47718,.T.); #27675=FACE_OUTER_BOUND('',#47719,.T.); #27676=FACE_OUTER_BOUND('',#47720,.T.); #27677=FACE_OUTER_BOUND('',#47721,.T.); #27678=FACE_OUTER_BOUND('',#47722,.T.); #27679=FACE_OUTER_BOUND('',#47723,.T.); #27680=FACE_OUTER_BOUND('',#47724,.T.); #27681=FACE_OUTER_BOUND('',#47725,.T.); #27682=FACE_OUTER_BOUND('',#47726,.T.); #27683=FACE_OUTER_BOUND('',#47727,.T.); #27684=FACE_OUTER_BOUND('',#47728,.T.); #27685=FACE_OUTER_BOUND('',#47729,.T.); #27686=FACE_OUTER_BOUND('',#47730,.T.); #27687=FACE_OUTER_BOUND('',#47731,.T.); #27688=FACE_OUTER_BOUND('',#47732,.T.); #27689=FACE_OUTER_BOUND('',#47733,.T.); #27690=FACE_OUTER_BOUND('',#47734,.T.); #27691=FACE_OUTER_BOUND('',#47735,.T.); #27692=FACE_OUTER_BOUND('',#47736,.T.); #27693=FACE_OUTER_BOUND('',#47737,.T.); #27694=FACE_OUTER_BOUND('',#47738,.T.); #27695=FACE_OUTER_BOUND('',#47739,.T.); #27696=FACE_OUTER_BOUND('',#47740,.T.); #27697=FACE_OUTER_BOUND('',#47741,.T.); #27698=FACE_OUTER_BOUND('',#47742,.T.); #27699=FACE_OUTER_BOUND('',#47743,.T.); #27700=FACE_OUTER_BOUND('',#47744,.T.); #27701=FACE_OUTER_BOUND('',#47745,.T.); #27702=FACE_OUTER_BOUND('',#47746,.T.); #27703=FACE_OUTER_BOUND('',#47747,.T.); #27704=FACE_OUTER_BOUND('',#47748,.T.); #27705=FACE_OUTER_BOUND('',#47749,.T.); #27706=FACE_OUTER_BOUND('',#47750,.T.); #27707=FACE_OUTER_BOUND('',#47751,.T.); #27708=FACE_OUTER_BOUND('',#47752,.T.); #27709=FACE_OUTER_BOUND('',#47753,.T.); #27710=FACE_OUTER_BOUND('',#47754,.T.); #27711=FACE_OUTER_BOUND('',#47755,.T.); #27712=FACE_OUTER_BOUND('',#47756,.T.); #27713=FACE_OUTER_BOUND('',#47757,.T.); #27714=FACE_OUTER_BOUND('',#47758,.T.); #27715=FACE_OUTER_BOUND('',#47759,.T.); #27716=FACE_OUTER_BOUND('',#47760,.T.); #27717=FACE_OUTER_BOUND('',#47761,.T.); #27718=FACE_OUTER_BOUND('',#47762,.T.); #27719=FACE_OUTER_BOUND('',#47763,.T.); #27720=FACE_OUTER_BOUND('',#47764,.T.); #27721=FACE_OUTER_BOUND('',#47765,.T.); #27722=FACE_OUTER_BOUND('',#47766,.T.); #27723=FACE_OUTER_BOUND('',#47767,.T.); #27724=FACE_OUTER_BOUND('',#47768,.T.); #27725=FACE_OUTER_BOUND('',#47769,.T.); #27726=FACE_OUTER_BOUND('',#47770,.T.); #27727=FACE_OUTER_BOUND('',#47771,.T.); #27728=FACE_OUTER_BOUND('',#47772,.T.); #27729=FACE_OUTER_BOUND('',#47773,.T.); #27730=FACE_OUTER_BOUND('',#47774,.T.); #27731=FACE_OUTER_BOUND('',#47775,.T.); #27732=FACE_OUTER_BOUND('',#47776,.T.); #27733=FACE_OUTER_BOUND('',#47777,.T.); #27734=FACE_OUTER_BOUND('',#47778,.T.); #27735=FACE_OUTER_BOUND('',#47779,.T.); #27736=FACE_OUTER_BOUND('',#47780,.T.); #27737=FACE_OUTER_BOUND('',#47781,.T.); #27738=FACE_OUTER_BOUND('',#47782,.T.); #27739=FACE_OUTER_BOUND('',#47783,.T.); #27740=FACE_OUTER_BOUND('',#47784,.T.); #27741=FACE_OUTER_BOUND('',#47785,.T.); #27742=FACE_OUTER_BOUND('',#47786,.T.); #27743=FACE_OUTER_BOUND('',#47787,.T.); #27744=FACE_OUTER_BOUND('',#47788,.T.); #27745=FACE_OUTER_BOUND('',#47789,.T.); #27746=FACE_OUTER_BOUND('',#47790,.T.); #27747=FACE_OUTER_BOUND('',#47791,.T.); #27748=FACE_OUTER_BOUND('',#47792,.T.); #27749=FACE_OUTER_BOUND('',#47793,.T.); #27750=FACE_OUTER_BOUND('',#47794,.T.); #27751=FACE_OUTER_BOUND('',#47795,.T.); #27752=FACE_OUTER_BOUND('',#47796,.T.); #27753=FACE_OUTER_BOUND('',#47797,.T.); #27754=FACE_OUTER_BOUND('',#47798,.T.); #27755=FACE_OUTER_BOUND('',#47799,.T.); #27756=FACE_OUTER_BOUND('',#47800,.T.); #27757=FACE_OUTER_BOUND('',#47801,.T.); #27758=FACE_OUTER_BOUND('',#47802,.T.); #27759=FACE_OUTER_BOUND('',#47803,.T.); #27760=FACE_OUTER_BOUND('',#47804,.T.); #27761=FACE_OUTER_BOUND('',#47805,.T.); #27762=FACE_OUTER_BOUND('',#47806,.T.); #27763=FACE_OUTER_BOUND('',#47807,.T.); #27764=FACE_OUTER_BOUND('',#47808,.T.); #27765=FACE_OUTER_BOUND('',#47809,.T.); #27766=FACE_OUTER_BOUND('',#47810,.T.); #27767=FACE_OUTER_BOUND('',#47811,.T.); #27768=FACE_OUTER_BOUND('',#47812,.T.); #27769=FACE_OUTER_BOUND('',#47813,.T.); #27770=FACE_OUTER_BOUND('',#47814,.T.); #27771=FACE_OUTER_BOUND('',#47815,.T.); #27772=FACE_OUTER_BOUND('',#47816,.T.); #27773=FACE_OUTER_BOUND('',#47817,.T.); #27774=FACE_OUTER_BOUND('',#47818,.T.); #27775=FACE_OUTER_BOUND('',#47819,.T.); #27776=FACE_OUTER_BOUND('',#47820,.T.); #27777=FACE_OUTER_BOUND('',#47821,.T.); #27778=FACE_OUTER_BOUND('',#47822,.T.); #27779=FACE_OUTER_BOUND('',#47823,.T.); #27780=FACE_OUTER_BOUND('',#47824,.T.); #27781=FACE_OUTER_BOUND('',#47825,.T.); #27782=FACE_OUTER_BOUND('',#47826,.T.); #27783=FACE_OUTER_BOUND('',#47827,.T.); #27784=FACE_OUTER_BOUND('',#47828,.T.); #27785=FACE_OUTER_BOUND('',#47829,.T.); #27786=FACE_OUTER_BOUND('',#47830,.T.); #27787=FACE_OUTER_BOUND('',#47831,.T.); #27788=FACE_OUTER_BOUND('',#47832,.T.); #27789=FACE_OUTER_BOUND('',#47833,.T.); #27790=FACE_OUTER_BOUND('',#47834,.T.); #27791=FACE_OUTER_BOUND('',#47835,.T.); #27792=FACE_OUTER_BOUND('',#47836,.T.); #27793=FACE_OUTER_BOUND('',#47837,.T.); #27794=FACE_OUTER_BOUND('',#47838,.T.); #27795=FACE_OUTER_BOUND('',#47839,.T.); #27796=FACE_OUTER_BOUND('',#47840,.T.); #27797=FACE_OUTER_BOUND('',#47841,.T.); #27798=FACE_OUTER_BOUND('',#47842,.T.); #27799=FACE_OUTER_BOUND('',#47843,.T.); #27800=FACE_OUTER_BOUND('',#47844,.T.); #27801=FACE_OUTER_BOUND('',#47845,.T.); #27802=FACE_OUTER_BOUND('',#47846,.T.); #27803=FACE_OUTER_BOUND('',#47847,.T.); #27804=FACE_OUTER_BOUND('',#47848,.T.); #27805=FACE_OUTER_BOUND('',#47849,.T.); #27806=FACE_OUTER_BOUND('',#47850,.T.); #27807=FACE_OUTER_BOUND('',#47851,.T.); #27808=FACE_OUTER_BOUND('',#47852,.T.); #27809=FACE_OUTER_BOUND('',#47853,.T.); #27810=FACE_OUTER_BOUND('',#47854,.T.); #27811=FACE_OUTER_BOUND('',#47855,.T.); #27812=FACE_OUTER_BOUND('',#47856,.T.); #27813=FACE_OUTER_BOUND('',#47857,.T.); #27814=FACE_OUTER_BOUND('',#47858,.T.); #27815=FACE_OUTER_BOUND('',#47859,.T.); #27816=FACE_OUTER_BOUND('',#47860,.T.); #27817=FACE_OUTER_BOUND('',#47861,.T.); #27818=FACE_OUTER_BOUND('',#47862,.T.); #27819=FACE_OUTER_BOUND('',#47863,.T.); #27820=FACE_OUTER_BOUND('',#47864,.T.); #27821=FACE_OUTER_BOUND('',#47865,.T.); #27822=FACE_OUTER_BOUND('',#47866,.T.); #27823=FACE_OUTER_BOUND('',#47867,.T.); #27824=FACE_OUTER_BOUND('',#47868,.T.); #27825=FACE_OUTER_BOUND('',#47869,.T.); #27826=FACE_OUTER_BOUND('',#47870,.T.); #27827=FACE_OUTER_BOUND('',#47871,.T.); #27828=FACE_OUTER_BOUND('',#47872,.T.); #27829=FACE_OUTER_BOUND('',#47873,.T.); #27830=FACE_OUTER_BOUND('',#47874,.T.); #27831=FACE_OUTER_BOUND('',#47875,.T.); #27832=FACE_OUTER_BOUND('',#47876,.T.); #27833=FACE_OUTER_BOUND('',#47877,.T.); #27834=FACE_OUTER_BOUND('',#47878,.T.); #27835=FACE_OUTER_BOUND('',#47879,.T.); #27836=FACE_OUTER_BOUND('',#47880,.T.); #27837=FACE_OUTER_BOUND('',#47881,.T.); #27838=FACE_OUTER_BOUND('',#47882,.T.); #27839=FACE_OUTER_BOUND('',#47883,.T.); #27840=FACE_OUTER_BOUND('',#47884,.T.); #27841=FACE_OUTER_BOUND('',#47885,.T.); #27842=FACE_OUTER_BOUND('',#47886,.T.); #27843=FACE_OUTER_BOUND('',#47887,.T.); #27844=FACE_OUTER_BOUND('',#47888,.T.); #27845=FACE_OUTER_BOUND('',#47889,.T.); #27846=FACE_OUTER_BOUND('',#47890,.T.); #27847=FACE_OUTER_BOUND('',#47891,.T.); #27848=FACE_OUTER_BOUND('',#47892,.T.); #27849=FACE_OUTER_BOUND('',#47893,.T.); #27850=FACE_OUTER_BOUND('',#47894,.T.); #27851=FACE_OUTER_BOUND('',#47895,.T.); #27852=FACE_OUTER_BOUND('',#47896,.T.); #27853=FACE_OUTER_BOUND('',#47897,.T.); #27854=FACE_OUTER_BOUND('',#47898,.T.); #27855=FACE_OUTER_BOUND('',#47899,.T.); #27856=FACE_OUTER_BOUND('',#47900,.T.); #27857=FACE_OUTER_BOUND('',#47901,.T.); #27858=FACE_OUTER_BOUND('',#47902,.T.); #27859=FACE_OUTER_BOUND('',#47903,.T.); #27860=FACE_OUTER_BOUND('',#47904,.T.); #27861=FACE_OUTER_BOUND('',#47905,.T.); #27862=FACE_OUTER_BOUND('',#47906,.T.); #27863=FACE_OUTER_BOUND('',#47907,.T.); #27864=FACE_OUTER_BOUND('',#47908,.T.); #27865=FACE_OUTER_BOUND('',#47909,.T.); #27866=FACE_OUTER_BOUND('',#47910,.T.); #27867=FACE_OUTER_BOUND('',#47911,.T.); #27868=FACE_OUTER_BOUND('',#47912,.T.); #27869=FACE_OUTER_BOUND('',#47913,.T.); #27870=FACE_OUTER_BOUND('',#47914,.T.); #27871=FACE_OUTER_BOUND('',#47915,.T.); #27872=FACE_OUTER_BOUND('',#47916,.T.); #27873=FACE_OUTER_BOUND('',#47917,.T.); #27874=FACE_OUTER_BOUND('',#47918,.T.); #27875=FACE_OUTER_BOUND('',#47919,.T.); #27876=FACE_OUTER_BOUND('',#47920,.T.); #27877=FACE_OUTER_BOUND('',#47921,.T.); #27878=FACE_OUTER_BOUND('',#47922,.T.); #27879=FACE_OUTER_BOUND('',#47923,.T.); #27880=FACE_OUTER_BOUND('',#47924,.T.); #27881=FACE_OUTER_BOUND('',#47925,.T.); #27882=FACE_OUTER_BOUND('',#47926,.T.); #27883=FACE_OUTER_BOUND('',#47927,.T.); #27884=FACE_OUTER_BOUND('',#47928,.T.); #27885=FACE_OUTER_BOUND('',#47929,.T.); #27886=FACE_OUTER_BOUND('',#47930,.T.); #27887=FACE_OUTER_BOUND('',#47931,.T.); #27888=FACE_OUTER_BOUND('',#47932,.T.); #27889=FACE_OUTER_BOUND('',#47933,.T.); #27890=FACE_OUTER_BOUND('',#47934,.T.); #27891=FACE_OUTER_BOUND('',#47935,.T.); #27892=FACE_OUTER_BOUND('',#47936,.T.); #27893=FACE_OUTER_BOUND('',#47937,.T.); #27894=FACE_OUTER_BOUND('',#47938,.T.); #27895=FACE_OUTER_BOUND('',#47939,.T.); #27896=FACE_OUTER_BOUND('',#47940,.T.); #27897=FACE_OUTER_BOUND('',#47941,.T.); #27898=FACE_OUTER_BOUND('',#47942,.T.); #27899=FACE_OUTER_BOUND('',#47943,.T.); #27900=FACE_OUTER_BOUND('',#47944,.T.); #27901=FACE_OUTER_BOUND('',#47945,.T.); #27902=FACE_OUTER_BOUND('',#47946,.T.); #27903=FACE_OUTER_BOUND('',#47947,.T.); #27904=FACE_OUTER_BOUND('',#47948,.T.); #27905=FACE_OUTER_BOUND('',#47949,.T.); #27906=FACE_OUTER_BOUND('',#47950,.T.); #27907=FACE_OUTER_BOUND('',#47951,.T.); #27908=FACE_OUTER_BOUND('',#47952,.T.); #27909=FACE_OUTER_BOUND('',#47953,.T.); #27910=FACE_OUTER_BOUND('',#47954,.T.); #27911=FACE_OUTER_BOUND('',#47955,.T.); #27912=FACE_OUTER_BOUND('',#47956,.T.); #27913=FACE_OUTER_BOUND('',#47957,.T.); #27914=FACE_OUTER_BOUND('',#47958,.T.); #27915=FACE_OUTER_BOUND('',#47959,.T.); #27916=FACE_OUTER_BOUND('',#47960,.T.); #27917=FACE_OUTER_BOUND('',#47961,.T.); #27918=FACE_OUTER_BOUND('',#47962,.T.); #27919=FACE_OUTER_BOUND('',#47963,.T.); #27920=FACE_OUTER_BOUND('',#47964,.T.); #27921=FACE_OUTER_BOUND('',#47965,.T.); #27922=FACE_OUTER_BOUND('',#47966,.T.); #27923=FACE_OUTER_BOUND('',#47967,.T.); #27924=FACE_OUTER_BOUND('',#47968,.T.); #27925=FACE_OUTER_BOUND('',#47969,.T.); #27926=FACE_OUTER_BOUND('',#47970,.T.); #27927=FACE_OUTER_BOUND('',#47971,.T.); #27928=FACE_OUTER_BOUND('',#47972,.T.); #27929=FACE_OUTER_BOUND('',#47973,.T.); #27930=FACE_OUTER_BOUND('',#47974,.T.); #27931=FACE_OUTER_BOUND('',#47975,.T.); #27932=FACE_OUTER_BOUND('',#47976,.T.); #27933=FACE_OUTER_BOUND('',#47977,.T.); #27934=FACE_OUTER_BOUND('',#47978,.T.); #27935=FACE_OUTER_BOUND('',#47979,.T.); #27936=FACE_OUTER_BOUND('',#47980,.T.); #27937=FACE_OUTER_BOUND('',#47981,.T.); #27938=FACE_OUTER_BOUND('',#47985,.T.); #27939=FACE_OUTER_BOUND('',#47989,.T.); #27940=FACE_OUTER_BOUND('',#47990,.T.); #27941=FACE_OUTER_BOUND('',#47991,.T.); #27942=FACE_OUTER_BOUND('',#47992,.T.); #27943=FACE_OUTER_BOUND('',#47993,.T.); #27944=FACE_OUTER_BOUND('',#47994,.T.); #27945=FACE_OUTER_BOUND('',#47995,.T.); #27946=FACE_OUTER_BOUND('',#47996,.T.); #27947=FACE_OUTER_BOUND('',#47997,.T.); #27948=FACE_OUTER_BOUND('',#47998,.T.); #27949=FACE_OUTER_BOUND('',#47999,.T.); #27950=FACE_OUTER_BOUND('',#48000,.T.); #27951=FACE_OUTER_BOUND('',#48001,.T.); #27952=FACE_OUTER_BOUND('',#48002,.T.); #27953=FACE_OUTER_BOUND('',#48003,.T.); #27954=FACE_OUTER_BOUND('',#48004,.T.); #27955=FACE_OUTER_BOUND('',#48005,.T.); #27956=FACE_OUTER_BOUND('',#48006,.T.); #27957=FACE_OUTER_BOUND('',#48007,.T.); #27958=FACE_OUTER_BOUND('',#48008,.T.); #27959=FACE_OUTER_BOUND('',#48009,.T.); #27960=FACE_OUTER_BOUND('',#48010,.T.); #27961=FACE_OUTER_BOUND('',#48011,.T.); #27962=FACE_OUTER_BOUND('',#48012,.T.); #27963=FACE_OUTER_BOUND('',#48013,.T.); #27964=FACE_OUTER_BOUND('',#48014,.T.); #27965=FACE_OUTER_BOUND('',#48015,.T.); #27966=FACE_OUTER_BOUND('',#48016,.T.); #27967=FACE_OUTER_BOUND('',#48017,.T.); #27968=FACE_OUTER_BOUND('',#48018,.T.); #27969=FACE_OUTER_BOUND('',#48019,.T.); #27970=FACE_OUTER_BOUND('',#48020,.T.); #27971=FACE_OUTER_BOUND('',#48021,.T.); #27972=FACE_OUTER_BOUND('',#48022,.T.); #27973=FACE_OUTER_BOUND('',#48023,.T.); #27974=FACE_OUTER_BOUND('',#48024,.T.); #27975=FACE_OUTER_BOUND('',#48025,.T.); #27976=FACE_OUTER_BOUND('',#48026,.T.); #27977=FACE_OUTER_BOUND('',#48027,.T.); #27978=FACE_OUTER_BOUND('',#48028,.T.); #27979=FACE_OUTER_BOUND('',#48029,.T.); #27980=FACE_OUTER_BOUND('',#48030,.T.); #27981=FACE_OUTER_BOUND('',#48031,.T.); #27982=FACE_OUTER_BOUND('',#48032,.T.); #27983=FACE_OUTER_BOUND('',#48033,.T.); #27984=FACE_OUTER_BOUND('',#48034,.T.); #27985=FACE_OUTER_BOUND('',#48035,.T.); #27986=FACE_OUTER_BOUND('',#48036,.T.); #27987=FACE_OUTER_BOUND('',#48037,.T.); #27988=FACE_OUTER_BOUND('',#48038,.T.); #27989=FACE_OUTER_BOUND('',#48039,.T.); #27990=FACE_OUTER_BOUND('',#48040,.T.); #27991=FACE_OUTER_BOUND('',#48041,.T.); #27992=FACE_OUTER_BOUND('',#48042,.T.); #27993=FACE_OUTER_BOUND('',#48043,.T.); #27994=FACE_OUTER_BOUND('',#48044,.T.); #27995=FACE_OUTER_BOUND('',#48045,.T.); #27996=FACE_OUTER_BOUND('',#48046,.T.); #27997=FACE_OUTER_BOUND('',#48047,.T.); #27998=FACE_OUTER_BOUND('',#48048,.T.); #27999=FACE_OUTER_BOUND('',#48049,.T.); #28000=FACE_OUTER_BOUND('',#48050,.T.); #28001=FACE_OUTER_BOUND('',#48051,.T.); #28002=FACE_OUTER_BOUND('',#48052,.T.); #28003=FACE_OUTER_BOUND('',#48053,.T.); #28004=FACE_OUTER_BOUND('',#48054,.T.); #28005=FACE_OUTER_BOUND('',#48055,.T.); #28006=FACE_OUTER_BOUND('',#48056,.T.); #28007=FACE_OUTER_BOUND('',#48057,.T.); #28008=FACE_OUTER_BOUND('',#48058,.T.); #28009=FACE_OUTER_BOUND('',#48059,.T.); #28010=FACE_OUTER_BOUND('',#48060,.T.); #28011=FACE_OUTER_BOUND('',#48061,.T.); #28012=FACE_OUTER_BOUND('',#48062,.T.); #28013=FACE_OUTER_BOUND('',#48063,.T.); #28014=FACE_OUTER_BOUND('',#48064,.T.); #28015=FACE_OUTER_BOUND('',#48065,.T.); #28016=FACE_OUTER_BOUND('',#48066,.T.); #28017=FACE_OUTER_BOUND('',#48067,.T.); #28018=FACE_OUTER_BOUND('',#48068,.T.); #28019=FACE_OUTER_BOUND('',#48069,.T.); #28020=FACE_OUTER_BOUND('',#48070,.T.); #28021=FACE_OUTER_BOUND('',#48071,.T.); #28022=FACE_OUTER_BOUND('',#48072,.T.); #28023=FACE_OUTER_BOUND('',#48073,.T.); #28024=FACE_OUTER_BOUND('',#48074,.T.); #28025=FACE_OUTER_BOUND('',#48075,.T.); #28026=FACE_OUTER_BOUND('',#48076,.T.); #28027=FACE_OUTER_BOUND('',#48077,.T.); #28028=FACE_OUTER_BOUND('',#48078,.T.); #28029=FACE_OUTER_BOUND('',#48079,.T.); #28030=FACE_OUTER_BOUND('',#48080,.T.); #28031=FACE_OUTER_BOUND('',#48081,.T.); #28032=FACE_OUTER_BOUND('',#48082,.T.); #28033=FACE_OUTER_BOUND('',#48083,.T.); #28034=FACE_OUTER_BOUND('',#48084,.T.); #28035=FACE_OUTER_BOUND('',#48085,.T.); #28036=FACE_OUTER_BOUND('',#48086,.T.); #28037=FACE_OUTER_BOUND('',#48087,.T.); #28038=FACE_OUTER_BOUND('',#48088,.T.); #28039=FACE_OUTER_BOUND('',#48089,.T.); #28040=FACE_OUTER_BOUND('',#48090,.T.); #28041=FACE_OUTER_BOUND('',#48091,.T.); #28042=FACE_OUTER_BOUND('',#48092,.T.); #28043=FACE_OUTER_BOUND('',#48093,.T.); #28044=FACE_OUTER_BOUND('',#48094,.T.); #28045=FACE_OUTER_BOUND('',#48095,.T.); #28046=FACE_OUTER_BOUND('',#48096,.T.); #28047=FACE_OUTER_BOUND('',#48097,.T.); #28048=FACE_OUTER_BOUND('',#48098,.T.); #28049=FACE_OUTER_BOUND('',#48099,.T.); #28050=FACE_OUTER_BOUND('',#48100,.T.); #28051=FACE_OUTER_BOUND('',#48101,.T.); #28052=FACE_OUTER_BOUND('',#48102,.T.); #28053=FACE_OUTER_BOUND('',#48103,.T.); #28054=FACE_OUTER_BOUND('',#48104,.T.); #28055=FACE_OUTER_BOUND('',#48105,.T.); #28056=FACE_OUTER_BOUND('',#48106,.T.); #28057=FACE_OUTER_BOUND('',#48107,.T.); #28058=FACE_OUTER_BOUND('',#48108,.T.); #28059=FACE_OUTER_BOUND('',#48109,.T.); #28060=FACE_OUTER_BOUND('',#48110,.T.); #28061=FACE_OUTER_BOUND('',#48111,.T.); #28062=FACE_OUTER_BOUND('',#48112,.T.); #28063=FACE_OUTER_BOUND('',#48113,.T.); #28064=FACE_OUTER_BOUND('',#48114,.T.); #28065=FACE_OUTER_BOUND('',#48115,.T.); #28066=FACE_OUTER_BOUND('',#48116,.T.); #28067=FACE_OUTER_BOUND('',#48117,.T.); #28068=FACE_OUTER_BOUND('',#48118,.T.); #28069=FACE_OUTER_BOUND('',#48119,.T.); #28070=FACE_OUTER_BOUND('',#48120,.T.); #28071=FACE_OUTER_BOUND('',#48121,.T.); #28072=FACE_OUTER_BOUND('',#48122,.T.); #28073=FACE_OUTER_BOUND('',#48123,.T.); #28074=FACE_OUTER_BOUND('',#48124,.T.); #28075=FACE_OUTER_BOUND('',#48125,.T.); #28076=FACE_OUTER_BOUND('',#48126,.T.); #28077=FACE_OUTER_BOUND('',#48127,.T.); #28078=FACE_OUTER_BOUND('',#48128,.T.); #28079=FACE_OUTER_BOUND('',#48129,.T.); #28080=FACE_OUTER_BOUND('',#48130,.T.); #28081=FACE_OUTER_BOUND('',#48131,.T.); #28082=FACE_OUTER_BOUND('',#48132,.T.); #28083=FACE_OUTER_BOUND('',#48133,.T.); #28084=FACE_OUTER_BOUND('',#48134,.T.); #28085=FACE_OUTER_BOUND('',#48135,.T.); #28086=FACE_OUTER_BOUND('',#48136,.T.); #28087=FACE_OUTER_BOUND('',#48137,.T.); #28088=FACE_OUTER_BOUND('',#48138,.T.); #28089=FACE_OUTER_BOUND('',#48139,.T.); #28090=FACE_OUTER_BOUND('',#48140,.T.); #28091=FACE_OUTER_BOUND('',#48141,.T.); #28092=FACE_OUTER_BOUND('',#48142,.T.); #28093=FACE_OUTER_BOUND('',#48143,.T.); #28094=FACE_OUTER_BOUND('',#48144,.T.); #28095=FACE_OUTER_BOUND('',#48145,.T.); #28096=FACE_OUTER_BOUND('',#48146,.T.); #28097=FACE_OUTER_BOUND('',#48147,.T.); #28098=FACE_OUTER_BOUND('',#48148,.T.); #28099=FACE_OUTER_BOUND('',#48149,.T.); #28100=FACE_OUTER_BOUND('',#48150,.T.); #28101=FACE_OUTER_BOUND('',#48151,.T.); #28102=FACE_OUTER_BOUND('',#48152,.T.); #28103=FACE_OUTER_BOUND('',#48153,.T.); #28104=FACE_OUTER_BOUND('',#48154,.T.); #28105=FACE_OUTER_BOUND('',#48155,.T.); #28106=FACE_OUTER_BOUND('',#48156,.T.); #28107=FACE_OUTER_BOUND('',#48157,.T.); #28108=FACE_OUTER_BOUND('',#48158,.T.); #28109=FACE_OUTER_BOUND('',#48159,.T.); #28110=FACE_OUTER_BOUND('',#48160,.T.); #28111=FACE_OUTER_BOUND('',#48161,.T.); #28112=FACE_OUTER_BOUND('',#48162,.T.); #28113=FACE_OUTER_BOUND('',#48163,.T.); #28114=FACE_OUTER_BOUND('',#48164,.T.); #28115=FACE_OUTER_BOUND('',#48165,.T.); #28116=FACE_OUTER_BOUND('',#48166,.T.); #28117=FACE_OUTER_BOUND('',#48167,.T.); #28118=FACE_OUTER_BOUND('',#48168,.T.); #28119=FACE_OUTER_BOUND('',#48169,.T.); #28120=FACE_OUTER_BOUND('',#48170,.T.); #28121=FACE_OUTER_BOUND('',#48171,.T.); #28122=FACE_OUTER_BOUND('',#48172,.T.); #28123=FACE_OUTER_BOUND('',#48173,.T.); #28124=FACE_OUTER_BOUND('',#48174,.T.); #28125=FACE_OUTER_BOUND('',#48175,.T.); #28126=FACE_OUTER_BOUND('',#48176,.T.); #28127=FACE_OUTER_BOUND('',#48177,.T.); #28128=FACE_OUTER_BOUND('',#48178,.T.); #28129=FACE_OUTER_BOUND('',#48179,.T.); #28130=FACE_OUTER_BOUND('',#48180,.T.); #28131=FACE_OUTER_BOUND('',#48181,.T.); #28132=FACE_OUTER_BOUND('',#48182,.T.); #28133=FACE_OUTER_BOUND('',#48183,.T.); #28134=FACE_OUTER_BOUND('',#48184,.T.); #28135=FACE_OUTER_BOUND('',#48185,.T.); #28136=FACE_OUTER_BOUND('',#48186,.T.); #28137=FACE_OUTER_BOUND('',#48187,.T.); #28138=FACE_OUTER_BOUND('',#48188,.T.); #28139=FACE_OUTER_BOUND('',#48189,.T.); #28140=FACE_OUTER_BOUND('',#48190,.T.); #28141=FACE_OUTER_BOUND('',#48191,.T.); #28142=FACE_OUTER_BOUND('',#48192,.T.); #28143=FACE_OUTER_BOUND('',#48193,.T.); #28144=FACE_OUTER_BOUND('',#48194,.T.); #28145=FACE_OUTER_BOUND('',#48195,.T.); #28146=FACE_OUTER_BOUND('',#48196,.T.); #28147=FACE_OUTER_BOUND('',#48197,.T.); #28148=FACE_OUTER_BOUND('',#48198,.T.); #28149=FACE_OUTER_BOUND('',#48199,.T.); #28150=FACE_OUTER_BOUND('',#48200,.T.); #28151=FACE_OUTER_BOUND('',#48201,.T.); #28152=FACE_OUTER_BOUND('',#48202,.T.); #28153=FACE_OUTER_BOUND('',#48203,.T.); #28154=FACE_OUTER_BOUND('',#48204,.T.); #28155=FACE_OUTER_BOUND('',#48205,.T.); #28156=FACE_OUTER_BOUND('',#48206,.T.); #28157=FACE_OUTER_BOUND('',#48207,.T.); #28158=FACE_OUTER_BOUND('',#48208,.T.); #28159=FACE_OUTER_BOUND('',#48209,.T.); #28160=FACE_OUTER_BOUND('',#48210,.T.); #28161=FACE_OUTER_BOUND('',#48211,.T.); #28162=FACE_OUTER_BOUND('',#48212,.T.); #28163=FACE_OUTER_BOUND('',#48213,.T.); #28164=FACE_OUTER_BOUND('',#48214,.T.); #28165=FACE_OUTER_BOUND('',#48215,.T.); #28166=FACE_OUTER_BOUND('',#48216,.T.); #28167=FACE_OUTER_BOUND('',#48217,.T.); #28168=FACE_OUTER_BOUND('',#48218,.T.); #28169=FACE_OUTER_BOUND('',#48219,.T.); #28170=FACE_OUTER_BOUND('',#48220,.T.); #28171=FACE_OUTER_BOUND('',#48221,.T.); #28172=FACE_OUTER_BOUND('',#48222,.T.); #28173=FACE_OUTER_BOUND('',#48223,.T.); #28174=FACE_OUTER_BOUND('',#48224,.T.); #28175=FACE_OUTER_BOUND('',#48225,.T.); #28176=FACE_OUTER_BOUND('',#48226,.T.); #28177=FACE_OUTER_BOUND('',#48227,.T.); #28178=FACE_OUTER_BOUND('',#48228,.T.); #28179=FACE_OUTER_BOUND('',#48229,.T.); #28180=FACE_OUTER_BOUND('',#48230,.T.); #28181=FACE_OUTER_BOUND('',#48231,.T.); #28182=FACE_OUTER_BOUND('',#48232,.T.); #28183=FACE_OUTER_BOUND('',#48233,.T.); #28184=FACE_OUTER_BOUND('',#48234,.T.); #28185=FACE_OUTER_BOUND('',#48235,.T.); #28186=FACE_OUTER_BOUND('',#48236,.T.); #28187=FACE_OUTER_BOUND('',#48237,.T.); #28188=FACE_OUTER_BOUND('',#48238,.T.); #28189=FACE_OUTER_BOUND('',#48239,.T.); #28190=FACE_OUTER_BOUND('',#48240,.T.); #28191=FACE_OUTER_BOUND('',#48241,.T.); #28192=FACE_OUTER_BOUND('',#48242,.T.); #28193=FACE_OUTER_BOUND('',#48243,.T.); #28194=FACE_OUTER_BOUND('',#48244,.T.); #28195=FACE_OUTER_BOUND('',#48245,.T.); #28196=FACE_OUTER_BOUND('',#48246,.T.); #28197=FACE_OUTER_BOUND('',#48247,.T.); #28198=FACE_OUTER_BOUND('',#48248,.T.); #28199=FACE_OUTER_BOUND('',#48249,.T.); #28200=FACE_OUTER_BOUND('',#48250,.T.); #28201=FACE_OUTER_BOUND('',#48251,.T.); #28202=FACE_OUTER_BOUND('',#48252,.T.); #28203=FACE_OUTER_BOUND('',#48253,.T.); #28204=FACE_OUTER_BOUND('',#48254,.T.); #28205=FACE_OUTER_BOUND('',#48255,.T.); #28206=FACE_OUTER_BOUND('',#48256,.T.); #28207=FACE_OUTER_BOUND('',#48257,.T.); #28208=FACE_OUTER_BOUND('',#48258,.T.); #28209=FACE_OUTER_BOUND('',#48259,.T.); #28210=FACE_OUTER_BOUND('',#48260,.T.); #28211=FACE_OUTER_BOUND('',#48261,.T.); #28212=FACE_OUTER_BOUND('',#48262,.T.); #28213=FACE_OUTER_BOUND('',#48263,.T.); #28214=FACE_OUTER_BOUND('',#48264,.T.); #28215=FACE_OUTER_BOUND('',#48265,.T.); #28216=FACE_OUTER_BOUND('',#48266,.T.); #28217=FACE_OUTER_BOUND('',#48267,.T.); #28218=FACE_OUTER_BOUND('',#48268,.T.); #28219=FACE_OUTER_BOUND('',#48269,.T.); #28220=FACE_OUTER_BOUND('',#48270,.T.); #28221=FACE_OUTER_BOUND('',#48271,.T.); #28222=FACE_OUTER_BOUND('',#48272,.T.); #28223=FACE_OUTER_BOUND('',#48273,.T.); #28224=FACE_OUTER_BOUND('',#48274,.T.); #28225=FACE_OUTER_BOUND('',#48275,.T.); #28226=FACE_OUTER_BOUND('',#48276,.T.); #28227=FACE_OUTER_BOUND('',#48277,.T.); #28228=FACE_OUTER_BOUND('',#48278,.T.); #28229=FACE_OUTER_BOUND('',#48279,.T.); #28230=FACE_OUTER_BOUND('',#48280,.T.); #28231=FACE_OUTER_BOUND('',#48281,.T.); #28232=FACE_OUTER_BOUND('',#48282,.T.); #28233=FACE_OUTER_BOUND('',#48283,.T.); #28234=FACE_OUTER_BOUND('',#48284,.T.); #28235=FACE_OUTER_BOUND('',#48285,.T.); #28236=FACE_OUTER_BOUND('',#48286,.T.); #28237=FACE_OUTER_BOUND('',#48287,.T.); #28238=FACE_OUTER_BOUND('',#48288,.T.); #28239=FACE_OUTER_BOUND('',#48289,.T.); #28240=FACE_OUTER_BOUND('',#48290,.T.); #28241=FACE_OUTER_BOUND('',#48291,.T.); #28242=FACE_OUTER_BOUND('',#48292,.T.); #28243=FACE_OUTER_BOUND('',#48293,.T.); #28244=FACE_OUTER_BOUND('',#48294,.T.); #28245=FACE_OUTER_BOUND('',#48295,.T.); #28246=FACE_OUTER_BOUND('',#48296,.T.); #28247=FACE_OUTER_BOUND('',#48297,.T.); #28248=FACE_OUTER_BOUND('',#48298,.T.); #28249=FACE_OUTER_BOUND('',#48299,.T.); #28250=FACE_OUTER_BOUND('',#48300,.T.); #28251=FACE_OUTER_BOUND('',#48301,.T.); #28252=FACE_OUTER_BOUND('',#48302,.T.); #28253=FACE_OUTER_BOUND('',#48303,.T.); #28254=FACE_OUTER_BOUND('',#48304,.T.); #28255=FACE_OUTER_BOUND('',#48305,.T.); #28256=FACE_OUTER_BOUND('',#48306,.T.); #28257=FACE_OUTER_BOUND('',#48307,.T.); #28258=FACE_OUTER_BOUND('',#48308,.T.); #28259=FACE_OUTER_BOUND('',#48309,.T.); #28260=FACE_OUTER_BOUND('',#48310,.T.); #28261=FACE_OUTER_BOUND('',#48311,.T.); #28262=FACE_OUTER_BOUND('',#48312,.T.); #28263=FACE_OUTER_BOUND('',#48313,.T.); #28264=FACE_OUTER_BOUND('',#48314,.T.); #28265=FACE_OUTER_BOUND('',#48315,.T.); #28266=FACE_OUTER_BOUND('',#48316,.T.); #28267=FACE_OUTER_BOUND('',#48317,.T.); #28268=FACE_OUTER_BOUND('',#48318,.T.); #28269=FACE_OUTER_BOUND('',#48319,.T.); #28270=FACE_OUTER_BOUND('',#48320,.T.); #28271=FACE_OUTER_BOUND('',#48321,.T.); #28272=FACE_OUTER_BOUND('',#48322,.T.); #28273=FACE_OUTER_BOUND('',#48323,.T.); #28274=FACE_OUTER_BOUND('',#48324,.T.); #28275=FACE_OUTER_BOUND('',#48325,.T.); #28276=FACE_OUTER_BOUND('',#48326,.T.); #28277=FACE_OUTER_BOUND('',#48327,.T.); #28278=FACE_OUTER_BOUND('',#48328,.T.); #28279=FACE_OUTER_BOUND('',#48329,.T.); #28280=FACE_OUTER_BOUND('',#48330,.T.); #28281=FACE_OUTER_BOUND('',#48331,.T.); #28282=FACE_OUTER_BOUND('',#48332,.T.); #28283=FACE_OUTER_BOUND('',#48333,.T.); #28284=FACE_OUTER_BOUND('',#48334,.T.); #28285=FACE_OUTER_BOUND('',#48335,.T.); #28286=FACE_OUTER_BOUND('',#48336,.T.); #28287=FACE_OUTER_BOUND('',#48337,.T.); #28288=FACE_OUTER_BOUND('',#48338,.T.); #28289=FACE_OUTER_BOUND('',#48339,.T.); #28290=FACE_OUTER_BOUND('',#48340,.T.); #28291=FACE_OUTER_BOUND('',#48341,.T.); #28292=FACE_OUTER_BOUND('',#48342,.T.); #28293=FACE_OUTER_BOUND('',#48343,.T.); #28294=FACE_OUTER_BOUND('',#48344,.T.); #28295=FACE_OUTER_BOUND('',#48345,.T.); #28296=FACE_OUTER_BOUND('',#48346,.T.); #28297=FACE_OUTER_BOUND('',#48347,.T.); #28298=FACE_OUTER_BOUND('',#48348,.T.); #28299=FACE_OUTER_BOUND('',#48349,.T.); #28300=FACE_OUTER_BOUND('',#48350,.T.); #28301=FACE_OUTER_BOUND('',#48351,.T.); #28302=FACE_OUTER_BOUND('',#48352,.T.); #28303=FACE_OUTER_BOUND('',#48353,.T.); #28304=FACE_OUTER_BOUND('',#48354,.T.); #28305=FACE_OUTER_BOUND('',#48355,.T.); #28306=FACE_OUTER_BOUND('',#48356,.T.); #28307=FACE_OUTER_BOUND('',#48357,.T.); #28308=FACE_OUTER_BOUND('',#48358,.T.); #28309=FACE_OUTER_BOUND('',#48359,.T.); #28310=FACE_OUTER_BOUND('',#48360,.T.); #28311=FACE_OUTER_BOUND('',#48361,.T.); #28312=FACE_OUTER_BOUND('',#48362,.T.); #28313=FACE_OUTER_BOUND('',#48363,.T.); #28314=FACE_OUTER_BOUND('',#48364,.T.); #28315=FACE_OUTER_BOUND('',#48365,.T.); #28316=FACE_OUTER_BOUND('',#48366,.T.); #28317=FACE_OUTER_BOUND('',#48367,.T.); #28318=FACE_OUTER_BOUND('',#48368,.T.); #28319=FACE_OUTER_BOUND('',#48369,.T.); #28320=FACE_OUTER_BOUND('',#48370,.T.); #28321=FACE_OUTER_BOUND('',#48371,.T.); #28322=FACE_OUTER_BOUND('',#48372,.T.); #28323=FACE_OUTER_BOUND('',#48373,.T.); #28324=FACE_OUTER_BOUND('',#48374,.T.); #28325=FACE_OUTER_BOUND('',#48375,.T.); #28326=FACE_OUTER_BOUND('',#48376,.T.); #28327=FACE_OUTER_BOUND('',#48377,.T.); #28328=FACE_OUTER_BOUND('',#48378,.T.); #28329=FACE_OUTER_BOUND('',#48379,.T.); #28330=FACE_OUTER_BOUND('',#48380,.T.); #28331=FACE_OUTER_BOUND('',#48381,.T.); #28332=FACE_OUTER_BOUND('',#48382,.T.); #28333=FACE_OUTER_BOUND('',#48383,.T.); #28334=FACE_OUTER_BOUND('',#48384,.T.); #28335=FACE_OUTER_BOUND('',#48385,.T.); #28336=FACE_OUTER_BOUND('',#48386,.T.); #28337=FACE_OUTER_BOUND('',#48436,.T.); #28338=FACE_OUTER_BOUND('',#48486,.T.); #28339=FACE_OUTER_BOUND('',#48487,.T.); #28340=FACE_OUTER_BOUND('',#48488,.T.); #28341=FACE_OUTER_BOUND('',#48489,.T.); #28342=FACE_OUTER_BOUND('',#48490,.T.); #28343=FACE_OUTER_BOUND('',#48491,.T.); #28344=FACE_OUTER_BOUND('',#48492,.T.); #28345=FACE_OUTER_BOUND('',#48493,.T.); #28346=FACE_OUTER_BOUND('',#48494,.T.); #28347=FACE_OUTER_BOUND('',#48495,.T.); #28348=FACE_OUTER_BOUND('',#48496,.T.); #28349=FACE_OUTER_BOUND('',#48497,.T.); #28350=FACE_OUTER_BOUND('',#48498,.T.); #28351=FACE_OUTER_BOUND('',#48499,.T.); #28352=FACE_OUTER_BOUND('',#48500,.T.); #28353=FACE_OUTER_BOUND('',#48501,.T.); #28354=FACE_OUTER_BOUND('',#48502,.T.); #28355=FACE_OUTER_BOUND('',#48503,.T.); #28356=FACE_OUTER_BOUND('',#48504,.T.); #28357=FACE_OUTER_BOUND('',#48505,.T.); #28358=FACE_OUTER_BOUND('',#48506,.T.); #28359=FACE_OUTER_BOUND('',#48507,.T.); #28360=FACE_OUTER_BOUND('',#48508,.T.); #28361=FACE_OUTER_BOUND('',#48509,.T.); #28362=FACE_OUTER_BOUND('',#48510,.T.); #28363=FACE_OUTER_BOUND('',#48511,.T.); #28364=FACE_OUTER_BOUND('',#48512,.T.); #28365=FACE_OUTER_BOUND('',#48513,.T.); #28366=FACE_OUTER_BOUND('',#48514,.T.); #28367=FACE_OUTER_BOUND('',#48515,.T.); #28368=FACE_OUTER_BOUND('',#48516,.T.); #28369=FACE_OUTER_BOUND('',#48517,.T.); #28370=FACE_OUTER_BOUND('',#48518,.T.); #28371=FACE_OUTER_BOUND('',#48519,.T.); #28372=FACE_OUTER_BOUND('',#48520,.T.); #28373=FACE_OUTER_BOUND('',#48521,.T.); #28374=FACE_OUTER_BOUND('',#48522,.T.); #28375=FACE_OUTER_BOUND('',#48523,.T.); #28376=FACE_OUTER_BOUND('',#48524,.T.); #28377=FACE_OUTER_BOUND('',#48525,.T.); #28378=FACE_OUTER_BOUND('',#48526,.T.); #28379=FACE_OUTER_BOUND('',#48527,.T.); #28380=FACE_OUTER_BOUND('',#48528,.T.); #28381=FACE_OUTER_BOUND('',#48529,.T.); #28382=FACE_OUTER_BOUND('',#48530,.T.); #28383=FACE_OUTER_BOUND('',#48531,.T.); #28384=FACE_OUTER_BOUND('',#48532,.T.); #28385=FACE_OUTER_BOUND('',#48533,.T.); #28386=FACE_OUTER_BOUND('',#48534,.T.); #28387=FACE_OUTER_BOUND('',#48535,.T.); #28388=FACE_OUTER_BOUND('',#48536,.T.); #28389=FACE_OUTER_BOUND('',#48537,.T.); #28390=FACE_OUTER_BOUND('',#48538,.T.); #28391=FACE_OUTER_BOUND('',#48539,.T.); #28392=FACE_OUTER_BOUND('',#48540,.T.); #28393=FACE_OUTER_BOUND('',#48541,.T.); #28394=FACE_OUTER_BOUND('',#48542,.T.); #28395=FACE_OUTER_BOUND('',#48543,.T.); #28396=FACE_OUTER_BOUND('',#48544,.T.); #28397=FACE_OUTER_BOUND('',#48545,.T.); #28398=FACE_OUTER_BOUND('',#48546,.T.); #28399=FACE_OUTER_BOUND('',#48547,.T.); #28400=FACE_OUTER_BOUND('',#48548,.T.); #28401=FACE_OUTER_BOUND('',#48549,.T.); #28402=FACE_OUTER_BOUND('',#48550,.T.); #28403=FACE_OUTER_BOUND('',#48551,.T.); #28404=FACE_OUTER_BOUND('',#48552,.T.); #28405=FACE_OUTER_BOUND('',#48553,.T.); #28406=FACE_OUTER_BOUND('',#48554,.T.); #28407=FACE_OUTER_BOUND('',#48555,.T.); #28408=FACE_OUTER_BOUND('',#48556,.T.); #28409=FACE_OUTER_BOUND('',#48557,.T.); #28410=FACE_OUTER_BOUND('',#48558,.T.); #28411=FACE_OUTER_BOUND('',#48559,.T.); #28412=FACE_OUTER_BOUND('',#48560,.T.); #28413=FACE_OUTER_BOUND('',#48561,.T.); #28414=FACE_OUTER_BOUND('',#48562,.T.); #28415=FACE_OUTER_BOUND('',#48563,.T.); #28416=FACE_OUTER_BOUND('',#48564,.T.); #28417=FACE_OUTER_BOUND('',#48565,.T.); #28418=FACE_OUTER_BOUND('',#48566,.T.); #28419=FACE_OUTER_BOUND('',#48567,.T.); #28420=FACE_OUTER_BOUND('',#48568,.T.); #28421=FACE_OUTER_BOUND('',#48569,.T.); #28422=FACE_OUTER_BOUND('',#48570,.T.); #28423=FACE_OUTER_BOUND('',#48571,.T.); #28424=FACE_OUTER_BOUND('',#48572,.T.); #28425=FACE_OUTER_BOUND('',#48573,.T.); #28426=FACE_OUTER_BOUND('',#48574,.T.); #28427=FACE_OUTER_BOUND('',#48575,.T.); #28428=FACE_OUTER_BOUND('',#48576,.T.); #28429=FACE_OUTER_BOUND('',#48577,.T.); #28430=FACE_OUTER_BOUND('',#48578,.T.); #28431=FACE_OUTER_BOUND('',#48579,.T.); #28432=FACE_OUTER_BOUND('',#48580,.T.); #28433=FACE_OUTER_BOUND('',#48581,.T.); #28434=FACE_OUTER_BOUND('',#48582,.T.); #28435=FACE_OUTER_BOUND('',#48583,.T.); #28436=FACE_OUTER_BOUND('',#48584,.T.); #28437=FACE_OUTER_BOUND('',#48585,.T.); #28438=FACE_OUTER_BOUND('',#48586,.T.); #28439=FACE_OUTER_BOUND('',#48587,.T.); #28440=FACE_OUTER_BOUND('',#48588,.T.); #28441=FACE_OUTER_BOUND('',#48589,.T.); #28442=FACE_OUTER_BOUND('',#48590,.T.); #28443=FACE_OUTER_BOUND('',#48591,.T.); #28444=FACE_OUTER_BOUND('',#48592,.T.); #28445=FACE_OUTER_BOUND('',#48593,.T.); #28446=FACE_OUTER_BOUND('',#48594,.T.); #28447=FACE_OUTER_BOUND('',#48595,.T.); #28448=FACE_OUTER_BOUND('',#48596,.T.); #28449=FACE_OUTER_BOUND('',#48597,.T.); #28450=FACE_OUTER_BOUND('',#48598,.T.); #28451=FACE_OUTER_BOUND('',#48599,.T.); #28452=FACE_OUTER_BOUND('',#48600,.T.); #28453=FACE_OUTER_BOUND('',#48601,.T.); #28454=FACE_OUTER_BOUND('',#48602,.T.); #28455=FACE_OUTER_BOUND('',#48603,.T.); #28456=FACE_OUTER_BOUND('',#48604,.T.); #28457=FACE_OUTER_BOUND('',#48605,.T.); #28458=FACE_OUTER_BOUND('',#48606,.T.); #28459=FACE_OUTER_BOUND('',#48607,.T.); #28460=FACE_OUTER_BOUND('',#48608,.T.); #28461=FACE_OUTER_BOUND('',#48609,.T.); #28462=FACE_OUTER_BOUND('',#48610,.T.); #28463=FACE_OUTER_BOUND('',#48611,.T.); #28464=FACE_OUTER_BOUND('',#48612,.T.); #28465=FACE_OUTER_BOUND('',#48613,.T.); #28466=FACE_OUTER_BOUND('',#48614,.T.); #28467=FACE_OUTER_BOUND('',#48615,.T.); #28468=FACE_OUTER_BOUND('',#48616,.T.); #28469=FACE_OUTER_BOUND('',#48617,.T.); #28470=FACE_OUTER_BOUND('',#48618,.T.); #28471=FACE_OUTER_BOUND('',#48619,.T.); #28472=FACE_OUTER_BOUND('',#48620,.T.); #28473=FACE_OUTER_BOUND('',#48621,.T.); #28474=FACE_OUTER_BOUND('',#48622,.T.); #28475=FACE_OUTER_BOUND('',#48623,.T.); #28476=FACE_OUTER_BOUND('',#48624,.T.); #28477=FACE_OUTER_BOUND('',#48625,.T.); #28478=FACE_OUTER_BOUND('',#48626,.T.); #28479=FACE_OUTER_BOUND('',#48627,.T.); #28480=FACE_OUTER_BOUND('',#48628,.T.); #28481=FACE_OUTER_BOUND('',#48629,.T.); #28482=FACE_OUTER_BOUND('',#48630,.T.); #28483=FACE_OUTER_BOUND('',#48631,.T.); #28484=FACE_OUTER_BOUND('',#48632,.T.); #28485=FACE_OUTER_BOUND('',#48633,.T.); #28486=FACE_OUTER_BOUND('',#48634,.T.); #28487=FACE_OUTER_BOUND('',#48635,.T.); #28488=FACE_OUTER_BOUND('',#48636,.T.); #28489=FACE_OUTER_BOUND('',#48637,.T.); #28490=FACE_OUTER_BOUND('',#48638,.T.); #28491=FACE_OUTER_BOUND('',#48639,.T.); #28492=FACE_OUTER_BOUND('',#48640,.T.); #28493=FACE_OUTER_BOUND('',#48641,.T.); #28494=FACE_OUTER_BOUND('',#48642,.T.); #28495=FACE_OUTER_BOUND('',#48643,.T.); #28496=FACE_OUTER_BOUND('',#48644,.T.); #28497=FACE_OUTER_BOUND('',#48645,.T.); #28498=FACE_OUTER_BOUND('',#48646,.T.); #28499=FACE_OUTER_BOUND('',#48647,.T.); #28500=FACE_OUTER_BOUND('',#48648,.T.); #28501=FACE_OUTER_BOUND('',#48649,.T.); #28502=FACE_OUTER_BOUND('',#48650,.T.); #28503=FACE_OUTER_BOUND('',#48651,.T.); #28504=FACE_OUTER_BOUND('',#48652,.T.); #28505=FACE_OUTER_BOUND('',#48653,.T.); #28506=FACE_OUTER_BOUND('',#48654,.T.); #28507=FACE_OUTER_BOUND('',#48655,.T.); #28508=FACE_OUTER_BOUND('',#48656,.T.); #28509=FACE_OUTER_BOUND('',#48657,.T.); #28510=FACE_OUTER_BOUND('',#48658,.T.); #28511=FACE_OUTER_BOUND('',#48659,.T.); #28512=FACE_OUTER_BOUND('',#48660,.T.); #28513=FACE_OUTER_BOUND('',#48661,.T.); #28514=FACE_OUTER_BOUND('',#48662,.T.); #28515=FACE_OUTER_BOUND('',#48663,.T.); #28516=FACE_OUTER_BOUND('',#48664,.T.); #28517=FACE_OUTER_BOUND('',#48665,.T.); #28518=FACE_OUTER_BOUND('',#48666,.T.); #28519=FACE_OUTER_BOUND('',#48667,.T.); #28520=FACE_OUTER_BOUND('',#48668,.T.); #28521=FACE_OUTER_BOUND('',#48669,.T.); #28522=FACE_OUTER_BOUND('',#48670,.T.); #28523=FACE_OUTER_BOUND('',#48671,.T.); #28524=FACE_OUTER_BOUND('',#48672,.T.); #28525=FACE_OUTER_BOUND('',#48673,.T.); #28526=FACE_OUTER_BOUND('',#48674,.T.); #28527=FACE_OUTER_BOUND('',#48675,.T.); #28528=FACE_OUTER_BOUND('',#48676,.T.); #28529=FACE_OUTER_BOUND('',#48677,.T.); #28530=FACE_OUTER_BOUND('',#48678,.T.); #28531=FACE_OUTER_BOUND('',#48679,.T.); #28532=FACE_OUTER_BOUND('',#48680,.T.); #28533=FACE_OUTER_BOUND('',#48681,.T.); #28534=FACE_OUTER_BOUND('',#48682,.T.); #28535=FACE_OUTER_BOUND('',#48683,.T.); #28536=FACE_OUTER_BOUND('',#48684,.T.); #28537=FACE_OUTER_BOUND('',#48685,.T.); #28538=FACE_OUTER_BOUND('',#48686,.T.); #28539=FACE_OUTER_BOUND('',#48687,.T.); #28540=FACE_OUTER_BOUND('',#48688,.T.); #28541=FACE_OUTER_BOUND('',#48689,.T.); #28542=FACE_OUTER_BOUND('',#48690,.T.); #28543=FACE_OUTER_BOUND('',#48691,.T.); #28544=FACE_OUTER_BOUND('',#48692,.T.); #28545=FACE_OUTER_BOUND('',#48693,.T.); #28546=FACE_OUTER_BOUND('',#48694,.T.); #28547=FACE_OUTER_BOUND('',#48695,.T.); #28548=FACE_OUTER_BOUND('',#48696,.T.); #28549=FACE_OUTER_BOUND('',#48697,.T.); #28550=FACE_OUTER_BOUND('',#48698,.T.); #28551=FACE_OUTER_BOUND('',#48699,.T.); #28552=FACE_OUTER_BOUND('',#48700,.T.); #28553=FACE_OUTER_BOUND('',#48701,.T.); #28554=FACE_OUTER_BOUND('',#48702,.T.); #28555=FACE_OUTER_BOUND('',#48703,.T.); #28556=FACE_OUTER_BOUND('',#48704,.T.); #28557=FACE_OUTER_BOUND('',#48705,.T.); #28558=FACE_OUTER_BOUND('',#48706,.T.); #28559=FACE_OUTER_BOUND('',#48707,.T.); #28560=FACE_OUTER_BOUND('',#48708,.T.); #28561=FACE_OUTER_BOUND('',#48709,.T.); #28562=FACE_OUTER_BOUND('',#48710,.T.); #28563=FACE_OUTER_BOUND('',#48711,.T.); #28564=FACE_OUTER_BOUND('',#48712,.T.); #28565=FACE_OUTER_BOUND('',#48713,.T.); #28566=FACE_OUTER_BOUND('',#48714,.T.); #28567=FACE_OUTER_BOUND('',#48715,.T.); #28568=FACE_OUTER_BOUND('',#48716,.T.); #28569=FACE_OUTER_BOUND('',#48717,.T.); #28570=FACE_OUTER_BOUND('',#48718,.T.); #28571=FACE_OUTER_BOUND('',#48719,.T.); #28572=FACE_OUTER_BOUND('',#48720,.T.); #28573=FACE_OUTER_BOUND('',#48721,.T.); #28574=FACE_OUTER_BOUND('',#48722,.T.); #28575=FACE_OUTER_BOUND('',#48723,.T.); #28576=FACE_OUTER_BOUND('',#48724,.T.); #28577=FACE_OUTER_BOUND('',#48725,.T.); #28578=FACE_OUTER_BOUND('',#48726,.T.); #28579=FACE_OUTER_BOUND('',#48727,.T.); #28580=FACE_OUTER_BOUND('',#48728,.T.); #28581=FACE_OUTER_BOUND('',#48729,.T.); #28582=FACE_OUTER_BOUND('',#48730,.T.); #28583=FACE_OUTER_BOUND('',#48731,.T.); #28584=FACE_OUTER_BOUND('',#48732,.T.); #28585=FACE_OUTER_BOUND('',#48733,.T.); #28586=FACE_OUTER_BOUND('',#48734,.T.); #28587=FACE_OUTER_BOUND('',#48735,.T.); #28588=FACE_OUTER_BOUND('',#48736,.T.); #28589=FACE_OUTER_BOUND('',#48737,.T.); #28590=FACE_OUTER_BOUND('',#48738,.T.); #28591=FACE_OUTER_BOUND('',#48739,.T.); #28592=FACE_OUTER_BOUND('',#48740,.T.); #28593=FACE_OUTER_BOUND('',#48741,.T.); #28594=FACE_OUTER_BOUND('',#48742,.T.); #28595=FACE_OUTER_BOUND('',#48743,.T.); #28596=FACE_OUTER_BOUND('',#48744,.T.); #28597=FACE_OUTER_BOUND('',#48745,.T.); #28598=FACE_OUTER_BOUND('',#48746,.T.); #28599=FACE_OUTER_BOUND('',#48747,.T.); #28600=FACE_OUTER_BOUND('',#48748,.T.); #28601=FACE_OUTER_BOUND('',#48749,.T.); #28602=FACE_OUTER_BOUND('',#48750,.T.); #28603=FACE_OUTER_BOUND('',#48751,.T.); #28604=FACE_OUTER_BOUND('',#48752,.T.); #28605=FACE_OUTER_BOUND('',#48753,.T.); #28606=FACE_OUTER_BOUND('',#48754,.T.); #28607=FACE_OUTER_BOUND('',#48755,.T.); #28608=FACE_OUTER_BOUND('',#48756,.T.); #28609=FACE_OUTER_BOUND('',#48757,.T.); #28610=FACE_OUTER_BOUND('',#48758,.T.); #28611=FACE_OUTER_BOUND('',#48759,.T.); #28612=FACE_OUTER_BOUND('',#48760,.T.); #28613=FACE_OUTER_BOUND('',#48761,.T.); #28614=FACE_OUTER_BOUND('',#48762,.T.); #28615=FACE_OUTER_BOUND('',#48763,.T.); #28616=FACE_OUTER_BOUND('',#48764,.T.); #28617=FACE_OUTER_BOUND('',#48765,.T.); #28618=FACE_OUTER_BOUND('',#48766,.T.); #28619=FACE_OUTER_BOUND('',#48767,.T.); #28620=FACE_OUTER_BOUND('',#48768,.T.); #28621=FACE_OUTER_BOUND('',#48769,.T.); #28622=FACE_OUTER_BOUND('',#48770,.T.); #28623=FACE_OUTER_BOUND('',#48771,.T.); #28624=FACE_OUTER_BOUND('',#48772,.T.); #28625=FACE_OUTER_BOUND('',#48773,.T.); #28626=FACE_OUTER_BOUND('',#48774,.T.); #28627=FACE_OUTER_BOUND('',#48775,.T.); #28628=FACE_OUTER_BOUND('',#48776,.T.); #28629=FACE_OUTER_BOUND('',#48777,.T.); #28630=FACE_OUTER_BOUND('',#48778,.T.); #28631=FACE_OUTER_BOUND('',#48779,.T.); #28632=FACE_OUTER_BOUND('',#48780,.T.); #28633=FACE_OUTER_BOUND('',#48781,.T.); #28634=FACE_OUTER_BOUND('',#48782,.T.); #28635=FACE_OUTER_BOUND('',#48783,.T.); #28636=FACE_OUTER_BOUND('',#48784,.T.); #28637=FACE_OUTER_BOUND('',#48785,.T.); #28638=FACE_OUTER_BOUND('',#48786,.T.); #28639=FACE_OUTER_BOUND('',#48787,.T.); #28640=FACE_OUTER_BOUND('',#48788,.T.); #28641=FACE_OUTER_BOUND('',#48789,.T.); #28642=FACE_OUTER_BOUND('',#48790,.T.); #28643=FACE_OUTER_BOUND('',#48791,.T.); #28644=FACE_OUTER_BOUND('',#48792,.T.); #28645=FACE_OUTER_BOUND('',#48793,.T.); #28646=FACE_OUTER_BOUND('',#48794,.T.); #28647=FACE_OUTER_BOUND('',#48795,.T.); #28648=FACE_OUTER_BOUND('',#48796,.T.); #28649=FACE_OUTER_BOUND('',#48797,.T.); #28650=FACE_OUTER_BOUND('',#48798,.T.); #28651=FACE_OUTER_BOUND('',#48799,.T.); #28652=FACE_OUTER_BOUND('',#48800,.T.); #28653=FACE_OUTER_BOUND('',#48801,.T.); #28654=FACE_OUTER_BOUND('',#48802,.T.); #28655=FACE_OUTER_BOUND('',#48803,.T.); #28656=FACE_OUTER_BOUND('',#48804,.T.); #28657=FACE_OUTER_BOUND('',#48805,.T.); #28658=FACE_OUTER_BOUND('',#48806,.T.); #28659=FACE_OUTER_BOUND('',#48807,.T.); #28660=FACE_OUTER_BOUND('',#48808,.T.); #28661=FACE_OUTER_BOUND('',#48809,.T.); #28662=FACE_OUTER_BOUND('',#48810,.T.); #28663=FACE_OUTER_BOUND('',#48811,.T.); #28664=FACE_OUTER_BOUND('',#48812,.T.); #28665=FACE_OUTER_BOUND('',#48813,.T.); #28666=FACE_OUTER_BOUND('',#48814,.T.); #28667=FACE_OUTER_BOUND('',#48815,.T.); #28668=FACE_OUTER_BOUND('',#48816,.T.); #28669=FACE_OUTER_BOUND('',#48817,.T.); #28670=FACE_OUTER_BOUND('',#48818,.T.); #28671=FACE_OUTER_BOUND('',#48819,.T.); #28672=FACE_OUTER_BOUND('',#48820,.T.); #28673=FACE_OUTER_BOUND('',#48821,.T.); #28674=FACE_OUTER_BOUND('',#48822,.T.); #28675=FACE_OUTER_BOUND('',#48823,.T.); #28676=FACE_OUTER_BOUND('',#48824,.T.); #28677=FACE_OUTER_BOUND('',#48825,.T.); #28678=FACE_OUTER_BOUND('',#48826,.T.); #28679=FACE_OUTER_BOUND('',#48827,.T.); #28680=FACE_OUTER_BOUND('',#48828,.T.); #28681=FACE_OUTER_BOUND('',#48829,.T.); #28682=FACE_OUTER_BOUND('',#48830,.T.); #28683=FACE_OUTER_BOUND('',#48831,.T.); #28684=FACE_OUTER_BOUND('',#48832,.T.); #28685=FACE_OUTER_BOUND('',#48833,.T.); #28686=FACE_OUTER_BOUND('',#48834,.T.); #28687=FACE_OUTER_BOUND('',#48835,.T.); #28688=FACE_OUTER_BOUND('',#48836,.T.); #28689=FACE_OUTER_BOUND('',#48837,.T.); #28690=FACE_OUTER_BOUND('',#48838,.T.); #28691=FACE_OUTER_BOUND('',#48839,.T.); #28692=FACE_OUTER_BOUND('',#48840,.T.); #28693=FACE_OUTER_BOUND('',#48841,.T.); #28694=FACE_OUTER_BOUND('',#48842,.T.); #28695=FACE_OUTER_BOUND('',#48843,.T.); #28696=FACE_OUTER_BOUND('',#48844,.T.); #28697=FACE_OUTER_BOUND('',#48845,.T.); #28698=FACE_OUTER_BOUND('',#48846,.T.); #28699=FACE_OUTER_BOUND('',#48847,.T.); #28700=FACE_OUTER_BOUND('',#48848,.T.); #28701=FACE_OUTER_BOUND('',#48849,.T.); #28702=FACE_OUTER_BOUND('',#48850,.T.); #28703=FACE_OUTER_BOUND('',#48851,.T.); #28704=FACE_OUTER_BOUND('',#48852,.T.); #28705=FACE_OUTER_BOUND('',#48853,.T.); #28706=FACE_OUTER_BOUND('',#48854,.T.); #28707=FACE_OUTER_BOUND('',#48855,.T.); #28708=FACE_OUTER_BOUND('',#48856,.T.); #28709=FACE_OUTER_BOUND('',#48857,.T.); #28710=FACE_OUTER_BOUND('',#48858,.T.); #28711=FACE_OUTER_BOUND('',#48859,.T.); #28712=FACE_OUTER_BOUND('',#48860,.T.); #28713=FACE_OUTER_BOUND('',#48861,.T.); #28714=FACE_OUTER_BOUND('',#48862,.T.); #28715=FACE_OUTER_BOUND('',#48863,.T.); #28716=FACE_OUTER_BOUND('',#48864,.T.); #28717=FACE_OUTER_BOUND('',#48865,.T.); #28718=FACE_OUTER_BOUND('',#48866,.T.); #28719=FACE_OUTER_BOUND('',#48867,.T.); #28720=FACE_OUTER_BOUND('',#48868,.T.); #28721=FACE_OUTER_BOUND('',#48869,.T.); #28722=FACE_OUTER_BOUND('',#48870,.T.); #28723=FACE_OUTER_BOUND('',#48871,.T.); #28724=FACE_OUTER_BOUND('',#48872,.T.); #28725=FACE_OUTER_BOUND('',#48873,.T.); #28726=FACE_OUTER_BOUND('',#48874,.T.); #28727=FACE_OUTER_BOUND('',#48875,.T.); #28728=FACE_OUTER_BOUND('',#48876,.T.); #28729=FACE_OUTER_BOUND('',#48877,.T.); #28730=FACE_OUTER_BOUND('',#48878,.T.); #28731=FACE_OUTER_BOUND('',#48879,.T.); #28732=FACE_OUTER_BOUND('',#48880,.T.); #28733=FACE_OUTER_BOUND('',#48881,.T.); #28734=FACE_OUTER_BOUND('',#48882,.T.); #28735=FACE_OUTER_BOUND('',#48883,.T.); #28736=FACE_OUTER_BOUND('',#48884,.T.); #28737=FACE_OUTER_BOUND('',#48885,.T.); #28738=FACE_OUTER_BOUND('',#48886,.T.); #28739=FACE_OUTER_BOUND('',#48887,.T.); #28740=FACE_OUTER_BOUND('',#48888,.T.); #28741=FACE_OUTER_BOUND('',#48889,.T.); #28742=FACE_OUTER_BOUND('',#48890,.T.); #28743=FACE_OUTER_BOUND('',#48891,.T.); #28744=FACE_OUTER_BOUND('',#48892,.T.); #28745=FACE_OUTER_BOUND('',#48893,.T.); #28746=FACE_OUTER_BOUND('',#48894,.T.); #28747=FACE_OUTER_BOUND('',#48895,.T.); #28748=FACE_OUTER_BOUND('',#48896,.T.); #28749=FACE_OUTER_BOUND('',#48897,.T.); #28750=FACE_OUTER_BOUND('',#48898,.T.); #28751=FACE_OUTER_BOUND('',#48899,.T.); #28752=FACE_OUTER_BOUND('',#48900,.T.); #28753=FACE_OUTER_BOUND('',#48901,.T.); #28754=FACE_OUTER_BOUND('',#48902,.T.); #28755=FACE_OUTER_BOUND('',#48903,.T.); #28756=FACE_OUTER_BOUND('',#48904,.T.); #28757=FACE_OUTER_BOUND('',#48905,.T.); #28758=FACE_OUTER_BOUND('',#48906,.T.); #28759=FACE_OUTER_BOUND('',#48907,.T.); #28760=FACE_OUTER_BOUND('',#48908,.T.); #28761=FACE_OUTER_BOUND('',#48909,.T.); #28762=FACE_OUTER_BOUND('',#48910,.T.); #28763=FACE_OUTER_BOUND('',#48911,.T.); #28764=FACE_OUTER_BOUND('',#48912,.T.); #28765=FACE_OUTER_BOUND('',#48913,.T.); #28766=FACE_OUTER_BOUND('',#48914,.T.); #28767=FACE_OUTER_BOUND('',#48915,.T.); #28768=FACE_OUTER_BOUND('',#48916,.T.); #28769=FACE_OUTER_BOUND('',#48917,.T.); #28770=FACE_OUTER_BOUND('',#48918,.T.); #28771=FACE_OUTER_BOUND('',#48919,.T.); #28772=FACE_OUTER_BOUND('',#48920,.T.); #28773=FACE_OUTER_BOUND('',#48921,.T.); #28774=FACE_OUTER_BOUND('',#48922,.T.); #28775=FACE_OUTER_BOUND('',#48923,.T.); #28776=FACE_OUTER_BOUND('',#48924,.T.); #28777=FACE_OUTER_BOUND('',#48925,.T.); #28778=FACE_OUTER_BOUND('',#48926,.T.); #28779=FACE_OUTER_BOUND('',#48927,.T.); #28780=FACE_OUTER_BOUND('',#48928,.T.); #28781=FACE_OUTER_BOUND('',#48929,.T.); #28782=FACE_OUTER_BOUND('',#48930,.T.); #28783=FACE_OUTER_BOUND('',#48931,.T.); #28784=FACE_OUTER_BOUND('',#48932,.T.); #28785=FACE_OUTER_BOUND('',#48933,.T.); #28786=FACE_OUTER_BOUND('',#48934,.T.); #28787=FACE_OUTER_BOUND('',#48935,.T.); #28788=FACE_OUTER_BOUND('',#48936,.T.); #28789=FACE_OUTER_BOUND('',#48937,.T.); #28790=FACE_OUTER_BOUND('',#48938,.T.); #28791=FACE_OUTER_BOUND('',#48939,.T.); #28792=FACE_OUTER_BOUND('',#48940,.T.); #28793=FACE_OUTER_BOUND('',#48941,.T.); #28794=FACE_OUTER_BOUND('',#48942,.T.); #28795=FACE_OUTER_BOUND('',#48943,.T.); #28796=FACE_OUTER_BOUND('',#48944,.T.); #28797=FACE_OUTER_BOUND('',#48945,.T.); #28798=FACE_OUTER_BOUND('',#48946,.T.); #28799=FACE_OUTER_BOUND('',#48947,.T.); #28800=FACE_OUTER_BOUND('',#48948,.T.); #28801=FACE_OUTER_BOUND('',#48949,.T.); #28802=FACE_OUTER_BOUND('',#48950,.T.); #28803=FACE_OUTER_BOUND('',#48951,.T.); #28804=FACE_OUTER_BOUND('',#48952,.T.); #28805=FACE_OUTER_BOUND('',#48953,.T.); #28806=FACE_OUTER_BOUND('',#48954,.T.); #28807=FACE_OUTER_BOUND('',#48955,.T.); #28808=FACE_OUTER_BOUND('',#48956,.T.); #28809=FACE_OUTER_BOUND('',#48957,.T.); #28810=FACE_OUTER_BOUND('',#48958,.T.); #28811=FACE_OUTER_BOUND('',#48959,.T.); #28812=FACE_OUTER_BOUND('',#48960,.T.); #28813=FACE_OUTER_BOUND('',#48961,.T.); #28814=FACE_OUTER_BOUND('',#48962,.T.); #28815=FACE_OUTER_BOUND('',#48963,.T.); #28816=FACE_OUTER_BOUND('',#48964,.T.); #28817=FACE_OUTER_BOUND('',#48965,.T.); #28818=FACE_OUTER_BOUND('',#48966,.T.); #28819=FACE_OUTER_BOUND('',#48967,.T.); #28820=FACE_OUTER_BOUND('',#48968,.T.); #28821=FACE_OUTER_BOUND('',#48969,.T.); #28822=FACE_OUTER_BOUND('',#48970,.T.); #28823=FACE_OUTER_BOUND('',#48971,.T.); #28824=FACE_OUTER_BOUND('',#48972,.T.); #28825=FACE_OUTER_BOUND('',#48973,.T.); #28826=FACE_OUTER_BOUND('',#48974,.T.); #28827=FACE_OUTER_BOUND('',#48975,.T.); #28828=FACE_OUTER_BOUND('',#48976,.T.); #28829=FACE_OUTER_BOUND('',#48977,.T.); #28830=FACE_OUTER_BOUND('',#48978,.T.); #28831=FACE_OUTER_BOUND('',#48979,.T.); #28832=FACE_OUTER_BOUND('',#48980,.T.); #28833=FACE_OUTER_BOUND('',#48981,.T.); #28834=FACE_OUTER_BOUND('',#48982,.T.); #28835=FACE_OUTER_BOUND('',#48983,.T.); #28836=FACE_OUTER_BOUND('',#48984,.T.); #28837=FACE_OUTER_BOUND('',#48985,.T.); #28838=FACE_OUTER_BOUND('',#48986,.T.); #28839=FACE_OUTER_BOUND('',#48987,.T.); #28840=FACE_OUTER_BOUND('',#48988,.T.); #28841=FACE_OUTER_BOUND('',#48989,.T.); #28842=FACE_OUTER_BOUND('',#48990,.T.); #28843=FACE_OUTER_BOUND('',#48991,.T.); #28844=FACE_OUTER_BOUND('',#48992,.T.); #28845=FACE_OUTER_BOUND('',#48993,.T.); #28846=FACE_OUTER_BOUND('',#48994,.T.); #28847=FACE_OUTER_BOUND('',#48995,.T.); #28848=FACE_OUTER_BOUND('',#48996,.T.); #28849=FACE_OUTER_BOUND('',#48997,.T.); #28850=FACE_OUTER_BOUND('',#48998,.T.); #28851=FACE_OUTER_BOUND('',#48999,.T.); #28852=FACE_OUTER_BOUND('',#49000,.T.); #28853=FACE_OUTER_BOUND('',#49001,.T.); #28854=FACE_OUTER_BOUND('',#49002,.T.); #28855=FACE_OUTER_BOUND('',#49003,.T.); #28856=FACE_OUTER_BOUND('',#49004,.T.); #28857=FACE_OUTER_BOUND('',#49005,.T.); #28858=FACE_OUTER_BOUND('',#49006,.T.); #28859=FACE_OUTER_BOUND('',#49007,.T.); #28860=FACE_OUTER_BOUND('',#49008,.T.); #28861=FACE_OUTER_BOUND('',#49009,.T.); #28862=FACE_OUTER_BOUND('',#49010,.T.); #28863=FACE_OUTER_BOUND('',#49011,.T.); #28864=FACE_OUTER_BOUND('',#49012,.T.); #28865=FACE_OUTER_BOUND('',#49013,.T.); #28866=FACE_OUTER_BOUND('',#49014,.T.); #28867=FACE_OUTER_BOUND('',#49015,.T.); #28868=FACE_OUTER_BOUND('',#49016,.T.); #28869=FACE_OUTER_BOUND('',#49017,.T.); #28870=FACE_OUTER_BOUND('',#49018,.T.); #28871=FACE_OUTER_BOUND('',#49019,.T.); #28872=FACE_OUTER_BOUND('',#49020,.T.); #28873=FACE_OUTER_BOUND('',#49021,.T.); #28874=FACE_OUTER_BOUND('',#49022,.T.); #28875=FACE_OUTER_BOUND('',#49023,.T.); #28876=FACE_OUTER_BOUND('',#49024,.T.); #28877=FACE_OUTER_BOUND('',#49025,.T.); #28878=FACE_OUTER_BOUND('',#49026,.T.); #28879=FACE_OUTER_BOUND('',#49027,.T.); #28880=FACE_OUTER_BOUND('',#49028,.T.); #28881=FACE_OUTER_BOUND('',#49029,.T.); #28882=FACE_OUTER_BOUND('',#49030,.T.); #28883=FACE_OUTER_BOUND('',#49031,.T.); #28884=FACE_OUTER_BOUND('',#49032,.T.); #28885=FACE_OUTER_BOUND('',#49033,.T.); #28886=FACE_OUTER_BOUND('',#49034,.T.); #28887=FACE_OUTER_BOUND('',#49035,.T.); #28888=FACE_OUTER_BOUND('',#49036,.T.); #28889=FACE_OUTER_BOUND('',#49037,.T.); #28890=FACE_OUTER_BOUND('',#49038,.T.); #28891=FACE_OUTER_BOUND('',#49039,.T.); #28892=FACE_OUTER_BOUND('',#49040,.T.); #28893=FACE_OUTER_BOUND('',#49041,.T.); #28894=FACE_OUTER_BOUND('',#49042,.T.); #28895=FACE_OUTER_BOUND('',#49043,.T.); #28896=FACE_OUTER_BOUND('',#49044,.T.); #28897=FACE_OUTER_BOUND('',#49045,.T.); #28898=FACE_OUTER_BOUND('',#49046,.T.); #28899=FACE_OUTER_BOUND('',#49047,.T.); #28900=FACE_OUTER_BOUND('',#49048,.T.); #28901=FACE_OUTER_BOUND('',#49049,.T.); #28902=FACE_OUTER_BOUND('',#49050,.T.); #28903=FACE_OUTER_BOUND('',#49051,.T.); #28904=FACE_OUTER_BOUND('',#49052,.T.); #28905=FACE_OUTER_BOUND('',#49053,.T.); #28906=FACE_OUTER_BOUND('',#49054,.T.); #28907=FACE_OUTER_BOUND('',#49055,.T.); #28908=FACE_OUTER_BOUND('',#49056,.T.); #28909=FACE_OUTER_BOUND('',#49057,.T.); #28910=FACE_OUTER_BOUND('',#49058,.T.); #28911=FACE_OUTER_BOUND('',#49059,.T.); #28912=FACE_OUTER_BOUND('',#49060,.T.); #28913=FACE_OUTER_BOUND('',#49061,.T.); #28914=FACE_OUTER_BOUND('',#49062,.T.); #28915=FACE_OUTER_BOUND('',#49063,.T.); #28916=FACE_OUTER_BOUND('',#49064,.T.); #28917=FACE_OUTER_BOUND('',#49065,.T.); #28918=FACE_OUTER_BOUND('',#49066,.T.); #28919=FACE_OUTER_BOUND('',#49067,.T.); #28920=FACE_OUTER_BOUND('',#49068,.T.); #28921=FACE_OUTER_BOUND('',#49069,.T.); #28922=FACE_OUTER_BOUND('',#49070,.T.); #28923=FACE_OUTER_BOUND('',#49071,.T.); #28924=FACE_OUTER_BOUND('',#49072,.T.); #28925=FACE_OUTER_BOUND('',#49073,.T.); #28926=FACE_OUTER_BOUND('',#49074,.T.); #28927=FACE_OUTER_BOUND('',#49075,.T.); #28928=FACE_OUTER_BOUND('',#49076,.T.); #28929=FACE_OUTER_BOUND('',#49077,.T.); #28930=FACE_OUTER_BOUND('',#49078,.T.); #28931=FACE_OUTER_BOUND('',#49079,.T.); #28932=FACE_OUTER_BOUND('',#49080,.T.); #28933=FACE_OUTER_BOUND('',#49081,.T.); #28934=FACE_OUTER_BOUND('',#49082,.T.); #28935=FACE_OUTER_BOUND('',#49083,.T.); #28936=FACE_OUTER_BOUND('',#49084,.T.); #28937=FACE_OUTER_BOUND('',#49085,.T.); #28938=FACE_OUTER_BOUND('',#49086,.T.); #28939=FACE_OUTER_BOUND('',#49087,.T.); #28940=FACE_OUTER_BOUND('',#49090,.T.); #28941=FACE_OUTER_BOUND('',#49093,.T.); #28942=FACE_OUTER_BOUND('',#49094,.T.); #28943=FACE_OUTER_BOUND('',#49095,.T.); #28944=FACE_OUTER_BOUND('',#49096,.T.); #28945=FACE_OUTER_BOUND('',#49097,.T.); #28946=FACE_OUTER_BOUND('',#49098,.T.); #28947=FACE_OUTER_BOUND('',#49099,.T.); #28948=FACE_OUTER_BOUND('',#49100,.T.); #28949=FACE_OUTER_BOUND('',#49101,.T.); #28950=FACE_OUTER_BOUND('',#49102,.T.); #28951=FACE_OUTER_BOUND('',#49103,.T.); #28952=FACE_OUTER_BOUND('',#49104,.T.); #28953=FACE_OUTER_BOUND('',#49105,.T.); #28954=FACE_OUTER_BOUND('',#49106,.T.); #28955=FACE_OUTER_BOUND('',#49107,.T.); #28956=FACE_OUTER_BOUND('',#49108,.T.); #28957=FACE_OUTER_BOUND('',#49109,.T.); #28958=FACE_OUTER_BOUND('',#49110,.T.); #28959=FACE_OUTER_BOUND('',#49111,.T.); #28960=FACE_OUTER_BOUND('',#49112,.T.); #28961=FACE_OUTER_BOUND('',#49113,.T.); #28962=FACE_OUTER_BOUND('',#49114,.T.); #28963=FACE_OUTER_BOUND('',#49115,.T.); #28964=FACE_OUTER_BOUND('',#49116,.T.); #28965=FACE_OUTER_BOUND('',#49117,.T.); #28966=FACE_OUTER_BOUND('',#49118,.T.); #28967=FACE_OUTER_BOUND('',#49119,.T.); #28968=FACE_OUTER_BOUND('',#49120,.T.); #28969=FACE_OUTER_BOUND('',#49121,.T.); #28970=FACE_OUTER_BOUND('',#49122,.T.); #28971=FACE_OUTER_BOUND('',#49123,.T.); #28972=FACE_OUTER_BOUND('',#49124,.T.); #28973=FACE_OUTER_BOUND('',#49125,.T.); #28974=FACE_OUTER_BOUND('',#49126,.T.); #28975=FACE_OUTER_BOUND('',#49127,.T.); #28976=FACE_OUTER_BOUND('',#49128,.T.); #28977=FACE_OUTER_BOUND('',#49129,.T.); #28978=FACE_OUTER_BOUND('',#49130,.T.); #28979=FACE_OUTER_BOUND('',#49131,.T.); #28980=FACE_OUTER_BOUND('',#49132,.T.); #28981=FACE_OUTER_BOUND('',#49133,.T.); #28982=FACE_OUTER_BOUND('',#49134,.T.); #28983=FACE_OUTER_BOUND('',#49135,.T.); #28984=FACE_OUTER_BOUND('',#49136,.T.); #28985=FACE_OUTER_BOUND('',#49137,.T.); #28986=FACE_OUTER_BOUND('',#49138,.T.); #28987=FACE_OUTER_BOUND('',#49139,.T.); #28988=FACE_OUTER_BOUND('',#49140,.T.); #28989=FACE_OUTER_BOUND('',#49141,.T.); #28990=FACE_OUTER_BOUND('',#49142,.T.); #28991=FACE_OUTER_BOUND('',#49143,.T.); #28992=FACE_OUTER_BOUND('',#49144,.T.); #28993=FACE_OUTER_BOUND('',#49145,.T.); #28994=FACE_OUTER_BOUND('',#49146,.T.); #28995=FACE_OUTER_BOUND('',#49147,.T.); #28996=FACE_OUTER_BOUND('',#49148,.T.); #28997=FACE_OUTER_BOUND('',#49149,.T.); #28998=FACE_OUTER_BOUND('',#49150,.T.); #28999=FACE_OUTER_BOUND('',#49151,.T.); #29000=FACE_OUTER_BOUND('',#49152,.T.); #29001=FACE_OUTER_BOUND('',#49153,.T.); #29002=FACE_OUTER_BOUND('',#49154,.T.); #29003=FACE_OUTER_BOUND('',#49155,.T.); #29004=FACE_OUTER_BOUND('',#49156,.T.); #29005=FACE_OUTER_BOUND('',#49157,.T.); #29006=FACE_OUTER_BOUND('',#49158,.T.); #29007=FACE_OUTER_BOUND('',#49159,.T.); #29008=FACE_OUTER_BOUND('',#49160,.T.); #29009=FACE_OUTER_BOUND('',#49161,.T.); #29010=FACE_OUTER_BOUND('',#49162,.T.); #29011=FACE_OUTER_BOUND('',#49163,.T.); #29012=FACE_OUTER_BOUND('',#49164,.T.); #29013=FACE_OUTER_BOUND('',#49165,.T.); #29014=FACE_OUTER_BOUND('',#49166,.T.); #29015=FACE_OUTER_BOUND('',#49167,.T.); #29016=FACE_OUTER_BOUND('',#49168,.T.); #29017=FACE_OUTER_BOUND('',#49169,.T.); #29018=FACE_OUTER_BOUND('',#49170,.T.); #29019=FACE_OUTER_BOUND('',#49171,.T.); #29020=FACE_OUTER_BOUND('',#49187,.T.); #29021=FACE_OUTER_BOUND('',#49203,.T.); #29022=FACE_OUTER_BOUND('',#49204,.T.); #29023=FACE_OUTER_BOUND('',#49205,.T.); #29024=FACE_OUTER_BOUND('',#49206,.T.); #29025=FACE_OUTER_BOUND('',#49207,.T.); #29026=FACE_OUTER_BOUND('',#49208,.T.); #29027=FACE_OUTER_BOUND('',#49209,.T.); #29028=FACE_OUTER_BOUND('',#49210,.T.); #29029=FACE_OUTER_BOUND('',#49211,.T.); #29030=FACE_OUTER_BOUND('',#49212,.T.); #29031=FACE_OUTER_BOUND('',#49213,.T.); #29032=FACE_OUTER_BOUND('',#49214,.T.); #29033=FACE_OUTER_BOUND('',#49215,.T.); #29034=FACE_OUTER_BOUND('',#49216,.T.); #29035=FACE_OUTER_BOUND('',#49217,.T.); #29036=FACE_OUTER_BOUND('',#49218,.T.); #29037=FACE_OUTER_BOUND('',#49219,.T.); #29038=FACE_OUTER_BOUND('',#49220,.T.); #29039=FACE_OUTER_BOUND('',#49221,.T.); #29040=FACE_OUTER_BOUND('',#49222,.T.); #29041=FACE_OUTER_BOUND('',#49223,.T.); #29042=FACE_OUTER_BOUND('',#49224,.T.); #29043=FACE_OUTER_BOUND('',#49225,.T.); #29044=FACE_OUTER_BOUND('',#49226,.T.); #29045=FACE_OUTER_BOUND('',#49227,.T.); #29046=FACE_OUTER_BOUND('',#49228,.T.); #29047=FACE_OUTER_BOUND('',#49229,.T.); #29048=FACE_OUTER_BOUND('',#49230,.T.); #29049=FACE_OUTER_BOUND('',#49231,.T.); #29050=FACE_OUTER_BOUND('',#49232,.T.); #29051=FACE_OUTER_BOUND('',#49233,.T.); #29052=FACE_OUTER_BOUND('',#49234,.T.); #29053=FACE_OUTER_BOUND('',#49235,.T.); #29054=FACE_OUTER_BOUND('',#49236,.T.); #29055=FACE_OUTER_BOUND('',#49237,.T.); #29056=FACE_OUTER_BOUND('',#49238,.T.); #29057=FACE_OUTER_BOUND('',#49239,.T.); #29058=FACE_OUTER_BOUND('',#49240,.T.); #29059=FACE_OUTER_BOUND('',#49241,.T.); #29060=FACE_OUTER_BOUND('',#49242,.T.); #29061=FACE_OUTER_BOUND('',#49243,.T.); #29062=FACE_OUTER_BOUND('',#49244,.T.); #29063=FACE_OUTER_BOUND('',#49245,.T.); #29064=FACE_OUTER_BOUND('',#49246,.T.); #29065=FACE_OUTER_BOUND('',#49248,.T.); #29066=FACE_OUTER_BOUND('',#49250,.T.); #29067=FACE_OUTER_BOUND('',#49251,.T.); #29068=FACE_OUTER_BOUND('',#49252,.T.); #29069=FACE_OUTER_BOUND('',#49253,.T.); #29070=FACE_OUTER_BOUND('',#49254,.T.); #29071=FACE_OUTER_BOUND('',#49255,.T.); #29072=FACE_OUTER_BOUND('',#49256,.T.); #29073=FACE_OUTER_BOUND('',#49257,.T.); #29074=FACE_OUTER_BOUND('',#49258,.T.); #29075=FACE_OUTER_BOUND('',#49259,.T.); #29076=FACE_OUTER_BOUND('',#49260,.T.); #29077=FACE_OUTER_BOUND('',#49261,.T.); #29078=FACE_OUTER_BOUND('',#49262,.T.); #29079=FACE_OUTER_BOUND('',#49263,.T.); #29080=FACE_OUTER_BOUND('',#49264,.T.); #29081=FACE_OUTER_BOUND('',#49265,.T.); #29082=FACE_OUTER_BOUND('',#49266,.T.); #29083=FACE_OUTER_BOUND('',#49267,.T.); #29084=FACE_OUTER_BOUND('',#49268,.T.); #29085=FACE_OUTER_BOUND('',#49269,.T.); #29086=FACE_OUTER_BOUND('',#49270,.T.); #29087=FACE_OUTER_BOUND('',#49271,.T.); #29088=FACE_OUTER_BOUND('',#49272,.T.); #29089=FACE_OUTER_BOUND('',#49273,.T.); #29090=FACE_OUTER_BOUND('',#49274,.T.); #29091=FACE_OUTER_BOUND('',#49275,.T.); #29092=FACE_OUTER_BOUND('',#49276,.T.); #29093=FACE_OUTER_BOUND('',#49277,.T.); #29094=FACE_OUTER_BOUND('',#49278,.T.); #29095=FACE_OUTER_BOUND('',#49279,.T.); #29096=FACE_OUTER_BOUND('',#49280,.T.); #29097=FACE_OUTER_BOUND('',#49281,.T.); #29098=FACE_OUTER_BOUND('',#49282,.T.); #29099=FACE_OUTER_BOUND('',#49283,.T.); #29100=FACE_OUTER_BOUND('',#49284,.T.); #29101=FACE_OUTER_BOUND('',#49285,.T.); #29102=FACE_OUTER_BOUND('',#49286,.T.); #29103=FACE_OUTER_BOUND('',#49287,.T.); #29104=FACE_OUTER_BOUND('',#49288,.T.); #29105=FACE_OUTER_BOUND('',#49289,.T.); #29106=FACE_OUTER_BOUND('',#49290,.T.); #29107=FACE_OUTER_BOUND('',#49291,.T.); #29108=FACE_OUTER_BOUND('',#49292,.T.); #29109=FACE_OUTER_BOUND('',#49293,.T.); #29110=FACE_OUTER_BOUND('',#49294,.T.); #29111=FACE_OUTER_BOUND('',#49295,.T.); #29112=FACE_OUTER_BOUND('',#49296,.T.); #29113=FACE_OUTER_BOUND('',#49297,.T.); #29114=FACE_OUTER_BOUND('',#49298,.T.); #29115=FACE_OUTER_BOUND('',#49299,.T.); #29116=FACE_OUTER_BOUND('',#49300,.T.); #29117=FACE_OUTER_BOUND('',#49301,.T.); #29118=FACE_OUTER_BOUND('',#49302,.T.); #29119=FACE_OUTER_BOUND('',#49303,.T.); #29120=FACE_OUTER_BOUND('',#49304,.T.); #29121=FACE_OUTER_BOUND('',#49305,.T.); #29122=FACE_OUTER_BOUND('',#49306,.T.); #29123=FACE_OUTER_BOUND('',#49307,.T.); #29124=FACE_OUTER_BOUND('',#49308,.T.); #29125=FACE_OUTER_BOUND('',#49309,.T.); #29126=FACE_OUTER_BOUND('',#49310,.T.); #29127=FACE_OUTER_BOUND('',#49311,.T.); #29128=FACE_OUTER_BOUND('',#49312,.T.); #29129=FACE_OUTER_BOUND('',#49313,.T.); #29130=FACE_OUTER_BOUND('',#49314,.T.); #29131=FACE_OUTER_BOUND('',#49330,.T.); #29132=FACE_OUTER_BOUND('',#49346,.T.); #29133=FACE_OUTER_BOUND('',#49347,.T.); #29134=FACE_OUTER_BOUND('',#49348,.T.); #29135=FACE_OUTER_BOUND('',#49349,.T.); #29136=FACE_OUTER_BOUND('',#49350,.T.); #29137=FACE_OUTER_BOUND('',#49351,.T.); #29138=FACE_OUTER_BOUND('',#49352,.T.); #29139=FACE_OUTER_BOUND('',#49353,.T.); #29140=FACE_OUTER_BOUND('',#49354,.T.); #29141=FACE_OUTER_BOUND('',#49355,.T.); #29142=FACE_OUTER_BOUND('',#49356,.T.); #29143=FACE_OUTER_BOUND('',#49357,.T.); #29144=FACE_OUTER_BOUND('',#49358,.T.); #29145=FACE_OUTER_BOUND('',#49359,.T.); #29146=FACE_OUTER_BOUND('',#49360,.T.); #29147=FACE_OUTER_BOUND('',#49361,.T.); #29148=FACE_OUTER_BOUND('',#49362,.T.); #29149=FACE_OUTER_BOUND('',#49363,.T.); #29150=FACE_OUTER_BOUND('',#49364,.T.); #29151=FACE_OUTER_BOUND('',#49365,.T.); #29152=FACE_OUTER_BOUND('',#49366,.T.); #29153=FACE_OUTER_BOUND('',#49367,.T.); #29154=FACE_OUTER_BOUND('',#49368,.T.); #29155=FACE_OUTER_BOUND('',#49369,.T.); #29156=FACE_OUTER_BOUND('',#49370,.T.); #29157=FACE_OUTER_BOUND('',#49371,.T.); #29158=FACE_OUTER_BOUND('',#49372,.T.); #29159=FACE_OUTER_BOUND('',#49373,.T.); #29160=FACE_OUTER_BOUND('',#49374,.T.); #29161=FACE_OUTER_BOUND('',#49375,.T.); #29162=FACE_OUTER_BOUND('',#49376,.T.); #29163=FACE_OUTER_BOUND('',#49377,.T.); #29164=FACE_OUTER_BOUND('',#49378,.T.); #29165=FACE_OUTER_BOUND('',#49379,.T.); #29166=FACE_OUTER_BOUND('',#49380,.T.); #29167=FACE_OUTER_BOUND('',#49381,.T.); #29168=FACE_OUTER_BOUND('',#49382,.T.); #29169=FACE_OUTER_BOUND('',#49383,.T.); #29170=FACE_OUTER_BOUND('',#49384,.T.); #29171=FACE_OUTER_BOUND('',#49385,.T.); #29172=FACE_OUTER_BOUND('',#49386,.T.); #29173=FACE_OUTER_BOUND('',#49387,.T.); #29174=FACE_OUTER_BOUND('',#49388,.T.); #29175=FACE_OUTER_BOUND('',#49389,.T.); #29176=FACE_OUTER_BOUND('',#49391,.T.); #29177=FACE_OUTER_BOUND('',#49393,.T.); #29178=FACE_OUTER_BOUND('',#49394,.T.); #29179=FACE_OUTER_BOUND('',#49395,.T.); #29180=FACE_OUTER_BOUND('',#49396,.T.); #29181=FACE_OUTER_BOUND('',#49397,.T.); #29182=FACE_OUTER_BOUND('',#49398,.T.); #29183=FACE_OUTER_BOUND('',#49399,.T.); #29184=FACE_OUTER_BOUND('',#49400,.T.); #29185=FACE_OUTER_BOUND('',#49401,.T.); #29186=FACE_OUTER_BOUND('',#49402,.T.); #29187=FACE_OUTER_BOUND('',#49403,.T.); #29188=FACE_OUTER_BOUND('',#49404,.T.); #29189=FACE_OUTER_BOUND('',#49405,.T.); #29190=FACE_OUTER_BOUND('',#49406,.T.); #29191=FACE_OUTER_BOUND('',#49407,.T.); #29192=FACE_OUTER_BOUND('',#49408,.T.); #29193=FACE_OUTER_BOUND('',#49409,.T.); #29194=FACE_OUTER_BOUND('',#49410,.T.); #29195=FACE_OUTER_BOUND('',#49411,.T.); #29196=FACE_OUTER_BOUND('',#49412,.T.); #29197=FACE_OUTER_BOUND('',#49413,.T.); #29198=FACE_OUTER_BOUND('',#49414,.T.); #29199=FACE_OUTER_BOUND('',#49415,.T.); #29200=FACE_OUTER_BOUND('',#49416,.T.); #29201=FACE_OUTER_BOUND('',#49417,.T.); #29202=FACE_OUTER_BOUND('',#49418,.T.); #29203=FACE_OUTER_BOUND('',#49419,.T.); #29204=FACE_OUTER_BOUND('',#49420,.T.); #29205=FACE_OUTER_BOUND('',#49421,.T.); #29206=FACE_OUTER_BOUND('',#49422,.T.); #29207=FACE_OUTER_BOUND('',#49423,.T.); #29208=FACE_OUTER_BOUND('',#49424,.T.); #29209=FACE_OUTER_BOUND('',#49425,.T.); #29210=FACE_OUTER_BOUND('',#49426,.T.); #29211=FACE_OUTER_BOUND('',#49427,.T.); #29212=FACE_OUTER_BOUND('',#49428,.T.); #29213=FACE_OUTER_BOUND('',#49429,.T.); #29214=FACE_OUTER_BOUND('',#49430,.T.); #29215=FACE_OUTER_BOUND('',#49431,.T.); #29216=FACE_OUTER_BOUND('',#49432,.T.); #29217=FACE_OUTER_BOUND('',#49433,.T.); #29218=FACE_OUTER_BOUND('',#49434,.T.); #29219=FACE_OUTER_BOUND('',#49435,.T.); #29220=FACE_OUTER_BOUND('',#49436,.T.); #29221=FACE_OUTER_BOUND('',#49437,.T.); #29222=FACE_OUTER_BOUND('',#49438,.T.); #29223=FACE_OUTER_BOUND('',#49439,.T.); #29224=FACE_OUTER_BOUND('',#49440,.T.); #29225=FACE_OUTER_BOUND('',#49441,.T.); #29226=FACE_OUTER_BOUND('',#49442,.T.); #29227=FACE_OUTER_BOUND('',#49443,.T.); #29228=FACE_OUTER_BOUND('',#49444,.T.); #29229=FACE_OUTER_BOUND('',#49445,.T.); #29230=FACE_OUTER_BOUND('',#49446,.T.); #29231=FACE_OUTER_BOUND('',#49447,.T.); #29232=FACE_OUTER_BOUND('',#49448,.T.); #29233=FACE_OUTER_BOUND('',#49449,.T.); #29234=FACE_OUTER_BOUND('',#49450,.T.); #29235=FACE_OUTER_BOUND('',#49451,.T.); #29236=FACE_OUTER_BOUND('',#49452,.T.); #29237=FACE_OUTER_BOUND('',#49453,.T.); #29238=FACE_OUTER_BOUND('',#49454,.T.); #29239=FACE_OUTER_BOUND('',#49455,.T.); #29240=FACE_OUTER_BOUND('',#49456,.T.); #29241=FACE_OUTER_BOUND('',#49457,.T.); #29242=FACE_OUTER_BOUND('',#49458,.T.); #29243=FACE_OUTER_BOUND('',#49459,.T.); #29244=FACE_OUTER_BOUND('',#49460,.T.); #29245=FACE_OUTER_BOUND('',#49461,.T.); #29246=FACE_OUTER_BOUND('',#49462,.T.); #29247=FACE_OUTER_BOUND('',#49463,.T.); #29248=FACE_OUTER_BOUND('',#49464,.T.); #29249=FACE_OUTER_BOUND('',#49465,.T.); #29250=FACE_OUTER_BOUND('',#49466,.T.); #29251=FACE_OUTER_BOUND('',#49467,.T.); #29252=FACE_OUTER_BOUND('',#49468,.T.); #29253=FACE_OUTER_BOUND('',#49469,.T.); #29254=FACE_OUTER_BOUND('',#49470,.T.); #29255=FACE_OUTER_BOUND('',#49471,.T.); #29256=FACE_OUTER_BOUND('',#49472,.T.); #29257=FACE_OUTER_BOUND('',#49473,.T.); #29258=FACE_OUTER_BOUND('',#49474,.T.); #29259=FACE_OUTER_BOUND('',#49475,.T.); #29260=FACE_OUTER_BOUND('',#49476,.T.); #29261=FACE_OUTER_BOUND('',#49477,.T.); #29262=FACE_OUTER_BOUND('',#49478,.T.); #29263=FACE_OUTER_BOUND('',#49479,.T.); #29264=FACE_OUTER_BOUND('',#49480,.T.); #29265=FACE_OUTER_BOUND('',#49481,.T.); #29266=FACE_OUTER_BOUND('',#49482,.T.); #29267=FACE_OUTER_BOUND('',#49483,.T.); #29268=FACE_OUTER_BOUND('',#49484,.T.); #29269=FACE_OUTER_BOUND('',#49485,.T.); #29270=FACE_OUTER_BOUND('',#49486,.T.); #29271=FACE_OUTER_BOUND('',#49487,.T.); #29272=FACE_OUTER_BOUND('',#49488,.T.); #29273=FACE_OUTER_BOUND('',#49489,.T.); #29274=FACE_OUTER_BOUND('',#49490,.T.); #29275=FACE_OUTER_BOUND('',#49491,.T.); #29276=FACE_OUTER_BOUND('',#49492,.T.); #29277=FACE_OUTER_BOUND('',#49493,.T.); #29278=FACE_OUTER_BOUND('',#49494,.T.); #29279=FACE_OUTER_BOUND('',#49495,.T.); #29280=FACE_OUTER_BOUND('',#49496,.T.); #29281=FACE_OUTER_BOUND('',#49497,.T.); #29282=FACE_OUTER_BOUND('',#49498,.T.); #29283=FACE_OUTER_BOUND('',#49499,.T.); #29284=FACE_OUTER_BOUND('',#49500,.T.); #29285=FACE_OUTER_BOUND('',#49501,.T.); #29286=FACE_OUTER_BOUND('',#49502,.T.); #29287=FACE_OUTER_BOUND('',#49503,.T.); #29288=FACE_OUTER_BOUND('',#49504,.T.); #29289=FACE_OUTER_BOUND('',#49505,.T.); #29290=FACE_OUTER_BOUND('',#49506,.T.); #29291=FACE_OUTER_BOUND('',#49507,.T.); #29292=FACE_OUTER_BOUND('',#49508,.T.); #29293=FACE_OUTER_BOUND('',#49509,.T.); #29294=FACE_OUTER_BOUND('',#49541,.T.); #29295=FACE_OUTER_BOUND('',#49573,.T.); #29296=FACE_OUTER_BOUND('',#49574,.T.); #29297=FACE_OUTER_BOUND('',#49575,.T.); #29298=FACE_OUTER_BOUND('',#49576,.T.); #29299=FACE_OUTER_BOUND('',#49577,.T.); #29300=FACE_OUTER_BOUND('',#49578,.T.); #29301=FACE_OUTER_BOUND('',#49579,.T.); #29302=FACE_OUTER_BOUND('',#49580,.T.); #29303=FACE_OUTER_BOUND('',#49581,.T.); #29304=FACE_OUTER_BOUND('',#49582,.T.); #29305=FACE_OUTER_BOUND('',#49583,.T.); #29306=FACE_OUTER_BOUND('',#49584,.T.); #29307=FACE_OUTER_BOUND('',#49585,.T.); #29308=FACE_OUTER_BOUND('',#49586,.T.); #29309=FACE_OUTER_BOUND('',#49587,.T.); #29310=FACE_OUTER_BOUND('',#49588,.T.); #29311=FACE_OUTER_BOUND('',#49589,.T.); #29312=FACE_OUTER_BOUND('',#49590,.T.); #29313=FACE_OUTER_BOUND('',#49591,.T.); #29314=FACE_OUTER_BOUND('',#49592,.T.); #29315=FACE_OUTER_BOUND('',#49593,.T.); #29316=FACE_OUTER_BOUND('',#49594,.T.); #29317=FACE_OUTER_BOUND('',#49595,.T.); #29318=FACE_OUTER_BOUND('',#49597,.T.); #29319=FACE_OUTER_BOUND('',#49599,.T.); #29320=FACE_OUTER_BOUND('',#49600,.T.); #29321=FACE_OUTER_BOUND('',#49601,.T.); #29322=FACE_OUTER_BOUND('',#49602,.T.); #29323=FACE_OUTER_BOUND('',#49603,.T.); #29324=FACE_OUTER_BOUND('',#49604,.T.); #29325=FACE_OUTER_BOUND('',#49605,.T.); #29326=FACE_OUTER_BOUND('',#49606,.T.); #29327=FACE_OUTER_BOUND('',#49607,.T.); #29328=FACE_OUTER_BOUND('',#49608,.T.); #29329=FACE_OUTER_BOUND('',#49609,.T.); #29330=FACE_OUTER_BOUND('',#49610,.T.); #29331=FACE_OUTER_BOUND('',#49611,.T.); #29332=FACE_OUTER_BOUND('',#49612,.T.); #29333=FACE_OUTER_BOUND('',#49613,.T.); #29334=FACE_OUTER_BOUND('',#49614,.T.); #29335=FACE_OUTER_BOUND('',#49615,.T.); #29336=FACE_OUTER_BOUND('',#49616,.T.); #29337=FACE_OUTER_BOUND('',#49617,.T.); #29338=FACE_OUTER_BOUND('',#49618,.T.); #29339=FACE_OUTER_BOUND('',#49619,.T.); #29340=FACE_OUTER_BOUND('',#49620,.T.); #29341=FACE_OUTER_BOUND('',#49621,.T.); #29342=FACE_OUTER_BOUND('',#49622,.T.); #29343=FACE_OUTER_BOUND('',#49623,.T.); #29344=FACE_OUTER_BOUND('',#49624,.T.); #29345=FACE_OUTER_BOUND('',#49625,.T.); #29346=FACE_OUTER_BOUND('',#49626,.T.); #29347=FACE_OUTER_BOUND('',#49627,.T.); #29348=FACE_OUTER_BOUND('',#49628,.T.); #29349=FACE_OUTER_BOUND('',#49629,.T.); #29350=FACE_OUTER_BOUND('',#49630,.T.); #29351=FACE_OUTER_BOUND('',#49631,.T.); #29352=FACE_OUTER_BOUND('',#49632,.T.); #29353=FACE_OUTER_BOUND('',#49633,.T.); #29354=FACE_OUTER_BOUND('',#49634,.T.); #29355=FACE_OUTER_BOUND('',#49635,.T.); #29356=FACE_OUTER_BOUND('',#49636,.T.); #29357=FACE_OUTER_BOUND('',#49637,.T.); #29358=FACE_OUTER_BOUND('',#49638,.T.); #29359=FACE_OUTER_BOUND('',#49639,.T.); #29360=FACE_OUTER_BOUND('',#49640,.T.); #29361=FACE_OUTER_BOUND('',#49641,.T.); #29362=FACE_OUTER_BOUND('',#49642,.T.); #29363=FACE_OUTER_BOUND('',#49643,.T.); #29364=FACE_OUTER_BOUND('',#49644,.T.); #29365=FACE_OUTER_BOUND('',#49645,.T.); #29366=FACE_OUTER_BOUND('',#49646,.T.); #29367=FACE_OUTER_BOUND('',#49647,.T.); #29368=FACE_OUTER_BOUND('',#49648,.T.); #29369=FACE_OUTER_BOUND('',#49649,.T.); #29370=FACE_OUTER_BOUND('',#49650,.T.); #29371=FACE_OUTER_BOUND('',#49651,.T.); #29372=FACE_OUTER_BOUND('',#49652,.T.); #29373=FACE_OUTER_BOUND('',#49653,.T.); #29374=FACE_OUTER_BOUND('',#49654,.T.); #29375=FACE_OUTER_BOUND('',#49655,.T.); #29376=FACE_OUTER_BOUND('',#49656,.T.); #29377=FACE_OUTER_BOUND('',#49657,.T.); #29378=FACE_OUTER_BOUND('',#49658,.T.); #29379=FACE_OUTER_BOUND('',#49659,.T.); #29380=FACE_OUTER_BOUND('',#49660,.T.); #29381=FACE_OUTER_BOUND('',#49661,.T.); #29382=FACE_OUTER_BOUND('',#49662,.T.); #29383=FACE_OUTER_BOUND('',#49663,.T.); #29384=FACE_OUTER_BOUND('',#49664,.T.); #29385=FACE_OUTER_BOUND('',#49665,.T.); #29386=FACE_OUTER_BOUND('',#49666,.T.); #29387=FACE_OUTER_BOUND('',#49667,.T.); #29388=FACE_OUTER_BOUND('',#49668,.T.); #29389=FACE_OUTER_BOUND('',#49669,.T.); #29390=FACE_OUTER_BOUND('',#49670,.T.); #29391=FACE_OUTER_BOUND('',#49671,.T.); #29392=FACE_OUTER_BOUND('',#49672,.T.); #29393=FACE_OUTER_BOUND('',#49673,.T.); #29394=FACE_OUTER_BOUND('',#49674,.T.); #29395=FACE_OUTER_BOUND('',#49675,.T.); #29396=FACE_OUTER_BOUND('',#49676,.T.); #29397=FACE_OUTER_BOUND('',#49677,.T.); #29398=FACE_OUTER_BOUND('',#49678,.T.); #29399=FACE_OUTER_BOUND('',#49679,.T.); #29400=FACE_OUTER_BOUND('',#49680,.T.); #29401=FACE_OUTER_BOUND('',#49681,.T.); #29402=FACE_OUTER_BOUND('',#49682,.T.); #29403=FACE_OUTER_BOUND('',#49683,.T.); #29404=FACE_OUTER_BOUND('',#49684,.T.); #29405=FACE_OUTER_BOUND('',#49685,.T.); #29406=FACE_OUTER_BOUND('',#49686,.T.); #29407=FACE_OUTER_BOUND('',#49687,.T.); #29408=FACE_OUTER_BOUND('',#49688,.T.); #29409=FACE_OUTER_BOUND('',#49689,.T.); #29410=FACE_OUTER_BOUND('',#49690,.T.); #29411=FACE_OUTER_BOUND('',#49691,.T.); #29412=FACE_OUTER_BOUND('',#49692,.T.); #29413=FACE_OUTER_BOUND('',#49693,.T.); #29414=FACE_OUTER_BOUND('',#49694,.T.); #29415=FACE_OUTER_BOUND('',#49695,.T.); #29416=FACE_OUTER_BOUND('',#49696,.T.); #29417=FACE_OUTER_BOUND('',#49697,.T.); #29418=FACE_OUTER_BOUND('',#49698,.T.); #29419=FACE_OUTER_BOUND('',#49699,.T.); #29420=FACE_OUTER_BOUND('',#49700,.T.); #29421=FACE_OUTER_BOUND('',#49701,.T.); #29422=FACE_OUTER_BOUND('',#49702,.T.); #29423=FACE_OUTER_BOUND('',#49703,.T.); #29424=FACE_OUTER_BOUND('',#49704,.T.); #29425=FACE_OUTER_BOUND('',#49705,.T.); #29426=FACE_OUTER_BOUND('',#49706,.T.); #29427=FACE_OUTER_BOUND('',#49707,.T.); #29428=FACE_OUTER_BOUND('',#49708,.T.); #29429=FACE_OUTER_BOUND('',#49709,.T.); #29430=FACE_OUTER_BOUND('',#49710,.T.); #29431=FACE_OUTER_BOUND('',#49711,.T.); #29432=FACE_OUTER_BOUND('',#49712,.T.); #29433=FACE_OUTER_BOUND('',#49713,.T.); #29434=FACE_OUTER_BOUND('',#49714,.T.); #29435=FACE_OUTER_BOUND('',#49715,.T.); #29436=FACE_OUTER_BOUND('',#49716,.T.); #29437=FACE_OUTER_BOUND('',#49717,.T.); #29438=FACE_OUTER_BOUND('',#49718,.T.); #29439=FACE_OUTER_BOUND('',#49719,.T.); #29440=FACE_OUTER_BOUND('',#49720,.T.); #29441=FACE_OUTER_BOUND('',#49721,.T.); #29442=FACE_OUTER_BOUND('',#49722,.T.); #29443=FACE_OUTER_BOUND('',#49723,.T.); #29444=FACE_OUTER_BOUND('',#49724,.T.); #29445=FACE_OUTER_BOUND('',#49725,.T.); #29446=FACE_OUTER_BOUND('',#49726,.T.); #29447=FACE_OUTER_BOUND('',#49727,.T.); #29448=FACE_OUTER_BOUND('',#49728,.T.); #29449=FACE_OUTER_BOUND('',#49729,.T.); #29450=FACE_OUTER_BOUND('',#49730,.T.); #29451=FACE_OUTER_BOUND('',#49731,.T.); #29452=FACE_OUTER_BOUND('',#49732,.T.); #29453=FACE_OUTER_BOUND('',#49733,.T.); #29454=FACE_OUTER_BOUND('',#49734,.T.); #29455=FACE_OUTER_BOUND('',#49746,.T.); #29456=FACE_OUTER_BOUND('',#49758,.T.); #29457=FACE_OUTER_BOUND('',#49759,.T.); #29458=FACE_OUTER_BOUND('',#49760,.T.); #29459=FACE_OUTER_BOUND('',#49761,.T.); #29460=FACE_OUTER_BOUND('',#49762,.T.); #29461=FACE_OUTER_BOUND('',#49763,.T.); #29462=FACE_OUTER_BOUND('',#49764,.T.); #29463=FACE_OUTER_BOUND('',#49765,.T.); #29464=FACE_OUTER_BOUND('',#49766,.T.); #29465=FACE_OUTER_BOUND('',#49767,.T.); #29466=FACE_OUTER_BOUND('',#49768,.T.); #29467=FACE_OUTER_BOUND('',#49769,.T.); #29468=FACE_OUTER_BOUND('',#49770,.T.); #29469=FACE_OUTER_BOUND('',#49771,.T.); #29470=FACE_OUTER_BOUND('',#49772,.T.); #29471=FACE_OUTER_BOUND('',#49773,.T.); #29472=FACE_OUTER_BOUND('',#49774,.T.); #29473=FACE_OUTER_BOUND('',#49775,.T.); #29474=FACE_OUTER_BOUND('',#49776,.T.); #29475=FACE_OUTER_BOUND('',#49777,.T.); #29476=FACE_OUTER_BOUND('',#49778,.T.); #29477=FACE_OUTER_BOUND('',#49779,.T.); #29478=FACE_OUTER_BOUND('',#49780,.T.); #29479=FACE_OUTER_BOUND('',#49781,.T.); #29480=FACE_OUTER_BOUND('',#49782,.T.); #29481=FACE_OUTER_BOUND('',#49783,.T.); #29482=FACE_OUTER_BOUND('',#49784,.T.); #29483=FACE_OUTER_BOUND('',#49785,.T.); #29484=FACE_OUTER_BOUND('',#49786,.T.); #29485=FACE_OUTER_BOUND('',#49787,.T.); #29486=FACE_OUTER_BOUND('',#49788,.T.); #29487=FACE_OUTER_BOUND('',#49789,.T.); #29488=FACE_OUTER_BOUND('',#49790,.T.); #29489=FACE_OUTER_BOUND('',#49791,.T.); #29490=FACE_OUTER_BOUND('',#49792,.T.); #29491=FACE_OUTER_BOUND('',#49793,.T.); #29492=FACE_OUTER_BOUND('',#49794,.T.); #29493=FACE_OUTER_BOUND('',#49795,.T.); #29494=FACE_OUTER_BOUND('',#49796,.T.); #29495=FACE_OUTER_BOUND('',#49797,.T.); #29496=FACE_OUTER_BOUND('',#49798,.T.); #29497=FACE_OUTER_BOUND('',#49799,.T.); #29498=FACE_OUTER_BOUND('',#49800,.T.); #29499=FACE_OUTER_BOUND('',#49801,.T.); #29500=FACE_OUTER_BOUND('',#49802,.T.); #29501=FACE_OUTER_BOUND('',#49803,.T.); #29502=FACE_OUTER_BOUND('',#49804,.T.); #29503=FACE_OUTER_BOUND('',#49805,.T.); #29504=FACE_OUTER_BOUND('',#49806,.T.); #29505=FACE_OUTER_BOUND('',#49807,.T.); #29506=FACE_OUTER_BOUND('',#49808,.T.); #29507=FACE_OUTER_BOUND('',#49809,.T.); #29508=FACE_OUTER_BOUND('',#49810,.T.); #29509=FACE_OUTER_BOUND('',#49811,.T.); #29510=FACE_OUTER_BOUND('',#49812,.T.); #29511=FACE_OUTER_BOUND('',#49813,.T.); #29512=FACE_OUTER_BOUND('',#49814,.T.); #29513=FACE_OUTER_BOUND('',#49815,.T.); #29514=FACE_OUTER_BOUND('',#49816,.T.); #29515=FACE_OUTER_BOUND('',#49817,.T.); #29516=FACE_OUTER_BOUND('',#49818,.T.); #29517=FACE_OUTER_BOUND('',#49819,.T.); #29518=FACE_OUTER_BOUND('',#49820,.T.); #29519=FACE_OUTER_BOUND('',#49821,.T.); #29520=FACE_OUTER_BOUND('',#49822,.T.); #29521=FACE_OUTER_BOUND('',#49823,.T.); #29522=FACE_OUTER_BOUND('',#49824,.T.); #29523=FACE_OUTER_BOUND('',#49825,.T.); #29524=FACE_OUTER_BOUND('',#49826,.T.); #29525=FACE_OUTER_BOUND('',#49827,.T.); #29526=FACE_OUTER_BOUND('',#49828,.T.); #29527=FACE_OUTER_BOUND('',#49829,.T.); #29528=FACE_OUTER_BOUND('',#49830,.T.); #29529=FACE_OUTER_BOUND('',#49831,.T.); #29530=FACE_OUTER_BOUND('',#49832,.T.); #29531=FACE_OUTER_BOUND('',#49833,.T.); #29532=FACE_OUTER_BOUND('',#49834,.T.); #29533=FACE_OUTER_BOUND('',#49835,.T.); #29534=FACE_OUTER_BOUND('',#49836,.T.); #29535=FACE_OUTER_BOUND('',#49837,.T.); #29536=FACE_OUTER_BOUND('',#49838,.T.); #29537=FACE_OUTER_BOUND('',#49839,.T.); #29538=FACE_OUTER_BOUND('',#49840,.T.); #29539=FACE_OUTER_BOUND('',#49841,.T.); #29540=FACE_OUTER_BOUND('',#49842,.T.); #29541=FACE_OUTER_BOUND('',#49843,.T.); #29542=FACE_OUTER_BOUND('',#49844,.T.); #29543=FACE_OUTER_BOUND('',#49845,.T.); #29544=FACE_OUTER_BOUND('',#49846,.T.); #29545=FACE_OUTER_BOUND('',#49847,.T.); #29546=FACE_OUTER_BOUND('',#49848,.T.); #29547=FACE_OUTER_BOUND('',#49849,.T.); #29548=FACE_OUTER_BOUND('',#49850,.T.); #29549=FACE_OUTER_BOUND('',#49851,.T.); #29550=FACE_OUTER_BOUND('',#49852,.T.); #29551=FACE_OUTER_BOUND('',#49853,.T.); #29552=FACE_OUTER_BOUND('',#49854,.T.); #29553=FACE_OUTER_BOUND('',#49855,.T.); #29554=FACE_OUTER_BOUND('',#49856,.T.); #29555=FACE_OUTER_BOUND('',#49857,.T.); #29556=FACE_OUTER_BOUND('',#49858,.T.); #29557=FACE_OUTER_BOUND('',#49859,.T.); #29558=FACE_OUTER_BOUND('',#49860,.T.); #29559=FACE_OUTER_BOUND('',#49861,.T.); #29560=FACE_OUTER_BOUND('',#49862,.T.); #29561=FACE_OUTER_BOUND('',#49863,.T.); #29562=FACE_OUTER_BOUND('',#49864,.T.); #29563=FACE_OUTER_BOUND('',#49865,.T.); #29564=FACE_OUTER_BOUND('',#49866,.T.); #29565=FACE_OUTER_BOUND('',#49867,.T.); #29566=FACE_OUTER_BOUND('',#49868,.T.); #29567=FACE_OUTER_BOUND('',#49869,.T.); #29568=FACE_OUTER_BOUND('',#49901,.T.); #29569=FACE_OUTER_BOUND('',#49933,.T.); #29570=FACE_OUTER_BOUND('',#49934,.T.); #29571=FACE_OUTER_BOUND('',#49935,.T.); #29572=FACE_OUTER_BOUND('',#49936,.T.); #29573=FACE_OUTER_BOUND('',#49937,.T.); #29574=FACE_OUTER_BOUND('',#49938,.T.); #29575=FACE_OUTER_BOUND('',#49939,.T.); #29576=FACE_OUTER_BOUND('',#49940,.T.); #29577=FACE_OUTER_BOUND('',#49941,.T.); #29578=FACE_OUTER_BOUND('',#49942,.T.); #29579=FACE_OUTER_BOUND('',#49943,.T.); #29580=FACE_OUTER_BOUND('',#49944,.T.); #29581=FACE_OUTER_BOUND('',#49945,.T.); #29582=FACE_OUTER_BOUND('',#49946,.T.); #29583=FACE_OUTER_BOUND('',#49947,.T.); #29584=FACE_OUTER_BOUND('',#49948,.T.); #29585=FACE_OUTER_BOUND('',#49949,.T.); #29586=FACE_OUTER_BOUND('',#49950,.T.); #29587=FACE_OUTER_BOUND('',#49951,.T.); #29588=FACE_OUTER_BOUND('',#49952,.T.); #29589=FACE_OUTER_BOUND('',#49953,.T.); #29590=FACE_OUTER_BOUND('',#49954,.T.); #29591=FACE_OUTER_BOUND('',#49955,.T.); #29592=FACE_OUTER_BOUND('',#49957,.T.); #29593=FACE_OUTER_BOUND('',#49959,.T.); #29594=FACE_OUTER_BOUND('',#49960,.T.); #29595=FACE_OUTER_BOUND('',#49961,.T.); #29596=FACE_OUTER_BOUND('',#49962,.T.); #29597=FACE_OUTER_BOUND('',#49963,.T.); #29598=FACE_OUTER_BOUND('',#49964,.T.); #29599=FACE_OUTER_BOUND('',#49965,.T.); #29600=FACE_OUTER_BOUND('',#49966,.T.); #29601=FACE_OUTER_BOUND('',#49967,.T.); #29602=FACE_OUTER_BOUND('',#49968,.T.); #29603=FACE_OUTER_BOUND('',#49969,.T.); #29604=FACE_OUTER_BOUND('',#49970,.T.); #29605=FACE_OUTER_BOUND('',#49971,.T.); #29606=FACE_OUTER_BOUND('',#49972,.T.); #29607=FACE_OUTER_BOUND('',#49973,.T.); #29608=FACE_OUTER_BOUND('',#49974,.T.); #29609=FACE_OUTER_BOUND('',#49975,.T.); #29610=FACE_OUTER_BOUND('',#49976,.T.); #29611=FACE_OUTER_BOUND('',#49977,.T.); #29612=FACE_OUTER_BOUND('',#49978,.T.); #29613=FACE_OUTER_BOUND('',#49979,.T.); #29614=FACE_OUTER_BOUND('',#49980,.T.); #29615=FACE_OUTER_BOUND('',#49981,.T.); #29616=FACE_OUTER_BOUND('',#49982,.T.); #29617=FACE_OUTER_BOUND('',#49983,.T.); #29618=FACE_OUTER_BOUND('',#49984,.T.); #29619=FACE_OUTER_BOUND('',#49985,.T.); #29620=FACE_OUTER_BOUND('',#49986,.T.); #29621=FACE_OUTER_BOUND('',#49987,.T.); #29622=FACE_OUTER_BOUND('',#49988,.T.); #29623=FACE_OUTER_BOUND('',#49989,.T.); #29624=FACE_OUTER_BOUND('',#49990,.T.); #29625=FACE_OUTER_BOUND('',#49991,.T.); #29626=FACE_OUTER_BOUND('',#49992,.T.); #29627=FACE_OUTER_BOUND('',#49993,.T.); #29628=FACE_OUTER_BOUND('',#49994,.T.); #29629=FACE_OUTER_BOUND('',#49995,.T.); #29630=FACE_OUTER_BOUND('',#49996,.T.); #29631=FACE_OUTER_BOUND('',#49997,.T.); #29632=FACE_OUTER_BOUND('',#49998,.T.); #29633=FACE_OUTER_BOUND('',#49999,.T.); #29634=FACE_OUTER_BOUND('',#50000,.T.); #29635=FACE_OUTER_BOUND('',#50001,.T.); #29636=FACE_OUTER_BOUND('',#50002,.T.); #29637=FACE_OUTER_BOUND('',#50003,.T.); #29638=FACE_OUTER_BOUND('',#50004,.T.); #29639=FACE_OUTER_BOUND('',#50005,.T.); #29640=FACE_OUTER_BOUND('',#50006,.T.); #29641=FACE_OUTER_BOUND('',#50007,.T.); #29642=FACE_OUTER_BOUND('',#50008,.T.); #29643=FACE_OUTER_BOUND('',#50009,.T.); #29644=FACE_OUTER_BOUND('',#50010,.T.); #29645=FACE_OUTER_BOUND('',#50011,.T.); #29646=FACE_OUTER_BOUND('',#50012,.T.); #29647=FACE_OUTER_BOUND('',#50013,.T.); #29648=FACE_OUTER_BOUND('',#50014,.T.); #29649=FACE_OUTER_BOUND('',#50015,.T.); #29650=FACE_OUTER_BOUND('',#50016,.T.); #29651=FACE_OUTER_BOUND('',#50017,.T.); #29652=FACE_OUTER_BOUND('',#50018,.T.); #29653=FACE_OUTER_BOUND('',#50019,.T.); #29654=FACE_OUTER_BOUND('',#50020,.T.); #29655=FACE_OUTER_BOUND('',#50021,.T.); #29656=FACE_OUTER_BOUND('',#50022,.T.); #29657=FACE_OUTER_BOUND('',#50023,.T.); #29658=FACE_OUTER_BOUND('',#50024,.T.); #29659=FACE_OUTER_BOUND('',#50025,.T.); #29660=FACE_OUTER_BOUND('',#50026,.T.); #29661=FACE_OUTER_BOUND('',#50027,.T.); #29662=FACE_OUTER_BOUND('',#50028,.T.); #29663=FACE_OUTER_BOUND('',#50029,.T.); #29664=FACE_OUTER_BOUND('',#50030,.T.); #29665=FACE_OUTER_BOUND('',#50031,.T.); #29666=FACE_OUTER_BOUND('',#50032,.T.); #29667=FACE_OUTER_BOUND('',#50033,.T.); #29668=FACE_OUTER_BOUND('',#50034,.T.); #29669=FACE_OUTER_BOUND('',#50035,.T.); #29670=FACE_OUTER_BOUND('',#50036,.T.); #29671=FACE_OUTER_BOUND('',#50037,.T.); #29672=FACE_OUTER_BOUND('',#50038,.T.); #29673=FACE_OUTER_BOUND('',#50039,.T.); #29674=FACE_OUTER_BOUND('',#50040,.T.); #29675=FACE_OUTER_BOUND('',#50041,.T.); #29676=FACE_OUTER_BOUND('',#50042,.T.); #29677=FACE_OUTER_BOUND('',#50043,.T.); #29678=FACE_OUTER_BOUND('',#50044,.T.); #29679=FACE_OUTER_BOUND('',#50045,.T.); #29680=FACE_OUTER_BOUND('',#50046,.T.); #29681=FACE_OUTER_BOUND('',#50047,.T.); #29682=FACE_OUTER_BOUND('',#50048,.T.); #29683=FACE_OUTER_BOUND('',#50049,.T.); #29684=FACE_OUTER_BOUND('',#50050,.T.); #29685=FACE_OUTER_BOUND('',#50051,.T.); #29686=FACE_OUTER_BOUND('',#50052,.T.); #29687=FACE_OUTER_BOUND('',#50053,.T.); #29688=FACE_OUTER_BOUND('',#50054,.T.); #29689=FACE_OUTER_BOUND('',#50055,.T.); #29690=FACE_OUTER_BOUND('',#50056,.T.); #29691=FACE_OUTER_BOUND('',#50057,.T.); #29692=FACE_OUTER_BOUND('',#50058,.T.); #29693=FACE_OUTER_BOUND('',#50059,.T.); #29694=FACE_OUTER_BOUND('',#50060,.T.); #29695=FACE_OUTER_BOUND('',#50061,.T.); #29696=FACE_OUTER_BOUND('',#50062,.T.); #29697=FACE_OUTER_BOUND('',#50063,.T.); #29698=FACE_OUTER_BOUND('',#50064,.T.); #29699=FACE_OUTER_BOUND('',#50065,.T.); #29700=FACE_OUTER_BOUND('',#50066,.T.); #29701=FACE_OUTER_BOUND('',#50067,.T.); #29702=FACE_OUTER_BOUND('',#50068,.T.); #29703=FACE_OUTER_BOUND('',#50069,.T.); #29704=FACE_OUTER_BOUND('',#50070,.T.); #29705=FACE_OUTER_BOUND('',#50071,.T.); #29706=FACE_OUTER_BOUND('',#50072,.T.); #29707=FACE_OUTER_BOUND('',#50073,.T.); #29708=FACE_OUTER_BOUND('',#50074,.T.); #29709=FACE_OUTER_BOUND('',#50075,.T.); #29710=FACE_OUTER_BOUND('',#50076,.T.); #29711=FACE_OUTER_BOUND('',#50077,.T.); #29712=FACE_OUTER_BOUND('',#50078,.T.); #29713=FACE_OUTER_BOUND('',#50079,.T.); #29714=FACE_OUTER_BOUND('',#50080,.T.); #29715=FACE_OUTER_BOUND('',#50081,.T.); #29716=FACE_OUTER_BOUND('',#50082,.T.); #29717=FACE_OUTER_BOUND('',#50083,.T.); #29718=FACE_OUTER_BOUND('',#50084,.T.); #29719=FACE_OUTER_BOUND('',#50085,.T.); #29720=FACE_OUTER_BOUND('',#50086,.T.); #29721=FACE_OUTER_BOUND('',#50087,.T.); #29722=FACE_OUTER_BOUND('',#50088,.T.); #29723=FACE_OUTER_BOUND('',#50089,.T.); #29724=FACE_OUTER_BOUND('',#50090,.T.); #29725=FACE_OUTER_BOUND('',#50091,.T.); #29726=FACE_OUTER_BOUND('',#50092,.T.); #29727=FACE_OUTER_BOUND('',#50093,.T.); #29728=FACE_OUTER_BOUND('',#50094,.T.); #29729=FACE_OUTER_BOUND('',#50095,.T.); #29730=FACE_OUTER_BOUND('',#50096,.T.); #29731=FACE_OUTER_BOUND('',#50097,.T.); #29732=FACE_OUTER_BOUND('',#50098,.T.); #29733=FACE_OUTER_BOUND('',#50099,.T.); #29734=FACE_OUTER_BOUND('',#50100,.T.); #29735=FACE_OUTER_BOUND('',#50101,.T.); #29736=FACE_OUTER_BOUND('',#50102,.T.); #29737=FACE_OUTER_BOUND('',#50103,.T.); #29738=FACE_OUTER_BOUND('',#50104,.T.); #29739=FACE_OUTER_BOUND('',#50105,.T.); #29740=FACE_OUTER_BOUND('',#50106,.T.); #29741=FACE_OUTER_BOUND('',#50107,.T.); #29742=FACE_OUTER_BOUND('',#50108,.T.); #29743=FACE_OUTER_BOUND('',#50109,.T.); #29744=FACE_OUTER_BOUND('',#50110,.T.); #29745=FACE_OUTER_BOUND('',#50111,.T.); #29746=FACE_OUTER_BOUND('',#50112,.T.); #29747=FACE_OUTER_BOUND('',#50113,.T.); #29748=FACE_OUTER_BOUND('',#50114,.T.); #29749=FACE_OUTER_BOUND('',#50115,.T.); #29750=FACE_OUTER_BOUND('',#50116,.T.); #29751=FACE_OUTER_BOUND('',#50117,.T.); #29752=FACE_OUTER_BOUND('',#50118,.T.); #29753=FACE_OUTER_BOUND('',#50119,.T.); #29754=FACE_OUTER_BOUND('',#50120,.T.); #29755=FACE_OUTER_BOUND('',#50121,.T.); #29756=FACE_OUTER_BOUND('',#50122,.T.); #29757=FACE_OUTER_BOUND('',#50123,.T.); #29758=FACE_OUTER_BOUND('',#50124,.T.); #29759=FACE_OUTER_BOUND('',#50125,.T.); #29760=FACE_OUTER_BOUND('',#50126,.T.); #29761=FACE_OUTER_BOUND('',#50127,.T.); #29762=FACE_OUTER_BOUND('',#50128,.T.); #29763=FACE_OUTER_BOUND('',#50129,.T.); #29764=FACE_OUTER_BOUND('',#50130,.T.); #29765=FACE_OUTER_BOUND('',#50131,.T.); #29766=FACE_OUTER_BOUND('',#50132,.T.); #29767=FACE_OUTER_BOUND('',#50133,.T.); #29768=FACE_OUTER_BOUND('',#50134,.T.); #29769=FACE_OUTER_BOUND('',#50135,.T.); #29770=FACE_OUTER_BOUND('',#50136,.T.); #29771=FACE_OUTER_BOUND('',#50137,.T.); #29772=FACE_OUTER_BOUND('',#50138,.T.); #29773=FACE_OUTER_BOUND('',#50139,.T.); #29774=FACE_OUTER_BOUND('',#50140,.T.); #29775=FACE_OUTER_BOUND('',#50141,.T.); #29776=FACE_OUTER_BOUND('',#50142,.T.); #29777=FACE_OUTER_BOUND('',#50143,.T.); #29778=FACE_OUTER_BOUND('',#50144,.T.); #29779=FACE_OUTER_BOUND('',#50145,.T.); #29780=FACE_OUTER_BOUND('',#50146,.T.); #29781=FACE_OUTER_BOUND('',#50147,.T.); #29782=FACE_OUTER_BOUND('',#50148,.T.); #29783=FACE_OUTER_BOUND('',#50149,.T.); #29784=FACE_OUTER_BOUND('',#50150,.T.); #29785=FACE_OUTER_BOUND('',#50151,.T.); #29786=FACE_OUTER_BOUND('',#50152,.T.); #29787=FACE_OUTER_BOUND('',#50153,.T.); #29788=FACE_OUTER_BOUND('',#50154,.T.); #29789=FACE_OUTER_BOUND('',#50155,.T.); #29790=FACE_OUTER_BOUND('',#50156,.T.); #29791=FACE_OUTER_BOUND('',#50157,.T.); #29792=FACE_OUTER_BOUND('',#50158,.T.); #29793=FACE_OUTER_BOUND('',#50159,.T.); #29794=FACE_OUTER_BOUND('',#50160,.T.); #29795=FACE_OUTER_BOUND('',#50161,.T.); #29796=FACE_OUTER_BOUND('',#50162,.T.); #29797=FACE_OUTER_BOUND('',#50163,.T.); #29798=FACE_OUTER_BOUND('',#50164,.T.); #29799=FACE_OUTER_BOUND('',#50165,.T.); #29800=FACE_OUTER_BOUND('',#50166,.T.); #29801=FACE_OUTER_BOUND('',#50167,.T.); #29802=FACE_OUTER_BOUND('',#50168,.T.); #29803=FACE_OUTER_BOUND('',#50169,.T.); #29804=FACE_OUTER_BOUND('',#50170,.T.); #29805=FACE_OUTER_BOUND('',#50171,.T.); #29806=FACE_OUTER_BOUND('',#50172,.T.); #29807=FACE_OUTER_BOUND('',#50173,.T.); #29808=FACE_OUTER_BOUND('',#50174,.T.); #29809=FACE_OUTER_BOUND('',#50175,.T.); #29810=FACE_OUTER_BOUND('',#50176,.T.); #29811=FACE_OUTER_BOUND('',#50177,.T.); #29812=FACE_OUTER_BOUND('',#50178,.T.); #29813=FACE_OUTER_BOUND('',#50179,.T.); #29814=FACE_OUTER_BOUND('',#50180,.T.); #29815=FACE_OUTER_BOUND('',#50181,.T.); #29816=FACE_OUTER_BOUND('',#50182,.T.); #29817=FACE_OUTER_BOUND('',#50183,.T.); #29818=FACE_OUTER_BOUND('',#50184,.T.); #29819=FACE_OUTER_BOUND('',#50185,.T.); #29820=FACE_OUTER_BOUND('',#50186,.T.); #29821=FACE_OUTER_BOUND('',#50187,.T.); #29822=FACE_OUTER_BOUND('',#50188,.T.); #29823=FACE_OUTER_BOUND('',#50189,.T.); #29824=FACE_OUTER_BOUND('',#50190,.T.); #29825=FACE_OUTER_BOUND('',#50191,.T.); #29826=FACE_OUTER_BOUND('',#50192,.T.); #29827=FACE_OUTER_BOUND('',#50193,.T.); #29828=FACE_OUTER_BOUND('',#50194,.T.); #29829=FACE_OUTER_BOUND('',#50195,.T.); #29830=FACE_OUTER_BOUND('',#50196,.T.); #29831=FACE_OUTER_BOUND('',#50197,.T.); #29832=FACE_OUTER_BOUND('',#50198,.T.); #29833=FACE_OUTER_BOUND('',#50199,.T.); #29834=FACE_OUTER_BOUND('',#50200,.T.); #29835=FACE_OUTER_BOUND('',#50201,.T.); #29836=FACE_OUTER_BOUND('',#50202,.T.); #29837=FACE_OUTER_BOUND('',#50203,.T.); #29838=FACE_OUTER_BOUND('',#50204,.T.); #29839=FACE_OUTER_BOUND('',#50205,.T.); #29840=FACE_OUTER_BOUND('',#50206,.T.); #29841=FACE_OUTER_BOUND('',#50207,.T.); #29842=FACE_OUTER_BOUND('',#50208,.T.); #29843=FACE_OUTER_BOUND('',#50209,.T.); #29844=FACE_OUTER_BOUND('',#50210,.T.); #29845=FACE_OUTER_BOUND('',#50211,.T.); #29846=FACE_OUTER_BOUND('',#50212,.T.); #29847=FACE_OUTER_BOUND('',#50213,.T.); #29848=FACE_OUTER_BOUND('',#50214,.T.); #29849=FACE_OUTER_BOUND('',#50215,.T.); #29850=FACE_OUTER_BOUND('',#50216,.T.); #29851=FACE_OUTER_BOUND('',#50217,.T.); #29852=FACE_OUTER_BOUND('',#50218,.T.); #29853=FACE_OUTER_BOUND('',#50219,.T.); #29854=FACE_OUTER_BOUND('',#50220,.T.); #29855=FACE_OUTER_BOUND('',#50221,.T.); #29856=FACE_OUTER_BOUND('',#50222,.T.); #29857=FACE_OUTER_BOUND('',#50223,.T.); #29858=FACE_OUTER_BOUND('',#50224,.T.); #29859=FACE_OUTER_BOUND('',#50225,.T.); #29860=FACE_OUTER_BOUND('',#50226,.T.); #29861=FACE_OUTER_BOUND('',#50227,.T.); #29862=FACE_OUTER_BOUND('',#50228,.T.); #29863=FACE_OUTER_BOUND('',#50229,.T.); #29864=FACE_OUTER_BOUND('',#50230,.T.); #29865=FACE_OUTER_BOUND('',#50231,.T.); #29866=FACE_OUTER_BOUND('',#50232,.T.); #29867=FACE_OUTER_BOUND('',#50233,.T.); #29868=FACE_OUTER_BOUND('',#50234,.T.); #29869=FACE_OUTER_BOUND('',#50235,.T.); #29870=FACE_OUTER_BOUND('',#50236,.T.); #29871=FACE_OUTER_BOUND('',#50237,.T.); #29872=FACE_OUTER_BOUND('',#50238,.T.); #29873=FACE_OUTER_BOUND('',#50239,.T.); #29874=FACE_OUTER_BOUND('',#50240,.T.); #29875=FACE_OUTER_BOUND('',#50241,.T.); #29876=FACE_OUTER_BOUND('',#50242,.T.); #29877=FACE_OUTER_BOUND('',#50243,.T.); #29878=FACE_OUTER_BOUND('',#50244,.T.); #29879=FACE_OUTER_BOUND('',#50245,.T.); #29880=FACE_OUTER_BOUND('',#50246,.T.); #29881=FACE_OUTER_BOUND('',#50247,.T.); #29882=FACE_OUTER_BOUND('',#50248,.T.); #29883=FACE_OUTER_BOUND('',#50249,.T.); #29884=FACE_OUTER_BOUND('',#50250,.T.); #29885=FACE_OUTER_BOUND('',#50251,.T.); #29886=FACE_OUTER_BOUND('',#50252,.T.); #29887=FACE_OUTER_BOUND('',#50253,.T.); #29888=FACE_OUTER_BOUND('',#50254,.T.); #29889=FACE_OUTER_BOUND('',#50255,.T.); #29890=FACE_OUTER_BOUND('',#50256,.T.); #29891=FACE_OUTER_BOUND('',#50257,.T.); #29892=FACE_OUTER_BOUND('',#50258,.T.); #29893=FACE_OUTER_BOUND('',#50259,.T.); #29894=FACE_OUTER_BOUND('',#50260,.T.); #29895=FACE_OUTER_BOUND('',#50261,.T.); #29896=FACE_OUTER_BOUND('',#50262,.T.); #29897=FACE_OUTER_BOUND('',#50263,.T.); #29898=FACE_OUTER_BOUND('',#50264,.T.); #29899=FACE_OUTER_BOUND('',#50265,.T.); #29900=FACE_OUTER_BOUND('',#50266,.T.); #29901=FACE_OUTER_BOUND('',#50267,.T.); #29902=FACE_OUTER_BOUND('',#50268,.T.); #29903=FACE_OUTER_BOUND('',#50269,.T.); #29904=FACE_OUTER_BOUND('',#50270,.T.); #29905=FACE_OUTER_BOUND('',#50271,.T.); #29906=FACE_OUTER_BOUND('',#50272,.T.); #29907=FACE_OUTER_BOUND('',#50273,.T.); #29908=FACE_OUTER_BOUND('',#50274,.T.); #29909=FACE_OUTER_BOUND('',#50275,.T.); #29910=FACE_OUTER_BOUND('',#50276,.T.); #29911=FACE_OUTER_BOUND('',#50277,.T.); #29912=FACE_OUTER_BOUND('',#50278,.T.); #29913=FACE_OUTER_BOUND('',#50279,.T.); #29914=FACE_OUTER_BOUND('',#50280,.T.); #29915=FACE_OUTER_BOUND('',#50281,.T.); #29916=FACE_OUTER_BOUND('',#50282,.T.); #29917=FACE_OUTER_BOUND('',#50283,.T.); #29918=FACE_OUTER_BOUND('',#50284,.T.); #29919=FACE_OUTER_BOUND('',#50285,.T.); #29920=FACE_OUTER_BOUND('',#50286,.T.); #29921=FACE_OUTER_BOUND('',#50287,.T.); #29922=FACE_OUTER_BOUND('',#50288,.T.); #29923=FACE_OUTER_BOUND('',#50289,.T.); #29924=FACE_OUTER_BOUND('',#50290,.T.); #29925=FACE_OUTER_BOUND('',#50291,.T.); #29926=FACE_OUTER_BOUND('',#50292,.T.); #29927=FACE_OUTER_BOUND('',#50293,.T.); #29928=FACE_OUTER_BOUND('',#50294,.T.); #29929=FACE_OUTER_BOUND('',#50295,.T.); #29930=FACE_OUTER_BOUND('',#50296,.T.); #29931=FACE_OUTER_BOUND('',#50297,.T.); #29932=FACE_OUTER_BOUND('',#50315,.T.); #29933=FACE_OUTER_BOUND('',#50333,.T.); #29934=FACE_OUTER_BOUND('',#50334,.T.); #29935=FACE_OUTER_BOUND('',#50335,.T.); #29936=FACE_OUTER_BOUND('',#50336,.T.); #29937=FACE_OUTER_BOUND('',#50337,.T.); #29938=FACE_OUTER_BOUND('',#50338,.T.); #29939=FACE_OUTER_BOUND('',#50339,.T.); #29940=FACE_OUTER_BOUND('',#50340,.T.); #29941=FACE_OUTER_BOUND('',#50341,.T.); #29942=FACE_OUTER_BOUND('',#50342,.T.); #29943=FACE_OUTER_BOUND('',#50343,.T.); #29944=FACE_OUTER_BOUND('',#50344,.T.); #29945=FACE_OUTER_BOUND('',#50345,.T.); #29946=FACE_OUTER_BOUND('',#50346,.T.); #29947=FACE_OUTER_BOUND('',#50347,.T.); #29948=FACE_OUTER_BOUND('',#50348,.T.); #29949=FACE_OUTER_BOUND('',#50349,.T.); #29950=FACE_OUTER_BOUND('',#50350,.T.); #29951=FACE_OUTER_BOUND('',#50351,.T.); #29952=FACE_OUTER_BOUND('',#50352,.T.); #29953=FACE_OUTER_BOUND('',#50353,.T.); #29954=FACE_OUTER_BOUND('',#50354,.T.); #29955=FACE_OUTER_BOUND('',#50355,.T.); #29956=FACE_OUTER_BOUND('',#50356,.T.); #29957=FACE_OUTER_BOUND('',#50357,.T.); #29958=FACE_OUTER_BOUND('',#50358,.T.); #29959=FACE_OUTER_BOUND('',#50359,.T.); #29960=FACE_OUTER_BOUND('',#50360,.T.); #29961=FACE_OUTER_BOUND('',#50361,.T.); #29962=FACE_OUTER_BOUND('',#50362,.T.); #29963=FACE_OUTER_BOUND('',#50363,.T.); #29964=FACE_OUTER_BOUND('',#50364,.T.); #29965=FACE_OUTER_BOUND('',#50365,.T.); #29966=FACE_OUTER_BOUND('',#50366,.T.); #29967=FACE_OUTER_BOUND('',#50367,.T.); #29968=FACE_OUTER_BOUND('',#50368,.T.); #29969=FACE_OUTER_BOUND('',#50369,.T.); #29970=FACE_OUTER_BOUND('',#50370,.T.); #29971=FACE_OUTER_BOUND('',#50371,.T.); #29972=FACE_OUTER_BOUND('',#50372,.T.); #29973=FACE_OUTER_BOUND('',#50373,.T.); #29974=FACE_OUTER_BOUND('',#50374,.T.); #29975=FACE_OUTER_BOUND('',#50375,.T.); #29976=FACE_OUTER_BOUND('',#50376,.T.); #29977=FACE_OUTER_BOUND('',#50377,.T.); #29978=FACE_OUTER_BOUND('',#50378,.T.); #29979=FACE_OUTER_BOUND('',#50379,.T.); #29980=FACE_OUTER_BOUND('',#50380,.T.); #29981=FACE_OUTER_BOUND('',#50381,.T.); #29982=FACE_OUTER_BOUND('',#50382,.T.); #29983=FACE_OUTER_BOUND('',#50383,.T.); #29984=FACE_OUTER_BOUND('',#50384,.T.); #29985=FACE_OUTER_BOUND('',#50385,.T.); #29986=FACE_OUTER_BOUND('',#50386,.T.); #29987=FACE_OUTER_BOUND('',#50387,.T.); #29988=FACE_OUTER_BOUND('',#50388,.T.); #29989=FACE_OUTER_BOUND('',#50389,.T.); #29990=FACE_OUTER_BOUND('',#50390,.T.); #29991=FACE_OUTER_BOUND('',#50391,.T.); #29992=FACE_OUTER_BOUND('',#50392,.T.); #29993=FACE_OUTER_BOUND('',#50393,.T.); #29994=FACE_OUTER_BOUND('',#50394,.T.); #29995=FACE_OUTER_BOUND('',#50395,.T.); #29996=FACE_OUTER_BOUND('',#50396,.T.); #29997=FACE_OUTER_BOUND('',#50397,.T.); #29998=FACE_OUTER_BOUND('',#50398,.T.); #29999=FACE_OUTER_BOUND('',#50399,.T.); #30000=FACE_OUTER_BOUND('',#50400,.T.); #30001=FACE_OUTER_BOUND('',#50401,.T.); #30002=FACE_OUTER_BOUND('',#50402,.T.); #30003=FACE_OUTER_BOUND('',#50403,.T.); #30004=FACE_OUTER_BOUND('',#50404,.T.); #30005=FACE_OUTER_BOUND('',#50405,.T.); #30006=FACE_OUTER_BOUND('',#50406,.T.); #30007=FACE_OUTER_BOUND('',#50407,.T.); #30008=FACE_OUTER_BOUND('',#50408,.T.); #30009=FACE_OUTER_BOUND('',#50409,.T.); #30010=FACE_OUTER_BOUND('',#50410,.T.); #30011=FACE_OUTER_BOUND('',#50411,.T.); #30012=FACE_OUTER_BOUND('',#50412,.T.); #30013=FACE_OUTER_BOUND('',#50413,.T.); #30014=FACE_OUTER_BOUND('',#50414,.T.); #30015=FACE_OUTER_BOUND('',#50415,.T.); #30016=FACE_OUTER_BOUND('',#50416,.T.); #30017=FACE_OUTER_BOUND('',#50417,.T.); #30018=FACE_OUTER_BOUND('',#50418,.T.); #30019=FACE_OUTER_BOUND('',#50419,.T.); #30020=FACE_OUTER_BOUND('',#50420,.T.); #30021=FACE_OUTER_BOUND('',#50421,.T.); #30022=FACE_OUTER_BOUND('',#50422,.T.); #30023=FACE_OUTER_BOUND('',#50423,.T.); #30024=FACE_OUTER_BOUND('',#50424,.T.); #30025=FACE_OUTER_BOUND('',#50425,.T.); #30026=FACE_OUTER_BOUND('',#50426,.T.); #30027=FACE_OUTER_BOUND('',#50427,.T.); #30028=FACE_OUTER_BOUND('',#50428,.T.); #30029=FACE_OUTER_BOUND('',#50429,.T.); #30030=FACE_OUTER_BOUND('',#50430,.T.); #30031=FACE_OUTER_BOUND('',#50431,.T.); #30032=FACE_OUTER_BOUND('',#50432,.T.); #30033=FACE_OUTER_BOUND('',#50433,.T.); #30034=FACE_OUTER_BOUND('',#50434,.T.); #30035=FACE_OUTER_BOUND('',#50435,.T.); #30036=FACE_OUTER_BOUND('',#50436,.T.); #30037=FACE_OUTER_BOUND('',#50437,.T.); #30038=FACE_OUTER_BOUND('',#50438,.T.); #30039=FACE_OUTER_BOUND('',#50439,.T.); #30040=FACE_OUTER_BOUND('',#50440,.T.); #30041=FACE_OUTER_BOUND('',#50441,.T.); #30042=FACE_OUTER_BOUND('',#50442,.T.); #30043=FACE_OUTER_BOUND('',#50443,.T.); #30044=FACE_OUTER_BOUND('',#50444,.T.); #30045=FACE_OUTER_BOUND('',#50445,.T.); #30046=FACE_OUTER_BOUND('',#50446,.T.); #30047=FACE_OUTER_BOUND('',#50447,.T.); #30048=FACE_OUTER_BOUND('',#50448,.T.); #30049=FACE_OUTER_BOUND('',#50449,.T.); #30050=FACE_OUTER_BOUND('',#50450,.T.); #30051=FACE_OUTER_BOUND('',#50451,.T.); #30052=FACE_OUTER_BOUND('',#50452,.T.); #30053=FACE_OUTER_BOUND('',#50453,.T.); #30054=FACE_OUTER_BOUND('',#50454,.T.); #30055=FACE_OUTER_BOUND('',#50455,.T.); #30056=FACE_OUTER_BOUND('',#50456,.T.); #30057=FACE_OUTER_BOUND('',#50457,.T.); #30058=FACE_OUTER_BOUND('',#50458,.T.); #30059=FACE_OUTER_BOUND('',#50459,.T.); #30060=FACE_OUTER_BOUND('',#50460,.T.); #30061=FACE_OUTER_BOUND('',#50461,.T.); #30062=FACE_OUTER_BOUND('',#50462,.T.); #30063=FACE_OUTER_BOUND('',#50463,.T.); #30064=FACE_OUTER_BOUND('',#50464,.T.); #30065=FACE_OUTER_BOUND('',#50465,.T.); #30066=FACE_OUTER_BOUND('',#50466,.T.); #30067=FACE_OUTER_BOUND('',#50467,.T.); #30068=FACE_OUTER_BOUND('',#50468,.T.); #30069=FACE_OUTER_BOUND('',#50469,.T.); #30070=FACE_OUTER_BOUND('',#50470,.T.); #30071=FACE_OUTER_BOUND('',#50471,.T.); #30072=FACE_OUTER_BOUND('',#50472,.T.); #30073=FACE_OUTER_BOUND('',#50473,.T.); #30074=FACE_OUTER_BOUND('',#50475,.T.); #30075=FACE_OUTER_BOUND('',#50477,.T.); #30076=FACE_OUTER_BOUND('',#50478,.T.); #30077=FACE_OUTER_BOUND('',#50479,.T.); #30078=FACE_OUTER_BOUND('',#50480,.T.); #30079=FACE_OUTER_BOUND('',#50481,.T.); #30080=FACE_OUTER_BOUND('',#50483,.T.); #30081=FACE_OUTER_BOUND('',#50485,.T.); #30082=FACE_OUTER_BOUND('',#50486,.T.); #30083=FACE_OUTER_BOUND('',#50487,.T.); #30084=FACE_OUTER_BOUND('',#50488,.T.); #30085=FACE_OUTER_BOUND('',#50489,.T.); #30086=FACE_OUTER_BOUND('',#50491,.T.); #30087=FACE_OUTER_BOUND('',#50493,.T.); #30088=FACE_OUTER_BOUND('',#50494,.T.); #30089=FACE_OUTER_BOUND('',#50495,.T.); #30090=FACE_OUTER_BOUND('',#50496,.T.); #30091=FACE_OUTER_BOUND('',#50497,.T.); #30092=FACE_OUTER_BOUND('',#50499,.T.); #30093=FACE_OUTER_BOUND('',#50501,.T.); #30094=FACE_OUTER_BOUND('',#50502,.T.); #30095=FACE_OUTER_BOUND('',#50503,.T.); #30096=FACE_OUTER_BOUND('',#50504,.T.); #30097=FACE_OUTER_BOUND('',#50505,.T.); #30098=FACE_OUTER_BOUND('',#50506,.T.); #30099=FACE_OUTER_BOUND('',#50508,.T.); #30100=FACE_OUTER_BOUND('',#50510,.T.); #30101=FACE_OUTER_BOUND('',#50511,.T.); #30102=FACE_OUTER_BOUND('',#50512,.T.); #30103=FACE_OUTER_BOUND('',#50513,.T.); #30104=FACE_OUTER_BOUND('',#50514,.T.); #30105=FACE_OUTER_BOUND('',#50516,.T.); #30106=FACE_OUTER_BOUND('',#50518,.T.); #30107=FACE_OUTER_BOUND('',#50519,.T.); #30108=FACE_OUTER_BOUND('',#50520,.T.); #30109=FACE_OUTER_BOUND('',#50521,.T.); #30110=FACE_OUTER_BOUND('',#50522,.T.); #30111=FACE_OUTER_BOUND('',#50524,.T.); #30112=FACE_OUTER_BOUND('',#50526,.T.); #30113=FACE_OUTER_BOUND('',#50527,.T.); #30114=FACE_OUTER_BOUND('',#50528,.T.); #30115=FACE_OUTER_BOUND('',#50529,.T.); #30116=FACE_OUTER_BOUND('',#50530,.T.); #30117=FACE_OUTER_BOUND('',#50532,.T.); #30118=FACE_OUTER_BOUND('',#50534,.T.); #30119=FACE_OUTER_BOUND('',#50535,.T.); #30120=FACE_OUTER_BOUND('',#50536,.T.); #30121=FACE_OUTER_BOUND('',#50537,.T.); #30122=FACE_OUTER_BOUND('',#50538,.T.); #30123=FACE_OUTER_BOUND('',#50540,.T.); #30124=FACE_OUTER_BOUND('',#50542,.T.); #30125=FACE_OUTER_BOUND('',#50543,.T.); #30126=FACE_OUTER_BOUND('',#50544,.T.); #30127=FACE_OUTER_BOUND('',#50545,.T.); #30128=FACE_OUTER_BOUND('',#50546,.T.); #30129=FACE_OUTER_BOUND('',#50547,.T.); #30130=FACE_OUTER_BOUND('',#50548,.T.); #30131=FACE_OUTER_BOUND('',#50549,.T.); #30132=FACE_OUTER_BOUND('',#50550,.T.); #30133=FACE_OUTER_BOUND('',#50551,.T.); #30134=FACE_OUTER_BOUND('',#50552,.T.); #30135=FACE_OUTER_BOUND('',#50553,.T.); #30136=FACE_OUTER_BOUND('',#50554,.T.); #30137=FACE_OUTER_BOUND('',#50555,.T.); #30138=FACE_OUTER_BOUND('',#50556,.T.); #30139=FACE_OUTER_BOUND('',#50557,.T.); #30140=FACE_OUTER_BOUND('',#50558,.T.); #30141=FACE_OUTER_BOUND('',#50559,.T.); #30142=FACE_OUTER_BOUND('',#50560,.T.); #30143=FACE_OUTER_BOUND('',#50561,.T.); #30144=FACE_OUTER_BOUND('',#50562,.T.); #30145=FACE_OUTER_BOUND('',#50563,.T.); #30146=FACE_OUTER_BOUND('',#50564,.T.); #30147=FACE_OUTER_BOUND('',#50565,.T.); #30148=FACE_OUTER_BOUND('',#50566,.T.); #30149=FACE_OUTER_BOUND('',#50567,.T.); #30150=FACE_OUTER_BOUND('',#50568,.T.); #30151=FACE_OUTER_BOUND('',#50569,.T.); #30152=FACE_OUTER_BOUND('',#50570,.T.); #30153=FACE_OUTER_BOUND('',#50571,.T.); #30154=FACE_OUTER_BOUND('',#50572,.T.); #30155=FACE_OUTER_BOUND('',#50573,.T.); #30156=FACE_OUTER_BOUND('',#50574,.T.); #30157=FACE_OUTER_BOUND('',#50575,.T.); #30158=FACE_OUTER_BOUND('',#50576,.T.); #30159=FACE_OUTER_BOUND('',#50577,.T.); #30160=FACE_OUTER_BOUND('',#50578,.T.); #30161=FACE_OUTER_BOUND('',#50579,.T.); #30162=FACE_OUTER_BOUND('',#50580,.T.); #30163=FACE_OUTER_BOUND('',#50581,.T.); #30164=FACE_OUTER_BOUND('',#50582,.T.); #30165=FACE_OUTER_BOUND('',#50583,.T.); #30166=FACE_OUTER_BOUND('',#50584,.T.); #30167=FACE_OUTER_BOUND('',#50585,.T.); #30168=FACE_OUTER_BOUND('',#50586,.T.); #30169=FACE_OUTER_BOUND('',#50587,.T.); #30170=FACE_OUTER_BOUND('',#50588,.T.); #30171=FACE_OUTER_BOUND('',#50589,.T.); #30172=FACE_OUTER_BOUND('',#50590,.T.); #30173=FACE_OUTER_BOUND('',#50591,.T.); #30174=FACE_OUTER_BOUND('',#50592,.T.); #30175=FACE_OUTER_BOUND('',#50593,.T.); #30176=FACE_OUTER_BOUND('',#50594,.T.); #30177=FACE_OUTER_BOUND('',#50595,.T.); #30178=FACE_OUTER_BOUND('',#50596,.T.); #30179=FACE_OUTER_BOUND('',#50597,.T.); #30180=FACE_OUTER_BOUND('',#50598,.T.); #30181=FACE_OUTER_BOUND('',#50599,.T.); #30182=FACE_OUTER_BOUND('',#50600,.T.); #30183=FACE_OUTER_BOUND('',#50601,.T.); #30184=FACE_OUTER_BOUND('',#50602,.T.); #30185=FACE_OUTER_BOUND('',#50604,.T.); #30186=FACE_OUTER_BOUND('',#50606,.T.); #30187=FACE_OUTER_BOUND('',#50607,.T.); #30188=FACE_OUTER_BOUND('',#50608,.T.); #30189=FACE_OUTER_BOUND('',#50609,.T.); #30190=FACE_OUTER_BOUND('',#50610,.T.); #30191=FACE_OUTER_BOUND('',#50611,.T.); #30192=FACE_OUTER_BOUND('',#50612,.T.); #30193=FACE_OUTER_BOUND('',#50613,.T.); #30194=FACE_OUTER_BOUND('',#50614,.T.); #30195=FACE_OUTER_BOUND('',#50615,.T.); #30196=FACE_OUTER_BOUND('',#50616,.T.); #30197=FACE_OUTER_BOUND('',#50617,.T.); #30198=FACE_OUTER_BOUND('',#50618,.T.); #30199=FACE_OUTER_BOUND('',#50619,.T.); #30200=FACE_OUTER_BOUND('',#50620,.T.); #30201=FACE_OUTER_BOUND('',#50621,.T.); #30202=FACE_OUTER_BOUND('',#50622,.T.); #30203=FACE_OUTER_BOUND('',#50623,.T.); #30204=FACE_OUTER_BOUND('',#50624,.T.); #30205=FACE_OUTER_BOUND('',#50625,.T.); #30206=FACE_OUTER_BOUND('',#50626,.T.); #30207=FACE_OUTER_BOUND('',#50627,.T.); #30208=FACE_OUTER_BOUND('',#50628,.T.); #30209=FACE_OUTER_BOUND('',#50629,.T.); #30210=FACE_OUTER_BOUND('',#50630,.T.); #30211=FACE_OUTER_BOUND('',#50631,.T.); #30212=FACE_OUTER_BOUND('',#50632,.T.); #30213=FACE_OUTER_BOUND('',#50633,.T.); #30214=FACE_OUTER_BOUND('',#50634,.T.); #30215=FACE_OUTER_BOUND('',#50635,.T.); #30216=FACE_OUTER_BOUND('',#50636,.T.); #30217=FACE_OUTER_BOUND('',#50637,.T.); #30218=FACE_OUTER_BOUND('',#50638,.T.); #30219=FACE_OUTER_BOUND('',#50639,.T.); #30220=FACE_OUTER_BOUND('',#50640,.T.); #30221=FACE_OUTER_BOUND('',#50641,.T.); #30222=FACE_OUTER_BOUND('',#50642,.T.); #30223=FACE_OUTER_BOUND('',#50643,.T.); #30224=FACE_OUTER_BOUND('',#50644,.T.); #30225=FACE_OUTER_BOUND('',#50645,.T.); #30226=FACE_OUTER_BOUND('',#50646,.T.); #30227=FACE_OUTER_BOUND('',#50647,.T.); #30228=FACE_OUTER_BOUND('',#50648,.T.); #30229=FACE_OUTER_BOUND('',#50649,.T.); #30230=FACE_OUTER_BOUND('',#50650,.T.); #30231=FACE_OUTER_BOUND('',#50651,.T.); #30232=FACE_OUTER_BOUND('',#50652,.T.); #30233=FACE_OUTER_BOUND('',#50653,.T.); #30234=FACE_OUTER_BOUND('',#50654,.T.); #30235=FACE_OUTER_BOUND('',#50655,.T.); #30236=FACE_OUTER_BOUND('',#50656,.T.); #30237=FACE_OUTER_BOUND('',#50657,.T.); #30238=FACE_OUTER_BOUND('',#50658,.T.); #30239=FACE_OUTER_BOUND('',#50659,.T.); #30240=FACE_OUTER_BOUND('',#50660,.T.); #30241=FACE_OUTER_BOUND('',#50661,.T.); #30242=FACE_OUTER_BOUND('',#50662,.T.); #30243=FACE_OUTER_BOUND('',#50663,.T.); #30244=FACE_OUTER_BOUND('',#50664,.T.); #30245=FACE_OUTER_BOUND('',#50665,.T.); #30246=FACE_OUTER_BOUND('',#50666,.T.); #30247=FACE_OUTER_BOUND('',#50667,.T.); #30248=FACE_OUTER_BOUND('',#50668,.T.); #30249=FACE_OUTER_BOUND('',#50669,.T.); #30250=FACE_OUTER_BOUND('',#50670,.T.); #30251=FACE_OUTER_BOUND('',#50671,.T.); #30252=FACE_OUTER_BOUND('',#50672,.T.); #30253=FACE_OUTER_BOUND('',#50673,.T.); #30254=FACE_OUTER_BOUND('',#50674,.T.); #30255=FACE_OUTER_BOUND('',#50675,.T.); #30256=FACE_OUTER_BOUND('',#50676,.T.); #30257=FACE_OUTER_BOUND('',#50677,.T.); #30258=FACE_OUTER_BOUND('',#50678,.T.); #30259=FACE_OUTER_BOUND('',#50679,.T.); #30260=FACE_OUTER_BOUND('',#50680,.T.); #30261=FACE_OUTER_BOUND('',#50681,.T.); #30262=FACE_OUTER_BOUND('',#50682,.T.); #30263=FACE_OUTER_BOUND('',#50684,.T.); #30264=FACE_OUTER_BOUND('',#50686,.T.); #30265=FACE_OUTER_BOUND('',#50687,.T.); #30266=FACE_OUTER_BOUND('',#50688,.T.); #30267=FACE_OUTER_BOUND('',#50689,.T.); #30268=FACE_OUTER_BOUND('',#50690,.T.); #30269=FACE_OUTER_BOUND('',#50691,.T.); #30270=FACE_OUTER_BOUND('',#50692,.T.); #30271=FACE_OUTER_BOUND('',#50693,.T.); #30272=FACE_OUTER_BOUND('',#50694,.T.); #30273=FACE_OUTER_BOUND('',#50695,.T.); #30274=FACE_OUTER_BOUND('',#50696,.T.); #30275=FACE_OUTER_BOUND('',#50697,.T.); #30276=FACE_OUTER_BOUND('',#50698,.T.); #30277=FACE_OUTER_BOUND('',#50699,.T.); #30278=FACE_OUTER_BOUND('',#50700,.T.); #30279=FACE_OUTER_BOUND('',#50701,.T.); #30280=FACE_OUTER_BOUND('',#50702,.T.); #30281=FACE_OUTER_BOUND('',#50703,.T.); #30282=FACE_OUTER_BOUND('',#50704,.T.); #30283=FACE_OUTER_BOUND('',#50705,.T.); #30284=FACE_OUTER_BOUND('',#50706,.T.); #30285=FACE_OUTER_BOUND('',#50707,.T.); #30286=FACE_OUTER_BOUND('',#50708,.T.); #30287=FACE_OUTER_BOUND('',#50709,.T.); #30288=FACE_OUTER_BOUND('',#50710,.T.); #30289=FACE_OUTER_BOUND('',#50711,.T.); #30290=FACE_OUTER_BOUND('',#50712,.T.); #30291=FACE_OUTER_BOUND('',#50713,.T.); #30292=FACE_OUTER_BOUND('',#50714,.T.); #30293=FACE_OUTER_BOUND('',#50715,.T.); #30294=FACE_OUTER_BOUND('',#50716,.T.); #30295=FACE_OUTER_BOUND('',#50717,.T.); #30296=FACE_OUTER_BOUND('',#50718,.T.); #30297=FACE_OUTER_BOUND('',#50719,.T.); #30298=FACE_OUTER_BOUND('',#50720,.T.); #30299=FACE_OUTER_BOUND('',#50721,.T.); #30300=FACE_OUTER_BOUND('',#50722,.T.); #30301=FACE_OUTER_BOUND('',#50723,.T.); #30302=FACE_OUTER_BOUND('',#50724,.T.); #30303=FACE_OUTER_BOUND('',#50725,.T.); #30304=FACE_OUTER_BOUND('',#50726,.T.); #30305=FACE_OUTER_BOUND('',#50727,.T.); #30306=FACE_OUTER_BOUND('',#50728,.T.); #30307=FACE_OUTER_BOUND('',#50729,.T.); #30308=FACE_OUTER_BOUND('',#50730,.T.); #30309=FACE_OUTER_BOUND('',#50731,.T.); #30310=FACE_OUTER_BOUND('',#50732,.T.); #30311=FACE_OUTER_BOUND('',#50733,.T.); #30312=FACE_OUTER_BOUND('',#50734,.T.); #30313=FACE_OUTER_BOUND('',#50735,.T.); #30314=FACE_OUTER_BOUND('',#50736,.T.); #30315=FACE_OUTER_BOUND('',#50737,.T.); #30316=FACE_OUTER_BOUND('',#50738,.T.); #30317=FACE_OUTER_BOUND('',#50739,.T.); #30318=FACE_OUTER_BOUND('',#50740,.T.); #30319=FACE_OUTER_BOUND('',#50741,.T.); #30320=FACE_OUTER_BOUND('',#50742,.T.); #30321=FACE_OUTER_BOUND('',#50743,.T.); #30322=FACE_OUTER_BOUND('',#50744,.T.); #30323=FACE_OUTER_BOUND('',#50745,.T.); #30324=FACE_OUTER_BOUND('',#50746,.T.); #30325=FACE_OUTER_BOUND('',#50748,.T.); #30326=FACE_OUTER_BOUND('',#50750,.T.); #30327=FACE_OUTER_BOUND('',#50751,.T.); #30328=FACE_OUTER_BOUND('',#50752,.T.); #30329=FACE_OUTER_BOUND('',#50753,.T.); #30330=FACE_OUTER_BOUND('',#50754,.T.); #30331=FACE_OUTER_BOUND('',#50755,.T.); #30332=FACE_OUTER_BOUND('',#50757,.T.); #30333=FACE_OUTER_BOUND('',#50759,.T.); #30334=FACE_OUTER_BOUND('',#50760,.T.); #30335=FACE_OUTER_BOUND('',#50761,.T.); #30336=FACE_OUTER_BOUND('',#50762,.T.); #30337=FACE_OUTER_BOUND('',#50763,.T.); #30338=FACE_OUTER_BOUND('',#50764,.T.); #30339=FACE_OUTER_BOUND('',#50765,.T.); #30340=FACE_OUTER_BOUND('',#50766,.T.); #30341=FACE_OUTER_BOUND('',#50767,.T.); #30342=FACE_OUTER_BOUND('',#50768,.T.); #30343=FACE_OUTER_BOUND('',#50769,.T.); #30344=FACE_OUTER_BOUND('',#50770,.T.); #30345=FACE_OUTER_BOUND('',#50771,.T.); #30346=FACE_OUTER_BOUND('',#50772,.T.); #30347=FACE_OUTER_BOUND('',#50773,.T.); #30348=FACE_OUTER_BOUND('',#50774,.T.); #30349=FACE_OUTER_BOUND('',#50775,.T.); #30350=FACE_OUTER_BOUND('',#50776,.T.); #30351=FACE_OUTER_BOUND('',#50777,.T.); #30352=FACE_OUTER_BOUND('',#50778,.T.); #30353=FACE_OUTER_BOUND('',#50779,.T.); #30354=FACE_OUTER_BOUND('',#50780,.T.); #30355=FACE_OUTER_BOUND('',#50781,.T.); #30356=FACE_OUTER_BOUND('',#50782,.T.); #30357=FACE_OUTER_BOUND('',#50783,.T.); #30358=FACE_OUTER_BOUND('',#50784,.T.); #30359=FACE_OUTER_BOUND('',#50785,.T.); #30360=FACE_OUTER_BOUND('',#50786,.T.); #30361=FACE_OUTER_BOUND('',#50787,.T.); #30362=FACE_OUTER_BOUND('',#50788,.T.); #30363=FACE_OUTER_BOUND('',#50789,.T.); #30364=FACE_OUTER_BOUND('',#50790,.T.); #30365=FACE_OUTER_BOUND('',#50791,.T.); #30366=FACE_OUTER_BOUND('',#50792,.T.); #30367=FACE_OUTER_BOUND('',#50793,.T.); #30368=FACE_OUTER_BOUND('',#50794,.T.); #30369=FACE_OUTER_BOUND('',#50795,.T.); #30370=FACE_OUTER_BOUND('',#50796,.T.); #30371=FACE_OUTER_BOUND('',#50797,.T.); #30372=FACE_OUTER_BOUND('',#50798,.T.); #30373=FACE_OUTER_BOUND('',#50799,.T.); #30374=FACE_OUTER_BOUND('',#50800,.T.); #30375=FACE_OUTER_BOUND('',#50801,.T.); #30376=FACE_OUTER_BOUND('',#50802,.T.); #30377=FACE_OUTER_BOUND('',#50803,.T.); #30378=FACE_OUTER_BOUND('',#50804,.T.); #30379=FACE_OUTER_BOUND('',#50805,.T.); #30380=FACE_OUTER_BOUND('',#50806,.T.); #30381=FACE_OUTER_BOUND('',#50807,.T.); #30382=FACE_OUTER_BOUND('',#50808,.T.); #30383=FACE_OUTER_BOUND('',#50809,.T.); #30384=FACE_OUTER_BOUND('',#50810,.T.); #30385=FACE_OUTER_BOUND('',#50811,.T.); #30386=FACE_OUTER_BOUND('',#50812,.T.); #30387=FACE_OUTER_BOUND('',#50813,.T.); #30388=FACE_OUTER_BOUND('',#50814,.T.); #30389=FACE_OUTER_BOUND('',#50815,.T.); #30390=FACE_OUTER_BOUND('',#50816,.T.); #30391=FACE_OUTER_BOUND('',#50817,.T.); #30392=FACE_OUTER_BOUND('',#50818,.T.); #30393=FACE_OUTER_BOUND('',#50819,.T.); #30394=FACE_OUTER_BOUND('',#50821,.T.); #30395=FACE_OUTER_BOUND('',#50823,.T.); #30396=FACE_OUTER_BOUND('',#50824,.T.); #30397=FACE_OUTER_BOUND('',#50825,.T.); #30398=FACE_OUTER_BOUND('',#50826,.T.); #30399=FACE_OUTER_BOUND('',#50827,.T.); #30400=FACE_OUTER_BOUND('',#50828,.T.); #30401=FACE_OUTER_BOUND('',#50829,.T.); #30402=FACE_OUTER_BOUND('',#50830,.T.); #30403=FACE_OUTER_BOUND('',#50831,.T.); #30404=FACE_OUTER_BOUND('',#50832,.T.); #30405=FACE_OUTER_BOUND('',#50833,.T.); #30406=FACE_OUTER_BOUND('',#50834,.T.); #30407=FACE_OUTER_BOUND('',#50835,.T.); #30408=FACE_OUTER_BOUND('',#50836,.T.); #30409=FACE_OUTER_BOUND('',#50837,.T.); #30410=FACE_OUTER_BOUND('',#50838,.T.); #30411=FACE_OUTER_BOUND('',#50839,.T.); #30412=FACE_OUTER_BOUND('',#50840,.T.); #30413=FACE_OUTER_BOUND('',#50841,.T.); #30414=FACE_OUTER_BOUND('',#50842,.T.); #30415=FACE_OUTER_BOUND('',#50843,.T.); #30416=FACE_OUTER_BOUND('',#50844,.T.); #30417=FACE_OUTER_BOUND('',#50845,.T.); #30418=FACE_OUTER_BOUND('',#50846,.T.); #30419=FACE_OUTER_BOUND('',#50847,.T.); #30420=FACE_OUTER_BOUND('',#50848,.T.); #30421=FACE_OUTER_BOUND('',#50849,.T.); #30422=FACE_OUTER_BOUND('',#50850,.T.); #30423=FACE_OUTER_BOUND('',#50851,.T.); #30424=FACE_OUTER_BOUND('',#50852,.T.); #30425=FACE_OUTER_BOUND('',#50853,.T.); #30426=FACE_OUTER_BOUND('',#50854,.T.); #30427=FACE_OUTER_BOUND('',#50855,.T.); #30428=FACE_OUTER_BOUND('',#50856,.T.); #30429=FACE_OUTER_BOUND('',#50857,.T.); #30430=FACE_OUTER_BOUND('',#50858,.T.); #30431=FACE_OUTER_BOUND('',#50859,.T.); #30432=FACE_OUTER_BOUND('',#50860,.T.); #30433=FACE_OUTER_BOUND('',#50861,.T.); #30434=FACE_OUTER_BOUND('',#50862,.T.); #30435=FACE_OUTER_BOUND('',#50863,.T.); #30436=FACE_OUTER_BOUND('',#50864,.T.); #30437=FACE_OUTER_BOUND('',#50865,.T.); #30438=FACE_OUTER_BOUND('',#50866,.T.); #30439=FACE_OUTER_BOUND('',#50867,.T.); #30440=FACE_OUTER_BOUND('',#50868,.T.); #30441=FACE_OUTER_BOUND('',#50869,.T.); #30442=FACE_OUTER_BOUND('',#50870,.T.); #30443=FACE_OUTER_BOUND('',#50871,.T.); #30444=FACE_OUTER_BOUND('',#50872,.T.); #30445=FACE_OUTER_BOUND('',#50873,.T.); #30446=FACE_OUTER_BOUND('',#50874,.T.); #30447=FACE_OUTER_BOUND('',#50875,.T.); #30448=FACE_OUTER_BOUND('',#50876,.T.); #30449=FACE_OUTER_BOUND('',#50877,.T.); #30450=FACE_OUTER_BOUND('',#50878,.T.); #30451=FACE_OUTER_BOUND('',#50879,.T.); #30452=FACE_OUTER_BOUND('',#50880,.T.); #30453=FACE_OUTER_BOUND('',#50881,.T.); #30454=FACE_OUTER_BOUND('',#50882,.T.); #30455=FACE_OUTER_BOUND('',#50883,.T.); #30456=FACE_OUTER_BOUND('',#50884,.T.); #30457=FACE_OUTER_BOUND('',#50885,.T.); #30458=FACE_OUTER_BOUND('',#50886,.T.); #30459=FACE_OUTER_BOUND('',#50887,.T.); #30460=FACE_OUTER_BOUND('',#50888,.T.); #30461=FACE_OUTER_BOUND('',#50889,.T.); #30462=FACE_OUTER_BOUND('',#50890,.T.); #30463=FACE_OUTER_BOUND('',#50891,.T.); #30464=FACE_OUTER_BOUND('',#50892,.T.); #30465=FACE_OUTER_BOUND('',#50893,.T.); #30466=FACE_OUTER_BOUND('',#50894,.T.); #30467=FACE_OUTER_BOUND('',#50895,.T.); #30468=FACE_OUTER_BOUND('',#50896,.T.); #30469=FACE_OUTER_BOUND('',#50897,.T.); #30470=FACE_OUTER_BOUND('',#50898,.T.); #30471=FACE_OUTER_BOUND('',#50899,.T.); #30472=FACE_OUTER_BOUND('',#50901,.T.); #30473=FACE_OUTER_BOUND('',#50903,.T.); #30474=FACE_OUTER_BOUND('',#50904,.T.); #30475=FACE_OUTER_BOUND('',#50905,.T.); #30476=FACE_OUTER_BOUND('',#50906,.T.); #30477=FACE_OUTER_BOUND('',#50907,.T.); #30478=FACE_OUTER_BOUND('',#50909,.T.); #30479=FACE_OUTER_BOUND('',#50911,.T.); #30480=FACE_OUTER_BOUND('',#50912,.T.); #30481=FACE_OUTER_BOUND('',#50913,.T.); #30482=FACE_OUTER_BOUND('',#50914,.T.); #30483=FACE_OUTER_BOUND('',#50915,.T.); #30484=FACE_OUTER_BOUND('',#50917,.T.); #30485=FACE_OUTER_BOUND('',#50919,.T.); #30486=FACE_OUTER_BOUND('',#50920,.T.); #30487=FACE_OUTER_BOUND('',#50921,.T.); #30488=FACE_OUTER_BOUND('',#50922,.T.); #30489=FACE_OUTER_BOUND('',#50923,.T.); #30490=FACE_OUTER_BOUND('',#50924,.T.); #30491=FACE_OUTER_BOUND('',#50925,.T.); #30492=FACE_OUTER_BOUND('',#50926,.T.); #30493=FACE_OUTER_BOUND('',#50927,.T.); #30494=FACE_OUTER_BOUND('',#50928,.T.); #30495=FACE_OUTER_BOUND('',#50929,.T.); #30496=FACE_OUTER_BOUND('',#50930,.T.); #30497=FACE_OUTER_BOUND('',#50931,.T.); #30498=FACE_OUTER_BOUND('',#50932,.T.); #30499=FACE_OUTER_BOUND('',#50933,.T.); #30500=FACE_OUTER_BOUND('',#50934,.T.); #30501=FACE_OUTER_BOUND('',#50935,.T.); #30502=FACE_OUTER_BOUND('',#50936,.T.); #30503=FACE_OUTER_BOUND('',#50937,.T.); #30504=FACE_OUTER_BOUND('',#50938,.T.); #30505=FACE_OUTER_BOUND('',#50939,.T.); #30506=FACE_OUTER_BOUND('',#50940,.T.); #30507=FACE_OUTER_BOUND('',#50941,.T.); #30508=FACE_OUTER_BOUND('',#50942,.T.); #30509=FACE_OUTER_BOUND('',#50943,.T.); #30510=FACE_OUTER_BOUND('',#50944,.T.); #30511=FACE_OUTER_BOUND('',#50945,.T.); #30512=FACE_OUTER_BOUND('',#50946,.T.); #30513=FACE_OUTER_BOUND('',#50947,.T.); #30514=FACE_OUTER_BOUND('',#50948,.T.); #30515=FACE_OUTER_BOUND('',#50949,.T.); #30516=FACE_OUTER_BOUND('',#50950,.T.); #30517=FACE_OUTER_BOUND('',#50951,.T.); #30518=FACE_OUTER_BOUND('',#50952,.T.); #30519=FACE_OUTER_BOUND('',#50953,.T.); #30520=FACE_OUTER_BOUND('',#50954,.T.); #30521=FACE_OUTER_BOUND('',#50955,.T.); #30522=FACE_OUTER_BOUND('',#50956,.T.); #30523=FACE_OUTER_BOUND('',#50957,.T.); #30524=FACE_OUTER_BOUND('',#50958,.T.); #30525=FACE_OUTER_BOUND('',#50959,.T.); #30526=FACE_OUTER_BOUND('',#50960,.T.); #30527=FACE_OUTER_BOUND('',#50961,.T.); #30528=FACE_OUTER_BOUND('',#50962,.T.); #30529=FACE_OUTER_BOUND('',#50963,.T.); #30530=FACE_OUTER_BOUND('',#50964,.T.); #30531=FACE_OUTER_BOUND('',#50965,.T.); #30532=FACE_OUTER_BOUND('',#50966,.T.); #30533=FACE_OUTER_BOUND('',#50967,.T.); #30534=FACE_OUTER_BOUND('',#50968,.T.); #30535=FACE_OUTER_BOUND('',#50969,.T.); #30536=FACE_OUTER_BOUND('',#50970,.T.); #30537=FACE_OUTER_BOUND('',#50971,.T.); #30538=FACE_OUTER_BOUND('',#50972,.T.); #30539=FACE_OUTER_BOUND('',#50973,.T.); #30540=FACE_OUTER_BOUND('',#50974,.T.); #30541=FACE_OUTER_BOUND('',#50975,.T.); #30542=FACE_OUTER_BOUND('',#50976,.T.); #30543=FACE_OUTER_BOUND('',#50977,.T.); #30544=FACE_OUTER_BOUND('',#50978,.T.); #30545=FACE_OUTER_BOUND('',#50979,.T.); #30546=FACE_OUTER_BOUND('',#50981,.T.); #30547=FACE_OUTER_BOUND('',#50983,.T.); #30548=FACE_OUTER_BOUND('',#50984,.T.); #30549=FACE_OUTER_BOUND('',#50985,.T.); #30550=FACE_OUTER_BOUND('',#50986,.T.); #30551=FACE_OUTER_BOUND('',#50987,.T.); #30552=FACE_OUTER_BOUND('',#50989,.T.); #30553=FACE_OUTER_BOUND('',#50991,.T.); #30554=FACE_OUTER_BOUND('',#50992,.T.); #30555=FACE_OUTER_BOUND('',#50993,.T.); #30556=FACE_OUTER_BOUND('',#50994,.T.); #30557=FACE_OUTER_BOUND('',#50995,.T.); #30558=FACE_OUTER_BOUND('',#50997,.T.); #30559=FACE_OUTER_BOUND('',#50999,.T.); #30560=FACE_OUTER_BOUND('',#51000,.T.); #30561=FACE_OUTER_BOUND('',#51001,.T.); #30562=FACE_OUTER_BOUND('',#51002,.T.); #30563=FACE_OUTER_BOUND('',#51003,.T.); #30564=FACE_OUTER_BOUND('',#51005,.T.); #30565=FACE_OUTER_BOUND('',#51007,.T.); #30566=FACE_OUTER_BOUND('',#51008,.T.); #30567=FACE_OUTER_BOUND('',#51009,.T.); #30568=FACE_OUTER_BOUND('',#51010,.T.); #30569=FACE_OUTER_BOUND('',#51011,.T.); #30570=FACE_OUTER_BOUND('',#51012,.T.); #30571=FACE_OUTER_BOUND('',#51014,.T.); #30572=FACE_OUTER_BOUND('',#51016,.T.); #30573=FACE_OUTER_BOUND('',#51017,.T.); #30574=FACE_OUTER_BOUND('',#51018,.T.); #30575=FACE_OUTER_BOUND('',#51019,.T.); #30576=FACE_OUTER_BOUND('',#51020,.T.); #30577=FACE_OUTER_BOUND('',#51022,.T.); #30578=FACE_OUTER_BOUND('',#51024,.T.); #30579=FACE_OUTER_BOUND('',#51025,.T.); #30580=FACE_OUTER_BOUND('',#51026,.T.); #30581=FACE_OUTER_BOUND('',#51027,.T.); #30582=FACE_OUTER_BOUND('',#51028,.T.); #30583=FACE_OUTER_BOUND('',#51029,.T.); #30584=FACE_OUTER_BOUND('',#51030,.T.); #30585=FACE_OUTER_BOUND('',#51031,.T.); #30586=FACE_OUTER_BOUND('',#51032,.T.); #30587=FACE_OUTER_BOUND('',#51033,.T.); #30588=FACE_OUTER_BOUND('',#51034,.T.); #30589=FACE_OUTER_BOUND('',#51035,.T.); #30590=FACE_OUTER_BOUND('',#51036,.T.); #30591=FACE_OUTER_BOUND('',#51037,.T.); #30592=FACE_OUTER_BOUND('',#51038,.T.); #30593=FACE_OUTER_BOUND('',#51039,.T.); #30594=FACE_OUTER_BOUND('',#51040,.T.); #30595=FACE_OUTER_BOUND('',#51041,.T.); #30596=FACE_OUTER_BOUND('',#51042,.T.); #30597=FACE_OUTER_BOUND('',#51043,.T.); #30598=FACE_OUTER_BOUND('',#51044,.T.); #30599=FACE_OUTER_BOUND('',#51045,.T.); #30600=FACE_OUTER_BOUND('',#51046,.T.); #30601=FACE_OUTER_BOUND('',#51047,.T.); #30602=FACE_OUTER_BOUND('',#51048,.T.); #30603=FACE_OUTER_BOUND('',#51049,.T.); #30604=FACE_OUTER_BOUND('',#51050,.T.); #30605=FACE_OUTER_BOUND('',#51051,.T.); #30606=FACE_OUTER_BOUND('',#51052,.T.); #30607=FACE_OUTER_BOUND('',#51053,.T.); #30608=FACE_OUTER_BOUND('',#51054,.T.); #30609=FACE_OUTER_BOUND('',#51055,.T.); #30610=FACE_OUTER_BOUND('',#51056,.T.); #30611=FACE_OUTER_BOUND('',#51057,.T.); #30612=FACE_OUTER_BOUND('',#51058,.T.); #30613=FACE_OUTER_BOUND('',#51059,.T.); #30614=FACE_OUTER_BOUND('',#51060,.T.); #30615=FACE_OUTER_BOUND('',#51061,.T.); #30616=FACE_OUTER_BOUND('',#51062,.T.); #30617=FACE_OUTER_BOUND('',#51063,.T.); #30618=FACE_OUTER_BOUND('',#51064,.T.); #30619=FACE_OUTER_BOUND('',#51065,.T.); #30620=FACE_OUTER_BOUND('',#51066,.T.); #30621=FACE_OUTER_BOUND('',#51067,.T.); #30622=FACE_OUTER_BOUND('',#51068,.T.); #30623=FACE_OUTER_BOUND('',#51069,.T.); #30624=FACE_OUTER_BOUND('',#51070,.T.); #30625=FACE_OUTER_BOUND('',#51071,.T.); #30626=FACE_OUTER_BOUND('',#51072,.T.); #30627=FACE_OUTER_BOUND('',#51073,.T.); #30628=FACE_OUTER_BOUND('',#51074,.T.); #30629=FACE_OUTER_BOUND('',#51075,.T.); #30630=FACE_OUTER_BOUND('',#51076,.T.); #30631=FACE_OUTER_BOUND('',#51077,.T.); #30632=FACE_OUTER_BOUND('',#51078,.T.); #30633=FACE_OUTER_BOUND('',#51079,.T.); #30634=FACE_OUTER_BOUND('',#51080,.T.); #30635=FACE_OUTER_BOUND('',#51081,.T.); #30636=FACE_OUTER_BOUND('',#51082,.T.); #30637=FACE_OUTER_BOUND('',#51083,.T.); #30638=FACE_OUTER_BOUND('',#51084,.T.); #30639=FACE_OUTER_BOUND('',#51085,.T.); #30640=FACE_OUTER_BOUND('',#51086,.T.); #30641=FACE_OUTER_BOUND('',#51087,.T.); #30642=FACE_OUTER_BOUND('',#51088,.T.); #30643=FACE_OUTER_BOUND('',#51089,.T.); #30644=FACE_OUTER_BOUND('',#51090,.T.); #30645=FACE_OUTER_BOUND('',#51091,.T.); #30646=FACE_OUTER_BOUND('',#51092,.T.); #30647=FACE_OUTER_BOUND('',#51093,.T.); #30648=FACE_OUTER_BOUND('',#51094,.T.); #30649=FACE_OUTER_BOUND('',#51095,.T.); #30650=FACE_OUTER_BOUND('',#51096,.T.); #30651=FACE_OUTER_BOUND('',#51097,.T.); #30652=FACE_OUTER_BOUND('',#51098,.T.); #30653=FACE_OUTER_BOUND('',#51099,.T.); #30654=FACE_OUTER_BOUND('',#51100,.T.); #30655=FACE_OUTER_BOUND('',#51101,.T.); #30656=FACE_OUTER_BOUND('',#51102,.T.); #30657=FACE_OUTER_BOUND('',#51103,.T.); #30658=FACE_OUTER_BOUND('',#51104,.T.); #30659=FACE_OUTER_BOUND('',#51105,.T.); #30660=FACE_OUTER_BOUND('',#51106,.T.); #30661=FACE_OUTER_BOUND('',#51107,.T.); #30662=FACE_OUTER_BOUND('',#51108,.T.); #30663=FACE_OUTER_BOUND('',#51109,.T.); #30664=FACE_OUTER_BOUND('',#51110,.T.); #30665=FACE_OUTER_BOUND('',#51111,.T.); #30666=FACE_OUTER_BOUND('',#51112,.T.); #30667=FACE_OUTER_BOUND('',#51119,.T.); #30668=FACE_OUTER_BOUND('',#51126,.T.); #30669=FACE_OUTER_BOUND('',#51127,.T.); #30670=FACE_OUTER_BOUND('',#51128,.T.); #30671=FACE_OUTER_BOUND('',#51129,.T.); #30672=FACE_OUTER_BOUND('',#51130,.T.); #30673=FACE_OUTER_BOUND('',#51131,.T.); #30674=FACE_OUTER_BOUND('',#51132,.T.); #30675=FACE_OUTER_BOUND('',#51133,.T.); #30676=FACE_OUTER_BOUND('',#51134,.T.); #30677=FACE_OUTER_BOUND('',#51135,.T.); #30678=FACE_OUTER_BOUND('',#51136,.T.); #30679=FACE_OUTER_BOUND('',#51137,.T.); #30680=FACE_OUTER_BOUND('',#51138,.T.); #30681=FACE_OUTER_BOUND('',#51139,.T.); #30682=FACE_OUTER_BOUND('',#51140,.T.); #30683=FACE_OUTER_BOUND('',#51141,.T.); #30684=FACE_OUTER_BOUND('',#51142,.T.); #30685=FACE_OUTER_BOUND('',#51143,.T.); #30686=FACE_OUTER_BOUND('',#51144,.T.); #30687=FACE_OUTER_BOUND('',#51145,.T.); #30688=FACE_OUTER_BOUND('',#51146,.T.); #30689=FACE_OUTER_BOUND('',#51147,.T.); #30690=FACE_OUTER_BOUND('',#51148,.T.); #30691=FACE_OUTER_BOUND('',#51149,.T.); #30692=FACE_OUTER_BOUND('',#51150,.T.); #30693=FACE_OUTER_BOUND('',#51151,.T.); #30694=FACE_OUTER_BOUND('',#51152,.T.); #30695=FACE_OUTER_BOUND('',#51153,.T.); #30696=FACE_OUTER_BOUND('',#51154,.T.); #30697=FACE_OUTER_BOUND('',#51155,.T.); #30698=FACE_OUTER_BOUND('',#51156,.T.); #30699=FACE_OUTER_BOUND('',#51157,.T.); #30700=FACE_OUTER_BOUND('',#51158,.T.); #30701=FACE_OUTER_BOUND('',#51159,.T.); #30702=FACE_OUTER_BOUND('',#51160,.T.); #30703=FACE_OUTER_BOUND('',#51161,.T.); #30704=FACE_OUTER_BOUND('',#51162,.T.); #30705=FACE_OUTER_BOUND('',#51163,.T.); #30706=FACE_OUTER_BOUND('',#51164,.T.); #30707=FACE_OUTER_BOUND('',#51165,.T.); #30708=FACE_OUTER_BOUND('',#51166,.T.); #30709=FACE_OUTER_BOUND('',#51167,.T.); #30710=FACE_OUTER_BOUND('',#51168,.T.); #30711=FACE_OUTER_BOUND('',#51169,.T.); #30712=FACE_OUTER_BOUND('',#51170,.T.); #30713=FACE_OUTER_BOUND('',#51171,.T.); #30714=FACE_OUTER_BOUND('',#51172,.T.); #30715=FACE_OUTER_BOUND('',#51173,.T.); #30716=FACE_OUTER_BOUND('',#51174,.T.); #30717=FACE_OUTER_BOUND('',#51175,.T.); #30718=FACE_OUTER_BOUND('',#51176,.T.); #30719=FACE_OUTER_BOUND('',#51177,.T.); #30720=FACE_OUTER_BOUND('',#51178,.T.); #30721=FACE_OUTER_BOUND('',#51179,.T.); #30722=FACE_OUTER_BOUND('',#51180,.T.); #30723=FACE_OUTER_BOUND('',#51181,.T.); #30724=FACE_OUTER_BOUND('',#51182,.T.); #30725=FACE_OUTER_BOUND('',#51183,.T.); #30726=FACE_OUTER_BOUND('',#51184,.T.); #30727=FACE_OUTER_BOUND('',#51185,.T.); #30728=FACE_OUTER_BOUND('',#51186,.T.); #30729=FACE_OUTER_BOUND('',#51187,.T.); #30730=FACE_OUTER_BOUND('',#51188,.T.); #30731=FACE_OUTER_BOUND('',#51189,.T.); #30732=FACE_OUTER_BOUND('',#51190,.T.); #30733=FACE_OUTER_BOUND('',#51191,.T.); #30734=FACE_OUTER_BOUND('',#51192,.T.); #30735=FACE_OUTER_BOUND('',#51193,.T.); #30736=FACE_OUTER_BOUND('',#51194,.T.); #30737=FACE_OUTER_BOUND('',#51195,.T.); #30738=FACE_OUTER_BOUND('',#51196,.T.); #30739=FACE_OUTER_BOUND('',#51197,.T.); #30740=FACE_OUTER_BOUND('',#51198,.T.); #30741=FACE_OUTER_BOUND('',#51199,.T.); #30742=FACE_OUTER_BOUND('',#51200,.T.); #30743=FACE_OUTER_BOUND('',#51201,.T.); #30744=FACE_OUTER_BOUND('',#51202,.T.); #30745=FACE_OUTER_BOUND('',#51203,.T.); #30746=FACE_OUTER_BOUND('',#51204,.T.); #30747=FACE_OUTER_BOUND('',#51205,.T.); #30748=FACE_OUTER_BOUND('',#51206,.T.); #30749=FACE_OUTER_BOUND('',#51207,.T.); #30750=FACE_OUTER_BOUND('',#51208,.T.); #30751=FACE_OUTER_BOUND('',#51209,.T.); #30752=FACE_OUTER_BOUND('',#51210,.T.); #30753=FACE_OUTER_BOUND('',#51211,.T.); #30754=FACE_OUTER_BOUND('',#51212,.T.); #30755=FACE_OUTER_BOUND('',#51213,.T.); #30756=FACE_OUTER_BOUND('',#51214,.T.); #30757=FACE_OUTER_BOUND('',#51215,.T.); #30758=FACE_OUTER_BOUND('',#51216,.T.); #30759=FACE_OUTER_BOUND('',#51217,.T.); #30760=FACE_OUTER_BOUND('',#51218,.T.); #30761=FACE_OUTER_BOUND('',#51219,.T.); #30762=FACE_OUTER_BOUND('',#51220,.T.); #30763=FACE_OUTER_BOUND('',#51221,.T.); #30764=FACE_OUTER_BOUND('',#51222,.T.); #30765=FACE_OUTER_BOUND('',#51223,.T.); #30766=FACE_OUTER_BOUND('',#51224,.T.); #30767=FACE_OUTER_BOUND('',#51225,.T.); #30768=FACE_OUTER_BOUND('',#51226,.T.); #30769=FACE_OUTER_BOUND('',#51227,.T.); #30770=FACE_OUTER_BOUND('',#51228,.T.); #30771=FACE_OUTER_BOUND('',#51229,.T.); #30772=FACE_OUTER_BOUND('',#51230,.T.); #30773=FACE_OUTER_BOUND('',#51231,.T.); #30774=FACE_OUTER_BOUND('',#51232,.T.); #30775=FACE_OUTER_BOUND('',#51233,.T.); #30776=FACE_OUTER_BOUND('',#51234,.T.); #30777=FACE_OUTER_BOUND('',#51235,.T.); #30778=FACE_OUTER_BOUND('',#51236,.T.); #30779=FACE_OUTER_BOUND('',#51237,.T.); #30780=FACE_OUTER_BOUND('',#51238,.T.); #30781=FACE_OUTER_BOUND('',#51239,.T.); #30782=FACE_OUTER_BOUND('',#51240,.T.); #30783=FACE_OUTER_BOUND('',#51241,.T.); #30784=FACE_OUTER_BOUND('',#51242,.T.); #30785=FACE_OUTER_BOUND('',#51243,.T.); #30786=FACE_OUTER_BOUND('',#51244,.T.); #30787=FACE_OUTER_BOUND('',#51245,.T.); #30788=FACE_OUTER_BOUND('',#51246,.T.); #30789=FACE_OUTER_BOUND('',#51247,.T.); #30790=FACE_OUTER_BOUND('',#51248,.T.); #30791=FACE_OUTER_BOUND('',#51249,.T.); #30792=FACE_OUTER_BOUND('',#51250,.T.); #30793=FACE_OUTER_BOUND('',#51251,.T.); #30794=FACE_OUTER_BOUND('',#51252,.T.); #30795=FACE_OUTER_BOUND('',#51253,.T.); #30796=FACE_OUTER_BOUND('',#51254,.T.); #30797=FACE_OUTER_BOUND('',#51255,.T.); #30798=FACE_OUTER_BOUND('',#51256,.T.); #30799=FACE_OUTER_BOUND('',#51257,.T.); #30800=FACE_OUTER_BOUND('',#51258,.T.); #30801=FACE_OUTER_BOUND('',#51259,.T.); #30802=FACE_OUTER_BOUND('',#51260,.T.); #30803=FACE_OUTER_BOUND('',#51261,.T.); #30804=FACE_OUTER_BOUND('',#51262,.T.); #30805=FACE_OUTER_BOUND('',#51263,.T.); #30806=FACE_OUTER_BOUND('',#51264,.T.); #30807=FACE_OUTER_BOUND('',#51265,.T.); #30808=FACE_OUTER_BOUND('',#51266,.T.); #30809=FACE_OUTER_BOUND('',#51267,.T.); #30810=FACE_OUTER_BOUND('',#51268,.T.); #30811=FACE_OUTER_BOUND('',#51269,.T.); #30812=FACE_OUTER_BOUND('',#51270,.T.); #30813=FACE_OUTER_BOUND('',#51271,.T.); #30814=FACE_OUTER_BOUND('',#51272,.T.); #30815=FACE_OUTER_BOUND('',#51273,.T.); #30816=FACE_OUTER_BOUND('',#51274,.T.); #30817=FACE_OUTER_BOUND('',#51275,.T.); #30818=FACE_OUTER_BOUND('',#51276,.T.); #30819=FACE_OUTER_BOUND('',#51277,.T.); #30820=FACE_OUTER_BOUND('',#51278,.T.); #30821=FACE_OUTER_BOUND('',#51279,.T.); #30822=FACE_OUTER_BOUND('',#51280,.T.); #30823=FACE_OUTER_BOUND('',#51281,.T.); #30824=FACE_OUTER_BOUND('',#51282,.T.); #30825=FACE_OUTER_BOUND('',#51283,.T.); #30826=FACE_OUTER_BOUND('',#51284,.T.); #30827=FACE_OUTER_BOUND('',#51285,.T.); #30828=FACE_OUTER_BOUND('',#51286,.T.); #30829=FACE_OUTER_BOUND('',#51287,.T.); #30830=FACE_OUTER_BOUND('',#51288,.T.); #30831=FACE_OUTER_BOUND('',#51289,.T.); #30832=FACE_OUTER_BOUND('',#51290,.T.); #30833=FACE_OUTER_BOUND('',#51291,.T.); #30834=FACE_OUTER_BOUND('',#51292,.T.); #30835=FACE_OUTER_BOUND('',#51299,.T.); #30836=FACE_OUTER_BOUND('',#51306,.T.); #30837=FACE_OUTER_BOUND('',#51307,.T.); #30838=FACE_OUTER_BOUND('',#51308,.T.); #30839=FACE_OUTER_BOUND('',#51309,.T.); #30840=FACE_OUTER_BOUND('',#51310,.T.); #30841=FACE_OUTER_BOUND('',#51311,.T.); #30842=FACE_OUTER_BOUND('',#51312,.T.); #30843=FACE_OUTER_BOUND('',#51313,.T.); #30844=FACE_OUTER_BOUND('',#51314,.T.); #30845=FACE_OUTER_BOUND('',#51315,.T.); #30846=FACE_OUTER_BOUND('',#51316,.T.); #30847=FACE_OUTER_BOUND('',#51317,.T.); #30848=FACE_OUTER_BOUND('',#51318,.T.); #30849=FACE_OUTER_BOUND('',#51319,.T.); #30850=FACE_OUTER_BOUND('',#51320,.T.); #30851=FACE_OUTER_BOUND('',#51321,.T.); #30852=FACE_OUTER_BOUND('',#51322,.T.); #30853=FACE_OUTER_BOUND('',#51323,.T.); #30854=FACE_OUTER_BOUND('',#51324,.T.); #30855=FACE_OUTER_BOUND('',#51325,.T.); #30856=FACE_OUTER_BOUND('',#51326,.T.); #30857=FACE_OUTER_BOUND('',#51327,.T.); #30858=FACE_OUTER_BOUND('',#51328,.T.); #30859=FACE_OUTER_BOUND('',#51329,.T.); #30860=FACE_OUTER_BOUND('',#51330,.T.); #30861=FACE_OUTER_BOUND('',#51331,.T.); #30862=FACE_OUTER_BOUND('',#51332,.T.); #30863=FACE_OUTER_BOUND('',#51333,.T.); #30864=FACE_OUTER_BOUND('',#51334,.T.); #30865=FACE_OUTER_BOUND('',#51335,.T.); #30866=FACE_OUTER_BOUND('',#51336,.T.); #30867=FACE_OUTER_BOUND('',#51337,.T.); #30868=FACE_OUTER_BOUND('',#51338,.T.); #30869=FACE_OUTER_BOUND('',#51339,.T.); #30870=FACE_OUTER_BOUND('',#51340,.T.); #30871=FACE_OUTER_BOUND('',#51341,.T.); #30872=FACE_OUTER_BOUND('',#51342,.T.); #30873=FACE_OUTER_BOUND('',#51343,.T.); #30874=FACE_OUTER_BOUND('',#51344,.T.); #30875=FACE_OUTER_BOUND('',#51345,.T.); #30876=FACE_OUTER_BOUND('',#51346,.T.); #30877=FACE_OUTER_BOUND('',#51347,.T.); #30878=FACE_OUTER_BOUND('',#51348,.T.); #30879=FACE_OUTER_BOUND('',#51349,.T.); #30880=FACE_OUTER_BOUND('',#51350,.T.); #30881=FACE_OUTER_BOUND('',#51351,.T.); #30882=FACE_OUTER_BOUND('',#51352,.T.); #30883=FACE_OUTER_BOUND('',#51359,.T.); #30884=FACE_OUTER_BOUND('',#51366,.T.); #30885=FACE_OUTER_BOUND('',#51367,.T.); #30886=FACE_OUTER_BOUND('',#51368,.T.); #30887=FACE_OUTER_BOUND('',#51369,.T.); #30888=FACE_OUTER_BOUND('',#51370,.T.); #30889=FACE_OUTER_BOUND('',#51371,.T.); #30890=FACE_OUTER_BOUND('',#51372,.T.); #30891=FACE_OUTER_BOUND('',#51373,.T.); #30892=FACE_OUTER_BOUND('',#51374,.T.); #30893=FACE_OUTER_BOUND('',#51375,.T.); #30894=FACE_OUTER_BOUND('',#51376,.T.); #30895=FACE_OUTER_BOUND('',#51377,.T.); #30896=FACE_OUTER_BOUND('',#51378,.T.); #30897=FACE_OUTER_BOUND('',#51379,.T.); #30898=FACE_OUTER_BOUND('',#51380,.T.); #30899=FACE_OUTER_BOUND('',#51381,.T.); #30900=FACE_OUTER_BOUND('',#51382,.T.); #30901=FACE_OUTER_BOUND('',#51383,.T.); #30902=FACE_OUTER_BOUND('',#51384,.T.); #30903=FACE_OUTER_BOUND('',#51385,.T.); #30904=FACE_OUTER_BOUND('',#51386,.T.); #30905=FACE_OUTER_BOUND('',#51387,.T.); #30906=FACE_OUTER_BOUND('',#51388,.T.); #30907=FACE_OUTER_BOUND('',#51389,.T.); #30908=FACE_OUTER_BOUND('',#51390,.T.); #30909=FACE_OUTER_BOUND('',#51391,.T.); #30910=FACE_OUTER_BOUND('',#51392,.T.); #30911=FACE_OUTER_BOUND('',#51393,.T.); #30912=FACE_OUTER_BOUND('',#51394,.T.); #30913=FACE_OUTER_BOUND('',#51395,.T.); #30914=FACE_OUTER_BOUND('',#51396,.T.); #30915=FACE_OUTER_BOUND('',#51397,.T.); #30916=FACE_OUTER_BOUND('',#51398,.T.); #30917=FACE_OUTER_BOUND('',#51399,.T.); #30918=FACE_OUTER_BOUND('',#51400,.T.); #30919=FACE_OUTER_BOUND('',#51401,.T.); #30920=FACE_OUTER_BOUND('',#51402,.T.); #30921=FACE_OUTER_BOUND('',#51403,.T.); #30922=FACE_OUTER_BOUND('',#51404,.T.); #30923=FACE_OUTER_BOUND('',#51405,.T.); #30924=FACE_OUTER_BOUND('',#51406,.T.); #30925=FACE_OUTER_BOUND('',#51407,.T.); #30926=FACE_OUTER_BOUND('',#51408,.T.); #30927=FACE_OUTER_BOUND('',#51409,.T.); #30928=FACE_OUTER_BOUND('',#51410,.T.); #30929=FACE_OUTER_BOUND('',#51411,.T.); #30930=FACE_OUTER_BOUND('',#51412,.T.); #30931=FACE_OUTER_BOUND('',#51413,.T.); #30932=FACE_OUTER_BOUND('',#51414,.T.); #30933=FACE_OUTER_BOUND('',#51415,.T.); #30934=FACE_OUTER_BOUND('',#51416,.T.); #30935=FACE_OUTER_BOUND('',#51417,.T.); #30936=FACE_OUTER_BOUND('',#51418,.T.); #30937=FACE_OUTER_BOUND('',#51419,.T.); #30938=FACE_OUTER_BOUND('',#51420,.T.); #30939=FACE_OUTER_BOUND('',#51421,.T.); #30940=FACE_OUTER_BOUND('',#51422,.T.); #30941=FACE_OUTER_BOUND('',#51423,.T.); #30942=FACE_OUTER_BOUND('',#51424,.T.); #30943=FACE_OUTER_BOUND('',#51425,.T.); #30944=FACE_OUTER_BOUND('',#51426,.T.); #30945=FACE_OUTER_BOUND('',#51427,.T.); #30946=FACE_OUTER_BOUND('',#51428,.T.); #30947=FACE_OUTER_BOUND('',#51429,.T.); #30948=FACE_OUTER_BOUND('',#51430,.T.); #30949=FACE_OUTER_BOUND('',#51431,.T.); #30950=FACE_OUTER_BOUND('',#51481,.T.); #30951=FACE_OUTER_BOUND('',#51531,.T.); #30952=FACE_OUTER_BOUND('',#51532,.T.); #30953=FACE_OUTER_BOUND('',#51533,.T.); #30954=FACE_OUTER_BOUND('',#51534,.T.); #30955=FACE_OUTER_BOUND('',#51535,.T.); #30956=FACE_OUTER_BOUND('',#51536,.T.); #30957=FACE_OUTER_BOUND('',#51537,.T.); #30958=FACE_OUTER_BOUND('',#51538,.T.); #30959=FACE_OUTER_BOUND('',#51539,.T.); #30960=FACE_OUTER_BOUND('',#51540,.T.); #30961=FACE_OUTER_BOUND('',#51541,.T.); #30962=FACE_OUTER_BOUND('',#51542,.T.); #30963=FACE_OUTER_BOUND('',#51543,.T.); #30964=FACE_OUTER_BOUND('',#51544,.T.); #30965=FACE_OUTER_BOUND('',#51545,.T.); #30966=FACE_OUTER_BOUND('',#51546,.T.); #30967=FACE_OUTER_BOUND('',#51547,.T.); #30968=FACE_OUTER_BOUND('',#51548,.T.); #30969=FACE_OUTER_BOUND('',#51549,.T.); #30970=FACE_OUTER_BOUND('',#51550,.T.); #30971=FACE_OUTER_BOUND('',#51551,.T.); #30972=FACE_OUTER_BOUND('',#51552,.T.); #30973=FACE_OUTER_BOUND('',#51553,.T.); #30974=FACE_OUTER_BOUND('',#51554,.T.); #30975=FACE_OUTER_BOUND('',#51555,.T.); #30976=FACE_OUTER_BOUND('',#51556,.T.); #30977=FACE_OUTER_BOUND('',#51557,.T.); #30978=FACE_OUTER_BOUND('',#51558,.T.); #30979=FACE_OUTER_BOUND('',#51559,.T.); #30980=FACE_OUTER_BOUND('',#51560,.T.); #30981=FACE_OUTER_BOUND('',#51561,.T.); #30982=FACE_OUTER_BOUND('',#51562,.T.); #30983=FACE_OUTER_BOUND('',#51563,.T.); #30984=FACE_OUTER_BOUND('',#51564,.T.); #30985=FACE_OUTER_BOUND('',#51565,.T.); #30986=FACE_OUTER_BOUND('',#51566,.T.); #30987=FACE_OUTER_BOUND('',#51567,.T.); #30988=FACE_OUTER_BOUND('',#51568,.T.); #30989=FACE_OUTER_BOUND('',#51569,.T.); #30990=FACE_OUTER_BOUND('',#51570,.T.); #30991=FACE_OUTER_BOUND('',#51571,.T.); #30992=FACE_OUTER_BOUND('',#51572,.T.); #30993=FACE_OUTER_BOUND('',#51573,.T.); #30994=FACE_OUTER_BOUND('',#51574,.T.); #30995=FACE_OUTER_BOUND('',#51575,.T.); #30996=FACE_OUTER_BOUND('',#51576,.T.); #30997=FACE_OUTER_BOUND('',#51577,.T.); #30998=FACE_OUTER_BOUND('',#51578,.T.); #30999=FACE_OUTER_BOUND('',#51579,.T.); #31000=FACE_OUTER_BOUND('',#51580,.T.); #31001=FACE_OUTER_BOUND('',#51581,.T.); #31002=FACE_OUTER_BOUND('',#51582,.T.); #31003=FACE_OUTER_BOUND('',#51583,.T.); #31004=FACE_OUTER_BOUND('',#51584,.T.); #31005=FACE_OUTER_BOUND('',#51585,.T.); #31006=FACE_OUTER_BOUND('',#51586,.T.); #31007=FACE_OUTER_BOUND('',#51587,.T.); #31008=FACE_OUTER_BOUND('',#51588,.T.); #31009=FACE_OUTER_BOUND('',#51589,.T.); #31010=FACE_OUTER_BOUND('',#51590,.T.); #31011=FACE_OUTER_BOUND('',#51591,.T.); #31012=FACE_OUTER_BOUND('',#51592,.T.); #31013=FACE_OUTER_BOUND('',#51593,.T.); #31014=FACE_OUTER_BOUND('',#51594,.T.); #31015=FACE_OUTER_BOUND('',#51595,.T.); #31016=FACE_OUTER_BOUND('',#51596,.T.); #31017=FACE_OUTER_BOUND('',#51597,.T.); #31018=FACE_OUTER_BOUND('',#51598,.T.); #31019=FACE_OUTER_BOUND('',#51599,.T.); #31020=FACE_OUTER_BOUND('',#51600,.T.); #31021=FACE_OUTER_BOUND('',#51601,.T.); #31022=FACE_OUTER_BOUND('',#51602,.T.); #31023=FACE_OUTER_BOUND('',#51603,.T.); #31024=FACE_OUTER_BOUND('',#51604,.T.); #31025=FACE_OUTER_BOUND('',#51605,.T.); #31026=FACE_OUTER_BOUND('',#51606,.T.); #31027=FACE_OUTER_BOUND('',#51607,.T.); #31028=FACE_OUTER_BOUND('',#51608,.T.); #31029=FACE_OUTER_BOUND('',#51609,.T.); #31030=FACE_OUTER_BOUND('',#51610,.T.); #31031=FACE_OUTER_BOUND('',#51611,.T.); #31032=FACE_OUTER_BOUND('',#51612,.T.); #31033=FACE_OUTER_BOUND('',#51613,.T.); #31034=FACE_OUTER_BOUND('',#51614,.T.); #31035=FACE_OUTER_BOUND('',#51615,.T.); #31036=FACE_OUTER_BOUND('',#51616,.T.); #31037=FACE_OUTER_BOUND('',#51617,.T.); #31038=FACE_OUTER_BOUND('',#51618,.T.); #31039=FACE_OUTER_BOUND('',#51619,.T.); #31040=FACE_OUTER_BOUND('',#51620,.T.); #31041=FACE_OUTER_BOUND('',#51621,.T.); #31042=FACE_OUTER_BOUND('',#51622,.T.); #31043=FACE_OUTER_BOUND('',#51623,.T.); #31044=FACE_OUTER_BOUND('',#51624,.T.); #31045=FACE_OUTER_BOUND('',#51625,.T.); #31046=FACE_OUTER_BOUND('',#51626,.T.); #31047=FACE_OUTER_BOUND('',#51627,.T.); #31048=FACE_OUTER_BOUND('',#51628,.T.); #31049=FACE_OUTER_BOUND('',#51629,.T.); #31050=FACE_OUTER_BOUND('',#51630,.T.); #31051=FACE_OUTER_BOUND('',#51631,.T.); #31052=FACE_OUTER_BOUND('',#51632,.T.); #31053=FACE_OUTER_BOUND('',#51633,.T.); #31054=FACE_OUTER_BOUND('',#51634,.T.); #31055=FACE_OUTER_BOUND('',#51635,.T.); #31056=FACE_OUTER_BOUND('',#51636,.T.); #31057=FACE_OUTER_BOUND('',#51637,.T.); #31058=FACE_OUTER_BOUND('',#51638,.T.); #31059=FACE_OUTER_BOUND('',#51639,.T.); #31060=FACE_OUTER_BOUND('',#51640,.T.); #31061=FACE_OUTER_BOUND('',#51641,.T.); #31062=FACE_OUTER_BOUND('',#51642,.T.); #31063=FACE_OUTER_BOUND('',#51643,.T.); #31064=FACE_OUTER_BOUND('',#51644,.T.); #31065=FACE_OUTER_BOUND('',#51646,.T.); #31066=FACE_OUTER_BOUND('',#51648,.T.); #31067=FACE_OUTER_BOUND('',#51649,.T.); #31068=FACE_OUTER_BOUND('',#51650,.T.); #31069=FACE_OUTER_BOUND('',#51651,.T.); #31070=FACE_OUTER_BOUND('',#51652,.T.); #31071=FACE_OUTER_BOUND('',#51653,.T.); #31072=FACE_OUTER_BOUND('',#51654,.T.); #31073=FACE_OUTER_BOUND('',#51655,.T.); #31074=FACE_OUTER_BOUND('',#51656,.T.); #31075=FACE_OUTER_BOUND('',#51657,.T.); #31076=FACE_OUTER_BOUND('',#51658,.T.); #31077=FACE_OUTER_BOUND('',#51659,.T.); #31078=FACE_OUTER_BOUND('',#51660,.T.); #31079=FACE_OUTER_BOUND('',#51661,.T.); #31080=FACE_OUTER_BOUND('',#51662,.T.); #31081=FACE_OUTER_BOUND('',#51663,.T.); #31082=FACE_OUTER_BOUND('',#51664,.T.); #31083=FACE_OUTER_BOUND('',#51665,.T.); #31084=FACE_OUTER_BOUND('',#51667,.T.); #31085=FACE_OUTER_BOUND('',#51669,.T.); #31086=FACE_OUTER_BOUND('',#51670,.T.); #31087=FACE_OUTER_BOUND('',#51671,.T.); #31088=FACE_OUTER_BOUND('',#51672,.T.); #31089=FACE_OUTER_BOUND('',#51673,.T.); #31090=FACE_OUTER_BOUND('',#51674,.T.); #31091=FACE_OUTER_BOUND('',#51675,.T.); #31092=FACE_OUTER_BOUND('',#51676,.T.); #31093=FACE_OUTER_BOUND('',#51677,.T.); #31094=FACE_OUTER_BOUND('',#51678,.T.); #31095=FACE_OUTER_BOUND('',#51679,.T.); #31096=FACE_OUTER_BOUND('',#51680,.T.); #31097=FACE_OUTER_BOUND('',#51681,.T.); #31098=FACE_OUTER_BOUND('',#51682,.T.); #31099=FACE_OUTER_BOUND('',#51683,.T.); #31100=FACE_OUTER_BOUND('',#51684,.T.); #31101=FACE_OUTER_BOUND('',#51685,.T.); #31102=FACE_OUTER_BOUND('',#51686,.T.); #31103=FACE_OUTER_BOUND('',#51687,.T.); #31104=FACE_OUTER_BOUND('',#51688,.T.); #31105=FACE_OUTER_BOUND('',#51689,.T.); #31106=FACE_OUTER_BOUND('',#51690,.T.); #31107=FACE_OUTER_BOUND('',#51691,.T.); #31108=FACE_OUTER_BOUND('',#51692,.T.); #31109=FACE_OUTER_BOUND('',#51693,.T.); #31110=FACE_OUTER_BOUND('',#51694,.T.); #31111=FACE_OUTER_BOUND('',#51695,.T.); #31112=FACE_OUTER_BOUND('',#51696,.T.); #31113=FACE_OUTER_BOUND('',#51697,.T.); #31114=FACE_OUTER_BOUND('',#51698,.T.); #31115=FACE_OUTER_BOUND('',#51699,.T.); #31116=FACE_OUTER_BOUND('',#51700,.T.); #31117=FACE_OUTER_BOUND('',#51701,.T.); #31118=FACE_OUTER_BOUND('',#51702,.T.); #31119=FACE_OUTER_BOUND('',#51703,.T.); #31120=FACE_OUTER_BOUND('',#51704,.T.); #31121=FACE_OUTER_BOUND('',#51705,.T.); #31122=FACE_OUTER_BOUND('',#51706,.T.); #31123=FACE_OUTER_BOUND('',#51707,.T.); #31124=FACE_OUTER_BOUND('',#51708,.T.); #31125=FACE_OUTER_BOUND('',#51709,.T.); #31126=FACE_OUTER_BOUND('',#51710,.T.); #31127=FACE_OUTER_BOUND('',#51711,.T.); #31128=FACE_OUTER_BOUND('',#51712,.T.); #31129=FACE_OUTER_BOUND('',#51713,.T.); #31130=FACE_OUTER_BOUND('',#51714,.T.); #31131=FACE_OUTER_BOUND('',#51715,.T.); #31132=FACE_OUTER_BOUND('',#51716,.T.); #31133=FACE_OUTER_BOUND('',#51717,.T.); #31134=FACE_OUTER_BOUND('',#51718,.T.); #31135=FACE_OUTER_BOUND('',#51719,.T.); #31136=FACE_OUTER_BOUND('',#51720,.T.); #31137=FACE_OUTER_BOUND('',#51721,.T.); #31138=FACE_OUTER_BOUND('',#51722,.T.); #31139=FACE_OUTER_BOUND('',#51723,.T.); #31140=FACE_OUTER_BOUND('',#51724,.T.); #31141=FACE_OUTER_BOUND('',#51725,.T.); #31142=FACE_OUTER_BOUND('',#51726,.T.); #31143=FACE_OUTER_BOUND('',#51727,.T.); #31144=FACE_OUTER_BOUND('',#51728,.T.); #31145=FACE_OUTER_BOUND('',#51729,.T.); #31146=FACE_OUTER_BOUND('',#51730,.T.); #31147=FACE_OUTER_BOUND('',#51731,.T.); #31148=FACE_OUTER_BOUND('',#51732,.T.); #31149=FACE_OUTER_BOUND('',#51733,.T.); #31150=FACE_OUTER_BOUND('',#51734,.T.); #31151=FACE_OUTER_BOUND('',#51735,.T.); #31152=FACE_OUTER_BOUND('',#51736,.T.); #31153=FACE_OUTER_BOUND('',#51737,.T.); #31154=FACE_OUTER_BOUND('',#51738,.T.); #31155=FACE_OUTER_BOUND('',#51739,.T.); #31156=FACE_OUTER_BOUND('',#51740,.T.); #31157=FACE_OUTER_BOUND('',#51741,.T.); #31158=FACE_OUTER_BOUND('',#51742,.T.); #31159=FACE_OUTER_BOUND('',#51743,.T.); #31160=FACE_OUTER_BOUND('',#51744,.T.); #31161=FACE_OUTER_BOUND('',#51745,.T.); #31162=FACE_OUTER_BOUND('',#51746,.T.); #31163=FACE_OUTER_BOUND('',#51747,.T.); #31164=FACE_OUTER_BOUND('',#51748,.T.); #31165=FACE_OUTER_BOUND('',#51749,.T.); #31166=FACE_OUTER_BOUND('',#51750,.T.); #31167=FACE_OUTER_BOUND('',#51751,.T.); #31168=FACE_OUTER_BOUND('',#51752,.T.); #31169=FACE_OUTER_BOUND('',#51753,.T.); #31170=FACE_OUTER_BOUND('',#51754,.T.); #31171=FACE_OUTER_BOUND('',#51756,.T.); #31172=FACE_OUTER_BOUND('',#51758,.T.); #31173=FACE_OUTER_BOUND('',#51759,.T.); #31174=FACE_OUTER_BOUND('',#51760,.T.); #31175=FACE_OUTER_BOUND('',#51761,.T.); #31176=FACE_OUTER_BOUND('',#51762,.T.); #31177=FACE_OUTER_BOUND('',#51763,.T.); #31178=FACE_OUTER_BOUND('',#51765,.T.); #31179=FACE_OUTER_BOUND('',#51767,.T.); #31180=FACE_OUTER_BOUND('',#51768,.T.); #31181=FACE_OUTER_BOUND('',#51769,.T.); #31182=FACE_OUTER_BOUND('',#51770,.T.); #31183=FACE_OUTER_BOUND('',#51771,.T.); #31184=FACE_OUTER_BOUND('',#51772,.T.); #31185=FACE_OUTER_BOUND('',#51773,.T.); #31186=FACE_OUTER_BOUND('',#51774,.T.); #31187=FACE_OUTER_BOUND('',#51775,.T.); #31188=FACE_OUTER_BOUND('',#51776,.T.); #31189=FACE_OUTER_BOUND('',#51777,.T.); #31190=FACE_OUTER_BOUND('',#51778,.T.); #31191=FACE_OUTER_BOUND('',#51779,.T.); #31192=FACE_OUTER_BOUND('',#51780,.T.); #31193=FACE_OUTER_BOUND('',#51781,.T.); #31194=FACE_OUTER_BOUND('',#51782,.T.); #31195=FACE_OUTER_BOUND('',#51783,.T.); #31196=FACE_OUTER_BOUND('',#51784,.T.); #31197=FACE_OUTER_BOUND('',#51785,.T.); #31198=FACE_OUTER_BOUND('',#51786,.T.); #31199=FACE_OUTER_BOUND('',#51787,.T.); #31200=FACE_OUTER_BOUND('',#51788,.T.); #31201=FACE_OUTER_BOUND('',#51789,.T.); #31202=FACE_OUTER_BOUND('',#51790,.T.); #31203=FACE_OUTER_BOUND('',#51791,.T.); #31204=FACE_OUTER_BOUND('',#51792,.T.); #31205=FACE_OUTER_BOUND('',#51793,.T.); #31206=FACE_OUTER_BOUND('',#51794,.T.); #31207=FACE_OUTER_BOUND('',#51795,.T.); #31208=FACE_OUTER_BOUND('',#51796,.T.); #31209=FACE_OUTER_BOUND('',#51797,.T.); #31210=FACE_OUTER_BOUND('',#51798,.T.); #31211=FACE_OUTER_BOUND('',#51799,.T.); #31212=FACE_OUTER_BOUND('',#51800,.T.); #31213=FACE_OUTER_BOUND('',#51801,.T.); #31214=FACE_OUTER_BOUND('',#51802,.T.); #31215=FACE_OUTER_BOUND('',#51804,.T.); #31216=FACE_OUTER_BOUND('',#51806,.T.); #31217=FACE_OUTER_BOUND('',#51807,.T.); #31218=FACE_OUTER_BOUND('',#51808,.T.); #31219=FACE_OUTER_BOUND('',#51809,.T.); #31220=FACE_OUTER_BOUND('',#51810,.T.); #31221=FACE_OUTER_BOUND('',#51811,.T.); #31222=FACE_OUTER_BOUND('',#51813,.T.); #31223=FACE_OUTER_BOUND('',#51815,.T.); #31224=FACE_OUTER_BOUND('',#51816,.T.); #31225=FACE_OUTER_BOUND('',#51817,.T.); #31226=FACE_OUTER_BOUND('',#51818,.T.); #31227=FACE_OUTER_BOUND('',#51819,.T.); #31228=FACE_OUTER_BOUND('',#51820,.T.); #31229=FACE_OUTER_BOUND('',#51821,.T.); #31230=FACE_OUTER_BOUND('',#51822,.T.); #31231=FACE_OUTER_BOUND('',#51823,.T.); #31232=FACE_OUTER_BOUND('',#51824,.T.); #31233=FACE_OUTER_BOUND('',#51825,.T.); #31234=FACE_OUTER_BOUND('',#51826,.T.); #31235=FACE_OUTER_BOUND('',#51827,.T.); #31236=FACE_OUTER_BOUND('',#51828,.T.); #31237=FACE_OUTER_BOUND('',#51829,.T.); #31238=FACE_OUTER_BOUND('',#51830,.T.); #31239=FACE_OUTER_BOUND('',#51831,.T.); #31240=FACE_OUTER_BOUND('',#51832,.T.); #31241=FACE_OUTER_BOUND('',#51833,.T.); #31242=FACE_OUTER_BOUND('',#51834,.T.); #31243=FACE_OUTER_BOUND('',#51835,.T.); #31244=FACE_OUTER_BOUND('',#51836,.T.); #31245=FACE_OUTER_BOUND('',#51837,.T.); #31246=FACE_OUTER_BOUND('',#51838,.T.); #31247=FACE_OUTER_BOUND('',#51839,.T.); #31248=FACE_OUTER_BOUND('',#51840,.T.); #31249=FACE_OUTER_BOUND('',#51841,.T.); #31250=FACE_OUTER_BOUND('',#51842,.T.); #31251=FACE_OUTER_BOUND('',#51843,.T.); #31252=FACE_OUTER_BOUND('',#51844,.T.); #31253=FACE_OUTER_BOUND('',#51845,.T.); #31254=FACE_OUTER_BOUND('',#51846,.T.); #31255=FACE_OUTER_BOUND('',#51847,.T.); #31256=FACE_OUTER_BOUND('',#51848,.T.); #31257=FACE_OUTER_BOUND('',#51849,.T.); #31258=FACE_OUTER_BOUND('',#51850,.T.); #31259=FACE_OUTER_BOUND('',#51851,.T.); #31260=FACE_OUTER_BOUND('',#51852,.T.); #31261=FACE_OUTER_BOUND('',#51853,.T.); #31262=FACE_OUTER_BOUND('',#51854,.T.); #31263=FACE_OUTER_BOUND('',#51855,.T.); #31264=FACE_OUTER_BOUND('',#51856,.T.); #31265=FACE_OUTER_BOUND('',#51857,.T.); #31266=FACE_OUTER_BOUND('',#51858,.T.); #31267=FACE_OUTER_BOUND('',#51859,.T.); #31268=FACE_OUTER_BOUND('',#51860,.T.); #31269=FACE_OUTER_BOUND('',#51861,.T.); #31270=FACE_OUTER_BOUND('',#51862,.T.); #31271=FACE_OUTER_BOUND('',#51863,.T.); #31272=FACE_OUTER_BOUND('',#51864,.T.); #31273=FACE_OUTER_BOUND('',#51865,.T.); #31274=FACE_OUTER_BOUND('',#51866,.T.); #31275=FACE_OUTER_BOUND('',#51867,.T.); #31276=FACE_OUTER_BOUND('',#51868,.T.); #31277=FACE_OUTER_BOUND('',#51869,.T.); #31278=FACE_OUTER_BOUND('',#51870,.T.); #31279=FACE_OUTER_BOUND('',#51871,.T.); #31280=FACE_OUTER_BOUND('',#51872,.T.); #31281=FACE_OUTER_BOUND('',#51873,.T.); #31282=FACE_OUTER_BOUND('',#51874,.T.); #31283=FACE_OUTER_BOUND('',#51875,.T.); #31284=FACE_OUTER_BOUND('',#51876,.T.); #31285=FACE_OUTER_BOUND('',#51877,.T.); #31286=FACE_OUTER_BOUND('',#51878,.T.); #31287=FACE_OUTER_BOUND('',#51879,.T.); #31288=FACE_OUTER_BOUND('',#51880,.T.); #31289=FACE_OUTER_BOUND('',#51881,.T.); #31290=FACE_OUTER_BOUND('',#51882,.T.); #31291=FACE_OUTER_BOUND('',#51883,.T.); #31292=FACE_OUTER_BOUND('',#51884,.T.); #31293=FACE_OUTER_BOUND('',#51885,.T.); #31294=FACE_OUTER_BOUND('',#51886,.T.); #31295=FACE_OUTER_BOUND('',#51887,.T.); #31296=FACE_OUTER_BOUND('',#51888,.T.); #31297=FACE_OUTER_BOUND('',#51889,.T.); #31298=FACE_OUTER_BOUND('',#51890,.T.); #31299=FACE_OUTER_BOUND('',#51891,.T.); #31300=FACE_OUTER_BOUND('',#51898,.T.); #31301=FACE_OUTER_BOUND('',#51905,.T.); #31302=FACE_OUTER_BOUND('',#51906,.T.); #31303=FACE_OUTER_BOUND('',#51907,.T.); #31304=FACE_OUTER_BOUND('',#51908,.T.); #31305=FACE_OUTER_BOUND('',#51909,.T.); #31306=FACE_OUTER_BOUND('',#51910,.T.); #31307=FACE_OUTER_BOUND('',#51911,.T.); #31308=FACE_OUTER_BOUND('',#51912,.T.); #31309=FACE_OUTER_BOUND('',#51913,.T.); #31310=FACE_OUTER_BOUND('',#51914,.T.); #31311=FACE_OUTER_BOUND('',#51915,.T.); #31312=FACE_OUTER_BOUND('',#51916,.T.); #31313=FACE_OUTER_BOUND('',#51917,.T.); #31314=FACE_OUTER_BOUND('',#51918,.T.); #31315=FACE_OUTER_BOUND('',#51919,.T.); #31316=FACE_OUTER_BOUND('',#51920,.T.); #31317=FACE_OUTER_BOUND('',#51921,.T.); #31318=FACE_OUTER_BOUND('',#51922,.T.); #31319=FACE_OUTER_BOUND('',#51923,.T.); #31320=FACE_OUTER_BOUND('',#51924,.T.); #31321=FACE_OUTER_BOUND('',#51925,.T.); #31322=FACE_OUTER_BOUND('',#51926,.T.); #31323=FACE_OUTER_BOUND('',#51927,.T.); #31324=FACE_OUTER_BOUND('',#51928,.T.); #31325=FACE_OUTER_BOUND('',#51929,.T.); #31326=FACE_OUTER_BOUND('',#51930,.T.); #31327=FACE_OUTER_BOUND('',#51931,.T.); #31328=FACE_OUTER_BOUND('',#51932,.T.); #31329=FACE_OUTER_BOUND('',#51933,.T.); #31330=FACE_OUTER_BOUND('',#51934,.T.); #31331=FACE_OUTER_BOUND('',#51935,.T.); #31332=FACE_OUTER_BOUND('',#51938,.T.); #31333=FACE_OUTER_BOUND('',#51939,.T.); #31334=FACE_OUTER_BOUND('',#51940,.T.); #31335=FACE_OUTER_BOUND('',#51943,.T.); #31336=FACE_OUTER_BOUND('',#51944,.T.); #31337=FACE_OUTER_BOUND('',#51945,.T.); #31338=FACE_OUTER_BOUND('',#51946,.T.); #31339=FACE_OUTER_BOUND('',#51947,.T.); #31340=FACE_OUTER_BOUND('',#51948,.T.); #31341=FACE_OUTER_BOUND('',#51949,.T.); #31342=FACE_OUTER_BOUND('',#51950,.T.); #31343=FACE_OUTER_BOUND('',#51951,.T.); #31344=FACE_OUTER_BOUND('',#51952,.T.); #31345=FACE_OUTER_BOUND('',#51953,.T.); #31346=FACE_OUTER_BOUND('',#51954,.T.); #31347=FACE_OUTER_BOUND('',#51955,.T.); #31348=FACE_OUTER_BOUND('',#51956,.T.); #31349=FACE_OUTER_BOUND('',#51957,.T.); #31350=FACE_OUTER_BOUND('',#51958,.T.); #31351=FACE_OUTER_BOUND('',#51959,.T.); #31352=FACE_OUTER_BOUND('',#51960,.T.); #31353=FACE_OUTER_BOUND('',#51961,.T.); #31354=FACE_OUTER_BOUND('',#51962,.T.); #31355=FACE_OUTER_BOUND('',#51963,.T.); #31356=FACE_OUTER_BOUND('',#51964,.T.); #31357=FACE_OUTER_BOUND('',#51965,.T.); #31358=FACE_OUTER_BOUND('',#51966,.T.); #31359=FACE_OUTER_BOUND('',#51967,.T.); #31360=FACE_OUTER_BOUND('',#51968,.T.); #31361=FACE_OUTER_BOUND('',#51969,.T.); #31362=FACE_OUTER_BOUND('',#51970,.T.); #31363=FACE_OUTER_BOUND('',#51971,.T.); #31364=FACE_OUTER_BOUND('',#51972,.T.); #31365=FACE_OUTER_BOUND('',#51973,.T.); #31366=FACE_OUTER_BOUND('',#51974,.T.); #31367=FACE_OUTER_BOUND('',#51975,.T.); #31368=FACE_OUTER_BOUND('',#51976,.T.); #31369=FACE_OUTER_BOUND('',#51977,.T.); #31370=FACE_OUTER_BOUND('',#51978,.T.); #31371=FACE_OUTER_BOUND('',#51979,.T.); #31372=FACE_OUTER_BOUND('',#51980,.T.); #31373=FACE_OUTER_BOUND('',#51981,.T.); #31374=FACE_OUTER_BOUND('',#51982,.T.); #31375=FACE_OUTER_BOUND('',#51983,.T.); #31376=FACE_OUTER_BOUND('',#51984,.T.); #31377=FACE_OUTER_BOUND('',#51985,.T.); #31378=FACE_OUTER_BOUND('',#51986,.T.); #31379=FACE_OUTER_BOUND('',#51987,.T.); #31380=FACE_OUTER_BOUND('',#51988,.T.); #31381=FACE_OUTER_BOUND('',#51989,.T.); #31382=FACE_OUTER_BOUND('',#51990,.T.); #31383=FACE_OUTER_BOUND('',#51991,.T.); #31384=FACE_OUTER_BOUND('',#51992,.T.); #31385=FACE_OUTER_BOUND('',#51993,.T.); #31386=FACE_OUTER_BOUND('',#51994,.T.); #31387=FACE_OUTER_BOUND('',#51995,.T.); #31388=FACE_OUTER_BOUND('',#51996,.T.); #31389=FACE_OUTER_BOUND('',#51997,.T.); #31390=FACE_OUTER_BOUND('',#51998,.T.); #31391=FACE_OUTER_BOUND('',#51999,.T.); #31392=FACE_OUTER_BOUND('',#52000,.T.); #31393=FACE_OUTER_BOUND('',#52001,.T.); #31394=FACE_OUTER_BOUND('',#52002,.T.); #31395=FACE_OUTER_BOUND('',#52003,.T.); #31396=FACE_OUTER_BOUND('',#52004,.T.); #31397=FACE_OUTER_BOUND('',#52005,.T.); #31398=FACE_OUTER_BOUND('',#52006,.T.); #31399=FACE_OUTER_BOUND('',#52007,.T.); #31400=FACE_OUTER_BOUND('',#52008,.T.); #31401=FACE_OUTER_BOUND('',#52009,.T.); #31402=FACE_OUTER_BOUND('',#52010,.T.); #31403=FACE_OUTER_BOUND('',#52011,.T.); #31404=FACE_OUTER_BOUND('',#52012,.T.); #31405=FACE_OUTER_BOUND('',#52013,.T.); #31406=FACE_OUTER_BOUND('',#52014,.T.); #31407=FACE_OUTER_BOUND('',#52015,.T.); #31408=FACE_OUTER_BOUND('',#52016,.T.); #31409=FACE_OUTER_BOUND('',#52017,.T.); #31410=FACE_OUTER_BOUND('',#52018,.T.); #31411=FACE_OUTER_BOUND('',#52019,.T.); #31412=FACE_OUTER_BOUND('',#52020,.T.); #31413=FACE_OUTER_BOUND('',#52021,.T.); #31414=FACE_OUTER_BOUND('',#52022,.T.); #31415=FACE_OUTER_BOUND('',#52023,.T.); #31416=FACE_OUTER_BOUND('',#52024,.T.); #31417=FACE_OUTER_BOUND('',#52025,.T.); #31418=FACE_OUTER_BOUND('',#52026,.T.); #31419=FACE_OUTER_BOUND('',#52027,.T.); #31420=FACE_OUTER_BOUND('',#52028,.T.); #31421=FACE_OUTER_BOUND('',#52029,.T.); #31422=FACE_OUTER_BOUND('',#52030,.T.); #31423=FACE_OUTER_BOUND('',#52031,.T.); #31424=FACE_OUTER_BOUND('',#52032,.T.); #31425=FACE_OUTER_BOUND('',#52033,.T.); #31426=FACE_OUTER_BOUND('',#52034,.T.); #31427=FACE_OUTER_BOUND('',#52035,.T.); #31428=FACE_OUTER_BOUND('',#52036,.T.); #31429=FACE_OUTER_BOUND('',#52037,.T.); #31430=FACE_OUTER_BOUND('',#52038,.T.); #31431=FACE_OUTER_BOUND('',#52039,.T.); #31432=FACE_OUTER_BOUND('',#52040,.T.); #31433=FACE_OUTER_BOUND('',#52041,.T.); #31434=FACE_OUTER_BOUND('',#52042,.T.); #31435=FACE_OUTER_BOUND('',#52043,.T.); #31436=FACE_OUTER_BOUND('',#52044,.T.); #31437=FACE_OUTER_BOUND('',#52045,.T.); #31438=FACE_OUTER_BOUND('',#52046,.T.); #31439=FACE_OUTER_BOUND('',#52047,.T.); #31440=FACE_OUTER_BOUND('',#52048,.T.); #31441=FACE_OUTER_BOUND('',#52049,.T.); #31442=FACE_OUTER_BOUND('',#52050,.T.); #31443=FACE_OUTER_BOUND('',#52051,.T.); #31444=FACE_OUTER_BOUND('',#52052,.T.); #31445=FACE_OUTER_BOUND('',#52053,.T.); #31446=FACE_OUTER_BOUND('',#52054,.T.); #31447=FACE_OUTER_BOUND('',#52055,.T.); #31448=FACE_OUTER_BOUND('',#52056,.T.); #31449=FACE_OUTER_BOUND('',#52057,.T.); #31450=FACE_OUTER_BOUND('',#52058,.T.); #31451=FACE_OUTER_BOUND('',#52059,.T.); #31452=FACE_OUTER_BOUND('',#52060,.T.); #31453=FACE_OUTER_BOUND('',#52061,.T.); #31454=FACE_OUTER_BOUND('',#52062,.T.); #31455=FACE_OUTER_BOUND('',#52063,.T.); #31456=FACE_OUTER_BOUND('',#52064,.T.); #31457=FACE_OUTER_BOUND('',#52065,.T.); #31458=FACE_OUTER_BOUND('',#52066,.T.); #31459=FACE_OUTER_BOUND('',#52067,.T.); #31460=FACE_OUTER_BOUND('',#52068,.T.); #31461=FACE_OUTER_BOUND('',#52069,.T.); #31462=FACE_OUTER_BOUND('',#52070,.T.); #31463=FACE_OUTER_BOUND('',#52071,.T.); #31464=FACE_OUTER_BOUND('',#52072,.T.); #31465=FACE_OUTER_BOUND('',#52073,.T.); #31466=FACE_OUTER_BOUND('',#52075,.T.); #31467=FACE_OUTER_BOUND('',#52077,.T.); #31468=FACE_OUTER_BOUND('',#52078,.T.); #31469=FACE_OUTER_BOUND('',#52079,.T.); #31470=FACE_OUTER_BOUND('',#52080,.T.); #31471=FACE_OUTER_BOUND('',#52081,.T.); #31472=FACE_OUTER_BOUND('',#52082,.T.); #31473=FACE_OUTER_BOUND('',#52083,.T.); #31474=FACE_OUTER_BOUND('',#52084,.T.); #31475=FACE_OUTER_BOUND('',#52085,.T.); #31476=FACE_OUTER_BOUND('',#52086,.T.); #31477=FACE_OUTER_BOUND('',#52087,.T.); #31478=FACE_OUTER_BOUND('',#52088,.T.); #31479=FACE_OUTER_BOUND('',#52089,.T.); #31480=FACE_OUTER_BOUND('',#52090,.T.); #31481=FACE_OUTER_BOUND('',#52091,.T.); #31482=FACE_OUTER_BOUND('',#52092,.T.); #31483=FACE_OUTER_BOUND('',#52093,.T.); #31484=FACE_OUTER_BOUND('',#52094,.T.); #31485=FACE_OUTER_BOUND('',#52095,.T.); #31486=FACE_OUTER_BOUND('',#52098,.T.); #31487=FACE_OUTER_BOUND('',#52101,.T.); #31488=FACE_OUTER_BOUND('',#52102,.T.); #31489=FACE_OUTER_BOUND('',#52103,.T.); #31490=FACE_OUTER_BOUND('',#52104,.T.); #31491=FACE_OUTER_BOUND('',#52105,.T.); #31492=FACE_OUTER_BOUND('',#52106,.T.); #31493=FACE_OUTER_BOUND('',#52107,.T.); #31494=FACE_OUTER_BOUND('',#52108,.T.); #31495=FACE_OUTER_BOUND('',#52109,.T.); #31496=FACE_OUTER_BOUND('',#52110,.T.); #31497=FACE_OUTER_BOUND('',#52111,.T.); #31498=FACE_OUTER_BOUND('',#52112,.T.); #31499=FACE_OUTER_BOUND('',#52113,.T.); #31500=FACE_OUTER_BOUND('',#52116,.T.); #31501=FACE_OUTER_BOUND('',#52119,.T.); #31502=FACE_OUTER_BOUND('',#52120,.T.); #31503=FACE_OUTER_BOUND('',#52121,.T.); #31504=FACE_OUTER_BOUND('',#52122,.T.); #31505=FACE_OUTER_BOUND('',#52123,.T.); #31506=FACE_OUTER_BOUND('',#52124,.T.); #31507=FACE_OUTER_BOUND('',#52125,.T.); #31508=FACE_OUTER_BOUND('',#52126,.T.); #31509=FACE_OUTER_BOUND('',#52127,.T.); #31510=FACE_OUTER_BOUND('',#52128,.T.); #31511=FACE_OUTER_BOUND('',#52129,.T.); #31512=FACE_OUTER_BOUND('',#52130,.T.); #31513=FACE_OUTER_BOUND('',#52131,.T.); #31514=FACE_OUTER_BOUND('',#52134,.T.); #31515=FACE_OUTER_BOUND('',#52137,.T.); #31516=FACE_OUTER_BOUND('',#52138,.T.); #31517=FACE_OUTER_BOUND('',#52139,.T.); #31518=FACE_OUTER_BOUND('',#52140,.T.); #31519=FACE_OUTER_BOUND('',#52141,.T.); #31520=FACE_OUTER_BOUND('',#52142,.T.); #31521=FACE_OUTER_BOUND('',#52143,.T.); #31522=FACE_OUTER_BOUND('',#52144,.T.); #31523=FACE_OUTER_BOUND('',#52145,.T.); #31524=FACE_OUTER_BOUND('',#52146,.T.); #31525=FACE_OUTER_BOUND('',#52147,.T.); #31526=FACE_OUTER_BOUND('',#52148,.T.); #31527=FACE_OUTER_BOUND('',#52149,.T.); #31528=FACE_OUTER_BOUND('',#52152,.T.); #31529=FACE_OUTER_BOUND('',#52155,.T.); #31530=FACE_OUTER_BOUND('',#52156,.T.); #31531=FACE_OUTER_BOUND('',#52157,.T.); #31532=FACE_OUTER_BOUND('',#52158,.T.); #31533=FACE_OUTER_BOUND('',#52159,.T.); #31534=FACE_OUTER_BOUND('',#52160,.T.); #31535=FACE_OUTER_BOUND('',#52161,.T.); #31536=FACE_OUTER_BOUND('',#52162,.T.); #31537=FACE_OUTER_BOUND('',#52163,.T.); #31538=FACE_OUTER_BOUND('',#52164,.T.); #31539=FACE_OUTER_BOUND('',#52165,.T.); #31540=FACE_OUTER_BOUND('',#52166,.T.); #31541=FACE_OUTER_BOUND('',#52167,.T.); #31542=FACE_OUTER_BOUND('',#52168,.T.); #31543=FACE_OUTER_BOUND('',#52169,.T.); #31544=FACE_OUTER_BOUND('',#52170,.T.); #31545=FACE_OUTER_BOUND('',#52171,.T.); #31546=FACE_OUTER_BOUND('',#52172,.T.); #31547=FACE_OUTER_BOUND('',#52173,.T.); #31548=FACE_OUTER_BOUND('',#52174,.T.); #31549=FACE_OUTER_BOUND('',#52175,.T.); #31550=FACE_OUTER_BOUND('',#52176,.T.); #31551=FACE_OUTER_BOUND('',#52177,.T.); #31552=FACE_OUTER_BOUND('',#52178,.T.); #31553=FACE_OUTER_BOUND('',#52179,.T.); #31554=FACE_OUTER_BOUND('',#52180,.T.); #31555=FACE_OUTER_BOUND('',#52181,.T.); #31556=FACE_OUTER_BOUND('',#52182,.T.); #31557=FACE_OUTER_BOUND('',#52183,.T.); #31558=FACE_OUTER_BOUND('',#52184,.T.); #31559=FACE_OUTER_BOUND('',#52185,.T.); #31560=FACE_OUTER_BOUND('',#52186,.T.); #31561=FACE_OUTER_BOUND('',#52187,.T.); #31562=FACE_OUTER_BOUND('',#52188,.T.); #31563=FACE_OUTER_BOUND('',#52189,.T.); #31564=FACE_OUTER_BOUND('',#52190,.T.); #31565=FACE_OUTER_BOUND('',#52191,.T.); #31566=FACE_OUTER_BOUND('',#52192,.T.); #31567=FACE_OUTER_BOUND('',#52193,.T.); #31568=FACE_OUTER_BOUND('',#52194,.T.); #31569=FACE_OUTER_BOUND('',#52195,.T.); #31570=FACE_OUTER_BOUND('',#52196,.T.); #31571=FACE_OUTER_BOUND('',#52197,.T.); #31572=FACE_OUTER_BOUND('',#52198,.T.); #31573=FACE_OUTER_BOUND('',#52199,.T.); #31574=FACE_OUTER_BOUND('',#52200,.T.); #31575=FACE_OUTER_BOUND('',#52201,.T.); #31576=FACE_OUTER_BOUND('',#52202,.T.); #31577=FACE_OUTER_BOUND('',#52203,.T.); #31578=FACE_OUTER_BOUND('',#52204,.T.); #31579=FACE_OUTER_BOUND('',#52205,.T.); #31580=FACE_OUTER_BOUND('',#52206,.T.); #31581=FACE_OUTER_BOUND('',#52207,.T.); #31582=FACE_OUTER_BOUND('',#52208,.T.); #31583=FACE_OUTER_BOUND('',#52209,.T.); #31584=FACE_OUTER_BOUND('',#52210,.T.); #31585=FACE_OUTER_BOUND('',#52211,.T.); #31586=FACE_OUTER_BOUND('',#52212,.T.); #31587=FACE_OUTER_BOUND('',#52213,.T.); #31588=FACE_OUTER_BOUND('',#52214,.T.); #31589=FACE_OUTER_BOUND('',#52215,.T.); #31590=FACE_OUTER_BOUND('',#52216,.T.); #31591=FACE_OUTER_BOUND('',#52217,.T.); #31592=FACE_OUTER_BOUND('',#52218,.T.); #31593=FACE_OUTER_BOUND('',#52219,.T.); #31594=FACE_OUTER_BOUND('',#52220,.T.); #31595=FACE_OUTER_BOUND('',#52221,.T.); #31596=FACE_OUTER_BOUND('',#52222,.T.); #31597=FACE_OUTER_BOUND('',#52223,.T.); #31598=FACE_OUTER_BOUND('',#52224,.T.); #31599=FACE_OUTER_BOUND('',#52225,.T.); #31600=FACE_OUTER_BOUND('',#52226,.T.); #31601=FACE_OUTER_BOUND('',#52227,.T.); #31602=FACE_OUTER_BOUND('',#52228,.T.); #31603=FACE_OUTER_BOUND('',#52229,.T.); #31604=FACE_OUTER_BOUND('',#52230,.T.); #31605=FACE_OUTER_BOUND('',#52231,.T.); #31606=FACE_OUTER_BOUND('',#52234,.T.); #31607=FACE_OUTER_BOUND('',#52237,.T.); #31608=FACE_OUTER_BOUND('',#52238,.T.); #31609=FACE_OUTER_BOUND('',#52239,.T.); #31610=FACE_OUTER_BOUND('',#52240,.T.); #31611=FACE_OUTER_BOUND('',#52241,.T.); #31612=FACE_OUTER_BOUND('',#52242,.T.); #31613=FACE_OUTER_BOUND('',#52243,.T.); #31614=FACE_OUTER_BOUND('',#52244,.T.); #31615=FACE_OUTER_BOUND('',#52245,.T.); #31616=FACE_OUTER_BOUND('',#52246,.T.); #31617=FACE_OUTER_BOUND('',#52247,.T.); #31618=FACE_OUTER_BOUND('',#52248,.T.); #31619=FACE_OUTER_BOUND('',#52250,.T.); #31620=FACE_OUTER_BOUND('',#52252,.T.); #31621=FACE_OUTER_BOUND('',#52253,.T.); #31622=FACE_OUTER_BOUND('',#52254,.T.); #31623=FACE_OUTER_BOUND('',#52255,.T.); #31624=FACE_OUTER_BOUND('',#52256,.T.); #31625=FACE_OUTER_BOUND('',#52257,.T.); #31626=FACE_OUTER_BOUND('',#52258,.T.); #31627=FACE_OUTER_BOUND('',#52259,.T.); #31628=FACE_OUTER_BOUND('',#52260,.T.); #31629=FACE_OUTER_BOUND('',#52261,.T.); #31630=FACE_OUTER_BOUND('',#52262,.T.); #31631=FACE_OUTER_BOUND('',#52263,.T.); #31632=FACE_OUTER_BOUND('',#52264,.T.); #31633=FACE_OUTER_BOUND('',#52265,.T.); #31634=FACE_OUTER_BOUND('',#52266,.T.); #31635=FACE_OUTER_BOUND('',#52268,.T.); #31636=FACE_OUTER_BOUND('',#52270,.T.); #31637=FACE_OUTER_BOUND('',#52271,.T.); #31638=FACE_OUTER_BOUND('',#52272,.T.); #31639=FACE_OUTER_BOUND('',#52273,.T.); #31640=FACE_OUTER_BOUND('',#52274,.T.); #31641=FACE_OUTER_BOUND('',#52275,.T.); #31642=FACE_OUTER_BOUND('',#52276,.T.); #31643=FACE_OUTER_BOUND('',#52277,.T.); #31644=FACE_OUTER_BOUND('',#52278,.T.); #31645=FACE_OUTER_BOUND('',#52279,.T.); #31646=FACE_OUTER_BOUND('',#52280,.T.); #31647=FACE_OUTER_BOUND('',#52281,.T.); #31648=FACE_OUTER_BOUND('',#52282,.T.); #31649=FACE_OUTER_BOUND('',#52283,.T.); #31650=FACE_OUTER_BOUND('',#52284,.T.); #31651=FACE_OUTER_BOUND('',#52285,.T.); #31652=FACE_OUTER_BOUND('',#52286,.T.); #31653=FACE_OUTER_BOUND('',#52287,.T.); #31654=FACE_OUTER_BOUND('',#52288,.T.); #31655=FACE_OUTER_BOUND('',#52289,.T.); #31656=FACE_OUTER_BOUND('',#52290,.T.); #31657=FACE_OUTER_BOUND('',#52291,.T.); #31658=FACE_OUTER_BOUND('',#52292,.T.); #31659=FACE_OUTER_BOUND('',#52293,.T.); #31660=FACE_OUTER_BOUND('',#52294,.T.); #31661=FACE_OUTER_BOUND('',#52295,.T.); #31662=FACE_OUTER_BOUND('',#52296,.T.); #31663=FACE_OUTER_BOUND('',#52297,.T.); #31664=FACE_OUTER_BOUND('',#52298,.T.); #31665=FACE_OUTER_BOUND('',#52299,.T.); #31666=FACE_OUTER_BOUND('',#52300,.T.); #31667=FACE_OUTER_BOUND('',#52301,.T.); #31668=FACE_OUTER_BOUND('',#52302,.T.); #31669=FACE_OUTER_BOUND('',#52303,.T.); #31670=FACE_OUTER_BOUND('',#52304,.T.); #31671=FACE_OUTER_BOUND('',#52305,.T.); #31672=FACE_OUTER_BOUND('',#52306,.T.); #31673=FACE_OUTER_BOUND('',#52307,.T.); #31674=FACE_OUTER_BOUND('',#52308,.T.); #31675=FACE_OUTER_BOUND('',#52309,.T.); #31676=FACE_OUTER_BOUND('',#52310,.T.); #31677=FACE_OUTER_BOUND('',#52311,.T.); #31678=FACE_OUTER_BOUND('',#52312,.T.); #31679=FACE_OUTER_BOUND('',#52313,.T.); #31680=FACE_OUTER_BOUND('',#52314,.T.); #31681=FACE_OUTER_BOUND('',#52315,.T.); #31682=FACE_OUTER_BOUND('',#52316,.T.); #31683=FACE_OUTER_BOUND('',#52319,.T.); #31684=FACE_OUTER_BOUND('',#52322,.T.); #31685=FACE_OUTER_BOUND('',#52323,.T.); #31686=FACE_OUTER_BOUND('',#52324,.T.); #31687=FACE_OUTER_BOUND('',#52325,.T.); #31688=FACE_OUTER_BOUND('',#52326,.T.); #31689=FACE_OUTER_BOUND('',#52327,.T.); #31690=FACE_OUTER_BOUND('',#52328,.T.); #31691=FACE_OUTER_BOUND('',#52329,.T.); #31692=FACE_OUTER_BOUND('',#52330,.T.); #31693=FACE_OUTER_BOUND('',#52331,.T.); #31694=FACE_OUTER_BOUND('',#52332,.T.); #31695=FACE_OUTER_BOUND('',#52333,.T.); #31696=FACE_OUTER_BOUND('',#52334,.T.); #31697=FACE_OUTER_BOUND('',#52335,.T.); #31698=FACE_OUTER_BOUND('',#52338,.T.); #31699=FACE_OUTER_BOUND('',#52341,.T.); #31700=FACE_OUTER_BOUND('',#52342,.T.); #31701=FACE_OUTER_BOUND('',#52343,.T.); #31702=FACE_OUTER_BOUND('',#52344,.T.); #31703=FACE_OUTER_BOUND('',#52345,.T.); #31704=FACE_OUTER_BOUND('',#52346,.T.); #31705=FACE_OUTER_BOUND('',#52347,.T.); #31706=FACE_OUTER_BOUND('',#52348,.T.); #31707=FACE_OUTER_BOUND('',#52349,.T.); #31708=FACE_OUTER_BOUND('',#52350,.T.); #31709=FACE_OUTER_BOUND('',#52351,.T.); #31710=FACE_OUTER_BOUND('',#52352,.T.); #31711=FACE_OUTER_BOUND('',#52353,.T.); #31712=FACE_OUTER_BOUND('',#52354,.T.); #31713=FACE_OUTER_BOUND('',#52355,.T.); #31714=FACE_OUTER_BOUND('',#52356,.T.); #31715=FACE_OUTER_BOUND('',#52357,.T.); #31716=FACE_OUTER_BOUND('',#52358,.T.); #31717=FACE_OUTER_BOUND('',#52359,.T.); #31718=FACE_OUTER_BOUND('',#52360,.T.); #31719=FACE_OUTER_BOUND('',#52361,.T.); #31720=FACE_OUTER_BOUND('',#52362,.T.); #31721=FACE_OUTER_BOUND('',#52363,.T.); #31722=FACE_OUTER_BOUND('',#52364,.T.); #31723=FACE_OUTER_BOUND('',#52365,.T.); #31724=FACE_OUTER_BOUND('',#52366,.T.); #31725=FACE_OUTER_BOUND('',#52368,.T.); #31726=FACE_OUTER_BOUND('',#52370,.T.); #31727=FACE_OUTER_BOUND('',#52371,.T.); #31728=FACE_OUTER_BOUND('',#52372,.T.); #31729=FACE_OUTER_BOUND('',#52373,.T.); #31730=FACE_OUTER_BOUND('',#52374,.T.); #31731=FACE_OUTER_BOUND('',#52375,.T.); #31732=FACE_OUTER_BOUND('',#52376,.T.); #31733=FACE_OUTER_BOUND('',#52377,.T.); #31734=FACE_OUTER_BOUND('',#52378,.T.); #31735=FACE_OUTER_BOUND('',#52379,.T.); #31736=FACE_OUTER_BOUND('',#52380,.T.); #31737=FACE_OUTER_BOUND('',#52381,.T.); #31738=FACE_OUTER_BOUND('',#52382,.T.); #31739=FACE_OUTER_BOUND('',#52383,.T.); #31740=FACE_OUTER_BOUND('',#52384,.T.); #31741=FACE_OUTER_BOUND('',#52385,.T.); #31742=FACE_OUTER_BOUND('',#52386,.T.); #31743=FACE_OUTER_BOUND('',#52387,.T.); #31744=FACE_OUTER_BOUND('',#52388,.T.); #31745=FACE_OUTER_BOUND('',#52389,.T.); #31746=FACE_OUTER_BOUND('',#52390,.T.); #31747=FACE_OUTER_BOUND('',#52391,.T.); #31748=FACE_OUTER_BOUND('',#52392,.T.); #31749=FACE_OUTER_BOUND('',#52393,.T.); #31750=FACE_OUTER_BOUND('',#52394,.T.); #31751=FACE_OUTER_BOUND('',#52395,.T.); #31752=FACE_OUTER_BOUND('',#52396,.T.); #31753=FACE_OUTER_BOUND('',#52397,.T.); #31754=FACE_OUTER_BOUND('',#52399,.T.); #31755=FACE_OUTER_BOUND('',#52401,.T.); #31756=FACE_OUTER_BOUND('',#52402,.T.); #31757=FACE_OUTER_BOUND('',#52403,.T.); #31758=FACE_OUTER_BOUND('',#52404,.T.); #31759=FACE_OUTER_BOUND('',#52405,.T.); #31760=FACE_OUTER_BOUND('',#52406,.T.); #31761=FACE_OUTER_BOUND('',#52407,.T.); #31762=FACE_OUTER_BOUND('',#52408,.T.); #31763=FACE_OUTER_BOUND('',#52409,.T.); #31764=FACE_OUTER_BOUND('',#52410,.T.); #31765=FACE_OUTER_BOUND('',#52411,.T.); #31766=FACE_OUTER_BOUND('',#52412,.T.); #31767=FACE_OUTER_BOUND('',#52413,.T.); #31768=FACE_OUTER_BOUND('',#52414,.T.); #31769=FACE_OUTER_BOUND('',#52415,.T.); #31770=FACE_OUTER_BOUND('',#52416,.T.); #31771=FACE_OUTER_BOUND('',#52417,.T.); #31772=FACE_OUTER_BOUND('',#52418,.T.); #31773=FACE_OUTER_BOUND('',#52419,.T.); #31774=FACE_OUTER_BOUND('',#52420,.T.); #31775=FACE_OUTER_BOUND('',#52421,.T.); #31776=FACE_OUTER_BOUND('',#52422,.T.); #31777=FACE_OUTER_BOUND('',#52423,.T.); #31778=FACE_OUTER_BOUND('',#52424,.T.); #31779=FACE_OUTER_BOUND('',#52425,.T.); #31780=FACE_OUTER_BOUND('',#52426,.T.); #31781=FACE_OUTER_BOUND('',#52427,.T.); #31782=FACE_OUTER_BOUND('',#52428,.T.); #31783=FACE_OUTER_BOUND('',#52429,.T.); #31784=FACE_OUTER_BOUND('',#52430,.T.); #31785=FACE_OUTER_BOUND('',#52431,.T.); #31786=FACE_OUTER_BOUND('',#52432,.T.); #31787=FACE_OUTER_BOUND('',#52433,.T.); #31788=FACE_OUTER_BOUND('',#52434,.T.); #31789=FACE_OUTER_BOUND('',#52435,.T.); #31790=FACE_OUTER_BOUND('',#52436,.T.); #31791=FACE_OUTER_BOUND('',#52437,.T.); #31792=FACE_OUTER_BOUND('',#52438,.T.); #31793=FACE_OUTER_BOUND('',#52439,.T.); #31794=FACE_OUTER_BOUND('',#52440,.T.); #31795=FACE_OUTER_BOUND('',#52441,.T.); #31796=FACE_OUTER_BOUND('',#52442,.T.); #31797=FACE_OUTER_BOUND('',#52443,.T.); #31798=FACE_OUTER_BOUND('',#52444,.T.); #31799=FACE_OUTER_BOUND('',#52445,.T.); #31800=FACE_OUTER_BOUND('',#52446,.T.); #31801=FACE_OUTER_BOUND('',#52447,.T.); #31802=FACE_OUTER_BOUND('',#52448,.T.); #31803=FACE_OUTER_BOUND('',#52449,.T.); #31804=FACE_OUTER_BOUND('',#52450,.T.); #31805=FACE_OUTER_BOUND('',#52451,.T.); #31806=FACE_OUTER_BOUND('',#52452,.T.); #31807=FACE_OUTER_BOUND('',#52453,.T.); #31808=FACE_OUTER_BOUND('',#52454,.T.); #31809=FACE_OUTER_BOUND('',#52455,.T.); #31810=FACE_OUTER_BOUND('',#52456,.T.); #31811=FACE_OUTER_BOUND('',#52457,.T.); #31812=FACE_OUTER_BOUND('',#52458,.T.); #31813=FACE_OUTER_BOUND('',#52459,.T.); #31814=FACE_OUTER_BOUND('',#52460,.T.); #31815=FACE_OUTER_BOUND('',#52461,.T.); #31816=FACE_OUTER_BOUND('',#52462,.T.); #31817=FACE_OUTER_BOUND('',#52463,.T.); #31818=FACE_OUTER_BOUND('',#52464,.T.); #31819=FACE_OUTER_BOUND('',#52467,.T.); #31820=FACE_OUTER_BOUND('',#52470,.T.); #31821=FACE_OUTER_BOUND('',#52471,.T.); #31822=FACE_OUTER_BOUND('',#52472,.T.); #31823=FACE_OUTER_BOUND('',#52473,.T.); #31824=FACE_OUTER_BOUND('',#52474,.T.); #31825=FACE_OUTER_BOUND('',#52475,.T.); #31826=FACE_OUTER_BOUND('',#52476,.T.); #31827=FACE_OUTER_BOUND('',#52477,.T.); #31828=FACE_OUTER_BOUND('',#52478,.T.); #31829=FACE_OUTER_BOUND('',#52479,.T.); #31830=FACE_OUTER_BOUND('',#52480,.T.); #31831=FACE_OUTER_BOUND('',#52483,.T.); #31832=FACE_OUTER_BOUND('',#52486,.T.); #31833=FACE_OUTER_BOUND('',#52487,.T.); #31834=FACE_OUTER_BOUND('',#52488,.T.); #31835=FACE_OUTER_BOUND('',#52489,.T.); #31836=FACE_OUTER_BOUND('',#52490,.T.); #31837=FACE_OUTER_BOUND('',#52491,.T.); #31838=FACE_OUTER_BOUND('',#52492,.T.); #31839=FACE_OUTER_BOUND('',#52493,.T.); #31840=FACE_OUTER_BOUND('',#52494,.T.); #31841=FACE_OUTER_BOUND('',#52495,.T.); #31842=FACE_OUTER_BOUND('',#52496,.T.); #31843=FACE_OUTER_BOUND('',#52499,.T.); #31844=FACE_OUTER_BOUND('',#52502,.T.); #31845=FACE_OUTER_BOUND('',#52503,.T.); #31846=FACE_OUTER_BOUND('',#52504,.T.); #31847=FACE_OUTER_BOUND('',#52505,.T.); #31848=FACE_OUTER_BOUND('',#52506,.T.); #31849=FACE_OUTER_BOUND('',#52507,.T.); #31850=FACE_OUTER_BOUND('',#52508,.T.); #31851=FACE_OUTER_BOUND('',#52509,.T.); #31852=FACE_OUTER_BOUND('',#52510,.T.); #31853=FACE_OUTER_BOUND('',#52511,.T.); #31854=FACE_OUTER_BOUND('',#52512,.T.); #31855=FACE_OUTER_BOUND('',#52515,.T.); #31856=FACE_OUTER_BOUND('',#52518,.T.); #31857=FACE_OUTER_BOUND('',#52519,.T.); #31858=FACE_OUTER_BOUND('',#52520,.T.); #31859=FACE_OUTER_BOUND('',#52521,.T.); #31860=FACE_OUTER_BOUND('',#52522,.T.); #31861=FACE_OUTER_BOUND('',#52523,.T.); #31862=FACE_OUTER_BOUND('',#52524,.T.); #31863=FACE_OUTER_BOUND('',#52525,.T.); #31864=FACE_OUTER_BOUND('',#52526,.T.); #31865=FACE_OUTER_BOUND('',#52527,.T.); #31866=FACE_OUTER_BOUND('',#52528,.T.); #31867=FACE_OUTER_BOUND('',#52529,.T.); #31868=FACE_OUTER_BOUND('',#52530,.T.); #31869=FACE_OUTER_BOUND('',#52531,.T.); #31870=FACE_OUTER_BOUND('',#52532,.T.); #31871=FACE_OUTER_BOUND('',#52533,.T.); #31872=FACE_OUTER_BOUND('',#52536,.T.); #31873=FACE_OUTER_BOUND('',#52539,.T.); #31874=FACE_OUTER_BOUND('',#52540,.T.); #31875=FACE_OUTER_BOUND('',#52541,.T.); #31876=FACE_OUTER_BOUND('',#52542,.T.); #31877=FACE_OUTER_BOUND('',#52543,.T.); #31878=FACE_OUTER_BOUND('',#52544,.T.); #31879=FACE_OUTER_BOUND('',#52546,.T.); #31880=FACE_OUTER_BOUND('',#52548,.T.); #31881=FACE_OUTER_BOUND('',#52549,.T.); #31882=FACE_OUTER_BOUND('',#52550,.T.); #31883=FACE_OUTER_BOUND('',#52551,.T.); #31884=FACE_OUTER_BOUND('',#52552,.T.); #31885=FACE_OUTER_BOUND('',#52553,.T.); #31886=FACE_OUTER_BOUND('',#52555,.T.); #31887=FACE_OUTER_BOUND('',#52557,.T.); #31888=FACE_OUTER_BOUND('',#52558,.T.); #31889=FACE_OUTER_BOUND('',#52559,.T.); #31890=FACE_OUTER_BOUND('',#52560,.T.); #31891=FACE_OUTER_BOUND('',#52561,.T.); #31892=FACE_OUTER_BOUND('',#52562,.T.); #31893=FACE_OUTER_BOUND('',#52564,.T.); #31894=FACE_OUTER_BOUND('',#52566,.T.); #31895=FACE_OUTER_BOUND('',#52567,.T.); #31896=FACE_OUTER_BOUND('',#52568,.T.); #31897=FACE_OUTER_BOUND('',#52569,.T.); #31898=FACE_OUTER_BOUND('',#52570,.T.); #31899=FACE_OUTER_BOUND('',#52571,.T.); #31900=FACE_OUTER_BOUND('',#52572,.T.); #31901=FACE_OUTER_BOUND('',#52573,.T.); #31902=FACE_OUTER_BOUND('',#52574,.T.); #31903=FACE_OUTER_BOUND('',#52575,.T.); #31904=FACE_OUTER_BOUND('',#52576,.T.); #31905=FACE_OUTER_BOUND('',#52577,.T.); #31906=FACE_OUTER_BOUND('',#52579,.T.); #31907=FACE_OUTER_BOUND('',#52581,.T.); #31908=FACE_OUTER_BOUND('',#52582,.T.); #31909=FACE_OUTER_BOUND('',#52583,.T.); #31910=FACE_OUTER_BOUND('',#52584,.T.); #31911=FACE_OUTER_BOUND('',#52585,.T.); #31912=FACE_OUTER_BOUND('',#52586,.T.); #31913=FACE_OUTER_BOUND('',#52587,.T.); #31914=FACE_OUTER_BOUND('',#52588,.T.); #31915=FACE_OUTER_BOUND('',#52589,.T.); #31916=FACE_OUTER_BOUND('',#52590,.T.); #31917=FACE_OUTER_BOUND('',#52591,.T.); #31918=FACE_OUTER_BOUND('',#52592,.T.); #31919=FACE_OUTER_BOUND('',#52593,.T.); #31920=FACE_OUTER_BOUND('',#52594,.T.); #31921=FACE_OUTER_BOUND('',#52595,.T.); #31922=FACE_OUTER_BOUND('',#52596,.T.); #31923=FACE_OUTER_BOUND('',#52597,.T.); #31924=FACE_OUTER_BOUND('',#52598,.T.); #31925=FACE_OUTER_BOUND('',#52599,.T.); #31926=FACE_OUTER_BOUND('',#52600,.T.); #31927=FACE_OUTER_BOUND('',#52601,.T.); #31928=FACE_OUTER_BOUND('',#52602,.T.); #31929=FACE_OUTER_BOUND('',#52603,.T.); #31930=FACE_OUTER_BOUND('',#52604,.T.); #31931=FACE_OUTER_BOUND('',#52605,.T.); #31932=FACE_OUTER_BOUND('',#52606,.T.); #31933=FACE_OUTER_BOUND('',#52607,.T.); #31934=FACE_OUTER_BOUND('',#52608,.T.); #31935=FACE_OUTER_BOUND('',#52609,.T.); #31936=FACE_OUTER_BOUND('',#52610,.T.); #31937=FACE_OUTER_BOUND('',#52611,.T.); #31938=FACE_OUTER_BOUND('',#52612,.T.); #31939=FACE_OUTER_BOUND('',#52613,.T.); #31940=FACE_OUTER_BOUND('',#52614,.T.); #31941=FACE_OUTER_BOUND('',#52615,.T.); #31942=FACE_OUTER_BOUND('',#52616,.T.); #31943=FACE_OUTER_BOUND('',#52617,.T.); #31944=FACE_OUTER_BOUND('',#52618,.T.); #31945=FACE_OUTER_BOUND('',#52619,.T.); #31946=FACE_OUTER_BOUND('',#52620,.T.); #31947=FACE_OUTER_BOUND('',#52621,.T.); #31948=FACE_OUTER_BOUND('',#52622,.T.); #31949=FACE_OUTER_BOUND('',#52623,.T.); #31950=FACE_OUTER_BOUND('',#52624,.T.); #31951=FACE_OUTER_BOUND('',#52625,.T.); #31952=FACE_OUTER_BOUND('',#52626,.T.); #31953=FACE_OUTER_BOUND('',#52627,.T.); #31954=FACE_OUTER_BOUND('',#52628,.T.); #31955=FACE_OUTER_BOUND('',#52629,.T.); #31956=FACE_OUTER_BOUND('',#52630,.T.); #31957=FACE_OUTER_BOUND('',#52631,.T.); #31958=FACE_OUTER_BOUND('',#52632,.T.); #31959=FACE_OUTER_BOUND('',#52633,.T.); #31960=FACE_OUTER_BOUND('',#52634,.T.); #31961=FACE_OUTER_BOUND('',#52635,.T.); #31962=FACE_OUTER_BOUND('',#52636,.T.); #31963=FACE_OUTER_BOUND('',#52637,.T.); #31964=FACE_OUTER_BOUND('',#52638,.T.); #31965=FACE_OUTER_BOUND('',#52639,.T.); #31966=FACE_OUTER_BOUND('',#52640,.T.); #31967=FACE_OUTER_BOUND('',#52641,.T.); #31968=FACE_OUTER_BOUND('',#52642,.T.); #31969=FACE_OUTER_BOUND('',#52643,.T.); #31970=FACE_OUTER_BOUND('',#52644,.T.); #31971=FACE_OUTER_BOUND('',#52647,.T.); #31972=FACE_OUTER_BOUND('',#52650,.T.); #31973=FACE_OUTER_BOUND('',#52651,.T.); #31974=FACE_OUTER_BOUND('',#52652,.T.); #31975=FACE_OUTER_BOUND('',#52653,.T.); #31976=FACE_OUTER_BOUND('',#52654,.T.); #31977=FACE_OUTER_BOUND('',#52655,.T.); #31978=FACE_OUTER_BOUND('',#52656,.T.); #31979=FACE_OUTER_BOUND('',#52657,.T.); #31980=FACE_OUTER_BOUND('',#52658,.T.); #31981=FACE_OUTER_BOUND('',#52659,.T.); #31982=FACE_OUTER_BOUND('',#52662,.T.); #31983=FACE_OUTER_BOUND('',#52665,.T.); #31984=FACE_OUTER_BOUND('',#52666,.T.); #31985=FACE_OUTER_BOUND('',#52667,.T.); #31986=FACE_OUTER_BOUND('',#52668,.T.); #31987=FACE_OUTER_BOUND('',#52669,.T.); #31988=FACE_OUTER_BOUND('',#52670,.T.); #31989=FACE_OUTER_BOUND('',#52671,.T.); #31990=FACE_OUTER_BOUND('',#52672,.T.); #31991=FACE_OUTER_BOUND('',#52673,.T.); #31992=FACE_OUTER_BOUND('',#52674,.T.); #31993=FACE_OUTER_BOUND('',#52675,.T.); #31994=FACE_OUTER_BOUND('',#52676,.T.); #31995=FACE_OUTER_BOUND('',#52678,.T.); #31996=FACE_OUTER_BOUND('',#52680,.T.); #31997=FACE_OUTER_BOUND('',#52681,.T.); #31998=FACE_OUTER_BOUND('',#52682,.T.); #31999=FACE_OUTER_BOUND('',#52683,.T.); #32000=FACE_OUTER_BOUND('',#52684,.T.); #32001=FACE_OUTER_BOUND('',#52685,.T.); #32002=FACE_OUTER_BOUND('',#52686,.T.); #32003=FACE_OUTER_BOUND('',#52687,.T.); #32004=FACE_OUTER_BOUND('',#52688,.T.); #32005=FACE_OUTER_BOUND('',#52689,.T.); #32006=FACE_OUTER_BOUND('',#52690,.T.); #32007=FACE_OUTER_BOUND('',#52691,.T.); #32008=FACE_OUTER_BOUND('',#52693,.T.); #32009=FACE_OUTER_BOUND('',#52695,.T.); #32010=FACE_OUTER_BOUND('',#52696,.T.); #32011=FACE_OUTER_BOUND('',#52697,.T.); #32012=FACE_OUTER_BOUND('',#52698,.T.); #32013=FACE_OUTER_BOUND('',#52699,.T.); #32014=FACE_OUTER_BOUND('',#52700,.T.); #32015=FACE_OUTER_BOUND('',#52701,.T.); #32016=FACE_OUTER_BOUND('',#52702,.T.); #32017=FACE_OUTER_BOUND('',#52703,.T.); #32018=FACE_OUTER_BOUND('',#52704,.T.); #32019=FACE_OUTER_BOUND('',#52705,.T.); #32020=FACE_OUTER_BOUND('',#52706,.T.); #32021=FACE_OUTER_BOUND('',#52707,.T.); #32022=FACE_OUTER_BOUND('',#52708,.T.); #32023=FACE_OUTER_BOUND('',#52709,.T.); #32024=FACE_OUTER_BOUND('',#52710,.T.); #32025=FACE_OUTER_BOUND('',#52711,.T.); #32026=FACE_OUTER_BOUND('',#52712,.T.); #32027=FACE_OUTER_BOUND('',#52713,.T.); #32028=FACE_OUTER_BOUND('',#52715,.T.); #32029=FACE_OUTER_BOUND('',#52717,.T.); #32030=FACE_OUTER_BOUND('',#52718,.T.); #32031=FACE_OUTER_BOUND('',#52719,.T.); #32032=FACE_OUTER_BOUND('',#52720,.T.); #32033=FACE_OUTER_BOUND('',#52721,.T.); #32034=FACE_OUTER_BOUND('',#52722,.T.); #32035=FACE_OUTER_BOUND('',#52723,.T.); #32036=FACE_OUTER_BOUND('',#52724,.T.); #32037=FACE_OUTER_BOUND('',#52725,.T.); #32038=FACE_OUTER_BOUND('',#52726,.T.); #32039=FACE_OUTER_BOUND('',#52727,.T.); #32040=FACE_OUTER_BOUND('',#52728,.T.); #32041=FACE_OUTER_BOUND('',#52729,.T.); #32042=FACE_OUTER_BOUND('',#52730,.T.); #32043=FACE_OUTER_BOUND('',#52731,.T.); #32044=FACE_OUTER_BOUND('',#52732,.T.); #32045=FACE_OUTER_BOUND('',#52733,.T.); #32046=FACE_OUTER_BOUND('',#52735,.T.); #32047=FACE_OUTER_BOUND('',#52737,.T.); #32048=FACE_OUTER_BOUND('',#52738,.T.); #32049=FACE_OUTER_BOUND('',#52739,.T.); #32050=FACE_OUTER_BOUND('',#52740,.T.); #32051=FACE_OUTER_BOUND('',#52741,.T.); #32052=FACE_OUTER_BOUND('',#52743,.T.); #32053=FACE_OUTER_BOUND('',#52745,.T.); #32054=FACE_OUTER_BOUND('',#52746,.T.); #32055=FACE_OUTER_BOUND('',#52747,.T.); #32056=FACE_OUTER_BOUND('',#52748,.T.); #32057=FACE_OUTER_BOUND('',#52749,.T.); #32058=FACE_OUTER_BOUND('',#52750,.T.); #32059=FACE_OUTER_BOUND('',#52751,.T.); #32060=FACE_OUTER_BOUND('',#52752,.T.); #32061=FACE_OUTER_BOUND('',#52753,.T.); #32062=FACE_OUTER_BOUND('',#52754,.T.); #32063=FACE_OUTER_BOUND('',#52755,.T.); #32064=FACE_OUTER_BOUND('',#52756,.T.); #32065=FACE_OUTER_BOUND('',#52757,.T.); #32066=FACE_OUTER_BOUND('',#52758,.T.); #32067=FACE_OUTER_BOUND('',#52759,.T.); #32068=FACE_OUTER_BOUND('',#52760,.T.); #32069=FACE_OUTER_BOUND('',#52761,.T.); #32070=FACE_OUTER_BOUND('',#52762,.T.); #32071=FACE_OUTER_BOUND('',#52763,.T.); #32072=FACE_OUTER_BOUND('',#52764,.T.); #32073=FACE_OUTER_BOUND('',#52765,.T.); #32074=FACE_OUTER_BOUND('',#52766,.T.); #32075=FACE_OUTER_BOUND('',#52767,.T.); #32076=FACE_OUTER_BOUND('',#52769,.T.); #32077=FACE_OUTER_BOUND('',#52771,.T.); #32078=FACE_OUTER_BOUND('',#52772,.T.); #32079=FACE_OUTER_BOUND('',#52773,.T.); #32080=FACE_OUTER_BOUND('',#52774,.T.); #32081=FACE_OUTER_BOUND('',#52775,.T.); #32082=FACE_OUTER_BOUND('',#52776,.T.); #32083=FACE_OUTER_BOUND('',#52777,.T.); #32084=FACE_OUTER_BOUND('',#52778,.T.); #32085=FACE_OUTER_BOUND('',#52779,.T.); #32086=FACE_OUTER_BOUND('',#52780,.T.); #32087=FACE_OUTER_BOUND('',#52781,.T.); #32088=FACE_OUTER_BOUND('',#52782,.T.); #32089=FACE_OUTER_BOUND('',#52783,.T.); #32090=FACE_OUTER_BOUND('',#52784,.T.); #32091=FACE_OUTER_BOUND('',#52785,.T.); #32092=FACE_OUTER_BOUND('',#52786,.T.); #32093=FACE_OUTER_BOUND('',#52787,.T.); #32094=FACE_OUTER_BOUND('',#52789,.T.); #32095=FACE_OUTER_BOUND('',#52791,.T.); #32096=FACE_OUTER_BOUND('',#52792,.T.); #32097=FACE_OUTER_BOUND('',#52793,.T.); #32098=FACE_OUTER_BOUND('',#52794,.T.); #32099=FACE_OUTER_BOUND('',#52795,.T.); #32100=FACE_OUTER_BOUND('',#52796,.T.); #32101=FACE_OUTER_BOUND('',#52797,.T.); #32102=FACE_OUTER_BOUND('',#52798,.T.); #32103=FACE_OUTER_BOUND('',#52799,.T.); #32104=FACE_OUTER_BOUND('',#52800,.T.); #32105=FACE_OUTER_BOUND('',#52801,.T.); #32106=FACE_OUTER_BOUND('',#52802,.T.); #32107=FACE_OUTER_BOUND('',#52803,.T.); #32108=FACE_OUTER_BOUND('',#52804,.T.); #32109=FACE_OUTER_BOUND('',#52805,.T.); #32110=FACE_OUTER_BOUND('',#52806,.T.); #32111=FACE_OUTER_BOUND('',#52807,.T.); #32112=FACE_OUTER_BOUND('',#52808,.T.); #32113=FACE_OUTER_BOUND('',#52809,.T.); #32114=FACE_OUTER_BOUND('',#52810,.T.); #32115=FACE_OUTER_BOUND('',#52811,.T.); #32116=FACE_OUTER_BOUND('',#52812,.T.); #32117=FACE_OUTER_BOUND('',#52813,.T.); #32118=FACE_OUTER_BOUND('',#52814,.T.); #32119=FACE_OUTER_BOUND('',#52815,.T.); #32120=FACE_OUTER_BOUND('',#52816,.T.); #32121=FACE_OUTER_BOUND('',#52817,.T.); #32122=FACE_OUTER_BOUND('',#52818,.T.); #32123=FACE_OUTER_BOUND('',#52819,.T.); #32124=FACE_OUTER_BOUND('',#52820,.T.); #32125=FACE_OUTER_BOUND('',#52821,.T.); #32126=FACE_OUTER_BOUND('',#52822,.T.); #32127=FACE_OUTER_BOUND('',#52823,.T.); #32128=FACE_OUTER_BOUND('',#52824,.T.); #32129=FACE_OUTER_BOUND('',#52825,.T.); #32130=FACE_OUTER_BOUND('',#52826,.T.); #32131=FACE_OUTER_BOUND('',#52827,.T.); #32132=FACE_OUTER_BOUND('',#52828,.T.); #32133=FACE_OUTER_BOUND('',#52829,.T.); #32134=FACE_OUTER_BOUND('',#52830,.T.); #32135=FACE_OUTER_BOUND('',#52831,.T.); #32136=FACE_OUTER_BOUND('',#52832,.T.); #32137=FACE_OUTER_BOUND('',#52833,.T.); #32138=FACE_OUTER_BOUND('',#52834,.T.); #32139=FACE_OUTER_BOUND('',#52835,.T.); #32140=FACE_OUTER_BOUND('',#52836,.T.); #32141=FACE_OUTER_BOUND('',#52837,.T.); #32142=FACE_OUTER_BOUND('',#52838,.T.); #32143=FACE_OUTER_BOUND('',#52839,.T.); #32144=FACE_OUTER_BOUND('',#52840,.T.); #32145=FACE_OUTER_BOUND('',#52841,.T.); #32146=FACE_OUTER_BOUND('',#52842,.T.); #32147=FACE_OUTER_BOUND('',#52843,.T.); #32148=FACE_OUTER_BOUND('',#52844,.T.); #32149=FACE_OUTER_BOUND('',#52845,.T.); #32150=FACE_OUTER_BOUND('',#52846,.T.); #32151=FACE_OUTER_BOUND('',#52847,.T.); #32152=FACE_OUTER_BOUND('',#52848,.T.); #32153=FACE_OUTER_BOUND('',#52849,.T.); #32154=FACE_OUTER_BOUND('',#52850,.T.); #32155=FACE_OUTER_BOUND('',#52851,.T.); #32156=FACE_OUTER_BOUND('',#52852,.T.); #32157=FACE_OUTER_BOUND('',#52853,.T.); #32158=FACE_OUTER_BOUND('',#52854,.T.); #32159=FACE_OUTER_BOUND('',#52855,.T.); #32160=FACE_OUTER_BOUND('',#52856,.T.); #32161=FACE_OUTER_BOUND('',#52857,.T.); #32162=FACE_OUTER_BOUND('',#52858,.T.); #32163=FACE_OUTER_BOUND('',#52859,.T.); #32164=FACE_OUTER_BOUND('',#52860,.T.); #32165=FACE_OUTER_BOUND('',#52861,.T.); #32166=FACE_OUTER_BOUND('',#52862,.T.); #32167=FACE_OUTER_BOUND('',#52863,.T.); #32168=FACE_OUTER_BOUND('',#52865,.T.); #32169=FACE_OUTER_BOUND('',#52867,.T.); #32170=FACE_OUTER_BOUND('',#52868,.T.); #32171=FACE_OUTER_BOUND('',#52869,.T.); #32172=FACE_OUTER_BOUND('',#52870,.T.); #32173=FACE_OUTER_BOUND('',#52871,.T.); #32174=FACE_OUTER_BOUND('',#52872,.T.); #32175=FACE_OUTER_BOUND('',#52873,.T.); #32176=FACE_OUTER_BOUND('',#52874,.T.); #32177=FACE_OUTER_BOUND('',#52875,.T.); #32178=FACE_OUTER_BOUND('',#52876,.T.); #32179=FACE_OUTER_BOUND('',#52879,.T.); #32180=FACE_OUTER_BOUND('',#52882,.T.); #32181=FACE_OUTER_BOUND('',#52883,.T.); #32182=FACE_OUTER_BOUND('',#52884,.T.); #32183=FACE_OUTER_BOUND('',#52885,.T.); #32184=FACE_OUTER_BOUND('',#52886,.T.); #32185=FACE_OUTER_BOUND('',#52887,.T.); #32186=FACE_OUTER_BOUND('',#52889,.T.); #32187=FACE_OUTER_BOUND('',#52891,.T.); #32188=FACE_OUTER_BOUND('',#52892,.T.); #32189=FACE_OUTER_BOUND('',#52893,.T.); #32190=FACE_OUTER_BOUND('',#52894,.T.); #32191=FACE_OUTER_BOUND('',#52895,.T.); #32192=FACE_OUTER_BOUND('',#52896,.T.); #32193=FACE_OUTER_BOUND('',#52897,.T.); #32194=FACE_OUTER_BOUND('',#52898,.T.); #32195=FACE_OUTER_BOUND('',#52899,.T.); #32196=FACE_OUTER_BOUND('',#52900,.T.); #32197=FACE_OUTER_BOUND('',#52901,.T.); #32198=FACE_OUTER_BOUND('',#52902,.T.); #32199=FACE_OUTER_BOUND('',#52903,.T.); #32200=FACE_OUTER_BOUND('',#52904,.T.); #32201=FACE_OUTER_BOUND('',#52905,.T.); #32202=FACE_OUTER_BOUND('',#52906,.T.); #32203=FACE_OUTER_BOUND('',#52907,.T.); #32204=FACE_OUTER_BOUND('',#52908,.T.); #32205=FACE_OUTER_BOUND('',#52909,.T.); #32206=FACE_OUTER_BOUND('',#52910,.T.); #32207=FACE_OUTER_BOUND('',#52911,.T.); #32208=FACE_OUTER_BOUND('',#52912,.T.); #32209=FACE_OUTER_BOUND('',#52913,.T.); #32210=FACE_OUTER_BOUND('',#52914,.T.); #32211=FACE_OUTER_BOUND('',#52915,.T.); #32212=FACE_OUTER_BOUND('',#52916,.T.); #32213=FACE_OUTER_BOUND('',#52919,.T.); #32214=FACE_OUTER_BOUND('',#52922,.T.); #32215=FACE_OUTER_BOUND('',#52923,.T.); #32216=FACE_OUTER_BOUND('',#52924,.T.); #32217=FACE_OUTER_BOUND('',#52925,.T.); #32218=FACE_OUTER_BOUND('',#52926,.T.); #32219=FACE_OUTER_BOUND('',#52927,.T.); #32220=FACE_OUTER_BOUND('',#52928,.T.); #32221=FACE_OUTER_BOUND('',#52929,.T.); #32222=FACE_OUTER_BOUND('',#52930,.T.); #32223=FACE_OUTER_BOUND('',#52931,.T.); #32224=FACE_OUTER_BOUND('',#52932,.T.); #32225=FACE_OUTER_BOUND('',#52935,.T.); #32226=FACE_OUTER_BOUND('',#52938,.T.); #32227=FACE_OUTER_BOUND('',#52939,.T.); #32228=FACE_OUTER_BOUND('',#52940,.T.); #32229=FACE_OUTER_BOUND('',#52941,.T.); #32230=FACE_OUTER_BOUND('',#52942,.T.); #32231=FACE_OUTER_BOUND('',#52943,.T.); #32232=FACE_OUTER_BOUND('',#52945,.T.); #32233=FACE_OUTER_BOUND('',#52947,.T.); #32234=FACE_OUTER_BOUND('',#52948,.T.); #32235=FACE_OUTER_BOUND('',#52949,.T.); #32236=FACE_OUTER_BOUND('',#52950,.T.); #32237=FACE_OUTER_BOUND('',#52951,.T.); #32238=FACE_OUTER_BOUND('',#52952,.T.); #32239=FACE_OUTER_BOUND('',#52953,.T.); #32240=FACE_OUTER_BOUND('',#52954,.T.); #32241=FACE_OUTER_BOUND('',#52955,.T.); #32242=FACE_OUTER_BOUND('',#52956,.T.); #32243=FACE_OUTER_BOUND('',#52957,.T.); #32244=FACE_OUTER_BOUND('',#52958,.T.); #32245=FACE_OUTER_BOUND('',#52959,.T.); #32246=FACE_OUTER_BOUND('',#52960,.T.); #32247=FACE_OUTER_BOUND('',#52961,.T.); #32248=FACE_OUTER_BOUND('',#52962,.T.); #32249=FACE_OUTER_BOUND('',#52963,.T.); #32250=FACE_OUTER_BOUND('',#52964,.T.); #32251=FACE_OUTER_BOUND('',#52965,.T.); #32252=FACE_OUTER_BOUND('',#52966,.T.); #32253=FACE_OUTER_BOUND('',#52967,.T.); #32254=FACE_OUTER_BOUND('',#52968,.T.); #32255=FACE_OUTER_BOUND('',#52969,.T.); #32256=FACE_OUTER_BOUND('',#52970,.T.); #32257=FACE_OUTER_BOUND('',#52971,.T.); #32258=FACE_OUTER_BOUND('',#52972,.T.); #32259=FACE_OUTER_BOUND('',#52973,.T.); #32260=FACE_OUTER_BOUND('',#52974,.T.); #32261=FACE_OUTER_BOUND('',#52975,.T.); #32262=FACE_OUTER_BOUND('',#52976,.T.); #32263=FACE_OUTER_BOUND('',#52977,.T.); #32264=FACE_OUTER_BOUND('',#52978,.T.); #32265=FACE_OUTER_BOUND('',#52979,.T.); #32266=FACE_OUTER_BOUND('',#52980,.T.); #32267=FACE_OUTER_BOUND('',#52981,.T.); #32268=FACE_OUTER_BOUND('',#52982,.T.); #32269=FACE_OUTER_BOUND('',#52983,.T.); #32270=FACE_OUTER_BOUND('',#52984,.T.); #32271=FACE_OUTER_BOUND('',#52985,.T.); #32272=FACE_OUTER_BOUND('',#52986,.T.); #32273=FACE_OUTER_BOUND('',#52987,.T.); #32274=FACE_OUTER_BOUND('',#52988,.T.); #32275=FACE_OUTER_BOUND('',#52989,.T.); #32276=FACE_OUTER_BOUND('',#52990,.T.); #32277=FACE_OUTER_BOUND('',#52991,.T.); #32278=FACE_OUTER_BOUND('',#52992,.T.); #32279=FACE_OUTER_BOUND('',#52993,.T.); #32280=FACE_OUTER_BOUND('',#52994,.T.); #32281=FACE_OUTER_BOUND('',#52995,.T.); #32282=FACE_OUTER_BOUND('',#52996,.T.); #32283=FACE_OUTER_BOUND('',#52997,.T.); #32284=FACE_OUTER_BOUND('',#52998,.T.); #32285=FACE_OUTER_BOUND('',#52999,.T.); #32286=FACE_OUTER_BOUND('',#53000,.T.); #32287=FACE_OUTER_BOUND('',#53001,.T.); #32288=FACE_OUTER_BOUND('',#53002,.T.); #32289=FACE_OUTER_BOUND('',#53003,.T.); #32290=FACE_OUTER_BOUND('',#53004,.T.); #32291=FACE_OUTER_BOUND('',#53005,.T.); #32292=FACE_OUTER_BOUND('',#53006,.T.); #32293=FACE_OUTER_BOUND('',#53007,.T.); #32294=FACE_OUTER_BOUND('',#53008,.T.); #32295=FACE_OUTER_BOUND('',#53009,.T.); #32296=FACE_OUTER_BOUND('',#53010,.T.); #32297=FACE_OUTER_BOUND('',#53011,.T.); #32298=FACE_OUTER_BOUND('',#53012,.T.); #32299=FACE_OUTER_BOUND('',#53013,.T.); #32300=FACE_OUTER_BOUND('',#53014,.T.); #32301=FACE_OUTER_BOUND('',#53015,.T.); #32302=FACE_OUTER_BOUND('',#53016,.T.); #32303=FACE_OUTER_BOUND('',#53017,.T.); #32304=FACE_OUTER_BOUND('',#53018,.T.); #32305=FACE_OUTER_BOUND('',#53019,.T.); #32306=FACE_OUTER_BOUND('',#53020,.T.); #32307=FACE_OUTER_BOUND('',#53021,.T.); #32308=FACE_OUTER_BOUND('',#53022,.T.); #32309=FACE_OUTER_BOUND('',#53023,.T.); #32310=FACE_OUTER_BOUND('',#53024,.T.); #32311=FACE_OUTER_BOUND('',#53025,.T.); #32312=FACE_OUTER_BOUND('',#53026,.T.); #32313=FACE_OUTER_BOUND('',#53027,.T.); #32314=FACE_OUTER_BOUND('',#53028,.T.); #32315=FACE_OUTER_BOUND('',#53029,.T.); #32316=FACE_OUTER_BOUND('',#53030,.T.); #32317=FACE_OUTER_BOUND('',#53031,.T.); #32318=FACE_OUTER_BOUND('',#53032,.T.); #32319=FACE_OUTER_BOUND('',#53033,.T.); #32320=FACE_OUTER_BOUND('',#53034,.T.); #32321=FACE_OUTER_BOUND('',#53035,.T.); #32322=FACE_OUTER_BOUND('',#53036,.T.); #32323=FACE_OUTER_BOUND('',#53037,.T.); #32324=FACE_OUTER_BOUND('',#53038,.T.); #32325=FACE_OUTER_BOUND('',#53039,.T.); #32326=FACE_OUTER_BOUND('',#53040,.T.); #32327=FACE_OUTER_BOUND('',#53041,.T.); #32328=FACE_OUTER_BOUND('',#53047,.T.); #32329=FACE_OUTER_BOUND('',#53053,.T.); #32330=FACE_OUTER_BOUND('',#53054,.T.); #32331=FACE_OUTER_BOUND('',#53055,.T.); #32332=FACE_OUTER_BOUND('',#53056,.T.); #32333=FACE_OUTER_BOUND('',#53057,.T.); #32334=FACE_OUTER_BOUND('',#53058,.T.); #32335=FACE_OUTER_BOUND('',#53059,.T.); #32336=FACE_OUTER_BOUND('',#53060,.T.); #32337=FACE_OUTER_BOUND('',#53061,.T.); #32338=FACE_OUTER_BOUND('',#53062,.T.); #32339=FACE_OUTER_BOUND('',#53063,.T.); #32340=FACE_OUTER_BOUND('',#53064,.T.); #32341=FACE_OUTER_BOUND('',#53065,.T.); #32342=FACE_OUTER_BOUND('',#53066,.T.); #32343=FACE_OUTER_BOUND('',#53067,.T.); #32344=FACE_OUTER_BOUND('',#53068,.T.); #32345=FACE_OUTER_BOUND('',#53069,.T.); #32346=FACE_OUTER_BOUND('',#53070,.T.); #32347=FACE_OUTER_BOUND('',#53071,.T.); #32348=FACE_OUTER_BOUND('',#53072,.T.); #32349=FACE_OUTER_BOUND('',#53073,.T.); #32350=FACE_OUTER_BOUND('',#53074,.T.); #32351=FACE_OUTER_BOUND('',#53075,.T.); #32352=FACE_OUTER_BOUND('',#53076,.T.); #32353=FACE_OUTER_BOUND('',#53077,.T.); #32354=FACE_OUTER_BOUND('',#53078,.T.); #32355=FACE_OUTER_BOUND('',#53079,.T.); #32356=FACE_OUTER_BOUND('',#53080,.T.); #32357=FACE_OUTER_BOUND('',#53081,.T.); #32358=FACE_OUTER_BOUND('',#53082,.T.); #32359=FACE_OUTER_BOUND('',#53083,.T.); #32360=FACE_OUTER_BOUND('',#53084,.T.); #32361=FACE_OUTER_BOUND('',#53085,.T.); #32362=FACE_OUTER_BOUND('',#53086,.T.); #32363=FACE_OUTER_BOUND('',#53087,.T.); #32364=FACE_OUTER_BOUND('',#53088,.T.); #32365=FACE_OUTER_BOUND('',#53089,.T.); #32366=FACE_OUTER_BOUND('',#53090,.T.); #32367=FACE_OUTER_BOUND('',#53091,.T.); #32368=FACE_OUTER_BOUND('',#53092,.T.); #32369=FACE_OUTER_BOUND('',#53093,.T.); #32370=FACE_OUTER_BOUND('',#53094,.T.); #32371=FACE_OUTER_BOUND('',#53095,.T.); #32372=FACE_OUTER_BOUND('',#53096,.T.); #32373=FACE_OUTER_BOUND('',#53097,.T.); #32374=FACE_OUTER_BOUND('',#53098,.T.); #32375=FACE_OUTER_BOUND('',#53099,.T.); #32376=FACE_OUTER_BOUND('',#53100,.T.); #32377=FACE_OUTER_BOUND('',#53101,.T.); #32378=FACE_OUTER_BOUND('',#53102,.T.); #32379=FACE_OUTER_BOUND('',#53104,.T.); #32380=FACE_OUTER_BOUND('',#53106,.T.); #32381=FACE_OUTER_BOUND('',#53107,.T.); #32382=FACE_OUTER_BOUND('',#53108,.T.); #32383=FACE_OUTER_BOUND('',#53109,.T.); #32384=FACE_OUTER_BOUND('',#53110,.T.); #32385=FACE_OUTER_BOUND('',#53111,.T.); #32386=FACE_OUTER_BOUND('',#53112,.T.); #32387=FACE_OUTER_BOUND('',#53113,.T.); #32388=FACE_OUTER_BOUND('',#53114,.T.); #32389=FACE_OUTER_BOUND('',#53115,.T.); #32390=FACE_OUTER_BOUND('',#53116,.T.); #32391=FACE_OUTER_BOUND('',#53117,.T.); #32392=FACE_OUTER_BOUND('',#53118,.T.); #32393=FACE_OUTER_BOUND('',#53119,.T.); #32394=FACE_OUTER_BOUND('',#53120,.T.); #32395=FACE_OUTER_BOUND('',#53121,.T.); #32396=FACE_OUTER_BOUND('',#53122,.T.); #32397=FACE_OUTER_BOUND('',#53123,.T.); #32398=FACE_OUTER_BOUND('',#53124,.T.); #32399=FACE_OUTER_BOUND('',#53125,.T.); #32400=FACE_OUTER_BOUND('',#53126,.T.); #32401=FACE_OUTER_BOUND('',#53127,.T.); #32402=FACE_OUTER_BOUND('',#53128,.T.); #32403=FACE_OUTER_BOUND('',#53129,.T.); #32404=FACE_OUTER_BOUND('',#53130,.T.); #32405=FACE_OUTER_BOUND('',#53131,.T.); #32406=FACE_OUTER_BOUND('',#53132,.T.); #32407=FACE_OUTER_BOUND('',#53133,.T.); #32408=FACE_OUTER_BOUND('',#53134,.T.); #32409=FACE_OUTER_BOUND('',#53135,.T.); #32410=FACE_OUTER_BOUND('',#53136,.T.); #32411=FACE_OUTER_BOUND('',#53137,.T.); #32412=FACE_OUTER_BOUND('',#53138,.T.); #32413=FACE_OUTER_BOUND('',#53139,.T.); #32414=FACE_OUTER_BOUND('',#53140,.T.); #32415=FACE_OUTER_BOUND('',#53141,.T.); #32416=FACE_OUTER_BOUND('',#53142,.T.); #32417=FACE_OUTER_BOUND('',#53143,.T.); #32418=FACE_OUTER_BOUND('',#53144,.T.); #32419=FACE_OUTER_BOUND('',#53145,.T.); #32420=FACE_OUTER_BOUND('',#53146,.T.); #32421=FACE_OUTER_BOUND('',#53147,.T.); #32422=FACE_OUTER_BOUND('',#53148,.T.); #32423=FACE_OUTER_BOUND('',#53149,.T.); #32424=FACE_OUTER_BOUND('',#53150,.T.); #32425=FACE_OUTER_BOUND('',#53151,.T.); #32426=FACE_OUTER_BOUND('',#53152,.T.); #32427=FACE_OUTER_BOUND('',#53153,.T.); #32428=FACE_OUTER_BOUND('',#53154,.T.); #32429=FACE_OUTER_BOUND('',#53155,.T.); #32430=FACE_OUTER_BOUND('',#53156,.T.); #32431=FACE_OUTER_BOUND('',#53157,.T.); #32432=FACE_OUTER_BOUND('',#53158,.T.); #32433=FACE_OUTER_BOUND('',#53159,.T.); #32434=FACE_OUTER_BOUND('',#53160,.T.); #32435=FACE_OUTER_BOUND('',#53161,.T.); #32436=FACE_OUTER_BOUND('',#53162,.T.); #32437=FACE_OUTER_BOUND('',#53163,.T.); #32438=FACE_OUTER_BOUND('',#53164,.T.); #32439=FACE_OUTER_BOUND('',#53165,.T.); #32440=FACE_OUTER_BOUND('',#53166,.T.); #32441=FACE_OUTER_BOUND('',#53167,.T.); #32442=FACE_OUTER_BOUND('',#53168,.T.); #32443=FACE_OUTER_BOUND('',#53169,.T.); #32444=FACE_OUTER_BOUND('',#53170,.T.); #32445=FACE_OUTER_BOUND('',#53171,.T.); #32446=FACE_OUTER_BOUND('',#53172,.T.); #32447=FACE_OUTER_BOUND('',#53173,.T.); #32448=FACE_OUTER_BOUND('',#53174,.T.); #32449=FACE_OUTER_BOUND('',#53175,.T.); #32450=FACE_OUTER_BOUND('',#53176,.T.); #32451=FACE_OUTER_BOUND('',#53177,.T.); #32452=FACE_OUTER_BOUND('',#53178,.T.); #32453=FACE_OUTER_BOUND('',#53179,.T.); #32454=FACE_OUTER_BOUND('',#53180,.T.); #32455=FACE_OUTER_BOUND('',#53181,.T.); #32456=FACE_OUTER_BOUND('',#53182,.T.); #32457=FACE_OUTER_BOUND('',#53183,.T.); #32458=FACE_OUTER_BOUND('',#53184,.T.); #32459=FACE_OUTER_BOUND('',#53185,.T.); #32460=FACE_OUTER_BOUND('',#53186,.T.); #32461=FACE_OUTER_BOUND('',#53187,.T.); #32462=FACE_OUTER_BOUND('',#53188,.T.); #32463=FACE_OUTER_BOUND('',#53189,.T.); #32464=FACE_OUTER_BOUND('',#53190,.T.); #32465=FACE_OUTER_BOUND('',#53191,.T.); #32466=FACE_OUTER_BOUND('',#53192,.T.); #32467=FACE_OUTER_BOUND('',#53193,.T.); #32468=FACE_OUTER_BOUND('',#53194,.T.); #32469=FACE_OUTER_BOUND('',#53195,.T.); #32470=FACE_OUTER_BOUND('',#53196,.T.); #32471=FACE_OUTER_BOUND('',#53197,.T.); #32472=FACE_OUTER_BOUND('',#53198,.T.); #32473=FACE_OUTER_BOUND('',#53199,.T.); #32474=FACE_OUTER_BOUND('',#53200,.T.); #32475=FACE_OUTER_BOUND('',#53201,.T.); #32476=FACE_OUTER_BOUND('',#53202,.T.); #32477=FACE_OUTER_BOUND('',#53203,.T.); #32478=FACE_OUTER_BOUND('',#53204,.T.); #32479=FACE_OUTER_BOUND('',#53205,.T.); #32480=FACE_OUTER_BOUND('',#53206,.T.); #32481=FACE_OUTER_BOUND('',#53207,.T.); #32482=FACE_OUTER_BOUND('',#53208,.T.); #32483=FACE_OUTER_BOUND('',#53209,.T.); #32484=FACE_OUTER_BOUND('',#53210,.T.); #32485=FACE_OUTER_BOUND('',#53211,.T.); #32486=FACE_OUTER_BOUND('',#53212,.T.); #32487=FACE_OUTER_BOUND('',#53213,.T.); #32488=FACE_OUTER_BOUND('',#53214,.T.); #32489=FACE_OUTER_BOUND('',#53215,.T.); #32490=FACE_OUTER_BOUND('',#53228,.T.); #32491=FACE_OUTER_BOUND('',#53241,.T.); #32492=FACE_OUTER_BOUND('',#53242,.T.); #32493=FACE_OUTER_BOUND('',#53243,.T.); #32494=FACE_OUTER_BOUND('',#53244,.T.); #32495=FACE_OUTER_BOUND('',#53245,.T.); #32496=FACE_OUTER_BOUND('',#53246,.T.); #32497=FACE_OUTER_BOUND('',#53247,.T.); #32498=FACE_OUTER_BOUND('',#53248,.T.); #32499=FACE_OUTER_BOUND('',#53249,.T.); #32500=FACE_OUTER_BOUND('',#53250,.T.); #32501=FACE_OUTER_BOUND('',#53251,.T.); #32502=FACE_OUTER_BOUND('',#53252,.T.); #32503=FACE_OUTER_BOUND('',#53253,.T.); #32504=FACE_OUTER_BOUND('',#53254,.T.); #32505=FACE_OUTER_BOUND('',#53255,.T.); #32506=FACE_OUTER_BOUND('',#53256,.T.); #32507=FACE_OUTER_BOUND('',#53257,.T.); #32508=FACE_OUTER_BOUND('',#53258,.T.); #32509=FACE_OUTER_BOUND('',#53259,.T.); #32510=FACE_OUTER_BOUND('',#53260,.T.); #32511=FACE_OUTER_BOUND('',#53261,.T.); #32512=FACE_OUTER_BOUND('',#53262,.T.); #32513=FACE_OUTER_BOUND('',#53263,.T.); #32514=FACE_OUTER_BOUND('',#53264,.T.); #32515=FACE_OUTER_BOUND('',#53265,.T.); #32516=FACE_OUTER_BOUND('',#53266,.T.); #32517=FACE_OUTER_BOUND('',#53267,.T.); #32518=FACE_OUTER_BOUND('',#53268,.T.); #32519=FACE_OUTER_BOUND('',#53269,.T.); #32520=FACE_OUTER_BOUND('',#53270,.T.); #32521=FACE_OUTER_BOUND('',#53271,.T.); #32522=FACE_OUTER_BOUND('',#53272,.T.); #32523=FACE_OUTER_BOUND('',#53273,.T.); #32524=FACE_OUTER_BOUND('',#53274,.T.); #32525=FACE_OUTER_BOUND('',#53275,.T.); #32526=FACE_OUTER_BOUND('',#53276,.T.); #32527=FACE_OUTER_BOUND('',#53277,.T.); #32528=FACE_OUTER_BOUND('',#53278,.T.); #32529=FACE_OUTER_BOUND('',#53279,.T.); #32530=FACE_OUTER_BOUND('',#53280,.T.); #32531=FACE_OUTER_BOUND('',#53281,.T.); #32532=FACE_OUTER_BOUND('',#53282,.T.); #32533=FACE_OUTER_BOUND('',#53283,.T.); #32534=FACE_OUTER_BOUND('',#53284,.T.); #32535=FACE_OUTER_BOUND('',#53285,.T.); #32536=FACE_OUTER_BOUND('',#53286,.T.); #32537=FACE_OUTER_BOUND('',#53287,.T.); #32538=FACE_OUTER_BOUND('',#53288,.T.); #32539=FACE_OUTER_BOUND('',#53289,.T.); #32540=FACE_OUTER_BOUND('',#53290,.T.); #32541=FACE_OUTER_BOUND('',#53291,.T.); #32542=FACE_OUTER_BOUND('',#53292,.T.); #32543=FACE_OUTER_BOUND('',#53293,.T.); #32544=FACE_OUTER_BOUND('',#53294,.T.); #32545=FACE_OUTER_BOUND('',#53295,.T.); #32546=FACE_OUTER_BOUND('',#53296,.T.); #32547=FACE_OUTER_BOUND('',#53297,.T.); #32548=FACE_OUTER_BOUND('',#53298,.T.); #32549=FACE_OUTER_BOUND('',#53299,.T.); #32550=FACE_OUTER_BOUND('',#53300,.T.); #32551=FACE_OUTER_BOUND('',#53301,.T.); #32552=FACE_OUTER_BOUND('',#53302,.T.); #32553=FACE_OUTER_BOUND('',#53303,.T.); #32554=FACE_OUTER_BOUND('',#53304,.T.); #32555=FACE_OUTER_BOUND('',#53305,.T.); #32556=FACE_OUTER_BOUND('',#53306,.T.); #32557=FACE_OUTER_BOUND('',#53307,.T.); #32558=FACE_OUTER_BOUND('',#53308,.T.); #32559=FACE_OUTER_BOUND('',#53309,.T.); #32560=FACE_OUTER_BOUND('',#53310,.T.); #32561=FACE_OUTER_BOUND('',#53311,.T.); #32562=FACE_OUTER_BOUND('',#53312,.T.); #32563=FACE_OUTER_BOUND('',#53313,.T.); #32564=FACE_OUTER_BOUND('',#53314,.T.); #32565=FACE_OUTER_BOUND('',#53315,.T.); #32566=FACE_OUTER_BOUND('',#53316,.T.); #32567=FACE_OUTER_BOUND('',#53317,.T.); #32568=FACE_OUTER_BOUND('',#53318,.T.); #32569=FACE_OUTER_BOUND('',#53319,.T.); #32570=FACE_OUTER_BOUND('',#53320,.T.); #32571=FACE_OUTER_BOUND('',#53321,.T.); #32572=FACE_OUTER_BOUND('',#53322,.T.); #32573=FACE_OUTER_BOUND('',#53323,.T.); #32574=FACE_OUTER_BOUND('',#53324,.T.); #32575=FACE_OUTER_BOUND('',#53325,.T.); #32576=FACE_OUTER_BOUND('',#53326,.T.); #32577=FACE_OUTER_BOUND('',#53327,.T.); #32578=FACE_OUTER_BOUND('',#53328,.T.); #32579=FACE_OUTER_BOUND('',#53329,.T.); #32580=FACE_OUTER_BOUND('',#53330,.T.); #32581=FACE_OUTER_BOUND('',#53331,.T.); #32582=FACE_OUTER_BOUND('',#53332,.T.); #32583=FACE_OUTER_BOUND('',#53333,.T.); #32584=FACE_OUTER_BOUND('',#53334,.T.); #32585=FACE_OUTER_BOUND('',#53335,.T.); #32586=FACE_OUTER_BOUND('',#53336,.T.); #32587=FACE_OUTER_BOUND('',#53337,.T.); #32588=FACE_OUTER_BOUND('',#53338,.T.); #32589=FACE_OUTER_BOUND('',#53339,.T.); #32590=FACE_OUTER_BOUND('',#53340,.T.); #32591=FACE_OUTER_BOUND('',#53341,.T.); #32592=FACE_OUTER_BOUND('',#53342,.T.); #32593=FACE_OUTER_BOUND('',#53343,.T.); #32594=FACE_OUTER_BOUND('',#53344,.T.); #32595=FACE_OUTER_BOUND('',#53345,.T.); #32596=FACE_OUTER_BOUND('',#53346,.T.); #32597=FACE_OUTER_BOUND('',#53347,.T.); #32598=FACE_OUTER_BOUND('',#53348,.T.); #32599=FACE_OUTER_BOUND('',#53349,.T.); #32600=FACE_OUTER_BOUND('',#53350,.T.); #32601=FACE_OUTER_BOUND('',#53351,.T.); #32602=FACE_OUTER_BOUND('',#53352,.T.); #32603=FACE_OUTER_BOUND('',#53353,.T.); #32604=FACE_OUTER_BOUND('',#53354,.T.); #32605=FACE_OUTER_BOUND('',#53355,.T.); #32606=FACE_OUTER_BOUND('',#53356,.T.); #32607=FACE_OUTER_BOUND('',#53357,.T.); #32608=FACE_OUTER_BOUND('',#53358,.T.); #32609=FACE_OUTER_BOUND('',#53359,.T.); #32610=FACE_OUTER_BOUND('',#53360,.T.); #32611=FACE_OUTER_BOUND('',#53361,.T.); #32612=FACE_OUTER_BOUND('',#53362,.T.); #32613=FACE_OUTER_BOUND('',#53363,.T.); #32614=FACE_OUTER_BOUND('',#53364,.T.); #32615=FACE_OUTER_BOUND('',#53365,.T.); #32616=FACE_OUTER_BOUND('',#53366,.T.); #32617=FACE_OUTER_BOUND('',#53367,.T.); #32618=FACE_OUTER_BOUND('',#53368,.T.); #32619=FACE_OUTER_BOUND('',#53369,.T.); #32620=FACE_OUTER_BOUND('',#53370,.T.); #32621=FACE_OUTER_BOUND('',#53371,.T.); #32622=FACE_OUTER_BOUND('',#53372,.T.); #32623=FACE_OUTER_BOUND('',#53373,.T.); #32624=FACE_OUTER_BOUND('',#53374,.T.); #32625=FACE_OUTER_BOUND('',#53375,.T.); #32626=FACE_OUTER_BOUND('',#53376,.T.); #32627=FACE_OUTER_BOUND('',#53377,.T.); #32628=FACE_OUTER_BOUND('',#53378,.T.); #32629=FACE_OUTER_BOUND('',#53379,.T.); #32630=FACE_OUTER_BOUND('',#53380,.T.); #32631=FACE_OUTER_BOUND('',#53381,.T.); #32632=FACE_OUTER_BOUND('',#53382,.T.); #32633=FACE_OUTER_BOUND('',#53383,.T.); #32634=FACE_OUTER_BOUND('',#53384,.T.); #32635=FACE_OUTER_BOUND('',#53385,.T.); #32636=FACE_OUTER_BOUND('',#53386,.T.); #32637=FACE_OUTER_BOUND('',#53387,.T.); #32638=FACE_OUTER_BOUND('',#53388,.T.); #32639=FACE_OUTER_BOUND('',#53389,.T.); #32640=FACE_OUTER_BOUND('',#53390,.T.); #32641=FACE_OUTER_BOUND('',#53391,.T.); #32642=FACE_OUTER_BOUND('',#53392,.T.); #32643=FACE_OUTER_BOUND('',#53393,.T.); #32644=FACE_OUTER_BOUND('',#53394,.T.); #32645=FACE_OUTER_BOUND('',#53395,.T.); #32646=FACE_OUTER_BOUND('',#53396,.T.); #32647=FACE_OUTER_BOUND('',#53397,.T.); #32648=FACE_OUTER_BOUND('',#53398,.T.); #32649=FACE_OUTER_BOUND('',#53399,.T.); #32650=FACE_OUTER_BOUND('',#53400,.T.); #32651=FACE_OUTER_BOUND('',#53401,.T.); #32652=FACE_OUTER_BOUND('',#53402,.T.); #32653=FACE_OUTER_BOUND('',#53403,.T.); #32654=FACE_OUTER_BOUND('',#53404,.T.); #32655=FACE_OUTER_BOUND('',#53405,.T.); #32656=FACE_OUTER_BOUND('',#53406,.T.); #32657=FACE_OUTER_BOUND('',#53407,.T.); #32658=FACE_OUTER_BOUND('',#53408,.T.); #32659=FACE_OUTER_BOUND('',#53409,.T.); #32660=FACE_OUTER_BOUND('',#53410,.T.); #32661=FACE_OUTER_BOUND('',#53411,.T.); #32662=FACE_OUTER_BOUND('',#53412,.T.); #32663=FACE_OUTER_BOUND('',#53413,.T.); #32664=FACE_OUTER_BOUND('',#53414,.T.); #32665=FACE_OUTER_BOUND('',#53415,.T.); #32666=FACE_OUTER_BOUND('',#53416,.T.); #32667=FACE_OUTER_BOUND('',#53417,.T.); #32668=FACE_OUTER_BOUND('',#53418,.T.); #32669=FACE_OUTER_BOUND('',#53419,.T.); #32670=FACE_OUTER_BOUND('',#53420,.T.); #32671=FACE_OUTER_BOUND('',#53421,.T.); #32672=FACE_OUTER_BOUND('',#53422,.T.); #32673=FACE_OUTER_BOUND('',#53423,.T.); #32674=FACE_OUTER_BOUND('',#53424,.T.); #32675=FACE_OUTER_BOUND('',#53425,.T.); #32676=FACE_OUTER_BOUND('',#53426,.T.); #32677=FACE_OUTER_BOUND('',#53427,.T.); #32678=FACE_OUTER_BOUND('',#53428,.T.); #32679=FACE_OUTER_BOUND('',#53429,.T.); #32680=FACE_OUTER_BOUND('',#53430,.T.); #32681=FACE_OUTER_BOUND('',#53431,.T.); #32682=FACE_OUTER_BOUND('',#53432,.T.); #32683=FACE_OUTER_BOUND('',#53433,.T.); #32684=FACE_OUTER_BOUND('',#53434,.T.); #32685=FACE_OUTER_BOUND('',#53435,.T.); #32686=FACE_OUTER_BOUND('',#53436,.T.); #32687=FACE_OUTER_BOUND('',#53437,.T.); #32688=FACE_OUTER_BOUND('',#53438,.T.); #32689=FACE_OUTER_BOUND('',#53439,.T.); #32690=FACE_OUTER_BOUND('',#53440,.T.); #32691=FACE_OUTER_BOUND('',#53441,.T.); #32692=FACE_OUTER_BOUND('',#53442,.T.); #32693=FACE_OUTER_BOUND('',#53443,.T.); #32694=FACE_OUTER_BOUND('',#53444,.T.); #32695=FACE_OUTER_BOUND('',#53445,.T.); #32696=FACE_OUTER_BOUND('',#53446,.T.); #32697=FACE_OUTER_BOUND('',#53447,.T.); #32698=FACE_OUTER_BOUND('',#53448,.T.); #32699=FACE_OUTER_BOUND('',#53449,.T.); #32700=FACE_OUTER_BOUND('',#53450,.T.); #32701=FACE_OUTER_BOUND('',#53451,.T.); #32702=FACE_OUTER_BOUND('',#53452,.T.); #32703=FACE_OUTER_BOUND('',#53453,.T.); #32704=FACE_OUTER_BOUND('',#53454,.T.); #32705=FACE_OUTER_BOUND('',#53455,.T.); #32706=FACE_OUTER_BOUND('',#53456,.T.); #32707=FACE_OUTER_BOUND('',#53457,.T.); #32708=FACE_OUTER_BOUND('',#53458,.T.); #32709=FACE_OUTER_BOUND('',#53459,.T.); #32710=FACE_OUTER_BOUND('',#53460,.T.); #32711=FACE_OUTER_BOUND('',#53461,.T.); #32712=FACE_OUTER_BOUND('',#53462,.T.); #32713=FACE_OUTER_BOUND('',#53463,.T.); #32714=FACE_OUTER_BOUND('',#53464,.T.); #32715=FACE_OUTER_BOUND('',#53465,.T.); #32716=FACE_OUTER_BOUND('',#53466,.T.); #32717=FACE_OUTER_BOUND('',#53467,.T.); #32718=FACE_OUTER_BOUND('',#53468,.T.); #32719=FACE_OUTER_BOUND('',#53469,.T.); #32720=FACE_OUTER_BOUND('',#53470,.T.); #32721=FACE_OUTER_BOUND('',#53471,.T.); #32722=FACE_OUTER_BOUND('',#53472,.T.); #32723=FACE_OUTER_BOUND('',#53473,.T.); #32724=FACE_OUTER_BOUND('',#53474,.T.); #32725=FACE_OUTER_BOUND('',#53475,.T.); #32726=FACE_OUTER_BOUND('',#53476,.T.); #32727=FACE_OUTER_BOUND('',#53477,.T.); #32728=FACE_OUTER_BOUND('',#53478,.T.); #32729=FACE_OUTER_BOUND('',#53479,.T.); #32730=FACE_OUTER_BOUND('',#53480,.T.); #32731=FACE_OUTER_BOUND('',#53481,.T.); #32732=FACE_OUTER_BOUND('',#53482,.T.); #32733=FACE_OUTER_BOUND('',#53483,.T.); #32734=FACE_OUTER_BOUND('',#53484,.T.); #32735=FACE_OUTER_BOUND('',#53485,.T.); #32736=FACE_OUTER_BOUND('',#53486,.T.); #32737=FACE_OUTER_BOUND('',#53487,.T.); #32738=FACE_OUTER_BOUND('',#53488,.T.); #32739=FACE_OUTER_BOUND('',#53489,.T.); #32740=FACE_OUTER_BOUND('',#53490,.T.); #32741=FACE_OUTER_BOUND('',#53491,.T.); #32742=FACE_OUTER_BOUND('',#53492,.T.); #32743=FACE_OUTER_BOUND('',#53493,.T.); #32744=FACE_OUTER_BOUND('',#53494,.T.); #32745=FACE_OUTER_BOUND('',#53495,.T.); #32746=FACE_OUTER_BOUND('',#53496,.T.); #32747=FACE_OUTER_BOUND('',#53497,.T.); #32748=FACE_OUTER_BOUND('',#53498,.T.); #32749=FACE_OUTER_BOUND('',#53499,.T.); #32750=FACE_OUTER_BOUND('',#53500,.T.); #32751=FACE_OUTER_BOUND('',#53501,.T.); #32752=FACE_OUTER_BOUND('',#53502,.T.); #32753=FACE_OUTER_BOUND('',#53503,.T.); #32754=FACE_OUTER_BOUND('',#53504,.T.); #32755=FACE_OUTER_BOUND('',#53505,.T.); #32756=FACE_OUTER_BOUND('',#53506,.T.); #32757=FACE_OUTER_BOUND('',#53507,.T.); #32758=FACE_OUTER_BOUND('',#53508,.T.); #32759=FACE_OUTER_BOUND('',#53509,.T.); #32760=FACE_OUTER_BOUND('',#53510,.T.); #32761=FACE_OUTER_BOUND('',#53511,.T.); #32762=FACE_OUTER_BOUND('',#53512,.T.); #32763=FACE_OUTER_BOUND('',#53513,.T.); #32764=FACE_OUTER_BOUND('',#53514,.T.); #32765=FACE_OUTER_BOUND('',#53515,.T.); #32766=FACE_OUTER_BOUND('',#53516,.T.); #32767=FACE_OUTER_BOUND('',#53517,.T.); #32768=FACE_OUTER_BOUND('',#53518,.T.); #32769=FACE_OUTER_BOUND('',#53519,.T.); #32770=FACE_OUTER_BOUND('',#53520,.T.); #32771=FACE_OUTER_BOUND('',#53521,.T.); #32772=FACE_OUTER_BOUND('',#53522,.T.); #32773=FACE_OUTER_BOUND('',#53523,.T.); #32774=FACE_OUTER_BOUND('',#53524,.T.); #32775=FACE_OUTER_BOUND('',#53525,.T.); #32776=FACE_OUTER_BOUND('',#53526,.T.); #32777=FACE_OUTER_BOUND('',#53527,.T.); #32778=FACE_OUTER_BOUND('',#53528,.T.); #32779=FACE_OUTER_BOUND('',#53529,.T.); #32780=FACE_OUTER_BOUND('',#53530,.T.); #32781=FACE_OUTER_BOUND('',#53531,.T.); #32782=FACE_OUTER_BOUND('',#53532,.T.); #32783=FACE_OUTER_BOUND('',#53533,.T.); #32784=FACE_OUTER_BOUND('',#53534,.T.); #32785=FACE_OUTER_BOUND('',#53535,.T.); #32786=FACE_OUTER_BOUND('',#53536,.T.); #32787=FACE_OUTER_BOUND('',#53537,.T.); #32788=FACE_OUTER_BOUND('',#53538,.T.); #32789=FACE_OUTER_BOUND('',#53539,.T.); #32790=FACE_OUTER_BOUND('',#53540,.T.); #32791=FACE_OUTER_BOUND('',#53541,.T.); #32792=FACE_OUTER_BOUND('',#53542,.T.); #32793=FACE_OUTER_BOUND('',#53543,.T.); #32794=FACE_OUTER_BOUND('',#53544,.T.); #32795=FACE_OUTER_BOUND('',#53545,.T.); #32796=FACE_OUTER_BOUND('',#53546,.T.); #32797=FACE_OUTER_BOUND('',#53547,.T.); #32798=FACE_OUTER_BOUND('',#53548,.T.); #32799=FACE_OUTER_BOUND('',#53549,.T.); #32800=FACE_OUTER_BOUND('',#53550,.T.); #32801=FACE_OUTER_BOUND('',#53551,.T.); #32802=FACE_OUTER_BOUND('',#53552,.T.); #32803=FACE_OUTER_BOUND('',#53553,.T.); #32804=FACE_OUTER_BOUND('',#53554,.T.); #32805=FACE_OUTER_BOUND('',#53555,.T.); #32806=FACE_OUTER_BOUND('',#53556,.T.); #32807=FACE_OUTER_BOUND('',#53557,.T.); #32808=FACE_OUTER_BOUND('',#53558,.T.); #32809=FACE_OUTER_BOUND('',#53559,.T.); #32810=FACE_OUTER_BOUND('',#53560,.T.); #32811=FACE_OUTER_BOUND('',#53561,.T.); #32812=FACE_OUTER_BOUND('',#53562,.T.); #32813=FACE_OUTER_BOUND('',#53563,.T.); #32814=FACE_OUTER_BOUND('',#53564,.T.); #32815=FACE_OUTER_BOUND('',#53565,.T.); #32816=FACE_OUTER_BOUND('',#53566,.T.); #32817=FACE_OUTER_BOUND('',#53567,.T.); #32818=FACE_OUTER_BOUND('',#53568,.T.); #32819=FACE_OUTER_BOUND('',#53569,.T.); #32820=FACE_OUTER_BOUND('',#53570,.T.); #32821=FACE_OUTER_BOUND('',#53571,.T.); #32822=FACE_OUTER_BOUND('',#53572,.T.); #32823=FACE_OUTER_BOUND('',#53573,.T.); #32824=FACE_OUTER_BOUND('',#53574,.T.); #32825=FACE_OUTER_BOUND('',#53575,.T.); #32826=FACE_OUTER_BOUND('',#53576,.T.); #32827=FACE_OUTER_BOUND('',#53577,.T.); #32828=FACE_OUTER_BOUND('',#53578,.T.); #32829=FACE_OUTER_BOUND('',#53579,.T.); #32830=FACE_OUTER_BOUND('',#53580,.T.); #32831=FACE_OUTER_BOUND('',#53581,.T.); #32832=FACE_OUTER_BOUND('',#53582,.T.); #32833=FACE_OUTER_BOUND('',#53583,.T.); #32834=FACE_OUTER_BOUND('',#53584,.T.); #32835=FACE_OUTER_BOUND('',#53585,.T.); #32836=FACE_OUTER_BOUND('',#53586,.T.); #32837=FACE_OUTER_BOUND('',#53587,.T.); #32838=FACE_OUTER_BOUND('',#53588,.T.); #32839=FACE_OUTER_BOUND('',#53589,.T.); #32840=FACE_OUTER_BOUND('',#53590,.T.); #32841=FACE_OUTER_BOUND('',#53591,.T.); #32842=FACE_OUTER_BOUND('',#53592,.T.); #32843=FACE_OUTER_BOUND('',#53593,.T.); #32844=FACE_OUTER_BOUND('',#53594,.T.); #32845=FACE_OUTER_BOUND('',#53595,.T.); #32846=FACE_OUTER_BOUND('',#53596,.T.); #32847=FACE_OUTER_BOUND('',#53597,.T.); #32848=FACE_OUTER_BOUND('',#53598,.T.); #32849=FACE_OUTER_BOUND('',#53599,.T.); #32850=FACE_OUTER_BOUND('',#53600,.T.); #32851=FACE_OUTER_BOUND('',#53601,.T.); #32852=FACE_OUTER_BOUND('',#53602,.T.); #32853=FACE_OUTER_BOUND('',#53603,.T.); #32854=FACE_OUTER_BOUND('',#53604,.T.); #32855=FACE_OUTER_BOUND('',#53605,.T.); #32856=FACE_OUTER_BOUND('',#53606,.T.); #32857=FACE_OUTER_BOUND('',#53607,.T.); #32858=FACE_OUTER_BOUND('',#53608,.T.); #32859=FACE_OUTER_BOUND('',#53609,.T.); #32860=FACE_OUTER_BOUND('',#53610,.T.); #32861=FACE_OUTER_BOUND('',#53611,.T.); #32862=FACE_OUTER_BOUND('',#53612,.T.); #32863=FACE_OUTER_BOUND('',#53613,.T.); #32864=FACE_OUTER_BOUND('',#53614,.T.); #32865=FACE_OUTER_BOUND('',#53615,.T.); #32866=FACE_OUTER_BOUND('',#53616,.T.); #32867=FACE_OUTER_BOUND('',#53617,.T.); #32868=FACE_OUTER_BOUND('',#53618,.T.); #32869=FACE_OUTER_BOUND('',#53619,.T.); #32870=FACE_OUTER_BOUND('',#53620,.T.); #32871=FACE_OUTER_BOUND('',#53621,.T.); #32872=FACE_OUTER_BOUND('',#53622,.T.); #32873=FACE_OUTER_BOUND('',#53623,.T.); #32874=FACE_OUTER_BOUND('',#53624,.T.); #32875=FACE_OUTER_BOUND('',#53625,.T.); #32876=FACE_OUTER_BOUND('',#53626,.T.); #32877=FACE_OUTER_BOUND('',#53627,.T.); #32878=FACE_OUTER_BOUND('',#53628,.T.); #32879=FACE_OUTER_BOUND('',#53629,.T.); #32880=FACE_OUTER_BOUND('',#53630,.T.); #32881=FACE_OUTER_BOUND('',#53631,.T.); #32882=FACE_OUTER_BOUND('',#53632,.T.); #32883=FACE_OUTER_BOUND('',#53633,.T.); #32884=FACE_OUTER_BOUND('',#53634,.T.); #32885=FACE_OUTER_BOUND('',#53635,.T.); #32886=FACE_OUTER_BOUND('',#53636,.T.); #32887=FACE_OUTER_BOUND('',#53637,.T.); #32888=FACE_OUTER_BOUND('',#53638,.T.); #32889=FACE_OUTER_BOUND('',#53639,.T.); #32890=FACE_OUTER_BOUND('',#53640,.T.); #32891=FACE_OUTER_BOUND('',#53641,.T.); #32892=FACE_OUTER_BOUND('',#53642,.T.); #32893=FACE_OUTER_BOUND('',#53643,.T.); #32894=FACE_OUTER_BOUND('',#53644,.T.); #32895=FACE_OUTER_BOUND('',#53645,.T.); #32896=FACE_OUTER_BOUND('',#53646,.T.); #32897=FACE_OUTER_BOUND('',#53647,.T.); #32898=FACE_OUTER_BOUND('',#53648,.T.); #32899=FACE_OUTER_BOUND('',#53649,.T.); #32900=FACE_OUTER_BOUND('',#53650,.T.); #32901=FACE_OUTER_BOUND('',#53651,.T.); #32902=FACE_OUTER_BOUND('',#53652,.T.); #32903=FACE_OUTER_BOUND('',#53653,.T.); #32904=FACE_OUTER_BOUND('',#53654,.T.); #32905=FACE_OUTER_BOUND('',#53655,.T.); #32906=FACE_OUTER_BOUND('',#53656,.T.); #32907=FACE_OUTER_BOUND('',#53657,.T.); #32908=FACE_OUTER_BOUND('',#53658,.T.); #32909=FACE_OUTER_BOUND('',#53659,.T.); #32910=FACE_OUTER_BOUND('',#53660,.T.); #32911=FACE_OUTER_BOUND('',#53661,.T.); #32912=FACE_OUTER_BOUND('',#53662,.T.); #32913=FACE_OUTER_BOUND('',#53663,.T.); #32914=FACE_OUTER_BOUND('',#53664,.T.); #32915=FACE_OUTER_BOUND('',#53665,.T.); #32916=FACE_OUTER_BOUND('',#53666,.T.); #32917=FACE_OUTER_BOUND('',#53667,.T.); #32918=FACE_OUTER_BOUND('',#53668,.T.); #32919=FACE_OUTER_BOUND('',#53669,.T.); #32920=FACE_OUTER_BOUND('',#53670,.T.); #32921=FACE_OUTER_BOUND('',#53671,.T.); #32922=FACE_OUTER_BOUND('',#53672,.T.); #32923=FACE_OUTER_BOUND('',#53673,.T.); #32924=FACE_OUTER_BOUND('',#53674,.T.); #32925=FACE_OUTER_BOUND('',#53675,.T.); #32926=FACE_OUTER_BOUND('',#53676,.T.); #32927=FACE_OUTER_BOUND('',#53677,.T.); #32928=FACE_OUTER_BOUND('',#53678,.T.); #32929=FACE_OUTER_BOUND('',#53679,.T.); #32930=FACE_OUTER_BOUND('',#53680,.T.); #32931=FACE_OUTER_BOUND('',#53681,.T.); #32932=FACE_OUTER_BOUND('',#53682,.T.); #32933=FACE_OUTER_BOUND('',#53683,.T.); #32934=FACE_OUTER_BOUND('',#53684,.T.); #32935=FACE_OUTER_BOUND('',#53685,.T.); #32936=FACE_OUTER_BOUND('',#53686,.T.); #32937=FACE_OUTER_BOUND('',#53687,.T.); #32938=FACE_OUTER_BOUND('',#53688,.T.); #32939=FACE_OUTER_BOUND('',#53689,.T.); #32940=FACE_OUTER_BOUND('',#53690,.T.); #32941=FACE_OUTER_BOUND('',#53691,.T.); #32942=FACE_OUTER_BOUND('',#53692,.T.); #32943=FACE_OUTER_BOUND('',#53693,.T.); #32944=FACE_OUTER_BOUND('',#53694,.T.); #32945=FACE_OUTER_BOUND('',#53695,.T.); #32946=FACE_OUTER_BOUND('',#53696,.T.); #32947=FACE_OUTER_BOUND('',#53697,.T.); #32948=FACE_OUTER_BOUND('',#53698,.T.); #32949=FACE_OUTER_BOUND('',#53699,.T.); #32950=FACE_OUTER_BOUND('',#53700,.T.); #32951=FACE_OUTER_BOUND('',#53701,.T.); #32952=FACE_OUTER_BOUND('',#53702,.T.); #32953=FACE_OUTER_BOUND('',#53703,.T.); #32954=FACE_OUTER_BOUND('',#53704,.T.); #32955=FACE_OUTER_BOUND('',#53705,.T.); #32956=FACE_OUTER_BOUND('',#53706,.T.); #32957=FACE_OUTER_BOUND('',#53707,.T.); #32958=FACE_OUTER_BOUND('',#53708,.T.); #32959=FACE_OUTER_BOUND('',#53709,.T.); #32960=FACE_OUTER_BOUND('',#53710,.T.); #32961=FACE_OUTER_BOUND('',#53711,.T.); #32962=FACE_OUTER_BOUND('',#53712,.T.); #32963=FACE_OUTER_BOUND('',#53713,.T.); #32964=FACE_OUTER_BOUND('',#53714,.T.); #32965=FACE_OUTER_BOUND('',#53715,.T.); #32966=FACE_OUTER_BOUND('',#53716,.T.); #32967=FACE_OUTER_BOUND('',#53717,.T.); #32968=FACE_OUTER_BOUND('',#53718,.T.); #32969=FACE_OUTER_BOUND('',#53719,.T.); #32970=FACE_OUTER_BOUND('',#53720,.T.); #32971=FACE_OUTER_BOUND('',#53721,.T.); #32972=FACE_OUTER_BOUND('',#53722,.T.); #32973=FACE_OUTER_BOUND('',#53723,.T.); #32974=FACE_OUTER_BOUND('',#53724,.T.); #32975=FACE_OUTER_BOUND('',#53725,.T.); #32976=FACE_OUTER_BOUND('',#53726,.T.); #32977=FACE_OUTER_BOUND('',#53727,.T.); #32978=FACE_OUTER_BOUND('',#53728,.T.); #32979=FACE_OUTER_BOUND('',#53729,.T.); #32980=FACE_OUTER_BOUND('',#53730,.T.); #32981=FACE_OUTER_BOUND('',#53731,.T.); #32982=FACE_OUTER_BOUND('',#53732,.T.); #32983=FACE_OUTER_BOUND('',#53733,.T.); #32984=FACE_OUTER_BOUND('',#53734,.T.); #32985=FACE_OUTER_BOUND('',#53735,.T.); #32986=FACE_OUTER_BOUND('',#53736,.T.); #32987=FACE_OUTER_BOUND('',#53737,.T.); #32988=FACE_OUTER_BOUND('',#53738,.T.); #32989=FACE_OUTER_BOUND('',#53739,.T.); #32990=FACE_OUTER_BOUND('',#53740,.T.); #32991=FACE_OUTER_BOUND('',#53741,.T.); #32992=FACE_OUTER_BOUND('',#53742,.T.); #32993=FACE_OUTER_BOUND('',#53743,.T.); #32994=FACE_OUTER_BOUND('',#53744,.T.); #32995=FACE_OUTER_BOUND('',#53745,.T.); #32996=FACE_OUTER_BOUND('',#53746,.T.); #32997=FACE_OUTER_BOUND('',#53747,.T.); #32998=FACE_OUTER_BOUND('',#53748,.T.); #32999=FACE_OUTER_BOUND('',#53749,.T.); #33000=FACE_OUTER_BOUND('',#53750,.T.); #33001=FACE_OUTER_BOUND('',#53751,.T.); #33002=FACE_OUTER_BOUND('',#53752,.T.); #33003=FACE_OUTER_BOUND('',#53753,.T.); #33004=FACE_OUTER_BOUND('',#53754,.T.); #33005=FACE_OUTER_BOUND('',#53755,.T.); #33006=FACE_OUTER_BOUND('',#53756,.T.); #33007=FACE_OUTER_BOUND('',#53757,.T.); #33008=FACE_OUTER_BOUND('',#53758,.T.); #33009=FACE_OUTER_BOUND('',#53759,.T.); #33010=FACE_OUTER_BOUND('',#53760,.T.); #33011=FACE_OUTER_BOUND('',#53761,.T.); #33012=FACE_OUTER_BOUND('',#53762,.T.); #33013=FACE_OUTER_BOUND('',#53763,.T.); #33014=FACE_OUTER_BOUND('',#53764,.T.); #33015=FACE_OUTER_BOUND('',#53765,.T.); #33016=FACE_OUTER_BOUND('',#53766,.T.); #33017=FACE_OUTER_BOUND('',#53767,.T.); #33018=FACE_OUTER_BOUND('',#53768,.T.); #33019=FACE_OUTER_BOUND('',#53769,.T.); #33020=FACE_OUTER_BOUND('',#53770,.T.); #33021=FACE_OUTER_BOUND('',#53771,.T.); #33022=FACE_OUTER_BOUND('',#53772,.T.); #33023=FACE_OUTER_BOUND('',#53773,.T.); #33024=FACE_OUTER_BOUND('',#53774,.T.); #33025=FACE_OUTER_BOUND('',#53775,.T.); #33026=FACE_OUTER_BOUND('',#53776,.T.); #33027=FACE_OUTER_BOUND('',#53777,.T.); #33028=FACE_OUTER_BOUND('',#53778,.T.); #33029=FACE_OUTER_BOUND('',#53779,.T.); #33030=FACE_OUTER_BOUND('',#53780,.T.); #33031=FACE_OUTER_BOUND('',#53781,.T.); #33032=FACE_OUTER_BOUND('',#53782,.T.); #33033=FACE_OUTER_BOUND('',#53783,.T.); #33034=FACE_OUTER_BOUND('',#53784,.T.); #33035=FACE_OUTER_BOUND('',#53785,.T.); #33036=FACE_OUTER_BOUND('',#53786,.T.); #33037=FACE_OUTER_BOUND('',#53787,.T.); #33038=FACE_OUTER_BOUND('',#53788,.T.); #33039=FACE_OUTER_BOUND('',#53789,.T.); #33040=FACE_OUTER_BOUND('',#53790,.T.); #33041=FACE_OUTER_BOUND('',#53791,.T.); #33042=FACE_OUTER_BOUND('',#53792,.T.); #33043=FACE_OUTER_BOUND('',#53793,.T.); #33044=FACE_OUTER_BOUND('',#53794,.T.); #33045=FACE_OUTER_BOUND('',#53795,.T.); #33046=FACE_OUTER_BOUND('',#53796,.T.); #33047=FACE_OUTER_BOUND('',#53797,.T.); #33048=FACE_OUTER_BOUND('',#53798,.T.); #33049=FACE_OUTER_BOUND('',#53799,.T.); #33050=FACE_OUTER_BOUND('',#53800,.T.); #33051=FACE_OUTER_BOUND('',#53801,.T.); #33052=FACE_OUTER_BOUND('',#53802,.T.); #33053=FACE_OUTER_BOUND('',#53803,.T.); #33054=FACE_OUTER_BOUND('',#53804,.T.); #33055=FACE_OUTER_BOUND('',#53805,.T.); #33056=FACE_OUTER_BOUND('',#53806,.T.); #33057=FACE_OUTER_BOUND('',#53807,.T.); #33058=FACE_OUTER_BOUND('',#53808,.T.); #33059=FACE_OUTER_BOUND('',#53809,.T.); #33060=FACE_OUTER_BOUND('',#53810,.T.); #33061=FACE_OUTER_BOUND('',#53811,.T.); #33062=FACE_OUTER_BOUND('',#53812,.T.); #33063=FACE_OUTER_BOUND('',#53813,.T.); #33064=FACE_OUTER_BOUND('',#53814,.T.); #33065=FACE_OUTER_BOUND('',#53815,.T.); #33066=FACE_OUTER_BOUND('',#53816,.T.); #33067=FACE_OUTER_BOUND('',#53817,.T.); #33068=FACE_OUTER_BOUND('',#53818,.T.); #33069=FACE_OUTER_BOUND('',#53819,.T.); #33070=FACE_OUTER_BOUND('',#53820,.T.); #33071=FACE_OUTER_BOUND('',#53821,.T.); #33072=FACE_OUTER_BOUND('',#53822,.T.); #33073=FACE_OUTER_BOUND('',#53823,.T.); #33074=FACE_OUTER_BOUND('',#53824,.T.); #33075=FACE_OUTER_BOUND('',#53825,.T.); #33076=FACE_OUTER_BOUND('',#53826,.T.); #33077=FACE_OUTER_BOUND('',#53827,.T.); #33078=FACE_OUTER_BOUND('',#53828,.T.); #33079=FACE_OUTER_BOUND('',#53829,.T.); #33080=FACE_OUTER_BOUND('',#53830,.T.); #33081=FACE_OUTER_BOUND('',#53831,.T.); #33082=FACE_OUTER_BOUND('',#53832,.T.); #33083=FACE_OUTER_BOUND('',#53833,.T.); #33084=FACE_OUTER_BOUND('',#53834,.T.); #33085=FACE_OUTER_BOUND('',#53835,.T.); #33086=FACE_OUTER_BOUND('',#53836,.T.); #33087=FACE_OUTER_BOUND('',#53837,.T.); #33088=FACE_OUTER_BOUND('',#53838,.T.); #33089=FACE_OUTER_BOUND('',#53839,.T.); #33090=FACE_OUTER_BOUND('',#53840,.T.); #33091=FACE_OUTER_BOUND('',#53841,.T.); #33092=FACE_OUTER_BOUND('',#53842,.T.); #33093=FACE_OUTER_BOUND('',#53843,.T.); #33094=FACE_OUTER_BOUND('',#53844,.T.); #33095=FACE_OUTER_BOUND('',#53845,.T.); #33096=FACE_OUTER_BOUND('',#53846,.T.); #33097=FACE_OUTER_BOUND('',#53847,.T.); #33098=FACE_OUTER_BOUND('',#53848,.T.); #33099=FACE_OUTER_BOUND('',#53849,.T.); #33100=FACE_OUTER_BOUND('',#53850,.T.); #33101=FACE_OUTER_BOUND('',#53851,.T.); #33102=FACE_OUTER_BOUND('',#53852,.T.); #33103=FACE_OUTER_BOUND('',#53853,.T.); #33104=FACE_OUTER_BOUND('',#53854,.T.); #33105=FACE_OUTER_BOUND('',#53855,.T.); #33106=FACE_OUTER_BOUND('',#53856,.T.); #33107=FACE_OUTER_BOUND('',#53857,.T.); #33108=FACE_OUTER_BOUND('',#53858,.T.); #33109=FACE_OUTER_BOUND('',#53859,.T.); #33110=FACE_OUTER_BOUND('',#53860,.T.); #33111=FACE_OUTER_BOUND('',#53861,.T.); #33112=FACE_OUTER_BOUND('',#53862,.T.); #33113=FACE_OUTER_BOUND('',#53863,.T.); #33114=FACE_OUTER_BOUND('',#53864,.T.); #33115=FACE_OUTER_BOUND('',#53865,.T.); #33116=FACE_OUTER_BOUND('',#53866,.T.); #33117=FACE_OUTER_BOUND('',#53867,.T.); #33118=FACE_OUTER_BOUND('',#53868,.T.); #33119=FACE_OUTER_BOUND('',#53869,.T.); #33120=FACE_OUTER_BOUND('',#53870,.T.); #33121=FACE_OUTER_BOUND('',#53871,.T.); #33122=FACE_OUTER_BOUND('',#53872,.T.); #33123=FACE_OUTER_BOUND('',#53873,.T.); #33124=FACE_OUTER_BOUND('',#53874,.T.); #33125=FACE_OUTER_BOUND('',#53875,.T.); #33126=FACE_OUTER_BOUND('',#53876,.T.); #33127=FACE_OUTER_BOUND('',#53877,.T.); #33128=FACE_OUTER_BOUND('',#53878,.T.); #33129=FACE_OUTER_BOUND('',#53879,.T.); #33130=FACE_OUTER_BOUND('',#53880,.T.); #33131=FACE_OUTER_BOUND('',#53881,.T.); #33132=FACE_OUTER_BOUND('',#53882,.T.); #33133=FACE_OUTER_BOUND('',#53883,.T.); #33134=FACE_OUTER_BOUND('',#53884,.T.); #33135=FACE_OUTER_BOUND('',#53885,.T.); #33136=FACE_OUTER_BOUND('',#53886,.T.); #33137=FACE_OUTER_BOUND('',#53887,.T.); #33138=FACE_OUTER_BOUND('',#53888,.T.); #33139=FACE_OUTER_BOUND('',#53889,.T.); #33140=FACE_OUTER_BOUND('',#53890,.T.); #33141=FACE_OUTER_BOUND('',#53891,.T.); #33142=FACE_OUTER_BOUND('',#53892,.T.); #33143=FACE_OUTER_BOUND('',#53893,.T.); #33144=FACE_OUTER_BOUND('',#53894,.T.); #33145=FACE_OUTER_BOUND('',#53895,.T.); #33146=FACE_OUTER_BOUND('',#53896,.T.); #33147=FACE_OUTER_BOUND('',#53897,.T.); #33148=FACE_OUTER_BOUND('',#53898,.T.); #33149=FACE_OUTER_BOUND('',#53899,.T.); #33150=FACE_OUTER_BOUND('',#53900,.T.); #33151=FACE_OUTER_BOUND('',#53901,.T.); #33152=FACE_OUTER_BOUND('',#53902,.T.); #33153=FACE_OUTER_BOUND('',#53903,.T.); #33154=FACE_OUTER_BOUND('',#53904,.T.); #33155=FACE_OUTER_BOUND('',#53905,.T.); #33156=FACE_OUTER_BOUND('',#53906,.T.); #33157=FACE_OUTER_BOUND('',#53907,.T.); #33158=FACE_OUTER_BOUND('',#53908,.T.); #33159=FACE_OUTER_BOUND('',#53909,.T.); #33160=FACE_OUTER_BOUND('',#53910,.T.); #33161=FACE_OUTER_BOUND('',#53911,.T.); #33162=FACE_OUTER_BOUND('',#53912,.T.); #33163=FACE_OUTER_BOUND('',#53913,.T.); #33164=FACE_OUTER_BOUND('',#53914,.T.); #33165=FACE_OUTER_BOUND('',#53915,.T.); #33166=FACE_OUTER_BOUND('',#53916,.T.); #33167=FACE_OUTER_BOUND('',#53917,.T.); #33168=FACE_OUTER_BOUND('',#53918,.T.); #33169=FACE_OUTER_BOUND('',#53919,.T.); #33170=FACE_OUTER_BOUND('',#53920,.T.); #33171=FACE_OUTER_BOUND('',#53921,.T.); #33172=FACE_OUTER_BOUND('',#53922,.T.); #33173=FACE_OUTER_BOUND('',#53923,.T.); #33174=FACE_OUTER_BOUND('',#53924,.T.); #33175=FACE_OUTER_BOUND('',#53925,.T.); #33176=FACE_OUTER_BOUND('',#53926,.T.); #33177=FACE_OUTER_BOUND('',#53927,.T.); #33178=FACE_OUTER_BOUND('',#53928,.T.); #33179=FACE_OUTER_BOUND('',#53929,.T.); #33180=FACE_OUTER_BOUND('',#53930,.T.); #33181=FACE_OUTER_BOUND('',#53931,.T.); #33182=FACE_OUTER_BOUND('',#53932,.T.); #33183=FACE_OUTER_BOUND('',#53933,.T.); #33184=FACE_OUTER_BOUND('',#53934,.T.); #33185=FACE_OUTER_BOUND('',#53935,.T.); #33186=FACE_OUTER_BOUND('',#53936,.T.); #33187=FACE_OUTER_BOUND('',#53937,.T.); #33188=FACE_OUTER_BOUND('',#53938,.T.); #33189=FACE_OUTER_BOUND('',#53939,.T.); #33190=FACE_OUTER_BOUND('',#53940,.T.); #33191=FACE_OUTER_BOUND('',#53941,.T.); #33192=FACE_OUTER_BOUND('',#53942,.T.); #33193=FACE_OUTER_BOUND('',#53943,.T.); #33194=FACE_OUTER_BOUND('',#53944,.T.); #33195=FACE_OUTER_BOUND('',#53945,.T.); #33196=FACE_OUTER_BOUND('',#53946,.T.); #33197=FACE_OUTER_BOUND('',#53947,.T.); #33198=FACE_OUTER_BOUND('',#53948,.T.); #33199=FACE_OUTER_BOUND('',#53949,.T.); #33200=FACE_OUTER_BOUND('',#53950,.T.); #33201=FACE_OUTER_BOUND('',#53951,.T.); #33202=FACE_OUTER_BOUND('',#53952,.T.); #33203=FACE_OUTER_BOUND('',#53953,.T.); #33204=FACE_OUTER_BOUND('',#53954,.T.); #33205=FACE_OUTER_BOUND('',#53955,.T.); #33206=FACE_OUTER_BOUND('',#53956,.T.); #33207=FACE_OUTER_BOUND('',#53957,.T.); #33208=FACE_OUTER_BOUND('',#53958,.T.); #33209=FACE_OUTER_BOUND('',#53959,.T.); #33210=FACE_OUTER_BOUND('',#53960,.T.); #33211=FACE_OUTER_BOUND('',#53961,.T.); #33212=FACE_OUTER_BOUND('',#53962,.T.); #33213=FACE_OUTER_BOUND('',#53963,.T.); #33214=FACE_OUTER_BOUND('',#53964,.T.); #33215=FACE_OUTER_BOUND('',#53965,.T.); #33216=FACE_OUTER_BOUND('',#53966,.T.); #33217=FACE_OUTER_BOUND('',#53967,.T.); #33218=FACE_OUTER_BOUND('',#53968,.T.); #33219=FACE_OUTER_BOUND('',#53969,.T.); #33220=FACE_OUTER_BOUND('',#53970,.T.); #33221=FACE_OUTER_BOUND('',#53971,.T.); #33222=FACE_OUTER_BOUND('',#53972,.T.); #33223=FACE_OUTER_BOUND('',#53973,.T.); #33224=FACE_OUTER_BOUND('',#53974,.T.); #33225=FACE_OUTER_BOUND('',#53975,.T.); #33226=FACE_OUTER_BOUND('',#53976,.T.); #33227=FACE_OUTER_BOUND('',#53977,.T.); #33228=FACE_OUTER_BOUND('',#53978,.T.); #33229=FACE_OUTER_BOUND('',#53979,.T.); #33230=FACE_OUTER_BOUND('',#53980,.T.); #33231=FACE_OUTER_BOUND('',#53981,.T.); #33232=FACE_OUTER_BOUND('',#53982,.T.); #33233=FACE_OUTER_BOUND('',#53983,.T.); #33234=FACE_OUTER_BOUND('',#53984,.T.); #33235=FACE_OUTER_BOUND('',#53985,.T.); #33236=FACE_OUTER_BOUND('',#53986,.T.); #33237=FACE_OUTER_BOUND('',#53987,.T.); #33238=FACE_OUTER_BOUND('',#53988,.T.); #33239=FACE_OUTER_BOUND('',#53989,.T.); #33240=FACE_OUTER_BOUND('',#53990,.T.); #33241=FACE_OUTER_BOUND('',#53991,.T.); #33242=FACE_OUTER_BOUND('',#53992,.T.); #33243=FACE_OUTER_BOUND('',#53993,.T.); #33244=FACE_OUTER_BOUND('',#53994,.T.); #33245=FACE_OUTER_BOUND('',#53995,.T.); #33246=FACE_OUTER_BOUND('',#53996,.T.); #33247=FACE_OUTER_BOUND('',#53997,.T.); #33248=FACE_OUTER_BOUND('',#53998,.T.); #33249=FACE_OUTER_BOUND('',#53999,.T.); #33250=FACE_OUTER_BOUND('',#54000,.T.); #33251=FACE_OUTER_BOUND('',#54001,.T.); #33252=FACE_OUTER_BOUND('',#54002,.T.); #33253=FACE_OUTER_BOUND('',#54003,.T.); #33254=FACE_OUTER_BOUND('',#54004,.T.); #33255=FACE_OUTER_BOUND('',#54005,.T.); #33256=FACE_OUTER_BOUND('',#54006,.T.); #33257=FACE_OUTER_BOUND('',#54007,.T.); #33258=FACE_OUTER_BOUND('',#54008,.T.); #33259=FACE_OUTER_BOUND('',#54009,.T.); #33260=FACE_OUTER_BOUND('',#54010,.T.); #33261=FACE_OUTER_BOUND('',#54011,.T.); #33262=FACE_OUTER_BOUND('',#54012,.T.); #33263=FACE_OUTER_BOUND('',#54013,.T.); #33264=FACE_OUTER_BOUND('',#54014,.T.); #33265=FACE_OUTER_BOUND('',#54015,.T.); #33266=FACE_OUTER_BOUND('',#54016,.T.); #33267=FACE_OUTER_BOUND('',#54017,.T.); #33268=FACE_OUTER_BOUND('',#54018,.T.); #33269=FACE_OUTER_BOUND('',#54019,.T.); #33270=FACE_OUTER_BOUND('',#54020,.T.); #33271=FACE_OUTER_BOUND('',#54021,.T.); #33272=FACE_OUTER_BOUND('',#54022,.T.); #33273=FACE_OUTER_BOUND('',#54023,.T.); #33274=FACE_OUTER_BOUND('',#54024,.T.); #33275=FACE_OUTER_BOUND('',#54025,.T.); #33276=FACE_OUTER_BOUND('',#54026,.T.); #33277=FACE_OUTER_BOUND('',#54027,.T.); #33278=FACE_OUTER_BOUND('',#54028,.T.); #33279=FACE_OUTER_BOUND('',#54029,.T.); #33280=FACE_OUTER_BOUND('',#54030,.T.); #33281=FACE_OUTER_BOUND('',#54031,.T.); #33282=FACE_OUTER_BOUND('',#54032,.T.); #33283=FACE_OUTER_BOUND('',#54033,.T.); #33284=FACE_OUTER_BOUND('',#54034,.T.); #33285=FACE_OUTER_BOUND('',#54035,.T.); #33286=FACE_OUTER_BOUND('',#54036,.T.); #33287=FACE_OUTER_BOUND('',#54037,.T.); #33288=FACE_OUTER_BOUND('',#54038,.T.); #33289=FACE_OUTER_BOUND('',#54039,.T.); #33290=FACE_OUTER_BOUND('',#54040,.T.); #33291=FACE_OUTER_BOUND('',#54041,.T.); #33292=FACE_OUTER_BOUND('',#54042,.T.); #33293=FACE_OUTER_BOUND('',#54043,.T.); #33294=FACE_OUTER_BOUND('',#54044,.T.); #33295=FACE_OUTER_BOUND('',#54045,.T.); #33296=FACE_OUTER_BOUND('',#54046,.T.); #33297=FACE_OUTER_BOUND('',#54047,.T.); #33298=FACE_OUTER_BOUND('',#54048,.T.); #33299=FACE_OUTER_BOUND('',#54049,.T.); #33300=FACE_OUTER_BOUND('',#54050,.T.); #33301=FACE_OUTER_BOUND('',#54051,.T.); #33302=FACE_OUTER_BOUND('',#54052,.T.); #33303=FACE_OUTER_BOUND('',#54053,.T.); #33304=FACE_OUTER_BOUND('',#54054,.T.); #33305=FACE_OUTER_BOUND('',#54055,.T.); #33306=FACE_OUTER_BOUND('',#54056,.T.); #33307=FACE_OUTER_BOUND('',#54057,.T.); #33308=FACE_OUTER_BOUND('',#54058,.T.); #33309=FACE_OUTER_BOUND('',#54059,.T.); #33310=FACE_OUTER_BOUND('',#54060,.T.); #33311=FACE_OUTER_BOUND('',#54061,.T.); #33312=FACE_OUTER_BOUND('',#54062,.T.); #33313=FACE_OUTER_BOUND('',#54063,.T.); #33314=FACE_OUTER_BOUND('',#54064,.T.); #33315=FACE_OUTER_BOUND('',#54065,.T.); #33316=FACE_OUTER_BOUND('',#54066,.T.); #33317=FACE_OUTER_BOUND('',#54067,.T.); #33318=FACE_OUTER_BOUND('',#54068,.T.); #33319=FACE_OUTER_BOUND('',#54069,.T.); #33320=FACE_OUTER_BOUND('',#54070,.T.); #33321=FACE_OUTER_BOUND('',#54071,.T.); #33322=FACE_OUTER_BOUND('',#54072,.T.); #33323=FACE_OUTER_BOUND('',#54073,.T.); #33324=FACE_OUTER_BOUND('',#54074,.T.); #33325=FACE_OUTER_BOUND('',#54075,.T.); #33326=FACE_OUTER_BOUND('',#54076,.T.); #33327=FACE_OUTER_BOUND('',#54077,.T.); #33328=FACE_OUTER_BOUND('',#54078,.T.); #33329=FACE_OUTER_BOUND('',#54079,.T.); #33330=FACE_OUTER_BOUND('',#54080,.T.); #33331=FACE_OUTER_BOUND('',#54081,.T.); #33332=FACE_OUTER_BOUND('',#54082,.T.); #33333=FACE_OUTER_BOUND('',#54083,.T.); #33334=FACE_OUTER_BOUND('',#54084,.T.); #33335=FACE_OUTER_BOUND('',#54085,.T.); #33336=FACE_OUTER_BOUND('',#54086,.T.); #33337=FACE_OUTER_BOUND('',#54087,.T.); #33338=FACE_OUTER_BOUND('',#54088,.T.); #33339=FACE_OUTER_BOUND('',#54089,.T.); #33340=FACE_OUTER_BOUND('',#54090,.T.); #33341=FACE_OUTER_BOUND('',#54091,.T.); #33342=FACE_OUTER_BOUND('',#54092,.T.); #33343=FACE_OUTER_BOUND('',#54093,.T.); #33344=FACE_OUTER_BOUND('',#54094,.T.); #33345=FACE_OUTER_BOUND('',#54095,.T.); #33346=FACE_OUTER_BOUND('',#54096,.T.); #33347=FACE_OUTER_BOUND('',#54097,.T.); #33348=FACE_OUTER_BOUND('',#54098,.T.); #33349=FACE_OUTER_BOUND('',#54099,.T.); #33350=FACE_OUTER_BOUND('',#54100,.T.); #33351=FACE_OUTER_BOUND('',#54101,.T.); #33352=FACE_OUTER_BOUND('',#54102,.T.); #33353=FACE_OUTER_BOUND('',#54103,.T.); #33354=FACE_OUTER_BOUND('',#54104,.T.); #33355=FACE_OUTER_BOUND('',#54105,.T.); #33356=FACE_OUTER_BOUND('',#54106,.T.); #33357=FACE_OUTER_BOUND('',#54107,.T.); #33358=FACE_OUTER_BOUND('',#54108,.T.); #33359=FACE_OUTER_BOUND('',#54109,.T.); #33360=FACE_OUTER_BOUND('',#54110,.T.); #33361=FACE_OUTER_BOUND('',#54111,.T.); #33362=FACE_OUTER_BOUND('',#54112,.T.); #33363=FACE_OUTER_BOUND('',#54113,.T.); #33364=FACE_OUTER_BOUND('',#54114,.T.); #33365=FACE_OUTER_BOUND('',#54115,.T.); #33366=FACE_OUTER_BOUND('',#54116,.T.); #33367=FACE_OUTER_BOUND('',#54117,.T.); #33368=FACE_OUTER_BOUND('',#54118,.T.); #33369=FACE_OUTER_BOUND('',#54119,.T.); #33370=FACE_OUTER_BOUND('',#54120,.T.); #33371=FACE_OUTER_BOUND('',#54121,.T.); #33372=FACE_OUTER_BOUND('',#54122,.T.); #33373=FACE_OUTER_BOUND('',#54123,.T.); #33374=FACE_OUTER_BOUND('',#54124,.T.); #33375=FACE_OUTER_BOUND('',#54125,.T.); #33376=FACE_OUTER_BOUND('',#54126,.T.); #33377=FACE_OUTER_BOUND('',#54127,.T.); #33378=FACE_OUTER_BOUND('',#54128,.T.); #33379=FACE_OUTER_BOUND('',#54129,.T.); #33380=FACE_OUTER_BOUND('',#54130,.T.); #33381=FACE_OUTER_BOUND('',#54131,.T.); #33382=FACE_OUTER_BOUND('',#54132,.T.); #33383=FACE_OUTER_BOUND('',#54133,.T.); #33384=FACE_OUTER_BOUND('',#54134,.T.); #33385=FACE_OUTER_BOUND('',#54135,.T.); #33386=FACE_OUTER_BOUND('',#54136,.T.); #33387=FACE_OUTER_BOUND('',#54137,.T.); #33388=FACE_OUTER_BOUND('',#54138,.T.); #33389=FACE_OUTER_BOUND('',#54139,.T.); #33390=FACE_OUTER_BOUND('',#54140,.T.); #33391=FACE_OUTER_BOUND('',#54141,.T.); #33392=FACE_OUTER_BOUND('',#54142,.T.); #33393=FACE_OUTER_BOUND('',#54143,.T.); #33394=FACE_OUTER_BOUND('',#54144,.T.); #33395=FACE_OUTER_BOUND('',#54145,.T.); #33396=FACE_OUTER_BOUND('',#54146,.T.); #33397=FACE_OUTER_BOUND('',#54147,.T.); #33398=FACE_OUTER_BOUND('',#54148,.T.); #33399=FACE_OUTER_BOUND('',#54149,.T.); #33400=FACE_OUTER_BOUND('',#54150,.T.); #33401=FACE_OUTER_BOUND('',#54151,.T.); #33402=FACE_OUTER_BOUND('',#54152,.T.); #33403=FACE_OUTER_BOUND('',#54153,.T.); #33404=FACE_OUTER_BOUND('',#54154,.T.); #33405=FACE_OUTER_BOUND('',#54155,.T.); #33406=FACE_OUTER_BOUND('',#54156,.T.); #33407=FACE_OUTER_BOUND('',#54157,.T.); #33408=FACE_OUTER_BOUND('',#54158,.T.); #33409=FACE_OUTER_BOUND('',#54159,.T.); #33410=FACE_OUTER_BOUND('',#54160,.T.); #33411=FACE_OUTER_BOUND('',#54161,.T.); #33412=FACE_OUTER_BOUND('',#54162,.T.); #33413=FACE_OUTER_BOUND('',#54163,.T.); #33414=FACE_OUTER_BOUND('',#54164,.T.); #33415=FACE_OUTER_BOUND('',#54165,.T.); #33416=FACE_OUTER_BOUND('',#54166,.T.); #33417=FACE_OUTER_BOUND('',#54167,.T.); #33418=FACE_OUTER_BOUND('',#54168,.T.); #33419=FACE_OUTER_BOUND('',#54169,.T.); #33420=FACE_OUTER_BOUND('',#54170,.T.); #33421=FACE_OUTER_BOUND('',#54171,.T.); #33422=FACE_OUTER_BOUND('',#54172,.T.); #33423=FACE_OUTER_BOUND('',#54173,.T.); #33424=FACE_OUTER_BOUND('',#54174,.T.); #33425=FACE_OUTER_BOUND('',#54175,.T.); #33426=FACE_OUTER_BOUND('',#54176,.T.); #33427=FACE_OUTER_BOUND('',#54177,.T.); #33428=FACE_OUTER_BOUND('',#54178,.T.); #33429=FACE_OUTER_BOUND('',#54179,.T.); #33430=FACE_OUTER_BOUND('',#54180,.T.); #33431=FACE_OUTER_BOUND('',#54181,.T.); #33432=FACE_OUTER_BOUND('',#54182,.T.); #33433=FACE_OUTER_BOUND('',#54183,.T.); #33434=FACE_OUTER_BOUND('',#54184,.T.); #33435=FACE_OUTER_BOUND('',#54185,.T.); #33436=FACE_OUTER_BOUND('',#54186,.T.); #33437=FACE_OUTER_BOUND('',#54187,.T.); #33438=FACE_OUTER_BOUND('',#54188,.T.); #33439=FACE_OUTER_BOUND('',#54189,.T.); #33440=FACE_OUTER_BOUND('',#54190,.T.); #33441=FACE_OUTER_BOUND('',#54191,.T.); #33442=FACE_OUTER_BOUND('',#54192,.T.); #33443=FACE_OUTER_BOUND('',#54193,.T.); #33444=FACE_OUTER_BOUND('',#54194,.T.); #33445=FACE_OUTER_BOUND('',#54195,.T.); #33446=FACE_OUTER_BOUND('',#54196,.T.); #33447=FACE_OUTER_BOUND('',#54197,.T.); #33448=FACE_OUTER_BOUND('',#54198,.T.); #33449=FACE_OUTER_BOUND('',#54199,.T.); #33450=FACE_OUTER_BOUND('',#54200,.T.); #33451=FACE_OUTER_BOUND('',#54201,.T.); #33452=FACE_OUTER_BOUND('',#54202,.T.); #33453=FACE_OUTER_BOUND('',#54203,.T.); #33454=FACE_OUTER_BOUND('',#54204,.T.); #33455=FACE_OUTER_BOUND('',#54205,.T.); #33456=FACE_OUTER_BOUND('',#54206,.T.); #33457=FACE_OUTER_BOUND('',#54207,.T.); #33458=FACE_OUTER_BOUND('',#54208,.T.); #33459=FACE_OUTER_BOUND('',#54209,.T.); #33460=FACE_OUTER_BOUND('',#54210,.T.); #33461=FACE_OUTER_BOUND('',#54211,.T.); #33462=FACE_OUTER_BOUND('',#54212,.T.); #33463=FACE_OUTER_BOUND('',#54213,.T.); #33464=FACE_OUTER_BOUND('',#54214,.T.); #33465=FACE_OUTER_BOUND('',#54215,.T.); #33466=FACE_OUTER_BOUND('',#54216,.T.); #33467=FACE_OUTER_BOUND('',#54217,.T.); #33468=FACE_OUTER_BOUND('',#54218,.T.); #33469=FACE_OUTER_BOUND('',#54219,.T.); #33470=FACE_OUTER_BOUND('',#54220,.T.); #33471=FACE_OUTER_BOUND('',#54221,.T.); #33472=FACE_OUTER_BOUND('',#54222,.T.); #33473=FACE_OUTER_BOUND('',#54223,.T.); #33474=FACE_OUTER_BOUND('',#54224,.T.); #33475=FACE_OUTER_BOUND('',#54225,.T.); #33476=FACE_OUTER_BOUND('',#54226,.T.); #33477=FACE_OUTER_BOUND('',#54227,.T.); #33478=FACE_OUTER_BOUND('',#54228,.T.); #33479=FACE_OUTER_BOUND('',#54229,.T.); #33480=FACE_OUTER_BOUND('',#54230,.T.); #33481=FACE_OUTER_BOUND('',#54231,.T.); #33482=FACE_OUTER_BOUND('',#54232,.T.); #33483=FACE_OUTER_BOUND('',#54233,.T.); #33484=FACE_OUTER_BOUND('',#54234,.T.); #33485=FACE_OUTER_BOUND('',#54235,.T.); #33486=FACE_OUTER_BOUND('',#54236,.T.); #33487=FACE_OUTER_BOUND('',#54237,.T.); #33488=FACE_OUTER_BOUND('',#54238,.T.); #33489=FACE_OUTER_BOUND('',#54239,.T.); #33490=FACE_OUTER_BOUND('',#54240,.T.); #33491=FACE_OUTER_BOUND('',#54241,.T.); #33492=FACE_OUTER_BOUND('',#54242,.T.); #33493=FACE_OUTER_BOUND('',#54243,.T.); #33494=FACE_OUTER_BOUND('',#54244,.T.); #33495=FACE_OUTER_BOUND('',#54245,.T.); #33496=FACE_OUTER_BOUND('',#54246,.T.); #33497=FACE_OUTER_BOUND('',#54247,.T.); #33498=FACE_OUTER_BOUND('',#54248,.T.); #33499=FACE_OUTER_BOUND('',#54249,.T.); #33500=FACE_OUTER_BOUND('',#54250,.T.); #33501=FACE_OUTER_BOUND('',#54251,.T.); #33502=FACE_OUTER_BOUND('',#54252,.T.); #33503=FACE_OUTER_BOUND('',#54253,.T.); #33504=FACE_OUTER_BOUND('',#54254,.T.); #33505=FACE_OUTER_BOUND('',#54255,.T.); #33506=FACE_OUTER_BOUND('',#54256,.T.); #33507=FACE_OUTER_BOUND('',#54257,.T.); #33508=FACE_OUTER_BOUND('',#54258,.T.); #33509=FACE_OUTER_BOUND('',#54259,.T.); #33510=FACE_OUTER_BOUND('',#54260,.T.); #33511=FACE_OUTER_BOUND('',#54261,.T.); #33512=FACE_OUTER_BOUND('',#54262,.T.); #33513=FACE_OUTER_BOUND('',#54263,.T.); #33514=FACE_OUTER_BOUND('',#54264,.T.); #33515=FACE_OUTER_BOUND('',#54265,.T.); #33516=FACE_OUTER_BOUND('',#54266,.T.); #33517=FACE_OUTER_BOUND('',#54267,.T.); #33518=FACE_OUTER_BOUND('',#54268,.T.); #33519=FACE_OUTER_BOUND('',#54269,.T.); #33520=FACE_OUTER_BOUND('',#54270,.T.); #33521=FACE_OUTER_BOUND('',#54271,.T.); #33522=FACE_OUTER_BOUND('',#54272,.T.); #33523=FACE_OUTER_BOUND('',#54273,.T.); #33524=FACE_OUTER_BOUND('',#54274,.T.); #33525=FACE_OUTER_BOUND('',#54275,.T.); #33526=FACE_OUTER_BOUND('',#54276,.T.); #33527=FACE_OUTER_BOUND('',#54277,.T.); #33528=FACE_OUTER_BOUND('',#54278,.T.); #33529=FACE_OUTER_BOUND('',#54279,.T.); #33530=FACE_OUTER_BOUND('',#54280,.T.); #33531=FACE_OUTER_BOUND('',#54281,.T.); #33532=FACE_OUTER_BOUND('',#54282,.T.); #33533=FACE_OUTER_BOUND('',#54283,.T.); #33534=FACE_OUTER_BOUND('',#54284,.T.); #33535=FACE_OUTER_BOUND('',#54285,.T.); #33536=FACE_OUTER_BOUND('',#54286,.T.); #33537=FACE_OUTER_BOUND('',#54287,.T.); #33538=FACE_OUTER_BOUND('',#54288,.T.); #33539=FACE_OUTER_BOUND('',#54289,.T.); #33540=FACE_OUTER_BOUND('',#54290,.T.); #33541=FACE_OUTER_BOUND('',#54291,.T.); #33542=FACE_OUTER_BOUND('',#54292,.T.); #33543=FACE_OUTER_BOUND('',#54293,.T.); #33544=FACE_OUTER_BOUND('',#54294,.T.); #33545=FACE_OUTER_BOUND('',#54295,.T.); #33546=FACE_OUTER_BOUND('',#54296,.T.); #33547=FACE_OUTER_BOUND('',#54297,.T.); #33548=FACE_OUTER_BOUND('',#54298,.T.); #33549=FACE_OUTER_BOUND('',#54299,.T.); #33550=FACE_OUTER_BOUND('',#54300,.T.); #33551=FACE_OUTER_BOUND('',#54301,.T.); #33552=FACE_OUTER_BOUND('',#54302,.T.); #33553=FACE_OUTER_BOUND('',#54303,.T.); #33554=FACE_OUTER_BOUND('',#54304,.T.); #33555=FACE_OUTER_BOUND('',#54305,.T.); #33556=FACE_OUTER_BOUND('',#54306,.T.); #33557=FACE_OUTER_BOUND('',#54307,.T.); #33558=FACE_OUTER_BOUND('',#54308,.T.); #33559=FACE_OUTER_BOUND('',#54309,.T.); #33560=FACE_OUTER_BOUND('',#54310,.T.); #33561=FACE_OUTER_BOUND('',#54311,.T.); #33562=FACE_OUTER_BOUND('',#54312,.T.); #33563=FACE_OUTER_BOUND('',#54313,.T.); #33564=FACE_OUTER_BOUND('',#54314,.T.); #33565=FACE_OUTER_BOUND('',#54315,.T.); #33566=FACE_OUTER_BOUND('',#54316,.T.); #33567=FACE_OUTER_BOUND('',#54317,.T.); #33568=FACE_OUTER_BOUND('',#54318,.T.); #33569=FACE_OUTER_BOUND('',#54319,.T.); #33570=FACE_OUTER_BOUND('',#54320,.T.); #33571=FACE_OUTER_BOUND('',#54321,.T.); #33572=FACE_OUTER_BOUND('',#54322,.T.); #33573=FACE_OUTER_BOUND('',#54323,.T.); #33574=FACE_OUTER_BOUND('',#54324,.T.); #33575=FACE_OUTER_BOUND('',#54325,.T.); #33576=FACE_OUTER_BOUND('',#54326,.T.); #33577=FACE_OUTER_BOUND('',#54327,.T.); #33578=FACE_OUTER_BOUND('',#54328,.T.); #33579=FACE_OUTER_BOUND('',#54329,.T.); #33580=FACE_OUTER_BOUND('',#54330,.T.); #33581=FACE_OUTER_BOUND('',#54331,.T.); #33582=FACE_OUTER_BOUND('',#54332,.T.); #33583=FACE_OUTER_BOUND('',#54333,.T.); #33584=FACE_OUTER_BOUND('',#54334,.T.); #33585=FACE_OUTER_BOUND('',#54335,.T.); #33586=FACE_OUTER_BOUND('',#54336,.T.); #33587=FACE_OUTER_BOUND('',#54337,.T.); #33588=FACE_OUTER_BOUND('',#54338,.T.); #33589=FACE_OUTER_BOUND('',#54339,.T.); #33590=FACE_OUTER_BOUND('',#54340,.T.); #33591=FACE_OUTER_BOUND('',#54341,.T.); #33592=FACE_OUTER_BOUND('',#54342,.T.); #33593=FACE_OUTER_BOUND('',#54343,.T.); #33594=FACE_OUTER_BOUND('',#54344,.T.); #33595=FACE_OUTER_BOUND('',#54345,.T.); #33596=FACE_OUTER_BOUND('',#54346,.T.); #33597=FACE_OUTER_BOUND('',#54347,.T.); #33598=FACE_OUTER_BOUND('',#54348,.T.); #33599=FACE_OUTER_BOUND('',#54349,.T.); #33600=FACE_OUTER_BOUND('',#54350,.T.); #33601=FACE_OUTER_BOUND('',#54351,.T.); #33602=FACE_OUTER_BOUND('',#54352,.T.); #33603=FACE_OUTER_BOUND('',#54353,.T.); #33604=FACE_OUTER_BOUND('',#54354,.T.); #33605=FACE_OUTER_BOUND('',#54355,.T.); #33606=FACE_OUTER_BOUND('',#54356,.T.); #33607=FACE_OUTER_BOUND('',#54357,.T.); #33608=FACE_OUTER_BOUND('',#54358,.T.); #33609=FACE_OUTER_BOUND('',#54359,.T.); #33610=FACE_OUTER_BOUND('',#54360,.T.); #33611=FACE_OUTER_BOUND('',#54361,.T.); #33612=FACE_OUTER_BOUND('',#54362,.T.); #33613=FACE_OUTER_BOUND('',#54363,.T.); #33614=FACE_OUTER_BOUND('',#54364,.T.); #33615=FACE_OUTER_BOUND('',#54365,.T.); #33616=FACE_OUTER_BOUND('',#54366,.T.); #33617=FACE_OUTER_BOUND('',#54367,.T.); #33618=FACE_OUTER_BOUND('',#54368,.T.); #33619=FACE_OUTER_BOUND('',#54369,.T.); #33620=FACE_OUTER_BOUND('',#54370,.T.); #33621=FACE_OUTER_BOUND('',#54371,.T.); #33622=FACE_OUTER_BOUND('',#54372,.T.); #33623=FACE_OUTER_BOUND('',#54373,.T.); #33624=FACE_OUTER_BOUND('',#54374,.T.); #33625=FACE_OUTER_BOUND('',#54375,.T.); #33626=FACE_OUTER_BOUND('',#54376,.T.); #33627=FACE_OUTER_BOUND('',#54377,.T.); #33628=FACE_OUTER_BOUND('',#54378,.T.); #33629=FACE_OUTER_BOUND('',#54379,.T.); #33630=FACE_OUTER_BOUND('',#54380,.T.); #33631=FACE_OUTER_BOUND('',#54381,.T.); #33632=FACE_OUTER_BOUND('',#54382,.T.); #33633=FACE_OUTER_BOUND('',#54383,.T.); #33634=FACE_OUTER_BOUND('',#54384,.T.); #33635=FACE_OUTER_BOUND('',#54385,.T.); #33636=FACE_OUTER_BOUND('',#54386,.T.); #33637=FACE_OUTER_BOUND('',#54387,.T.); #33638=FACE_OUTER_BOUND('',#54388,.T.); #33639=FACE_OUTER_BOUND('',#54389,.T.); #33640=FACE_OUTER_BOUND('',#54390,.T.); #33641=FACE_OUTER_BOUND('',#54391,.T.); #33642=FACE_OUTER_BOUND('',#54392,.T.); #33643=FACE_OUTER_BOUND('',#54393,.T.); #33644=FACE_OUTER_BOUND('',#54394,.T.); #33645=FACE_OUTER_BOUND('',#54395,.T.); #33646=FACE_OUTER_BOUND('',#54396,.T.); #33647=FACE_OUTER_BOUND('',#54397,.T.); #33648=FACE_OUTER_BOUND('',#54398,.T.); #33649=FACE_OUTER_BOUND('',#54399,.T.); #33650=FACE_OUTER_BOUND('',#54400,.T.); #33651=FACE_OUTER_BOUND('',#54401,.T.); #33652=FACE_OUTER_BOUND('',#54402,.T.); #33653=FACE_OUTER_BOUND('',#54403,.T.); #33654=FACE_OUTER_BOUND('',#54404,.T.); #33655=FACE_OUTER_BOUND('',#54405,.T.); #33656=FACE_OUTER_BOUND('',#54406,.T.); #33657=FACE_OUTER_BOUND('',#54407,.T.); #33658=FACE_OUTER_BOUND('',#54408,.T.); #33659=FACE_OUTER_BOUND('',#54409,.T.); #33660=FACE_OUTER_BOUND('',#54410,.T.); #33661=FACE_OUTER_BOUND('',#54411,.T.); #33662=FACE_OUTER_BOUND('',#54412,.T.); #33663=FACE_OUTER_BOUND('',#54413,.T.); #33664=FACE_OUTER_BOUND('',#54414,.T.); #33665=FACE_OUTER_BOUND('',#54415,.T.); #33666=FACE_OUTER_BOUND('',#54416,.T.); #33667=FACE_OUTER_BOUND('',#54417,.T.); #33668=FACE_OUTER_BOUND('',#54418,.T.); #33669=FACE_OUTER_BOUND('',#54419,.T.); #33670=FACE_OUTER_BOUND('',#54420,.T.); #33671=FACE_OUTER_BOUND('',#54421,.T.); #33672=FACE_OUTER_BOUND('',#54422,.T.); #33673=FACE_OUTER_BOUND('',#54423,.T.); #33674=FACE_OUTER_BOUND('',#54424,.T.); #33675=FACE_OUTER_BOUND('',#54425,.T.); #33676=FACE_OUTER_BOUND('',#54426,.T.); #33677=FACE_OUTER_BOUND('',#54427,.T.); #33678=FACE_OUTER_BOUND('',#54428,.T.); #33679=FACE_OUTER_BOUND('',#54429,.T.); #33680=FACE_OUTER_BOUND('',#54430,.T.); #33681=FACE_OUTER_BOUND('',#54431,.T.); #33682=FACE_OUTER_BOUND('',#54432,.T.); #33683=FACE_OUTER_BOUND('',#54433,.T.); #33684=FACE_OUTER_BOUND('',#54434,.T.); #33685=FACE_OUTER_BOUND('',#54435,.T.); #33686=FACE_OUTER_BOUND('',#54436,.T.); #33687=FACE_OUTER_BOUND('',#54437,.T.); #33688=FACE_OUTER_BOUND('',#54438,.T.); #33689=FACE_OUTER_BOUND('',#54439,.T.); #33690=FACE_OUTER_BOUND('',#54440,.T.); #33691=FACE_OUTER_BOUND('',#54441,.T.); #33692=FACE_OUTER_BOUND('',#54442,.T.); #33693=FACE_OUTER_BOUND('',#54443,.T.); #33694=FACE_OUTER_BOUND('',#54444,.T.); #33695=FACE_OUTER_BOUND('',#54445,.T.); #33696=FACE_OUTER_BOUND('',#54446,.T.); #33697=FACE_OUTER_BOUND('',#54447,.T.); #33698=FACE_OUTER_BOUND('',#54448,.T.); #33699=FACE_OUTER_BOUND('',#54449,.T.); #33700=FACE_OUTER_BOUND('',#54450,.T.); #33701=FACE_OUTER_BOUND('',#54451,.T.); #33702=FACE_OUTER_BOUND('',#54452,.T.); #33703=FACE_OUTER_BOUND('',#54453,.T.); #33704=FACE_OUTER_BOUND('',#54454,.T.); #33705=FACE_OUTER_BOUND('',#54455,.T.); #33706=FACE_OUTER_BOUND('',#54456,.T.); #33707=FACE_OUTER_BOUND('',#54457,.T.); #33708=FACE_OUTER_BOUND('',#54458,.T.); #33709=FACE_OUTER_BOUND('',#54459,.T.); #33710=FACE_OUTER_BOUND('',#54460,.T.); #33711=FACE_OUTER_BOUND('',#54461,.T.); #33712=FACE_OUTER_BOUND('',#54462,.T.); #33713=FACE_OUTER_BOUND('',#54463,.T.); #33714=FACE_OUTER_BOUND('',#54464,.T.); #33715=FACE_OUTER_BOUND('',#54465,.T.); #33716=FACE_OUTER_BOUND('',#54466,.T.); #33717=FACE_OUTER_BOUND('',#54467,.T.); #33718=FACE_OUTER_BOUND('',#54468,.T.); #33719=FACE_OUTER_BOUND('',#54469,.T.); #33720=FACE_OUTER_BOUND('',#54470,.T.); #33721=FACE_OUTER_BOUND('',#54471,.T.); #33722=FACE_OUTER_BOUND('',#54472,.T.); #33723=FACE_OUTER_BOUND('',#54473,.T.); #33724=FACE_OUTER_BOUND('',#54474,.T.); #33725=FACE_OUTER_BOUND('',#54475,.T.); #33726=FACE_OUTER_BOUND('',#54476,.T.); #33727=FACE_OUTER_BOUND('',#54477,.T.); #33728=FACE_OUTER_BOUND('',#54478,.T.); #33729=FACE_OUTER_BOUND('',#54479,.T.); #33730=FACE_OUTER_BOUND('',#54480,.T.); #33731=FACE_OUTER_BOUND('',#54481,.T.); #33732=FACE_OUTER_BOUND('',#54482,.T.); #33733=FACE_OUTER_BOUND('',#54483,.T.); #33734=FACE_OUTER_BOUND('',#54484,.T.); #33735=FACE_OUTER_BOUND('',#54485,.T.); #33736=FACE_OUTER_BOUND('',#54486,.T.); #33737=FACE_OUTER_BOUND('',#54487,.T.); #33738=FACE_OUTER_BOUND('',#54488,.T.); #33739=FACE_OUTER_BOUND('',#54489,.T.); #33740=FACE_OUTER_BOUND('',#54490,.T.); #33741=FACE_OUTER_BOUND('',#54491,.T.); #33742=FACE_OUTER_BOUND('',#54492,.T.); #33743=FACE_OUTER_BOUND('',#54493,.T.); #33744=FACE_OUTER_BOUND('',#54494,.T.); #33745=FACE_OUTER_BOUND('',#54495,.T.); #33746=FACE_OUTER_BOUND('',#54496,.T.); #33747=FACE_OUTER_BOUND('',#54497,.T.); #33748=FACE_OUTER_BOUND('',#54498,.T.); #33749=FACE_OUTER_BOUND('',#54499,.T.); #33750=FACE_OUTER_BOUND('',#54500,.T.); #33751=FACE_OUTER_BOUND('',#54501,.T.); #33752=FACE_OUTER_BOUND('',#54502,.T.); #33753=FACE_OUTER_BOUND('',#54503,.T.); #33754=FACE_OUTER_BOUND('',#54504,.T.); #33755=FACE_OUTER_BOUND('',#54505,.T.); #33756=FACE_OUTER_BOUND('',#54506,.T.); #33757=FACE_OUTER_BOUND('',#54507,.T.); #33758=FACE_OUTER_BOUND('',#54508,.T.); #33759=FACE_OUTER_BOUND('',#54509,.T.); #33760=FACE_OUTER_BOUND('',#54510,.T.); #33761=FACE_OUTER_BOUND('',#54511,.T.); #33762=FACE_OUTER_BOUND('',#54512,.T.); #33763=FACE_OUTER_BOUND('',#54513,.T.); #33764=FACE_OUTER_BOUND('',#54514,.T.); #33765=FACE_OUTER_BOUND('',#54515,.T.); #33766=FACE_OUTER_BOUND('',#54516,.T.); #33767=FACE_OUTER_BOUND('',#54517,.T.); #33768=FACE_OUTER_BOUND('',#54518,.T.); #33769=FACE_OUTER_BOUND('',#54519,.T.); #33770=FACE_OUTER_BOUND('',#54520,.T.); #33771=FACE_OUTER_BOUND('',#54521,.T.); #33772=FACE_OUTER_BOUND('',#54522,.T.); #33773=FACE_OUTER_BOUND('',#54523,.T.); #33774=FACE_OUTER_BOUND('',#54524,.T.); #33775=FACE_OUTER_BOUND('',#54525,.T.); #33776=FACE_OUTER_BOUND('',#54526,.T.); #33777=FACE_OUTER_BOUND('',#54527,.T.); #33778=FACE_OUTER_BOUND('',#54528,.T.); #33779=FACE_OUTER_BOUND('',#54529,.T.); #33780=FACE_OUTER_BOUND('',#54530,.T.); #33781=FACE_OUTER_BOUND('',#54531,.T.); #33782=FACE_OUTER_BOUND('',#54532,.T.); #33783=FACE_OUTER_BOUND('',#54533,.T.); #33784=FACE_OUTER_BOUND('',#54534,.T.); #33785=FACE_OUTER_BOUND('',#54535,.T.); #33786=FACE_OUTER_BOUND('',#54536,.T.); #33787=FACE_OUTER_BOUND('',#54537,.T.); #33788=FACE_OUTER_BOUND('',#54538,.T.); #33789=FACE_OUTER_BOUND('',#54539,.T.); #33790=FACE_OUTER_BOUND('',#54540,.T.); #33791=FACE_OUTER_BOUND('',#54541,.T.); #33792=FACE_OUTER_BOUND('',#54542,.T.); #33793=FACE_OUTER_BOUND('',#54543,.T.); #33794=FACE_OUTER_BOUND('',#54544,.T.); #33795=FACE_OUTER_BOUND('',#54545,.T.); #33796=FACE_OUTER_BOUND('',#54546,.T.); #33797=FACE_OUTER_BOUND('',#54547,.T.); #33798=FACE_OUTER_BOUND('',#54548,.T.); #33799=FACE_OUTER_BOUND('',#54549,.T.); #33800=FACE_OUTER_BOUND('',#54550,.T.); #33801=FACE_OUTER_BOUND('',#54551,.T.); #33802=FACE_OUTER_BOUND('',#54552,.T.); #33803=FACE_OUTER_BOUND('',#54553,.T.); #33804=FACE_OUTER_BOUND('',#54554,.T.); #33805=FACE_OUTER_BOUND('',#54555,.T.); #33806=FACE_OUTER_BOUND('',#54556,.T.); #33807=FACE_OUTER_BOUND('',#54557,.T.); #33808=FACE_OUTER_BOUND('',#54558,.T.); #33809=FACE_OUTER_BOUND('',#54559,.T.); #33810=FACE_OUTER_BOUND('',#54560,.T.); #33811=FACE_OUTER_BOUND('',#54561,.T.); #33812=FACE_OUTER_BOUND('',#54562,.T.); #33813=FACE_OUTER_BOUND('',#54563,.T.); #33814=FACE_OUTER_BOUND('',#54564,.T.); #33815=FACE_OUTER_BOUND('',#54565,.T.); #33816=FACE_OUTER_BOUND('',#54566,.T.); #33817=FACE_OUTER_BOUND('',#54567,.T.); #33818=FACE_OUTER_BOUND('',#54568,.T.); #33819=FACE_OUTER_BOUND('',#54569,.T.); #33820=FACE_OUTER_BOUND('',#54570,.T.); #33821=FACE_OUTER_BOUND('',#54571,.T.); #33822=FACE_OUTER_BOUND('',#54572,.T.); #33823=FACE_OUTER_BOUND('',#54573,.T.); #33824=FACE_OUTER_BOUND('',#54574,.T.); #33825=FACE_OUTER_BOUND('',#54575,.T.); #33826=FACE_OUTER_BOUND('',#54576,.T.); #33827=FACE_OUTER_BOUND('',#54577,.T.); #33828=FACE_OUTER_BOUND('',#54578,.T.); #33829=FACE_OUTER_BOUND('',#54579,.T.); #33830=FACE_OUTER_BOUND('',#54580,.T.); #33831=FACE_OUTER_BOUND('',#54581,.T.); #33832=FACE_OUTER_BOUND('',#54582,.T.); #33833=FACE_OUTER_BOUND('',#54583,.T.); #33834=FACE_OUTER_BOUND('',#54584,.T.); #33835=FACE_OUTER_BOUND('',#54585,.T.); #33836=FACE_OUTER_BOUND('',#54586,.T.); #33837=FACE_OUTER_BOUND('',#54587,.T.); #33838=FACE_OUTER_BOUND('',#54588,.T.); #33839=FACE_OUTER_BOUND('',#54589,.T.); #33840=FACE_OUTER_BOUND('',#54590,.T.); #33841=FACE_OUTER_BOUND('',#54591,.T.); #33842=FACE_OUTER_BOUND('',#54592,.T.); #33843=FACE_OUTER_BOUND('',#54593,.T.); #33844=FACE_OUTER_BOUND('',#54594,.T.); #33845=FACE_OUTER_BOUND('',#54595,.T.); #33846=FACE_OUTER_BOUND('',#54596,.T.); #33847=FACE_OUTER_BOUND('',#54597,.T.); #33848=FACE_OUTER_BOUND('',#54598,.T.); #33849=FACE_OUTER_BOUND('',#54599,.T.); #33850=FACE_OUTER_BOUND('',#54600,.T.); #33851=FACE_OUTER_BOUND('',#54601,.T.); #33852=FACE_OUTER_BOUND('',#54602,.T.); #33853=FACE_OUTER_BOUND('',#54603,.T.); #33854=FACE_OUTER_BOUND('',#54604,.T.); #33855=FACE_OUTER_BOUND('',#54605,.T.); #33856=FACE_OUTER_BOUND('',#54606,.T.); #33857=FACE_OUTER_BOUND('',#54607,.T.); #33858=FACE_OUTER_BOUND('',#54608,.T.); #33859=FACE_OUTER_BOUND('',#54609,.T.); #33860=FACE_OUTER_BOUND('',#54610,.T.); #33861=FACE_OUTER_BOUND('',#54611,.T.); #33862=FACE_OUTER_BOUND('',#54612,.T.); #33863=FACE_OUTER_BOUND('',#54613,.T.); #33864=FACE_OUTER_BOUND('',#54614,.T.); #33865=FACE_OUTER_BOUND('',#54615,.T.); #33866=FACE_OUTER_BOUND('',#54616,.T.); #33867=FACE_OUTER_BOUND('',#54617,.T.); #33868=FACE_OUTER_BOUND('',#54618,.T.); #33869=FACE_OUTER_BOUND('',#54619,.T.); #33870=FACE_OUTER_BOUND('',#54620,.T.); #33871=FACE_OUTER_BOUND('',#54621,.T.); #33872=FACE_OUTER_BOUND('',#54622,.T.); #33873=FACE_OUTER_BOUND('',#54623,.T.); #33874=FACE_OUTER_BOUND('',#54624,.T.); #33875=FACE_OUTER_BOUND('',#54625,.T.); #33876=FACE_OUTER_BOUND('',#54626,.T.); #33877=FACE_OUTER_BOUND('',#54627,.T.); #33878=FACE_OUTER_BOUND('',#54628,.T.); #33879=FACE_OUTER_BOUND('',#54629,.T.); #33880=FACE_OUTER_BOUND('',#54630,.T.); #33881=FACE_OUTER_BOUND('',#54631,.T.); #33882=FACE_OUTER_BOUND('',#54632,.T.); #33883=FACE_OUTER_BOUND('',#54633,.T.); #33884=FACE_OUTER_BOUND('',#54634,.T.); #33885=FACE_OUTER_BOUND('',#54635,.T.); #33886=FACE_OUTER_BOUND('',#54636,.T.); #33887=FACE_OUTER_BOUND('',#54637,.T.); #33888=FACE_OUTER_BOUND('',#54638,.T.); #33889=FACE_OUTER_BOUND('',#54639,.T.); #33890=FACE_OUTER_BOUND('',#54640,.T.); #33891=FACE_OUTER_BOUND('',#54641,.T.); #33892=FACE_OUTER_BOUND('',#54642,.T.); #33893=FACE_OUTER_BOUND('',#54643,.T.); #33894=FACE_OUTER_BOUND('',#54644,.T.); #33895=FACE_OUTER_BOUND('',#54645,.T.); #33896=FACE_OUTER_BOUND('',#54646,.T.); #33897=FACE_OUTER_BOUND('',#54647,.T.); #33898=FACE_OUTER_BOUND('',#54648,.T.); #33899=FACE_OUTER_BOUND('',#54649,.T.); #33900=FACE_OUTER_BOUND('',#54650,.T.); #33901=FACE_OUTER_BOUND('',#54651,.T.); #33902=FACE_OUTER_BOUND('',#54652,.T.); #33903=FACE_OUTER_BOUND('',#54653,.T.); #33904=FACE_OUTER_BOUND('',#54654,.T.); #33905=FACE_OUTER_BOUND('',#54655,.T.); #33906=FACE_OUTER_BOUND('',#54656,.T.); #33907=FACE_OUTER_BOUND('',#54657,.T.); #33908=FACE_OUTER_BOUND('',#54658,.T.); #33909=FACE_OUTER_BOUND('',#54659,.T.); #33910=FACE_OUTER_BOUND('',#54660,.T.); #33911=FACE_OUTER_BOUND('',#54661,.T.); #33912=FACE_OUTER_BOUND('',#54662,.T.); #33913=FACE_OUTER_BOUND('',#54663,.T.); #33914=FACE_OUTER_BOUND('',#54664,.T.); #33915=FACE_OUTER_BOUND('',#54665,.T.); #33916=FACE_OUTER_BOUND('',#54666,.T.); #33917=FACE_OUTER_BOUND('',#54667,.T.); #33918=FACE_OUTER_BOUND('',#54668,.T.); #33919=FACE_OUTER_BOUND('',#54669,.T.); #33920=FACE_OUTER_BOUND('',#54670,.T.); #33921=FACE_OUTER_BOUND('',#54671,.T.); #33922=FACE_OUTER_BOUND('',#54672,.T.); #33923=FACE_OUTER_BOUND('',#54673,.T.); #33924=FACE_OUTER_BOUND('',#54674,.T.); #33925=FACE_OUTER_BOUND('',#54675,.T.); #33926=FACE_OUTER_BOUND('',#54676,.T.); #33927=FACE_OUTER_BOUND('',#54677,.T.); #33928=FACE_OUTER_BOUND('',#54678,.T.); #33929=FACE_OUTER_BOUND('',#54679,.T.); #33930=FACE_OUTER_BOUND('',#54680,.T.); #33931=FACE_OUTER_BOUND('',#54681,.T.); #33932=FACE_OUTER_BOUND('',#54682,.T.); #33933=FACE_OUTER_BOUND('',#54683,.T.); #33934=FACE_OUTER_BOUND('',#54684,.T.); #33935=FACE_OUTER_BOUND('',#54685,.T.); #33936=FACE_OUTER_BOUND('',#54686,.T.); #33937=FACE_OUTER_BOUND('',#54687,.T.); #33938=FACE_OUTER_BOUND('',#54688,.T.); #33939=FACE_OUTER_BOUND('',#54689,.T.); #33940=FACE_OUTER_BOUND('',#54690,.T.); #33941=FACE_OUTER_BOUND('',#54691,.T.); #33942=FACE_OUTER_BOUND('',#54692,.T.); #33943=FACE_OUTER_BOUND('',#54693,.T.); #33944=FACE_OUTER_BOUND('',#54694,.T.); #33945=FACE_OUTER_BOUND('',#54695,.T.); #33946=FACE_OUTER_BOUND('',#54696,.T.); #33947=FACE_OUTER_BOUND('',#54697,.T.); #33948=FACE_OUTER_BOUND('',#54698,.T.); #33949=FACE_OUTER_BOUND('',#54699,.T.); #33950=FACE_OUTER_BOUND('',#54700,.T.); #33951=FACE_OUTER_BOUND('',#54701,.T.); #33952=FACE_OUTER_BOUND('',#54702,.T.); #33953=FACE_OUTER_BOUND('',#54703,.T.); #33954=FACE_OUTER_BOUND('',#54704,.T.); #33955=FACE_OUTER_BOUND('',#54705,.T.); #33956=FACE_OUTER_BOUND('',#54706,.T.); #33957=FACE_OUTER_BOUND('',#54707,.T.); #33958=FACE_OUTER_BOUND('',#54708,.T.); #33959=FACE_OUTER_BOUND('',#54709,.T.); #33960=FACE_OUTER_BOUND('',#54710,.T.); #33961=FACE_OUTER_BOUND('',#54711,.T.); #33962=FACE_OUTER_BOUND('',#54712,.T.); #33963=FACE_OUTER_BOUND('',#54713,.T.); #33964=FACE_OUTER_BOUND('',#54714,.T.); #33965=FACE_OUTER_BOUND('',#54715,.T.); #33966=FACE_OUTER_BOUND('',#54716,.T.); #33967=FACE_OUTER_BOUND('',#54717,.T.); #33968=FACE_OUTER_BOUND('',#54718,.T.); #33969=FACE_OUTER_BOUND('',#54719,.T.); #33970=FACE_OUTER_BOUND('',#54720,.T.); #33971=FACE_OUTER_BOUND('',#54721,.T.); #33972=FACE_OUTER_BOUND('',#54722,.T.); #33973=FACE_OUTER_BOUND('',#54723,.T.); #33974=FACE_OUTER_BOUND('',#54724,.T.); #33975=FACE_OUTER_BOUND('',#54725,.T.); #33976=FACE_OUTER_BOUND('',#54726,.T.); #33977=FACE_OUTER_BOUND('',#54727,.T.); #33978=FACE_OUTER_BOUND('',#54728,.T.); #33979=FACE_OUTER_BOUND('',#54729,.T.); #33980=FACE_OUTER_BOUND('',#54730,.T.); #33981=FACE_OUTER_BOUND('',#54731,.T.); #33982=FACE_OUTER_BOUND('',#54732,.T.); #33983=FACE_OUTER_BOUND('',#54733,.T.); #33984=FACE_OUTER_BOUND('',#54734,.T.); #33985=FACE_OUTER_BOUND('',#54735,.T.); #33986=FACE_OUTER_BOUND('',#54736,.T.); #33987=FACE_OUTER_BOUND('',#54737,.T.); #33988=FACE_OUTER_BOUND('',#54738,.T.); #33989=FACE_OUTER_BOUND('',#54739,.T.); #33990=FACE_OUTER_BOUND('',#54740,.T.); #33991=FACE_OUTER_BOUND('',#54741,.T.); #33992=FACE_OUTER_BOUND('',#54742,.T.); #33993=FACE_OUTER_BOUND('',#54743,.T.); #33994=FACE_OUTER_BOUND('',#54744,.T.); #33995=FACE_OUTER_BOUND('',#54745,.T.); #33996=FACE_OUTER_BOUND('',#54746,.T.); #33997=FACE_OUTER_BOUND('',#54747,.T.); #33998=FACE_OUTER_BOUND('',#54748,.T.); #33999=FACE_OUTER_BOUND('',#54749,.T.); #34000=FACE_OUTER_BOUND('',#54750,.T.); #34001=FACE_OUTER_BOUND('',#54751,.T.); #34002=FACE_OUTER_BOUND('',#54752,.T.); #34003=FACE_OUTER_BOUND('',#54753,.T.); #34004=FACE_OUTER_BOUND('',#54754,.T.); #34005=FACE_OUTER_BOUND('',#54755,.T.); #34006=FACE_OUTER_BOUND('',#54756,.T.); #34007=FACE_OUTER_BOUND('',#54757,.T.); #34008=FACE_OUTER_BOUND('',#54758,.T.); #34009=FACE_OUTER_BOUND('',#54759,.T.); #34010=FACE_OUTER_BOUND('',#54760,.T.); #34011=FACE_OUTER_BOUND('',#54761,.T.); #34012=FACE_OUTER_BOUND('',#54762,.T.); #34013=FACE_OUTER_BOUND('',#54763,.T.); #34014=FACE_OUTER_BOUND('',#54764,.T.); #34015=FACE_OUTER_BOUND('',#54765,.T.); #34016=FACE_OUTER_BOUND('',#54766,.T.); #34017=FACE_OUTER_BOUND('',#54767,.T.); #34018=FACE_OUTER_BOUND('',#54768,.T.); #34019=FACE_OUTER_BOUND('',#54769,.T.); #34020=FACE_OUTER_BOUND('',#54770,.T.); #34021=FACE_OUTER_BOUND('',#54771,.T.); #34022=FACE_OUTER_BOUND('',#54772,.T.); #34023=FACE_OUTER_BOUND('',#54773,.T.); #34024=FACE_OUTER_BOUND('',#54774,.T.); #34025=FACE_OUTER_BOUND('',#54775,.T.); #34026=FACE_OUTER_BOUND('',#54776,.T.); #34027=FACE_OUTER_BOUND('',#54777,.T.); #34028=FACE_OUTER_BOUND('',#54778,.T.); #34029=FACE_OUTER_BOUND('',#54779,.T.); #34030=FACE_OUTER_BOUND('',#54780,.T.); #34031=FACE_OUTER_BOUND('',#54781,.T.); #34032=FACE_OUTER_BOUND('',#54782,.T.); #34033=FACE_OUTER_BOUND('',#54783,.T.); #34034=FACE_OUTER_BOUND('',#54784,.T.); #34035=FACE_OUTER_BOUND('',#54785,.T.); #34036=FACE_OUTER_BOUND('',#54786,.T.); #34037=FACE_OUTER_BOUND('',#54787,.T.); #34038=FACE_OUTER_BOUND('',#54788,.T.); #34039=FACE_OUTER_BOUND('',#54789,.T.); #34040=FACE_OUTER_BOUND('',#54790,.T.); #34041=FACE_OUTER_BOUND('',#54791,.T.); #34042=FACE_OUTER_BOUND('',#54792,.T.); #34043=FACE_OUTER_BOUND('',#54793,.T.); #34044=FACE_OUTER_BOUND('',#54794,.T.); #34045=FACE_OUTER_BOUND('',#54795,.T.); #34046=FACE_OUTER_BOUND('',#54796,.T.); #34047=FACE_OUTER_BOUND('',#54797,.T.); #34048=FACE_OUTER_BOUND('',#54798,.T.); #34049=FACE_OUTER_BOUND('',#54799,.T.); #34050=FACE_OUTER_BOUND('',#54800,.T.); #34051=FACE_OUTER_BOUND('',#54801,.T.); #34052=FACE_OUTER_BOUND('',#54802,.T.); #34053=FACE_OUTER_BOUND('',#54803,.T.); #34054=FACE_OUTER_BOUND('',#54804,.T.); #34055=FACE_OUTER_BOUND('',#54805,.T.); #34056=FACE_OUTER_BOUND('',#54806,.T.); #34057=FACE_OUTER_BOUND('',#54807,.T.); #34058=FACE_OUTER_BOUND('',#54808,.T.); #34059=FACE_OUTER_BOUND('',#54809,.T.); #34060=FACE_OUTER_BOUND('',#54810,.T.); #34061=FACE_OUTER_BOUND('',#54811,.T.); #34062=FACE_OUTER_BOUND('',#54812,.T.); #34063=FACE_OUTER_BOUND('',#54813,.T.); #34064=FACE_OUTER_BOUND('',#54814,.T.); #34065=FACE_OUTER_BOUND('',#54815,.T.); #34066=FACE_OUTER_BOUND('',#54816,.T.); #34067=FACE_OUTER_BOUND('',#54817,.T.); #34068=FACE_OUTER_BOUND('',#54818,.T.); #34069=FACE_OUTER_BOUND('',#54819,.T.); #34070=FACE_OUTER_BOUND('',#54820,.T.); #34071=FACE_OUTER_BOUND('',#54821,.T.); #34072=FACE_OUTER_BOUND('',#54822,.T.); #34073=FACE_OUTER_BOUND('',#54823,.T.); #34074=FACE_OUTER_BOUND('',#54824,.T.); #34075=FACE_OUTER_BOUND('',#54825,.T.); #34076=FACE_OUTER_BOUND('',#54826,.T.); #34077=FACE_OUTER_BOUND('',#54827,.T.); #34078=FACE_OUTER_BOUND('',#54828,.T.); #34079=FACE_OUTER_BOUND('',#54829,.T.); #34080=FACE_OUTER_BOUND('',#54830,.T.); #34081=FACE_OUTER_BOUND('',#54831,.T.); #34082=FACE_OUTER_BOUND('',#54832,.T.); #34083=FACE_OUTER_BOUND('',#54833,.T.); #34084=FACE_OUTER_BOUND('',#54834,.T.); #34085=FACE_OUTER_BOUND('',#54835,.T.); #34086=FACE_OUTER_BOUND('',#54836,.T.); #34087=FACE_OUTER_BOUND('',#54837,.T.); #34088=FACE_OUTER_BOUND('',#54838,.T.); #34089=FACE_OUTER_BOUND('',#54839,.T.); #34090=FACE_OUTER_BOUND('',#54840,.T.); #34091=FACE_OUTER_BOUND('',#54841,.T.); #34092=FACE_OUTER_BOUND('',#54842,.T.); #34093=FACE_OUTER_BOUND('',#54843,.T.); #34094=FACE_OUTER_BOUND('',#54844,.T.); #34095=FACE_OUTER_BOUND('',#54845,.T.); #34096=FACE_OUTER_BOUND('',#54846,.T.); #34097=FACE_OUTER_BOUND('',#54847,.T.); #34098=FACE_OUTER_BOUND('',#54848,.T.); #34099=FACE_OUTER_BOUND('',#54849,.T.); #34100=FACE_OUTER_BOUND('',#54850,.T.); #34101=FACE_OUTER_BOUND('',#54851,.T.); #34102=FACE_OUTER_BOUND('',#54852,.T.); #34103=FACE_OUTER_BOUND('',#54853,.T.); #34104=FACE_OUTER_BOUND('',#54854,.T.); #34105=FACE_OUTER_BOUND('',#54855,.T.); #34106=FACE_OUTER_BOUND('',#54856,.T.); #34107=FACE_OUTER_BOUND('',#54857,.T.); #34108=FACE_OUTER_BOUND('',#54858,.T.); #34109=FACE_OUTER_BOUND('',#54859,.T.); #34110=FACE_OUTER_BOUND('',#54860,.T.); #34111=FACE_OUTER_BOUND('',#54861,.T.); #34112=FACE_OUTER_BOUND('',#54862,.T.); #34113=FACE_OUTER_BOUND('',#54863,.T.); #34114=FACE_OUTER_BOUND('',#54864,.T.); #34115=FACE_OUTER_BOUND('',#54865,.T.); #34116=FACE_OUTER_BOUND('',#54866,.T.); #34117=FACE_OUTER_BOUND('',#54867,.T.); #34118=FACE_OUTER_BOUND('',#54868,.T.); #34119=FACE_OUTER_BOUND('',#54869,.T.); #34120=FACE_OUTER_BOUND('',#54870,.T.); #34121=FACE_OUTER_BOUND('',#54871,.T.); #34122=FACE_OUTER_BOUND('',#54872,.T.); #34123=FACE_OUTER_BOUND('',#54873,.T.); #34124=FACE_OUTER_BOUND('',#54874,.T.); #34125=FACE_OUTER_BOUND('',#54875,.T.); #34126=FACE_OUTER_BOUND('',#54876,.T.); #34127=FACE_OUTER_BOUND('',#54877,.T.); #34128=FACE_OUTER_BOUND('',#54878,.T.); #34129=FACE_OUTER_BOUND('',#54879,.T.); #34130=FACE_OUTER_BOUND('',#54880,.T.); #34131=FACE_OUTER_BOUND('',#54881,.T.); #34132=FACE_OUTER_BOUND('',#54882,.T.); #34133=FACE_OUTER_BOUND('',#54883,.T.); #34134=FACE_OUTER_BOUND('',#54884,.T.); #34135=FACE_OUTER_BOUND('',#54885,.T.); #34136=FACE_OUTER_BOUND('',#54886,.T.); #34137=FACE_OUTER_BOUND('',#54887,.T.); #34138=FACE_OUTER_BOUND('',#54888,.T.); #34139=FACE_OUTER_BOUND('',#54889,.T.); #34140=FACE_OUTER_BOUND('',#54890,.T.); #34141=FACE_OUTER_BOUND('',#54891,.T.); #34142=FACE_OUTER_BOUND('',#54892,.T.); #34143=FACE_OUTER_BOUND('',#54893,.T.); #34144=FACE_OUTER_BOUND('',#54894,.T.); #34145=FACE_OUTER_BOUND('',#54895,.T.); #34146=FACE_OUTER_BOUND('',#54896,.T.); #34147=FACE_OUTER_BOUND('',#54897,.T.); #34148=FACE_OUTER_BOUND('',#54898,.T.); #34149=FACE_OUTER_BOUND('',#54899,.T.); #34150=FACE_OUTER_BOUND('',#54900,.T.); #34151=FACE_OUTER_BOUND('',#54901,.T.); #34152=FACE_OUTER_BOUND('',#54902,.T.); #34153=FACE_OUTER_BOUND('',#54903,.T.); #34154=FACE_OUTER_BOUND('',#54904,.T.); #34155=FACE_OUTER_BOUND('',#54905,.T.); #34156=FACE_OUTER_BOUND('',#54906,.T.); #34157=FACE_OUTER_BOUND('',#54907,.T.); #34158=FACE_OUTER_BOUND('',#54908,.T.); #34159=FACE_OUTER_BOUND('',#54909,.T.); #34160=FACE_OUTER_BOUND('',#54910,.T.); #34161=FACE_OUTER_BOUND('',#54911,.T.); #34162=FACE_OUTER_BOUND('',#54912,.T.); #34163=FACE_OUTER_BOUND('',#54913,.T.); #34164=FACE_OUTER_BOUND('',#54914,.T.); #34165=FACE_OUTER_BOUND('',#54915,.T.); #34166=FACE_OUTER_BOUND('',#54916,.T.); #34167=FACE_OUTER_BOUND('',#54917,.T.); #34168=FACE_OUTER_BOUND('',#54918,.T.); #34169=FACE_OUTER_BOUND('',#54919,.T.); #34170=FACE_OUTER_BOUND('',#54920,.T.); #34171=FACE_OUTER_BOUND('',#54921,.T.); #34172=FACE_OUTER_BOUND('',#54922,.T.); #34173=FACE_OUTER_BOUND('',#54923,.T.); #34174=FACE_OUTER_BOUND('',#54924,.T.); #34175=FACE_OUTER_BOUND('',#54925,.T.); #34176=FACE_OUTER_BOUND('',#54926,.T.); #34177=FACE_OUTER_BOUND('',#54927,.T.); #34178=FACE_OUTER_BOUND('',#54928,.T.); #34179=FACE_OUTER_BOUND('',#54929,.T.); #34180=FACE_OUTER_BOUND('',#54930,.T.); #34181=FACE_OUTER_BOUND('',#54931,.T.); #34182=FACE_OUTER_BOUND('',#54932,.T.); #34183=FACE_OUTER_BOUND('',#54933,.T.); #34184=FACE_OUTER_BOUND('',#54934,.T.); #34185=FACE_OUTER_BOUND('',#54935,.T.); #34186=FACE_OUTER_BOUND('',#54936,.T.); #34187=FACE_OUTER_BOUND('',#54937,.T.); #34188=FACE_OUTER_BOUND('',#54938,.T.); #34189=FACE_OUTER_BOUND('',#54939,.T.); #34190=FACE_OUTER_BOUND('',#54940,.T.); #34191=FACE_OUTER_BOUND('',#54941,.T.); #34192=FACE_OUTER_BOUND('',#54942,.T.); #34193=FACE_OUTER_BOUND('',#54943,.T.); #34194=FACE_OUTER_BOUND('',#54944,.T.); #34195=FACE_OUTER_BOUND('',#54945,.T.); #34196=FACE_OUTER_BOUND('',#54946,.T.); #34197=FACE_OUTER_BOUND('',#54947,.T.); #34198=FACE_OUTER_BOUND('',#54948,.T.); #34199=FACE_OUTER_BOUND('',#54949,.T.); #34200=FACE_OUTER_BOUND('',#54950,.T.); #34201=FACE_OUTER_BOUND('',#54951,.T.); #34202=FACE_OUTER_BOUND('',#54952,.T.); #34203=FACE_OUTER_BOUND('',#54953,.T.); #34204=FACE_OUTER_BOUND('',#54954,.T.); #34205=FACE_OUTER_BOUND('',#54955,.T.); #34206=FACE_OUTER_BOUND('',#54956,.T.); #34207=FACE_OUTER_BOUND('',#54957,.T.); #34208=FACE_OUTER_BOUND('',#54958,.T.); #34209=FACE_OUTER_BOUND('',#54959,.T.); #34210=FACE_OUTER_BOUND('',#54960,.T.); #34211=FACE_OUTER_BOUND('',#54961,.T.); #34212=FACE_OUTER_BOUND('',#54962,.T.); #34213=FACE_OUTER_BOUND('',#54963,.T.); #34214=FACE_OUTER_BOUND('',#54964,.T.); #34215=FACE_OUTER_BOUND('',#54965,.T.); #34216=FACE_OUTER_BOUND('',#54966,.T.); #34217=FACE_OUTER_BOUND('',#54967,.T.); #34218=FACE_OUTER_BOUND('',#54968,.T.); #34219=FACE_OUTER_BOUND('',#54969,.T.); #34220=FACE_OUTER_BOUND('',#54970,.T.); #34221=FACE_OUTER_BOUND('',#54971,.T.); #34222=FACE_OUTER_BOUND('',#54972,.T.); #34223=FACE_OUTER_BOUND('',#54973,.T.); #34224=FACE_OUTER_BOUND('',#54974,.T.); #34225=FACE_OUTER_BOUND('',#54975,.T.); #34226=FACE_OUTER_BOUND('',#54976,.T.); #34227=FACE_OUTER_BOUND('',#54977,.T.); #34228=FACE_OUTER_BOUND('',#54978,.T.); #34229=FACE_OUTER_BOUND('',#54979,.T.); #34230=FACE_OUTER_BOUND('',#54980,.T.); #34231=FACE_OUTER_BOUND('',#54981,.T.); #34232=FACE_OUTER_BOUND('',#54982,.T.); #34233=FACE_OUTER_BOUND('',#54983,.T.); #34234=FACE_OUTER_BOUND('',#54984,.T.); #34235=FACE_OUTER_BOUND('',#54985,.T.); #34236=FACE_OUTER_BOUND('',#54986,.T.); #34237=FACE_OUTER_BOUND('',#54987,.T.); #34238=FACE_OUTER_BOUND('',#54988,.T.); #34239=FACE_OUTER_BOUND('',#54989,.T.); #34240=FACE_OUTER_BOUND('',#54990,.T.); #34241=FACE_OUTER_BOUND('',#54991,.T.); #34242=FACE_OUTER_BOUND('',#54992,.T.); #34243=FACE_OUTER_BOUND('',#54993,.T.); #34244=FACE_OUTER_BOUND('',#54994,.T.); #34245=FACE_OUTER_BOUND('',#54995,.T.); #34246=FACE_OUTER_BOUND('',#54996,.T.); #34247=FACE_OUTER_BOUND('',#54997,.T.); #34248=FACE_OUTER_BOUND('',#54998,.T.); #34249=FACE_OUTER_BOUND('',#54999,.T.); #34250=FACE_OUTER_BOUND('',#55000,.T.); #34251=FACE_OUTER_BOUND('',#55001,.T.); #34252=FACE_OUTER_BOUND('',#55002,.T.); #34253=FACE_OUTER_BOUND('',#55003,.T.); #34254=FACE_OUTER_BOUND('',#55004,.T.); #34255=FACE_OUTER_BOUND('',#55005,.T.); #34256=FACE_OUTER_BOUND('',#55006,.T.); #34257=FACE_OUTER_BOUND('',#55007,.T.); #34258=FACE_OUTER_BOUND('',#55008,.T.); #34259=FACE_OUTER_BOUND('',#55009,.T.); #34260=FACE_OUTER_BOUND('',#55010,.T.); #34261=FACE_OUTER_BOUND('',#55011,.T.); #34262=FACE_OUTER_BOUND('',#55012,.T.); #34263=FACE_OUTER_BOUND('',#55013,.T.); #34264=FACE_OUTER_BOUND('',#55014,.T.); #34265=FACE_OUTER_BOUND('',#55015,.T.); #34266=FACE_OUTER_BOUND('',#55016,.T.); #34267=FACE_OUTER_BOUND('',#55017,.T.); #34268=FACE_OUTER_BOUND('',#55018,.T.); #34269=FACE_OUTER_BOUND('',#55019,.T.); #34270=FACE_OUTER_BOUND('',#55020,.T.); #34271=FACE_OUTER_BOUND('',#55021,.T.); #34272=FACE_OUTER_BOUND('',#55022,.T.); #34273=FACE_OUTER_BOUND('',#55023,.T.); #34274=FACE_OUTER_BOUND('',#55024,.T.); #34275=FACE_OUTER_BOUND('',#55025,.T.); #34276=FACE_OUTER_BOUND('',#55026,.T.); #34277=FACE_OUTER_BOUND('',#55027,.T.); #34278=FACE_OUTER_BOUND('',#55028,.T.); #34279=FACE_OUTER_BOUND('',#55029,.T.); #34280=FACE_OUTER_BOUND('',#55030,.T.); #34281=FACE_OUTER_BOUND('',#55031,.T.); #34282=FACE_OUTER_BOUND('',#55032,.T.); #34283=FACE_OUTER_BOUND('',#55033,.T.); #34284=FACE_OUTER_BOUND('',#55034,.T.); #34285=FACE_OUTER_BOUND('',#55035,.T.); #34286=FACE_OUTER_BOUND('',#55036,.T.); #34287=FACE_OUTER_BOUND('',#55037,.T.); #34288=FACE_OUTER_BOUND('',#55038,.T.); #34289=FACE_OUTER_BOUND('',#55039,.T.); #34290=FACE_OUTER_BOUND('',#55040,.T.); #34291=FACE_OUTER_BOUND('',#55041,.T.); #34292=FACE_OUTER_BOUND('',#55042,.T.); #34293=FACE_OUTER_BOUND('',#55043,.T.); #34294=FACE_OUTER_BOUND('',#55044,.T.); #34295=FACE_OUTER_BOUND('',#55045,.T.); #34296=FACE_OUTER_BOUND('',#55046,.T.); #34297=FACE_OUTER_BOUND('',#55047,.T.); #34298=FACE_OUTER_BOUND('',#55048,.T.); #34299=FACE_OUTER_BOUND('',#55049,.T.); #34300=FACE_OUTER_BOUND('',#55050,.T.); #34301=FACE_OUTER_BOUND('',#55051,.T.); #34302=FACE_OUTER_BOUND('',#55052,.T.); #34303=FACE_OUTER_BOUND('',#55053,.T.); #34304=FACE_OUTER_BOUND('',#55054,.T.); #34305=FACE_OUTER_BOUND('',#55055,.T.); #34306=FACE_OUTER_BOUND('',#55056,.T.); #34307=FACE_OUTER_BOUND('',#55057,.T.); #34308=FACE_OUTER_BOUND('',#55058,.T.); #34309=FACE_OUTER_BOUND('',#55059,.T.); #34310=FACE_OUTER_BOUND('',#55060,.T.); #34311=FACE_OUTER_BOUND('',#55061,.T.); #34312=FACE_OUTER_BOUND('',#55062,.T.); #34313=FACE_OUTER_BOUND('',#55063,.T.); #34314=FACE_OUTER_BOUND('',#55064,.T.); #34315=FACE_OUTER_BOUND('',#55065,.T.); #34316=FACE_OUTER_BOUND('',#55066,.T.); #34317=FACE_OUTER_BOUND('',#55067,.T.); #34318=FACE_OUTER_BOUND('',#55068,.T.); #34319=FACE_OUTER_BOUND('',#55069,.T.); #34320=FACE_OUTER_BOUND('',#55070,.T.); #34321=FACE_OUTER_BOUND('',#55071,.T.); #34322=FACE_OUTER_BOUND('',#55072,.T.); #34323=FACE_OUTER_BOUND('',#55073,.T.); #34324=FACE_OUTER_BOUND('',#55074,.T.); #34325=FACE_OUTER_BOUND('',#55075,.T.); #34326=FACE_OUTER_BOUND('',#55076,.T.); #34327=FACE_OUTER_BOUND('',#55077,.T.); #34328=FACE_OUTER_BOUND('',#55078,.T.); #34329=FACE_OUTER_BOUND('',#55079,.T.); #34330=FACE_OUTER_BOUND('',#55080,.T.); #34331=FACE_OUTER_BOUND('',#55081,.T.); #34332=FACE_OUTER_BOUND('',#55082,.T.); #34333=FACE_OUTER_BOUND('',#55083,.T.); #34334=FACE_OUTER_BOUND('',#55084,.T.); #34335=FACE_OUTER_BOUND('',#55085,.T.); #34336=FACE_OUTER_BOUND('',#55086,.T.); #34337=FACE_OUTER_BOUND('',#55087,.T.); #34338=FACE_OUTER_BOUND('',#55088,.T.); #34339=FACE_OUTER_BOUND('',#55089,.T.); #34340=FACE_OUTER_BOUND('',#55090,.T.); #34341=FACE_OUTER_BOUND('',#55091,.T.); #34342=FACE_OUTER_BOUND('',#55092,.T.); #34343=FACE_OUTER_BOUND('',#55093,.T.); #34344=FACE_OUTER_BOUND('',#55094,.T.); #34345=FACE_OUTER_BOUND('',#55095,.T.); #34346=FACE_OUTER_BOUND('',#55096,.T.); #34347=FACE_OUTER_BOUND('',#55097,.T.); #34348=FACE_OUTER_BOUND('',#55098,.T.); #34349=FACE_OUTER_BOUND('',#55099,.T.); #34350=FACE_OUTER_BOUND('',#55100,.T.); #34351=FACE_OUTER_BOUND('',#55101,.T.); #34352=FACE_OUTER_BOUND('',#55102,.T.); #34353=FACE_OUTER_BOUND('',#55103,.T.); #34354=FACE_OUTER_BOUND('',#55104,.T.); #34355=FACE_OUTER_BOUND('',#55105,.T.); #34356=FACE_OUTER_BOUND('',#55106,.T.); #34357=FACE_OUTER_BOUND('',#55107,.T.); #34358=FACE_OUTER_BOUND('',#55108,.T.); #34359=FACE_OUTER_BOUND('',#55109,.T.); #34360=FACE_OUTER_BOUND('',#55110,.T.); #34361=FACE_OUTER_BOUND('',#55111,.T.); #34362=FACE_OUTER_BOUND('',#55112,.T.); #34363=FACE_OUTER_BOUND('',#55113,.T.); #34364=FACE_OUTER_BOUND('',#55114,.T.); #34365=FACE_OUTER_BOUND('',#55115,.T.); #34366=FACE_OUTER_BOUND('',#55116,.T.); #34367=FACE_OUTER_BOUND('',#55117,.T.); #34368=FACE_OUTER_BOUND('',#55118,.T.); #34369=FACE_OUTER_BOUND('',#55119,.T.); #34370=FACE_OUTER_BOUND('',#55120,.T.); #34371=FACE_OUTER_BOUND('',#55121,.T.); #34372=FACE_OUTER_BOUND('',#55122,.T.); #34373=FACE_OUTER_BOUND('',#55123,.T.); #34374=FACE_OUTER_BOUND('',#55124,.T.); #34375=FACE_OUTER_BOUND('',#55125,.T.); #34376=FACE_OUTER_BOUND('',#55126,.T.); #34377=FACE_OUTER_BOUND('',#55127,.T.); #34378=FACE_OUTER_BOUND('',#55128,.T.); #34379=FACE_OUTER_BOUND('',#55129,.T.); #34380=FACE_OUTER_BOUND('',#55130,.T.); #34381=FACE_OUTER_BOUND('',#55131,.T.); #34382=FACE_OUTER_BOUND('',#55132,.T.); #34383=FACE_OUTER_BOUND('',#55133,.T.); #34384=FACE_OUTER_BOUND('',#55134,.T.); #34385=FACE_OUTER_BOUND('',#55135,.T.); #34386=FACE_OUTER_BOUND('',#55136,.T.); #34387=FACE_OUTER_BOUND('',#55137,.T.); #34388=FACE_OUTER_BOUND('',#55138,.T.); #34389=FACE_OUTER_BOUND('',#55139,.T.); #34390=FACE_OUTER_BOUND('',#55140,.T.); #34391=FACE_OUTER_BOUND('',#55141,.T.); #34392=FACE_OUTER_BOUND('',#55142,.T.); #34393=FACE_OUTER_BOUND('',#55143,.T.); #34394=FACE_OUTER_BOUND('',#55144,.T.); #34395=FACE_OUTER_BOUND('',#55145,.T.); #34396=FACE_OUTER_BOUND('',#55146,.T.); #34397=FACE_OUTER_BOUND('',#55147,.T.); #34398=FACE_OUTER_BOUND('',#55148,.T.); #34399=FACE_OUTER_BOUND('',#55149,.T.); #34400=FACE_OUTER_BOUND('',#55150,.T.); #34401=FACE_OUTER_BOUND('',#55151,.T.); #34402=FACE_OUTER_BOUND('',#55152,.T.); #34403=FACE_OUTER_BOUND('',#55153,.T.); #34404=FACE_OUTER_BOUND('',#55154,.T.); #34405=FACE_OUTER_BOUND('',#55155,.T.); #34406=FACE_OUTER_BOUND('',#55301,.T.); #34407=FACE_OUTER_BOUND('',#55447,.T.); #34408=FACE_OUTER_BOUND('',#55448,.T.); #34409=FACE_OUTER_BOUND('',#55449,.T.); #34410=FACE_OUTER_BOUND('',#55450,.T.); #34411=FACE_OUTER_BOUND('',#55451,.T.); #34412=FACE_OUTER_BOUND('',#55452,.T.); #34413=FACE_OUTER_BOUND('',#55453,.T.); #34414=FACE_OUTER_BOUND('',#55454,.T.); #34415=FACE_OUTER_BOUND('',#55455,.T.); #34416=FACE_OUTER_BOUND('',#55456,.T.); #34417=FACE_OUTER_BOUND('',#55457,.T.); #34418=FACE_OUTER_BOUND('',#55458,.T.); #34419=FACE_OUTER_BOUND('',#55459,.T.); #34420=FACE_OUTER_BOUND('',#55460,.T.); #34421=FACE_OUTER_BOUND('',#55461,.T.); #34422=FACE_OUTER_BOUND('',#55462,.T.); #34423=FACE_OUTER_BOUND('',#55463,.T.); #34424=FACE_OUTER_BOUND('',#55464,.T.); #34425=FACE_OUTER_BOUND('',#55465,.T.); #34426=FACE_OUTER_BOUND('',#55466,.T.); #34427=FACE_OUTER_BOUND('',#55467,.T.); #34428=FACE_OUTER_BOUND('',#55468,.T.); #34429=FACE_OUTER_BOUND('',#55469,.T.); #34430=FACE_OUTER_BOUND('',#55470,.T.); #34431=FACE_OUTER_BOUND('',#55471,.T.); #34432=FACE_OUTER_BOUND('',#55472,.T.); #34433=FACE_OUTER_BOUND('',#55473,.T.); #34434=FACE_OUTER_BOUND('',#55474,.T.); #34435=FACE_OUTER_BOUND('',#55475,.T.); #34436=FACE_OUTER_BOUND('',#55476,.T.); #34437=FACE_OUTER_BOUND('',#55477,.T.); #34438=FACE_OUTER_BOUND('',#55478,.T.); #34439=FACE_OUTER_BOUND('',#55479,.T.); #34440=FACE_OUTER_BOUND('',#55480,.T.); #34441=FACE_OUTER_BOUND('',#55481,.T.); #34442=FACE_OUTER_BOUND('',#55482,.T.); #34443=FACE_OUTER_BOUND('',#55483,.T.); #34444=FACE_OUTER_BOUND('',#55484,.T.); #34445=FACE_OUTER_BOUND('',#55485,.T.); #34446=FACE_OUTER_BOUND('',#55486,.T.); #34447=FACE_OUTER_BOUND('',#55487,.T.); #34448=FACE_OUTER_BOUND('',#55488,.T.); #34449=FACE_OUTER_BOUND('',#55489,.T.); #34450=FACE_OUTER_BOUND('',#55490,.T.); #34451=FACE_OUTER_BOUND('',#55491,.T.); #34452=FACE_OUTER_BOUND('',#55492,.T.); #34453=FACE_OUTER_BOUND('',#55493,.T.); #34454=FACE_OUTER_BOUND('',#55494,.T.); #34455=FACE_OUTER_BOUND('',#55495,.T.); #34456=FACE_OUTER_BOUND('',#55496,.T.); #34457=FACE_OUTER_BOUND('',#55497,.T.); #34458=FACE_OUTER_BOUND('',#55498,.T.); #34459=FACE_OUTER_BOUND('',#55499,.T.); #34460=FACE_OUTER_BOUND('',#55500,.T.); #34461=FACE_OUTER_BOUND('',#55501,.T.); #34462=FACE_OUTER_BOUND('',#55502,.T.); #34463=FACE_OUTER_BOUND('',#55503,.T.); #34464=FACE_OUTER_BOUND('',#55504,.T.); #34465=FACE_OUTER_BOUND('',#55505,.T.); #34466=FACE_OUTER_BOUND('',#55506,.T.); #34467=FACE_OUTER_BOUND('',#55507,.T.); #34468=FACE_OUTER_BOUND('',#55508,.T.); #34469=FACE_OUTER_BOUND('',#55509,.T.); #34470=FACE_OUTER_BOUND('',#55510,.T.); #34471=FACE_OUTER_BOUND('',#55511,.T.); #34472=FACE_OUTER_BOUND('',#55512,.T.); #34473=FACE_OUTER_BOUND('',#55513,.T.); #34474=FACE_OUTER_BOUND('',#55514,.T.); #34475=FACE_OUTER_BOUND('',#55515,.T.); #34476=FACE_OUTER_BOUND('',#55516,.T.); #34477=FACE_OUTER_BOUND('',#55517,.T.); #34478=FACE_OUTER_BOUND('',#55518,.T.); #34479=FACE_OUTER_BOUND('',#55519,.T.); #34480=FACE_OUTER_BOUND('',#55520,.T.); #34481=FACE_OUTER_BOUND('',#55521,.T.); #34482=FACE_OUTER_BOUND('',#55522,.T.); #34483=FACE_OUTER_BOUND('',#55523,.T.); #34484=FACE_OUTER_BOUND('',#55524,.T.); #34485=FACE_OUTER_BOUND('',#55525,.T.); #34486=FACE_OUTER_BOUND('',#55526,.T.); #34487=FACE_OUTER_BOUND('',#55527,.T.); #34488=FACE_OUTER_BOUND('',#55528,.T.); #34489=FACE_OUTER_BOUND('',#55529,.T.); #34490=FACE_OUTER_BOUND('',#55530,.T.); #34491=FACE_OUTER_BOUND('',#55531,.T.); #34492=FACE_OUTER_BOUND('',#55532,.T.); #34493=FACE_OUTER_BOUND('',#55533,.T.); #34494=FACE_OUTER_BOUND('',#55534,.T.); #34495=FACE_OUTER_BOUND('',#55535,.T.); #34496=FACE_OUTER_BOUND('',#55536,.T.); #34497=FACE_OUTER_BOUND('',#55537,.T.); #34498=FACE_OUTER_BOUND('',#55538,.T.); #34499=FACE_OUTER_BOUND('',#55539,.T.); #34500=FACE_OUTER_BOUND('',#55540,.T.); #34501=FACE_OUTER_BOUND('',#55541,.T.); #34502=FACE_OUTER_BOUND('',#55542,.T.); #34503=FACE_OUTER_BOUND('',#55543,.T.); #34504=FACE_OUTER_BOUND('',#55544,.T.); #34505=FACE_OUTER_BOUND('',#55545,.T.); #34506=FACE_OUTER_BOUND('',#55546,.T.); #34507=FACE_OUTER_BOUND('',#55547,.T.); #34508=FACE_OUTER_BOUND('',#55548,.T.); #34509=FACE_OUTER_BOUND('',#55549,.T.); #34510=FACE_OUTER_BOUND('',#55550,.T.); #34511=FACE_OUTER_BOUND('',#55551,.T.); #34512=FACE_OUTER_BOUND('',#55552,.T.); #34513=FACE_OUTER_BOUND('',#55553,.T.); #34514=FACE_OUTER_BOUND('',#55554,.T.); #34515=FACE_OUTER_BOUND('',#55555,.T.); #34516=FACE_OUTER_BOUND('',#55556,.T.); #34517=FACE_OUTER_BOUND('',#55557,.T.); #34518=FACE_OUTER_BOUND('',#55558,.T.); #34519=FACE_OUTER_BOUND('',#55559,.T.); #34520=FACE_OUTER_BOUND('',#55560,.T.); #34521=FACE_OUTER_BOUND('',#55561,.T.); #34522=FACE_OUTER_BOUND('',#55562,.T.); #34523=FACE_OUTER_BOUND('',#55563,.T.); #34524=FACE_OUTER_BOUND('',#55564,.T.); #34525=FACE_OUTER_BOUND('',#55565,.T.); #34526=FACE_OUTER_BOUND('',#55566,.T.); #34527=FACE_OUTER_BOUND('',#55567,.T.); #34528=FACE_OUTER_BOUND('',#55568,.T.); #34529=FACE_OUTER_BOUND('',#55569,.T.); #34530=FACE_OUTER_BOUND('',#55570,.T.); #34531=FACE_OUTER_BOUND('',#55571,.T.); #34532=FACE_OUTER_BOUND('',#55572,.T.); #34533=FACE_OUTER_BOUND('',#55573,.T.); #34534=FACE_OUTER_BOUND('',#55574,.T.); #34535=FACE_OUTER_BOUND('',#55575,.T.); #34536=FACE_OUTER_BOUND('',#55576,.T.); #34537=FACE_OUTER_BOUND('',#55577,.T.); #34538=FACE_OUTER_BOUND('',#55578,.T.); #34539=FACE_OUTER_BOUND('',#55579,.T.); #34540=FACE_OUTER_BOUND('',#55580,.T.); #34541=FACE_OUTER_BOUND('',#55581,.T.); #34542=FACE_OUTER_BOUND('',#55582,.T.); #34543=FACE_OUTER_BOUND('',#55583,.T.); #34544=FACE_OUTER_BOUND('',#55584,.T.); #34545=FACE_OUTER_BOUND('',#55585,.T.); #34546=FACE_OUTER_BOUND('',#55586,.T.); #34547=FACE_OUTER_BOUND('',#55587,.T.); #34548=FACE_OUTER_BOUND('',#55588,.T.); #34549=FACE_OUTER_BOUND('',#55589,.T.); #34550=FACE_OUTER_BOUND('',#55590,.T.); #34551=FACE_OUTER_BOUND('',#55591,.T.); #34552=FACE_OUTER_BOUND('',#55592,.T.); #34553=FACE_OUTER_BOUND('',#55593,.T.); #34554=FACE_OUTER_BOUND('',#55594,.T.); #34555=FACE_OUTER_BOUND('',#55595,.T.); #34556=FACE_OUTER_BOUND('',#55596,.T.); #34557=FACE_OUTER_BOUND('',#55597,.T.); #34558=FACE_OUTER_BOUND('',#55598,.T.); #34559=FACE_OUTER_BOUND('',#55599,.T.); #34560=FACE_OUTER_BOUND('',#55600,.T.); #34561=FACE_OUTER_BOUND('',#55601,.T.); #34562=FACE_OUTER_BOUND('',#55602,.T.); #34563=FACE_OUTER_BOUND('',#55603,.T.); #34564=FACE_OUTER_BOUND('',#55604,.T.); #34565=FACE_OUTER_BOUND('',#55605,.T.); #34566=FACE_OUTER_BOUND('',#55606,.T.); #34567=FACE_OUTER_BOUND('',#55607,.T.); #34568=FACE_OUTER_BOUND('',#55608,.T.); #34569=FACE_OUTER_BOUND('',#55609,.T.); #34570=FACE_OUTER_BOUND('',#55610,.T.); #34571=FACE_OUTER_BOUND('',#55611,.T.); #34572=FACE_OUTER_BOUND('',#55612,.T.); #34573=FACE_OUTER_BOUND('',#55613,.T.); #34574=FACE_OUTER_BOUND('',#55614,.T.); #34575=FACE_OUTER_BOUND('',#55615,.T.); #34576=FACE_OUTER_BOUND('',#55616,.T.); #34577=FACE_OUTER_BOUND('',#55617,.T.); #34578=FACE_OUTER_BOUND('',#55618,.T.); #34579=FACE_OUTER_BOUND('',#55619,.T.); #34580=FACE_OUTER_BOUND('',#55620,.T.); #34581=FACE_OUTER_BOUND('',#55621,.T.); #34582=FACE_OUTER_BOUND('',#55622,.T.); #34583=FACE_OUTER_BOUND('',#55623,.T.); #34584=FACE_OUTER_BOUND('',#55624,.T.); #34585=FACE_OUTER_BOUND('',#55625,.T.); #34586=FACE_OUTER_BOUND('',#55626,.T.); #34587=FACE_OUTER_BOUND('',#55627,.T.); #34588=FACE_OUTER_BOUND('',#55628,.T.); #34589=FACE_OUTER_BOUND('',#55629,.T.); #34590=FACE_OUTER_BOUND('',#55630,.T.); #34591=FACE_OUTER_BOUND('',#55631,.T.); #34592=FACE_OUTER_BOUND('',#55632,.T.); #34593=FACE_OUTER_BOUND('',#55633,.T.); #34594=FACE_OUTER_BOUND('',#55634,.T.); #34595=FACE_OUTER_BOUND('',#55635,.T.); #34596=FACE_OUTER_BOUND('',#55636,.T.); #34597=FACE_OUTER_BOUND('',#55637,.T.); #34598=FACE_OUTER_BOUND('',#55638,.T.); #34599=FACE_OUTER_BOUND('',#55639,.T.); #34600=FACE_OUTER_BOUND('',#55640,.T.); #34601=FACE_OUTER_BOUND('',#55641,.T.); #34602=FACE_OUTER_BOUND('',#55642,.T.); #34603=FACE_OUTER_BOUND('',#55643,.T.); #34604=FACE_OUTER_BOUND('',#55644,.T.); #34605=FACE_OUTER_BOUND('',#55645,.T.); #34606=FACE_OUTER_BOUND('',#55646,.T.); #34607=FACE_OUTER_BOUND('',#55647,.T.); #34608=FACE_OUTER_BOUND('',#55648,.T.); #34609=FACE_OUTER_BOUND('',#55649,.T.); #34610=FACE_OUTER_BOUND('',#55650,.T.); #34611=FACE_OUTER_BOUND('',#55651,.T.); #34612=FACE_OUTER_BOUND('',#55652,.T.); #34613=FACE_OUTER_BOUND('',#55653,.T.); #34614=FACE_OUTER_BOUND('',#55654,.T.); #34615=FACE_OUTER_BOUND('',#55655,.T.); #34616=FACE_OUTER_BOUND('',#55656,.T.); #34617=FACE_OUTER_BOUND('',#55657,.T.); #34618=FACE_OUTER_BOUND('',#55658,.T.); #34619=FACE_OUTER_BOUND('',#55659,.T.); #34620=FACE_OUTER_BOUND('',#55660,.T.); #34621=FACE_OUTER_BOUND('',#55661,.T.); #34622=FACE_OUTER_BOUND('',#55662,.T.); #34623=FACE_OUTER_BOUND('',#55663,.T.); #34624=FACE_OUTER_BOUND('',#55664,.T.); #34625=FACE_OUTER_BOUND('',#55665,.T.); #34626=FACE_OUTER_BOUND('',#55666,.T.); #34627=FACE_OUTER_BOUND('',#55667,.T.); #34628=FACE_OUTER_BOUND('',#55668,.T.); #34629=FACE_OUTER_BOUND('',#55669,.T.); #34630=FACE_OUTER_BOUND('',#55670,.T.); #34631=FACE_OUTER_BOUND('',#55671,.T.); #34632=FACE_OUTER_BOUND('',#55672,.T.); #34633=FACE_OUTER_BOUND('',#55673,.T.); #34634=FACE_OUTER_BOUND('',#55674,.T.); #34635=FACE_OUTER_BOUND('',#55675,.T.); #34636=FACE_OUTER_BOUND('',#55676,.T.); #34637=FACE_OUTER_BOUND('',#55677,.T.); #34638=FACE_OUTER_BOUND('',#55678,.T.); #34639=FACE_OUTER_BOUND('',#55679,.T.); #34640=FACE_OUTER_BOUND('',#55680,.T.); #34641=FACE_OUTER_BOUND('',#55681,.T.); #34642=FACE_OUTER_BOUND('',#55682,.T.); #34643=FACE_OUTER_BOUND('',#55683,.T.); #34644=FACE_OUTER_BOUND('',#55684,.T.); #34645=FACE_OUTER_BOUND('',#55685,.T.); #34646=FACE_OUTER_BOUND('',#55686,.T.); #34647=FACE_OUTER_BOUND('',#55687,.T.); #34648=FACE_OUTER_BOUND('',#55688,.T.); #34649=FACE_OUTER_BOUND('',#55689,.T.); #34650=FACE_OUTER_BOUND('',#55690,.T.); #34651=FACE_OUTER_BOUND('',#55691,.T.); #34652=FACE_OUTER_BOUND('',#55692,.T.); #34653=FACE_OUTER_BOUND('',#55693,.T.); #34654=FACE_OUTER_BOUND('',#55694,.T.); #34655=FACE_OUTER_BOUND('',#55695,.T.); #34656=FACE_OUTER_BOUND('',#55696,.T.); #34657=FACE_OUTER_BOUND('',#55697,.T.); #34658=FACE_OUTER_BOUND('',#55698,.T.); #34659=FACE_OUTER_BOUND('',#55699,.T.); #34660=FACE_OUTER_BOUND('',#55700,.T.); #34661=FACE_OUTER_BOUND('',#55701,.T.); #34662=FACE_OUTER_BOUND('',#55702,.T.); #34663=FACE_OUTER_BOUND('',#55703,.T.); #34664=FACE_OUTER_BOUND('',#55704,.T.); #34665=FACE_OUTER_BOUND('',#55705,.T.); #34666=FACE_OUTER_BOUND('',#55706,.T.); #34667=FACE_OUTER_BOUND('',#55707,.T.); #34668=FACE_OUTER_BOUND('',#55708,.T.); #34669=FACE_OUTER_BOUND('',#55709,.T.); #34670=FACE_OUTER_BOUND('',#55710,.T.); #34671=FACE_OUTER_BOUND('',#55711,.T.); #34672=FACE_OUTER_BOUND('',#55712,.T.); #34673=FACE_OUTER_BOUND('',#55713,.T.); #34674=FACE_OUTER_BOUND('',#55714,.T.); #34675=FACE_OUTER_BOUND('',#55715,.T.); #34676=FACE_OUTER_BOUND('',#55716,.T.); #34677=FACE_OUTER_BOUND('',#55717,.T.); #34678=FACE_OUTER_BOUND('',#55718,.T.); #34679=FACE_OUTER_BOUND('',#55719,.T.); #34680=FACE_OUTER_BOUND('',#55720,.T.); #34681=FACE_OUTER_BOUND('',#55721,.T.); #34682=FACE_OUTER_BOUND('',#55722,.T.); #34683=FACE_OUTER_BOUND('',#55723,.T.); #34684=FACE_OUTER_BOUND('',#55724,.T.); #34685=FACE_OUTER_BOUND('',#55725,.T.); #34686=FACE_OUTER_BOUND('',#55726,.T.); #34687=FACE_OUTER_BOUND('',#55727,.T.); #34688=FACE_OUTER_BOUND('',#55728,.T.); #34689=FACE_OUTER_BOUND('',#55729,.T.); #34690=FACE_OUTER_BOUND('',#55730,.T.); #34691=FACE_OUTER_BOUND('',#55731,.T.); #34692=FACE_OUTER_BOUND('',#55732,.T.); #34693=FACE_OUTER_BOUND('',#55733,.T.); #34694=FACE_OUTER_BOUND('',#55734,.T.); #34695=FACE_OUTER_BOUND('',#55735,.T.); #34696=FACE_OUTER_BOUND('',#55736,.T.); #34697=FACE_OUTER_BOUND('',#55737,.T.); #34698=FACE_OUTER_BOUND('',#55738,.T.); #34699=FACE_OUTER_BOUND('',#55739,.T.); #34700=FACE_OUTER_BOUND('',#55740,.T.); #34701=FACE_OUTER_BOUND('',#55741,.T.); #34702=FACE_OUTER_BOUND('',#55742,.T.); #34703=FACE_OUTER_BOUND('',#55743,.T.); #34704=FACE_OUTER_BOUND('',#55744,.T.); #34705=FACE_OUTER_BOUND('',#55745,.T.); #34706=FACE_OUTER_BOUND('',#55746,.T.); #34707=FACE_OUTER_BOUND('',#55747,.T.); #34708=FACE_OUTER_BOUND('',#55748,.T.); #34709=FACE_OUTER_BOUND('',#55749,.T.); #34710=FACE_OUTER_BOUND('',#55750,.T.); #34711=FACE_OUTER_BOUND('',#55751,.T.); #34712=FACE_OUTER_BOUND('',#55752,.T.); #34713=FACE_OUTER_BOUND('',#55753,.T.); #34714=FACE_OUTER_BOUND('',#55754,.T.); #34715=FACE_OUTER_BOUND('',#55755,.T.); #34716=FACE_OUTER_BOUND('',#55756,.T.); #34717=FACE_OUTER_BOUND('',#55757,.T.); #34718=FACE_OUTER_BOUND('',#55758,.T.); #34719=FACE_OUTER_BOUND('',#55759,.T.); #34720=FACE_OUTER_BOUND('',#55760,.T.); #34721=FACE_OUTER_BOUND('',#55761,.T.); #34722=FACE_OUTER_BOUND('',#55762,.T.); #34723=FACE_OUTER_BOUND('',#55763,.T.); #34724=FACE_OUTER_BOUND('',#55764,.T.); #34725=FACE_OUTER_BOUND('',#55765,.T.); #34726=FACE_OUTER_BOUND('',#55766,.T.); #34727=FACE_OUTER_BOUND('',#55767,.T.); #34728=FACE_OUTER_BOUND('',#55768,.T.); #34729=FACE_OUTER_BOUND('',#55769,.T.); #34730=FACE_OUTER_BOUND('',#55770,.T.); #34731=FACE_OUTER_BOUND('',#55771,.T.); #34732=FACE_OUTER_BOUND('',#55772,.T.); #34733=FACE_OUTER_BOUND('',#55773,.T.); #34734=FACE_OUTER_BOUND('',#55774,.T.); #34735=FACE_OUTER_BOUND('',#55775,.T.); #34736=FACE_OUTER_BOUND('',#55776,.T.); #34737=FACE_OUTER_BOUND('',#55777,.T.); #34738=FACE_OUTER_BOUND('',#55778,.T.); #34739=FACE_OUTER_BOUND('',#55779,.T.); #34740=FACE_OUTER_BOUND('',#55780,.T.); #34741=FACE_OUTER_BOUND('',#55781,.T.); #34742=FACE_OUTER_BOUND('',#55782,.T.); #34743=FACE_OUTER_BOUND('',#55783,.T.); #34744=FACE_OUTER_BOUND('',#55784,.T.); #34745=FACE_OUTER_BOUND('',#55785,.T.); #34746=FACE_OUTER_BOUND('',#55786,.T.); #34747=FACE_OUTER_BOUND('',#55787,.T.); #34748=FACE_OUTER_BOUND('',#55788,.T.); #34749=FACE_OUTER_BOUND('',#55789,.T.); #34750=FACE_OUTER_BOUND('',#55790,.T.); #34751=FACE_OUTER_BOUND('',#55791,.T.); #34752=FACE_OUTER_BOUND('',#55792,.T.); #34753=FACE_OUTER_BOUND('',#55793,.T.); #34754=FACE_OUTER_BOUND('',#55794,.T.); #34755=FACE_OUTER_BOUND('',#55795,.T.); #34756=FACE_OUTER_BOUND('',#55796,.T.); #34757=FACE_OUTER_BOUND('',#55797,.T.); #34758=FACE_OUTER_BOUND('',#55798,.T.); #34759=FACE_OUTER_BOUND('',#55799,.T.); #34760=FACE_OUTER_BOUND('',#55800,.T.); #34761=FACE_OUTER_BOUND('',#55801,.T.); #34762=FACE_OUTER_BOUND('',#55802,.T.); #34763=FACE_OUTER_BOUND('',#55803,.T.); #34764=FACE_OUTER_BOUND('',#55804,.T.); #34765=FACE_OUTER_BOUND('',#55805,.T.); #34766=FACE_OUTER_BOUND('',#55806,.T.); #34767=FACE_OUTER_BOUND('',#55807,.T.); #34768=FACE_OUTER_BOUND('',#55808,.T.); #34769=FACE_OUTER_BOUND('',#55809,.T.); #34770=FACE_OUTER_BOUND('',#55810,.T.); #34771=FACE_OUTER_BOUND('',#55811,.T.); #34772=FACE_OUTER_BOUND('',#55812,.T.); #34773=FACE_OUTER_BOUND('',#55813,.T.); #34774=FACE_OUTER_BOUND('',#55814,.T.); #34775=FACE_OUTER_BOUND('',#55815,.T.); #34776=FACE_OUTER_BOUND('',#55816,.T.); #34777=FACE_OUTER_BOUND('',#55817,.T.); #34778=FACE_OUTER_BOUND('',#55818,.T.); #34779=FACE_OUTER_BOUND('',#55819,.T.); #34780=FACE_OUTER_BOUND('',#55820,.T.); #34781=FACE_OUTER_BOUND('',#55821,.T.); #34782=FACE_OUTER_BOUND('',#55822,.T.); #34783=FACE_OUTER_BOUND('',#55823,.T.); #34784=FACE_OUTER_BOUND('',#55824,.T.); #34785=FACE_OUTER_BOUND('',#55825,.T.); #34786=FACE_OUTER_BOUND('',#55826,.T.); #34787=FACE_OUTER_BOUND('',#55827,.T.); #34788=FACE_OUTER_BOUND('',#55828,.T.); #34789=FACE_OUTER_BOUND('',#55829,.T.); #34790=FACE_OUTER_BOUND('',#55830,.T.); #34791=FACE_OUTER_BOUND('',#55831,.T.); #34792=FACE_OUTER_BOUND('',#55832,.T.); #34793=FACE_OUTER_BOUND('',#55833,.T.); #34794=FACE_OUTER_BOUND('',#55834,.T.); #34795=FACE_OUTER_BOUND('',#55835,.T.); #34796=FACE_OUTER_BOUND('',#55836,.T.); #34797=FACE_OUTER_BOUND('',#55837,.T.); #34798=FACE_OUTER_BOUND('',#55838,.T.); #34799=FACE_OUTER_BOUND('',#55839,.T.); #34800=FACE_OUTER_BOUND('',#55840,.T.); #34801=FACE_OUTER_BOUND('',#55841,.T.); #34802=FACE_OUTER_BOUND('',#55842,.T.); #34803=FACE_OUTER_BOUND('',#55843,.T.); #34804=FACE_OUTER_BOUND('',#55844,.T.); #34805=FACE_OUTER_BOUND('',#55845,.T.); #34806=FACE_OUTER_BOUND('',#55846,.T.); #34807=FACE_OUTER_BOUND('',#55863,.T.); #34808=FACE_OUTER_BOUND('',#55880,.T.); #34809=FACE_OUTER_BOUND('',#55881,.T.); #34810=FACE_OUTER_BOUND('',#55882,.T.); #34811=FACE_OUTER_BOUND('',#55883,.T.); #34812=FACE_OUTER_BOUND('',#55884,.T.); #34813=FACE_OUTER_BOUND('',#55885,.T.); #34814=FACE_OUTER_BOUND('',#55886,.T.); #34815=FACE_OUTER_BOUND('',#55887,.T.); #34816=FACE_OUTER_BOUND('',#55888,.T.); #34817=FACE_OUTER_BOUND('',#55889,.T.); #34818=FACE_OUTER_BOUND('',#55890,.T.); #34819=FACE_OUTER_BOUND('',#55891,.T.); #34820=FACE_OUTER_BOUND('',#55892,.T.); #34821=FACE_OUTER_BOUND('',#55893,.T.); #34822=FACE_OUTER_BOUND('',#55894,.T.); #34823=FACE_OUTER_BOUND('',#55895,.T.); #34824=FACE_OUTER_BOUND('',#55896,.T.); #34825=FACE_OUTER_BOUND('',#55897,.T.); #34826=FACE_OUTER_BOUND('',#55898,.T.); #34827=FACE_OUTER_BOUND('',#55899,.T.); #34828=FACE_OUTER_BOUND('',#55900,.T.); #34829=FACE_OUTER_BOUND('',#55901,.T.); #34830=FACE_OUTER_BOUND('',#55902,.T.); #34831=FACE_OUTER_BOUND('',#55903,.T.); #34832=FACE_OUTER_BOUND('',#55904,.T.); #34833=FACE_OUTER_BOUND('',#55905,.T.); #34834=FACE_OUTER_BOUND('',#55906,.T.); #34835=FACE_OUTER_BOUND('',#55907,.T.); #34836=FACE_OUTER_BOUND('',#55908,.T.); #34837=FACE_OUTER_BOUND('',#55909,.T.); #34838=FACE_OUTER_BOUND('',#55910,.T.); #34839=FACE_OUTER_BOUND('',#55911,.T.); #34840=FACE_OUTER_BOUND('',#55912,.T.); #34841=FACE_OUTER_BOUND('',#55913,.T.); #34842=FACE_OUTER_BOUND('',#55914,.T.); #34843=FACE_OUTER_BOUND('',#55915,.T.); #34844=FACE_OUTER_BOUND('',#55916,.T.); #34845=FACE_OUTER_BOUND('',#55917,.T.); #34846=FACE_OUTER_BOUND('',#55918,.T.); #34847=FACE_OUTER_BOUND('',#55919,.T.); #34848=FACE_OUTER_BOUND('',#55920,.T.); #34849=FACE_OUTER_BOUND('',#55921,.T.); #34850=FACE_OUTER_BOUND('',#55922,.T.); #34851=FACE_OUTER_BOUND('',#55923,.T.); #34852=FACE_OUTER_BOUND('',#55924,.T.); #34853=FACE_OUTER_BOUND('',#55925,.T.); #34854=FACE_OUTER_BOUND('',#55926,.T.); #34855=FACE_OUTER_BOUND('',#55927,.T.); #34856=FACE_OUTER_BOUND('',#55928,.T.); #34857=FACE_OUTER_BOUND('',#55929,.T.); #34858=FACE_OUTER_BOUND('',#55930,.T.); #34859=FACE_OUTER_BOUND('',#55931,.T.); #34860=FACE_OUTER_BOUND('',#55932,.T.); #34861=FACE_OUTER_BOUND('',#55933,.T.); #34862=FACE_OUTER_BOUND('',#55934,.T.); #34863=FACE_OUTER_BOUND('',#55935,.T.); #34864=FACE_OUTER_BOUND('',#55936,.T.); #34865=FACE_OUTER_BOUND('',#55937,.T.); #34866=FACE_OUTER_BOUND('',#55938,.T.); #34867=FACE_OUTER_BOUND('',#55939,.T.); #34868=FACE_OUTER_BOUND('',#55940,.T.); #34869=FACE_OUTER_BOUND('',#55941,.T.); #34870=FACE_OUTER_BOUND('',#55942,.T.); #34871=FACE_OUTER_BOUND('',#55943,.T.); #34872=FACE_OUTER_BOUND('',#55944,.T.); #34873=FACE_OUTER_BOUND('',#55945,.T.); #34874=FACE_OUTER_BOUND('',#55946,.T.); #34875=FACE_OUTER_BOUND('',#55947,.T.); #34876=FACE_OUTER_BOUND('',#55948,.T.); #34877=FACE_OUTER_BOUND('',#55949,.T.); #34878=FACE_OUTER_BOUND('',#55950,.T.); #34879=FACE_OUTER_BOUND('',#55951,.T.); #34880=FACE_OUTER_BOUND('',#55952,.T.); #34881=FACE_OUTER_BOUND('',#55953,.T.); #34882=FACE_OUTER_BOUND('',#55954,.T.); #34883=FACE_OUTER_BOUND('',#55955,.T.); #34884=FACE_OUTER_BOUND('',#55956,.T.); #34885=FACE_OUTER_BOUND('',#55957,.T.); #34886=FACE_OUTER_BOUND('',#55958,.T.); #34887=FACE_OUTER_BOUND('',#55959,.T.); #34888=FACE_OUTER_BOUND('',#55960,.T.); #34889=FACE_OUTER_BOUND('',#55961,.T.); #34890=FACE_OUTER_BOUND('',#55962,.T.); #34891=FACE_OUTER_BOUND('',#55963,.T.); #34892=FACE_OUTER_BOUND('',#55964,.T.); #34893=FACE_OUTER_BOUND('',#55965,.T.); #34894=FACE_OUTER_BOUND('',#55966,.T.); #34895=FACE_OUTER_BOUND('',#55983,.T.); #34896=FACE_OUTER_BOUND('',#56000,.T.); #34897=FACE_OUTER_BOUND('',#56001,.T.); #34898=FACE_OUTER_BOUND('',#56002,.T.); #34899=FACE_OUTER_BOUND('',#56003,.T.); #34900=FACE_OUTER_BOUND('',#56004,.T.); #34901=FACE_OUTER_BOUND('',#56005,.T.); #34902=FACE_OUTER_BOUND('',#56006,.T.); #34903=FACE_OUTER_BOUND('',#56007,.T.); #34904=FACE_OUTER_BOUND('',#56008,.T.); #34905=FACE_OUTER_BOUND('',#56009,.T.); #34906=FACE_OUTER_BOUND('',#56010,.T.); #34907=FACE_OUTER_BOUND('',#56011,.T.); #34908=FACE_OUTER_BOUND('',#56012,.T.); #34909=FACE_OUTER_BOUND('',#56013,.T.); #34910=FACE_OUTER_BOUND('',#56014,.T.); #34911=FACE_OUTER_BOUND('',#56015,.T.); #34912=FACE_OUTER_BOUND('',#56016,.T.); #34913=FACE_OUTER_BOUND('',#56017,.T.); #34914=FACE_OUTER_BOUND('',#56018,.T.); #34915=FACE_OUTER_BOUND('',#56019,.T.); #34916=FACE_OUTER_BOUND('',#56020,.T.); #34917=FACE_OUTER_BOUND('',#56021,.T.); #34918=FACE_OUTER_BOUND('',#56022,.T.); #34919=FACE_OUTER_BOUND('',#56023,.T.); #34920=FACE_OUTER_BOUND('',#56024,.T.); #34921=FACE_OUTER_BOUND('',#56025,.T.); #34922=FACE_OUTER_BOUND('',#56026,.T.); #34923=FACE_OUTER_BOUND('',#56027,.T.); #34924=FACE_OUTER_BOUND('',#56028,.T.); #34925=FACE_OUTER_BOUND('',#56029,.T.); #34926=FACE_OUTER_BOUND('',#56030,.T.); #34927=FACE_OUTER_BOUND('',#56031,.T.); #34928=FACE_OUTER_BOUND('',#56032,.T.); #34929=FACE_OUTER_BOUND('',#56033,.T.); #34930=FACE_OUTER_BOUND('',#56034,.T.); #34931=FACE_OUTER_BOUND('',#56035,.T.); #34932=FACE_OUTER_BOUND('',#56036,.T.); #34933=FACE_OUTER_BOUND('',#56037,.T.); #34934=FACE_OUTER_BOUND('',#56038,.T.); #34935=FACE_OUTER_BOUND('',#56039,.T.); #34936=FACE_OUTER_BOUND('',#56040,.T.); #34937=FACE_OUTER_BOUND('',#56041,.T.); #34938=FACE_OUTER_BOUND('',#56042,.T.); #34939=FACE_OUTER_BOUND('',#56043,.T.); #34940=FACE_OUTER_BOUND('',#56044,.T.); #34941=FACE_OUTER_BOUND('',#56045,.T.); #34942=FACE_OUTER_BOUND('',#56046,.T.); #34943=FACE_OUTER_BOUND('',#56047,.T.); #34944=FACE_OUTER_BOUND('',#56048,.T.); #34945=FACE_OUTER_BOUND('',#56049,.T.); #34946=FACE_OUTER_BOUND('',#56050,.T.); #34947=FACE_OUTER_BOUND('',#56051,.T.); #34948=FACE_OUTER_BOUND('',#56052,.T.); #34949=FACE_OUTER_BOUND('',#56053,.T.); #34950=FACE_OUTER_BOUND('',#56054,.T.); #34951=FACE_OUTER_BOUND('',#56055,.T.); #34952=FACE_OUTER_BOUND('',#56056,.T.); #34953=FACE_OUTER_BOUND('',#56057,.T.); #34954=FACE_OUTER_BOUND('',#56058,.T.); #34955=FACE_OUTER_BOUND('',#56059,.T.); #34956=FACE_OUTER_BOUND('',#56060,.T.); #34957=FACE_OUTER_BOUND('',#56061,.T.); #34958=FACE_OUTER_BOUND('',#56062,.T.); #34959=FACE_OUTER_BOUND('',#56063,.T.); #34960=FACE_OUTER_BOUND('',#56064,.T.); #34961=FACE_OUTER_BOUND('',#56065,.T.); #34962=FACE_OUTER_BOUND('',#56066,.T.); #34963=FACE_OUTER_BOUND('',#56067,.T.); #34964=FACE_OUTER_BOUND('',#56068,.T.); #34965=FACE_OUTER_BOUND('',#56069,.T.); #34966=FACE_OUTER_BOUND('',#56070,.T.); #34967=FACE_OUTER_BOUND('',#56071,.T.); #34968=FACE_OUTER_BOUND('',#56072,.T.); #34969=FACE_OUTER_BOUND('',#56073,.T.); #34970=FACE_OUTER_BOUND('',#56074,.T.); #34971=FACE_OUTER_BOUND('',#56075,.T.); #34972=FACE_OUTER_BOUND('',#56106,.T.); #34973=FACE_OUTER_BOUND('',#56137,.T.); #34974=FACE_OUTER_BOUND('',#56138,.T.); #34975=FACE_OUTER_BOUND('',#56139,.T.); #34976=FACE_OUTER_BOUND('',#56140,.T.); #34977=FACE_OUTER_BOUND('',#56141,.T.); #34978=FACE_OUTER_BOUND('',#56142,.T.); #34979=FACE_OUTER_BOUND('',#56143,.T.); #34980=FACE_OUTER_BOUND('',#56144,.T.); #34981=FACE_OUTER_BOUND('',#56145,.T.); #34982=FACE_OUTER_BOUND('',#56146,.T.); #34983=FACE_OUTER_BOUND('',#56149,.T.); #34984=FACE_OUTER_BOUND('',#56152,.T.); #34985=FACE_OUTER_BOUND('',#56153,.T.); #34986=FACE_OUTER_BOUND('',#56154,.T.); #34987=FACE_OUTER_BOUND('',#56155,.T.); #34988=FACE_OUTER_BOUND('',#56156,.T.); #34989=FACE_OUTER_BOUND('',#56157,.T.); #34990=FACE_OUTER_BOUND('',#56158,.T.); #34991=FACE_OUTER_BOUND('',#56159,.T.); #34992=FACE_OUTER_BOUND('',#56160,.T.); #34993=FACE_OUTER_BOUND('',#56161,.T.); #34994=FACE_OUTER_BOUND('',#56162,.T.); #34995=FACE_OUTER_BOUND('',#56163,.T.); #34996=FACE_OUTER_BOUND('',#56164,.T.); #34997=FACE_OUTER_BOUND('',#56165,.T.); #34998=FACE_OUTER_BOUND('',#56166,.T.); #34999=FACE_OUTER_BOUND('',#56167,.T.); #35000=FACE_OUTER_BOUND('',#56168,.T.); #35001=FACE_OUTER_BOUND('',#56169,.T.); #35002=FACE_OUTER_BOUND('',#56170,.T.); #35003=FACE_OUTER_BOUND('',#56171,.T.); #35004=FACE_OUTER_BOUND('',#56172,.T.); #35005=FACE_OUTER_BOUND('',#56173,.T.); #35006=FACE_OUTER_BOUND('',#56174,.T.); #35007=FACE_OUTER_BOUND('',#56175,.T.); #35008=FACE_OUTER_BOUND('',#56176,.T.); #35009=FACE_OUTER_BOUND('',#56177,.T.); #35010=FACE_OUTER_BOUND('',#56178,.T.); #35011=FACE_OUTER_BOUND('',#56179,.T.); #35012=FACE_OUTER_BOUND('',#56180,.T.); #35013=FACE_OUTER_BOUND('',#56181,.T.); #35014=FACE_OUTER_BOUND('',#56182,.T.); #35015=FACE_OUTER_BOUND('',#56183,.T.); #35016=FACE_OUTER_BOUND('',#56184,.T.); #35017=FACE_OUTER_BOUND('',#56185,.T.); #35018=FACE_OUTER_BOUND('',#56186,.T.); #35019=FACE_OUTER_BOUND('',#56197,.T.); #35020=FACE_OUTER_BOUND('',#56208,.T.); #35021=FACE_OUTER_BOUND('',#56209,.T.); #35022=FACE_OUTER_BOUND('',#56210,.T.); #35023=FACE_OUTER_BOUND('',#56211,.T.); #35024=FACE_OUTER_BOUND('',#56212,.T.); #35025=FACE_OUTER_BOUND('',#56214,.T.); #35026=FACE_OUTER_BOUND('',#56216,.T.); #35027=FACE_OUTER_BOUND('',#56217,.T.); #35028=FACE_OUTER_BOUND('',#56218,.T.); #35029=FACE_OUTER_BOUND('',#56219,.T.); #35030=FACE_OUTER_BOUND('',#56220,.T.); #35031=FACE_OUTER_BOUND('',#56221,.T.); #35032=FACE_OUTER_BOUND('',#56222,.T.); #35033=FACE_OUTER_BOUND('',#56223,.T.); #35034=FACE_OUTER_BOUND('',#56224,.T.); #35035=FACE_OUTER_BOUND('',#56225,.T.); #35036=FACE_OUTER_BOUND('',#56226,.T.); #35037=FACE_OUTER_BOUND('',#56227,.T.); #35038=FACE_OUTER_BOUND('',#56228,.T.); #35039=FACE_OUTER_BOUND('',#56229,.T.); #35040=FACE_OUTER_BOUND('',#56230,.T.); #35041=FACE_OUTER_BOUND('',#56231,.T.); #35042=FACE_OUTER_BOUND('',#56232,.T.); #35043=FACE_OUTER_BOUND('',#56233,.T.); #35044=FACE_OUTER_BOUND('',#56234,.T.); #35045=FACE_OUTER_BOUND('',#56235,.T.); #35046=FACE_OUTER_BOUND('',#56236,.T.); #35047=FACE_OUTER_BOUND('',#56237,.T.); #35048=FACE_OUTER_BOUND('',#56238,.T.); #35049=FACE_OUTER_BOUND('',#56239,.T.); #35050=FACE_OUTER_BOUND('',#56240,.T.); #35051=FACE_OUTER_BOUND('',#56241,.T.); #35052=FACE_OUTER_BOUND('',#56242,.T.); #35053=FACE_OUTER_BOUND('',#56243,.T.); #35054=FACE_OUTER_BOUND('',#56244,.T.); #35055=FACE_OUTER_BOUND('',#56245,.T.); #35056=FACE_OUTER_BOUND('',#56246,.T.); #35057=FACE_OUTER_BOUND('',#56247,.T.); #35058=FACE_OUTER_BOUND('',#56248,.T.); #35059=FACE_OUTER_BOUND('',#56249,.T.); #35060=FACE_OUTER_BOUND('',#56250,.T.); #35061=FACE_OUTER_BOUND('',#56251,.T.); #35062=FACE_OUTER_BOUND('',#56252,.T.); #35063=FACE_OUTER_BOUND('',#56253,.T.); #35064=FACE_OUTER_BOUND('',#56254,.T.); #35065=FACE_OUTER_BOUND('',#56255,.T.); #35066=FACE_OUTER_BOUND('',#56256,.T.); #35067=FACE_OUTER_BOUND('',#56257,.T.); #35068=FACE_OUTER_BOUND('',#56258,.T.); #35069=FACE_OUTER_BOUND('',#56259,.T.); #35070=FACE_OUTER_BOUND('',#56260,.T.); #35071=FACE_OUTER_BOUND('',#56261,.T.); #35072=FACE_OUTER_BOUND('',#56262,.T.); #35073=FACE_OUTER_BOUND('',#56263,.T.); #35074=FACE_OUTER_BOUND('',#56264,.T.); #35075=FACE_OUTER_BOUND('',#56265,.T.); #35076=FACE_OUTER_BOUND('',#56266,.T.); #35077=FACE_OUTER_BOUND('',#56267,.T.); #35078=FACE_OUTER_BOUND('',#56268,.T.); #35079=FACE_OUTER_BOUND('',#56269,.T.); #35080=FACE_OUTER_BOUND('',#56270,.T.); #35081=FACE_OUTER_BOUND('',#56271,.T.); #35082=FACE_OUTER_BOUND('',#56272,.T.); #35083=FACE_OUTER_BOUND('',#56273,.T.); #35084=FACE_OUTER_BOUND('',#56274,.T.); #35085=FACE_OUTER_BOUND('',#56275,.T.); #35086=FACE_OUTER_BOUND('',#56276,.T.); #35087=FACE_OUTER_BOUND('',#56277,.T.); #35088=FACE_OUTER_BOUND('',#56278,.T.); #35089=FACE_OUTER_BOUND('',#56279,.T.); #35090=FACE_OUTER_BOUND('',#56280,.T.); #35091=FACE_OUTER_BOUND('',#56281,.T.); #35092=FACE_OUTER_BOUND('',#56282,.T.); #35093=FACE_OUTER_BOUND('',#56283,.T.); #35094=FACE_OUTER_BOUND('',#56284,.T.); #35095=FACE_OUTER_BOUND('',#56285,.T.); #35096=FACE_OUTER_BOUND('',#56286,.T.); #35097=FACE_OUTER_BOUND('',#56287,.T.); #35098=FACE_OUTER_BOUND('',#56288,.T.); #35099=FACE_OUTER_BOUND('',#56289,.T.); #35100=FACE_OUTER_BOUND('',#56290,.T.); #35101=FACE_OUTER_BOUND('',#56291,.T.); #35102=FACE_OUTER_BOUND('',#56322,.T.); #35103=FACE_OUTER_BOUND('',#56353,.T.); #35104=FACE_OUTER_BOUND('',#56354,.T.); #35105=FACE_OUTER_BOUND('',#56355,.T.); #35106=FACE_OUTER_BOUND('',#56356,.T.); #35107=FACE_OUTER_BOUND('',#56357,.T.); #35108=FACE_OUTER_BOUND('',#56358,.T.); #35109=FACE_OUTER_BOUND('',#56359,.T.); #35110=FACE_OUTER_BOUND('',#56360,.T.); #35111=FACE_OUTER_BOUND('',#56361,.T.); #35112=FACE_OUTER_BOUND('',#56362,.T.); #35113=FACE_OUTER_BOUND('',#56365,.T.); #35114=FACE_OUTER_BOUND('',#56368,.T.); #35115=FACE_OUTER_BOUND('',#56369,.T.); #35116=FACE_OUTER_BOUND('',#56370,.T.); #35117=FACE_OUTER_BOUND('',#56371,.T.); #35118=FACE_OUTER_BOUND('',#56372,.T.); #35119=FACE_OUTER_BOUND('',#56374,.T.); #35120=FACE_OUTER_BOUND('',#56376,.T.); #35121=FACE_OUTER_BOUND('',#56377,.T.); #35122=FACE_OUTER_BOUND('',#56378,.T.); #35123=FACE_OUTER_BOUND('',#56379,.T.); #35124=FACE_OUTER_BOUND('',#56380,.T.); #35125=FACE_OUTER_BOUND('',#56381,.T.); #35126=FACE_OUTER_BOUND('',#56382,.T.); #35127=FACE_OUTER_BOUND('',#56383,.T.); #35128=FACE_OUTER_BOUND('',#56384,.T.); #35129=FACE_OUTER_BOUND('',#56385,.T.); #35130=FACE_OUTER_BOUND('',#56386,.T.); #35131=FACE_OUTER_BOUND('',#56387,.T.); #35132=FACE_OUTER_BOUND('',#56388,.T.); #35133=FACE_OUTER_BOUND('',#56389,.T.); #35134=FACE_OUTER_BOUND('',#56390,.T.); #35135=FACE_OUTER_BOUND('',#56391,.T.); #35136=FACE_OUTER_BOUND('',#56392,.T.); #35137=FACE_OUTER_BOUND('',#56393,.T.); #35138=FACE_OUTER_BOUND('',#56394,.T.); #35139=FACE_OUTER_BOUND('',#56395,.T.); #35140=FACE_OUTER_BOUND('',#56396,.T.); #35141=FACE_OUTER_BOUND('',#56397,.T.); #35142=FACE_OUTER_BOUND('',#56398,.T.); #35143=FACE_OUTER_BOUND('',#56399,.T.); #35144=FACE_OUTER_BOUND('',#56400,.T.); #35145=FACE_OUTER_BOUND('',#56401,.T.); #35146=FACE_OUTER_BOUND('',#56402,.T.); #35147=FACE_OUTER_BOUND('',#56403,.T.); #35148=FACE_OUTER_BOUND('',#56404,.T.); #35149=FACE_OUTER_BOUND('',#56405,.T.); #35150=FACE_OUTER_BOUND('',#56406,.T.); #35151=FACE_OUTER_BOUND('',#56407,.T.); #35152=FACE_OUTER_BOUND('',#56408,.T.); #35153=FACE_OUTER_BOUND('',#56409,.T.); #35154=FACE_OUTER_BOUND('',#56410,.T.); #35155=FACE_OUTER_BOUND('',#56411,.T.); #35156=FACE_OUTER_BOUND('',#56412,.T.); #35157=FACE_OUTER_BOUND('',#56413,.T.); #35158=FACE_OUTER_BOUND('',#56414,.T.); #35159=FACE_OUTER_BOUND('',#56415,.T.); #35160=FACE_OUTER_BOUND('',#56416,.T.); #35161=FACE_OUTER_BOUND('',#56417,.T.); #35162=FACE_OUTER_BOUND('',#56418,.T.); #35163=FACE_OUTER_BOUND('',#56419,.T.); #35164=FACE_OUTER_BOUND('',#56420,.T.); #35165=FACE_OUTER_BOUND('',#56421,.T.); #35166=FACE_OUTER_BOUND('',#56422,.T.); #35167=FACE_OUTER_BOUND('',#56423,.T.); #35168=FACE_OUTER_BOUND('',#56424,.T.); #35169=FACE_OUTER_BOUND('',#56425,.T.); #35170=FACE_OUTER_BOUND('',#56426,.T.); #35171=FACE_OUTER_BOUND('',#56427,.T.); #35172=FACE_OUTER_BOUND('',#56428,.T.); #35173=FACE_OUTER_BOUND('',#56429,.T.); #35174=FACE_OUTER_BOUND('',#56430,.T.); #35175=FACE_OUTER_BOUND('',#56431,.T.); #35176=FACE_OUTER_BOUND('',#56432,.T.); #35177=FACE_OUTER_BOUND('',#56433,.T.); #35178=FACE_OUTER_BOUND('',#56434,.T.); #35179=FACE_OUTER_BOUND('',#56435,.T.); #35180=FACE_OUTER_BOUND('',#56436,.T.); #35181=FACE_OUTER_BOUND('',#56437,.T.); #35182=FACE_OUTER_BOUND('',#56438,.T.); #35183=FACE_OUTER_BOUND('',#56439,.T.); #35184=FACE_OUTER_BOUND('',#56440,.T.); #35185=FACE_OUTER_BOUND('',#56441,.T.); #35186=FACE_OUTER_BOUND('',#56442,.T.); #35187=FACE_OUTER_BOUND('',#56443,.T.); #35188=FACE_OUTER_BOUND('',#56444,.T.); #35189=FACE_OUTER_BOUND('',#56445,.T.); #35190=FACE_OUTER_BOUND('',#56446,.T.); #35191=FACE_OUTER_BOUND('',#56447,.T.); #35192=FACE_OUTER_BOUND('',#56448,.T.); #35193=FACE_OUTER_BOUND('',#56449,.T.); #35194=FACE_OUTER_BOUND('',#56450,.T.); #35195=FACE_OUTER_BOUND('',#56451,.T.); #35196=FACE_OUTER_BOUND('',#56452,.T.); #35197=FACE_OUTER_BOUND('',#56453,.T.); #35198=FACE_OUTER_BOUND('',#56454,.T.); #35199=FACE_OUTER_BOUND('',#56455,.T.); #35200=FACE_OUTER_BOUND('',#56456,.T.); #35201=FACE_OUTER_BOUND('',#56457,.T.); #35202=FACE_OUTER_BOUND('',#56458,.T.); #35203=FACE_OUTER_BOUND('',#56459,.T.); #35204=FACE_OUTER_BOUND('',#56460,.T.); #35205=FACE_OUTER_BOUND('',#56461,.T.); #35206=FACE_OUTER_BOUND('',#56462,.T.); #35207=FACE_OUTER_BOUND('',#56463,.T.); #35208=FACE_OUTER_BOUND('',#56464,.T.); #35209=FACE_OUTER_BOUND('',#56465,.T.); #35210=FACE_OUTER_BOUND('',#56466,.T.); #35211=FACE_OUTER_BOUND('',#56467,.T.); #35212=FACE_OUTER_BOUND('',#56468,.T.); #35213=FACE_OUTER_BOUND('',#56469,.T.); #35214=FACE_OUTER_BOUND('',#56470,.T.); #35215=FACE_OUTER_BOUND('',#56471,.T.); #35216=FACE_OUTER_BOUND('',#56472,.T.); #35217=FACE_OUTER_BOUND('',#56473,.T.); #35218=FACE_OUTER_BOUND('',#56474,.T.); #35219=FACE_OUTER_BOUND('',#56475,.T.); #35220=FACE_OUTER_BOUND('',#56476,.T.); #35221=FACE_OUTER_BOUND('',#56477,.T.); #35222=FACE_OUTER_BOUND('',#56478,.T.); #35223=FACE_OUTER_BOUND('',#56479,.T.); #35224=FACE_OUTER_BOUND('',#56480,.T.); #35225=FACE_OUTER_BOUND('',#56481,.T.); #35226=FACE_OUTER_BOUND('',#56482,.T.); #35227=FACE_OUTER_BOUND('',#56483,.T.); #35228=FACE_OUTER_BOUND('',#56484,.T.); #35229=FACE_OUTER_BOUND('',#56485,.T.); #35230=FACE_OUTER_BOUND('',#56486,.T.); #35231=FACE_OUTER_BOUND('',#56487,.T.); #35232=FACE_OUTER_BOUND('',#56488,.T.); #35233=FACE_OUTER_BOUND('',#56489,.T.); #35234=FACE_OUTER_BOUND('',#56490,.T.); #35235=FACE_OUTER_BOUND('',#56491,.T.); #35236=FACE_OUTER_BOUND('',#56492,.T.); #35237=FACE_OUTER_BOUND('',#56493,.T.); #35238=FACE_OUTER_BOUND('',#56494,.T.); #35239=FACE_OUTER_BOUND('',#56495,.T.); #35240=FACE_OUTER_BOUND('',#56496,.T.); #35241=FACE_OUTER_BOUND('',#56497,.T.); #35242=FACE_OUTER_BOUND('',#56498,.T.); #35243=FACE_OUTER_BOUND('',#56499,.T.); #35244=FACE_OUTER_BOUND('',#56500,.T.); #35245=FACE_OUTER_BOUND('',#56501,.T.); #35246=FACE_OUTER_BOUND('',#56502,.T.); #35247=FACE_OUTER_BOUND('',#56503,.T.); #35248=FACE_OUTER_BOUND('',#56504,.T.); #35249=FACE_OUTER_BOUND('',#56505,.T.); #35250=FACE_OUTER_BOUND('',#56506,.T.); #35251=FACE_OUTER_BOUND('',#56507,.T.); #35252=FACE_OUTER_BOUND('',#56508,.T.); #35253=FACE_OUTER_BOUND('',#56509,.T.); #35254=FACE_OUTER_BOUND('',#56510,.T.); #35255=FACE_OUTER_BOUND('',#56511,.T.); #35256=FACE_OUTER_BOUND('',#56512,.T.); #35257=FACE_OUTER_BOUND('',#56513,.T.); #35258=FACE_OUTER_BOUND('',#56514,.T.); #35259=FACE_OUTER_BOUND('',#56515,.T.); #35260=FACE_OUTER_BOUND('',#56516,.T.); #35261=FACE_OUTER_BOUND('',#56517,.T.); #35262=FACE_OUTER_BOUND('',#56518,.T.); #35263=FACE_OUTER_BOUND('',#56519,.T.); #35264=FACE_OUTER_BOUND('',#56520,.T.); #35265=FACE_OUTER_BOUND('',#56521,.T.); #35266=FACE_OUTER_BOUND('',#56522,.T.); #35267=FACE_OUTER_BOUND('',#56523,.T.); #35268=FACE_OUTER_BOUND('',#56524,.T.); #35269=FACE_OUTER_BOUND('',#56525,.T.); #35270=FACE_OUTER_BOUND('',#56526,.T.); #35271=FACE_OUTER_BOUND('',#56527,.T.); #35272=FACE_OUTER_BOUND('',#56528,.T.); #35273=FACE_OUTER_BOUND('',#56529,.T.); #35274=FACE_OUTER_BOUND('',#56530,.T.); #35275=FACE_OUTER_BOUND('',#56531,.T.); #35276=FACE_OUTER_BOUND('',#56532,.T.); #35277=FACE_OUTER_BOUND('',#56533,.T.); #35278=FACE_OUTER_BOUND('',#56534,.T.); #35279=FACE_OUTER_BOUND('',#56535,.T.); #35280=FACE_OUTER_BOUND('',#56536,.T.); #35281=FACE_OUTER_BOUND('',#56537,.T.); #35282=FACE_OUTER_BOUND('',#56538,.T.); #35283=FACE_OUTER_BOUND('',#56539,.T.); #35284=FACE_OUTER_BOUND('',#56540,.T.); #35285=FACE_OUTER_BOUND('',#56541,.T.); #35286=FACE_OUTER_BOUND('',#56542,.T.); #35287=FACE_OUTER_BOUND('',#56543,.T.); #35288=FACE_OUTER_BOUND('',#56544,.T.); #35289=FACE_OUTER_BOUND('',#56545,.T.); #35290=FACE_OUTER_BOUND('',#56546,.T.); #35291=FACE_OUTER_BOUND('',#56547,.T.); #35292=FACE_OUTER_BOUND('',#56548,.T.); #35293=FACE_OUTER_BOUND('',#56549,.T.); #35294=FACE_OUTER_BOUND('',#56550,.T.); #35295=FACE_OUTER_BOUND('',#56551,.T.); #35296=FACE_OUTER_BOUND('',#56552,.T.); #35297=FACE_OUTER_BOUND('',#56553,.T.); #35298=FACE_OUTER_BOUND('',#56554,.T.); #35299=FACE_OUTER_BOUND('',#56555,.T.); #35300=FACE_OUTER_BOUND('',#56556,.T.); #35301=FACE_OUTER_BOUND('',#56557,.T.); #35302=FACE_OUTER_BOUND('',#56558,.T.); #35303=FACE_OUTER_BOUND('',#56575,.T.); #35304=FACE_OUTER_BOUND('',#56592,.T.); #35305=FACE_OUTER_BOUND('',#56593,.T.); #35306=FACE_OUTER_BOUND('',#56594,.T.); #35307=FACE_OUTER_BOUND('',#56595,.T.); #35308=FACE_OUTER_BOUND('',#56596,.T.); #35309=FACE_OUTER_BOUND('',#56598,.T.); #35310=FACE_OUTER_BOUND('',#56600,.T.); #35311=FACE_OUTER_BOUND('',#56601,.T.); #35312=FACE_OUTER_BOUND('',#56602,.T.); #35313=FACE_OUTER_BOUND('',#56603,.T.); #35314=FACE_OUTER_BOUND('',#56604,.T.); #35315=FACE_OUTER_BOUND('',#56606,.T.); #35316=FACE_OUTER_BOUND('',#56608,.T.); #35317=FACE_OUTER_BOUND('',#56609,.T.); #35318=FACE_OUTER_BOUND('',#56610,.T.); #35319=FACE_OUTER_BOUND('',#56611,.T.); #35320=FACE_OUTER_BOUND('',#56612,.T.); #35321=FACE_OUTER_BOUND('',#56614,.T.); #35322=FACE_OUTER_BOUND('',#56616,.T.); #35323=FACE_OUTER_BOUND('',#56617,.T.); #35324=FACE_OUTER_BOUND('',#56618,.T.); #35325=FACE_OUTER_BOUND('',#56619,.T.); #35326=FACE_OUTER_BOUND('',#56620,.T.); #35327=FACE_OUTER_BOUND('',#56622,.T.); #35328=FACE_OUTER_BOUND('',#56624,.T.); #35329=FACE_OUTER_BOUND('',#56625,.T.); #35330=FACE_OUTER_BOUND('',#56626,.T.); #35331=FACE_OUTER_BOUND('',#56627,.T.); #35332=FACE_OUTER_BOUND('',#56628,.T.); #35333=FACE_OUTER_BOUND('',#56629,.T.); #35334=FACE_OUTER_BOUND('',#56631,.T.); #35335=FACE_OUTER_BOUND('',#56633,.T.); #35336=FACE_OUTER_BOUND('',#56634,.T.); #35337=FACE_OUTER_BOUND('',#56635,.T.); #35338=FACE_OUTER_BOUND('',#56636,.T.); #35339=FACE_OUTER_BOUND('',#56637,.T.); #35340=FACE_OUTER_BOUND('',#56639,.T.); #35341=FACE_OUTER_BOUND('',#56641,.T.); #35342=FACE_OUTER_BOUND('',#56642,.T.); #35343=FACE_OUTER_BOUND('',#56643,.T.); #35344=FACE_OUTER_BOUND('',#56644,.T.); #35345=FACE_OUTER_BOUND('',#56645,.T.); #35346=FACE_OUTER_BOUND('',#56647,.T.); #35347=FACE_OUTER_BOUND('',#56649,.T.); #35348=FACE_OUTER_BOUND('',#56650,.T.); #35349=FACE_OUTER_BOUND('',#56651,.T.); #35350=FACE_OUTER_BOUND('',#56652,.T.); #35351=FACE_OUTER_BOUND('',#56653,.T.); #35352=FACE_OUTER_BOUND('',#56655,.T.); #35353=FACE_OUTER_BOUND('',#56657,.T.); #35354=FACE_OUTER_BOUND('',#56658,.T.); #35355=FACE_OUTER_BOUND('',#56659,.T.); #35356=FACE_OUTER_BOUND('',#56660,.T.); #35357=FACE_OUTER_BOUND('',#56661,.T.); #35358=FACE_OUTER_BOUND('',#56663,.T.); #35359=FACE_OUTER_BOUND('',#56665,.T.); #35360=FACE_OUTER_BOUND('',#56666,.T.); #35361=FACE_OUTER_BOUND('',#56667,.T.); #35362=FACE_OUTER_BOUND('',#56668,.T.); #35363=FACE_OUTER_BOUND('',#56669,.T.); #35364=FACE_OUTER_BOUND('',#56670,.T.); #35365=FACE_OUTER_BOUND('',#56671,.T.); #35366=FACE_OUTER_BOUND('',#56672,.T.); #35367=FACE_OUTER_BOUND('',#56673,.T.); #35368=FACE_OUTER_BOUND('',#56674,.T.); #35369=FACE_OUTER_BOUND('',#56675,.T.); #35370=FACE_OUTER_BOUND('',#56676,.T.); #35371=FACE_OUTER_BOUND('',#56677,.T.); #35372=FACE_OUTER_BOUND('',#56678,.T.); #35373=FACE_OUTER_BOUND('',#56679,.T.); #35374=FACE_OUTER_BOUND('',#56680,.T.); #35375=FACE_OUTER_BOUND('',#56681,.T.); #35376=FACE_OUTER_BOUND('',#56682,.T.); #35377=FACE_OUTER_BOUND('',#56683,.T.); #35378=FACE_OUTER_BOUND('',#56684,.T.); #35379=FACE_OUTER_BOUND('',#56685,.T.); #35380=FACE_OUTER_BOUND('',#56686,.T.); #35381=FACE_OUTER_BOUND('',#56687,.T.); #35382=FACE_OUTER_BOUND('',#56688,.T.); #35383=FACE_OUTER_BOUND('',#56689,.T.); #35384=FACE_OUTER_BOUND('',#56690,.T.); #35385=FACE_OUTER_BOUND('',#56691,.T.); #35386=FACE_OUTER_BOUND('',#56692,.T.); #35387=FACE_OUTER_BOUND('',#56693,.T.); #35388=FACE_OUTER_BOUND('',#56694,.T.); #35389=FACE_OUTER_BOUND('',#56695,.T.); #35390=FACE_OUTER_BOUND('',#56696,.T.); #35391=FACE_OUTER_BOUND('',#56697,.T.); #35392=FACE_OUTER_BOUND('',#56698,.T.); #35393=FACE_OUTER_BOUND('',#56699,.T.); #35394=FACE_OUTER_BOUND('',#56700,.T.); #35395=FACE_OUTER_BOUND('',#56701,.T.); #35396=FACE_OUTER_BOUND('',#56702,.T.); #35397=FACE_OUTER_BOUND('',#56703,.T.); #35398=FACE_OUTER_BOUND('',#56704,.T.); #35399=FACE_OUTER_BOUND('',#56705,.T.); #35400=FACE_OUTER_BOUND('',#56706,.T.); #35401=FACE_OUTER_BOUND('',#56707,.T.); #35402=FACE_OUTER_BOUND('',#56708,.T.); #35403=FACE_OUTER_BOUND('',#56709,.T.); #35404=FACE_OUTER_BOUND('',#56710,.T.); #35405=FACE_OUTER_BOUND('',#56711,.T.); #35406=FACE_OUTER_BOUND('',#56712,.T.); #35407=FACE_OUTER_BOUND('',#56713,.T.); #35408=FACE_OUTER_BOUND('',#56714,.T.); #35409=FACE_OUTER_BOUND('',#56715,.T.); #35410=FACE_OUTER_BOUND('',#56716,.T.); #35411=FACE_OUTER_BOUND('',#56717,.T.); #35412=FACE_OUTER_BOUND('',#56718,.T.); #35413=FACE_OUTER_BOUND('',#56719,.T.); #35414=FACE_OUTER_BOUND('',#56720,.T.); #35415=FACE_OUTER_BOUND('',#56721,.T.); #35416=FACE_OUTER_BOUND('',#56722,.T.); #35417=FACE_OUTER_BOUND('',#56723,.T.); #35418=FACE_OUTER_BOUND('',#56724,.T.); #35419=FACE_OUTER_BOUND('',#56725,.T.); #35420=FACE_OUTER_BOUND('',#56727,.T.); #35421=FACE_OUTER_BOUND('',#56729,.T.); #35422=FACE_OUTER_BOUND('',#56730,.T.); #35423=FACE_OUTER_BOUND('',#56731,.T.); #35424=FACE_OUTER_BOUND('',#56732,.T.); #35425=FACE_OUTER_BOUND('',#56733,.T.); #35426=FACE_OUTER_BOUND('',#56734,.T.); #35427=FACE_OUTER_BOUND('',#56735,.T.); #35428=FACE_OUTER_BOUND('',#56736,.T.); #35429=FACE_OUTER_BOUND('',#56737,.T.); #35430=FACE_OUTER_BOUND('',#56738,.T.); #35431=FACE_OUTER_BOUND('',#56739,.T.); #35432=FACE_OUTER_BOUND('',#56740,.T.); #35433=FACE_OUTER_BOUND('',#56741,.T.); #35434=FACE_OUTER_BOUND('',#56742,.T.); #35435=FACE_OUTER_BOUND('',#56743,.T.); #35436=FACE_OUTER_BOUND('',#56744,.T.); #35437=FACE_OUTER_BOUND('',#56745,.T.); #35438=FACE_OUTER_BOUND('',#56746,.T.); #35439=FACE_OUTER_BOUND('',#56747,.T.); #35440=FACE_OUTER_BOUND('',#56748,.T.); #35441=FACE_OUTER_BOUND('',#56749,.T.); #35442=FACE_OUTER_BOUND('',#56750,.T.); #35443=FACE_OUTER_BOUND('',#56751,.T.); #35444=FACE_OUTER_BOUND('',#56752,.T.); #35445=FACE_OUTER_BOUND('',#56753,.T.); #35446=FACE_OUTER_BOUND('',#56754,.T.); #35447=FACE_OUTER_BOUND('',#56755,.T.); #35448=FACE_OUTER_BOUND('',#56756,.T.); #35449=FACE_OUTER_BOUND('',#56757,.T.); #35450=FACE_OUTER_BOUND('',#56758,.T.); #35451=FACE_OUTER_BOUND('',#56759,.T.); #35452=FACE_OUTER_BOUND('',#56760,.T.); #35453=FACE_OUTER_BOUND('',#56761,.T.); #35454=FACE_OUTER_BOUND('',#56762,.T.); #35455=FACE_OUTER_BOUND('',#56763,.T.); #35456=FACE_OUTER_BOUND('',#56764,.T.); #35457=FACE_OUTER_BOUND('',#56765,.T.); #35458=FACE_OUTER_BOUND('',#56766,.T.); #35459=FACE_OUTER_BOUND('',#56767,.T.); #35460=FACE_OUTER_BOUND('',#56768,.T.); #35461=FACE_OUTER_BOUND('',#56769,.T.); #35462=FACE_OUTER_BOUND('',#56770,.T.); #35463=FACE_OUTER_BOUND('',#56771,.T.); #35464=FACE_OUTER_BOUND('',#56772,.T.); #35465=FACE_OUTER_BOUND('',#56773,.T.); #35466=FACE_OUTER_BOUND('',#56774,.T.); #35467=FACE_OUTER_BOUND('',#56775,.T.); #35468=FACE_OUTER_BOUND('',#56776,.T.); #35469=FACE_OUTER_BOUND('',#56777,.T.); #35470=FACE_OUTER_BOUND('',#56778,.T.); #35471=FACE_OUTER_BOUND('',#56779,.T.); #35472=FACE_OUTER_BOUND('',#56780,.T.); #35473=FACE_OUTER_BOUND('',#56781,.T.); #35474=FACE_OUTER_BOUND('',#56782,.T.); #35475=FACE_OUTER_BOUND('',#56783,.T.); #35476=FACE_OUTER_BOUND('',#56784,.T.); #35477=FACE_OUTER_BOUND('',#56785,.T.); #35478=FACE_OUTER_BOUND('',#56786,.T.); #35479=FACE_OUTER_BOUND('',#56787,.T.); #35480=FACE_OUTER_BOUND('',#56788,.T.); #35481=FACE_OUTER_BOUND('',#56789,.T.); #35482=FACE_OUTER_BOUND('',#56790,.T.); #35483=FACE_OUTER_BOUND('',#56791,.T.); #35484=FACE_OUTER_BOUND('',#56792,.T.); #35485=FACE_OUTER_BOUND('',#56793,.T.); #35486=FACE_OUTER_BOUND('',#56794,.T.); #35487=FACE_OUTER_BOUND('',#56795,.T.); #35488=FACE_OUTER_BOUND('',#56796,.T.); #35489=FACE_OUTER_BOUND('',#56797,.T.); #35490=FACE_OUTER_BOUND('',#56798,.T.); #35491=FACE_OUTER_BOUND('',#56799,.T.); #35492=FACE_OUTER_BOUND('',#56800,.T.); #35493=FACE_OUTER_BOUND('',#56801,.T.); #35494=FACE_OUTER_BOUND('',#56802,.T.); #35495=FACE_OUTER_BOUND('',#56803,.T.); #35496=FACE_OUTER_BOUND('',#56804,.T.); #35497=FACE_OUTER_BOUND('',#56805,.T.); #35498=FACE_OUTER_BOUND('',#56807,.T.); #35499=FACE_OUTER_BOUND('',#56809,.T.); #35500=FACE_OUTER_BOUND('',#56810,.T.); #35501=FACE_OUTER_BOUND('',#56811,.T.); #35502=FACE_OUTER_BOUND('',#56812,.T.); #35503=FACE_OUTER_BOUND('',#56813,.T.); #35504=FACE_OUTER_BOUND('',#56814,.T.); #35505=FACE_OUTER_BOUND('',#56815,.T.); #35506=FACE_OUTER_BOUND('',#56816,.T.); #35507=FACE_OUTER_BOUND('',#56817,.T.); #35508=FACE_OUTER_BOUND('',#56818,.T.); #35509=FACE_OUTER_BOUND('',#56819,.T.); #35510=FACE_OUTER_BOUND('',#56820,.T.); #35511=FACE_OUTER_BOUND('',#56821,.T.); #35512=FACE_OUTER_BOUND('',#56822,.T.); #35513=FACE_OUTER_BOUND('',#56823,.T.); #35514=FACE_OUTER_BOUND('',#56824,.T.); #35515=FACE_OUTER_BOUND('',#56825,.T.); #35516=FACE_OUTER_BOUND('',#56826,.T.); #35517=FACE_OUTER_BOUND('',#56827,.T.); #35518=FACE_OUTER_BOUND('',#56828,.T.); #35519=FACE_OUTER_BOUND('',#56829,.T.); #35520=FACE_OUTER_BOUND('',#56830,.T.); #35521=FACE_OUTER_BOUND('',#56831,.T.); #35522=FACE_OUTER_BOUND('',#56832,.T.); #35523=FACE_OUTER_BOUND('',#56833,.T.); #35524=FACE_OUTER_BOUND('',#56834,.T.); #35525=FACE_OUTER_BOUND('',#56835,.T.); #35526=FACE_OUTER_BOUND('',#56836,.T.); #35527=FACE_OUTER_BOUND('',#56837,.T.); #35528=FACE_OUTER_BOUND('',#56838,.T.); #35529=FACE_OUTER_BOUND('',#56839,.T.); #35530=FACE_OUTER_BOUND('',#56840,.T.); #35531=FACE_OUTER_BOUND('',#56841,.T.); #35532=FACE_OUTER_BOUND('',#56842,.T.); #35533=FACE_OUTER_BOUND('',#56843,.T.); #35534=FACE_OUTER_BOUND('',#56844,.T.); #35535=FACE_OUTER_BOUND('',#56845,.T.); #35536=FACE_OUTER_BOUND('',#56846,.T.); #35537=FACE_OUTER_BOUND('',#56847,.T.); #35538=FACE_OUTER_BOUND('',#56848,.T.); #35539=FACE_OUTER_BOUND('',#56849,.T.); #35540=FACE_OUTER_BOUND('',#56850,.T.); #35541=FACE_OUTER_BOUND('',#56851,.T.); #35542=FACE_OUTER_BOUND('',#56852,.T.); #35543=FACE_OUTER_BOUND('',#56853,.T.); #35544=FACE_OUTER_BOUND('',#56854,.T.); #35545=FACE_OUTER_BOUND('',#56855,.T.); #35546=FACE_OUTER_BOUND('',#56856,.T.); #35547=FACE_OUTER_BOUND('',#56857,.T.); #35548=FACE_OUTER_BOUND('',#56858,.T.); #35549=FACE_OUTER_BOUND('',#56859,.T.); #35550=FACE_OUTER_BOUND('',#56860,.T.); #35551=FACE_OUTER_BOUND('',#56861,.T.); #35552=FACE_OUTER_BOUND('',#56862,.T.); #35553=FACE_OUTER_BOUND('',#56863,.T.); #35554=FACE_OUTER_BOUND('',#56864,.T.); #35555=FACE_OUTER_BOUND('',#56865,.T.); #35556=FACE_OUTER_BOUND('',#56866,.T.); #35557=FACE_OUTER_BOUND('',#56867,.T.); #35558=FACE_OUTER_BOUND('',#56868,.T.); #35559=FACE_OUTER_BOUND('',#56869,.T.); #35560=FACE_OUTER_BOUND('',#56871,.T.); #35561=FACE_OUTER_BOUND('',#56873,.T.); #35562=FACE_OUTER_BOUND('',#56874,.T.); #35563=FACE_OUTER_BOUND('',#56875,.T.); #35564=FACE_OUTER_BOUND('',#56876,.T.); #35565=FACE_OUTER_BOUND('',#56877,.T.); #35566=FACE_OUTER_BOUND('',#56878,.T.); #35567=FACE_OUTER_BOUND('',#56880,.T.); #35568=FACE_OUTER_BOUND('',#56882,.T.); #35569=FACE_OUTER_BOUND('',#56883,.T.); #35570=FACE_OUTER_BOUND('',#56884,.T.); #35571=FACE_OUTER_BOUND('',#56885,.T.); #35572=FACE_OUTER_BOUND('',#56886,.T.); #35573=FACE_OUTER_BOUND('',#56887,.T.); #35574=FACE_OUTER_BOUND('',#56888,.T.); #35575=FACE_OUTER_BOUND('',#56889,.T.); #35576=FACE_OUTER_BOUND('',#56890,.T.); #35577=FACE_OUTER_BOUND('',#56891,.T.); #35578=FACE_OUTER_BOUND('',#56892,.T.); #35579=FACE_OUTER_BOUND('',#56893,.T.); #35580=FACE_OUTER_BOUND('',#56894,.T.); #35581=FACE_OUTER_BOUND('',#56895,.T.); #35582=FACE_OUTER_BOUND('',#56896,.T.); #35583=FACE_OUTER_BOUND('',#56897,.T.); #35584=FACE_OUTER_BOUND('',#56898,.T.); #35585=FACE_OUTER_BOUND('',#56899,.T.); #35586=FACE_OUTER_BOUND('',#56900,.T.); #35587=FACE_OUTER_BOUND('',#56901,.T.); #35588=FACE_OUTER_BOUND('',#56902,.T.); #35589=FACE_OUTER_BOUND('',#56903,.T.); #35590=FACE_OUTER_BOUND('',#56904,.T.); #35591=FACE_OUTER_BOUND('',#56905,.T.); #35592=FACE_OUTER_BOUND('',#56906,.T.); #35593=FACE_OUTER_BOUND('',#56907,.T.); #35594=FACE_OUTER_BOUND('',#56908,.T.); #35595=FACE_OUTER_BOUND('',#56909,.T.); #35596=FACE_OUTER_BOUND('',#56910,.T.); #35597=FACE_OUTER_BOUND('',#56911,.T.); #35598=FACE_OUTER_BOUND('',#56912,.T.); #35599=FACE_OUTER_BOUND('',#56913,.T.); #35600=FACE_OUTER_BOUND('',#56914,.T.); #35601=FACE_OUTER_BOUND('',#56915,.T.); #35602=FACE_OUTER_BOUND('',#56916,.T.); #35603=FACE_OUTER_BOUND('',#56917,.T.); #35604=FACE_OUTER_BOUND('',#56918,.T.); #35605=FACE_OUTER_BOUND('',#56919,.T.); #35606=FACE_OUTER_BOUND('',#56920,.T.); #35607=FACE_OUTER_BOUND('',#56921,.T.); #35608=FACE_OUTER_BOUND('',#56922,.T.); #35609=FACE_OUTER_BOUND('',#56923,.T.); #35610=FACE_OUTER_BOUND('',#56924,.T.); #35611=FACE_OUTER_BOUND('',#56925,.T.); #35612=FACE_OUTER_BOUND('',#56926,.T.); #35613=FACE_OUTER_BOUND('',#56927,.T.); #35614=FACE_OUTER_BOUND('',#56928,.T.); #35615=FACE_OUTER_BOUND('',#56929,.T.); #35616=FACE_OUTER_BOUND('',#56930,.T.); #35617=FACE_OUTER_BOUND('',#56931,.T.); #35618=FACE_OUTER_BOUND('',#56932,.T.); #35619=FACE_OUTER_BOUND('',#56933,.T.); #35620=FACE_OUTER_BOUND('',#56934,.T.); #35621=FACE_OUTER_BOUND('',#56935,.T.); #35622=FACE_OUTER_BOUND('',#56936,.T.); #35623=FACE_OUTER_BOUND('',#56937,.T.); #35624=FACE_OUTER_BOUND('',#56938,.T.); #35625=FACE_OUTER_BOUND('',#56939,.T.); #35626=FACE_OUTER_BOUND('',#56940,.T.); #35627=FACE_OUTER_BOUND('',#56941,.T.); #35628=FACE_OUTER_BOUND('',#56942,.T.); #35629=FACE_OUTER_BOUND('',#56944,.T.); #35630=FACE_OUTER_BOUND('',#56946,.T.); #35631=FACE_OUTER_BOUND('',#56947,.T.); #35632=FACE_OUTER_BOUND('',#56948,.T.); #35633=FACE_OUTER_BOUND('',#56949,.T.); #35634=FACE_OUTER_BOUND('',#56950,.T.); #35635=FACE_OUTER_BOUND('',#56951,.T.); #35636=FACE_OUTER_BOUND('',#56952,.T.); #35637=FACE_OUTER_BOUND('',#56953,.T.); #35638=FACE_OUTER_BOUND('',#56954,.T.); #35639=FACE_OUTER_BOUND('',#56955,.T.); #35640=FACE_OUTER_BOUND('',#56956,.T.); #35641=FACE_OUTER_BOUND('',#56957,.T.); #35642=FACE_OUTER_BOUND('',#56958,.T.); #35643=FACE_OUTER_BOUND('',#56959,.T.); #35644=FACE_OUTER_BOUND('',#56960,.T.); #35645=FACE_OUTER_BOUND('',#56961,.T.); #35646=FACE_OUTER_BOUND('',#56962,.T.); #35647=FACE_OUTER_BOUND('',#56963,.T.); #35648=FACE_OUTER_BOUND('',#56964,.T.); #35649=FACE_OUTER_BOUND('',#56965,.T.); #35650=FACE_OUTER_BOUND('',#56966,.T.); #35651=FACE_OUTER_BOUND('',#56967,.T.); #35652=FACE_OUTER_BOUND('',#56968,.T.); #35653=FACE_OUTER_BOUND('',#56969,.T.); #35654=FACE_OUTER_BOUND('',#56970,.T.); #35655=FACE_OUTER_BOUND('',#56971,.T.); #35656=FACE_OUTER_BOUND('',#56972,.T.); #35657=FACE_OUTER_BOUND('',#56973,.T.); #35658=FACE_OUTER_BOUND('',#56974,.T.); #35659=FACE_OUTER_BOUND('',#56975,.T.); #35660=FACE_OUTER_BOUND('',#56976,.T.); #35661=FACE_OUTER_BOUND('',#56977,.T.); #35662=FACE_OUTER_BOUND('',#56978,.T.); #35663=FACE_OUTER_BOUND('',#56979,.T.); #35664=FACE_OUTER_BOUND('',#56980,.T.); #35665=FACE_OUTER_BOUND('',#56981,.T.); #35666=FACE_OUTER_BOUND('',#56982,.T.); #35667=FACE_OUTER_BOUND('',#56983,.T.); #35668=FACE_OUTER_BOUND('',#56984,.T.); #35669=FACE_OUTER_BOUND('',#56985,.T.); #35670=FACE_OUTER_BOUND('',#56986,.T.); #35671=FACE_OUTER_BOUND('',#56987,.T.); #35672=FACE_OUTER_BOUND('',#56988,.T.); #35673=FACE_OUTER_BOUND('',#56989,.T.); #35674=FACE_OUTER_BOUND('',#56990,.T.); #35675=FACE_OUTER_BOUND('',#56991,.T.); #35676=FACE_OUTER_BOUND('',#56992,.T.); #35677=FACE_OUTER_BOUND('',#56993,.T.); #35678=FACE_OUTER_BOUND('',#56994,.T.); #35679=FACE_OUTER_BOUND('',#56995,.T.); #35680=FACE_OUTER_BOUND('',#56996,.T.); #35681=FACE_OUTER_BOUND('',#56997,.T.); #35682=FACE_OUTER_BOUND('',#56998,.T.); #35683=FACE_OUTER_BOUND('',#56999,.T.); #35684=FACE_OUTER_BOUND('',#57000,.T.); #35685=FACE_OUTER_BOUND('',#57001,.T.); #35686=FACE_OUTER_BOUND('',#57002,.T.); #35687=FACE_OUTER_BOUND('',#57003,.T.); #35688=FACE_OUTER_BOUND('',#57004,.T.); #35689=FACE_OUTER_BOUND('',#57005,.T.); #35690=FACE_OUTER_BOUND('',#57006,.T.); #35691=FACE_OUTER_BOUND('',#57007,.T.); #35692=FACE_OUTER_BOUND('',#57008,.T.); #35693=FACE_OUTER_BOUND('',#57009,.T.); #35694=FACE_OUTER_BOUND('',#57010,.T.); #35695=FACE_OUTER_BOUND('',#57011,.T.); #35696=FACE_OUTER_BOUND('',#57012,.T.); #35697=FACE_OUTER_BOUND('',#57013,.T.); #35698=FACE_OUTER_BOUND('',#57014,.T.); #35699=FACE_OUTER_BOUND('',#57015,.T.); #35700=FACE_OUTER_BOUND('',#57016,.T.); #35701=FACE_OUTER_BOUND('',#57017,.T.); #35702=FACE_OUTER_BOUND('',#57018,.T.); #35703=FACE_OUTER_BOUND('',#57019,.T.); #35704=FACE_OUTER_BOUND('',#57020,.T.); #35705=FACE_OUTER_BOUND('',#57021,.T.); #35706=FACE_OUTER_BOUND('',#57022,.T.); #35707=FACE_OUTER_BOUND('',#57024,.T.); #35708=FACE_OUTER_BOUND('',#57026,.T.); #35709=FACE_OUTER_BOUND('',#57027,.T.); #35710=FACE_OUTER_BOUND('',#57028,.T.); #35711=FACE_OUTER_BOUND('',#57029,.T.); #35712=FACE_OUTER_BOUND('',#57030,.T.); #35713=FACE_OUTER_BOUND('',#57032,.T.); #35714=FACE_OUTER_BOUND('',#57034,.T.); #35715=FACE_OUTER_BOUND('',#57035,.T.); #35716=FACE_OUTER_BOUND('',#57036,.T.); #35717=FACE_OUTER_BOUND('',#57037,.T.); #35718=FACE_OUTER_BOUND('',#57038,.T.); #35719=FACE_OUTER_BOUND('',#57040,.T.); #35720=FACE_OUTER_BOUND('',#57042,.T.); #35721=FACE_OUTER_BOUND('',#57043,.T.); #35722=FACE_OUTER_BOUND('',#57044,.T.); #35723=FACE_OUTER_BOUND('',#57045,.T.); #35724=FACE_OUTER_BOUND('',#57046,.T.); #35725=FACE_OUTER_BOUND('',#57047,.T.); #35726=FACE_OUTER_BOUND('',#57048,.T.); #35727=FACE_OUTER_BOUND('',#57049,.T.); #35728=FACE_OUTER_BOUND('',#57050,.T.); #35729=FACE_OUTER_BOUND('',#57051,.T.); #35730=FACE_OUTER_BOUND('',#57052,.T.); #35731=FACE_OUTER_BOUND('',#57053,.T.); #35732=FACE_OUTER_BOUND('',#57054,.T.); #35733=FACE_OUTER_BOUND('',#57055,.T.); #35734=FACE_OUTER_BOUND('',#57056,.T.); #35735=FACE_OUTER_BOUND('',#57057,.T.); #35736=FACE_OUTER_BOUND('',#57058,.T.); #35737=FACE_OUTER_BOUND('',#57059,.T.); #35738=FACE_OUTER_BOUND('',#57060,.T.); #35739=FACE_OUTER_BOUND('',#57061,.T.); #35740=FACE_OUTER_BOUND('',#57062,.T.); #35741=FACE_OUTER_BOUND('',#57063,.T.); #35742=FACE_OUTER_BOUND('',#57064,.T.); #35743=FACE_OUTER_BOUND('',#57065,.T.); #35744=FACE_OUTER_BOUND('',#57066,.T.); #35745=FACE_OUTER_BOUND('',#57067,.T.); #35746=FACE_OUTER_BOUND('',#57068,.T.); #35747=FACE_OUTER_BOUND('',#57069,.T.); #35748=FACE_OUTER_BOUND('',#57070,.T.); #35749=FACE_OUTER_BOUND('',#57071,.T.); #35750=FACE_OUTER_BOUND('',#57072,.T.); #35751=FACE_OUTER_BOUND('',#57073,.T.); #35752=FACE_OUTER_BOUND('',#57074,.T.); #35753=FACE_OUTER_BOUND('',#57075,.T.); #35754=FACE_OUTER_BOUND('',#57076,.T.); #35755=FACE_OUTER_BOUND('',#57077,.T.); #35756=FACE_OUTER_BOUND('',#57078,.T.); #35757=FACE_OUTER_BOUND('',#57079,.T.); #35758=FACE_OUTER_BOUND('',#57080,.T.); #35759=FACE_OUTER_BOUND('',#57081,.T.); #35760=FACE_OUTER_BOUND('',#57082,.T.); #35761=FACE_OUTER_BOUND('',#57083,.T.); #35762=FACE_OUTER_BOUND('',#57084,.T.); #35763=FACE_OUTER_BOUND('',#57085,.T.); #35764=FACE_OUTER_BOUND('',#57086,.T.); #35765=FACE_OUTER_BOUND('',#57087,.T.); #35766=FACE_OUTER_BOUND('',#57088,.T.); #35767=FACE_OUTER_BOUND('',#57089,.T.); #35768=FACE_OUTER_BOUND('',#57090,.T.); #35769=FACE_OUTER_BOUND('',#57091,.T.); #35770=FACE_OUTER_BOUND('',#57092,.T.); #35771=FACE_OUTER_BOUND('',#57093,.T.); #35772=FACE_OUTER_BOUND('',#57094,.T.); #35773=FACE_OUTER_BOUND('',#57095,.T.); #35774=FACE_OUTER_BOUND('',#57096,.T.); #35775=FACE_OUTER_BOUND('',#57097,.T.); #35776=FACE_OUTER_BOUND('',#57098,.T.); #35777=FACE_OUTER_BOUND('',#57099,.T.); #35778=FACE_OUTER_BOUND('',#57100,.T.); #35779=FACE_OUTER_BOUND('',#57101,.T.); #35780=FACE_OUTER_BOUND('',#57102,.T.); #35781=FACE_OUTER_BOUND('',#57104,.T.); #35782=FACE_OUTER_BOUND('',#57106,.T.); #35783=FACE_OUTER_BOUND('',#57107,.T.); #35784=FACE_OUTER_BOUND('',#57108,.T.); #35785=FACE_OUTER_BOUND('',#57109,.T.); #35786=FACE_OUTER_BOUND('',#57110,.T.); #35787=FACE_OUTER_BOUND('',#57112,.T.); #35788=FACE_OUTER_BOUND('',#57114,.T.); #35789=FACE_OUTER_BOUND('',#57115,.T.); #35790=FACE_OUTER_BOUND('',#57116,.T.); #35791=FACE_OUTER_BOUND('',#57117,.T.); #35792=FACE_OUTER_BOUND('',#57118,.T.); #35793=FACE_OUTER_BOUND('',#57120,.T.); #35794=FACE_OUTER_BOUND('',#57122,.T.); #35795=FACE_OUTER_BOUND('',#57123,.T.); #35796=FACE_OUTER_BOUND('',#57124,.T.); #35797=FACE_OUTER_BOUND('',#57125,.T.); #35798=FACE_OUTER_BOUND('',#57126,.T.); #35799=FACE_OUTER_BOUND('',#57128,.T.); #35800=FACE_OUTER_BOUND('',#57130,.T.); #35801=FACE_OUTER_BOUND('',#57131,.T.); #35802=FACE_OUTER_BOUND('',#57132,.T.); #35803=FACE_OUTER_BOUND('',#57133,.T.); #35804=FACE_OUTER_BOUND('',#57134,.T.); #35805=FACE_OUTER_BOUND('',#57135,.T.); #35806=FACE_OUTER_BOUND('',#57137,.T.); #35807=FACE_OUTER_BOUND('',#57139,.T.); #35808=FACE_OUTER_BOUND('',#57140,.T.); #35809=FACE_OUTER_BOUND('',#57141,.T.); #35810=FACE_OUTER_BOUND('',#57142,.T.); #35811=FACE_OUTER_BOUND('',#57143,.T.); #35812=FACE_OUTER_BOUND('',#57145,.T.); #35813=FACE_OUTER_BOUND('',#57147,.T.); #35814=FACE_OUTER_BOUND('',#57148,.T.); #35815=FACE_OUTER_BOUND('',#57149,.T.); #35816=FACE_OUTER_BOUND('',#57150,.T.); #35817=FACE_OUTER_BOUND('',#57151,.T.); #35818=FACE_OUTER_BOUND('',#57152,.T.); #35819=FACE_OUTER_BOUND('',#57153,.T.); #35820=FACE_OUTER_BOUND('',#57154,.T.); #35821=FACE_OUTER_BOUND('',#57155,.T.); #35822=FACE_OUTER_BOUND('',#57156,.T.); #35823=FACE_OUTER_BOUND('',#57157,.T.); #35824=FACE_OUTER_BOUND('',#57158,.T.); #35825=FACE_OUTER_BOUND('',#57159,.T.); #35826=FACE_OUTER_BOUND('',#57160,.T.); #35827=FACE_OUTER_BOUND('',#57161,.T.); #35828=FACE_OUTER_BOUND('',#57162,.T.); #35829=FACE_OUTER_BOUND('',#57163,.T.); #35830=FACE_OUTER_BOUND('',#57164,.T.); #35831=FACE_OUTER_BOUND('',#57165,.T.); #35832=FACE_OUTER_BOUND('',#57166,.T.); #35833=FACE_OUTER_BOUND('',#57167,.T.); #35834=FACE_OUTER_BOUND('',#57168,.T.); #35835=FACE_OUTER_BOUND('',#57169,.T.); #35836=FACE_OUTER_BOUND('',#57170,.T.); #35837=FACE_OUTER_BOUND('',#57171,.T.); #35838=FACE_OUTER_BOUND('',#57172,.T.); #35839=FACE_OUTER_BOUND('',#57173,.T.); #35840=FACE_OUTER_BOUND('',#57174,.T.); #35841=FACE_OUTER_BOUND('',#57175,.T.); #35842=FACE_OUTER_BOUND('',#57176,.T.); #35843=FACE_OUTER_BOUND('',#57177,.T.); #35844=FACE_OUTER_BOUND('',#57178,.T.); #35845=FACE_OUTER_BOUND('',#57179,.T.); #35846=FACE_OUTER_BOUND('',#57180,.T.); #35847=FACE_OUTER_BOUND('',#57181,.T.); #35848=FACE_OUTER_BOUND('',#57182,.T.); #35849=FACE_OUTER_BOUND('',#57183,.T.); #35850=FACE_OUTER_BOUND('',#57184,.T.); #35851=FACE_OUTER_BOUND('',#57185,.T.); #35852=FACE_OUTER_BOUND('',#57186,.T.); #35853=FACE_OUTER_BOUND('',#57187,.T.); #35854=FACE_OUTER_BOUND('',#57188,.T.); #35855=FACE_OUTER_BOUND('',#57189,.T.); #35856=FACE_OUTER_BOUND('',#57190,.T.); #35857=FACE_OUTER_BOUND('',#57191,.T.); #35858=FACE_OUTER_BOUND('',#57192,.T.); #35859=FACE_OUTER_BOUND('',#57193,.T.); #35860=FACE_OUTER_BOUND('',#57194,.T.); #35861=FACE_OUTER_BOUND('',#57195,.T.); #35862=FACE_OUTER_BOUND('',#57196,.T.); #35863=FACE_OUTER_BOUND('',#57197,.T.); #35864=FACE_OUTER_BOUND('',#57198,.T.); #35865=FACE_OUTER_BOUND('',#57199,.T.); #35866=FACE_OUTER_BOUND('',#57200,.T.); #35867=FACE_OUTER_BOUND('',#57201,.T.); #35868=FACE_OUTER_BOUND('',#57202,.T.); #35869=FACE_OUTER_BOUND('',#57203,.T.); #35870=FACE_OUTER_BOUND('',#57204,.T.); #35871=FACE_OUTER_BOUND('',#57205,.T.); #35872=FACE_OUTER_BOUND('',#57206,.T.); #35873=FACE_OUTER_BOUND('',#57207,.T.); #35874=FACE_OUTER_BOUND('',#57208,.T.); #35875=FACE_OUTER_BOUND('',#57209,.T.); #35876=FACE_OUTER_BOUND('',#57210,.T.); #35877=FACE_OUTER_BOUND('',#57211,.T.); #35878=FACE_OUTER_BOUND('',#57212,.T.); #35879=FACE_OUTER_BOUND('',#57213,.T.); #35880=FACE_OUTER_BOUND('',#57214,.T.); #35881=FACE_OUTER_BOUND('',#57215,.T.); #35882=FACE_OUTER_BOUND('',#57216,.T.); #35883=FACE_OUTER_BOUND('',#57217,.T.); #35884=FACE_OUTER_BOUND('',#57218,.T.); #35885=FACE_OUTER_BOUND('',#57219,.T.); #35886=FACE_OUTER_BOUND('',#57220,.T.); #35887=FACE_OUTER_BOUND('',#57221,.T.); #35888=FACE_OUTER_BOUND('',#57222,.T.); #35889=FACE_OUTER_BOUND('',#57223,.T.); #35890=FACE_OUTER_BOUND('',#57224,.T.); #35891=FACE_OUTER_BOUND('',#57225,.T.); #35892=FACE_OUTER_BOUND('',#57226,.T.); #35893=FACE_OUTER_BOUND('',#57227,.T.); #35894=FACE_OUTER_BOUND('',#57228,.T.); #35895=FACE_OUTER_BOUND('',#57229,.T.); #35896=FACE_OUTER_BOUND('',#57230,.T.); #35897=FACE_OUTER_BOUND('',#57231,.T.); #35898=FACE_OUTER_BOUND('',#57232,.T.); #35899=FACE_OUTER_BOUND('',#57233,.T.); #35900=FACE_OUTER_BOUND('',#57234,.T.); #35901=FACE_OUTER_BOUND('',#57235,.T.); #35902=FACE_OUTER_BOUND('',#57236,.T.); #35903=FACE_OUTER_BOUND('',#57237,.T.); #35904=FACE_OUTER_BOUND('',#57238,.T.); #35905=FACE_OUTER_BOUND('',#57239,.T.); #35906=FACE_OUTER_BOUND('',#57240,.T.); #35907=FACE_OUTER_BOUND('',#57241,.T.); #35908=FACE_OUTER_BOUND('',#57242,.T.); #35909=FACE_OUTER_BOUND('',#57243,.T.); #35910=FACE_OUTER_BOUND('',#57244,.T.); #35911=FACE_OUTER_BOUND('',#57245,.T.); #35912=FACE_OUTER_BOUND('',#57246,.T.); #35913=FACE_OUTER_BOUND('',#57247,.T.); #35914=FACE_OUTER_BOUND('',#57248,.T.); #35915=FACE_OUTER_BOUND('',#57249,.T.); #35916=FACE_OUTER_BOUND('',#57250,.T.); #35917=FACE_OUTER_BOUND('',#57251,.T.); #35918=FACE_OUTER_BOUND('',#57252,.T.); #35919=FACE_OUTER_BOUND('',#57253,.T.); #35920=FACE_OUTER_BOUND('',#57254,.T.); #35921=FACE_OUTER_BOUND('',#57255,.T.); #35922=FACE_OUTER_BOUND('',#57256,.T.); #35923=FACE_OUTER_BOUND('',#57257,.T.); #35924=FACE_OUTER_BOUND('',#57258,.T.); #35925=FACE_OUTER_BOUND('',#57259,.T.); #35926=FACE_OUTER_BOUND('',#57260,.T.); #35927=FACE_OUTER_BOUND('',#57261,.T.); #35928=FACE_OUTER_BOUND('',#57262,.T.); #35929=FACE_OUTER_BOUND('',#57263,.T.); #35930=FACE_OUTER_BOUND('',#57264,.T.); #35931=FACE_OUTER_BOUND('',#57265,.T.); #35932=FACE_OUTER_BOUND('',#57266,.T.); #35933=FACE_OUTER_BOUND('',#57267,.T.); #35934=FACE_OUTER_BOUND('',#57268,.T.); #35935=FACE_OUTER_BOUND('',#57269,.T.); #35936=FACE_OUTER_BOUND('',#57270,.T.); #35937=FACE_OUTER_BOUND('',#57271,.T.); #35938=FACE_OUTER_BOUND('',#57272,.T.); #35939=FACE_OUTER_BOUND('',#57273,.T.); #35940=FACE_OUTER_BOUND('',#57274,.T.); #35941=FACE_OUTER_BOUND('',#57275,.T.); #35942=FACE_OUTER_BOUND('',#57276,.T.); #35943=FACE_OUTER_BOUND('',#57277,.T.); #35944=FACE_OUTER_BOUND('',#57278,.T.); #35945=FACE_OUTER_BOUND('',#57279,.T.); #35946=FACE_OUTER_BOUND('',#57280,.T.); #35947=FACE_OUTER_BOUND('',#57281,.T.); #35948=FACE_OUTER_BOUND('',#57282,.T.); #35949=FACE_OUTER_BOUND('',#57283,.T.); #35950=FACE_OUTER_BOUND('',#57284,.T.); #35951=FACE_OUTER_BOUND('',#57285,.T.); #35952=FACE_OUTER_BOUND('',#57286,.T.); #35953=FACE_OUTER_BOUND('',#57287,.T.); #35954=FACE_OUTER_BOUND('',#57288,.T.); #35955=FACE_OUTER_BOUND('',#57289,.T.); #35956=FACE_OUTER_BOUND('',#57290,.T.); #35957=FACE_OUTER_BOUND('',#57291,.T.); #35958=FACE_OUTER_BOUND('',#57294,.T.); #35959=FACE_OUTER_BOUND('',#57297,.T.); #35960=FACE_OUTER_BOUND('',#57298,.T.); #35961=FACE_OUTER_BOUND('',#57299,.T.); #35962=FACE_OUTER_BOUND('',#57300,.T.); #35963=FACE_OUTER_BOUND('',#57301,.T.); #35964=FACE_OUTER_BOUND('',#57302,.T.); #35965=FACE_OUTER_BOUND('',#57303,.T.); #35966=FACE_OUTER_BOUND('',#57304,.T.); #35967=FACE_OUTER_BOUND('',#57305,.T.); #35968=FACE_OUTER_BOUND('',#57306,.T.); #35969=FACE_OUTER_BOUND('',#57307,.T.); #35970=FACE_OUTER_BOUND('',#57308,.T.); #35971=FACE_OUTER_BOUND('',#57309,.T.); #35972=FACE_OUTER_BOUND('',#57312,.T.); #35973=FACE_OUTER_BOUND('',#57315,.T.); #35974=FACE_OUTER_BOUND('',#57316,.T.); #35975=FACE_OUTER_BOUND('',#57317,.T.); #35976=FACE_OUTER_BOUND('',#57318,.T.); #35977=FACE_OUTER_BOUND('',#57319,.T.); #35978=FACE_OUTER_BOUND('',#57320,.T.); #35979=FACE_OUTER_BOUND('',#57321,.T.); #35980=FACE_OUTER_BOUND('',#57322,.T.); #35981=FACE_OUTER_BOUND('',#57323,.T.); #35982=FACE_OUTER_BOUND('',#57324,.T.); #35983=FACE_OUTER_BOUND('',#57325,.T.); #35984=FACE_OUTER_BOUND('',#57326,.T.); #35985=FACE_OUTER_BOUND('',#57327,.T.); #35986=FACE_OUTER_BOUND('',#57328,.T.); #35987=FACE_OUTER_BOUND('',#57329,.T.); #35988=FACE_OUTER_BOUND('',#57330,.T.); #35989=FACE_OUTER_BOUND('',#57331,.T.); #35990=FACE_OUTER_BOUND('',#57332,.T.); #35991=FACE_OUTER_BOUND('',#57333,.T.); #35992=FACE_OUTER_BOUND('',#57334,.T.); #35993=FACE_OUTER_BOUND('',#57335,.T.); #35994=FACE_OUTER_BOUND('',#57336,.T.); #35995=FACE_OUTER_BOUND('',#57337,.T.); #35996=FACE_OUTER_BOUND('',#57338,.T.); #35997=FACE_OUTER_BOUND('',#57339,.T.); #35998=FACE_OUTER_BOUND('',#57340,.T.); #35999=FACE_OUTER_BOUND('',#57341,.T.); #36000=FACE_OUTER_BOUND('',#57342,.T.); #36001=FACE_OUTER_BOUND('',#57343,.T.); #36002=FACE_OUTER_BOUND('',#57344,.T.); #36003=FACE_OUTER_BOUND('',#57345,.T.); #36004=FACE_OUTER_BOUND('',#57346,.T.); #36005=FACE_OUTER_BOUND('',#57347,.T.); #36006=FACE_OUTER_BOUND('',#57348,.T.); #36007=FACE_OUTER_BOUND('',#57349,.T.); #36008=FACE_OUTER_BOUND('',#57350,.T.); #36009=FACE_OUTER_BOUND('',#57351,.T.); #36010=FACE_OUTER_BOUND('',#57352,.T.); #36011=FACE_OUTER_BOUND('',#57353,.T.); #36012=FACE_OUTER_BOUND('',#57354,.T.); #36013=FACE_OUTER_BOUND('',#57355,.T.); #36014=FACE_OUTER_BOUND('',#57356,.T.); #36015=FACE_OUTER_BOUND('',#57357,.T.); #36016=FACE_OUTER_BOUND('',#57358,.T.); #36017=FACE_OUTER_BOUND('',#57359,.T.); #36018=FACE_OUTER_BOUND('',#57360,.T.); #36019=FACE_OUTER_BOUND('',#57361,.T.); #36020=FACE_OUTER_BOUND('',#57362,.T.); #36021=FACE_OUTER_BOUND('',#57363,.T.); #36022=FACE_OUTER_BOUND('',#57364,.T.); #36023=FACE_OUTER_BOUND('',#57365,.T.); #36024=FACE_OUTER_BOUND('',#57366,.T.); #36025=FACE_OUTER_BOUND('',#57367,.T.); #36026=FACE_OUTER_BOUND('',#57368,.T.); #36027=FACE_OUTER_BOUND('',#57369,.T.); #36028=FACE_OUTER_BOUND('',#57370,.T.); #36029=FACE_OUTER_BOUND('',#57371,.T.); #36030=FACE_OUTER_BOUND('',#57372,.T.); #36031=FACE_OUTER_BOUND('',#57373,.T.); #36032=FACE_OUTER_BOUND('',#57374,.T.); #36033=FACE_OUTER_BOUND('',#57375,.T.); #36034=FACE_OUTER_BOUND('',#57376,.T.); #36035=FACE_OUTER_BOUND('',#57377,.T.); #36036=FACE_OUTER_BOUND('',#57378,.T.); #36037=FACE_OUTER_BOUND('',#57379,.T.); #36038=FACE_OUTER_BOUND('',#57380,.T.); #36039=FACE_OUTER_BOUND('',#57381,.T.); #36040=FACE_OUTER_BOUND('',#57382,.T.); #36041=FACE_OUTER_BOUND('',#57383,.T.); #36042=FACE_OUTER_BOUND('',#57384,.T.); #36043=FACE_OUTER_BOUND('',#57385,.T.); #36044=FACE_OUTER_BOUND('',#57386,.T.); #36045=FACE_OUTER_BOUND('',#57387,.T.); #36046=FACE_OUTER_BOUND('',#57388,.T.); #36047=FACE_OUTER_BOUND('',#57389,.T.); #36048=FACE_OUTER_BOUND('',#57390,.T.); #36049=FACE_OUTER_BOUND('',#57391,.T.); #36050=FACE_OUTER_BOUND('',#57392,.T.); #36051=FACE_OUTER_BOUND('',#57393,.T.); #36052=FACE_OUTER_BOUND('',#57394,.T.); #36053=FACE_OUTER_BOUND('',#57395,.T.); #36054=FACE_OUTER_BOUND('',#57396,.T.); #36055=FACE_OUTER_BOUND('',#57397,.T.); #36056=FACE_OUTER_BOUND('',#57398,.T.); #36057=FACE_OUTER_BOUND('',#57399,.T.); #36058=FACE_OUTER_BOUND('',#57400,.T.); #36059=FACE_OUTER_BOUND('',#57401,.T.); #36060=FACE_OUTER_BOUND('',#57402,.T.); #36061=FACE_OUTER_BOUND('',#57403,.T.); #36062=FACE_OUTER_BOUND('',#57404,.T.); #36063=FACE_OUTER_BOUND('',#57405,.T.); #36064=FACE_OUTER_BOUND('',#57406,.T.); #36065=FACE_OUTER_BOUND('',#57407,.T.); #36066=FACE_OUTER_BOUND('',#57408,.T.); #36067=FACE_OUTER_BOUND('',#57409,.T.); #36068=FACE_OUTER_BOUND('',#57410,.T.); #36069=FACE_OUTER_BOUND('',#57411,.T.); #36070=FACE_OUTER_BOUND('',#57412,.T.); #36071=FACE_OUTER_BOUND('',#57413,.T.); #36072=FACE_OUTER_BOUND('',#57414,.T.); #36073=FACE_OUTER_BOUND('',#57415,.T.); #36074=FACE_OUTER_BOUND('',#57416,.T.); #36075=FACE_OUTER_BOUND('',#57418,.T.); #36076=FACE_OUTER_BOUND('',#57420,.T.); #36077=FACE_OUTER_BOUND('',#57421,.T.); #36078=FACE_OUTER_BOUND('',#57422,.T.); #36079=FACE_OUTER_BOUND('',#57423,.T.); #36080=FACE_OUTER_BOUND('',#57424,.T.); #36081=FACE_OUTER_BOUND('',#57425,.T.); #36082=FACE_OUTER_BOUND('',#57426,.T.); #36083=FACE_OUTER_BOUND('',#57427,.T.); #36084=FACE_OUTER_BOUND('',#57428,.T.); #36085=FACE_OUTER_BOUND('',#57429,.T.); #36086=FACE_OUTER_BOUND('',#57430,.T.); #36087=FACE_OUTER_BOUND('',#57431,.T.); #36088=FACE_OUTER_BOUND('',#57432,.T.); #36089=FACE_OUTER_BOUND('',#57433,.T.); #36090=FACE_OUTER_BOUND('',#57434,.T.); #36091=FACE_OUTER_BOUND('',#57435,.T.); #36092=FACE_OUTER_BOUND('',#57436,.T.); #36093=FACE_OUTER_BOUND('',#57437,.T.); #36094=FACE_OUTER_BOUND('',#57438,.T.); #36095=FACE_OUTER_BOUND('',#57439,.T.); #36096=FACE_OUTER_BOUND('',#57440,.T.); #36097=FACE_OUTER_BOUND('',#57441,.T.); #36098=FACE_OUTER_BOUND('',#57442,.T.); #36099=FACE_OUTER_BOUND('',#57443,.T.); #36100=FACE_OUTER_BOUND('',#57444,.T.); #36101=FACE_OUTER_BOUND('',#57445,.T.); #36102=FACE_OUTER_BOUND('',#57446,.T.); #36103=FACE_OUTER_BOUND('',#57447,.T.); #36104=FACE_OUTER_BOUND('',#57448,.T.); #36105=FACE_OUTER_BOUND('',#57449,.T.); #36106=FACE_OUTER_BOUND('',#57450,.T.); #36107=FACE_OUTER_BOUND('',#57451,.T.); #36108=FACE_OUTER_BOUND('',#57452,.T.); #36109=FACE_OUTER_BOUND('',#57453,.T.); #36110=FACE_OUTER_BOUND('',#57454,.T.); #36111=FACE_OUTER_BOUND('',#57455,.T.); #36112=FACE_OUTER_BOUND('',#57456,.T.); #36113=FACE_OUTER_BOUND('',#57457,.T.); #36114=FACE_OUTER_BOUND('',#57458,.T.); #36115=FACE_OUTER_BOUND('',#57459,.T.); #36116=FACE_OUTER_BOUND('',#57460,.T.); #36117=FACE_OUTER_BOUND('',#57461,.T.); #36118=FACE_OUTER_BOUND('',#57462,.T.); #36119=FACE_OUTER_BOUND('',#57463,.T.); #36120=FACE_OUTER_BOUND('',#57479,.T.); #36121=FACE_OUTER_BOUND('',#57495,.T.); #36122=FACE_OUTER_BOUND('',#57496,.T.); #36123=FACE_OUTER_BOUND('',#57497,.T.); #36124=FACE_OUTER_BOUND('',#57498,.T.); #36125=FACE_OUTER_BOUND('',#57499,.T.); #36126=FACE_OUTER_BOUND('',#57500,.T.); #36127=FACE_OUTER_BOUND('',#57501,.T.); #36128=FACE_OUTER_BOUND('',#57502,.T.); #36129=FACE_OUTER_BOUND('',#57503,.T.); #36130=FACE_OUTER_BOUND('',#57504,.T.); #36131=FACE_OUTER_BOUND('',#57505,.T.); #36132=FACE_OUTER_BOUND('',#57506,.T.); #36133=FACE_OUTER_BOUND('',#57507,.T.); #36134=FACE_OUTER_BOUND('',#57508,.T.); #36135=FACE_OUTER_BOUND('',#57509,.T.); #36136=FACE_OUTER_BOUND('',#57510,.T.); #36137=FACE_OUTER_BOUND('',#57511,.T.); #36138=FACE_OUTER_BOUND('',#57512,.T.); #36139=FACE_OUTER_BOUND('',#57513,.T.); #36140=FACE_OUTER_BOUND('',#57514,.T.); #36141=FACE_OUTER_BOUND('',#57515,.T.); #36142=FACE_OUTER_BOUND('',#57516,.T.); #36143=FACE_OUTER_BOUND('',#57517,.T.); #36144=FACE_OUTER_BOUND('',#57518,.T.); #36145=FACE_OUTER_BOUND('',#57520,.T.); #36146=FACE_OUTER_BOUND('',#57522,.T.); #36147=FACE_OUTER_BOUND('',#57523,.T.); #36148=FACE_OUTER_BOUND('',#57524,.T.); #36149=FACE_OUTER_BOUND('',#57525,.T.); #36150=FACE_OUTER_BOUND('',#57526,.T.); #36151=FACE_OUTER_BOUND('',#57527,.T.); #36152=FACE_OUTER_BOUND('',#57528,.T.); #36153=FACE_OUTER_BOUND('',#57529,.T.); #36154=FACE_OUTER_BOUND('',#57530,.T.); #36155=FACE_OUTER_BOUND('',#57531,.T.); #36156=FACE_OUTER_BOUND('',#57532,.T.); #36157=FACE_OUTER_BOUND('',#57533,.T.); #36158=FACE_OUTER_BOUND('',#57534,.T.); #36159=FACE_OUTER_BOUND('',#57535,.T.); #36160=FACE_OUTER_BOUND('',#57536,.T.); #36161=FACE_OUTER_BOUND('',#57537,.T.); #36162=FACE_OUTER_BOUND('',#57538,.T.); #36163=FACE_OUTER_BOUND('',#57539,.T.); #36164=FACE_OUTER_BOUND('',#57540,.T.); #36165=FACE_OUTER_BOUND('',#57541,.T.); #36166=FACE_OUTER_BOUND('',#57542,.T.); #36167=FACE_OUTER_BOUND('',#57543,.T.); #36168=FACE_OUTER_BOUND('',#57544,.T.); #36169=FACE_OUTER_BOUND('',#57545,.T.); #36170=FACE_OUTER_BOUND('',#57546,.T.); #36171=FACE_OUTER_BOUND('',#57547,.T.); #36172=FACE_OUTER_BOUND('',#57548,.T.); #36173=FACE_OUTER_BOUND('',#57549,.T.); #36174=FACE_OUTER_BOUND('',#57550,.T.); #36175=FACE_OUTER_BOUND('',#57551,.T.); #36176=FACE_OUTER_BOUND('',#57552,.T.); #36177=FACE_OUTER_BOUND('',#57553,.T.); #36178=FACE_OUTER_BOUND('',#57554,.T.); #36179=FACE_OUTER_BOUND('',#57555,.T.); #36180=FACE_OUTER_BOUND('',#57556,.T.); #36181=FACE_OUTER_BOUND('',#57557,.T.); #36182=FACE_OUTER_BOUND('',#57558,.T.); #36183=FACE_OUTER_BOUND('',#57559,.T.); #36184=FACE_OUTER_BOUND('',#57560,.T.); #36185=FACE_OUTER_BOUND('',#57561,.T.); #36186=FACE_OUTER_BOUND('',#57562,.T.); #36187=FACE_OUTER_BOUND('',#57563,.T.); #36188=FACE_OUTER_BOUND('',#57564,.T.); #36189=FACE_OUTER_BOUND('',#57565,.T.); #36190=FACE_OUTER_BOUND('',#57581,.T.); #36191=FACE_OUTER_BOUND('',#57597,.T.); #36192=FACE_OUTER_BOUND('',#57598,.T.); #36193=FACE_OUTER_BOUND('',#57599,.T.); #36194=FACE_OUTER_BOUND('',#57600,.T.); #36195=FACE_OUTER_BOUND('',#57601,.T.); #36196=FACE_OUTER_BOUND('',#57602,.T.); #36197=FACE_OUTER_BOUND('',#57603,.T.); #36198=FACE_OUTER_BOUND('',#57604,.T.); #36199=FACE_OUTER_BOUND('',#57605,.T.); #36200=FACE_OUTER_BOUND('',#57606,.T.); #36201=FACE_OUTER_BOUND('',#57607,.T.); #36202=FACE_OUTER_BOUND('',#57608,.T.); #36203=FACE_OUTER_BOUND('',#57609,.T.); #36204=FACE_OUTER_BOUND('',#57610,.T.); #36205=FACE_OUTER_BOUND('',#57611,.T.); #36206=FACE_OUTER_BOUND('',#57612,.T.); #36207=FACE_OUTER_BOUND('',#57613,.T.); #36208=FACE_OUTER_BOUND('',#57614,.T.); #36209=FACE_OUTER_BOUND('',#57615,.T.); #36210=FACE_OUTER_BOUND('',#57616,.T.); #36211=FACE_OUTER_BOUND('',#57617,.T.); #36212=FACE_OUTER_BOUND('',#57618,.T.); #36213=FACE_OUTER_BOUND('',#57619,.T.); #36214=FACE_OUTER_BOUND('',#57620,.T.); #36215=FACE_OUTER_BOUND('',#57622,.T.); #36216=FACE_OUTER_BOUND('',#57624,.T.); #36217=FACE_OUTER_BOUND('',#57625,.T.); #36218=FACE_OUTER_BOUND('',#57626,.T.); #36219=FACE_OUTER_BOUND('',#57627,.T.); #36220=FACE_OUTER_BOUND('',#57628,.T.); #36221=FACE_OUTER_BOUND('',#57629,.T.); #36222=FACE_OUTER_BOUND('',#57631,.T.); #36223=FACE_OUTER_BOUND('',#57633,.T.); #36224=FACE_OUTER_BOUND('',#57634,.T.); #36225=FACE_OUTER_BOUND('',#57635,.T.); #36226=FACE_OUTER_BOUND('',#57636,.T.); #36227=FACE_OUTER_BOUND('',#57637,.T.); #36228=FACE_OUTER_BOUND('',#57638,.T.); #36229=FACE_OUTER_BOUND('',#57640,.T.); #36230=FACE_OUTER_BOUND('',#57642,.T.); #36231=FACE_OUTER_BOUND('',#57643,.T.); #36232=FACE_OUTER_BOUND('',#57644,.T.); #36233=FACE_OUTER_BOUND('',#57645,.T.); #36234=FACE_OUTER_BOUND('',#57646,.T.); #36235=FACE_OUTER_BOUND('',#57647,.T.); #36236=FACE_OUTER_BOUND('',#57648,.T.); #36237=FACE_OUTER_BOUND('',#57649,.T.); #36238=FACE_OUTER_BOUND('',#57650,.T.); #36239=FACE_OUTER_BOUND('',#57651,.T.); #36240=FACE_OUTER_BOUND('',#57652,.T.); #36241=FACE_OUTER_BOUND('',#57653,.T.); #36242=FACE_OUTER_BOUND('',#57654,.T.); #36243=FACE_OUTER_BOUND('',#57655,.T.); #36244=FACE_OUTER_BOUND('',#57656,.T.); #36245=FACE_OUTER_BOUND('',#57657,.T.); #36246=FACE_OUTER_BOUND('',#57658,.T.); #36247=FACE_OUTER_BOUND('',#57659,.T.); #36248=FACE_OUTER_BOUND('',#57660,.T.); #36249=FACE_OUTER_BOUND('',#57661,.T.); #36250=FACE_OUTER_BOUND('',#57677,.T.); #36251=FACE_OUTER_BOUND('',#57693,.T.); #36252=FACE_OUTER_BOUND('',#57694,.T.); #36253=FACE_OUTER_BOUND('',#57695,.T.); #36254=FACE_OUTER_BOUND('',#57696,.T.); #36255=FACE_OUTER_BOUND('',#57697,.T.); #36256=FACE_OUTER_BOUND('',#57698,.T.); #36257=FACE_OUTER_BOUND('',#57699,.T.); #36258=FACE_OUTER_BOUND('',#57700,.T.); #36259=FACE_OUTER_BOUND('',#57701,.T.); #36260=FACE_OUTER_BOUND('',#57702,.T.); #36261=FACE_OUTER_BOUND('',#57703,.T.); #36262=FACE_OUTER_BOUND('',#57704,.T.); #36263=FACE_OUTER_BOUND('',#57705,.T.); #36264=FACE_OUTER_BOUND('',#57706,.T.); #36265=FACE_OUTER_BOUND('',#57707,.T.); #36266=FACE_OUTER_BOUND('',#57708,.T.); #36267=FACE_OUTER_BOUND('',#57709,.T.); #36268=FACE_OUTER_BOUND('',#57710,.T.); #36269=FACE_OUTER_BOUND('',#57711,.T.); #36270=FACE_OUTER_BOUND('',#57712,.T.); #36271=FACE_OUTER_BOUND('',#57713,.T.); #36272=FACE_OUTER_BOUND('',#57714,.T.); #36273=FACE_OUTER_BOUND('',#57715,.T.); #36274=FACE_OUTER_BOUND('',#57716,.T.); #36275=FACE_OUTER_BOUND('',#57717,.T.); #36276=FACE_OUTER_BOUND('',#57718,.T.); #36277=FACE_OUTER_BOUND('',#57719,.T.); #36278=FACE_OUTER_BOUND('',#57720,.T.); #36279=FACE_OUTER_BOUND('',#57721,.T.); #36280=FACE_OUTER_BOUND('',#57722,.T.); #36281=FACE_OUTER_BOUND('',#57723,.T.); #36282=FACE_OUTER_BOUND('',#57724,.T.); #36283=FACE_OUTER_BOUND('',#57725,.T.); #36284=FACE_OUTER_BOUND('',#57726,.T.); #36285=FACE_OUTER_BOUND('',#57727,.T.); #36286=FACE_OUTER_BOUND('',#57728,.T.); #36287=FACE_OUTER_BOUND('',#57729,.T.); #36288=FACE_OUTER_BOUND('',#57730,.T.); #36289=FACE_OUTER_BOUND('',#57731,.T.); #36290=FACE_OUTER_BOUND('',#57732,.T.); #36291=FACE_OUTER_BOUND('',#57733,.T.); #36292=FACE_OUTER_BOUND('',#57734,.T.); #36293=FACE_OUTER_BOUND('',#57735,.T.); #36294=FACE_OUTER_BOUND('',#57736,.T.); #36295=FACE_OUTER_BOUND('',#57737,.T.); #36296=FACE_OUTER_BOUND('',#57738,.T.); #36297=FACE_OUTER_BOUND('',#57739,.T.); #36298=FACE_OUTER_BOUND('',#57740,.T.); #36299=FACE_OUTER_BOUND('',#57741,.T.); #36300=FACE_OUTER_BOUND('',#57742,.T.); #36301=FACE_OUTER_BOUND('',#57743,.T.); #36302=FACE_OUTER_BOUND('',#57744,.T.); #36303=FACE_OUTER_BOUND('',#57745,.T.); #36304=FACE_OUTER_BOUND('',#57746,.T.); #36305=FACE_OUTER_BOUND('',#57747,.T.); #36306=FACE_OUTER_BOUND('',#57748,.T.); #36307=FACE_OUTER_BOUND('',#57749,.T.); #36308=FACE_OUTER_BOUND('',#57750,.T.); #36309=FACE_OUTER_BOUND('',#57751,.T.); #36310=FACE_OUTER_BOUND('',#57752,.T.); #36311=FACE_OUTER_BOUND('',#57753,.T.); #36312=FACE_OUTER_BOUND('',#57754,.T.); #36313=FACE_OUTER_BOUND('',#57755,.T.); #36314=FACE_OUTER_BOUND('',#57756,.T.); #36315=FACE_OUTER_BOUND('',#57757,.T.); #36316=FACE_OUTER_BOUND('',#57758,.T.); #36317=FACE_OUTER_BOUND('',#57759,.T.); #36318=FACE_OUTER_BOUND('',#57760,.T.); #36319=FACE_OUTER_BOUND('',#57761,.T.); #36320=FACE_OUTER_BOUND('',#57762,.T.); #36321=FACE_OUTER_BOUND('',#57763,.T.); #36322=FACE_OUTER_BOUND('',#57764,.T.); #36323=FACE_OUTER_BOUND('',#57765,.T.); #36324=FACE_OUTER_BOUND('',#57766,.T.); #36325=FACE_OUTER_BOUND('',#57767,.T.); #36326=FACE_OUTER_BOUND('',#57768,.T.); #36327=FACE_OUTER_BOUND('',#57769,.T.); #36328=FACE_OUTER_BOUND('',#57770,.T.); #36329=FACE_OUTER_BOUND('',#57771,.T.); #36330=FACE_OUTER_BOUND('',#57772,.T.); #36331=FACE_OUTER_BOUND('',#57773,.T.); #36332=FACE_OUTER_BOUND('',#57774,.T.); #36333=FACE_OUTER_BOUND('',#57775,.T.); #36334=FACE_OUTER_BOUND('',#57776,.T.); #36335=FACE_OUTER_BOUND('',#57777,.T.); #36336=FACE_OUTER_BOUND('',#57778,.T.); #36337=FACE_OUTER_BOUND('',#57779,.T.); #36338=FACE_OUTER_BOUND('',#57780,.T.); #36339=FACE_OUTER_BOUND('',#57781,.T.); #36340=FACE_OUTER_BOUND('',#57782,.T.); #36341=FACE_OUTER_BOUND('',#57783,.T.); #36342=FACE_OUTER_BOUND('',#57784,.T.); #36343=FACE_OUTER_BOUND('',#57785,.T.); #36344=FACE_OUTER_BOUND('',#57786,.T.); #36345=FACE_OUTER_BOUND('',#57787,.T.); #36346=FACE_OUTER_BOUND('',#57788,.T.); #36347=FACE_OUTER_BOUND('',#57789,.T.); #36348=FACE_OUTER_BOUND('',#57790,.T.); #36349=FACE_OUTER_BOUND('',#57791,.T.); #36350=FACE_OUTER_BOUND('',#57792,.T.); #36351=FACE_OUTER_BOUND('',#57793,.T.); #36352=FACE_OUTER_BOUND('',#57794,.T.); #36353=FACE_OUTER_BOUND('',#57795,.T.); #36354=FACE_OUTER_BOUND('',#57796,.T.); #36355=FACE_OUTER_BOUND('',#57797,.T.); #36356=FACE_OUTER_BOUND('',#57798,.T.); #36357=FACE_OUTER_BOUND('',#57799,.T.); #36358=FACE_OUTER_BOUND('',#57800,.T.); #36359=FACE_OUTER_BOUND('',#57801,.T.); #36360=FACE_OUTER_BOUND('',#57802,.T.); #36361=FACE_OUTER_BOUND('',#57803,.T.); #36362=FACE_OUTER_BOUND('',#57804,.T.); #36363=FACE_OUTER_BOUND('',#57805,.T.); #36364=FACE_OUTER_BOUND('',#57806,.T.); #36365=FACE_OUTER_BOUND('',#57807,.T.); #36366=FACE_OUTER_BOUND('',#57808,.T.); #36367=FACE_OUTER_BOUND('',#57809,.T.); #36368=FACE_OUTER_BOUND('',#57810,.T.); #36369=FACE_OUTER_BOUND('',#57811,.T.); #36370=FACE_OUTER_BOUND('',#57812,.T.); #36371=FACE_OUTER_BOUND('',#57813,.T.); #36372=FACE_OUTER_BOUND('',#57814,.T.); #36373=FACE_OUTER_BOUND('',#57815,.T.); #36374=FACE_OUTER_BOUND('',#57816,.T.); #36375=FACE_OUTER_BOUND('',#57817,.T.); #36376=FACE_OUTER_BOUND('',#57818,.T.); #36377=FACE_OUTER_BOUND('',#57819,.T.); #36378=FACE_OUTER_BOUND('',#57820,.T.); #36379=FACE_OUTER_BOUND('',#57821,.T.); #36380=FACE_OUTER_BOUND('',#57822,.T.); #36381=FACE_OUTER_BOUND('',#57823,.T.); #36382=FACE_OUTER_BOUND('',#57824,.T.); #36383=FACE_OUTER_BOUND('',#57825,.T.); #36384=FACE_OUTER_BOUND('',#57826,.T.); #36385=FACE_OUTER_BOUND('',#57827,.T.); #36386=FACE_OUTER_BOUND('',#57828,.T.); #36387=FACE_OUTER_BOUND('',#57829,.T.); #36388=FACE_OUTER_BOUND('',#57830,.T.); #36389=FACE_OUTER_BOUND('',#57831,.T.); #36390=FACE_OUTER_BOUND('',#57832,.T.); #36391=FACE_OUTER_BOUND('',#57848,.T.); #36392=FACE_OUTER_BOUND('',#57864,.T.); #36393=FACE_OUTER_BOUND('',#57865,.T.); #36394=FACE_OUTER_BOUND('',#57866,.T.); #36395=FACE_OUTER_BOUND('',#57867,.T.); #36396=FACE_OUTER_BOUND('',#57868,.T.); #36397=FACE_OUTER_BOUND('',#57869,.T.); #36398=FACE_OUTER_BOUND('',#57870,.T.); #36399=FACE_OUTER_BOUND('',#57871,.T.); #36400=FACE_OUTER_BOUND('',#57872,.T.); #36401=FACE_OUTER_BOUND('',#57873,.T.); #36402=FACE_OUTER_BOUND('',#57874,.T.); #36403=FACE_OUTER_BOUND('',#57875,.T.); #36404=FACE_OUTER_BOUND('',#57876,.T.); #36405=FACE_OUTER_BOUND('',#57877,.T.); #36406=FACE_OUTER_BOUND('',#57878,.T.); #36407=FACE_OUTER_BOUND('',#57879,.T.); #36408=FACE_OUTER_BOUND('',#57880,.T.); #36409=FACE_OUTER_BOUND('',#57881,.T.); #36410=FACE_OUTER_BOUND('',#57882,.T.); #36411=FACE_OUTER_BOUND('',#57883,.T.); #36412=FACE_OUTER_BOUND('',#57884,.T.); #36413=FACE_OUTER_BOUND('',#57885,.T.); #36414=FACE_OUTER_BOUND('',#57886,.T.); #36415=FACE_OUTER_BOUND('',#57887,.T.); #36416=FACE_OUTER_BOUND('',#57888,.T.); #36417=FACE_OUTER_BOUND('',#57889,.T.); #36418=FACE_OUTER_BOUND('',#57890,.T.); #36419=FACE_OUTER_BOUND('',#57891,.T.); #36420=FACE_OUTER_BOUND('',#57892,.T.); #36421=FACE_OUTER_BOUND('',#57893,.T.); #36422=FACE_OUTER_BOUND('',#57894,.T.); #36423=FACE_OUTER_BOUND('',#57895,.T.); #36424=FACE_OUTER_BOUND('',#57896,.T.); #36425=FACE_OUTER_BOUND('',#57897,.T.); #36426=FACE_OUTER_BOUND('',#57898,.T.); #36427=FACE_OUTER_BOUND('',#57899,.T.); #36428=FACE_OUTER_BOUND('',#57900,.T.); #36429=FACE_OUTER_BOUND('',#57901,.T.); #36430=FACE_OUTER_BOUND('',#57902,.T.); #36431=FACE_OUTER_BOUND('',#57903,.T.); #36432=FACE_OUTER_BOUND('',#57904,.T.); #36433=FACE_OUTER_BOUND('',#57905,.T.); #36434=FACE_OUTER_BOUND('',#57907,.T.); #36435=FACE_OUTER_BOUND('',#57909,.T.); #36436=FACE_OUTER_BOUND('',#57910,.T.); #36437=FACE_OUTER_BOUND('',#57911,.T.); #36438=FACE_OUTER_BOUND('',#57912,.T.); #36439=FACE_OUTER_BOUND('',#57913,.T.); #36440=FACE_OUTER_BOUND('',#57914,.T.); #36441=FACE_OUTER_BOUND('',#57915,.T.); #36442=FACE_OUTER_BOUND('',#57918,.T.); #36443=FACE_OUTER_BOUND('',#57921,.T.); #36444=FACE_OUTER_BOUND('',#57922,.T.); #36445=FACE_OUTER_BOUND('',#57923,.T.); #36446=FACE_OUTER_BOUND('',#57924,.T.); #36447=FACE_OUTER_BOUND('',#57925,.T.); #36448=FACE_OUTER_BOUND('',#57926,.T.); #36449=FACE_OUTER_BOUND('',#57927,.T.); #36450=FACE_OUTER_BOUND('',#57930,.T.); #36451=FACE_OUTER_BOUND('',#57933,.T.); #36452=FACE_OUTER_BOUND('',#57934,.T.); #36453=FACE_OUTER_BOUND('',#57935,.T.); #36454=FACE_OUTER_BOUND('',#57936,.T.); #36455=FACE_OUTER_BOUND('',#57937,.T.); #36456=FACE_OUTER_BOUND('',#57938,.T.); #36457=FACE_OUTER_BOUND('',#57940,.T.); #36458=FACE_OUTER_BOUND('',#57942,.T.); #36459=FACE_OUTER_BOUND('',#57943,.T.); #36460=FACE_OUTER_BOUND('',#57944,.T.); #36461=FACE_OUTER_BOUND('',#57945,.T.); #36462=FACE_OUTER_BOUND('',#57946,.T.); #36463=FACE_OUTER_BOUND('',#57947,.T.); #36464=FACE_OUTER_BOUND('',#57948,.T.); #36465=FACE_OUTER_BOUND('',#57949,.T.); #36466=FACE_OUTER_BOUND('',#57950,.T.); #36467=FACE_OUTER_BOUND('',#57951,.T.); #36468=FACE_OUTER_BOUND('',#57952,.T.); #36469=FACE_OUTER_BOUND('',#57953,.T.); #36470=FACE_OUTER_BOUND('',#57954,.T.); #36471=FACE_OUTER_BOUND('',#57955,.T.); #36472=FACE_OUTER_BOUND('',#57956,.T.); #36473=FACE_OUTER_BOUND('',#57957,.T.); #36474=FACE_OUTER_BOUND('',#57958,.T.); #36475=FACE_OUTER_BOUND('',#57959,.T.); #36476=FACE_OUTER_BOUND('',#57960,.T.); #36477=FACE_OUTER_BOUND('',#57961,.T.); #36478=FACE_OUTER_BOUND('',#57962,.T.); #36479=FACE_OUTER_BOUND('',#57963,.T.); #36480=FACE_OUTER_BOUND('',#57964,.T.); #36481=FACE_OUTER_BOUND('',#57965,.T.); #36482=FACE_OUTER_BOUND('',#57966,.T.); #36483=FACE_OUTER_BOUND('',#57967,.T.); #36484=FACE_OUTER_BOUND('',#57968,.T.); #36485=FACE_OUTER_BOUND('',#57969,.T.); #36486=FACE_OUTER_BOUND('',#57970,.T.); #36487=FACE_OUTER_BOUND('',#57971,.T.); #36488=FACE_OUTER_BOUND('',#57972,.T.); #36489=FACE_OUTER_BOUND('',#57973,.T.); #36490=FACE_OUTER_BOUND('',#57974,.T.); #36491=FACE_OUTER_BOUND('',#57975,.T.); #36492=FACE_OUTER_BOUND('',#57976,.T.); #36493=FACE_OUTER_BOUND('',#57977,.T.); #36494=FACE_OUTER_BOUND('',#57978,.T.); #36495=FACE_OUTER_BOUND('',#57979,.T.); #36496=FACE_OUTER_BOUND('',#57980,.T.); #36497=FACE_OUTER_BOUND('',#57981,.T.); #36498=FACE_OUTER_BOUND('',#57982,.T.); #36499=FACE_OUTER_BOUND('',#57983,.T.); #36500=FACE_OUTER_BOUND('',#57984,.T.); #36501=FACE_OUTER_BOUND('',#57985,.T.); #36502=FACE_OUTER_BOUND('',#57986,.T.); #36503=FACE_OUTER_BOUND('',#57987,.T.); #36504=FACE_OUTER_BOUND('',#57988,.T.); #36505=FACE_OUTER_BOUND('',#57989,.T.); #36506=FACE_OUTER_BOUND('',#57990,.T.); #36507=FACE_OUTER_BOUND('',#57991,.T.); #36508=FACE_OUTER_BOUND('',#57992,.T.); #36509=FACE_OUTER_BOUND('',#57993,.T.); #36510=FACE_OUTER_BOUND('',#57994,.T.); #36511=FACE_OUTER_BOUND('',#57995,.T.); #36512=FACE_OUTER_BOUND('',#57996,.T.); #36513=FACE_OUTER_BOUND('',#57997,.T.); #36514=FACE_OUTER_BOUND('',#57998,.T.); #36515=FACE_OUTER_BOUND('',#57999,.T.); #36516=FACE_OUTER_BOUND('',#58000,.T.); #36517=FACE_OUTER_BOUND('',#58001,.T.); #36518=FACE_OUTER_BOUND('',#58002,.T.); #36519=FACE_OUTER_BOUND('',#58003,.T.); #36520=FACE_OUTER_BOUND('',#58004,.T.); #36521=FACE_OUTER_BOUND('',#58005,.T.); #36522=FACE_OUTER_BOUND('',#58006,.T.); #36523=FACE_OUTER_BOUND('',#58007,.T.); #36524=FACE_OUTER_BOUND('',#58008,.T.); #36525=FACE_OUTER_BOUND('',#58009,.T.); #36526=FACE_OUTER_BOUND('',#58010,.T.); #36527=FACE_OUTER_BOUND('',#58011,.T.); #36528=FACE_OUTER_BOUND('',#58012,.T.); #36529=FACE_OUTER_BOUND('',#58013,.T.); #36530=FACE_OUTER_BOUND('',#58014,.T.); #36531=FACE_OUTER_BOUND('',#58015,.T.); #36532=FACE_OUTER_BOUND('',#58016,.T.); #36533=FACE_OUTER_BOUND('',#58017,.T.); #36534=FACE_OUTER_BOUND('',#58018,.T.); #36535=FACE_OUTER_BOUND('',#58019,.T.); #36536=FACE_OUTER_BOUND('',#58020,.T.); #36537=FACE_OUTER_BOUND('',#58021,.T.); #36538=FACE_OUTER_BOUND('',#58022,.T.); #36539=FACE_OUTER_BOUND('',#58023,.T.); #36540=FACE_OUTER_BOUND('',#58024,.T.); #36541=FACE_OUTER_BOUND('',#58025,.T.); #36542=FACE_OUTER_BOUND('',#58026,.T.); #36543=FACE_OUTER_BOUND('',#58027,.T.); #36544=FACE_OUTER_BOUND('',#58028,.T.); #36545=FACE_OUTER_BOUND('',#58029,.T.); #36546=FACE_OUTER_BOUND('',#58030,.T.); #36547=FACE_OUTER_BOUND('',#58031,.T.); #36548=FACE_OUTER_BOUND('',#58032,.T.); #36549=FACE_OUTER_BOUND('',#58033,.T.); #36550=FACE_OUTER_BOUND('',#58034,.T.); #36551=FACE_OUTER_BOUND('',#58035,.T.); #36552=FACE_OUTER_BOUND('',#58036,.T.); #36553=FACE_OUTER_BOUND('',#58037,.T.); #36554=FACE_OUTER_BOUND('',#58038,.T.); #36555=FACE_OUTER_BOUND('',#58039,.T.); #36556=FACE_OUTER_BOUND('',#58040,.T.); #36557=FACE_OUTER_BOUND('',#58041,.T.); #36558=FACE_OUTER_BOUND('',#58042,.T.); #36559=FACE_OUTER_BOUND('',#58043,.T.); #36560=FACE_OUTER_BOUND('',#58044,.T.); #36561=FACE_OUTER_BOUND('',#58045,.T.); #36562=FACE_OUTER_BOUND('',#58046,.T.); #36563=FACE_OUTER_BOUND('',#58047,.T.); #36564=FACE_OUTER_BOUND('',#58048,.T.); #36565=FACE_OUTER_BOUND('',#58049,.T.); #36566=FACE_OUTER_BOUND('',#58050,.T.); #36567=FACE_OUTER_BOUND('',#58051,.T.); #36568=FACE_OUTER_BOUND('',#58052,.T.); #36569=FACE_OUTER_BOUND('',#58053,.T.); #36570=FACE_OUTER_BOUND('',#58054,.T.); #36571=FACE_OUTER_BOUND('',#58055,.T.); #36572=FACE_OUTER_BOUND('',#58056,.T.); #36573=FACE_OUTER_BOUND('',#58057,.T.); #36574=FACE_OUTER_BOUND('',#58058,.T.); #36575=FACE_OUTER_BOUND('',#58059,.T.); #36576=FACE_OUTER_BOUND('',#58060,.T.); #36577=FACE_OUTER_BOUND('',#58061,.T.); #36578=FACE_OUTER_BOUND('',#58062,.T.); #36579=FACE_OUTER_BOUND('',#58063,.T.); #36580=FACE_OUTER_BOUND('',#58064,.T.); #36581=FACE_OUTER_BOUND('',#58065,.T.); #36582=FACE_OUTER_BOUND('',#58066,.T.); #36583=FACE_OUTER_BOUND('',#58067,.T.); #36584=FACE_OUTER_BOUND('',#58068,.T.); #36585=FACE_OUTER_BOUND('',#58069,.T.); #36586=FACE_OUTER_BOUND('',#58070,.T.); #36587=FACE_OUTER_BOUND('',#58071,.T.); #36588=FACE_OUTER_BOUND('',#58072,.T.); #36589=FACE_OUTER_BOUND('',#58073,.T.); #36590=FACE_OUTER_BOUND('',#58074,.T.); #36591=FACE_OUTER_BOUND('',#58075,.T.); #36592=FACE_OUTER_BOUND('',#58076,.T.); #36593=FACE_OUTER_BOUND('',#58077,.T.); #36594=FACE_OUTER_BOUND('',#58078,.T.); #36595=FACE_OUTER_BOUND('',#58079,.T.); #36596=FACE_OUTER_BOUND('',#58080,.T.); #36597=FACE_OUTER_BOUND('',#58081,.T.); #36598=FACE_OUTER_BOUND('',#58082,.T.); #36599=FACE_OUTER_BOUND('',#58083,.T.); #36600=FACE_OUTER_BOUND('',#58084,.T.); #36601=FACE_OUTER_BOUND('',#58085,.T.); #36602=FACE_OUTER_BOUND('',#58086,.T.); #36603=FACE_OUTER_BOUND('',#58087,.T.); #36604=FACE_OUTER_BOUND('',#58088,.T.); #36605=FACE_OUTER_BOUND('',#58089,.T.); #36606=FACE_OUTER_BOUND('',#58090,.T.); #36607=FACE_OUTER_BOUND('',#58091,.T.); #36608=FACE_OUTER_BOUND('',#58092,.T.); #36609=FACE_OUTER_BOUND('',#58093,.T.); #36610=FACE_OUTER_BOUND('',#58094,.T.); #36611=FACE_OUTER_BOUND('',#58095,.T.); #36612=FACE_OUTER_BOUND('',#58096,.T.); #36613=FACE_OUTER_BOUND('',#58097,.T.); #36614=FACE_OUTER_BOUND('',#58098,.T.); #36615=FACE_OUTER_BOUND('',#58099,.T.); #36616=FACE_OUTER_BOUND('',#58100,.T.); #36617=FACE_OUTER_BOUND('',#58101,.T.); #36618=FACE_OUTER_BOUND('',#58102,.T.); #36619=FACE_OUTER_BOUND('',#58103,.T.); #36620=FACE_OUTER_BOUND('',#58104,.T.); #36621=FACE_OUTER_BOUND('',#58105,.T.); #36622=FACE_OUTER_BOUND('',#58106,.T.); #36623=FACE_OUTER_BOUND('',#58107,.T.); #36624=FACE_OUTER_BOUND('',#58108,.T.); #36625=FACE_OUTER_BOUND('',#58109,.T.); #36626=FACE_OUTER_BOUND('',#58110,.T.); #36627=FACE_OUTER_BOUND('',#58111,.T.); #36628=FACE_OUTER_BOUND('',#58112,.T.); #36629=FACE_OUTER_BOUND('',#58113,.T.); #36630=FACE_OUTER_BOUND('',#58114,.T.); #36631=FACE_OUTER_BOUND('',#58115,.T.); #36632=FACE_OUTER_BOUND('',#58116,.T.); #36633=FACE_OUTER_BOUND('',#58117,.T.); #36634=FACE_OUTER_BOUND('',#58118,.T.); #36635=FACE_OUTER_BOUND('',#58119,.T.); #36636=FACE_OUTER_BOUND('',#58120,.T.); #36637=FACE_OUTER_BOUND('',#58121,.T.); #36638=FACE_OUTER_BOUND('',#58122,.T.); #36639=FACE_OUTER_BOUND('',#58123,.T.); #36640=FACE_OUTER_BOUND('',#58124,.T.); #36641=FACE_OUTER_BOUND('',#58125,.T.); #36642=FACE_OUTER_BOUND('',#58126,.T.); #36643=FACE_OUTER_BOUND('',#58127,.T.); #36644=FACE_OUTER_BOUND('',#58128,.T.); #36645=FACE_OUTER_BOUND('',#58129,.T.); #36646=FACE_OUTER_BOUND('',#58130,.T.); #36647=FACE_OUTER_BOUND('',#58131,.T.); #36648=FACE_OUTER_BOUND('',#58132,.T.); #36649=FACE_OUTER_BOUND('',#58133,.T.); #36650=FACE_OUTER_BOUND('',#58134,.T.); #36651=FACE_OUTER_BOUND('',#58135,.T.); #36652=FACE_OUTER_BOUND('',#58136,.T.); #36653=FACE_OUTER_BOUND('',#58137,.T.); #36654=FACE_OUTER_BOUND('',#58138,.T.); #36655=FACE_OUTER_BOUND('',#58139,.T.); #36656=FACE_OUTER_BOUND('',#58140,.T.); #36657=FACE_OUTER_BOUND('',#58141,.T.); #36658=FACE_OUTER_BOUND('',#58142,.T.); #36659=FACE_OUTER_BOUND('',#58143,.T.); #36660=FACE_OUTER_BOUND('',#58144,.T.); #36661=FACE_OUTER_BOUND('',#58145,.T.); #36662=FACE_OUTER_BOUND('',#58146,.T.); #36663=FACE_OUTER_BOUND('',#58147,.T.); #36664=FACE_OUTER_BOUND('',#58148,.T.); #36665=FACE_OUTER_BOUND('',#58149,.T.); #36666=FACE_OUTER_BOUND('',#58150,.T.); #36667=FACE_OUTER_BOUND('',#58151,.T.); #36668=FACE_OUTER_BOUND('',#58152,.T.); #36669=FACE_OUTER_BOUND('',#58153,.T.); #36670=FACE_OUTER_BOUND('',#58154,.T.); #36671=FACE_OUTER_BOUND('',#58155,.T.); #36672=FACE_OUTER_BOUND('',#58156,.T.); #36673=FACE_OUTER_BOUND('',#58157,.T.); #36674=FACE_OUTER_BOUND('',#58158,.T.); #36675=FACE_OUTER_BOUND('',#58159,.T.); #36676=FACE_OUTER_BOUND('',#58160,.T.); #36677=FACE_OUTER_BOUND('',#58161,.T.); #36678=FACE_OUTER_BOUND('',#58162,.T.); #36679=FACE_OUTER_BOUND('',#58163,.T.); #36680=FACE_OUTER_BOUND('',#58164,.T.); #36681=FACE_OUTER_BOUND('',#58165,.T.); #36682=FACE_OUTER_BOUND('',#58166,.T.); #36683=FACE_OUTER_BOUND('',#58167,.T.); #36684=FACE_OUTER_BOUND('',#58168,.T.); #36685=FACE_OUTER_BOUND('',#58169,.T.); #36686=FACE_OUTER_BOUND('',#58170,.T.); #36687=FACE_OUTER_BOUND('',#58171,.T.); #36688=FACE_OUTER_BOUND('',#58172,.T.); #36689=FACE_OUTER_BOUND('',#58173,.T.); #36690=FACE_OUTER_BOUND('',#58174,.T.); #36691=FACE_OUTER_BOUND('',#58175,.T.); #36692=FACE_OUTER_BOUND('',#58176,.T.); #36693=FACE_OUTER_BOUND('',#58177,.T.); #36694=FACE_OUTER_BOUND('',#58178,.T.); #36695=FACE_OUTER_BOUND('',#58179,.T.); #36696=FACE_OUTER_BOUND('',#58180,.T.); #36697=FACE_OUTER_BOUND('',#58181,.T.); #36698=FACE_OUTER_BOUND('',#58182,.T.); #36699=FACE_OUTER_BOUND('',#58183,.T.); #36700=FACE_OUTER_BOUND('',#58184,.T.); #36701=FACE_OUTER_BOUND('',#58185,.T.); #36702=FACE_OUTER_BOUND('',#58186,.T.); #36703=FACE_OUTER_BOUND('',#58187,.T.); #36704=FACE_OUTER_BOUND('',#58188,.T.); #36705=FACE_OUTER_BOUND('',#58189,.T.); #36706=FACE_OUTER_BOUND('',#58190,.T.); #36707=FACE_OUTER_BOUND('',#58191,.T.); #36708=FACE_OUTER_BOUND('',#58192,.T.); #36709=FACE_OUTER_BOUND('',#58193,.T.); #36710=FACE_OUTER_BOUND('',#58194,.T.); #36711=FACE_OUTER_BOUND('',#58195,.T.); #36712=FACE_OUTER_BOUND('',#58196,.T.); #36713=FACE_OUTER_BOUND('',#58197,.T.); #36714=FACE_OUTER_BOUND('',#58198,.T.); #36715=FACE_OUTER_BOUND('',#58199,.T.); #36716=FACE_OUTER_BOUND('',#58200,.T.); #36717=FACE_OUTER_BOUND('',#58201,.T.); #36718=FACE_OUTER_BOUND('',#58202,.T.); #36719=FACE_OUTER_BOUND('',#58203,.T.); #36720=FACE_OUTER_BOUND('',#58204,.T.); #36721=FACE_OUTER_BOUND('',#58205,.T.); #36722=FACE_OUTER_BOUND('',#58206,.T.); #36723=FACE_OUTER_BOUND('',#58207,.T.); #36724=FACE_OUTER_BOUND('',#58208,.T.); #36725=FACE_OUTER_BOUND('',#58209,.T.); #36726=FACE_OUTER_BOUND('',#58210,.T.); #36727=FACE_OUTER_BOUND('',#58211,.T.); #36728=FACE_OUTER_BOUND('',#58212,.T.); #36729=FACE_OUTER_BOUND('',#58213,.T.); #36730=FACE_OUTER_BOUND('',#58214,.T.); #36731=FACE_OUTER_BOUND('',#58215,.T.); #36732=FACE_OUTER_BOUND('',#58216,.T.); #36733=FACE_OUTER_BOUND('',#58217,.T.); #36734=FACE_OUTER_BOUND('',#58218,.T.); #36735=FACE_OUTER_BOUND('',#58219,.T.); #36736=FACE_OUTER_BOUND('',#58220,.T.); #36737=FACE_OUTER_BOUND('',#58221,.T.); #36738=FACE_OUTER_BOUND('',#58222,.T.); #36739=FACE_OUTER_BOUND('',#58223,.T.); #36740=FACE_OUTER_BOUND('',#58224,.T.); #36741=FACE_OUTER_BOUND('',#58225,.T.); #36742=FACE_OUTER_BOUND('',#58226,.T.); #36743=FACE_OUTER_BOUND('',#58227,.T.); #36744=FACE_OUTER_BOUND('',#58228,.T.); #36745=FACE_OUTER_BOUND('',#58229,.T.); #36746=FACE_OUTER_BOUND('',#58230,.T.); #36747=FACE_OUTER_BOUND('',#58231,.T.); #36748=FACE_OUTER_BOUND('',#58232,.T.); #36749=FACE_OUTER_BOUND('',#58233,.T.); #36750=FACE_OUTER_BOUND('',#58234,.T.); #36751=FACE_OUTER_BOUND('',#58235,.T.); #36752=FACE_OUTER_BOUND('',#58236,.T.); #36753=FACE_OUTER_BOUND('',#58237,.T.); #36754=FACE_OUTER_BOUND('',#58238,.T.); #36755=FACE_OUTER_BOUND('',#58239,.T.); #36756=FACE_OUTER_BOUND('',#58240,.T.); #36757=FACE_OUTER_BOUND('',#58241,.T.); #36758=FACE_OUTER_BOUND('',#58242,.T.); #36759=FACE_OUTER_BOUND('',#58243,.T.); #36760=FACE_OUTER_BOUND('',#58244,.T.); #36761=FACE_OUTER_BOUND('',#58245,.T.); #36762=FACE_OUTER_BOUND('',#58246,.T.); #36763=FACE_OUTER_BOUND('',#58247,.T.); #36764=FACE_OUTER_BOUND('',#58248,.T.); #36765=FACE_OUTER_BOUND('',#58249,.T.); #36766=FACE_OUTER_BOUND('',#58250,.T.); #36767=FACE_OUTER_BOUND('',#58251,.T.); #36768=FACE_OUTER_BOUND('',#58252,.T.); #36769=FACE_OUTER_BOUND('',#58253,.T.); #36770=FACE_OUTER_BOUND('',#58254,.T.); #36771=FACE_OUTER_BOUND('',#58255,.T.); #36772=FACE_OUTER_BOUND('',#58256,.T.); #36773=FACE_OUTER_BOUND('',#58257,.T.); #36774=FACE_OUTER_BOUND('',#58258,.T.); #36775=FACE_OUTER_BOUND('',#58259,.T.); #36776=FACE_OUTER_BOUND('',#58260,.T.); #36777=FACE_OUTER_BOUND('',#58261,.T.); #36778=FACE_OUTER_BOUND('',#58262,.T.); #36779=FACE_OUTER_BOUND('',#58263,.T.); #36780=FACE_OUTER_BOUND('',#58264,.T.); #36781=FACE_OUTER_BOUND('',#58265,.T.); #36782=FACE_OUTER_BOUND('',#58266,.T.); #36783=FACE_OUTER_BOUND('',#58267,.T.); #36784=FACE_OUTER_BOUND('',#58268,.T.); #36785=FACE_OUTER_BOUND('',#58269,.T.); #36786=FACE_OUTER_BOUND('',#58270,.T.); #36787=FACE_OUTER_BOUND('',#58271,.T.); #36788=FACE_OUTER_BOUND('',#58272,.T.); #36789=FACE_OUTER_BOUND('',#58273,.T.); #36790=FACE_OUTER_BOUND('',#58274,.T.); #36791=FACE_OUTER_BOUND('',#58275,.T.); #36792=FACE_OUTER_BOUND('',#58276,.T.); #36793=FACE_OUTER_BOUND('',#58277,.T.); #36794=FACE_OUTER_BOUND('',#58278,.T.); #36795=FACE_OUTER_BOUND('',#58596,.T.); #36796=FACE_OUTER_BOUND('',#58914,.T.); #36797=FACE_OUTER_BOUND('',#58915,.T.); #36798=FACE_OUTER_BOUND('',#58916,.T.); #36799=FACE_OUTER_BOUND('',#58917,.T.); #36800=FACE_OUTER_BOUND('',#58918,.T.); #36801=FACE_OUTER_BOUND('',#58919,.T.); #36802=FACE_OUTER_BOUND('',#58920,.T.); #36803=FACE_OUTER_BOUND('',#58921,.T.); #36804=FACE_OUTER_BOUND('',#58922,.T.); #36805=FACE_OUTER_BOUND('',#58923,.T.); #36806=FACE_OUTER_BOUND('',#58924,.T.); #36807=FACE_OUTER_BOUND('',#58925,.T.); #36808=FACE_OUTER_BOUND('',#58926,.T.); #36809=FACE_OUTER_BOUND('',#58927,.T.); #36810=FACE_OUTER_BOUND('',#58928,.T.); #36811=FACE_OUTER_BOUND('',#58929,.T.); #36812=FACE_OUTER_BOUND('',#58930,.T.); #36813=FACE_OUTER_BOUND('',#58931,.T.); #36814=FACE_OUTER_BOUND('',#58932,.T.); #36815=FACE_OUTER_BOUND('',#58933,.T.); #36816=FACE_OUTER_BOUND('',#58934,.T.); #36817=FACE_OUTER_BOUND('',#58935,.T.); #36818=FACE_OUTER_BOUND('',#58936,.T.); #36819=FACE_OUTER_BOUND('',#58937,.T.); #36820=FACE_OUTER_BOUND('',#58938,.T.); #36821=FACE_OUTER_BOUND('',#58939,.T.); #36822=FACE_OUTER_BOUND('',#58940,.T.); #36823=FACE_OUTER_BOUND('',#58941,.T.); #36824=FACE_OUTER_BOUND('',#58942,.T.); #36825=FACE_OUTER_BOUND('',#58943,.T.); #36826=FACE_OUTER_BOUND('',#58944,.T.); #36827=FACE_OUTER_BOUND('',#58945,.T.); #36828=FACE_OUTER_BOUND('',#58946,.T.); #36829=FACE_OUTER_BOUND('',#58947,.T.); #36830=FACE_OUTER_BOUND('',#58948,.T.); #36831=FACE_OUTER_BOUND('',#58949,.T.); #36832=FACE_OUTER_BOUND('',#58950,.T.); #36833=FACE_OUTER_BOUND('',#58951,.T.); #36834=FACE_OUTER_BOUND('',#58952,.T.); #36835=FACE_OUTER_BOUND('',#58953,.T.); #36836=FACE_OUTER_BOUND('',#58954,.T.); #36837=FACE_OUTER_BOUND('',#58955,.T.); #36838=FACE_OUTER_BOUND('',#58956,.T.); #36839=FACE_OUTER_BOUND('',#58957,.T.); #36840=FACE_OUTER_BOUND('',#58958,.T.); #36841=FACE_OUTER_BOUND('',#58959,.T.); #36842=FACE_OUTER_BOUND('',#58960,.T.); #36843=FACE_OUTER_BOUND('',#58961,.T.); #36844=FACE_OUTER_BOUND('',#58962,.T.); #36845=FACE_OUTER_BOUND('',#58963,.T.); #36846=FACE_OUTER_BOUND('',#58964,.T.); #36847=FACE_OUTER_BOUND('',#58965,.T.); #36848=FACE_OUTER_BOUND('',#58966,.T.); #36849=FACE_OUTER_BOUND('',#58967,.T.); #36850=FACE_OUTER_BOUND('',#58968,.T.); #36851=FACE_OUTER_BOUND('',#58969,.T.); #36852=FACE_OUTER_BOUND('',#58970,.T.); #36853=FACE_OUTER_BOUND('',#58971,.T.); #36854=FACE_OUTER_BOUND('',#58972,.T.); #36855=FACE_OUTER_BOUND('',#58973,.T.); #36856=FACE_OUTER_BOUND('',#58974,.T.); #36857=FACE_OUTER_BOUND('',#58975,.T.); #36858=FACE_OUTER_BOUND('',#58976,.T.); #36859=FACE_OUTER_BOUND('',#58977,.T.); #36860=FACE_OUTER_BOUND('',#58978,.T.); #36861=FACE_OUTER_BOUND('',#58979,.T.); #36862=FACE_OUTER_BOUND('',#58980,.T.); #36863=FACE_OUTER_BOUND('',#58981,.T.); #36864=FACE_OUTER_BOUND('',#58982,.T.); #36865=FACE_OUTER_BOUND('',#58983,.T.); #36866=FACE_OUTER_BOUND('',#58984,.T.); #36867=FACE_OUTER_BOUND('',#58985,.T.); #36868=FACE_OUTER_BOUND('',#58986,.T.); #36869=FACE_OUTER_BOUND('',#58987,.T.); #36870=FACE_OUTER_BOUND('',#58988,.T.); #36871=FACE_OUTER_BOUND('',#58989,.T.); #36872=FACE_OUTER_BOUND('',#58990,.T.); #36873=FACE_OUTER_BOUND('',#58991,.T.); #36874=FACE_OUTER_BOUND('',#58992,.T.); #36875=FACE_OUTER_BOUND('',#58993,.T.); #36876=FACE_OUTER_BOUND('',#58994,.T.); #36877=FACE_OUTER_BOUND('',#58995,.T.); #36878=FACE_OUTER_BOUND('',#58996,.T.); #36879=FACE_OUTER_BOUND('',#58997,.T.); #36880=FACE_OUTER_BOUND('',#58998,.T.); #36881=FACE_OUTER_BOUND('',#58999,.T.); #36882=FACE_OUTER_BOUND('',#59000,.T.); #36883=FACE_OUTER_BOUND('',#59001,.T.); #36884=FACE_OUTER_BOUND('',#59002,.T.); #36885=FACE_OUTER_BOUND('',#59003,.T.); #36886=FACE_OUTER_BOUND('',#59004,.T.); #36887=FACE_OUTER_BOUND('',#59005,.T.); #36888=FACE_OUTER_BOUND('',#59006,.T.); #36889=FACE_OUTER_BOUND('',#59007,.T.); #36890=FACE_OUTER_BOUND('',#59008,.T.); #36891=FACE_OUTER_BOUND('',#59009,.T.); #36892=FACE_OUTER_BOUND('',#59010,.T.); #36893=FACE_OUTER_BOUND('',#59011,.T.); #36894=FACE_OUTER_BOUND('',#59012,.T.); #36895=FACE_OUTER_BOUND('',#59013,.T.); #36896=FACE_OUTER_BOUND('',#59014,.T.); #36897=FACE_OUTER_BOUND('',#59015,.T.); #36898=FACE_OUTER_BOUND('',#59016,.T.); #36899=FACE_OUTER_BOUND('',#59017,.T.); #36900=FACE_OUTER_BOUND('',#59018,.T.); #36901=FACE_OUTER_BOUND('',#59019,.T.); #36902=FACE_OUTER_BOUND('',#59020,.T.); #36903=FACE_OUTER_BOUND('',#59021,.T.); #36904=FACE_OUTER_BOUND('',#59022,.T.); #36905=FACE_OUTER_BOUND('',#59023,.T.); #36906=FACE_OUTER_BOUND('',#59024,.T.); #36907=FACE_OUTER_BOUND('',#59025,.T.); #36908=FACE_OUTER_BOUND('',#59026,.T.); #36909=FACE_OUTER_BOUND('',#59027,.T.); #36910=FACE_OUTER_BOUND('',#59028,.T.); #36911=FACE_OUTER_BOUND('',#59029,.T.); #36912=FACE_OUTER_BOUND('',#59030,.T.); #36913=FACE_OUTER_BOUND('',#59031,.T.); #36914=FACE_OUTER_BOUND('',#59032,.T.); #36915=FACE_OUTER_BOUND('',#59033,.T.); #36916=FACE_OUTER_BOUND('',#59034,.T.); #36917=FACE_OUTER_BOUND('',#59035,.T.); #36918=FACE_OUTER_BOUND('',#59036,.T.); #36919=FACE_OUTER_BOUND('',#59037,.T.); #36920=FACE_OUTER_BOUND('',#59038,.T.); #36921=FACE_OUTER_BOUND('',#59039,.T.); #36922=FACE_OUTER_BOUND('',#59040,.T.); #36923=FACE_OUTER_BOUND('',#59041,.T.); #36924=FACE_OUTER_BOUND('',#59042,.T.); #36925=FACE_OUTER_BOUND('',#59043,.T.); #36926=FACE_OUTER_BOUND('',#59044,.T.); #36927=FACE_OUTER_BOUND('',#59045,.T.); #36928=FACE_OUTER_BOUND('',#59046,.T.); #36929=FACE_OUTER_BOUND('',#59047,.T.); #36930=FACE_OUTER_BOUND('',#59048,.T.); #36931=FACE_OUTER_BOUND('',#59049,.T.); #36932=FACE_OUTER_BOUND('',#59050,.T.); #36933=FACE_OUTER_BOUND('',#59051,.T.); #36934=FACE_OUTER_BOUND('',#59052,.T.); #36935=FACE_OUTER_BOUND('',#59053,.T.); #36936=FACE_OUTER_BOUND('',#59054,.T.); #36937=FACE_OUTER_BOUND('',#59055,.T.); #36938=FACE_OUTER_BOUND('',#59056,.T.); #36939=FACE_OUTER_BOUND('',#59057,.T.); #36940=FACE_OUTER_BOUND('',#59058,.T.); #36941=FACE_OUTER_BOUND('',#59059,.T.); #36942=FACE_OUTER_BOUND('',#59060,.T.); #36943=FACE_OUTER_BOUND('',#59061,.T.); #36944=FACE_OUTER_BOUND('',#59062,.T.); #36945=FACE_OUTER_BOUND('',#59063,.T.); #36946=FACE_OUTER_BOUND('',#59064,.T.); #36947=FACE_OUTER_BOUND('',#59065,.T.); #36948=FACE_OUTER_BOUND('',#59066,.T.); #36949=FACE_OUTER_BOUND('',#59067,.T.); #36950=FACE_OUTER_BOUND('',#59068,.T.); #36951=FACE_OUTER_BOUND('',#59069,.T.); #36952=FACE_OUTER_BOUND('',#59070,.T.); #36953=FACE_OUTER_BOUND('',#59071,.T.); #36954=FACE_OUTER_BOUND('',#59072,.T.); #36955=FACE_OUTER_BOUND('',#59073,.T.); #36956=FACE_OUTER_BOUND('',#59074,.T.); #36957=FACE_OUTER_BOUND('',#59075,.T.); #36958=FACE_OUTER_BOUND('',#59076,.T.); #36959=FACE_OUTER_BOUND('',#59077,.T.); #36960=FACE_OUTER_BOUND('',#59078,.T.); #36961=FACE_OUTER_BOUND('',#59079,.T.); #36962=FACE_OUTER_BOUND('',#59080,.T.); #36963=FACE_OUTER_BOUND('',#59081,.T.); #36964=FACE_OUTER_BOUND('',#59082,.T.); #36965=FACE_OUTER_BOUND('',#59083,.T.); #36966=FACE_OUTER_BOUND('',#59084,.T.); #36967=FACE_OUTER_BOUND('',#59085,.T.); #36968=FACE_OUTER_BOUND('',#59086,.T.); #36969=FACE_OUTER_BOUND('',#59087,.T.); #36970=FACE_OUTER_BOUND('',#59088,.T.); #36971=FACE_OUTER_BOUND('',#59089,.T.); #36972=FACE_OUTER_BOUND('',#59090,.T.); #36973=FACE_OUTER_BOUND('',#59091,.T.); #36974=FACE_OUTER_BOUND('',#59092,.T.); #36975=FACE_OUTER_BOUND('',#59093,.T.); #36976=FACE_OUTER_BOUND('',#59094,.T.); #36977=FACE_OUTER_BOUND('',#59095,.T.); #36978=FACE_OUTER_BOUND('',#59096,.T.); #36979=FACE_OUTER_BOUND('',#59097,.T.); #36980=FACE_OUTER_BOUND('',#59098,.T.); #36981=FACE_OUTER_BOUND('',#59099,.T.); #36982=FACE_OUTER_BOUND('',#59100,.T.); #36983=FACE_OUTER_BOUND('',#59101,.T.); #36984=FACE_OUTER_BOUND('',#59102,.T.); #36985=FACE_OUTER_BOUND('',#59103,.T.); #36986=FACE_OUTER_BOUND('',#59104,.T.); #36987=FACE_OUTER_BOUND('',#59105,.T.); #36988=FACE_OUTER_BOUND('',#59106,.T.); #36989=FACE_OUTER_BOUND('',#59107,.T.); #36990=FACE_OUTER_BOUND('',#59108,.T.); #36991=FACE_OUTER_BOUND('',#59109,.T.); #36992=FACE_OUTER_BOUND('',#59110,.T.); #36993=FACE_OUTER_BOUND('',#59111,.T.); #36994=FACE_OUTER_BOUND('',#59112,.T.); #36995=FACE_OUTER_BOUND('',#59113,.T.); #36996=FACE_OUTER_BOUND('',#59114,.T.); #36997=FACE_OUTER_BOUND('',#59115,.T.); #36998=FACE_OUTER_BOUND('',#59116,.T.); #36999=FACE_OUTER_BOUND('',#59117,.T.); #37000=FACE_OUTER_BOUND('',#59118,.T.); #37001=FACE_OUTER_BOUND('',#59119,.T.); #37002=FACE_OUTER_BOUND('',#59120,.T.); #37003=FACE_OUTER_BOUND('',#59121,.T.); #37004=FACE_OUTER_BOUND('',#59122,.T.); #37005=FACE_OUTER_BOUND('',#59123,.T.); #37006=FACE_OUTER_BOUND('',#59124,.T.); #37007=FACE_OUTER_BOUND('',#59125,.T.); #37008=FACE_OUTER_BOUND('',#59126,.T.); #37009=FACE_OUTER_BOUND('',#59127,.T.); #37010=FACE_OUTER_BOUND('',#59128,.T.); #37011=FACE_OUTER_BOUND('',#59129,.T.); #37012=FACE_OUTER_BOUND('',#59130,.T.); #37013=FACE_OUTER_BOUND('',#59131,.T.); #37014=FACE_OUTER_BOUND('',#59132,.T.); #37015=FACE_OUTER_BOUND('',#59133,.T.); #37016=FACE_OUTER_BOUND('',#59134,.T.); #37017=FACE_OUTER_BOUND('',#59135,.T.); #37018=FACE_OUTER_BOUND('',#59136,.T.); #37019=FACE_OUTER_BOUND('',#59137,.T.); #37020=FACE_OUTER_BOUND('',#59138,.T.); #37021=FACE_OUTER_BOUND('',#59139,.T.); #37022=FACE_OUTER_BOUND('',#59140,.T.); #37023=FACE_OUTER_BOUND('',#59141,.T.); #37024=FACE_OUTER_BOUND('',#59142,.T.); #37025=FACE_OUTER_BOUND('',#59143,.T.); #37026=FACE_OUTER_BOUND('',#59144,.T.); #37027=FACE_OUTER_BOUND('',#59145,.T.); #37028=FACE_OUTER_BOUND('',#59146,.T.); #37029=FACE_OUTER_BOUND('',#59147,.T.); #37030=FACE_OUTER_BOUND('',#59148,.T.); #37031=FACE_OUTER_BOUND('',#59149,.T.); #37032=FACE_OUTER_BOUND('',#59150,.T.); #37033=FACE_OUTER_BOUND('',#59151,.T.); #37034=FACE_OUTER_BOUND('',#59152,.T.); #37035=FACE_OUTER_BOUND('',#59153,.T.); #37036=FACE_OUTER_BOUND('',#59154,.T.); #37037=FACE_OUTER_BOUND('',#59155,.T.); #37038=FACE_OUTER_BOUND('',#59156,.T.); #37039=FACE_OUTER_BOUND('',#59157,.T.); #37040=FACE_OUTER_BOUND('',#59158,.T.); #37041=FACE_OUTER_BOUND('',#59159,.T.); #37042=FACE_OUTER_BOUND('',#59160,.T.); #37043=FACE_OUTER_BOUND('',#59161,.T.); #37044=FACE_OUTER_BOUND('',#59162,.T.); #37045=FACE_OUTER_BOUND('',#59163,.T.); #37046=FACE_OUTER_BOUND('',#59164,.T.); #37047=FACE_OUTER_BOUND('',#59165,.T.); #37048=FACE_OUTER_BOUND('',#59166,.T.); #37049=FACE_OUTER_BOUND('',#59167,.T.); #37050=FACE_OUTER_BOUND('',#59168,.T.); #37051=FACE_OUTER_BOUND('',#59169,.T.); #37052=FACE_OUTER_BOUND('',#59170,.T.); #37053=FACE_OUTER_BOUND('',#59171,.T.); #37054=FACE_OUTER_BOUND('',#59172,.T.); #37055=FACE_OUTER_BOUND('',#59173,.T.); #37056=FACE_OUTER_BOUND('',#59174,.T.); #37057=FACE_OUTER_BOUND('',#59175,.T.); #37058=FACE_OUTER_BOUND('',#59176,.T.); #37059=FACE_OUTER_BOUND('',#59177,.T.); #37060=FACE_OUTER_BOUND('',#59178,.T.); #37061=FACE_OUTER_BOUND('',#59179,.T.); #37062=FACE_OUTER_BOUND('',#59180,.T.); #37063=FACE_OUTER_BOUND('',#59181,.T.); #37064=FACE_OUTER_BOUND('',#59182,.T.); #37065=FACE_OUTER_BOUND('',#59183,.T.); #37066=FACE_OUTER_BOUND('',#59184,.T.); #37067=FACE_OUTER_BOUND('',#59185,.T.); #37068=FACE_OUTER_BOUND('',#59186,.T.); #37069=FACE_OUTER_BOUND('',#59187,.T.); #37070=FACE_OUTER_BOUND('',#59188,.T.); #37071=FACE_OUTER_BOUND('',#59189,.T.); #37072=FACE_OUTER_BOUND('',#59190,.T.); #37073=FACE_OUTER_BOUND('',#59191,.T.); #37074=FACE_OUTER_BOUND('',#59192,.T.); #37075=FACE_OUTER_BOUND('',#59193,.T.); #37076=FACE_OUTER_BOUND('',#59194,.T.); #37077=FACE_OUTER_BOUND('',#59195,.T.); #37078=FACE_OUTER_BOUND('',#59196,.T.); #37079=FACE_OUTER_BOUND('',#59197,.T.); #37080=FACE_OUTER_BOUND('',#59198,.T.); #37081=FACE_OUTER_BOUND('',#59199,.T.); #37082=FACE_OUTER_BOUND('',#59200,.T.); #37083=FACE_OUTER_BOUND('',#59201,.T.); #37084=FACE_OUTER_BOUND('',#59202,.T.); #37085=FACE_OUTER_BOUND('',#59203,.T.); #37086=FACE_OUTER_BOUND('',#59204,.T.); #37087=FACE_OUTER_BOUND('',#59205,.T.); #37088=FACE_OUTER_BOUND('',#59206,.T.); #37089=FACE_OUTER_BOUND('',#59207,.T.); #37090=FACE_OUTER_BOUND('',#59208,.T.); #37091=FACE_OUTER_BOUND('',#59209,.T.); #37092=FACE_OUTER_BOUND('',#59210,.T.); #37093=FACE_OUTER_BOUND('',#59211,.T.); #37094=FACE_OUTER_BOUND('',#59212,.T.); #37095=FACE_OUTER_BOUND('',#59213,.T.); #37096=FACE_OUTER_BOUND('',#59214,.T.); #37097=FACE_OUTER_BOUND('',#59215,.T.); #37098=FACE_OUTER_BOUND('',#59216,.T.); #37099=FACE_OUTER_BOUND('',#59217,.T.); #37100=FACE_OUTER_BOUND('',#59218,.T.); #37101=FACE_OUTER_BOUND('',#59219,.T.); #37102=FACE_OUTER_BOUND('',#59220,.T.); #37103=FACE_OUTER_BOUND('',#59221,.T.); #37104=FACE_OUTER_BOUND('',#59222,.T.); #37105=FACE_OUTER_BOUND('',#59223,.T.); #37106=FACE_OUTER_BOUND('',#59224,.T.); #37107=FACE_OUTER_BOUND('',#59225,.T.); #37108=FACE_OUTER_BOUND('',#59226,.T.); #37109=FACE_OUTER_BOUND('',#59227,.T.); #37110=FACE_OUTER_BOUND('',#59228,.T.); #37111=FACE_OUTER_BOUND('',#59229,.T.); #37112=FACE_OUTER_BOUND('',#59230,.T.); #37113=FACE_OUTER_BOUND('',#59231,.T.); #37114=FACE_OUTER_BOUND('',#59232,.T.); #37115=FACE_OUTER_BOUND('',#59233,.T.); #37116=FACE_OUTER_BOUND('',#59234,.T.); #37117=FACE_OUTER_BOUND('',#59235,.T.); #37118=FACE_OUTER_BOUND('',#59236,.T.); #37119=FACE_OUTER_BOUND('',#59237,.T.); #37120=FACE_OUTER_BOUND('',#59238,.T.); #37121=FACE_OUTER_BOUND('',#59239,.T.); #37122=FACE_OUTER_BOUND('',#59240,.T.); #37123=FACE_OUTER_BOUND('',#59241,.T.); #37124=FACE_OUTER_BOUND('',#59242,.T.); #37125=FACE_OUTER_BOUND('',#59243,.T.); #37126=FACE_OUTER_BOUND('',#59244,.T.); #37127=FACE_OUTER_BOUND('',#59245,.T.); #37128=FACE_OUTER_BOUND('',#59246,.T.); #37129=FACE_OUTER_BOUND('',#59247,.T.); #37130=FACE_OUTER_BOUND('',#59248,.T.); #37131=FACE_OUTER_BOUND('',#59249,.T.); #37132=FACE_OUTER_BOUND('',#59250,.T.); #37133=FACE_OUTER_BOUND('',#59568,.T.); #37134=FACE_OUTER_BOUND('',#59886,.T.); #37135=FACE_OUTER_BOUND('',#59887,.T.); #37136=FACE_OUTER_BOUND('',#59888,.T.); #37137=FACE_OUTER_BOUND('',#59889,.T.); #37138=FACE_OUTER_BOUND('',#59890,.T.); #37139=FACE_OUTER_BOUND('',#59891,.T.); #37140=FACE_OUTER_BOUND('',#59892,.T.); #37141=FACE_OUTER_BOUND('',#59897,.T.); #37142=FACE_OUTER_BOUND('',#59899,.T.); #37143=FACE_OUTER_BOUND('',#59901,.T.); #37144=FACE_OUTER_BOUND('',#59903,.T.); #37145=FACE_OUTER_BOUND('',#59904,.T.); #37146=FACE_OUTER_BOUND('',#59905,.T.); #37147=FACE_OUTER_BOUND('',#59907,.T.); #37148=FACE_OUTER_BOUND('',#59908,.T.); #37149=FACE_OUTER_BOUND('',#59909,.T.); #37150=FACE_OUTER_BOUND('',#59910,.T.); #37151=FACE_OUTER_BOUND('',#59911,.T.); #37152=FACE_OUTER_BOUND('',#59912,.T.); #37153=FACE_OUTER_BOUND('',#59913,.T.); #37154=FACE_OUTER_BOUND('',#59914,.T.); #37155=FACE_OUTER_BOUND('',#59915,.T.); #37156=FACE_OUTER_BOUND('',#59916,.T.); #37157=FACE_OUTER_BOUND('',#59917,.T.); #37158=FACE_OUTER_BOUND('',#59918,.T.); #37159=FACE_OUTER_BOUND('',#59919,.T.); #37160=FACE_OUTER_BOUND('',#59920,.T.); #37161=FACE_OUTER_BOUND('',#59921,.T.); #37162=FACE_OUTER_BOUND('',#59922,.T.); #37163=FACE_OUTER_BOUND('',#59923,.T.); #37164=FACE_OUTER_BOUND('',#59924,.T.); #37165=FACE_OUTER_BOUND('',#59925,.T.); #37166=FACE_OUTER_BOUND('',#59926,.T.); #37167=FACE_OUTER_BOUND('',#59927,.T.); #37168=FACE_OUTER_BOUND('',#59928,.T.); #37169=FACE_OUTER_BOUND('',#59929,.T.); #37170=FACE_OUTER_BOUND('',#59930,.T.); #37171=FACE_OUTER_BOUND('',#59931,.T.); #37172=FACE_OUTER_BOUND('',#59932,.T.); #37173=FACE_OUTER_BOUND('',#59933,.T.); #37174=FACE_OUTER_BOUND('',#59934,.T.); #37175=FACE_OUTER_BOUND('',#59935,.T.); #37176=FACE_OUTER_BOUND('',#59936,.T.); #37177=FACE_OUTER_BOUND('',#59937,.T.); #37178=FACE_OUTER_BOUND('',#59938,.T.); #37179=FACE_OUTER_BOUND('',#59939,.T.); #37180=FACE_OUTER_BOUND('',#59940,.T.); #37181=FACE_OUTER_BOUND('',#59941,.T.); #37182=FACE_OUTER_BOUND('',#59942,.T.); #37183=FACE_OUTER_BOUND('',#59943,.T.); #37184=FACE_OUTER_BOUND('',#59944,.T.); #37185=FACE_OUTER_BOUND('',#59945,.T.); #37186=FACE_OUTER_BOUND('',#59946,.T.); #37187=FACE_OUTER_BOUND('',#59947,.T.); #37188=FACE_OUTER_BOUND('',#59948,.T.); #37189=FACE_OUTER_BOUND('',#59949,.T.); #37190=FACE_OUTER_BOUND('',#59950,.T.); #37191=FACE_OUTER_BOUND('',#59951,.T.); #37192=FACE_OUTER_BOUND('',#59952,.T.); #37193=FACE_OUTER_BOUND('',#59953,.T.); #37194=FACE_OUTER_BOUND('',#59954,.T.); #37195=FACE_OUTER_BOUND('',#59955,.T.); #37196=FACE_OUTER_BOUND('',#59956,.T.); #37197=FACE_OUTER_BOUND('',#59957,.T.); #37198=FACE_OUTER_BOUND('',#59958,.T.); #37199=FACE_OUTER_BOUND('',#59959,.T.); #37200=FACE_OUTER_BOUND('',#59960,.T.); #37201=FACE_OUTER_BOUND('',#59961,.T.); #37202=FACE_OUTER_BOUND('',#59962,.T.); #37203=FACE_OUTER_BOUND('',#59963,.T.); #37204=FACE_OUTER_BOUND('',#59964,.T.); #37205=FACE_OUTER_BOUND('',#59965,.T.); #37206=FACE_OUTER_BOUND('',#59966,.T.); #37207=FACE_OUTER_BOUND('',#59967,.T.); #37208=FACE_OUTER_BOUND('',#59968,.T.); #37209=FACE_OUTER_BOUND('',#59969,.T.); #37210=FACE_OUTER_BOUND('',#59970,.T.); #37211=FACE_OUTER_BOUND('',#59971,.T.); #37212=FACE_OUTER_BOUND('',#59972,.T.); #37213=FACE_OUTER_BOUND('',#59973,.T.); #37214=FACE_OUTER_BOUND('',#59974,.T.); #37215=FACE_OUTER_BOUND('',#59975,.T.); #37216=FACE_OUTER_BOUND('',#59976,.T.); #37217=FACE_OUTER_BOUND('',#59977,.T.); #37218=FACE_OUTER_BOUND('',#59978,.T.); #37219=FACE_OUTER_BOUND('',#59979,.T.); #37220=FACE_OUTER_BOUND('',#59980,.T.); #37221=FACE_OUTER_BOUND('',#59981,.T.); #37222=FACE_OUTER_BOUND('',#59982,.T.); #37223=FACE_OUTER_BOUND('',#59983,.T.); #37224=FACE_OUTER_BOUND('',#59984,.T.); #37225=FACE_OUTER_BOUND('',#59985,.T.); #37226=FACE_OUTER_BOUND('',#59986,.T.); #37227=FACE_OUTER_BOUND('',#59987,.T.); #37228=FACE_OUTER_BOUND('',#59988,.T.); #37229=FACE_OUTER_BOUND('',#59989,.T.); #37230=FACE_OUTER_BOUND('',#59990,.T.); #37231=FACE_OUTER_BOUND('',#59991,.T.); #37232=FACE_OUTER_BOUND('',#59992,.T.); #37233=FACE_OUTER_BOUND('',#59993,.T.); #37234=FACE_OUTER_BOUND('',#59994,.T.); #37235=FACE_OUTER_BOUND('',#59995,.T.); #37236=FACE_OUTER_BOUND('',#59996,.T.); #37237=FACE_OUTER_BOUND('',#59997,.T.); #37238=FACE_OUTER_BOUND('',#59998,.T.); #37239=FACE_OUTER_BOUND('',#59999,.T.); #37240=FACE_OUTER_BOUND('',#60000,.T.); #37241=FACE_OUTER_BOUND('',#60001,.T.); #37242=FACE_OUTER_BOUND('',#60002,.T.); #37243=FACE_OUTER_BOUND('',#60003,.T.); #37244=FACE_OUTER_BOUND('',#60004,.T.); #37245=FACE_OUTER_BOUND('',#60005,.T.); #37246=FACE_OUTER_BOUND('',#60006,.T.); #37247=FACE_OUTER_BOUND('',#60007,.T.); #37248=FACE_OUTER_BOUND('',#60008,.T.); #37249=FACE_OUTER_BOUND('',#60009,.T.); #37250=FACE_OUTER_BOUND('',#60010,.T.); #37251=FACE_OUTER_BOUND('',#60011,.T.); #37252=FACE_OUTER_BOUND('',#60012,.T.); #37253=FACE_OUTER_BOUND('',#60013,.T.); #37254=FACE_OUTER_BOUND('',#60014,.T.); #37255=FACE_OUTER_BOUND('',#60015,.T.); #37256=FACE_OUTER_BOUND('',#60016,.T.); #37257=FACE_OUTER_BOUND('',#60017,.T.); #37258=FACE_OUTER_BOUND('',#60018,.T.); #37259=FACE_OUTER_BOUND('',#60019,.T.); #37260=FACE_OUTER_BOUND('',#60020,.T.); #37261=FACE_OUTER_BOUND('',#60021,.T.); #37262=FACE_OUTER_BOUND('',#60022,.T.); #37263=FACE_OUTER_BOUND('',#60023,.T.); #37264=FACE_OUTER_BOUND('',#60024,.T.); #37265=FACE_OUTER_BOUND('',#60025,.T.); #37266=FACE_OUTER_BOUND('',#60026,.T.); #37267=FACE_OUTER_BOUND('',#60027,.T.); #37268=FACE_OUTER_BOUND('',#60028,.T.); #37269=FACE_OUTER_BOUND('',#60029,.T.); #37270=FACE_OUTER_BOUND('',#60030,.T.); #37271=FACE_OUTER_BOUND('',#60031,.T.); #37272=FACE_OUTER_BOUND('',#60032,.T.); #37273=FACE_OUTER_BOUND('',#60033,.T.); #37274=FACE_OUTER_BOUND('',#60034,.T.); #37275=FACE_OUTER_BOUND('',#60035,.T.); #37276=FACE_OUTER_BOUND('',#60036,.T.); #37277=FACE_OUTER_BOUND('',#60037,.T.); #37278=FACE_OUTER_BOUND('',#60038,.T.); #37279=FACE_OUTER_BOUND('',#60039,.T.); #37280=FACE_OUTER_BOUND('',#60040,.T.); #37281=FACE_OUTER_BOUND('',#60041,.T.); #37282=FACE_OUTER_BOUND('',#60042,.T.); #37283=FACE_OUTER_BOUND('',#60043,.T.); #37284=FACE_OUTER_BOUND('',#60044,.T.); #37285=FACE_OUTER_BOUND('',#60045,.T.); #37286=FACE_OUTER_BOUND('',#60046,.T.); #37287=FACE_OUTER_BOUND('',#60047,.T.); #37288=FACE_OUTER_BOUND('',#60048,.T.); #37289=FACE_OUTER_BOUND('',#60049,.T.); #37290=FACE_OUTER_BOUND('',#60050,.T.); #37291=FACE_OUTER_BOUND('',#60051,.T.); #37292=FACE_OUTER_BOUND('',#60052,.T.); #37293=FACE_OUTER_BOUND('',#60053,.T.); #37294=FACE_OUTER_BOUND('',#60054,.T.); #37295=FACE_OUTER_BOUND('',#60055,.T.); #37296=FACE_OUTER_BOUND('',#60056,.T.); #37297=FACE_OUTER_BOUND('',#60057,.T.); #37298=FACE_OUTER_BOUND('',#60058,.T.); #37299=FACE_OUTER_BOUND('',#60059,.T.); #37300=FACE_OUTER_BOUND('',#60060,.T.); #37301=FACE_OUTER_BOUND('',#60061,.T.); #37302=FACE_OUTER_BOUND('',#60062,.T.); #37303=FACE_OUTER_BOUND('',#60063,.T.); #37304=FACE_OUTER_BOUND('',#60064,.T.); #37305=FACE_OUTER_BOUND('',#60065,.T.); #37306=FACE_OUTER_BOUND('',#60066,.T.); #37307=FACE_OUTER_BOUND('',#60067,.T.); #37308=FACE_OUTER_BOUND('',#60068,.T.); #37309=FACE_OUTER_BOUND('',#60069,.T.); #37310=FACE_OUTER_BOUND('',#60070,.T.); #37311=FACE_OUTER_BOUND('',#60071,.T.); #37312=FACE_OUTER_BOUND('',#60072,.T.); #37313=FACE_OUTER_BOUND('',#60073,.T.); #37314=FACE_OUTER_BOUND('',#60074,.T.); #37315=FACE_OUTER_BOUND('',#60075,.T.); #37316=FACE_OUTER_BOUND('',#60076,.T.); #37317=FACE_OUTER_BOUND('',#60077,.T.); #37318=FACE_OUTER_BOUND('',#60078,.T.); #37319=FACE_OUTER_BOUND('',#60079,.T.); #37320=FACE_OUTER_BOUND('',#60080,.T.); #37321=FACE_OUTER_BOUND('',#60081,.T.); #37322=FACE_OUTER_BOUND('',#60082,.T.); #37323=FACE_OUTER_BOUND('',#60083,.T.); #37324=FACE_OUTER_BOUND('',#60084,.T.); #37325=FACE_OUTER_BOUND('',#60085,.T.); #37326=FACE_OUTER_BOUND('',#60086,.T.); #37327=FACE_OUTER_BOUND('',#60087,.T.); #37328=FACE_OUTER_BOUND('',#60088,.T.); #37329=FACE_OUTER_BOUND('',#60089,.T.); #37330=FACE_OUTER_BOUND('',#60090,.T.); #37331=FACE_OUTER_BOUND('',#60091,.T.); #37332=FACE_OUTER_BOUND('',#60092,.T.); #37333=FACE_OUTER_BOUND('',#60093,.T.); #37334=FACE_OUTER_BOUND('',#60094,.T.); #37335=FACE_OUTER_BOUND('',#60095,.T.); #37336=FACE_OUTER_BOUND('',#60096,.T.); #37337=FACE_OUTER_BOUND('',#60097,.T.); #37338=FACE_OUTER_BOUND('',#60098,.T.); #37339=FACE_OUTER_BOUND('',#60099,.T.); #37340=FACE_OUTER_BOUND('',#60100,.T.); #37341=FACE_OUTER_BOUND('',#60101,.T.); #37342=FACE_OUTER_BOUND('',#60102,.T.); #37343=FACE_OUTER_BOUND('',#60103,.T.); #37344=FACE_OUTER_BOUND('',#60104,.T.); #37345=FACE_OUTER_BOUND('',#60105,.T.); #37346=FACE_OUTER_BOUND('',#60106,.T.); #37347=FACE_OUTER_BOUND('',#60107,.T.); #37348=FACE_OUTER_BOUND('',#60108,.T.); #37349=FACE_OUTER_BOUND('',#60109,.T.); #37350=FACE_OUTER_BOUND('',#60110,.T.); #37351=FACE_OUTER_BOUND('',#60111,.T.); #37352=FACE_OUTER_BOUND('',#60112,.T.); #37353=FACE_OUTER_BOUND('',#60113,.T.); #37354=FACE_OUTER_BOUND('',#60114,.T.); #37355=FACE_OUTER_BOUND('',#60115,.T.); #37356=FACE_OUTER_BOUND('',#60116,.T.); #37357=FACE_OUTER_BOUND('',#60117,.T.); #37358=FACE_OUTER_BOUND('',#60118,.T.); #37359=FACE_OUTER_BOUND('',#60119,.T.); #37360=FACE_OUTER_BOUND('',#60120,.T.); #37361=FACE_OUTER_BOUND('',#60121,.T.); #37362=FACE_OUTER_BOUND('',#60122,.T.); #37363=FACE_OUTER_BOUND('',#60123,.T.); #37364=FACE_OUTER_BOUND('',#60124,.T.); #37365=FACE_OUTER_BOUND('',#60125,.T.); #37366=FACE_OUTER_BOUND('',#60126,.T.); #37367=FACE_OUTER_BOUND('',#60127,.T.); #37368=FACE_OUTER_BOUND('',#60128,.T.); #37369=FACE_OUTER_BOUND('',#60129,.T.); #37370=FACE_OUTER_BOUND('',#60130,.T.); #37371=FACE_OUTER_BOUND('',#60131,.T.); #37372=FACE_OUTER_BOUND('',#60132,.T.); #37373=FACE_OUTER_BOUND('',#60133,.T.); #37374=FACE_OUTER_BOUND('',#60134,.T.); #37375=FACE_OUTER_BOUND('',#60135,.T.); #37376=FACE_OUTER_BOUND('',#60136,.T.); #37377=FACE_OUTER_BOUND('',#60137,.T.); #37378=FACE_OUTER_BOUND('',#60138,.T.); #37379=FACE_OUTER_BOUND('',#60139,.T.); #37380=FACE_OUTER_BOUND('',#60140,.T.); #37381=FACE_OUTER_BOUND('',#60141,.T.); #37382=FACE_OUTER_BOUND('',#60142,.T.); #37383=FACE_OUTER_BOUND('',#60143,.T.); #37384=FACE_OUTER_BOUND('',#60144,.T.); #37385=FACE_OUTER_BOUND('',#60145,.T.); #37386=FACE_OUTER_BOUND('',#60146,.T.); #37387=FACE_OUTER_BOUND('',#60147,.T.); #37388=FACE_OUTER_BOUND('',#60148,.T.); #37389=FACE_OUTER_BOUND('',#60149,.T.); #37390=FACE_OUTER_BOUND('',#60150,.T.); #37391=FACE_OUTER_BOUND('',#60151,.T.); #37392=FACE_OUTER_BOUND('',#60152,.T.); #37393=FACE_OUTER_BOUND('',#60153,.T.); #37394=FACE_OUTER_BOUND('',#60154,.T.); #37395=FACE_OUTER_BOUND('',#60155,.T.); #37396=FACE_OUTER_BOUND('',#60156,.T.); #37397=FACE_OUTER_BOUND('',#60157,.T.); #37398=FACE_OUTER_BOUND('',#60158,.T.); #37399=FACE_OUTER_BOUND('',#60159,.T.); #37400=FACE_OUTER_BOUND('',#60160,.T.); #37401=FACE_OUTER_BOUND('',#60161,.T.); #37402=FACE_OUTER_BOUND('',#60162,.T.); #37403=FACE_OUTER_BOUND('',#60163,.T.); #37404=FACE_OUTER_BOUND('',#60164,.T.); #37405=FACE_OUTER_BOUND('',#60165,.T.); #37406=FACE_OUTER_BOUND('',#60166,.T.); #37407=FACE_OUTER_BOUND('',#60167,.T.); #37408=FACE_OUTER_BOUND('',#60168,.T.); #37409=FACE_OUTER_BOUND('',#60169,.T.); #37410=FACE_OUTER_BOUND('',#60170,.T.); #37411=FACE_OUTER_BOUND('',#60172,.T.); #37412=FACE_OUTER_BOUND('',#60173,.T.); #37413=FACE_OUTER_BOUND('',#60174,.T.); #37414=FACE_OUTER_BOUND('',#60175,.T.); #37415=FACE_OUTER_BOUND('',#60176,.T.); #37416=FACE_OUTER_BOUND('',#60177,.T.); #37417=FACE_OUTER_BOUND('',#60178,.T.); #37418=FACE_OUTER_BOUND('',#60179,.T.); #37419=FACE_OUTER_BOUND('',#60180,.T.); #37420=FACE_OUTER_BOUND('',#60181,.T.); #37421=FACE_OUTER_BOUND('',#60182,.T.); #37422=FACE_OUTER_BOUND('',#60183,.T.); #37423=FACE_OUTER_BOUND('',#60184,.T.); #37424=FACE_OUTER_BOUND('',#60185,.T.); #37425=FACE_OUTER_BOUND('',#60186,.T.); #37426=FACE_OUTER_BOUND('',#60187,.T.); #37427=FACE_OUTER_BOUND('',#60188,.T.); #37428=FACE_OUTER_BOUND('',#60189,.T.); #37429=FACE_OUTER_BOUND('',#60190,.T.); #37430=FACE_OUTER_BOUND('',#60191,.T.); #37431=FACE_OUTER_BOUND('',#60192,.T.); #37432=FACE_OUTER_BOUND('',#60193,.T.); #37433=FACE_OUTER_BOUND('',#60194,.T.); #37434=FACE_OUTER_BOUND('',#60195,.T.); #37435=FACE_OUTER_BOUND('',#60196,.T.); #37436=FACE_OUTER_BOUND('',#60197,.T.); #37437=FACE_OUTER_BOUND('',#60198,.T.); #37438=FACE_OUTER_BOUND('',#60199,.T.); #37439=FACE_OUTER_BOUND('',#60200,.T.); #37440=FACE_OUTER_BOUND('',#60201,.T.); #37441=FACE_OUTER_BOUND('',#60202,.T.); #37442=FACE_OUTER_BOUND('',#60203,.T.); #37443=FACE_OUTER_BOUND('',#60204,.T.); #37444=FACE_OUTER_BOUND('',#60205,.T.); #37445=FACE_OUTER_BOUND('',#60206,.T.); #37446=FACE_OUTER_BOUND('',#60207,.T.); #37447=FACE_OUTER_BOUND('',#60208,.T.); #37448=FACE_OUTER_BOUND('',#60209,.T.); #37449=FACE_OUTER_BOUND('',#60210,.T.); #37450=FACE_OUTER_BOUND('',#60211,.T.); #37451=FACE_OUTER_BOUND('',#60212,.T.); #37452=FACE_OUTER_BOUND('',#60213,.T.); #37453=FACE_OUTER_BOUND('',#60214,.T.); #37454=FACE_OUTER_BOUND('',#60215,.T.); #37455=FACE_OUTER_BOUND('',#60216,.T.); #37456=FACE_OUTER_BOUND('',#60217,.T.); #37457=FACE_OUTER_BOUND('',#60218,.T.); #37458=FACE_OUTER_BOUND('',#60219,.T.); #37459=FACE_OUTER_BOUND('',#60220,.T.); #37460=FACE_OUTER_BOUND('',#60221,.T.); #37461=FACE_OUTER_BOUND('',#60222,.T.); #37462=FACE_OUTER_BOUND('',#60223,.T.); #37463=FACE_OUTER_BOUND('',#60224,.T.); #37464=FACE_OUTER_BOUND('',#60225,.T.); #37465=FACE_OUTER_BOUND('',#60226,.T.); #37466=FACE_OUTER_BOUND('',#60229,.T.); #37467=FACE_OUTER_BOUND('',#60230,.T.); #37468=FACE_OUTER_BOUND('',#60231,.T.); #37469=FACE_OUTER_BOUND('',#60232,.T.); #37470=FACE_OUTER_BOUND('',#60233,.T.); #37471=FACE_OUTER_BOUND('',#60234,.T.); #37472=FACE_OUTER_BOUND('',#60235,.T.); #37473=FACE_OUTER_BOUND('',#60236,.T.); #37474=FACE_OUTER_BOUND('',#60237,.T.); #37475=FACE_OUTER_BOUND('',#60238,.T.); #37476=FACE_OUTER_BOUND('',#60239,.T.); #37477=FACE_OUTER_BOUND('',#60240,.T.); #37478=FACE_OUTER_BOUND('',#60241,.T.); #37479=FACE_OUTER_BOUND('',#60242,.T.); #37480=FACE_OUTER_BOUND('',#60243,.T.); #37481=FACE_OUTER_BOUND('',#60244,.T.); #37482=FACE_OUTER_BOUND('',#60245,.T.); #37483=FACE_OUTER_BOUND('',#60246,.T.); #37484=FACE_OUTER_BOUND('',#60247,.T.); #37485=FACE_OUTER_BOUND('',#60248,.T.); #37486=FACE_OUTER_BOUND('',#60249,.T.); #37487=FACE_OUTER_BOUND('',#60250,.T.); #37488=FACE_OUTER_BOUND('',#60251,.T.); #37489=FACE_OUTER_BOUND('',#60252,.T.); #37490=FACE_OUTER_BOUND('',#60253,.T.); #37491=FACE_OUTER_BOUND('',#60254,.T.); #37492=FACE_OUTER_BOUND('',#60255,.T.); #37493=FACE_OUTER_BOUND('',#60256,.T.); #37494=FACE_OUTER_BOUND('',#60257,.T.); #37495=FACE_OUTER_BOUND('',#60258,.T.); #37496=FACE_OUTER_BOUND('',#60259,.T.); #37497=FACE_OUTER_BOUND('',#60260,.T.); #37498=FACE_OUTER_BOUND('',#60261,.T.); #37499=FACE_OUTER_BOUND('',#60262,.T.); #37500=FACE_OUTER_BOUND('',#60263,.T.); #37501=FACE_OUTER_BOUND('',#60264,.T.); #37502=FACE_OUTER_BOUND('',#60265,.T.); #37503=FACE_OUTER_BOUND('',#60266,.T.); #37504=FACE_OUTER_BOUND('',#60267,.T.); #37505=FACE_OUTER_BOUND('',#60268,.T.); #37506=FACE_OUTER_BOUND('',#60269,.T.); #37507=FACE_OUTER_BOUND('',#60270,.T.); #37508=FACE_OUTER_BOUND('',#60271,.T.); #37509=FACE_OUTER_BOUND('',#60272,.T.); #37510=FACE_OUTER_BOUND('',#60273,.T.); #37511=FACE_OUTER_BOUND('',#60274,.T.); #37512=FACE_OUTER_BOUND('',#60275,.T.); #37513=FACE_OUTER_BOUND('',#60276,.T.); #37514=FACE_OUTER_BOUND('',#60277,.T.); #37515=FACE_OUTER_BOUND('',#60278,.T.); #37516=FACE_OUTER_BOUND('',#60279,.T.); #37517=FACE_OUTER_BOUND('',#60280,.T.); #37518=FACE_OUTER_BOUND('',#60281,.T.); #37519=FACE_OUTER_BOUND('',#60282,.T.); #37520=FACE_OUTER_BOUND('',#60283,.T.); #37521=FACE_OUTER_BOUND('',#60284,.T.); #37522=FACE_OUTER_BOUND('',#60285,.T.); #37523=FACE_OUTER_BOUND('',#60286,.T.); #37524=FACE_OUTER_BOUND('',#60287,.T.); #37525=FACE_OUTER_BOUND('',#60288,.T.); #37526=FACE_OUTER_BOUND('',#60289,.T.); #37527=FACE_OUTER_BOUND('',#60290,.T.); #37528=FACE_OUTER_BOUND('',#60291,.T.); #37529=FACE_OUTER_BOUND('',#60292,.T.); #37530=FACE_OUTER_BOUND('',#60293,.T.); #37531=FACE_OUTER_BOUND('',#60294,.T.); #37532=FACE_OUTER_BOUND('',#60295,.T.); #37533=FACE_OUTER_BOUND('',#60296,.T.); #37534=FACE_OUTER_BOUND('',#60297,.T.); #37535=FACE_OUTER_BOUND('',#60298,.T.); #37536=FACE_OUTER_BOUND('',#60299,.T.); #37537=FACE_OUTER_BOUND('',#60300,.T.); #37538=FACE_OUTER_BOUND('',#60301,.T.); #37539=FACE_OUTER_BOUND('',#60302,.T.); #37540=FACE_OUTER_BOUND('',#60303,.T.); #37541=FACE_OUTER_BOUND('',#60304,.T.); #37542=FACE_OUTER_BOUND('',#60305,.T.); #37543=FACE_OUTER_BOUND('',#60306,.T.); #37544=FACE_OUTER_BOUND('',#60307,.T.); #37545=FACE_OUTER_BOUND('',#60308,.T.); #37546=FACE_OUTER_BOUND('',#60309,.T.); #37547=FACE_OUTER_BOUND('',#60310,.T.); #37548=FACE_OUTER_BOUND('',#60311,.T.); #37549=FACE_OUTER_BOUND('',#60312,.T.); #37550=FACE_OUTER_BOUND('',#60313,.T.); #37551=FACE_OUTER_BOUND('',#60314,.T.); #37552=FACE_OUTER_BOUND('',#60315,.T.); #37553=FACE_OUTER_BOUND('',#60316,.T.); #37554=FACE_OUTER_BOUND('',#60317,.T.); #37555=FACE_OUTER_BOUND('',#60318,.T.); #37556=FACE_OUTER_BOUND('',#60319,.T.); #37557=FACE_OUTER_BOUND('',#60320,.T.); #37558=FACE_OUTER_BOUND('',#60321,.T.); #37559=FACE_OUTER_BOUND('',#60322,.T.); #37560=FACE_OUTER_BOUND('',#60323,.T.); #37561=FACE_OUTER_BOUND('',#60324,.T.); #37562=FACE_OUTER_BOUND('',#60325,.T.); #37563=FACE_OUTER_BOUND('',#60326,.T.); #37564=FACE_OUTER_BOUND('',#60327,.T.); #37565=FACE_OUTER_BOUND('',#60328,.T.); #37566=FACE_OUTER_BOUND('',#60329,.T.); #37567=FACE_OUTER_BOUND('',#60330,.T.); #37568=FACE_OUTER_BOUND('',#60331,.T.); #37569=FACE_OUTER_BOUND('',#60332,.T.); #37570=FACE_OUTER_BOUND('',#60333,.T.); #37571=FACE_OUTER_BOUND('',#60334,.T.); #37572=FACE_OUTER_BOUND('',#60335,.T.); #37573=FACE_OUTER_BOUND('',#60336,.T.); #37574=FACE_OUTER_BOUND('',#60337,.T.); #37575=FACE_OUTER_BOUND('',#60338,.T.); #37576=FACE_OUTER_BOUND('',#60339,.T.); #37577=FACE_OUTER_BOUND('',#60340,.T.); #37578=FACE_OUTER_BOUND('',#60341,.T.); #37579=FACE_OUTER_BOUND('',#60342,.T.); #37580=FACE_OUTER_BOUND('',#60343,.T.); #37581=FACE_OUTER_BOUND('',#60344,.T.); #37582=FACE_OUTER_BOUND('',#60345,.T.); #37583=FACE_OUTER_BOUND('',#60346,.T.); #37584=FACE_OUTER_BOUND('',#60347,.T.); #37585=FACE_OUTER_BOUND('',#60348,.T.); #37586=FACE_OUTER_BOUND('',#60349,.T.); #37587=FACE_OUTER_BOUND('',#60350,.T.); #37588=FACE_OUTER_BOUND('',#60351,.T.); #37589=FACE_OUTER_BOUND('',#60352,.T.); #37590=FACE_OUTER_BOUND('',#60353,.T.); #37591=FACE_OUTER_BOUND('',#60354,.T.); #37592=FACE_OUTER_BOUND('',#60355,.T.); #37593=FACE_OUTER_BOUND('',#60356,.T.); #37594=FACE_OUTER_BOUND('',#60357,.T.); #37595=FACE_OUTER_BOUND('',#60358,.T.); #37596=FACE_OUTER_BOUND('',#60359,.T.); #37597=FACE_OUTER_BOUND('',#60360,.T.); #37598=FACE_OUTER_BOUND('',#60361,.T.); #37599=FACE_OUTER_BOUND('',#60362,.T.); #37600=FACE_OUTER_BOUND('',#60363,.T.); #37601=FACE_OUTER_BOUND('',#60364,.T.); #37602=FACE_OUTER_BOUND('',#60365,.T.); #37603=FACE_OUTER_BOUND('',#60366,.T.); #37604=FACE_OUTER_BOUND('',#60367,.T.); #37605=FACE_OUTER_BOUND('',#60368,.T.); #37606=FACE_OUTER_BOUND('',#60369,.T.); #37607=FACE_OUTER_BOUND('',#60370,.T.); #37608=FACE_OUTER_BOUND('',#60371,.T.); #37609=FACE_OUTER_BOUND('',#60372,.T.); #37610=FACE_OUTER_BOUND('',#60373,.T.); #37611=FACE_OUTER_BOUND('',#60374,.T.); #37612=FACE_OUTER_BOUND('',#60375,.T.); #37613=FACE_OUTER_BOUND('',#60376,.T.); #37614=FACE_OUTER_BOUND('',#60377,.T.); #37615=FACE_OUTER_BOUND('',#60378,.T.); #37616=FACE_OUTER_BOUND('',#60379,.T.); #37617=FACE_OUTER_BOUND('',#60380,.T.); #37618=FACE_OUTER_BOUND('',#60381,.T.); #37619=FACE_OUTER_BOUND('',#60382,.T.); #37620=FACE_OUTER_BOUND('',#60383,.T.); #37621=FACE_OUTER_BOUND('',#60384,.T.); #37622=FACE_OUTER_BOUND('',#60385,.T.); #37623=FACE_OUTER_BOUND('',#60386,.T.); #37624=FACE_OUTER_BOUND('',#60387,.T.); #37625=FACE_OUTER_BOUND('',#60388,.T.); #37626=FACE_OUTER_BOUND('',#60389,.T.); #37627=FACE_OUTER_BOUND('',#60390,.T.); #37628=FACE_OUTER_BOUND('',#60391,.T.); #37629=FACE_OUTER_BOUND('',#60392,.T.); #37630=FACE_OUTER_BOUND('',#60393,.T.); #37631=FACE_OUTER_BOUND('',#60394,.T.); #37632=FACE_OUTER_BOUND('',#60395,.T.); #37633=FACE_OUTER_BOUND('',#60396,.T.); #37634=FACE_OUTER_BOUND('',#60397,.T.); #37635=FACE_OUTER_BOUND('',#60398,.T.); #37636=FACE_OUTER_BOUND('',#60399,.T.); #37637=FACE_OUTER_BOUND('',#60400,.T.); #37638=FACE_OUTER_BOUND('',#60401,.T.); #37639=FACE_OUTER_BOUND('',#60402,.T.); #37640=FACE_OUTER_BOUND('',#60403,.T.); #37641=FACE_OUTER_BOUND('',#60404,.T.); #37642=FACE_OUTER_BOUND('',#60405,.T.); #37643=FACE_OUTER_BOUND('',#60406,.T.); #37644=FACE_OUTER_BOUND('',#60407,.T.); #37645=FACE_OUTER_BOUND('',#60408,.T.); #37646=FACE_OUTER_BOUND('',#60409,.T.); #37647=FACE_OUTER_BOUND('',#60410,.T.); #37648=FACE_OUTER_BOUND('',#60411,.T.); #37649=FACE_OUTER_BOUND('',#60412,.T.); #37650=FACE_OUTER_BOUND('',#60413,.T.); #37651=FACE_OUTER_BOUND('',#60414,.T.); #37652=FACE_OUTER_BOUND('',#60415,.T.); #37653=FACE_OUTER_BOUND('',#60416,.T.); #37654=FACE_OUTER_BOUND('',#60417,.T.); #37655=FACE_OUTER_BOUND('',#60418,.T.); #37656=FACE_OUTER_BOUND('',#60419,.T.); #37657=FACE_OUTER_BOUND('',#60420,.T.); #37658=FACE_OUTER_BOUND('',#60421,.T.); #37659=FACE_OUTER_BOUND('',#60422,.T.); #37660=FACE_OUTER_BOUND('',#60423,.T.); #37661=FACE_OUTER_BOUND('',#60424,.T.); #37662=FACE_OUTER_BOUND('',#60425,.T.); #37663=FACE_OUTER_BOUND('',#60426,.T.); #37664=FACE_OUTER_BOUND('',#60427,.T.); #37665=FACE_OUTER_BOUND('',#60428,.T.); #37666=FACE_OUTER_BOUND('',#60429,.T.); #37667=FACE_OUTER_BOUND('',#60430,.T.); #37668=FACE_OUTER_BOUND('',#60431,.T.); #37669=FACE_OUTER_BOUND('',#60432,.T.); #37670=FACE_OUTER_BOUND('',#60433,.T.); #37671=FACE_OUTER_BOUND('',#60434,.T.); #37672=FACE_OUTER_BOUND('',#60435,.T.); #37673=FACE_OUTER_BOUND('',#60436,.T.); #37674=FACE_OUTER_BOUND('',#60437,.T.); #37675=FACE_OUTER_BOUND('',#60438,.T.); #37676=FACE_OUTER_BOUND('',#60439,.T.); #37677=FACE_OUTER_BOUND('',#60440,.T.); #37678=FACE_OUTER_BOUND('',#60441,.T.); #37679=FACE_OUTER_BOUND('',#60442,.T.); #37680=FACE_OUTER_BOUND('',#60443,.T.); #37681=FACE_OUTER_BOUND('',#60444,.T.); #37682=FACE_OUTER_BOUND('',#60445,.T.); #37683=FACE_OUTER_BOUND('',#60446,.T.); #37684=FACE_OUTER_BOUND('',#60447,.T.); #37685=FACE_OUTER_BOUND('',#60448,.T.); #37686=FACE_OUTER_BOUND('',#60449,.T.); #37687=FACE_OUTER_BOUND('',#60450,.T.); #37688=FACE_OUTER_BOUND('',#60451,.T.); #37689=FACE_OUTER_BOUND('',#60452,.T.); #37690=FACE_OUTER_BOUND('',#60453,.T.); #37691=FACE_OUTER_BOUND('',#60454,.T.); #37692=FACE_OUTER_BOUND('',#60455,.T.); #37693=FACE_OUTER_BOUND('',#60456,.T.); #37694=FACE_OUTER_BOUND('',#60457,.T.); #37695=FACE_OUTER_BOUND('',#60458,.T.); #37696=FACE_OUTER_BOUND('',#60459,.T.); #37697=FACE_OUTER_BOUND('',#60460,.T.); #37698=FACE_OUTER_BOUND('',#60461,.T.); #37699=FACE_OUTER_BOUND('',#60462,.T.); #37700=FACE_OUTER_BOUND('',#60463,.T.); #37701=FACE_OUTER_BOUND('',#60464,.T.); #37702=FACE_OUTER_BOUND('',#60465,.T.); #37703=FACE_OUTER_BOUND('',#60466,.T.); #37704=FACE_OUTER_BOUND('',#60467,.T.); #37705=FACE_OUTER_BOUND('',#60468,.T.); #37706=FACE_OUTER_BOUND('',#60469,.T.); #37707=FACE_OUTER_BOUND('',#60470,.T.); #37708=FACE_OUTER_BOUND('',#60471,.T.); #37709=FACE_OUTER_BOUND('',#60472,.T.); #37710=FACE_OUTER_BOUND('',#60473,.T.); #37711=FACE_OUTER_BOUND('',#60474,.T.); #37712=FACE_OUTER_BOUND('',#60475,.T.); #37713=FACE_OUTER_BOUND('',#60476,.T.); #37714=FACE_OUTER_BOUND('',#60477,.T.); #37715=FACE_OUTER_BOUND('',#60478,.T.); #37716=FACE_OUTER_BOUND('',#60479,.T.); #37717=FACE_OUTER_BOUND('',#60480,.T.); #37718=FACE_OUTER_BOUND('',#60481,.T.); #37719=FACE_OUTER_BOUND('',#60482,.T.); #37720=FACE_OUTER_BOUND('',#60483,.T.); #37721=FACE_OUTER_BOUND('',#60484,.T.); #37722=FACE_OUTER_BOUND('',#60485,.T.); #37723=FACE_OUTER_BOUND('',#60486,.T.); #37724=FACE_OUTER_BOUND('',#60487,.T.); #37725=FACE_OUTER_BOUND('',#60488,.T.); #37726=FACE_OUTER_BOUND('',#60489,.T.); #37727=FACE_OUTER_BOUND('',#60490,.T.); #37728=FACE_OUTER_BOUND('',#60491,.T.); #37729=FACE_OUTER_BOUND('',#60492,.T.); #37730=FACE_OUTER_BOUND('',#60493,.T.); #37731=FACE_OUTER_BOUND('',#60494,.T.); #37732=FACE_OUTER_BOUND('',#60495,.T.); #37733=FACE_OUTER_BOUND('',#60496,.T.); #37734=FACE_OUTER_BOUND('',#60497,.T.); #37735=FACE_OUTER_BOUND('',#60498,.T.); #37736=FACE_OUTER_BOUND('',#60499,.T.); #37737=FACE_OUTER_BOUND('',#60500,.T.); #37738=FACE_OUTER_BOUND('',#60501,.T.); #37739=FACE_OUTER_BOUND('',#60502,.T.); #37740=FACE_OUTER_BOUND('',#60503,.T.); #37741=FACE_OUTER_BOUND('',#60504,.T.); #37742=FACE_OUTER_BOUND('',#60505,.T.); #37743=FACE_OUTER_BOUND('',#60506,.T.); #37744=FACE_OUTER_BOUND('',#60507,.T.); #37745=FACE_OUTER_BOUND('',#60508,.T.); #37746=FACE_OUTER_BOUND('',#60509,.T.); #37747=FACE_OUTER_BOUND('',#60510,.T.); #37748=FACE_OUTER_BOUND('',#60511,.T.); #37749=FACE_OUTER_BOUND('',#60512,.T.); #37750=FACE_OUTER_BOUND('',#60513,.T.); #37751=FACE_OUTER_BOUND('',#60514,.T.); #37752=FACE_OUTER_BOUND('',#60515,.T.); #37753=FACE_OUTER_BOUND('',#60516,.T.); #37754=FACE_OUTER_BOUND('',#60517,.T.); #37755=FACE_OUTER_BOUND('',#60518,.T.); #37756=FACE_OUTER_BOUND('',#60519,.T.); #37757=FACE_OUTER_BOUND('',#60520,.T.); #37758=FACE_OUTER_BOUND('',#60521,.T.); #37759=FACE_OUTER_BOUND('',#60522,.T.); #37760=FACE_OUTER_BOUND('',#60523,.T.); #37761=FACE_OUTER_BOUND('',#60524,.T.); #37762=FACE_OUTER_BOUND('',#60525,.T.); #37763=FACE_OUTER_BOUND('',#60526,.T.); #37764=FACE_OUTER_BOUND('',#60527,.T.); #37765=FACE_OUTER_BOUND('',#60528,.T.); #37766=FACE_OUTER_BOUND('',#60529,.T.); #37767=FACE_OUTER_BOUND('',#60530,.T.); #37768=FACE_OUTER_BOUND('',#60531,.T.); #37769=FACE_OUTER_BOUND('',#60532,.T.); #37770=FACE_OUTER_BOUND('',#60533,.T.); #37771=FACE_OUTER_BOUND('',#60534,.T.); #37772=FACE_OUTER_BOUND('',#60535,.T.); #37773=FACE_OUTER_BOUND('',#60536,.T.); #37774=FACE_OUTER_BOUND('',#60537,.T.); #37775=FACE_OUTER_BOUND('',#60538,.T.); #37776=FACE_OUTER_BOUND('',#60539,.T.); #37777=FACE_OUTER_BOUND('',#60540,.T.); #37778=FACE_OUTER_BOUND('',#60541,.T.); #37779=FACE_OUTER_BOUND('',#60542,.T.); #37780=FACE_OUTER_BOUND('',#60543,.T.); #37781=FACE_OUTER_BOUND('',#60544,.T.); #37782=FACE_OUTER_BOUND('',#60545,.T.); #37783=FACE_OUTER_BOUND('',#60546,.T.); #37784=FACE_OUTER_BOUND('',#60547,.T.); #37785=FACE_OUTER_BOUND('',#60548,.T.); #37786=FACE_OUTER_BOUND('',#60549,.T.); #37787=FACE_OUTER_BOUND('',#60550,.T.); #37788=FACE_OUTER_BOUND('',#60551,.T.); #37789=FACE_OUTER_BOUND('',#60552,.T.); #37790=FACE_OUTER_BOUND('',#60553,.T.); #37791=FACE_OUTER_BOUND('',#60554,.T.); #37792=FACE_OUTER_BOUND('',#60555,.T.); #37793=FACE_OUTER_BOUND('',#60556,.T.); #37794=FACE_OUTER_BOUND('',#60557,.T.); #37795=FACE_OUTER_BOUND('',#60558,.T.); #37796=FACE_OUTER_BOUND('',#60559,.T.); #37797=FACE_OUTER_BOUND('',#60560,.T.); #37798=FACE_OUTER_BOUND('',#60561,.T.); #37799=FACE_OUTER_BOUND('',#60562,.T.); #37800=FACE_OUTER_BOUND('',#60563,.T.); #37801=FACE_OUTER_BOUND('',#60564,.T.); #37802=FACE_OUTER_BOUND('',#60565,.T.); #37803=FACE_OUTER_BOUND('',#60566,.T.); #37804=FACE_OUTER_BOUND('',#60567,.T.); #37805=FACE_OUTER_BOUND('',#60568,.T.); #37806=FACE_OUTER_BOUND('',#60569,.T.); #37807=FACE_OUTER_BOUND('',#60570,.T.); #37808=FACE_OUTER_BOUND('',#60571,.T.); #37809=FACE_OUTER_BOUND('',#60572,.T.); #37810=FACE_OUTER_BOUND('',#60573,.T.); #37811=FACE_OUTER_BOUND('',#60574,.T.); #37812=FACE_OUTER_BOUND('',#60575,.T.); #37813=FACE_OUTER_BOUND('',#60576,.T.); #37814=FACE_OUTER_BOUND('',#60577,.T.); #37815=FACE_OUTER_BOUND('',#60578,.T.); #37816=FACE_OUTER_BOUND('',#60579,.T.); #37817=FACE_OUTER_BOUND('',#60580,.T.); #37818=FACE_OUTER_BOUND('',#60581,.T.); #37819=FACE_OUTER_BOUND('',#60582,.T.); #37820=FACE_OUTER_BOUND('',#60583,.T.); #37821=FACE_OUTER_BOUND('',#60584,.T.); #37822=FACE_OUTER_BOUND('',#60585,.T.); #37823=FACE_OUTER_BOUND('',#60586,.T.); #37824=FACE_OUTER_BOUND('',#60587,.T.); #37825=FACE_OUTER_BOUND('',#60588,.T.); #37826=FACE_OUTER_BOUND('',#60589,.T.); #37827=FACE_OUTER_BOUND('',#60590,.T.); #37828=FACE_OUTER_BOUND('',#60591,.T.); #37829=FACE_OUTER_BOUND('',#60592,.T.); #37830=FACE_OUTER_BOUND('',#60593,.T.); #37831=FACE_OUTER_BOUND('',#60594,.T.); #37832=FACE_OUTER_BOUND('',#60595,.T.); #37833=FACE_OUTER_BOUND('',#60596,.T.); #37834=FACE_OUTER_BOUND('',#60597,.T.); #37835=FACE_OUTER_BOUND('',#60598,.T.); #37836=FACE_OUTER_BOUND('',#60599,.T.); #37837=FACE_OUTER_BOUND('',#60600,.T.); #37838=FACE_OUTER_BOUND('',#60601,.T.); #37839=FACE_OUTER_BOUND('',#60602,.T.); #37840=FACE_OUTER_BOUND('',#60603,.T.); #37841=FACE_OUTER_BOUND('',#60604,.T.); #37842=FACE_OUTER_BOUND('',#60605,.T.); #37843=FACE_OUTER_BOUND('',#60606,.T.); #37844=FACE_OUTER_BOUND('',#60607,.T.); #37845=FACE_OUTER_BOUND('',#60608,.T.); #37846=FACE_OUTER_BOUND('',#60609,.T.); #37847=FACE_OUTER_BOUND('',#60610,.T.); #37848=FACE_OUTER_BOUND('',#60611,.T.); #37849=FACE_OUTER_BOUND('',#60612,.T.); #37850=FACE_OUTER_BOUND('',#60613,.T.); #37851=FACE_OUTER_BOUND('',#60614,.T.); #37852=FACE_OUTER_BOUND('',#60615,.T.); #37853=FACE_OUTER_BOUND('',#60616,.T.); #37854=FACE_OUTER_BOUND('',#60617,.T.); #37855=FACE_OUTER_BOUND('',#60618,.T.); #37856=FACE_OUTER_BOUND('',#60619,.T.); #37857=FACE_OUTER_BOUND('',#60620,.T.); #37858=FACE_OUTER_BOUND('',#60621,.T.); #37859=FACE_OUTER_BOUND('',#60622,.T.); #37860=FACE_OUTER_BOUND('',#60623,.T.); #37861=FACE_OUTER_BOUND('',#60624,.T.); #37862=FACE_OUTER_BOUND('',#60625,.T.); #37863=FACE_OUTER_BOUND('',#60626,.T.); #37864=FACE_OUTER_BOUND('',#60627,.T.); #37865=FACE_OUTER_BOUND('',#60628,.T.); #37866=FACE_OUTER_BOUND('',#60629,.T.); #37867=FACE_OUTER_BOUND('',#60630,.T.); #37868=FACE_OUTER_BOUND('',#60631,.T.); #37869=FACE_OUTER_BOUND('',#60632,.T.); #37870=FACE_OUTER_BOUND('',#60633,.T.); #37871=FACE_OUTER_BOUND('',#60634,.T.); #37872=FACE_OUTER_BOUND('',#60635,.T.); #37873=FACE_OUTER_BOUND('',#60636,.T.); #37874=FACE_OUTER_BOUND('',#60637,.T.); #37875=FACE_OUTER_BOUND('',#60638,.T.); #37876=FACE_OUTER_BOUND('',#60639,.T.); #37877=FACE_OUTER_BOUND('',#60640,.T.); #37878=FACE_OUTER_BOUND('',#60641,.T.); #37879=FACE_OUTER_BOUND('',#60642,.T.); #37880=FACE_OUTER_BOUND('',#60643,.T.); #37881=FACE_OUTER_BOUND('',#60644,.T.); #37882=FACE_OUTER_BOUND('',#60646,.T.); #37883=FACE_OUTER_BOUND('',#60648,.T.); #37884=FACE_OUTER_BOUND('',#60649,.T.); #37885=FACE_OUTER_BOUND('',#60650,.T.); #37886=FACE_OUTER_BOUND('',#60651,.T.); #37887=FACE_OUTER_BOUND('',#60652,.T.); #37888=FACE_OUTER_BOUND('',#60655,.T.); #37889=FACE_OUTER_BOUND('',#60657,.T.); #37890=FACE_OUTER_BOUND('',#60658,.T.); #37891=FACE_OUTER_BOUND('',#60660,.T.); #37892=FACE_OUTER_BOUND('',#60661,.T.); #37893=FACE_OUTER_BOUND('',#60662,.T.); #37894=FACE_OUTER_BOUND('',#60663,.T.); #37895=FACE_OUTER_BOUND('',#60664,.T.); #37896=FACE_OUTER_BOUND('',#60665,.T.); #37897=FACE_OUTER_BOUND('',#60666,.T.); #37898=FACE_OUTER_BOUND('',#60668,.T.); #37899=FACE_OUTER_BOUND('',#60669,.T.); #37900=FACE_OUTER_BOUND('',#60670,.T.); #37901=FACE_OUTER_BOUND('',#60671,.T.); #37902=FACE_OUTER_BOUND('',#60672,.T.); #37903=FACE_OUTER_BOUND('',#60673,.T.); #37904=FACE_OUTER_BOUND('',#60675,.T.); #37905=FACE_OUTER_BOUND('',#60676,.T.); #37906=FACE_OUTER_BOUND('',#60678,.T.); #37907=FACE_OUTER_BOUND('',#60679,.T.); #37908=FACE_OUTER_BOUND('',#60680,.T.); #37909=FACE_OUTER_BOUND('',#60681,.T.); #37910=FACE_OUTER_BOUND('',#60682,.T.); #37911=FACE_OUTER_BOUND('',#60683,.T.); #37912=FACE_OUTER_BOUND('',#60684,.T.); #37913=FACE_OUTER_BOUND('',#60685,.T.); #37914=FACE_OUTER_BOUND('',#60686,.T.); #37915=FACE_OUTER_BOUND('',#60687,.T.); #37916=FACE_OUTER_BOUND('',#60688,.T.); #37917=FACE_OUTER_BOUND('',#60689,.T.); #37918=FACE_OUTER_BOUND('',#60690,.T.); #37919=FACE_OUTER_BOUND('',#60691,.T.); #37920=FACE_OUTER_BOUND('',#60692,.T.); #37921=FACE_OUTER_BOUND('',#60694,.T.); #37922=FACE_OUTER_BOUND('',#60695,.T.); #37923=FACE_OUTER_BOUND('',#60698,.T.); #37924=FACE_OUTER_BOUND('',#60699,.T.); #37925=FACE_OUTER_BOUND('',#60700,.T.); #37926=FACE_OUTER_BOUND('',#60701,.T.); #37927=FACE_OUTER_BOUND('',#60702,.T.); #37928=FACE_OUTER_BOUND('',#60703,.T.); #37929=FACE_OUTER_BOUND('',#60704,.T.); #37930=FACE_OUTER_BOUND('',#60705,.T.); #37931=FACE_OUTER_BOUND('',#60706,.T.); #37932=FACE_OUTER_BOUND('',#60707,.T.); #37933=FACE_OUTER_BOUND('',#60708,.T.); #37934=FACE_OUTER_BOUND('',#60709,.T.); #37935=FACE_OUTER_BOUND('',#60710,.T.); #37936=FACE_OUTER_BOUND('',#60711,.T.); #37937=FACE_OUTER_BOUND('',#60712,.T.); #37938=FACE_OUTER_BOUND('',#60713,.T.); #37939=FACE_OUTER_BOUND('',#60714,.T.); #37940=FACE_OUTER_BOUND('',#60715,.T.); #37941=FACE_OUTER_BOUND('',#60716,.T.); #37942=FACE_OUTER_BOUND('',#60717,.T.); #37943=FACE_OUTER_BOUND('',#60718,.T.); #37944=FACE_OUTER_BOUND('',#60719,.T.); #37945=FACE_OUTER_BOUND('',#60720,.T.); #37946=FACE_OUTER_BOUND('',#60721,.T.); #37947=FACE_OUTER_BOUND('',#60722,.T.); #37948=FACE_OUTER_BOUND('',#60723,.T.); #37949=FACE_OUTER_BOUND('',#60724,.T.); #37950=FACE_OUTER_BOUND('',#60725,.T.); #37951=FACE_OUTER_BOUND('',#60726,.T.); #37952=FACE_OUTER_BOUND('',#60727,.T.); #37953=FACE_OUTER_BOUND('',#60728,.T.); #37954=FACE_OUTER_BOUND('',#60729,.T.); #37955=FACE_OUTER_BOUND('',#60730,.T.); #37956=FACE_OUTER_BOUND('',#60731,.T.); #37957=FACE_OUTER_BOUND('',#60732,.T.); #37958=FACE_OUTER_BOUND('',#60733,.T.); #37959=FACE_OUTER_BOUND('',#60734,.T.); #37960=FACE_OUTER_BOUND('',#60735,.T.); #37961=FACE_OUTER_BOUND('',#60736,.T.); #37962=FACE_OUTER_BOUND('',#60737,.T.); #37963=FACE_OUTER_BOUND('',#60738,.T.); #37964=FACE_OUTER_BOUND('',#60739,.T.); #37965=FACE_OUTER_BOUND('',#60740,.T.); #37966=FACE_OUTER_BOUND('',#60741,.T.); #37967=FACE_OUTER_BOUND('',#60742,.T.); #37968=FACE_OUTER_BOUND('',#60743,.T.); #37969=FACE_OUTER_BOUND('',#60744,.T.); #37970=FACE_OUTER_BOUND('',#60745,.T.); #37971=FACE_OUTER_BOUND('',#60746,.T.); #37972=FACE_OUTER_BOUND('',#60747,.T.); #37973=FACE_OUTER_BOUND('',#60748,.T.); #37974=FACE_OUTER_BOUND('',#60749,.T.); #37975=FACE_OUTER_BOUND('',#60750,.T.); #37976=FACE_OUTER_BOUND('',#60751,.T.); #37977=FACE_OUTER_BOUND('',#60752,.T.); #37978=FACE_OUTER_BOUND('',#60753,.T.); #37979=FACE_OUTER_BOUND('',#60754,.T.); #37980=FACE_OUTER_BOUND('',#60755,.T.); #37981=FACE_OUTER_BOUND('',#60756,.T.); #37982=FACE_OUTER_BOUND('',#60757,.T.); #37983=FACE_OUTER_BOUND('',#60758,.T.); #37984=FACE_OUTER_BOUND('',#60759,.T.); #37985=FACE_OUTER_BOUND('',#60760,.T.); #37986=FACE_OUTER_BOUND('',#60761,.T.); #37987=FACE_OUTER_BOUND('',#60762,.T.); #37988=FACE_OUTER_BOUND('',#60763,.T.); #37989=FACE_OUTER_BOUND('',#60764,.T.); #37990=FACE_OUTER_BOUND('',#60765,.T.); #37991=FACE_OUTER_BOUND('',#60766,.T.); #37992=FACE_OUTER_BOUND('',#60767,.T.); #37993=FACE_OUTER_BOUND('',#60768,.T.); #37994=FACE_OUTER_BOUND('',#60769,.T.); #37995=FACE_OUTER_BOUND('',#60770,.T.); #37996=FACE_OUTER_BOUND('',#60771,.T.); #37997=FACE_OUTER_BOUND('',#60772,.T.); #37998=FACE_OUTER_BOUND('',#60773,.T.); #37999=FACE_OUTER_BOUND('',#60774,.T.); #38000=FACE_OUTER_BOUND('',#60775,.T.); #38001=FACE_OUTER_BOUND('',#60776,.T.); #38002=FACE_OUTER_BOUND('',#60777,.T.); #38003=FACE_OUTER_BOUND('',#60778,.T.); #38004=FACE_OUTER_BOUND('',#60779,.T.); #38005=FACE_OUTER_BOUND('',#60780,.T.); #38006=FACE_OUTER_BOUND('',#60781,.T.); #38007=FACE_OUTER_BOUND('',#60782,.T.); #38008=FACE_OUTER_BOUND('',#60783,.T.); #38009=FACE_OUTER_BOUND('',#60784,.T.); #38010=FACE_OUTER_BOUND('',#60785,.T.); #38011=FACE_OUTER_BOUND('',#60786,.T.); #38012=FACE_OUTER_BOUND('',#60787,.T.); #38013=FACE_OUTER_BOUND('',#60788,.T.); #38014=FACE_OUTER_BOUND('',#60789,.T.); #38015=FACE_OUTER_BOUND('',#60790,.T.); #38016=FACE_OUTER_BOUND('',#60791,.T.); #38017=FACE_OUTER_BOUND('',#60792,.T.); #38018=FACE_OUTER_BOUND('',#60793,.T.); #38019=FACE_OUTER_BOUND('',#60794,.T.); #38020=FACE_OUTER_BOUND('',#60795,.T.); #38021=FACE_OUTER_BOUND('',#60796,.T.); #38022=FACE_OUTER_BOUND('',#60797,.T.); #38023=FACE_OUTER_BOUND('',#60798,.T.); #38024=FACE_OUTER_BOUND('',#60799,.T.); #38025=FACE_OUTER_BOUND('',#60800,.T.); #38026=FACE_OUTER_BOUND('',#60801,.T.); #38027=FACE_OUTER_BOUND('',#60802,.T.); #38028=FACE_OUTER_BOUND('',#60803,.T.); #38029=FACE_OUTER_BOUND('',#60804,.T.); #38030=FACE_OUTER_BOUND('',#60805,.T.); #38031=FACE_OUTER_BOUND('',#60806,.T.); #38032=FACE_OUTER_BOUND('',#60807,.T.); #38033=FACE_OUTER_BOUND('',#60808,.T.); #38034=FACE_OUTER_BOUND('',#60809,.T.); #38035=FACE_OUTER_BOUND('',#60810,.T.); #38036=FACE_OUTER_BOUND('',#60811,.T.); #38037=FACE_OUTER_BOUND('',#60812,.T.); #38038=FACE_OUTER_BOUND('',#60813,.T.); #38039=FACE_OUTER_BOUND('',#60814,.T.); #38040=FACE_OUTER_BOUND('',#60815,.T.); #38041=FACE_OUTER_BOUND('',#60816,.T.); #38042=FACE_OUTER_BOUND('',#60817,.T.); #38043=FACE_OUTER_BOUND('',#60818,.T.); #38044=FACE_OUTER_BOUND('',#60819,.T.); #38045=FACE_OUTER_BOUND('',#60820,.T.); #38046=FACE_OUTER_BOUND('',#60821,.T.); #38047=FACE_OUTER_BOUND('',#60822,.T.); #38048=FACE_OUTER_BOUND('',#60823,.T.); #38049=FACE_OUTER_BOUND('',#60824,.T.); #38050=FACE_OUTER_BOUND('',#60825,.T.); #38051=FACE_OUTER_BOUND('',#60826,.T.); #38052=FACE_OUTER_BOUND('',#60827,.T.); #38053=FACE_OUTER_BOUND('',#60828,.T.); #38054=FACE_OUTER_BOUND('',#60829,.T.); #38055=FACE_OUTER_BOUND('',#60830,.T.); #38056=FACE_OUTER_BOUND('',#60831,.T.); #38057=FACE_OUTER_BOUND('',#60832,.T.); #38058=FACE_OUTER_BOUND('',#60833,.T.); #38059=FACE_OUTER_BOUND('',#60834,.T.); #38060=FACE_OUTER_BOUND('',#60835,.T.); #38061=FACE_OUTER_BOUND('',#60836,.T.); #38062=FACE_OUTER_BOUND('',#60837,.T.); #38063=FACE_OUTER_BOUND('',#60838,.T.); #38064=FACE_OUTER_BOUND('',#60839,.T.); #38065=FACE_OUTER_BOUND('',#60840,.T.); #38066=FACE_OUTER_BOUND('',#60841,.T.); #38067=FACE_OUTER_BOUND('',#60842,.T.); #38068=FACE_OUTER_BOUND('',#60843,.T.); #38069=FACE_OUTER_BOUND('',#60844,.T.); #38070=FACE_OUTER_BOUND('',#60845,.T.); #38071=FACE_OUTER_BOUND('',#60846,.T.); #38072=FACE_OUTER_BOUND('',#60847,.T.); #38073=FACE_OUTER_BOUND('',#60848,.T.); #38074=FACE_OUTER_BOUND('',#60849,.T.); #38075=FACE_OUTER_BOUND('',#60850,.T.); #38076=FACE_OUTER_BOUND('',#60851,.T.); #38077=FACE_OUTER_BOUND('',#60852,.T.); #38078=FACE_OUTER_BOUND('',#60853,.T.); #38079=FACE_OUTER_BOUND('',#60854,.T.); #38080=FACE_OUTER_BOUND('',#60855,.T.); #38081=FACE_OUTER_BOUND('',#60856,.T.); #38082=FACE_OUTER_BOUND('',#60857,.T.); #38083=FACE_OUTER_BOUND('',#60858,.T.); #38084=FACE_OUTER_BOUND('',#60859,.T.); #38085=FACE_OUTER_BOUND('',#60860,.T.); #38086=FACE_OUTER_BOUND('',#60861,.T.); #38087=FACE_OUTER_BOUND('',#60862,.T.); #38088=FACE_OUTER_BOUND('',#60863,.T.); #38089=FACE_OUTER_BOUND('',#60864,.T.); #38090=FACE_OUTER_BOUND('',#60865,.T.); #38091=FACE_OUTER_BOUND('',#60866,.T.); #38092=FACE_OUTER_BOUND('',#60867,.T.); #38093=FACE_OUTER_BOUND('',#60868,.T.); #38094=FACE_OUTER_BOUND('',#60869,.T.); #38095=FACE_OUTER_BOUND('',#60870,.T.); #38096=FACE_OUTER_BOUND('',#60871,.T.); #38097=FACE_OUTER_BOUND('',#60872,.T.); #38098=FACE_OUTER_BOUND('',#60873,.T.); #38099=FACE_OUTER_BOUND('',#60874,.T.); #38100=FACE_OUTER_BOUND('',#60875,.T.); #38101=FACE_OUTER_BOUND('',#60876,.T.); #38102=FACE_OUTER_BOUND('',#60877,.T.); #38103=FACE_OUTER_BOUND('',#60878,.T.); #38104=FACE_OUTER_BOUND('',#60879,.T.); #38105=FACE_OUTER_BOUND('',#60880,.T.); #38106=FACE_OUTER_BOUND('',#60881,.T.); #38107=FACE_OUTER_BOUND('',#60882,.T.); #38108=FACE_OUTER_BOUND('',#60883,.T.); #38109=FACE_OUTER_BOUND('',#60884,.T.); #38110=FACE_OUTER_BOUND('',#60885,.T.); #38111=FACE_OUTER_BOUND('',#60886,.T.); #38112=FACE_OUTER_BOUND('',#60887,.T.); #38113=FACE_OUTER_BOUND('',#60888,.T.); #38114=FACE_OUTER_BOUND('',#60889,.T.); #38115=FACE_OUTER_BOUND('',#60890,.T.); #38116=FACE_OUTER_BOUND('',#60891,.T.); #38117=FACE_OUTER_BOUND('',#60892,.T.); #38118=FACE_OUTER_BOUND('',#60893,.T.); #38119=FACE_OUTER_BOUND('',#60894,.T.); #38120=FACE_OUTER_BOUND('',#60895,.T.); #38121=FACE_OUTER_BOUND('',#60896,.T.); #38122=FACE_OUTER_BOUND('',#60897,.T.); #38123=FACE_OUTER_BOUND('',#60898,.T.); #38124=FACE_OUTER_BOUND('',#60899,.T.); #38125=FACE_OUTER_BOUND('',#60900,.T.); #38126=FACE_OUTER_BOUND('',#60901,.T.); #38127=FACE_OUTER_BOUND('',#60902,.T.); #38128=FACE_OUTER_BOUND('',#60903,.T.); #38129=FACE_OUTER_BOUND('',#60904,.T.); #38130=FACE_OUTER_BOUND('',#60905,.T.); #38131=FACE_OUTER_BOUND('',#60906,.T.); #38132=FACE_OUTER_BOUND('',#60907,.T.); #38133=FACE_OUTER_BOUND('',#60908,.T.); #38134=FACE_OUTER_BOUND('',#60909,.T.); #38135=FACE_OUTER_BOUND('',#60910,.T.); #38136=FACE_OUTER_BOUND('',#60911,.T.); #38137=FACE_OUTER_BOUND('',#60912,.T.); #38138=FACE_OUTER_BOUND('',#60913,.T.); #38139=FACE_OUTER_BOUND('',#60914,.T.); #38140=FACE_OUTER_BOUND('',#60915,.T.); #38141=FACE_OUTER_BOUND('',#60916,.T.); #38142=FACE_OUTER_BOUND('',#60917,.T.); #38143=FACE_OUTER_BOUND('',#60918,.T.); #38144=FACE_OUTER_BOUND('',#60919,.T.); #38145=FACE_OUTER_BOUND('',#60920,.T.); #38146=FACE_OUTER_BOUND('',#60921,.T.); #38147=FACE_OUTER_BOUND('',#60922,.T.); #38148=FACE_OUTER_BOUND('',#60923,.T.); #38149=FACE_OUTER_BOUND('',#60924,.T.); #38150=FACE_OUTER_BOUND('',#60925,.T.); #38151=FACE_OUTER_BOUND('',#60926,.T.); #38152=FACE_OUTER_BOUND('',#60927,.T.); #38153=FACE_OUTER_BOUND('',#60928,.T.); #38154=FACE_OUTER_BOUND('',#60929,.T.); #38155=FACE_OUTER_BOUND('',#60930,.T.); #38156=FACE_OUTER_BOUND('',#60931,.T.); #38157=FACE_OUTER_BOUND('',#60932,.T.); #38158=FACE_OUTER_BOUND('',#60933,.T.); #38159=FACE_OUTER_BOUND('',#60934,.T.); #38160=FACE_OUTER_BOUND('',#60935,.T.); #38161=FACE_OUTER_BOUND('',#60936,.T.); #38162=FACE_OUTER_BOUND('',#60937,.T.); #38163=FACE_OUTER_BOUND('',#60938,.T.); #38164=FACE_OUTER_BOUND('',#60939,.T.); #38165=FACE_OUTER_BOUND('',#60940,.T.); #38166=FACE_OUTER_BOUND('',#60941,.T.); #38167=FACE_OUTER_BOUND('',#60942,.T.); #38168=FACE_OUTER_BOUND('',#60943,.T.); #38169=FACE_OUTER_BOUND('',#60944,.T.); #38170=FACE_OUTER_BOUND('',#60945,.T.); #38171=FACE_OUTER_BOUND('',#60946,.T.); #38172=FACE_OUTER_BOUND('',#60947,.T.); #38173=FACE_OUTER_BOUND('',#60948,.T.); #38174=FACE_OUTER_BOUND('',#60949,.T.); #38175=FACE_OUTER_BOUND('',#60950,.T.); #38176=FACE_OUTER_BOUND('',#60951,.T.); #38177=FACE_OUTER_BOUND('',#60952,.T.); #38178=FACE_OUTER_BOUND('',#60953,.T.); #38179=FACE_OUTER_BOUND('',#60954,.T.); #38180=FACE_OUTER_BOUND('',#60955,.T.); #38181=FACE_OUTER_BOUND('',#60956,.T.); #38182=FACE_OUTER_BOUND('',#60957,.T.); #38183=FACE_OUTER_BOUND('',#60958,.T.); #38184=FACE_OUTER_BOUND('',#60959,.T.); #38185=FACE_OUTER_BOUND('',#60960,.T.); #38186=FACE_OUTER_BOUND('',#60961,.T.); #38187=FACE_OUTER_BOUND('',#60962,.T.); #38188=FACE_OUTER_BOUND('',#60963,.T.); #38189=FACE_OUTER_BOUND('',#60964,.T.); #38190=FACE_OUTER_BOUND('',#60965,.T.); #38191=FACE_OUTER_BOUND('',#60966,.T.); #38192=FACE_OUTER_BOUND('',#60967,.T.); #38193=FACE_OUTER_BOUND('',#60968,.T.); #38194=FACE_OUTER_BOUND('',#60969,.T.); #38195=FACE_OUTER_BOUND('',#60970,.T.); #38196=FACE_OUTER_BOUND('',#60971,.T.); #38197=FACE_OUTER_BOUND('',#60972,.T.); #38198=FACE_OUTER_BOUND('',#60973,.T.); #38199=FACE_OUTER_BOUND('',#60974,.T.); #38200=FACE_OUTER_BOUND('',#60975,.T.); #38201=FACE_OUTER_BOUND('',#60976,.T.); #38202=FACE_OUTER_BOUND('',#60978,.T.); #38203=FACE_OUTER_BOUND('',#60979,.T.); #38204=FACE_OUTER_BOUND('',#60980,.T.); #38205=FACE_OUTER_BOUND('',#60982,.T.); #38206=FACE_OUTER_BOUND('',#60984,.T.); #38207=FACE_OUTER_BOUND('',#60985,.T.); #38208=FACE_OUTER_BOUND('',#60986,.T.); #38209=FACE_OUTER_BOUND('',#60987,.T.); #38210=FACE_OUTER_BOUND('',#60989,.T.); #38211=FACE_OUTER_BOUND('',#60990,.T.); #38212=FACE_OUTER_BOUND('',#60991,.T.); #38213=FACE_OUTER_BOUND('',#60992,.T.); #38214=FACE_OUTER_BOUND('',#60993,.T.); #38215=FACE_OUTER_BOUND('',#60994,.T.); #38216=FACE_OUTER_BOUND('',#60995,.T.); #38217=FACE_OUTER_BOUND('',#60996,.T.); #38218=FACE_OUTER_BOUND('',#60997,.T.); #38219=FACE_OUTER_BOUND('',#60998,.T.); #38220=FACE_OUTER_BOUND('',#60999,.T.); #38221=FACE_OUTER_BOUND('',#61000,.T.); #38222=FACE_OUTER_BOUND('',#61001,.T.); #38223=FACE_OUTER_BOUND('',#61002,.T.); #38224=FACE_OUTER_BOUND('',#61003,.T.); #38225=FACE_OUTER_BOUND('',#61004,.T.); #38226=FACE_OUTER_BOUND('',#61005,.T.); #38227=FACE_OUTER_BOUND('',#61006,.T.); #38228=FACE_OUTER_BOUND('',#61007,.T.); #38229=FACE_OUTER_BOUND('',#61008,.T.); #38230=FACE_OUTER_BOUND('',#61009,.T.); #38231=FACE_OUTER_BOUND('',#61010,.T.); #38232=FACE_OUTER_BOUND('',#61011,.T.); #38233=FACE_OUTER_BOUND('',#61012,.T.); #38234=FACE_OUTER_BOUND('',#61013,.T.); #38235=FACE_OUTER_BOUND('',#61014,.T.); #38236=FACE_OUTER_BOUND('',#61015,.T.); #38237=FACE_OUTER_BOUND('',#61016,.T.); #38238=FACE_OUTER_BOUND('',#61017,.T.); #38239=FACE_OUTER_BOUND('',#61018,.T.); #38240=FACE_OUTER_BOUND('',#61019,.T.); #38241=FACE_OUTER_BOUND('',#61020,.T.); #38242=FACE_OUTER_BOUND('',#61021,.T.); #38243=FACE_OUTER_BOUND('',#61022,.T.); #38244=FACE_OUTER_BOUND('',#61023,.T.); #38245=FACE_OUTER_BOUND('',#61024,.T.); #38246=FACE_OUTER_BOUND('',#61025,.T.); #38247=FACE_OUTER_BOUND('',#61026,.T.); #38248=FACE_OUTER_BOUND('',#61027,.T.); #38249=FACE_OUTER_BOUND('',#61028,.T.); #38250=FACE_OUTER_BOUND('',#61029,.T.); #38251=FACE_OUTER_BOUND('',#61030,.T.); #38252=FACE_OUTER_BOUND('',#61031,.T.); #38253=FACE_OUTER_BOUND('',#61032,.T.); #38254=FACE_OUTER_BOUND('',#61033,.T.); #38255=FACE_OUTER_BOUND('',#61034,.T.); #38256=FACE_OUTER_BOUND('',#61035,.T.); #38257=FACE_OUTER_BOUND('',#61036,.T.); #38258=FACE_OUTER_BOUND('',#61037,.T.); #38259=FACE_OUTER_BOUND('',#61038,.T.); #38260=FACE_OUTER_BOUND('',#61039,.T.); #38261=FACE_OUTER_BOUND('',#61040,.T.); #38262=FACE_OUTER_BOUND('',#61041,.T.); #38263=FACE_OUTER_BOUND('',#61042,.T.); #38264=FACE_OUTER_BOUND('',#61043,.T.); #38265=FACE_OUTER_BOUND('',#61044,.T.); #38266=FACE_OUTER_BOUND('',#61045,.T.); #38267=FACE_OUTER_BOUND('',#61046,.T.); #38268=FACE_OUTER_BOUND('',#61047,.T.); #38269=FACE_OUTER_BOUND('',#61048,.T.); #38270=FACE_OUTER_BOUND('',#61049,.T.); #38271=FACE_OUTER_BOUND('',#61050,.T.); #38272=FACE_OUTER_BOUND('',#61051,.T.); #38273=FACE_OUTER_BOUND('',#61052,.T.); #38274=FACE_OUTER_BOUND('',#61053,.T.); #38275=FACE_OUTER_BOUND('',#61054,.T.); #38276=FACE_OUTER_BOUND('',#61055,.T.); #38277=FACE_OUTER_BOUND('',#61056,.T.); #38278=FACE_OUTER_BOUND('',#61057,.T.); #38279=FACE_OUTER_BOUND('',#61058,.T.); #38280=FACE_OUTER_BOUND('',#61059,.T.); #38281=FACE_OUTER_BOUND('',#61060,.T.); #38282=FACE_OUTER_BOUND('',#61061,.T.); #38283=FACE_OUTER_BOUND('',#61062,.T.); #38284=FACE_OUTER_BOUND('',#61063,.T.); #38285=FACE_OUTER_BOUND('',#61064,.T.); #38286=FACE_OUTER_BOUND('',#61065,.T.); #38287=FACE_OUTER_BOUND('',#61066,.T.); #38288=FACE_OUTER_BOUND('',#61067,.T.); #38289=FACE_OUTER_BOUND('',#61068,.T.); #38290=FACE_OUTER_BOUND('',#61069,.T.); #38291=FACE_OUTER_BOUND('',#61070,.T.); #38292=FACE_OUTER_BOUND('',#61071,.T.); #38293=FACE_OUTER_BOUND('',#61072,.T.); #38294=FACE_OUTER_BOUND('',#61073,.T.); #38295=FACE_OUTER_BOUND('',#61074,.T.); #38296=FACE_OUTER_BOUND('',#61075,.T.); #38297=FACE_OUTER_BOUND('',#61076,.T.); #38298=FACE_OUTER_BOUND('',#61077,.T.); #38299=FACE_OUTER_BOUND('',#61078,.T.); #38300=FACE_OUTER_BOUND('',#61079,.T.); #38301=FACE_OUTER_BOUND('',#61080,.T.); #38302=FACE_OUTER_BOUND('',#61081,.T.); #38303=FACE_OUTER_BOUND('',#61082,.T.); #38304=FACE_OUTER_BOUND('',#61083,.T.); #38305=FACE_OUTER_BOUND('',#61084,.T.); #38306=FACE_OUTER_BOUND('',#61085,.T.); #38307=FACE_OUTER_BOUND('',#61086,.T.); #38308=FACE_OUTER_BOUND('',#61087,.T.); #38309=FACE_OUTER_BOUND('',#61088,.T.); #38310=FACE_OUTER_BOUND('',#61089,.T.); #38311=FACE_OUTER_BOUND('',#61090,.T.); #38312=FACE_OUTER_BOUND('',#61091,.T.); #38313=FACE_OUTER_BOUND('',#61092,.T.); #38314=FACE_OUTER_BOUND('',#61093,.T.); #38315=FACE_OUTER_BOUND('',#61094,.T.); #38316=FACE_OUTER_BOUND('',#61095,.T.); #38317=FACE_OUTER_BOUND('',#61096,.T.); #38318=FACE_OUTER_BOUND('',#61097,.T.); #38319=FACE_OUTER_BOUND('',#61098,.T.); #38320=FACE_OUTER_BOUND('',#61100,.T.); #38321=FACE_OUTER_BOUND('',#61102,.T.); #38322=FACE_OUTER_BOUND('',#61105,.T.); #38323=FACE_OUTER_BOUND('',#61106,.T.); #38324=FACE_OUTER_BOUND('',#61107,.T.); #38325=FACE_OUTER_BOUND('',#61108,.T.); #38326=FACE_OUTER_BOUND('',#61109,.T.); #38327=FACE_OUTER_BOUND('',#61110,.T.); #38328=FACE_OUTER_BOUND('',#61111,.T.); #38329=FACE_OUTER_BOUND('',#61112,.T.); #38330=FACE_OUTER_BOUND('',#61113,.T.); #38331=FACE_OUTER_BOUND('',#61114,.T.); #38332=FACE_OUTER_BOUND('',#61115,.T.); #38333=FACE_OUTER_BOUND('',#61116,.T.); #38334=FACE_OUTER_BOUND('',#61117,.T.); #38335=FACE_OUTER_BOUND('',#61118,.T.); #38336=FACE_OUTER_BOUND('',#61119,.T.); #38337=FACE_OUTER_BOUND('',#61120,.T.); #38338=FACE_OUTER_BOUND('',#61121,.T.); #38339=FACE_OUTER_BOUND('',#61122,.T.); #38340=FACE_OUTER_BOUND('',#61123,.T.); #38341=FACE_OUTER_BOUND('',#61124,.T.); #38342=FACE_OUTER_BOUND('',#61125,.T.); #38343=FACE_OUTER_BOUND('',#61126,.T.); #38344=FACE_OUTER_BOUND('',#61127,.T.); #38345=FACE_OUTER_BOUND('',#61128,.T.); #38346=FACE_OUTER_BOUND('',#61129,.T.); #38347=FACE_OUTER_BOUND('',#61130,.T.); #38348=FACE_OUTER_BOUND('',#61131,.T.); #38349=FACE_OUTER_BOUND('',#61132,.T.); #38350=FACE_OUTER_BOUND('',#61133,.T.); #38351=FACE_OUTER_BOUND('',#61134,.T.); #38352=FACE_OUTER_BOUND('',#61135,.T.); #38353=FACE_OUTER_BOUND('',#61136,.T.); #38354=FACE_OUTER_BOUND('',#61137,.T.); #38355=FACE_OUTER_BOUND('',#61138,.T.); #38356=FACE_OUTER_BOUND('',#61139,.T.); #38357=FACE_OUTER_BOUND('',#61140,.T.); #38358=FACE_OUTER_BOUND('',#61141,.T.); #38359=FACE_OUTER_BOUND('',#61142,.T.); #38360=FACE_OUTER_BOUND('',#61143,.T.); #38361=FACE_OUTER_BOUND('',#61144,.T.); #38362=FACE_OUTER_BOUND('',#61145,.T.); #38363=FACE_OUTER_BOUND('',#61146,.T.); #38364=FACE_OUTER_BOUND('',#61147,.T.); #38365=FACE_OUTER_BOUND('',#61148,.T.); #38366=FACE_OUTER_BOUND('',#61149,.T.); #38367=FACE_OUTER_BOUND('',#61150,.T.); #38368=FACE_OUTER_BOUND('',#61151,.T.); #38369=FACE_OUTER_BOUND('',#61152,.T.); #38370=FACE_OUTER_BOUND('',#61153,.T.); #38371=FACE_OUTER_BOUND('',#61154,.T.); #38372=FACE_OUTER_BOUND('',#61155,.T.); #38373=FACE_OUTER_BOUND('',#61156,.T.); #38374=FACE_OUTER_BOUND('',#61157,.T.); #38375=FACE_OUTER_BOUND('',#61158,.T.); #38376=FACE_OUTER_BOUND('',#61159,.T.); #38377=FACE_OUTER_BOUND('',#61160,.T.); #38378=FACE_OUTER_BOUND('',#61161,.T.); #38379=FACE_OUTER_BOUND('',#61162,.T.); #38380=FACE_OUTER_BOUND('',#61163,.T.); #38381=FACE_OUTER_BOUND('',#61164,.T.); #38382=FACE_OUTER_BOUND('',#61165,.T.); #38383=FACE_OUTER_BOUND('',#61166,.T.); #38384=FACE_OUTER_BOUND('',#61167,.T.); #38385=FACE_OUTER_BOUND('',#61168,.T.); #38386=FACE_OUTER_BOUND('',#61169,.T.); #38387=FACE_OUTER_BOUND('',#61170,.T.); #38388=FACE_OUTER_BOUND('',#61171,.T.); #38389=FACE_OUTER_BOUND('',#61172,.T.); #38390=FACE_OUTER_BOUND('',#61173,.T.); #38391=FACE_OUTER_BOUND('',#61174,.T.); #38392=FACE_OUTER_BOUND('',#61175,.T.); #38393=FACE_OUTER_BOUND('',#61176,.T.); #38394=FACE_OUTER_BOUND('',#61177,.T.); #38395=FACE_OUTER_BOUND('',#61178,.T.); #38396=FACE_OUTER_BOUND('',#61179,.T.); #38397=FACE_OUTER_BOUND('',#61180,.T.); #38398=FACE_OUTER_BOUND('',#61181,.T.); #38399=FACE_OUTER_BOUND('',#61182,.T.); #38400=FACE_OUTER_BOUND('',#61183,.T.); #38401=FACE_OUTER_BOUND('',#61184,.T.); #38402=FACE_OUTER_BOUND('',#61185,.T.); #38403=FACE_OUTER_BOUND('',#61186,.T.); #38404=FACE_OUTER_BOUND('',#61187,.T.); #38405=FACE_OUTER_BOUND('',#61188,.T.); #38406=FACE_OUTER_BOUND('',#61189,.T.); #38407=FACE_OUTER_BOUND('',#61190,.T.); #38408=FACE_OUTER_BOUND('',#61191,.T.); #38409=FACE_OUTER_BOUND('',#61192,.T.); #38410=FACE_OUTER_BOUND('',#61193,.T.); #38411=FACE_OUTER_BOUND('',#61194,.T.); #38412=FACE_OUTER_BOUND('',#61195,.T.); #38413=FACE_OUTER_BOUND('',#61196,.T.); #38414=FACE_OUTER_BOUND('',#61197,.T.); #38415=FACE_OUTER_BOUND('',#61198,.T.); #38416=FACE_OUTER_BOUND('',#61199,.T.); #38417=FACE_OUTER_BOUND('',#61200,.T.); #38418=FACE_OUTER_BOUND('',#61201,.T.); #38419=FACE_OUTER_BOUND('',#61202,.T.); #38420=FACE_OUTER_BOUND('',#61203,.T.); #38421=FACE_OUTER_BOUND('',#61204,.T.); #38422=FACE_OUTER_BOUND('',#61205,.T.); #38423=FACE_OUTER_BOUND('',#61206,.T.); #38424=FACE_OUTER_BOUND('',#61207,.T.); #38425=FACE_OUTER_BOUND('',#61208,.T.); #38426=FACE_OUTER_BOUND('',#61209,.T.); #38427=FACE_OUTER_BOUND('',#61210,.T.); #38428=FACE_OUTER_BOUND('',#61211,.T.); #38429=FACE_OUTER_BOUND('',#61212,.T.); #38430=FACE_OUTER_BOUND('',#61213,.T.); #38431=FACE_OUTER_BOUND('',#61214,.T.); #38432=FACE_OUTER_BOUND('',#61215,.T.); #38433=FACE_OUTER_BOUND('',#61216,.T.); #38434=FACE_OUTER_BOUND('',#61217,.T.); #38435=FACE_OUTER_BOUND('',#61218,.T.); #38436=FACE_OUTER_BOUND('',#61219,.T.); #38437=FACE_OUTER_BOUND('',#61220,.T.); #38438=FACE_OUTER_BOUND('',#61221,.T.); #38439=FACE_OUTER_BOUND('',#61222,.T.); #38440=FACE_OUTER_BOUND('',#61223,.T.); #38441=FACE_OUTER_BOUND('',#61224,.T.); #38442=FACE_OUTER_BOUND('',#61225,.T.); #38443=FACE_OUTER_BOUND('',#61226,.T.); #38444=FACE_OUTER_BOUND('',#61227,.T.); #38445=FACE_OUTER_BOUND('',#61228,.T.); #38446=FACE_OUTER_BOUND('',#61229,.T.); #38447=FACE_OUTER_BOUND('',#61230,.T.); #38448=FACE_OUTER_BOUND('',#61231,.T.); #38449=FACE_OUTER_BOUND('',#61232,.T.); #38450=FACE_OUTER_BOUND('',#61233,.T.); #38451=FACE_OUTER_BOUND('',#61234,.T.); #38452=FACE_OUTER_BOUND('',#61235,.T.); #38453=FACE_OUTER_BOUND('',#61236,.T.); #38454=FACE_OUTER_BOUND('',#61237,.T.); #38455=FACE_OUTER_BOUND('',#61238,.T.); #38456=FACE_OUTER_BOUND('',#61239,.T.); #38457=FACE_OUTER_BOUND('',#61240,.T.); #38458=FACE_OUTER_BOUND('',#61241,.T.); #38459=FACE_OUTER_BOUND('',#61242,.T.); #38460=FACE_OUTER_BOUND('',#61243,.T.); #38461=FACE_OUTER_BOUND('',#61244,.T.); #38462=FACE_OUTER_BOUND('',#61245,.T.); #38463=FACE_OUTER_BOUND('',#61246,.T.); #38464=FACE_OUTER_BOUND('',#61247,.T.); #38465=FACE_OUTER_BOUND('',#61248,.T.); #38466=FACE_OUTER_BOUND('',#61249,.T.); #38467=FACE_OUTER_BOUND('',#61250,.T.); #38468=FACE_OUTER_BOUND('',#61251,.T.); #38469=FACE_OUTER_BOUND('',#61252,.T.); #38470=FACE_OUTER_BOUND('',#61253,.T.); #38471=FACE_OUTER_BOUND('',#61254,.T.); #38472=FACE_OUTER_BOUND('',#61255,.T.); #38473=FACE_OUTER_BOUND('',#61256,.T.); #38474=FACE_OUTER_BOUND('',#61257,.T.); #38475=FACE_OUTER_BOUND('',#61258,.T.); #38476=FACE_OUTER_BOUND('',#61259,.T.); #38477=FACE_OUTER_BOUND('',#61260,.T.); #38478=FACE_OUTER_BOUND('',#61261,.T.); #38479=FACE_OUTER_BOUND('',#61262,.T.); #38480=FACE_OUTER_BOUND('',#61263,.T.); #38481=FACE_OUTER_BOUND('',#61264,.T.); #38482=FACE_OUTER_BOUND('',#61265,.T.); #38483=FACE_OUTER_BOUND('',#61266,.T.); #38484=FACE_OUTER_BOUND('',#61267,.T.); #38485=FACE_OUTER_BOUND('',#61268,.T.); #38486=FACE_OUTER_BOUND('',#61269,.T.); #38487=FACE_OUTER_BOUND('',#61270,.T.); #38488=FACE_OUTER_BOUND('',#61271,.T.); #38489=FACE_OUTER_BOUND('',#61272,.T.); #38490=FACE_OUTER_BOUND('',#61273,.T.); #38491=FACE_OUTER_BOUND('',#61274,.T.); #38492=FACE_OUTER_BOUND('',#61275,.T.); #38493=FACE_OUTER_BOUND('',#61276,.T.); #38494=FACE_OUTER_BOUND('',#61277,.T.); #38495=FACE_OUTER_BOUND('',#61278,.T.); #38496=FACE_OUTER_BOUND('',#61279,.T.); #38497=FACE_OUTER_BOUND('',#61280,.T.); #38498=FACE_OUTER_BOUND('',#61281,.T.); #38499=FACE_OUTER_BOUND('',#61282,.T.); #38500=FACE_OUTER_BOUND('',#61283,.T.); #38501=FACE_OUTER_BOUND('',#61284,.T.); #38502=FACE_OUTER_BOUND('',#61285,.T.); #38503=FACE_OUTER_BOUND('',#61286,.T.); #38504=FACE_OUTER_BOUND('',#61287,.T.); #38505=FACE_OUTER_BOUND('',#61288,.T.); #38506=FACE_OUTER_BOUND('',#61289,.T.); #38507=FACE_OUTER_BOUND('',#61290,.T.); #38508=FACE_OUTER_BOUND('',#61291,.T.); #38509=FACE_OUTER_BOUND('',#61292,.T.); #38510=FACE_OUTER_BOUND('',#61293,.T.); #38511=FACE_OUTER_BOUND('',#61294,.T.); #38512=FACE_OUTER_BOUND('',#61295,.T.); #38513=FACE_OUTER_BOUND('',#61296,.T.); #38514=FACE_OUTER_BOUND('',#61297,.T.); #38515=FACE_OUTER_BOUND('',#61298,.T.); #38516=FACE_OUTER_BOUND('',#61299,.T.); #38517=FACE_OUTER_BOUND('',#61300,.T.); #38518=FACE_OUTER_BOUND('',#61301,.T.); #38519=FACE_OUTER_BOUND('',#61302,.T.); #38520=FACE_OUTER_BOUND('',#61303,.T.); #38521=FACE_OUTER_BOUND('',#61304,.T.); #38522=FACE_OUTER_BOUND('',#61305,.T.); #38523=FACE_OUTER_BOUND('',#61306,.T.); #38524=FACE_OUTER_BOUND('',#61307,.T.); #38525=FACE_OUTER_BOUND('',#61308,.T.); #38526=FACE_OUTER_BOUND('',#61309,.T.); #38527=FACE_OUTER_BOUND('',#61310,.T.); #38528=FACE_OUTER_BOUND('',#61311,.T.); #38529=FACE_OUTER_BOUND('',#61312,.T.); #38530=FACE_OUTER_BOUND('',#61313,.T.); #38531=FACE_OUTER_BOUND('',#61314,.T.); #38532=FACE_OUTER_BOUND('',#61315,.T.); #38533=FACE_OUTER_BOUND('',#61316,.T.); #38534=FACE_OUTER_BOUND('',#61317,.T.); #38535=FACE_OUTER_BOUND('',#61318,.T.); #38536=FACE_OUTER_BOUND('',#61319,.T.); #38537=FACE_OUTER_BOUND('',#61320,.T.); #38538=FACE_OUTER_BOUND('',#61321,.T.); #38539=FACE_OUTER_BOUND('',#61322,.T.); #38540=FACE_OUTER_BOUND('',#61323,.T.); #38541=FACE_OUTER_BOUND('',#61324,.T.); #38542=FACE_OUTER_BOUND('',#61325,.T.); #38543=FACE_OUTER_BOUND('',#61326,.T.); #38544=FACE_OUTER_BOUND('',#61327,.T.); #38545=FACE_OUTER_BOUND('',#61328,.T.); #38546=FACE_OUTER_BOUND('',#61329,.T.); #38547=FACE_OUTER_BOUND('',#61330,.T.); #38548=FACE_OUTER_BOUND('',#61331,.T.); #38549=FACE_OUTER_BOUND('',#61332,.T.); #38550=FACE_OUTER_BOUND('',#61333,.T.); #38551=FACE_OUTER_BOUND('',#61334,.T.); #38552=FACE_OUTER_BOUND('',#61335,.T.); #38553=FACE_OUTER_BOUND('',#61336,.T.); #38554=FACE_OUTER_BOUND('',#61337,.T.); #38555=FACE_OUTER_BOUND('',#61338,.T.); #38556=FACE_OUTER_BOUND('',#61339,.T.); #38557=FACE_OUTER_BOUND('',#61340,.T.); #38558=FACE_OUTER_BOUND('',#61341,.T.); #38559=FACE_OUTER_BOUND('',#61342,.T.); #38560=FACE_OUTER_BOUND('',#61343,.T.); #38561=FACE_OUTER_BOUND('',#61344,.T.); #38562=FACE_OUTER_BOUND('',#61345,.T.); #38563=FACE_OUTER_BOUND('',#61346,.T.); #38564=FACE_OUTER_BOUND('',#61347,.T.); #38565=FACE_OUTER_BOUND('',#61348,.T.); #38566=FACE_OUTER_BOUND('',#61349,.T.); #38567=FACE_OUTER_BOUND('',#61350,.T.); #38568=FACE_OUTER_BOUND('',#61351,.T.); #38569=FACE_OUTER_BOUND('',#61352,.T.); #38570=FACE_OUTER_BOUND('',#61353,.T.); #38571=FACE_OUTER_BOUND('',#61354,.T.); #38572=FACE_OUTER_BOUND('',#61355,.T.); #38573=FACE_OUTER_BOUND('',#61356,.T.); #38574=FACE_OUTER_BOUND('',#61357,.T.); #38575=FACE_OUTER_BOUND('',#61358,.T.); #38576=FACE_OUTER_BOUND('',#61359,.T.); #38577=FACE_OUTER_BOUND('',#61360,.T.); #38578=FACE_OUTER_BOUND('',#61361,.T.); #38579=FACE_OUTER_BOUND('',#61362,.T.); #38580=FACE_OUTER_BOUND('',#61363,.T.); #38581=FACE_OUTER_BOUND('',#61364,.T.); #38582=FACE_OUTER_BOUND('',#61366,.T.); #38583=FACE_OUTER_BOUND('',#61367,.T.); #38584=FACE_OUTER_BOUND('',#61368,.T.); #38585=FACE_OUTER_BOUND('',#61369,.T.); #38586=FACE_OUTER_BOUND('',#61370,.T.); #38587=FACE_OUTER_BOUND('',#61371,.T.); #38588=FACE_OUTER_BOUND('',#61372,.T.); #38589=FACE_OUTER_BOUND('',#61373,.T.); #38590=FACE_OUTER_BOUND('',#61374,.T.); #38591=FACE_OUTER_BOUND('',#61375,.T.); #38592=FACE_OUTER_BOUND('',#61376,.T.); #38593=FACE_OUTER_BOUND('',#61377,.T.); #38594=FACE_OUTER_BOUND('',#61378,.T.); #38595=FACE_OUTER_BOUND('',#61379,.T.); #38596=FACE_OUTER_BOUND('',#61380,.T.); #38597=FACE_OUTER_BOUND('',#61381,.T.); #38598=FACE_OUTER_BOUND('',#61382,.T.); #38599=FACE_OUTER_BOUND('',#61383,.T.); #38600=FACE_OUTER_BOUND('',#61384,.T.); #38601=FACE_OUTER_BOUND('',#61385,.T.); #38602=FACE_OUTER_BOUND('',#61386,.T.); #38603=FACE_OUTER_BOUND('',#61387,.T.); #38604=FACE_OUTER_BOUND('',#61388,.T.); #38605=FACE_OUTER_BOUND('',#61389,.T.); #38606=FACE_OUTER_BOUND('',#61390,.T.); #38607=FACE_OUTER_BOUND('',#61391,.T.); #38608=FACE_OUTER_BOUND('',#61392,.T.); #38609=FACE_OUTER_BOUND('',#61393,.T.); #38610=FACE_OUTER_BOUND('',#61394,.T.); #38611=FACE_OUTER_BOUND('',#61395,.T.); #38612=FACE_OUTER_BOUND('',#61396,.T.); #38613=FACE_OUTER_BOUND('',#61397,.T.); #38614=FACE_OUTER_BOUND('',#61398,.T.); #38615=FACE_OUTER_BOUND('',#61399,.T.); #38616=FACE_OUTER_BOUND('',#61400,.T.); #38617=FACE_OUTER_BOUND('',#61401,.T.); #38618=FACE_OUTER_BOUND('',#61402,.T.); #38619=FACE_OUTER_BOUND('',#61403,.T.); #38620=FACE_OUTER_BOUND('',#61404,.T.); #38621=FACE_OUTER_BOUND('',#61405,.T.); #38622=FACE_OUTER_BOUND('',#61406,.T.); #38623=FACE_OUTER_BOUND('',#61407,.T.); #38624=FACE_OUTER_BOUND('',#61408,.T.); #38625=FACE_OUTER_BOUND('',#61409,.T.); #38626=FACE_OUTER_BOUND('',#61410,.T.); #38627=FACE_OUTER_BOUND('',#61411,.T.); #38628=FACE_OUTER_BOUND('',#61412,.T.); #38629=FACE_OUTER_BOUND('',#61413,.T.); #38630=FACE_OUTER_BOUND('',#61414,.T.); #38631=FACE_OUTER_BOUND('',#61415,.T.); #38632=FACE_OUTER_BOUND('',#61416,.T.); #38633=FACE_OUTER_BOUND('',#61417,.T.); #38634=FACE_OUTER_BOUND('',#61418,.T.); #38635=FACE_OUTER_BOUND('',#61419,.T.); #38636=FACE_OUTER_BOUND('',#61420,.T.); #38637=FACE_OUTER_BOUND('',#61421,.T.); #38638=FACE_OUTER_BOUND('',#61422,.T.); #38639=FACE_OUTER_BOUND('',#61423,.T.); #38640=FACE_OUTER_BOUND('',#61424,.T.); #38641=FACE_OUTER_BOUND('',#61425,.T.); #38642=FACE_OUTER_BOUND('',#61426,.T.); #38643=FACE_OUTER_BOUND('',#61427,.T.); #38644=FACE_OUTER_BOUND('',#61428,.T.); #38645=FACE_OUTER_BOUND('',#61429,.T.); #38646=FACE_OUTER_BOUND('',#61430,.T.); #38647=FACE_OUTER_BOUND('',#61431,.T.); #38648=FACE_OUTER_BOUND('',#61432,.T.); #38649=FACE_OUTER_BOUND('',#61433,.T.); #38650=FACE_OUTER_BOUND('',#61434,.T.); #38651=FACE_OUTER_BOUND('',#61435,.T.); #38652=FACE_OUTER_BOUND('',#61436,.T.); #38653=FACE_OUTER_BOUND('',#61437,.T.); #38654=FACE_OUTER_BOUND('',#61438,.T.); #38655=FACE_OUTER_BOUND('',#61439,.T.); #38656=FACE_OUTER_BOUND('',#61440,.T.); #38657=FACE_OUTER_BOUND('',#61441,.T.); #38658=FACE_OUTER_BOUND('',#61442,.T.); #38659=FACE_OUTER_BOUND('',#61443,.T.); #38660=FACE_OUTER_BOUND('',#61444,.T.); #38661=FACE_OUTER_BOUND('',#61445,.T.); #38662=FACE_OUTER_BOUND('',#61446,.T.); #38663=FACE_OUTER_BOUND('',#61447,.T.); #38664=FACE_OUTER_BOUND('',#61448,.T.); #38665=FACE_OUTER_BOUND('',#61449,.T.); #38666=FACE_OUTER_BOUND('',#61450,.T.); #38667=FACE_OUTER_BOUND('',#61451,.T.); #38668=FACE_OUTER_BOUND('',#61452,.T.); #38669=FACE_OUTER_BOUND('',#61454,.T.); #38670=FACE_OUTER_BOUND('',#61455,.T.); #38671=FACE_OUTER_BOUND('',#61456,.T.); #38672=FACE_OUTER_BOUND('',#61457,.T.); #38673=FACE_OUTER_BOUND('',#61458,.T.); #38674=FACE_OUTER_BOUND('',#61459,.T.); #38675=FACE_OUTER_BOUND('',#61460,.T.); #38676=FACE_OUTER_BOUND('',#61461,.T.); #38677=FACE_OUTER_BOUND('',#61462,.T.); #38678=FACE_OUTER_BOUND('',#61463,.T.); #38679=FACE_OUTER_BOUND('',#61464,.T.); #38680=FACE_OUTER_BOUND('',#61465,.T.); #38681=FACE_OUTER_BOUND('',#61466,.T.); #38682=FACE_OUTER_BOUND('',#61467,.T.); #38683=FACE_OUTER_BOUND('',#61468,.T.); #38684=FACE_OUTER_BOUND('',#61469,.T.); #38685=FACE_OUTER_BOUND('',#61470,.T.); #38686=FACE_OUTER_BOUND('',#61471,.T.); #38687=FACE_OUTER_BOUND('',#61472,.T.); #38688=FACE_OUTER_BOUND('',#61473,.T.); #38689=FACE_OUTER_BOUND('',#61474,.T.); #38690=FACE_OUTER_BOUND('',#61475,.T.); #38691=FACE_OUTER_BOUND('',#61476,.T.); #38692=FACE_OUTER_BOUND('',#61477,.T.); #38693=FACE_OUTER_BOUND('',#61478,.T.); #38694=FACE_OUTER_BOUND('',#61479,.T.); #38695=FACE_OUTER_BOUND('',#61480,.T.); #38696=FACE_OUTER_BOUND('',#61481,.T.); #38697=FACE_OUTER_BOUND('',#61482,.T.); #38698=FACE_OUTER_BOUND('',#61483,.T.); #38699=FACE_OUTER_BOUND('',#61484,.T.); #38700=FACE_OUTER_BOUND('',#61485,.T.); #38701=FACE_OUTER_BOUND('',#61486,.T.); #38702=FACE_OUTER_BOUND('',#61487,.T.); #38703=FACE_OUTER_BOUND('',#61488,.T.); #38704=FACE_OUTER_BOUND('',#61489,.T.); #38705=FACE_OUTER_BOUND('',#61490,.T.); #38706=FACE_OUTER_BOUND('',#61491,.T.); #38707=FACE_OUTER_BOUND('',#61492,.T.); #38708=FACE_OUTER_BOUND('',#61493,.T.); #38709=FACE_OUTER_BOUND('',#61494,.T.); #38710=FACE_OUTER_BOUND('',#61495,.T.); #38711=FACE_OUTER_BOUND('',#61496,.T.); #38712=FACE_OUTER_BOUND('',#61497,.T.); #38713=FACE_OUTER_BOUND('',#61498,.T.); #38714=FACE_OUTER_BOUND('',#61499,.T.); #38715=FACE_OUTER_BOUND('',#61500,.T.); #38716=FACE_OUTER_BOUND('',#61501,.T.); #38717=FACE_OUTER_BOUND('',#61502,.T.); #38718=FACE_OUTER_BOUND('',#61503,.T.); #38719=FACE_OUTER_BOUND('',#61504,.T.); #38720=FACE_OUTER_BOUND('',#61505,.T.); #38721=FACE_OUTER_BOUND('',#61506,.T.); #38722=FACE_OUTER_BOUND('',#61507,.T.); #38723=FACE_OUTER_BOUND('',#61508,.T.); #38724=FACE_OUTER_BOUND('',#61509,.T.); #38725=FACE_OUTER_BOUND('',#61510,.T.); #38726=FACE_OUTER_BOUND('',#61511,.T.); #38727=FACE_OUTER_BOUND('',#61512,.T.); #38728=FACE_OUTER_BOUND('',#61513,.T.); #38729=FACE_OUTER_BOUND('',#61514,.T.); #38730=FACE_OUTER_BOUND('',#61515,.T.); #38731=FACE_OUTER_BOUND('',#61516,.T.); #38732=FACE_OUTER_BOUND('',#61517,.T.); #38733=FACE_OUTER_BOUND('',#61518,.T.); #38734=FACE_OUTER_BOUND('',#61519,.T.); #38735=FACE_OUTER_BOUND('',#61520,.T.); #38736=FACE_OUTER_BOUND('',#61521,.T.); #38737=FACE_OUTER_BOUND('',#61522,.T.); #38738=FACE_OUTER_BOUND('',#61523,.T.); #38739=FACE_OUTER_BOUND('',#61524,.T.); #38740=FACE_OUTER_BOUND('',#61525,.T.); #38741=FACE_OUTER_BOUND('',#61526,.T.); #38742=FACE_OUTER_BOUND('',#61527,.T.); #38743=FACE_OUTER_BOUND('',#61528,.T.); #38744=FACE_OUTER_BOUND('',#61529,.T.); #38745=FACE_OUTER_BOUND('',#61530,.T.); #38746=FACE_OUTER_BOUND('',#61531,.T.); #38747=FACE_OUTER_BOUND('',#61532,.T.); #38748=FACE_OUTER_BOUND('',#61533,.T.); #38749=FACE_OUTER_BOUND('',#61534,.T.); #38750=FACE_OUTER_BOUND('',#61535,.T.); #38751=FACE_OUTER_BOUND('',#61536,.T.); #38752=FACE_OUTER_BOUND('',#61537,.T.); #38753=FACE_OUTER_BOUND('',#61538,.T.); #38754=FACE_OUTER_BOUND('',#61539,.T.); #38755=FACE_OUTER_BOUND('',#61540,.T.); #38756=FACE_OUTER_BOUND('',#61541,.T.); #38757=FACE_OUTER_BOUND('',#61542,.T.); #38758=FACE_OUTER_BOUND('',#61543,.T.); #38759=FACE_OUTER_BOUND('',#61544,.T.); #38760=FACE_OUTER_BOUND('',#61545,.T.); #38761=FACE_OUTER_BOUND('',#61546,.T.); #38762=FACE_OUTER_BOUND('',#61547,.T.); #38763=FACE_OUTER_BOUND('',#61548,.T.); #38764=FACE_OUTER_BOUND('',#61549,.T.); #38765=FACE_OUTER_BOUND('',#61550,.T.); #38766=FACE_OUTER_BOUND('',#61551,.T.); #38767=FACE_OUTER_BOUND('',#61552,.T.); #38768=FACE_OUTER_BOUND('',#61553,.T.); #38769=FACE_OUTER_BOUND('',#61554,.T.); #38770=FACE_OUTER_BOUND('',#61555,.T.); #38771=FACE_OUTER_BOUND('',#61556,.T.); #38772=FACE_OUTER_BOUND('',#61557,.T.); #38773=FACE_OUTER_BOUND('',#61558,.T.); #38774=FACE_OUTER_BOUND('',#61559,.T.); #38775=FACE_OUTER_BOUND('',#61560,.T.); #38776=FACE_OUTER_BOUND('',#61561,.T.); #38777=FACE_OUTER_BOUND('',#61562,.T.); #38778=FACE_OUTER_BOUND('',#61563,.T.); #38779=FACE_OUTER_BOUND('',#61564,.T.); #38780=FACE_OUTER_BOUND('',#61565,.T.); #38781=FACE_OUTER_BOUND('',#61566,.T.); #38782=FACE_OUTER_BOUND('',#61567,.T.); #38783=FACE_OUTER_BOUND('',#61568,.T.); #38784=FACE_OUTER_BOUND('',#61569,.T.); #38785=FACE_OUTER_BOUND('',#61570,.T.); #38786=FACE_OUTER_BOUND('',#61571,.T.); #38787=FACE_OUTER_BOUND('',#61572,.T.); #38788=FACE_OUTER_BOUND('',#61573,.T.); #38789=FACE_OUTER_BOUND('',#61574,.T.); #38790=FACE_OUTER_BOUND('',#61575,.T.); #38791=FACE_OUTER_BOUND('',#61576,.T.); #38792=FACE_OUTER_BOUND('',#61577,.T.); #38793=FACE_OUTER_BOUND('',#61578,.T.); #38794=FACE_OUTER_BOUND('',#61579,.T.); #38795=FACE_OUTER_BOUND('',#61580,.T.); #38796=FACE_OUTER_BOUND('',#61581,.T.); #38797=FACE_OUTER_BOUND('',#61582,.T.); #38798=FACE_OUTER_BOUND('',#61583,.T.); #38799=FACE_OUTER_BOUND('',#61585,.T.); #38800=FACE_OUTER_BOUND('',#61586,.T.); #38801=FACE_OUTER_BOUND('',#61587,.T.); #38802=FACE_OUTER_BOUND('',#61588,.T.); #38803=FACE_OUTER_BOUND('',#61589,.T.); #38804=FACE_OUTER_BOUND('',#61590,.T.); #38805=FACE_OUTER_BOUND('',#61591,.T.); #38806=FACE_OUTER_BOUND('',#61592,.T.); #38807=FACE_OUTER_BOUND('',#61593,.T.); #38808=FACE_OUTER_BOUND('',#61594,.T.); #38809=FACE_OUTER_BOUND('',#61595,.T.); #38810=FACE_OUTER_BOUND('',#61596,.T.); #38811=FACE_OUTER_BOUND('',#61597,.T.); #38812=FACE_OUTER_BOUND('',#61598,.T.); #38813=FACE_OUTER_BOUND('',#61599,.T.); #38814=FACE_OUTER_BOUND('',#61600,.T.); #38815=FACE_OUTER_BOUND('',#61601,.T.); #38816=FACE_OUTER_BOUND('',#61602,.T.); #38817=FACE_OUTER_BOUND('',#61603,.T.); #38818=FACE_OUTER_BOUND('',#61604,.T.); #38819=FACE_OUTER_BOUND('',#61605,.T.); #38820=FACE_OUTER_BOUND('',#61606,.T.); #38821=FACE_OUTER_BOUND('',#61607,.T.); #38822=FACE_OUTER_BOUND('',#61608,.T.); #38823=FACE_OUTER_BOUND('',#61609,.T.); #38824=FACE_OUTER_BOUND('',#61610,.T.); #38825=FACE_OUTER_BOUND('',#61611,.T.); #38826=FACE_OUTER_BOUND('',#61612,.T.); #38827=FACE_OUTER_BOUND('',#61613,.T.); #38828=FACE_OUTER_BOUND('',#61614,.T.); #38829=FACE_OUTER_BOUND('',#61615,.T.); #38830=FACE_OUTER_BOUND('',#61616,.T.); #38831=FACE_OUTER_BOUND('',#61617,.T.); #38832=FACE_OUTER_BOUND('',#61618,.T.); #38833=FACE_OUTER_BOUND('',#61619,.T.); #38834=FACE_OUTER_BOUND('',#61620,.T.); #38835=FACE_OUTER_BOUND('',#61621,.T.); #38836=FACE_OUTER_BOUND('',#61622,.T.); #38837=FACE_OUTER_BOUND('',#61623,.T.); #38838=FACE_OUTER_BOUND('',#61624,.T.); #38839=FACE_OUTER_BOUND('',#61625,.T.); #38840=FACE_OUTER_BOUND('',#61626,.T.); #38841=FACE_OUTER_BOUND('',#61627,.T.); #38842=FACE_OUTER_BOUND('',#61628,.T.); #38843=FACE_OUTER_BOUND('',#61629,.T.); #38844=FACE_OUTER_BOUND('',#61630,.T.); #38845=FACE_OUTER_BOUND('',#61631,.T.); #38846=FACE_OUTER_BOUND('',#61632,.T.); #38847=FACE_OUTER_BOUND('',#61633,.T.); #38848=FACE_OUTER_BOUND('',#61634,.T.); #38849=FACE_OUTER_BOUND('',#61635,.T.); #38850=FACE_OUTER_BOUND('',#61636,.T.); #38851=FACE_OUTER_BOUND('',#61637,.T.); #38852=FACE_OUTER_BOUND('',#61638,.T.); #38853=FACE_OUTER_BOUND('',#61639,.T.); #38854=FACE_OUTER_BOUND('',#61640,.T.); #38855=FACE_OUTER_BOUND('',#61641,.T.); #38856=FACE_OUTER_BOUND('',#61642,.T.); #38857=FACE_OUTER_BOUND('',#61643,.T.); #38858=FACE_OUTER_BOUND('',#61644,.T.); #38859=FACE_OUTER_BOUND('',#61645,.T.); #38860=FACE_OUTER_BOUND('',#61646,.T.); #38861=FACE_OUTER_BOUND('',#61647,.T.); #38862=FACE_OUTER_BOUND('',#61648,.T.); #38863=FACE_OUTER_BOUND('',#61649,.T.); #38864=FACE_OUTER_BOUND('',#61650,.T.); #38865=FACE_OUTER_BOUND('',#61651,.T.); #38866=FACE_OUTER_BOUND('',#61652,.T.); #38867=FACE_OUTER_BOUND('',#61653,.T.); #38868=FACE_OUTER_BOUND('',#61654,.T.); #38869=FACE_OUTER_BOUND('',#61655,.T.); #38870=FACE_OUTER_BOUND('',#61656,.T.); #38871=FACE_OUTER_BOUND('',#61657,.T.); #38872=FACE_OUTER_BOUND('',#61658,.T.); #38873=FACE_OUTER_BOUND('',#61659,.T.); #38874=FACE_OUTER_BOUND('',#61660,.T.); #38875=FACE_OUTER_BOUND('',#61661,.T.); #38876=FACE_OUTER_BOUND('',#61662,.T.); #38877=FACE_OUTER_BOUND('',#61663,.T.); #38878=FACE_OUTER_BOUND('',#61664,.T.); #38879=FACE_OUTER_BOUND('',#61665,.T.); #38880=FACE_OUTER_BOUND('',#61666,.T.); #38881=FACE_OUTER_BOUND('',#61667,.T.); #38882=FACE_OUTER_BOUND('',#61668,.T.); #38883=FACE_OUTER_BOUND('',#61669,.T.); #38884=FACE_OUTER_BOUND('',#61670,.T.); #38885=FACE_OUTER_BOUND('',#61671,.T.); #38886=FACE_OUTER_BOUND('',#61672,.T.); #38887=FACE_OUTER_BOUND('',#61673,.T.); #38888=FACE_OUTER_BOUND('',#61674,.T.); #38889=FACE_OUTER_BOUND('',#61675,.T.); #38890=FACE_OUTER_BOUND('',#61676,.T.); #38891=FACE_OUTER_BOUND('',#61677,.T.); #38892=FACE_OUTER_BOUND('',#61678,.T.); #38893=FACE_OUTER_BOUND('',#61679,.T.); #38894=FACE_OUTER_BOUND('',#61680,.T.); #38895=FACE_OUTER_BOUND('',#61681,.T.); #38896=FACE_OUTER_BOUND('',#61682,.T.); #38897=FACE_OUTER_BOUND('',#61683,.T.); #38898=FACE_OUTER_BOUND('',#61684,.T.); #38899=FACE_OUTER_BOUND('',#61685,.T.); #38900=FACE_OUTER_BOUND('',#61686,.T.); #38901=FACE_OUTER_BOUND('',#61687,.T.); #38902=FACE_OUTER_BOUND('',#61688,.T.); #38903=FACE_OUTER_BOUND('',#61689,.T.); #38904=FACE_OUTER_BOUND('',#61690,.T.); #38905=FACE_OUTER_BOUND('',#61691,.T.); #38906=FACE_OUTER_BOUND('',#61692,.T.); #38907=FACE_OUTER_BOUND('',#61693,.T.); #38908=FACE_OUTER_BOUND('',#61694,.T.); #38909=FACE_OUTER_BOUND('',#61695,.T.); #38910=FACE_OUTER_BOUND('',#61696,.T.); #38911=FACE_OUTER_BOUND('',#61697,.T.); #38912=FACE_OUTER_BOUND('',#61698,.T.); #38913=FACE_OUTER_BOUND('',#61699,.T.); #38914=FACE_OUTER_BOUND('',#61700,.T.); #38915=FACE_OUTER_BOUND('',#61701,.T.); #38916=FACE_OUTER_BOUND('',#61702,.T.); #38917=FACE_OUTER_BOUND('',#61703,.T.); #38918=FACE_OUTER_BOUND('',#61704,.T.); #38919=FACE_OUTER_BOUND('',#61705,.T.); #38920=FACE_OUTER_BOUND('',#61706,.T.); #38921=FACE_OUTER_BOUND('',#61707,.T.); #38922=FACE_OUTER_BOUND('',#61708,.T.); #38923=FACE_OUTER_BOUND('',#61709,.T.); #38924=FACE_OUTER_BOUND('',#61710,.T.); #38925=FACE_OUTER_BOUND('',#61711,.T.); #38926=FACE_OUTER_BOUND('',#61712,.T.); #38927=FACE_OUTER_BOUND('',#61713,.T.); #38928=FACE_OUTER_BOUND('',#61714,.T.); #38929=FACE_OUTER_BOUND('',#61715,.T.); #38930=FACE_OUTER_BOUND('',#61716,.T.); #38931=FACE_OUTER_BOUND('',#61717,.T.); #38932=FACE_OUTER_BOUND('',#61718,.T.); #38933=FACE_OUTER_BOUND('',#61719,.T.); #38934=FACE_OUTER_BOUND('',#61720,.T.); #38935=FACE_OUTER_BOUND('',#61721,.T.); #38936=FACE_OUTER_BOUND('',#61722,.T.); #38937=FACE_OUTER_BOUND('',#61723,.T.); #38938=FACE_OUTER_BOUND('',#61724,.T.); #38939=FACE_OUTER_BOUND('',#61725,.T.); #38940=FACE_OUTER_BOUND('',#61726,.T.); #38941=FACE_OUTER_BOUND('',#61727,.T.); #38942=FACE_OUTER_BOUND('',#61728,.T.); #38943=FACE_OUTER_BOUND('',#61729,.T.); #38944=FACE_OUTER_BOUND('',#61730,.T.); #38945=FACE_OUTER_BOUND('',#61731,.T.); #38946=FACE_OUTER_BOUND('',#61732,.T.); #38947=FACE_OUTER_BOUND('',#61733,.T.); #38948=FACE_OUTER_BOUND('',#61734,.T.); #38949=FACE_OUTER_BOUND('',#61735,.T.); #38950=FACE_OUTER_BOUND('',#61736,.T.); #38951=FACE_OUTER_BOUND('',#61737,.T.); #38952=FACE_OUTER_BOUND('',#61738,.T.); #38953=FACE_OUTER_BOUND('',#61739,.T.); #38954=FACE_OUTER_BOUND('',#61740,.T.); #38955=FACE_OUTER_BOUND('',#61741,.T.); #38956=FACE_OUTER_BOUND('',#61742,.T.); #38957=FACE_OUTER_BOUND('',#61744,.T.); #38958=FACE_OUTER_BOUND('',#61746,.T.); #38959=FACE_OUTER_BOUND('',#61747,.T.); #38960=FACE_OUTER_BOUND('',#61748,.T.); #38961=FACE_OUTER_BOUND('',#61749,.T.); #38962=FACE_OUTER_BOUND('',#61750,.T.); #38963=FACE_OUTER_BOUND('',#61751,.T.); #38964=FACE_OUTER_BOUND('',#61752,.T.); #38965=FACE_OUTER_BOUND('',#61753,.T.); #38966=FACE_OUTER_BOUND('',#61754,.T.); #38967=FACE_OUTER_BOUND('',#61755,.T.); #38968=FACE_OUTER_BOUND('',#61756,.T.); #38969=FACE_OUTER_BOUND('',#61757,.T.); #38970=FACE_OUTER_BOUND('',#61758,.T.); #38971=FACE_OUTER_BOUND('',#61760,.T.); #38972=FACE_OUTER_BOUND('',#61761,.T.); #38973=FACE_OUTER_BOUND('',#61762,.T.); #38974=FACE_OUTER_BOUND('',#61763,.T.); #38975=FACE_OUTER_BOUND('',#61764,.T.); #38976=FACE_OUTER_BOUND('',#61765,.T.); #38977=FACE_OUTER_BOUND('',#61766,.T.); #38978=FACE_OUTER_BOUND('',#61767,.T.); #38979=FACE_OUTER_BOUND('',#61768,.T.); #38980=FACE_OUTER_BOUND('',#61769,.T.); #38981=FACE_OUTER_BOUND('',#61770,.T.); #38982=FACE_OUTER_BOUND('',#61771,.T.); #38983=FACE_OUTER_BOUND('',#61772,.T.); #38984=FACE_OUTER_BOUND('',#61773,.T.); #38985=FACE_OUTER_BOUND('',#61774,.T.); #38986=FACE_OUTER_BOUND('',#61775,.T.); #38987=FACE_OUTER_BOUND('',#61776,.T.); #38988=FACE_OUTER_BOUND('',#61777,.T.); #38989=FACE_OUTER_BOUND('',#61778,.T.); #38990=FACE_OUTER_BOUND('',#61779,.T.); #38991=FACE_OUTER_BOUND('',#61780,.T.); #38992=FACE_OUTER_BOUND('',#61781,.T.); #38993=FACE_OUTER_BOUND('',#61782,.T.); #38994=FACE_OUTER_BOUND('',#61783,.T.); #38995=FACE_OUTER_BOUND('',#61784,.T.); #38996=FACE_OUTER_BOUND('',#61785,.T.); #38997=FACE_OUTER_BOUND('',#61786,.T.); #38998=FACE_OUTER_BOUND('',#61787,.T.); #38999=FACE_OUTER_BOUND('',#61788,.T.); #39000=FACE_OUTER_BOUND('',#61789,.T.); #39001=FACE_OUTER_BOUND('',#61790,.T.); #39002=FACE_OUTER_BOUND('',#61791,.T.); #39003=FACE_OUTER_BOUND('',#61792,.T.); #39004=FACE_OUTER_BOUND('',#61793,.T.); #39005=FACE_OUTER_BOUND('',#61794,.T.); #39006=FACE_OUTER_BOUND('',#61795,.T.); #39007=FACE_OUTER_BOUND('',#61796,.T.); #39008=FACE_OUTER_BOUND('',#61797,.T.); #39009=FACE_OUTER_BOUND('',#61798,.T.); #39010=FACE_OUTER_BOUND('',#61799,.T.); #39011=FACE_OUTER_BOUND('',#61800,.T.); #39012=FACE_OUTER_BOUND('',#61801,.T.); #39013=FACE_OUTER_BOUND('',#61802,.T.); #39014=FACE_OUTER_BOUND('',#61803,.T.); #39015=FACE_OUTER_BOUND('',#61804,.T.); #39016=FACE_OUTER_BOUND('',#61805,.T.); #39017=FACE_OUTER_BOUND('',#61806,.T.); #39018=FACE_OUTER_BOUND('',#61807,.T.); #39019=FACE_OUTER_BOUND('',#61808,.T.); #39020=FACE_OUTER_BOUND('',#61809,.T.); #39021=FACE_OUTER_BOUND('',#61810,.T.); #39022=FACE_OUTER_BOUND('',#61811,.T.); #39023=FACE_OUTER_BOUND('',#61812,.T.); #39024=FACE_OUTER_BOUND('',#61813,.T.); #39025=FACE_OUTER_BOUND('',#61814,.T.); #39026=FACE_OUTER_BOUND('',#61815,.T.); #39027=FACE_OUTER_BOUND('',#61816,.T.); #39028=FACE_OUTER_BOUND('',#61817,.T.); #39029=FACE_OUTER_BOUND('',#61818,.T.); #39030=FACE_OUTER_BOUND('',#61819,.T.); #39031=FACE_OUTER_BOUND('',#61820,.T.); #39032=FACE_OUTER_BOUND('',#61821,.T.); #39033=FACE_OUTER_BOUND('',#61822,.T.); #39034=FACE_OUTER_BOUND('',#61823,.T.); #39035=FACE_OUTER_BOUND('',#61824,.T.); #39036=FACE_OUTER_BOUND('',#61825,.T.); #39037=FACE_OUTER_BOUND('',#61826,.T.); #39038=FACE_OUTER_BOUND('',#61827,.T.); #39039=FACE_OUTER_BOUND('',#61828,.T.); #39040=FACE_OUTER_BOUND('',#61829,.T.); #39041=FACE_OUTER_BOUND('',#61830,.T.); #39042=FACE_OUTER_BOUND('',#61831,.T.); #39043=FACE_OUTER_BOUND('',#61832,.T.); #39044=FACE_OUTER_BOUND('',#61833,.T.); #39045=FACE_OUTER_BOUND('',#61834,.T.); #39046=FACE_OUTER_BOUND('',#61835,.T.); #39047=FACE_OUTER_BOUND('',#61836,.T.); #39048=FACE_OUTER_BOUND('',#61837,.T.); #39049=FACE_OUTER_BOUND('',#61838,.T.); #39050=FACE_OUTER_BOUND('',#61839,.T.); #39051=FACE_OUTER_BOUND('',#61840,.T.); #39052=FACE_OUTER_BOUND('',#61841,.T.); #39053=FACE_OUTER_BOUND('',#61842,.T.); #39054=FACE_OUTER_BOUND('',#61843,.T.); #39055=FACE_OUTER_BOUND('',#61844,.T.); #39056=FACE_OUTER_BOUND('',#61845,.T.); #39057=FACE_OUTER_BOUND('',#61846,.T.); #39058=FACE_OUTER_BOUND('',#61847,.T.); #39059=FACE_OUTER_BOUND('',#61848,.T.); #39060=FACE_OUTER_BOUND('',#61849,.T.); #39061=FACE_OUTER_BOUND('',#61850,.T.); #39062=FACE_OUTER_BOUND('',#61851,.T.); #39063=FACE_OUTER_BOUND('',#61852,.T.); #39064=FACE_OUTER_BOUND('',#61853,.T.); #39065=FACE_OUTER_BOUND('',#61854,.T.); #39066=FACE_OUTER_BOUND('',#61855,.T.); #39067=FACE_OUTER_BOUND('',#61856,.T.); #39068=FACE_OUTER_BOUND('',#61857,.T.); #39069=FACE_OUTER_BOUND('',#61858,.T.); #39070=FACE_OUTER_BOUND('',#61859,.T.); #39071=FACE_OUTER_BOUND('',#61860,.T.); #39072=FACE_OUTER_BOUND('',#61861,.T.); #39073=FACE_OUTER_BOUND('',#61862,.T.); #39074=FACE_OUTER_BOUND('',#61863,.T.); #39075=FACE_OUTER_BOUND('',#61864,.T.); #39076=FACE_OUTER_BOUND('',#61865,.T.); #39077=FACE_OUTER_BOUND('',#61866,.T.); #39078=FACE_OUTER_BOUND('',#61867,.T.); #39079=FACE_OUTER_BOUND('',#61868,.T.); #39080=FACE_OUTER_BOUND('',#61869,.T.); #39081=FACE_OUTER_BOUND('',#61870,.T.); #39082=FACE_OUTER_BOUND('',#61871,.T.); #39083=FACE_OUTER_BOUND('',#61872,.T.); #39084=FACE_OUTER_BOUND('',#61873,.T.); #39085=FACE_OUTER_BOUND('',#61874,.T.); #39086=FACE_OUTER_BOUND('',#61875,.T.); #39087=FACE_OUTER_BOUND('',#61876,.T.); #39088=FACE_OUTER_BOUND('',#61877,.T.); #39089=FACE_OUTER_BOUND('',#61878,.T.); #39090=FACE_OUTER_BOUND('',#61879,.T.); #39091=FACE_OUTER_BOUND('',#61880,.T.); #39092=FACE_OUTER_BOUND('',#61881,.T.); #39093=FACE_OUTER_BOUND('',#61882,.T.); #39094=FACE_OUTER_BOUND('',#61883,.T.); #39095=FACE_OUTER_BOUND('',#61884,.T.); #39096=FACE_OUTER_BOUND('',#61885,.T.); #39097=FACE_OUTER_BOUND('',#61886,.T.); #39098=FACE_OUTER_BOUND('',#61887,.T.); #39099=FACE_OUTER_BOUND('',#61888,.T.); #39100=FACE_OUTER_BOUND('',#61889,.T.); #39101=FACE_OUTER_BOUND('',#61890,.T.); #39102=FACE_OUTER_BOUND('',#61891,.T.); #39103=FACE_OUTER_BOUND('',#61892,.T.); #39104=FACE_OUTER_BOUND('',#61893,.T.); #39105=FACE_OUTER_BOUND('',#61894,.T.); #39106=FACE_OUTER_BOUND('',#61895,.T.); #39107=FACE_OUTER_BOUND('',#61896,.T.); #39108=FACE_OUTER_BOUND('',#61897,.T.); #39109=FACE_OUTER_BOUND('',#61898,.T.); #39110=FACE_OUTER_BOUND('',#61899,.T.); #39111=FACE_OUTER_BOUND('',#61900,.T.); #39112=FACE_OUTER_BOUND('',#61901,.T.); #39113=FACE_OUTER_BOUND('',#61902,.T.); #39114=FACE_OUTER_BOUND('',#61903,.T.); #39115=FACE_OUTER_BOUND('',#61904,.T.); #39116=FACE_OUTER_BOUND('',#61905,.T.); #39117=FACE_OUTER_BOUND('',#61906,.T.); #39118=FACE_OUTER_BOUND('',#61907,.T.); #39119=FACE_OUTER_BOUND('',#61908,.T.); #39120=FACE_OUTER_BOUND('',#61909,.T.); #39121=FACE_OUTER_BOUND('',#61910,.T.); #39122=FACE_OUTER_BOUND('',#61911,.T.); #39123=FACE_OUTER_BOUND('',#61912,.T.); #39124=FACE_OUTER_BOUND('',#61913,.T.); #39125=FACE_OUTER_BOUND('',#61914,.T.); #39126=FACE_OUTER_BOUND('',#61915,.T.); #39127=FACE_OUTER_BOUND('',#61916,.T.); #39128=FACE_OUTER_BOUND('',#61917,.T.); #39129=FACE_OUTER_BOUND('',#61918,.T.); #39130=FACE_OUTER_BOUND('',#61919,.T.); #39131=FACE_OUTER_BOUND('',#61920,.T.); #39132=FACE_OUTER_BOUND('',#61921,.T.); #39133=FACE_OUTER_BOUND('',#61922,.T.); #39134=FACE_OUTER_BOUND('',#61923,.T.); #39135=FACE_OUTER_BOUND('',#61924,.T.); #39136=FACE_OUTER_BOUND('',#61925,.T.); #39137=FACE_OUTER_BOUND('',#61926,.T.); #39138=FACE_OUTER_BOUND('',#61927,.T.); #39139=FACE_OUTER_BOUND('',#61928,.T.); #39140=FACE_OUTER_BOUND('',#61929,.T.); #39141=FACE_OUTER_BOUND('',#61930,.T.); #39142=FACE_OUTER_BOUND('',#61931,.T.); #39143=FACE_OUTER_BOUND('',#61932,.T.); #39144=FACE_OUTER_BOUND('',#61933,.T.); #39145=FACE_OUTER_BOUND('',#61934,.T.); #39146=FACE_OUTER_BOUND('',#61935,.T.); #39147=FACE_OUTER_BOUND('',#61936,.T.); #39148=FACE_OUTER_BOUND('',#61937,.T.); #39149=FACE_OUTER_BOUND('',#61938,.T.); #39150=FACE_OUTER_BOUND('',#61939,.T.); #39151=FACE_OUTER_BOUND('',#61940,.T.); #39152=FACE_OUTER_BOUND('',#61941,.T.); #39153=FACE_OUTER_BOUND('',#61942,.T.); #39154=FACE_OUTER_BOUND('',#61943,.T.); #39155=FACE_OUTER_BOUND('',#61946,.T.); #39156=FACE_OUTER_BOUND('',#61947,.T.); #39157=FACE_OUTER_BOUND('',#61948,.T.); #39158=FACE_OUTER_BOUND('',#61949,.T.); #39159=FACE_OUTER_BOUND('',#61950,.T.); #39160=FACE_OUTER_BOUND('',#61951,.T.); #39161=FACE_OUTER_BOUND('',#61952,.T.); #39162=FACE_OUTER_BOUND('',#61953,.T.); #39163=FACE_OUTER_BOUND('',#61954,.T.); #39164=FACE_OUTER_BOUND('',#61955,.T.); #39165=FACE_OUTER_BOUND('',#61956,.T.); #39166=FACE_OUTER_BOUND('',#61957,.T.); #39167=FACE_OUTER_BOUND('',#61959,.T.); #39168=FACE_OUTER_BOUND('',#61960,.T.); #39169=FACE_OUTER_BOUND('',#61961,.T.); #39170=FACE_OUTER_BOUND('',#61962,.T.); #39171=FACE_OUTER_BOUND('',#61963,.T.); #39172=FACE_OUTER_BOUND('',#61964,.T.); #39173=FACE_OUTER_BOUND('',#61965,.T.); #39174=FACE_OUTER_BOUND('',#61966,.T.); #39175=FACE_OUTER_BOUND('',#61967,.T.); #39176=FACE_OUTER_BOUND('',#61968,.T.); #39177=FACE_OUTER_BOUND('',#61969,.T.); #39178=FACE_OUTER_BOUND('',#61970,.T.); #39179=FACE_OUTER_BOUND('',#61971,.T.); #39180=FACE_OUTER_BOUND('',#61972,.T.); #39181=FACE_OUTER_BOUND('',#61973,.T.); #39182=FACE_OUTER_BOUND('',#61974,.T.); #39183=FACE_OUTER_BOUND('',#61975,.T.); #39184=FACE_OUTER_BOUND('',#61976,.T.); #39185=FACE_OUTER_BOUND('',#61977,.T.); #39186=FACE_OUTER_BOUND('',#61978,.T.); #39187=FACE_OUTER_BOUND('',#61979,.T.); #39188=FACE_OUTER_BOUND('',#61981,.T.); #39189=FACE_OUTER_BOUND('',#61982,.T.); #39190=FACE_OUTER_BOUND('',#61983,.T.); #39191=FACE_OUTER_BOUND('',#61984,.T.); #39192=FACE_OUTER_BOUND('',#61985,.T.); #39193=FACE_OUTER_BOUND('',#61986,.T.); #39194=FACE_OUTER_BOUND('',#61987,.T.); #39195=FACE_OUTER_BOUND('',#61988,.T.); #39196=FACE_OUTER_BOUND('',#61989,.T.); #39197=FACE_OUTER_BOUND('',#61990,.T.); #39198=FACE_OUTER_BOUND('',#61991,.T.); #39199=FACE_OUTER_BOUND('',#61992,.T.); #39200=FACE_OUTER_BOUND('',#61993,.T.); #39201=FACE_OUTER_BOUND('',#61994,.T.); #39202=FACE_OUTER_BOUND('',#61995,.T.); #39203=FACE_OUTER_BOUND('',#61996,.T.); #39204=FACE_OUTER_BOUND('',#61997,.T.); #39205=FACE_OUTER_BOUND('',#61998,.T.); #39206=FACE_OUTER_BOUND('',#61999,.T.); #39207=FACE_OUTER_BOUND('',#62000,.T.); #39208=FACE_OUTER_BOUND('',#62001,.T.); #39209=FACE_OUTER_BOUND('',#62002,.T.); #39210=FACE_OUTER_BOUND('',#62003,.T.); #39211=FACE_OUTER_BOUND('',#62004,.T.); #39212=FACE_OUTER_BOUND('',#62005,.T.); #39213=FACE_OUTER_BOUND('',#62006,.T.); #39214=FACE_OUTER_BOUND('',#62007,.T.); #39215=FACE_OUTER_BOUND('',#62008,.T.); #39216=FACE_OUTER_BOUND('',#62009,.T.); #39217=FACE_OUTER_BOUND('',#62010,.T.); #39218=FACE_OUTER_BOUND('',#62011,.T.); #39219=FACE_OUTER_BOUND('',#62012,.T.); #39220=FACE_OUTER_BOUND('',#62016,.T.); #39221=FACE_OUTER_BOUND('',#62017,.T.); #39222=FACE_OUTER_BOUND('',#62018,.T.); #39223=FACE_OUTER_BOUND('',#62019,.T.); #39224=FACE_OUTER_BOUND('',#62020,.T.); #39225=FACE_OUTER_BOUND('',#62021,.T.); #39226=FACE_OUTER_BOUND('',#62022,.T.); #39227=FACE_OUTER_BOUND('',#62023,.T.); #39228=FACE_OUTER_BOUND('',#62024,.T.); #39229=FACE_OUTER_BOUND('',#62025,.T.); #39230=FACE_OUTER_BOUND('',#62026,.T.); #39231=FACE_OUTER_BOUND('',#62027,.T.); #39232=FACE_OUTER_BOUND('',#62028,.T.); #39233=FACE_OUTER_BOUND('',#62029,.T.); #39234=FACE_OUTER_BOUND('',#62030,.T.); #39235=FACE_OUTER_BOUND('',#62031,.T.); #39236=FACE_OUTER_BOUND('',#62032,.T.); #39237=FACE_OUTER_BOUND('',#62033,.T.); #39238=FACE_OUTER_BOUND('',#62034,.T.); #39239=FACE_OUTER_BOUND('',#62035,.T.); #39240=FACE_OUTER_BOUND('',#62036,.T.); #39241=FACE_OUTER_BOUND('',#62037,.T.); #39242=FACE_OUTER_BOUND('',#62038,.T.); #39243=FACE_OUTER_BOUND('',#62039,.T.); #39244=FACE_OUTER_BOUND('',#62040,.T.); #39245=FACE_OUTER_BOUND('',#62041,.T.); #39246=FACE_OUTER_BOUND('',#62042,.T.); #39247=FACE_OUTER_BOUND('',#62043,.T.); #39248=FACE_OUTER_BOUND('',#62044,.T.); #39249=FACE_OUTER_BOUND('',#62045,.T.); #39250=FACE_OUTER_BOUND('',#62046,.T.); #39251=FACE_OUTER_BOUND('',#62047,.T.); #39252=FACE_OUTER_BOUND('',#62048,.T.); #39253=FACE_OUTER_BOUND('',#62049,.T.); #39254=FACE_OUTER_BOUND('',#62050,.T.); #39255=FACE_OUTER_BOUND('',#62051,.T.); #39256=FACE_OUTER_BOUND('',#62052,.T.); #39257=FACE_OUTER_BOUND('',#62053,.T.); #39258=FACE_OUTER_BOUND('',#62054,.T.); #39259=FACE_OUTER_BOUND('',#62055,.T.); #39260=FACE_OUTER_BOUND('',#62056,.T.); #39261=FACE_OUTER_BOUND('',#62057,.T.); #39262=FACE_OUTER_BOUND('',#62058,.T.); #39263=FACE_OUTER_BOUND('',#62059,.T.); #39264=FACE_OUTER_BOUND('',#62060,.T.); #39265=FACE_OUTER_BOUND('',#62061,.T.); #39266=FACE_OUTER_BOUND('',#62062,.T.); #39267=FACE_OUTER_BOUND('',#62063,.T.); #39268=FACE_OUTER_BOUND('',#62064,.T.); #39269=FACE_OUTER_BOUND('',#62065,.T.); #39270=FACE_OUTER_BOUND('',#62066,.T.); #39271=FACE_OUTER_BOUND('',#62067,.T.); #39272=FACE_OUTER_BOUND('',#62068,.T.); #39273=FACE_OUTER_BOUND('',#62069,.T.); #39274=FACE_OUTER_BOUND('',#62070,.T.); #39275=FACE_OUTER_BOUND('',#62071,.T.); #39276=FACE_OUTER_BOUND('',#62072,.T.); #39277=FACE_OUTER_BOUND('',#62073,.T.); #39278=FACE_OUTER_BOUND('',#62074,.T.); #39279=FACE_OUTER_BOUND('',#62075,.T.); #39280=FACE_OUTER_BOUND('',#62076,.T.); #39281=FACE_OUTER_BOUND('',#62077,.T.); #39282=FACE_OUTER_BOUND('',#62078,.T.); #39283=FACE_OUTER_BOUND('',#62079,.T.); #39284=FACE_OUTER_BOUND('',#62080,.T.); #39285=FACE_OUTER_BOUND('',#62081,.T.); #39286=FACE_OUTER_BOUND('',#62082,.T.); #39287=FACE_OUTER_BOUND('',#62083,.T.); #39288=FACE_OUTER_BOUND('',#62084,.T.); #39289=FACE_OUTER_BOUND('',#62085,.T.); #39290=FACE_OUTER_BOUND('',#62086,.T.); #39291=FACE_OUTER_BOUND('',#62087,.T.); #39292=FACE_OUTER_BOUND('',#62088,.T.); #39293=FACE_OUTER_BOUND('',#62089,.T.); #39294=FACE_OUTER_BOUND('',#62090,.T.); #39295=FACE_OUTER_BOUND('',#62091,.T.); #39296=FACE_OUTER_BOUND('',#62092,.T.); #39297=FACE_OUTER_BOUND('',#62093,.T.); #39298=FACE_OUTER_BOUND('',#62094,.T.); #39299=FACE_OUTER_BOUND('',#62095,.T.); #39300=FACE_OUTER_BOUND('',#62096,.T.); #39301=FACE_OUTER_BOUND('',#62097,.T.); #39302=FACE_OUTER_BOUND('',#62098,.T.); #39303=FACE_OUTER_BOUND('',#62099,.T.); #39304=FACE_OUTER_BOUND('',#62100,.T.); #39305=FACE_OUTER_BOUND('',#62101,.T.); #39306=FACE_OUTER_BOUND('',#62102,.T.); #39307=FACE_OUTER_BOUND('',#62103,.T.); #39308=FACE_OUTER_BOUND('',#62104,.T.); #39309=FACE_OUTER_BOUND('',#62105,.T.); #39310=FACE_OUTER_BOUND('',#62106,.T.); #39311=FACE_OUTER_BOUND('',#62107,.T.); #39312=FACE_OUTER_BOUND('',#62108,.T.); #39313=FACE_OUTER_BOUND('',#62109,.T.); #39314=FACE_OUTER_BOUND('',#62110,.T.); #39315=FACE_OUTER_BOUND('',#62111,.T.); #39316=FACE_OUTER_BOUND('',#62112,.T.); #39317=FACE_OUTER_BOUND('',#62113,.T.); #39318=FACE_OUTER_BOUND('',#62114,.T.); #39319=FACE_OUTER_BOUND('',#62115,.T.); #39320=FACE_OUTER_BOUND('',#62116,.T.); #39321=FACE_OUTER_BOUND('',#62117,.T.); #39322=FACE_OUTER_BOUND('',#62118,.T.); #39323=FACE_OUTER_BOUND('',#62119,.T.); #39324=FACE_OUTER_BOUND('',#62120,.T.); #39325=FACE_OUTER_BOUND('',#62121,.T.); #39326=FACE_OUTER_BOUND('',#62122,.T.); #39327=FACE_OUTER_BOUND('',#62123,.T.); #39328=FACE_OUTER_BOUND('',#62124,.T.); #39329=FACE_OUTER_BOUND('',#62125,.T.); #39330=FACE_OUTER_BOUND('',#62126,.T.); #39331=FACE_OUTER_BOUND('',#62127,.T.); #39332=FACE_OUTER_BOUND('',#62128,.T.); #39333=FACE_OUTER_BOUND('',#62129,.T.); #39334=FACE_OUTER_BOUND('',#62130,.T.); #39335=FACE_OUTER_BOUND('',#62131,.T.); #39336=FACE_OUTER_BOUND('',#62132,.T.); #39337=FACE_OUTER_BOUND('',#62133,.T.); #39338=FACE_OUTER_BOUND('',#62134,.T.); #39339=FACE_OUTER_BOUND('',#62135,.T.); #39340=FACE_OUTER_BOUND('',#62136,.T.); #39341=FACE_OUTER_BOUND('',#62137,.T.); #39342=FACE_OUTER_BOUND('',#62138,.T.); #39343=FACE_OUTER_BOUND('',#62139,.T.); #39344=FACE_OUTER_BOUND('',#62140,.T.); #39345=FACE_OUTER_BOUND('',#62141,.T.); #39346=FACE_OUTER_BOUND('',#62142,.T.); #39347=FACE_OUTER_BOUND('',#62143,.T.); #39348=FACE_OUTER_BOUND('',#62144,.T.); #39349=FACE_OUTER_BOUND('',#62145,.T.); #39350=FACE_OUTER_BOUND('',#62146,.T.); #39351=FACE_OUTER_BOUND('',#62147,.T.); #39352=FACE_OUTER_BOUND('',#62148,.T.); #39353=FACE_OUTER_BOUND('',#62149,.T.); #39354=FACE_OUTER_BOUND('',#62150,.T.); #39355=FACE_OUTER_BOUND('',#62151,.T.); #39356=FACE_OUTER_BOUND('',#62152,.T.); #39357=FACE_OUTER_BOUND('',#62153,.T.); #39358=FACE_OUTER_BOUND('',#62154,.T.); #39359=FACE_OUTER_BOUND('',#62155,.T.); #39360=FACE_OUTER_BOUND('',#62156,.T.); #39361=FACE_OUTER_BOUND('',#62157,.T.); #39362=FACE_OUTER_BOUND('',#62158,.T.); #39363=FACE_OUTER_BOUND('',#62159,.T.); #39364=FACE_OUTER_BOUND('',#62160,.T.); #39365=FACE_OUTER_BOUND('',#62161,.T.); #39366=FACE_OUTER_BOUND('',#62162,.T.); #39367=FACE_OUTER_BOUND('',#62163,.T.); #39368=FACE_OUTER_BOUND('',#62164,.T.); #39369=FACE_OUTER_BOUND('',#62165,.T.); #39370=FACE_OUTER_BOUND('',#62166,.T.); #39371=FACE_OUTER_BOUND('',#62167,.T.); #39372=FACE_OUTER_BOUND('',#62168,.T.); #39373=FACE_OUTER_BOUND('',#62169,.T.); #39374=FACE_OUTER_BOUND('',#62170,.T.); #39375=FACE_OUTER_BOUND('',#62171,.T.); #39376=FACE_OUTER_BOUND('',#62173,.T.); #39377=FACE_OUTER_BOUND('',#62174,.T.); #39378=FACE_OUTER_BOUND('',#62175,.T.); #39379=FACE_OUTER_BOUND('',#62176,.T.); #39380=FACE_OUTER_BOUND('',#62177,.T.); #39381=FACE_OUTER_BOUND('',#62178,.T.); #39382=FACE_OUTER_BOUND('',#62179,.T.); #39383=FACE_OUTER_BOUND('',#62180,.T.); #39384=FACE_OUTER_BOUND('',#62181,.T.); #39385=FACE_OUTER_BOUND('',#62182,.T.); #39386=FACE_OUTER_BOUND('',#62183,.T.); #39387=FACE_OUTER_BOUND('',#62184,.T.); #39388=FACE_OUTER_BOUND('',#62185,.T.); #39389=FACE_OUTER_BOUND('',#62186,.T.); #39390=FACE_OUTER_BOUND('',#62187,.T.); #39391=FACE_OUTER_BOUND('',#62188,.T.); #39392=FACE_OUTER_BOUND('',#62189,.T.); #39393=FACE_OUTER_BOUND('',#62190,.T.); #39394=FACE_OUTER_BOUND('',#62191,.T.); #39395=FACE_OUTER_BOUND('',#62192,.T.); #39396=FACE_OUTER_BOUND('',#62193,.T.); #39397=FACE_OUTER_BOUND('',#62194,.T.); #39398=FACE_OUTER_BOUND('',#62195,.T.); #39399=FACE_OUTER_BOUND('',#62196,.T.); #39400=FACE_OUTER_BOUND('',#62197,.T.); #39401=FACE_OUTER_BOUND('',#62198,.T.); #39402=FACE_OUTER_BOUND('',#62199,.T.); #39403=FACE_OUTER_BOUND('',#62200,.T.); #39404=FACE_OUTER_BOUND('',#62201,.T.); #39405=FACE_OUTER_BOUND('',#62202,.T.); #39406=FACE_OUTER_BOUND('',#62203,.T.); #39407=FACE_OUTER_BOUND('',#62204,.T.); #39408=FACE_OUTER_BOUND('',#62205,.T.); #39409=FACE_OUTER_BOUND('',#62206,.T.); #39410=FACE_OUTER_BOUND('',#62207,.T.); #39411=FACE_OUTER_BOUND('',#62208,.T.); #39412=FACE_OUTER_BOUND('',#62209,.T.); #39413=FACE_OUTER_BOUND('',#62210,.T.); #39414=FACE_OUTER_BOUND('',#62211,.T.); #39415=FACE_OUTER_BOUND('',#62212,.T.); #39416=FACE_OUTER_BOUND('',#62213,.T.); #39417=FACE_OUTER_BOUND('',#62214,.T.); #39418=FACE_OUTER_BOUND('',#62215,.T.); #39419=FACE_OUTER_BOUND('',#62216,.T.); #39420=FACE_OUTER_BOUND('',#62217,.T.); #39421=FACE_OUTER_BOUND('',#62218,.T.); #39422=FACE_OUTER_BOUND('',#62219,.T.); #39423=FACE_OUTER_BOUND('',#62220,.T.); #39424=FACE_OUTER_BOUND('',#62221,.T.); #39425=FACE_OUTER_BOUND('',#62222,.T.); #39426=FACE_OUTER_BOUND('',#62223,.T.); #39427=FACE_OUTER_BOUND('',#62224,.T.); #39428=FACE_OUTER_BOUND('',#62225,.T.); #39429=FACE_OUTER_BOUND('',#62226,.T.); #39430=FACE_OUTER_BOUND('',#62227,.T.); #39431=FACE_OUTER_BOUND('',#62228,.T.); #39432=FACE_OUTER_BOUND('',#62229,.T.); #39433=FACE_OUTER_BOUND('',#62230,.T.); #39434=FACE_OUTER_BOUND('',#62231,.T.); #39435=FACE_OUTER_BOUND('',#62232,.T.); #39436=FACE_OUTER_BOUND('',#62233,.T.); #39437=FACE_OUTER_BOUND('',#62234,.T.); #39438=FACE_OUTER_BOUND('',#62235,.T.); #39439=FACE_OUTER_BOUND('',#62236,.T.); #39440=FACE_OUTER_BOUND('',#62237,.T.); #39441=FACE_OUTER_BOUND('',#62238,.T.); #39442=FACE_OUTER_BOUND('',#62239,.T.); #39443=FACE_OUTER_BOUND('',#62240,.T.); #39444=FACE_OUTER_BOUND('',#62241,.T.); #39445=FACE_OUTER_BOUND('',#62242,.T.); #39446=FACE_OUTER_BOUND('',#62243,.T.); #39447=FACE_OUTER_BOUND('',#62244,.T.); #39448=FACE_OUTER_BOUND('',#62245,.T.); #39449=FACE_OUTER_BOUND('',#62246,.T.); #39450=FACE_OUTER_BOUND('',#62247,.T.); #39451=FACE_OUTER_BOUND('',#62248,.T.); #39452=FACE_OUTER_BOUND('',#62249,.T.); #39453=FACE_OUTER_BOUND('',#62250,.T.); #39454=FACE_OUTER_BOUND('',#62251,.T.); #39455=FACE_OUTER_BOUND('',#62252,.T.); #39456=FACE_OUTER_BOUND('',#62253,.T.); #39457=FACE_OUTER_BOUND('',#62254,.T.); #39458=FACE_OUTER_BOUND('',#62255,.T.); #39459=FACE_OUTER_BOUND('',#62256,.T.); #39460=FACE_OUTER_BOUND('',#62257,.T.); #39461=FACE_OUTER_BOUND('',#62258,.T.); #39462=FACE_OUTER_BOUND('',#62259,.T.); #39463=FACE_OUTER_BOUND('',#62260,.T.); #39464=FACE_OUTER_BOUND('',#62261,.T.); #39465=FACE_OUTER_BOUND('',#62262,.T.); #39466=FACE_OUTER_BOUND('',#62263,.T.); #39467=FACE_OUTER_BOUND('',#62264,.T.); #39468=FACE_OUTER_BOUND('',#62265,.T.); #39469=FACE_OUTER_BOUND('',#62266,.T.); #39470=FACE_OUTER_BOUND('',#62267,.T.); #39471=FACE_OUTER_BOUND('',#62268,.T.); #39472=FACE_OUTER_BOUND('',#62269,.T.); #39473=FACE_OUTER_BOUND('',#62270,.T.); #39474=FACE_OUTER_BOUND('',#62271,.T.); #39475=FACE_OUTER_BOUND('',#62272,.T.); #39476=FACE_OUTER_BOUND('',#62273,.T.); #39477=FACE_OUTER_BOUND('',#62274,.T.); #39478=FACE_OUTER_BOUND('',#62275,.T.); #39479=FACE_OUTER_BOUND('',#62276,.T.); #39480=FACE_OUTER_BOUND('',#62277,.T.); #39481=FACE_OUTER_BOUND('',#62278,.T.); #39482=FACE_OUTER_BOUND('',#62279,.T.); #39483=FACE_OUTER_BOUND('',#62280,.T.); #39484=FACE_OUTER_BOUND('',#62281,.T.); #39485=FACE_OUTER_BOUND('',#62282,.T.); #39486=FACE_OUTER_BOUND('',#62283,.T.); #39487=FACE_OUTER_BOUND('',#62284,.T.); #39488=FACE_OUTER_BOUND('',#62285,.T.); #39489=FACE_OUTER_BOUND('',#62286,.T.); #39490=FACE_OUTER_BOUND('',#62287,.T.); #39491=FACE_OUTER_BOUND('',#62288,.T.); #39492=FACE_OUTER_BOUND('',#62289,.T.); #39493=FACE_OUTER_BOUND('',#62290,.T.); #39494=FACE_OUTER_BOUND('',#62291,.T.); #39495=FACE_OUTER_BOUND('',#62292,.T.); #39496=FACE_OUTER_BOUND('',#62293,.T.); #39497=FACE_OUTER_BOUND('',#62294,.T.); #39498=FACE_OUTER_BOUND('',#62295,.T.); #39499=FACE_OUTER_BOUND('',#62296,.T.); #39500=FACE_OUTER_BOUND('',#62297,.T.); #39501=FACE_OUTER_BOUND('',#62298,.T.); #39502=FACE_OUTER_BOUND('',#62299,.T.); #39503=FACE_OUTER_BOUND('',#62300,.T.); #39504=FACE_OUTER_BOUND('',#62301,.T.); #39505=FACE_OUTER_BOUND('',#62302,.T.); #39506=FACE_OUTER_BOUND('',#62303,.T.); #39507=FACE_OUTER_BOUND('',#62304,.T.); #39508=FACE_OUTER_BOUND('',#62305,.T.); #39509=FACE_OUTER_BOUND('',#62306,.T.); #39510=FACE_OUTER_BOUND('',#62307,.T.); #39511=FACE_OUTER_BOUND('',#62308,.T.); #39512=FACE_OUTER_BOUND('',#62309,.T.); #39513=FACE_OUTER_BOUND('',#62310,.T.); #39514=FACE_OUTER_BOUND('',#62311,.T.); #39515=FACE_OUTER_BOUND('',#62312,.T.); #39516=FACE_OUTER_BOUND('',#62313,.T.); #39517=FACE_OUTER_BOUND('',#62314,.T.); #39518=FACE_OUTER_BOUND('',#62315,.T.); #39519=FACE_OUTER_BOUND('',#62316,.T.); #39520=FACE_OUTER_BOUND('',#62317,.T.); #39521=FACE_OUTER_BOUND('',#62318,.T.); #39522=FACE_OUTER_BOUND('',#62319,.T.); #39523=FACE_OUTER_BOUND('',#62320,.T.); #39524=FACE_OUTER_BOUND('',#62321,.T.); #39525=FACE_OUTER_BOUND('',#62322,.T.); #39526=FACE_OUTER_BOUND('',#62323,.T.); #39527=FACE_OUTER_BOUND('',#62324,.T.); #39528=FACE_OUTER_BOUND('',#62325,.T.); #39529=FACE_OUTER_BOUND('',#62326,.T.); #39530=FACE_OUTER_BOUND('',#62327,.T.); #39531=FACE_OUTER_BOUND('',#62328,.T.); #39532=FACE_OUTER_BOUND('',#62329,.T.); #39533=FACE_OUTER_BOUND('',#62330,.T.); #39534=FACE_OUTER_BOUND('',#62331,.T.); #39535=FACE_OUTER_BOUND('',#62332,.T.); #39536=FACE_OUTER_BOUND('',#62333,.T.); #39537=FACE_OUTER_BOUND('',#62334,.T.); #39538=FACE_OUTER_BOUND('',#62335,.T.); #39539=FACE_OUTER_BOUND('',#62336,.T.); #39540=FACE_OUTER_BOUND('',#62337,.T.); #39541=FACE_OUTER_BOUND('',#62338,.T.); #39542=FACE_OUTER_BOUND('',#62339,.T.); #39543=FACE_OUTER_BOUND('',#62340,.T.); #39544=FACE_OUTER_BOUND('',#62341,.T.); #39545=FACE_OUTER_BOUND('',#62342,.T.); #39546=FACE_OUTER_BOUND('',#62343,.T.); #39547=FACE_OUTER_BOUND('',#62344,.T.); #39548=FACE_OUTER_BOUND('',#62345,.T.); #39549=FACE_OUTER_BOUND('',#62346,.T.); #39550=FACE_OUTER_BOUND('',#62347,.T.); #39551=FACE_OUTER_BOUND('',#62348,.T.); #39552=FACE_OUTER_BOUND('',#62349,.T.); #39553=FACE_OUTER_BOUND('',#62350,.T.); #39554=FACE_OUTER_BOUND('',#62351,.T.); #39555=FACE_OUTER_BOUND('',#62352,.T.); #39556=FACE_OUTER_BOUND('',#62353,.T.); #39557=FACE_OUTER_BOUND('',#62354,.T.); #39558=FACE_OUTER_BOUND('',#62355,.T.); #39559=FACE_OUTER_BOUND('',#62356,.T.); #39560=FACE_OUTER_BOUND('',#62357,.T.); #39561=FACE_OUTER_BOUND('',#62358,.T.); #39562=FACE_OUTER_BOUND('',#62359,.T.); #39563=FACE_OUTER_BOUND('',#62360,.T.); #39564=FACE_OUTER_BOUND('',#62361,.T.); #39565=FACE_OUTER_BOUND('',#62362,.T.); #39566=FACE_OUTER_BOUND('',#62363,.T.); #39567=FACE_OUTER_BOUND('',#62364,.T.); #39568=FACE_OUTER_BOUND('',#62365,.T.); #39569=FACE_OUTER_BOUND('',#62366,.T.); #39570=FACE_OUTER_BOUND('',#62367,.T.); #39571=FACE_OUTER_BOUND('',#62368,.T.); #39572=FACE_OUTER_BOUND('',#62369,.T.); #39573=FACE_OUTER_BOUND('',#62370,.T.); #39574=FACE_OUTER_BOUND('',#62371,.T.); #39575=FACE_OUTER_BOUND('',#62372,.T.); #39576=FACE_OUTER_BOUND('',#62373,.T.); #39577=FACE_OUTER_BOUND('',#62374,.T.); #39578=FACE_OUTER_BOUND('',#62375,.T.); #39579=FACE_OUTER_BOUND('',#62376,.T.); #39580=FACE_OUTER_BOUND('',#62377,.T.); #39581=FACE_OUTER_BOUND('',#62378,.T.); #39582=FACE_OUTER_BOUND('',#62379,.T.); #39583=FACE_OUTER_BOUND('',#62380,.T.); #39584=FACE_OUTER_BOUND('',#62381,.T.); #39585=FACE_OUTER_BOUND('',#62382,.T.); #39586=FACE_OUTER_BOUND('',#62383,.T.); #39587=FACE_OUTER_BOUND('',#62384,.T.); #39588=FACE_OUTER_BOUND('',#62385,.T.); #39589=FACE_OUTER_BOUND('',#62386,.T.); #39590=FACE_OUTER_BOUND('',#62387,.T.); #39591=FACE_OUTER_BOUND('',#62388,.T.); #39592=FACE_OUTER_BOUND('',#62389,.T.); #39593=FACE_OUTER_BOUND('',#62390,.T.); #39594=FACE_OUTER_BOUND('',#62391,.T.); #39595=FACE_OUTER_BOUND('',#62392,.T.); #39596=FACE_OUTER_BOUND('',#62393,.T.); #39597=FACE_OUTER_BOUND('',#62394,.T.); #39598=FACE_OUTER_BOUND('',#62395,.T.); #39599=FACE_OUTER_BOUND('',#62396,.T.); #39600=FACE_OUTER_BOUND('',#62397,.T.); #39601=FACE_OUTER_BOUND('',#62398,.T.); #39602=FACE_OUTER_BOUND('',#62399,.T.); #39603=FACE_OUTER_BOUND('',#62400,.T.); #39604=FACE_OUTER_BOUND('',#62401,.T.); #39605=FACE_OUTER_BOUND('',#62402,.T.); #39606=FACE_OUTER_BOUND('',#62403,.T.); #39607=FACE_OUTER_BOUND('',#62404,.T.); #39608=FACE_OUTER_BOUND('',#62405,.T.); #39609=FACE_OUTER_BOUND('',#62406,.T.); #39610=FACE_OUTER_BOUND('',#62407,.T.); #39611=FACE_OUTER_BOUND('',#62408,.T.); #39612=FACE_OUTER_BOUND('',#62409,.T.); #39613=FACE_OUTER_BOUND('',#62410,.T.); #39614=FACE_OUTER_BOUND('',#62411,.T.); #39615=FACE_OUTER_BOUND('',#62412,.T.); #39616=FACE_OUTER_BOUND('',#62413,.T.); #39617=FACE_OUTER_BOUND('',#62414,.T.); #39618=FACE_OUTER_BOUND('',#62415,.T.); #39619=FACE_OUTER_BOUND('',#62416,.T.); #39620=FACE_OUTER_BOUND('',#62417,.T.); #39621=FACE_OUTER_BOUND('',#62418,.T.); #39622=FACE_OUTER_BOUND('',#62419,.T.); #39623=FACE_OUTER_BOUND('',#62420,.T.); #39624=FACE_OUTER_BOUND('',#62421,.T.); #39625=FACE_OUTER_BOUND('',#62422,.T.); #39626=FACE_OUTER_BOUND('',#62423,.T.); #39627=FACE_OUTER_BOUND('',#62424,.T.); #39628=FACE_OUTER_BOUND('',#62425,.T.); #39629=FACE_OUTER_BOUND('',#62426,.T.); #39630=FACE_OUTER_BOUND('',#62427,.T.); #39631=FACE_OUTER_BOUND('',#62428,.T.); #39632=FACE_OUTER_BOUND('',#62429,.T.); #39633=FACE_OUTER_BOUND('',#62430,.T.); #39634=FACE_OUTER_BOUND('',#62431,.T.); #39635=FACE_OUTER_BOUND('',#62432,.T.); #39636=FACE_OUTER_BOUND('',#62433,.T.); #39637=FACE_OUTER_BOUND('',#62434,.T.); #39638=FACE_OUTER_BOUND('',#62435,.T.); #39639=FACE_OUTER_BOUND('',#62436,.T.); #39640=FACE_OUTER_BOUND('',#62437,.T.); #39641=FACE_OUTER_BOUND('',#62438,.T.); #39642=FACE_OUTER_BOUND('',#62439,.T.); #39643=FACE_OUTER_BOUND('',#62440,.T.); #39644=FACE_OUTER_BOUND('',#62441,.T.); #39645=FACE_OUTER_BOUND('',#62442,.T.); #39646=FACE_OUTER_BOUND('',#62443,.T.); #39647=FACE_OUTER_BOUND('',#62444,.T.); #39648=FACE_OUTER_BOUND('',#62445,.T.); #39649=FACE_OUTER_BOUND('',#62446,.T.); #39650=FACE_OUTER_BOUND('',#62447,.T.); #39651=FACE_OUTER_BOUND('',#62448,.T.); #39652=FACE_OUTER_BOUND('',#62449,.T.); #39653=FACE_OUTER_BOUND('',#62450,.T.); #39654=FACE_OUTER_BOUND('',#62451,.T.); #39655=FACE_OUTER_BOUND('',#62452,.T.); #39656=FACE_OUTER_BOUND('',#62453,.T.); #39657=FACE_OUTER_BOUND('',#62454,.T.); #39658=FACE_OUTER_BOUND('',#62455,.T.); #39659=FACE_OUTER_BOUND('',#62456,.T.); #39660=FACE_OUTER_BOUND('',#62457,.T.); #39661=FACE_OUTER_BOUND('',#62458,.T.); #39662=FACE_OUTER_BOUND('',#62459,.T.); #39663=FACE_OUTER_BOUND('',#62460,.T.); #39664=FACE_OUTER_BOUND('',#62461,.T.); #39665=FACE_OUTER_BOUND('',#62462,.T.); #39666=FACE_OUTER_BOUND('',#62463,.T.); #39667=FACE_OUTER_BOUND('',#62464,.T.); #39668=FACE_OUTER_BOUND('',#62465,.T.); #39669=FACE_OUTER_BOUND('',#62466,.T.); #39670=FACE_OUTER_BOUND('',#62467,.T.); #39671=FACE_OUTER_BOUND('',#62468,.T.); #39672=FACE_OUTER_BOUND('',#62469,.T.); #39673=FACE_OUTER_BOUND('',#62470,.T.); #39674=FACE_OUTER_BOUND('',#62471,.T.); #39675=FACE_OUTER_BOUND('',#62472,.T.); #39676=FACE_OUTER_BOUND('',#62473,.T.); #39677=FACE_OUTER_BOUND('',#62474,.T.); #39678=FACE_OUTER_BOUND('',#62475,.T.); #39679=FACE_OUTER_BOUND('',#62476,.T.); #39680=FACE_OUTER_BOUND('',#62477,.T.); #39681=FACE_OUTER_BOUND('',#62478,.T.); #39682=FACE_OUTER_BOUND('',#62479,.T.); #39683=FACE_OUTER_BOUND('',#62480,.T.); #39684=FACE_OUTER_BOUND('',#62481,.T.); #39685=FACE_OUTER_BOUND('',#62482,.T.); #39686=FACE_OUTER_BOUND('',#62483,.T.); #39687=FACE_OUTER_BOUND('',#62484,.T.); #39688=FACE_OUTER_BOUND('',#62485,.T.); #39689=FACE_OUTER_BOUND('',#62486,.T.); #39690=FACE_OUTER_BOUND('',#62487,.T.); #39691=FACE_OUTER_BOUND('',#62488,.T.); #39692=FACE_OUTER_BOUND('',#62489,.T.); #39693=FACE_OUTER_BOUND('',#62490,.T.); #39694=FACE_OUTER_BOUND('',#62491,.T.); #39695=FACE_OUTER_BOUND('',#62492,.T.); #39696=FACE_OUTER_BOUND('',#62493,.T.); #39697=FACE_OUTER_BOUND('',#62494,.T.); #39698=FACE_OUTER_BOUND('',#62495,.T.); #39699=FACE_OUTER_BOUND('',#62496,.T.); #39700=FACE_OUTER_BOUND('',#62497,.T.); #39701=FACE_OUTER_BOUND('',#62498,.T.); #39702=FACE_OUTER_BOUND('',#62499,.T.); #39703=FACE_OUTER_BOUND('',#62500,.T.); #39704=FACE_OUTER_BOUND('',#62501,.T.); #39705=FACE_OUTER_BOUND('',#62502,.T.); #39706=FACE_OUTER_BOUND('',#62503,.T.); #39707=FACE_OUTER_BOUND('',#62504,.T.); #39708=FACE_OUTER_BOUND('',#62505,.T.); #39709=FACE_OUTER_BOUND('',#62506,.T.); #39710=FACE_OUTER_BOUND('',#62507,.T.); #39711=FACE_OUTER_BOUND('',#62508,.T.); #39712=FACE_OUTER_BOUND('',#62509,.T.); #39713=FACE_OUTER_BOUND('',#62510,.T.); #39714=FACE_OUTER_BOUND('',#62511,.T.); #39715=FACE_OUTER_BOUND('',#62512,.T.); #39716=FACE_OUTER_BOUND('',#62513,.T.); #39717=FACE_OUTER_BOUND('',#62514,.T.); #39718=FACE_OUTER_BOUND('',#62515,.T.); #39719=FACE_OUTER_BOUND('',#62516,.T.); #39720=FACE_OUTER_BOUND('',#62517,.T.); #39721=FACE_OUTER_BOUND('',#62518,.T.); #39722=FACE_OUTER_BOUND('',#62519,.T.); #39723=FACE_OUTER_BOUND('',#62520,.T.); #39724=FACE_OUTER_BOUND('',#62521,.T.); #39725=FACE_OUTER_BOUND('',#62522,.T.); #39726=FACE_OUTER_BOUND('',#62523,.T.); #39727=FACE_OUTER_BOUND('',#62524,.T.); #39728=FACE_OUTER_BOUND('',#62525,.T.); #39729=FACE_OUTER_BOUND('',#62526,.T.); #39730=FACE_OUTER_BOUND('',#62527,.T.); #39731=FACE_OUTER_BOUND('',#62528,.T.); #39732=FACE_OUTER_BOUND('',#62529,.T.); #39733=FACE_OUTER_BOUND('',#62530,.T.); #39734=FACE_OUTER_BOUND('',#62531,.T.); #39735=FACE_OUTER_BOUND('',#62532,.T.); #39736=FACE_OUTER_BOUND('',#62533,.T.); #39737=FACE_OUTER_BOUND('',#62534,.T.); #39738=FACE_OUTER_BOUND('',#62535,.T.); #39739=FACE_OUTER_BOUND('',#62536,.T.); #39740=FACE_OUTER_BOUND('',#62537,.T.); #39741=FACE_OUTER_BOUND('',#62538,.T.); #39742=FACE_OUTER_BOUND('',#62539,.T.); #39743=FACE_OUTER_BOUND('',#62540,.T.); #39744=FACE_OUTER_BOUND('',#62541,.T.); #39745=FACE_OUTER_BOUND('',#62542,.T.); #39746=FACE_OUTER_BOUND('',#62543,.T.); #39747=FACE_OUTER_BOUND('',#62544,.T.); #39748=FACE_OUTER_BOUND('',#62545,.T.); #39749=FACE_OUTER_BOUND('',#62546,.T.); #39750=FACE_OUTER_BOUND('',#62547,.T.); #39751=FACE_OUTER_BOUND('',#62548,.T.); #39752=FACE_OUTER_BOUND('',#62549,.T.); #39753=FACE_OUTER_BOUND('',#62550,.T.); #39754=FACE_OUTER_BOUND('',#62551,.T.); #39755=FACE_OUTER_BOUND('',#62552,.T.); #39756=FACE_OUTER_BOUND('',#62553,.T.); #39757=FACE_OUTER_BOUND('',#62554,.T.); #39758=FACE_OUTER_BOUND('',#62555,.T.); #39759=FACE_OUTER_BOUND('',#62556,.T.); #39760=FACE_OUTER_BOUND('',#62557,.T.); #39761=FACE_OUTER_BOUND('',#62558,.T.); #39762=FACE_OUTER_BOUND('',#62559,.T.); #39763=FACE_OUTER_BOUND('',#62560,.T.); #39764=FACE_OUTER_BOUND('',#62561,.T.); #39765=FACE_OUTER_BOUND('',#62562,.T.); #39766=FACE_OUTER_BOUND('',#62563,.T.); #39767=FACE_OUTER_BOUND('',#62564,.T.); #39768=FACE_OUTER_BOUND('',#62565,.T.); #39769=FACE_OUTER_BOUND('',#62566,.T.); #39770=FACE_OUTER_BOUND('',#62567,.T.); #39771=FACE_OUTER_BOUND('',#62568,.T.); #39772=FACE_OUTER_BOUND('',#62569,.T.); #39773=FACE_OUTER_BOUND('',#62570,.T.); #39774=FACE_OUTER_BOUND('',#62571,.T.); #39775=FACE_OUTER_BOUND('',#62572,.T.); #39776=FACE_OUTER_BOUND('',#62573,.T.); #39777=FACE_OUTER_BOUND('',#62574,.T.); #39778=FACE_OUTER_BOUND('',#62575,.T.); #39779=FACE_OUTER_BOUND('',#62576,.T.); #39780=FACE_OUTER_BOUND('',#62577,.T.); #39781=FACE_OUTER_BOUND('',#62578,.T.); #39782=FACE_OUTER_BOUND('',#62579,.T.); #39783=FACE_OUTER_BOUND('',#62580,.T.); #39784=FACE_OUTER_BOUND('',#62581,.T.); #39785=FACE_OUTER_BOUND('',#62582,.T.); #39786=FACE_OUTER_BOUND('',#62583,.T.); #39787=FACE_OUTER_BOUND('',#62584,.T.); #39788=FACE_OUTER_BOUND('',#62585,.T.); #39789=FACE_OUTER_BOUND('',#62586,.T.); #39790=FACE_OUTER_BOUND('',#62587,.T.); #39791=FACE_OUTER_BOUND('',#62588,.T.); #39792=FACE_OUTER_BOUND('',#62589,.T.); #39793=FACE_OUTER_BOUND('',#62590,.T.); #39794=FACE_OUTER_BOUND('',#62591,.T.); #39795=FACE_OUTER_BOUND('',#62592,.T.); #39796=FACE_OUTER_BOUND('',#62593,.T.); #39797=FACE_OUTER_BOUND('',#62594,.T.); #39798=FACE_OUTER_BOUND('',#62595,.T.); #39799=FACE_OUTER_BOUND('',#62596,.T.); #39800=FACE_OUTER_BOUND('',#62597,.T.); #39801=FACE_OUTER_BOUND('',#62598,.T.); #39802=FACE_OUTER_BOUND('',#62599,.T.); #39803=FACE_OUTER_BOUND('',#62600,.T.); #39804=FACE_OUTER_BOUND('',#62601,.T.); #39805=FACE_OUTER_BOUND('',#62602,.T.); #39806=FACE_OUTER_BOUND('',#62603,.T.); #39807=FACE_OUTER_BOUND('',#62604,.T.); #39808=FACE_OUTER_BOUND('',#62605,.T.); #39809=FACE_OUTER_BOUND('',#62606,.T.); #39810=FACE_OUTER_BOUND('',#62607,.T.); #39811=FACE_OUTER_BOUND('',#62608,.T.); #39812=FACE_OUTER_BOUND('',#62609,.T.); #39813=FACE_OUTER_BOUND('',#62610,.T.); #39814=FACE_OUTER_BOUND('',#62611,.T.); #39815=FACE_OUTER_BOUND('',#62612,.T.); #39816=FACE_OUTER_BOUND('',#62613,.T.); #39817=FACE_OUTER_BOUND('',#62614,.T.); #39818=FACE_OUTER_BOUND('',#62615,.T.); #39819=FACE_OUTER_BOUND('',#62616,.T.); #39820=FACE_OUTER_BOUND('',#62617,.T.); #39821=FACE_OUTER_BOUND('',#62618,.T.); #39822=FACE_OUTER_BOUND('',#62619,.T.); #39823=FACE_OUTER_BOUND('',#62620,.T.); #39824=FACE_OUTER_BOUND('',#62621,.T.); #39825=FACE_OUTER_BOUND('',#62622,.T.); #39826=FACE_OUTER_BOUND('',#62623,.T.); #39827=FACE_OUTER_BOUND('',#62624,.T.); #39828=FACE_OUTER_BOUND('',#62625,.T.); #39829=FACE_OUTER_BOUND('',#62626,.T.); #39830=FACE_OUTER_BOUND('',#62627,.T.); #39831=FACE_OUTER_BOUND('',#62628,.T.); #39832=FACE_OUTER_BOUND('',#62629,.T.); #39833=FACE_OUTER_BOUND('',#62630,.T.); #39834=FACE_OUTER_BOUND('',#62631,.T.); #39835=FACE_OUTER_BOUND('',#62632,.T.); #39836=FACE_OUTER_BOUND('',#62633,.T.); #39837=FACE_OUTER_BOUND('',#62634,.T.); #39838=FACE_OUTER_BOUND('',#62635,.T.); #39839=FACE_OUTER_BOUND('',#62636,.T.); #39840=FACE_OUTER_BOUND('',#62637,.T.); #39841=FACE_OUTER_BOUND('',#62638,.T.); #39842=FACE_OUTER_BOUND('',#62639,.T.); #39843=FACE_OUTER_BOUND('',#62640,.T.); #39844=FACE_OUTER_BOUND('',#62641,.T.); #39845=FACE_OUTER_BOUND('',#62642,.T.); #39846=FACE_OUTER_BOUND('',#62643,.T.); #39847=FACE_OUTER_BOUND('',#62644,.T.); #39848=FACE_OUTER_BOUND('',#62645,.T.); #39849=FACE_OUTER_BOUND('',#62646,.T.); #39850=FACE_OUTER_BOUND('',#62647,.T.); #39851=FACE_OUTER_BOUND('',#62648,.T.); #39852=FACE_OUTER_BOUND('',#62649,.T.); #39853=FACE_OUTER_BOUND('',#62650,.T.); #39854=FACE_OUTER_BOUND('',#62651,.T.); #39855=FACE_OUTER_BOUND('',#62652,.T.); #39856=FACE_OUTER_BOUND('',#62653,.T.); #39857=FACE_OUTER_BOUND('',#62654,.T.); #39858=FACE_OUTER_BOUND('',#62655,.T.); #39859=FACE_OUTER_BOUND('',#62656,.T.); #39860=FACE_OUTER_BOUND('',#62657,.T.); #39861=FACE_OUTER_BOUND('',#62658,.T.); #39862=FACE_OUTER_BOUND('',#62659,.T.); #39863=FACE_OUTER_BOUND('',#62660,.T.); #39864=FACE_OUTER_BOUND('',#62661,.T.); #39865=FACE_OUTER_BOUND('',#62662,.T.); #39866=FACE_OUTER_BOUND('',#62663,.T.); #39867=FACE_OUTER_BOUND('',#62664,.T.); #39868=FACE_OUTER_BOUND('',#62665,.T.); #39869=FACE_OUTER_BOUND('',#62666,.T.); #39870=FACE_OUTER_BOUND('',#62667,.T.); #39871=FACE_OUTER_BOUND('',#62668,.T.); #39872=FACE_OUTER_BOUND('',#62669,.T.); #39873=FACE_OUTER_BOUND('',#62670,.T.); #39874=FACE_OUTER_BOUND('',#62671,.T.); #39875=FACE_OUTER_BOUND('',#62672,.T.); #39876=FACE_OUTER_BOUND('',#62674,.T.); #39877=FACE_OUTER_BOUND('',#62675,.T.); #39878=FACE_OUTER_BOUND('',#62676,.T.); #39879=FACE_OUTER_BOUND('',#62677,.T.); #39880=FACE_OUTER_BOUND('',#62678,.T.); #39881=FACE_OUTER_BOUND('',#62679,.T.); #39882=FACE_OUTER_BOUND('',#62680,.T.); #39883=FACE_OUTER_BOUND('',#62681,.T.); #39884=FACE_OUTER_BOUND('',#62682,.T.); #39885=FACE_OUTER_BOUND('',#62683,.T.); #39886=FACE_OUTER_BOUND('',#62684,.T.); #39887=FACE_OUTER_BOUND('',#62685,.T.); #39888=FACE_OUTER_BOUND('',#62686,.T.); #39889=FACE_OUTER_BOUND('',#62687,.T.); #39890=FACE_OUTER_BOUND('',#62688,.T.); #39891=FACE_OUTER_BOUND('',#62689,.T.); #39892=FACE_OUTER_BOUND('',#62690,.T.); #39893=FACE_OUTER_BOUND('',#62691,.T.); #39894=FACE_OUTER_BOUND('',#62692,.T.); #39895=FACE_OUTER_BOUND('',#62693,.T.); #39896=FACE_OUTER_BOUND('',#62694,.T.); #39897=FACE_OUTER_BOUND('',#62695,.T.); #39898=FACE_OUTER_BOUND('',#62696,.T.); #39899=FACE_OUTER_BOUND('',#62697,.T.); #39900=FACE_OUTER_BOUND('',#62698,.T.); #39901=FACE_OUTER_BOUND('',#62699,.T.); #39902=FACE_OUTER_BOUND('',#62700,.T.); #39903=FACE_OUTER_BOUND('',#62701,.T.); #39904=FACE_OUTER_BOUND('',#62702,.T.); #39905=FACE_OUTER_BOUND('',#62703,.T.); #39906=FACE_OUTER_BOUND('',#62704,.T.); #39907=FACE_OUTER_BOUND('',#62705,.T.); #39908=FACE_OUTER_BOUND('',#62706,.T.); #39909=FACE_OUTER_BOUND('',#62707,.T.); #39910=FACE_OUTER_BOUND('',#62708,.T.); #39911=FACE_OUTER_BOUND('',#62709,.T.); #39912=FACE_OUTER_BOUND('',#62710,.T.); #39913=FACE_OUTER_BOUND('',#62711,.T.); #39914=FACE_OUTER_BOUND('',#62712,.T.); #39915=FACE_OUTER_BOUND('',#62713,.T.); #39916=FACE_OUTER_BOUND('',#62714,.T.); #39917=FACE_OUTER_BOUND('',#62715,.T.); #39918=FACE_OUTER_BOUND('',#62716,.T.); #39919=FACE_OUTER_BOUND('',#62717,.T.); #39920=FACE_OUTER_BOUND('',#62718,.T.); #39921=FACE_OUTER_BOUND('',#62719,.T.); #39922=FACE_OUTER_BOUND('',#62720,.T.); #39923=FACE_OUTER_BOUND('',#62721,.T.); #39924=FACE_OUTER_BOUND('',#62722,.T.); #39925=FACE_OUTER_BOUND('',#62723,.T.); #39926=FACE_OUTER_BOUND('',#62724,.T.); #39927=FACE_OUTER_BOUND('',#62725,.T.); #39928=FACE_OUTER_BOUND('',#62726,.T.); #39929=FACE_OUTER_BOUND('',#62727,.T.); #39930=FACE_OUTER_BOUND('',#62728,.T.); #39931=FACE_OUTER_BOUND('',#62729,.T.); #39932=FACE_OUTER_BOUND('',#62730,.T.); #39933=FACE_OUTER_BOUND('',#62731,.T.); #39934=FACE_OUTER_BOUND('',#62732,.T.); #39935=FACE_OUTER_BOUND('',#62733,.T.); #39936=FACE_OUTER_BOUND('',#62734,.T.); #39937=FACE_OUTER_BOUND('',#62735,.T.); #39938=FACE_OUTER_BOUND('',#62736,.T.); #39939=FACE_OUTER_BOUND('',#62737,.T.); #39940=FACE_OUTER_BOUND('',#62738,.T.); #39941=FACE_OUTER_BOUND('',#62739,.T.); #39942=FACE_OUTER_BOUND('',#62740,.T.); #39943=FACE_OUTER_BOUND('',#62741,.T.); #39944=FACE_OUTER_BOUND('',#62742,.T.); #39945=FACE_OUTER_BOUND('',#62743,.T.); #39946=FACE_OUTER_BOUND('',#62744,.T.); #39947=FACE_OUTER_BOUND('',#62745,.T.); #39948=FACE_OUTER_BOUND('',#62746,.T.); #39949=FACE_OUTER_BOUND('',#62747,.T.); #39950=FACE_OUTER_BOUND('',#62748,.T.); #39951=FACE_OUTER_BOUND('',#62749,.T.); #39952=FACE_OUTER_BOUND('',#62750,.T.); #39953=FACE_OUTER_BOUND('',#62751,.T.); #39954=FACE_OUTER_BOUND('',#62752,.T.); #39955=FACE_OUTER_BOUND('',#62753,.T.); #39956=FACE_OUTER_BOUND('',#62754,.T.); #39957=FACE_OUTER_BOUND('',#62755,.T.); #39958=FACE_OUTER_BOUND('',#62756,.T.); #39959=FACE_OUTER_BOUND('',#62757,.T.); #39960=FACE_OUTER_BOUND('',#62758,.T.); #39961=FACE_OUTER_BOUND('',#62759,.T.); #39962=FACE_OUTER_BOUND('',#62760,.T.); #39963=FACE_OUTER_BOUND('',#62761,.T.); #39964=FACE_OUTER_BOUND('',#62762,.T.); #39965=FACE_OUTER_BOUND('',#62763,.T.); #39966=FACE_OUTER_BOUND('',#62764,.T.); #39967=FACE_OUTER_BOUND('',#62765,.T.); #39968=FACE_OUTER_BOUND('',#62766,.T.); #39969=FACE_OUTER_BOUND('',#62767,.T.); #39970=FACE_OUTER_BOUND('',#62768,.T.); #39971=FACE_OUTER_BOUND('',#62769,.T.); #39972=FACE_OUTER_BOUND('',#62770,.T.); #39973=FACE_OUTER_BOUND('',#62771,.T.); #39974=FACE_OUTER_BOUND('',#62772,.T.); #39975=FACE_OUTER_BOUND('',#62773,.T.); #39976=FACE_OUTER_BOUND('',#62774,.T.); #39977=FACE_OUTER_BOUND('',#62775,.T.); #39978=FACE_OUTER_BOUND('',#62776,.T.); #39979=FACE_OUTER_BOUND('',#62777,.T.); #39980=FACE_OUTER_BOUND('',#62778,.T.); #39981=FACE_OUTER_BOUND('',#62779,.T.); #39982=FACE_OUTER_BOUND('',#62780,.T.); #39983=FACE_OUTER_BOUND('',#62781,.T.); #39984=FACE_OUTER_BOUND('',#62782,.T.); #39985=FACE_OUTER_BOUND('',#62783,.T.); #39986=FACE_OUTER_BOUND('',#62784,.T.); #39987=FACE_OUTER_BOUND('',#62785,.T.); #39988=FACE_OUTER_BOUND('',#62786,.T.); #39989=FACE_OUTER_BOUND('',#62787,.T.); #39990=FACE_OUTER_BOUND('',#62788,.T.); #39991=FACE_OUTER_BOUND('',#62789,.T.); #39992=FACE_OUTER_BOUND('',#62790,.T.); #39993=FACE_OUTER_BOUND('',#62791,.T.); #39994=FACE_OUTER_BOUND('',#62792,.T.); #39995=FACE_OUTER_BOUND('',#62793,.T.); #39996=FACE_OUTER_BOUND('',#62794,.T.); #39997=FACE_OUTER_BOUND('',#62795,.T.); #39998=FACE_OUTER_BOUND('',#62796,.T.); #39999=FACE_OUTER_BOUND('',#62797,.T.); #40000=FACE_OUTER_BOUND('',#62798,.T.); #40001=FACE_OUTER_BOUND('',#62799,.T.); #40002=FACE_OUTER_BOUND('',#62800,.T.); #40003=FACE_OUTER_BOUND('',#62801,.T.); #40004=FACE_OUTER_BOUND('',#62803,.T.); #40005=FACE_OUTER_BOUND('',#62804,.T.); #40006=FACE_OUTER_BOUND('',#62805,.T.); #40007=FACE_OUTER_BOUND('',#62806,.T.); #40008=FACE_OUTER_BOUND('',#62807,.T.); #40009=FACE_OUTER_BOUND('',#62808,.T.); #40010=FACE_OUTER_BOUND('',#62809,.T.); #40011=FACE_OUTER_BOUND('',#62810,.T.); #40012=FACE_OUTER_BOUND('',#62811,.T.); #40013=FACE_OUTER_BOUND('',#62812,.T.); #40014=FACE_OUTER_BOUND('',#62813,.T.); #40015=FACE_OUTER_BOUND('',#62814,.T.); #40016=FACE_OUTER_BOUND('',#62815,.T.); #40017=FACE_OUTER_BOUND('',#62816,.T.); #40018=FACE_OUTER_BOUND('',#62817,.T.); #40019=FACE_OUTER_BOUND('',#62818,.T.); #40020=FACE_OUTER_BOUND('',#62819,.T.); #40021=FACE_OUTER_BOUND('',#62820,.T.); #40022=FACE_OUTER_BOUND('',#62821,.T.); #40023=FACE_OUTER_BOUND('',#62822,.T.); #40024=FACE_OUTER_BOUND('',#62823,.T.); #40025=FACE_OUTER_BOUND('',#62824,.T.); #40026=FACE_OUTER_BOUND('',#62825,.T.); #40027=FACE_OUTER_BOUND('',#62826,.T.); #40028=FACE_OUTER_BOUND('',#62827,.T.); #40029=FACE_OUTER_BOUND('',#62828,.T.); #40030=FACE_OUTER_BOUND('',#62829,.T.); #40031=FACE_OUTER_BOUND('',#62830,.T.); #40032=FACE_OUTER_BOUND('',#62831,.T.); #40033=FACE_OUTER_BOUND('',#62832,.T.); #40034=FACE_OUTER_BOUND('',#62833,.T.); #40035=FACE_OUTER_BOUND('',#62834,.T.); #40036=FACE_OUTER_BOUND('',#62835,.T.); #40037=FACE_OUTER_BOUND('',#62836,.T.); #40038=FACE_OUTER_BOUND('',#62837,.T.); #40039=FACE_OUTER_BOUND('',#62838,.T.); #40040=FACE_OUTER_BOUND('',#62839,.T.); #40041=FACE_OUTER_BOUND('',#62840,.T.); #40042=FACE_OUTER_BOUND('',#62841,.T.); #40043=FACE_OUTER_BOUND('',#62842,.T.); #40044=FACE_OUTER_BOUND('',#62843,.T.); #40045=FACE_OUTER_BOUND('',#62844,.T.); #40046=FACE_OUTER_BOUND('',#62845,.T.); #40047=FACE_OUTER_BOUND('',#62846,.T.); #40048=FACE_OUTER_BOUND('',#62847,.T.); #40049=FACE_OUTER_BOUND('',#62848,.T.); #40050=FACE_OUTER_BOUND('',#62849,.T.); #40051=FACE_OUTER_BOUND('',#62850,.T.); #40052=FACE_OUTER_BOUND('',#62851,.T.); #40053=FACE_OUTER_BOUND('',#62852,.T.); #40054=FACE_OUTER_BOUND('',#62853,.T.); #40055=FACE_OUTER_BOUND('',#62854,.T.); #40056=FACE_OUTER_BOUND('',#62855,.T.); #40057=FACE_OUTER_BOUND('',#62856,.T.); #40058=FACE_OUTER_BOUND('',#62857,.T.); #40059=FACE_OUTER_BOUND('',#62858,.T.); #40060=FACE_OUTER_BOUND('',#62859,.T.); #40061=FACE_OUTER_BOUND('',#62860,.T.); #40062=FACE_OUTER_BOUND('',#62861,.T.); #40063=FACE_OUTER_BOUND('',#62862,.T.); #40064=FACE_OUTER_BOUND('',#62863,.T.); #40065=FACE_OUTER_BOUND('',#62864,.T.); #40066=FACE_OUTER_BOUND('',#62865,.T.); #40067=FACE_OUTER_BOUND('',#62866,.T.); #40068=FACE_OUTER_BOUND('',#62867,.T.); #40069=FACE_OUTER_BOUND('',#62868,.T.); #40070=FACE_OUTER_BOUND('',#62869,.T.); #40071=FACE_OUTER_BOUND('',#62870,.T.); #40072=FACE_OUTER_BOUND('',#62871,.T.); #40073=FACE_OUTER_BOUND('',#62872,.T.); #40074=FACE_OUTER_BOUND('',#62873,.T.); #40075=FACE_OUTER_BOUND('',#62874,.T.); #40076=FACE_OUTER_BOUND('',#62875,.T.); #40077=FACE_OUTER_BOUND('',#62876,.T.); #40078=FACE_OUTER_BOUND('',#62877,.T.); #40079=FACE_OUTER_BOUND('',#62878,.T.); #40080=FACE_OUTER_BOUND('',#62879,.T.); #40081=FACE_OUTER_BOUND('',#62880,.T.); #40082=FACE_OUTER_BOUND('',#62881,.T.); #40083=FACE_OUTER_BOUND('',#62882,.T.); #40084=FACE_OUTER_BOUND('',#62883,.T.); #40085=FACE_OUTER_BOUND('',#62884,.T.); #40086=FACE_OUTER_BOUND('',#62885,.T.); #40087=FACE_OUTER_BOUND('',#62886,.T.); #40088=FACE_OUTER_BOUND('',#62887,.T.); #40089=FACE_OUTER_BOUND('',#62888,.T.); #40090=FACE_OUTER_BOUND('',#62889,.T.); #40091=FACE_OUTER_BOUND('',#62890,.T.); #40092=FACE_OUTER_BOUND('',#62891,.T.); #40093=FACE_OUTER_BOUND('',#62892,.T.); #40094=FACE_OUTER_BOUND('',#62893,.T.); #40095=FACE_OUTER_BOUND('',#62894,.T.); #40096=FACE_OUTER_BOUND('',#62895,.T.); #40097=FACE_OUTER_BOUND('',#62896,.T.); #40098=FACE_OUTER_BOUND('',#62897,.T.); #40099=FACE_OUTER_BOUND('',#62898,.T.); #40100=FACE_OUTER_BOUND('',#62899,.T.); #40101=FACE_OUTER_BOUND('',#62900,.T.); #40102=FACE_OUTER_BOUND('',#62901,.T.); #40103=FACE_OUTER_BOUND('',#62902,.T.); #40104=FACE_OUTER_BOUND('',#62903,.T.); #40105=FACE_OUTER_BOUND('',#62904,.T.); #40106=FACE_OUTER_BOUND('',#62905,.T.); #40107=FACE_OUTER_BOUND('',#62906,.T.); #40108=FACE_OUTER_BOUND('',#62907,.T.); #40109=FACE_OUTER_BOUND('',#62908,.T.); #40110=FACE_OUTER_BOUND('',#62909,.T.); #40111=FACE_OUTER_BOUND('',#62910,.T.); #40112=FACE_OUTER_BOUND('',#62911,.T.); #40113=FACE_OUTER_BOUND('',#62912,.T.); #40114=FACE_OUTER_BOUND('',#62913,.T.); #40115=FACE_OUTER_BOUND('',#62914,.T.); #40116=FACE_OUTER_BOUND('',#62915,.T.); #40117=FACE_OUTER_BOUND('',#62916,.T.); #40118=FACE_OUTER_BOUND('',#62917,.T.); #40119=FACE_OUTER_BOUND('',#62918,.T.); #40120=FACE_OUTER_BOUND('',#62919,.T.); #40121=FACE_OUTER_BOUND('',#62920,.T.); #40122=FACE_OUTER_BOUND('',#62921,.T.); #40123=FACE_OUTER_BOUND('',#62922,.T.); #40124=FACE_OUTER_BOUND('',#62923,.T.); #40125=FACE_OUTER_BOUND('',#62924,.T.); #40126=FACE_OUTER_BOUND('',#62925,.T.); #40127=FACE_OUTER_BOUND('',#62926,.T.); #40128=FACE_OUTER_BOUND('',#62927,.T.); #40129=FACE_OUTER_BOUND('',#62928,.T.); #40130=FACE_OUTER_BOUND('',#62929,.T.); #40131=FACE_OUTER_BOUND('',#62930,.T.); #40132=FACE_OUTER_BOUND('',#62931,.T.); #40133=FACE_OUTER_BOUND('',#62932,.T.); #40134=FACE_OUTER_BOUND('',#62933,.T.); #40135=FACE_OUTER_BOUND('',#62934,.T.); #40136=FACE_OUTER_BOUND('',#62935,.T.); #40137=FACE_OUTER_BOUND('',#62936,.T.); #40138=FACE_OUTER_BOUND('',#62937,.T.); #40139=FACE_OUTER_BOUND('',#62938,.T.); #40140=FACE_OUTER_BOUND('',#62939,.T.); #40141=FACE_OUTER_BOUND('',#62940,.T.); #40142=FACE_OUTER_BOUND('',#62941,.T.); #40143=FACE_OUTER_BOUND('',#62942,.T.); #40144=FACE_OUTER_BOUND('',#62943,.T.); #40145=FACE_OUTER_BOUND('',#62944,.T.); #40146=FACE_OUTER_BOUND('',#62945,.T.); #40147=FACE_OUTER_BOUND('',#62946,.T.); #40148=FACE_OUTER_BOUND('',#62947,.T.); #40149=FACE_OUTER_BOUND('',#62948,.T.); #40150=FACE_OUTER_BOUND('',#62949,.T.); #40151=FACE_OUTER_BOUND('',#62950,.T.); #40152=FACE_OUTER_BOUND('',#62951,.T.); #40153=FACE_OUTER_BOUND('',#62952,.T.); #40154=FACE_OUTER_BOUND('',#62955,.T.); #40155=FACE_OUTER_BOUND('',#62958,.T.); #40156=FACE_OUTER_BOUND('',#62959,.T.); #40157=FACE_OUTER_BOUND('',#62960,.T.); #40158=FACE_OUTER_BOUND('',#62961,.T.); #40159=FACE_OUTER_BOUND('',#62962,.T.); #40160=FACE_OUTER_BOUND('',#62963,.T.); #40161=FACE_OUTER_BOUND('',#62964,.T.); #40162=FACE_OUTER_BOUND('',#62965,.T.); #40163=FACE_OUTER_BOUND('',#62966,.T.); #40164=FACE_OUTER_BOUND('',#62967,.T.); #40165=FACE_OUTER_BOUND('',#62968,.T.); #40166=FACE_OUTER_BOUND('',#62969,.T.); #40167=FACE_OUTER_BOUND('',#62970,.T.); #40168=FACE_OUTER_BOUND('',#62971,.T.); #40169=FACE_OUTER_BOUND('',#62972,.T.); #40170=FACE_OUTER_BOUND('',#62975,.T.); #40171=FACE_OUTER_BOUND('',#62978,.T.); #40172=FACE_OUTER_BOUND('',#62979,.T.); #40173=FACE_OUTER_BOUND('',#62980,.T.); #40174=FACE_OUTER_BOUND('',#62981,.T.); #40175=FACE_OUTER_BOUND('',#62982,.T.); #40176=FACE_OUTER_BOUND('',#62983,.T.); #40177=FACE_OUTER_BOUND('',#62984,.T.); #40178=FACE_OUTER_BOUND('',#62985,.T.); #40179=FACE_OUTER_BOUND('',#62986,.T.); #40180=FACE_OUTER_BOUND('',#62987,.T.); #40181=FACE_OUTER_BOUND('',#62988,.T.); #40182=FACE_OUTER_BOUND('',#62989,.T.); #40183=FACE_OUTER_BOUND('',#62990,.T.); #40184=FACE_OUTER_BOUND('',#62991,.T.); #40185=FACE_OUTER_BOUND('',#62992,.T.); #40186=FACE_OUTER_BOUND('',#62995,.T.); #40187=FACE_OUTER_BOUND('',#62998,.T.); #40188=FACE_OUTER_BOUND('',#62999,.T.); #40189=FACE_OUTER_BOUND('',#63000,.T.); #40190=FACE_OUTER_BOUND('',#63001,.T.); #40191=FACE_OUTER_BOUND('',#63002,.T.); #40192=FACE_OUTER_BOUND('',#63003,.T.); #40193=FACE_OUTER_BOUND('',#63004,.T.); #40194=FACE_OUTER_BOUND('',#63005,.T.); #40195=FACE_OUTER_BOUND('',#63007,.T.); #40196=FACE_OUTER_BOUND('',#63008,.T.); #40197=FACE_OUTER_BOUND('',#63009,.T.); #40198=FACE_OUTER_BOUND('',#63010,.T.); #40199=FACE_OUTER_BOUND('',#63011,.T.); #40200=FACE_OUTER_BOUND('',#63012,.T.); #40201=FACE_OUTER_BOUND('',#63013,.T.); #40202=FACE_OUTER_BOUND('',#63015,.T.); #40203=FACE_OUTER_BOUND('',#63019,.T.); #40204=FACE_OUTER_BOUND('',#63020,.T.); #40205=FACE_OUTER_BOUND('',#63021,.T.); #40206=FACE_OUTER_BOUND('',#63022,.T.); #40207=FACE_OUTER_BOUND('',#63023,.T.); #40208=FACE_OUTER_BOUND('',#63024,.T.); #40209=FACE_OUTER_BOUND('',#63025,.T.); #40210=FACE_OUTER_BOUND('',#63026,.T.); #40211=FACE_OUTER_BOUND('',#63027,.T.); #40212=FACE_OUTER_BOUND('',#63028,.T.); #40213=FACE_OUTER_BOUND('',#63029,.T.); #40214=FACE_OUTER_BOUND('',#63030,.T.); #40215=FACE_OUTER_BOUND('',#63031,.T.); #40216=FACE_OUTER_BOUND('',#63032,.T.); #40217=FACE_OUTER_BOUND('',#63033,.T.); #40218=FACE_OUTER_BOUND('',#63034,.T.); #40219=FACE_OUTER_BOUND('',#63035,.T.); #40220=FACE_OUTER_BOUND('',#63036,.T.); #40221=FACE_OUTER_BOUND('',#63037,.T.); #40222=FACE_OUTER_BOUND('',#63038,.T.); #40223=FACE_OUTER_BOUND('',#63040,.T.); #40224=FACE_OUTER_BOUND('',#63041,.T.); #40225=FACE_OUTER_BOUND('',#63042,.T.); #40226=FACE_OUTER_BOUND('',#63043,.T.); #40227=FACE_OUTER_BOUND('',#63044,.T.); #40228=FACE_OUTER_BOUND('',#63045,.T.); #40229=FACE_OUTER_BOUND('',#63046,.T.); #40230=FACE_OUTER_BOUND('',#63047,.T.); #40231=FACE_OUTER_BOUND('',#63048,.T.); #40232=FACE_OUTER_BOUND('',#63049,.T.); #40233=FACE_OUTER_BOUND('',#63050,.T.); #40234=FACE_OUTER_BOUND('',#63051,.T.); #40235=FACE_OUTER_BOUND('',#63052,.T.); #40236=FACE_OUTER_BOUND('',#63053,.T.); #40237=FACE_OUTER_BOUND('',#63054,.T.); #40238=FACE_OUTER_BOUND('',#63055,.T.); #40239=FACE_OUTER_BOUND('',#63056,.T.); #40240=FACE_OUTER_BOUND('',#63057,.T.); #40241=FACE_OUTER_BOUND('',#63058,.T.); #40242=FACE_OUTER_BOUND('',#63059,.T.); #40243=FACE_OUTER_BOUND('',#63060,.T.); #40244=FACE_OUTER_BOUND('',#63062,.T.); #40245=FACE_OUTER_BOUND('',#63063,.T.); #40246=FACE_OUTER_BOUND('',#63064,.T.); #40247=FACE_OUTER_BOUND('',#63065,.T.); #40248=FACE_OUTER_BOUND('',#63066,.T.); #40249=FACE_OUTER_BOUND('',#63067,.T.); #40250=FACE_OUTER_BOUND('',#63068,.T.); #40251=FACE_OUTER_BOUND('',#63069,.T.); #40252=FACE_OUTER_BOUND('',#63070,.T.); #40253=FACE_OUTER_BOUND('',#63071,.T.); #40254=FACE_OUTER_BOUND('',#63072,.T.); #40255=FACE_OUTER_BOUND('',#63073,.T.); #40256=FACE_OUTER_BOUND('',#63074,.T.); #40257=FACE_OUTER_BOUND('',#63075,.T.); #40258=FACE_OUTER_BOUND('',#63076,.T.); #40259=FACE_OUTER_BOUND('',#63077,.T.); #40260=FACE_OUTER_BOUND('',#63079,.T.); #40261=FACE_OUTER_BOUND('',#63080,.T.); #40262=FACE_OUTER_BOUND('',#63082,.T.); #40263=FACE_OUTER_BOUND('',#63083,.T.); #40264=FACE_OUTER_BOUND('',#63084,.T.); #40265=FACE_OUTER_BOUND('',#63085,.T.); #40266=FACE_OUTER_BOUND('',#63086,.T.); #40267=FACE_OUTER_BOUND('',#63087,.T.); #40268=FACE_OUTER_BOUND('',#63088,.T.); #40269=FACE_OUTER_BOUND('',#63089,.T.); #40270=FACE_OUTER_BOUND('',#63090,.T.); #40271=FACE_OUTER_BOUND('',#63091,.T.); #40272=FACE_OUTER_BOUND('',#63092,.T.); #40273=FACE_OUTER_BOUND('',#63093,.T.); #40274=FACE_OUTER_BOUND('',#63094,.T.); #40275=FACE_OUTER_BOUND('',#63095,.T.); #40276=FACE_OUTER_BOUND('',#63098,.T.); #40277=FACE_OUTER_BOUND('',#63099,.T.); #40278=FACE_OUTER_BOUND('',#63100,.T.); #40279=FACE_OUTER_BOUND('',#63101,.T.); #40280=FACE_OUTER_BOUND('',#63102,.T.); #40281=FACE_OUTER_BOUND('',#63103,.T.); #40282=FACE_OUTER_BOUND('',#63104,.T.); #40283=FACE_OUTER_BOUND('',#63105,.T.); #40284=FACE_OUTER_BOUND('',#63106,.T.); #40285=FACE_OUTER_BOUND('',#63107,.T.); #40286=FACE_OUTER_BOUND('',#63114,.T.); #40287=FACE_OUTER_BOUND('',#63115,.T.); #40288=FACE_OUTER_BOUND('',#63116,.T.); #40289=FACE_OUTER_BOUND('',#63117,.T.); #40290=FACE_OUTER_BOUND('',#63118,.T.); #40291=FACE_OUTER_BOUND('',#63125,.T.); #40292=FACE_OUTER_BOUND('',#63126,.T.); #40293=FACE_OUTER_BOUND('',#63127,.T.); #40294=FACE_OUTER_BOUND('',#63128,.T.); #40295=FACE_OUTER_BOUND('',#63129,.T.); #40296=FACE_OUTER_BOUND('',#63130,.T.); #40297=FACE_OUTER_BOUND('',#63131,.T.); #40298=FACE_OUTER_BOUND('',#63132,.T.); #40299=FACE_OUTER_BOUND('',#63133,.T.); #40300=FACE_OUTER_BOUND('',#63134,.T.); #40301=FACE_OUTER_BOUND('',#63135,.T.); #40302=FACE_OUTER_BOUND('',#63136,.T.); #40303=FACE_OUTER_BOUND('',#63137,.T.); #40304=FACE_OUTER_BOUND('',#63138,.T.); #40305=FACE_OUTER_BOUND('',#63139,.T.); #40306=FACE_OUTER_BOUND('',#63140,.T.); #40307=FACE_OUTER_BOUND('',#63142,.T.); #40308=FACE_OUTER_BOUND('',#63144,.T.); #40309=FACE_OUTER_BOUND('',#63145,.T.); #40310=FACE_OUTER_BOUND('',#63146,.T.); #40311=FACE_OUTER_BOUND('',#63147,.T.); #40312=FACE_OUTER_BOUND('',#63148,.T.); #40313=FACE_OUTER_BOUND('',#63149,.T.); #40314=FACE_OUTER_BOUND('',#63150,.T.); #40315=FACE_OUTER_BOUND('',#63151,.T.); #40316=FACE_OUTER_BOUND('',#63152,.T.); #40317=FACE_OUTER_BOUND('',#63153,.T.); #40318=FACE_OUTER_BOUND('',#63154,.T.); #40319=FACE_OUTER_BOUND('',#63156,.T.); #40320=FACE_OUTER_BOUND('',#63157,.T.); #40321=FACE_OUTER_BOUND('',#63158,.T.); #40322=FACE_OUTER_BOUND('',#63159,.T.); #40323=FACE_OUTER_BOUND('',#63160,.T.); #40324=FACE_OUTER_BOUND('',#63161,.T.); #40325=FACE_OUTER_BOUND('',#63162,.T.); #40326=FACE_OUTER_BOUND('',#63163,.T.); #40327=FACE_OUTER_BOUND('',#63164,.T.); #40328=FACE_OUTER_BOUND('',#63165,.T.); #40329=FACE_OUTER_BOUND('',#63166,.T.); #40330=FACE_OUTER_BOUND('',#63167,.T.); #40331=FACE_OUTER_BOUND('',#63168,.T.); #40332=FACE_OUTER_BOUND('',#63169,.T.); #40333=FACE_OUTER_BOUND('',#63170,.T.); #40334=FACE_OUTER_BOUND('',#63171,.T.); #40335=FACE_OUTER_BOUND('',#63172,.T.); #40336=FACE_OUTER_BOUND('',#63173,.T.); #40337=FACE_OUTER_BOUND('',#63174,.T.); #40338=FACE_OUTER_BOUND('',#63175,.T.); #40339=FACE_OUTER_BOUND('',#63176,.T.); #40340=FACE_OUTER_BOUND('',#63177,.T.); #40341=FACE_OUTER_BOUND('',#63178,.T.); #40342=FACE_OUTER_BOUND('',#63179,.T.); #40343=FACE_OUTER_BOUND('',#63180,.T.); #40344=FACE_OUTER_BOUND('',#63181,.T.); #40345=FACE_OUTER_BOUND('',#63182,.T.); #40346=FACE_OUTER_BOUND('',#63183,.T.); #40347=FACE_OUTER_BOUND('',#63184,.T.); #40348=FACE_OUTER_BOUND('',#63185,.T.); #40349=FACE_OUTER_BOUND('',#63186,.T.); #40350=FACE_OUTER_BOUND('',#63187,.T.); #40351=FACE_OUTER_BOUND('',#63188,.T.); #40352=FACE_OUTER_BOUND('',#63189,.T.); #40353=FACE_OUTER_BOUND('',#63190,.T.); #40354=FACE_OUTER_BOUND('',#63191,.T.); #40355=FACE_OUTER_BOUND('',#63192,.T.); #40356=FACE_OUTER_BOUND('',#63193,.T.); #40357=FACE_OUTER_BOUND('',#63194,.T.); #40358=FACE_OUTER_BOUND('',#63195,.T.); #40359=FACE_OUTER_BOUND('',#63196,.T.); #40360=FACE_OUTER_BOUND('',#63197,.T.); #40361=FACE_OUTER_BOUND('',#63198,.T.); #40362=FACE_OUTER_BOUND('',#63199,.T.); #40363=FACE_OUTER_BOUND('',#63200,.T.); #40364=FACE_OUTER_BOUND('',#63201,.T.); #40365=FACE_OUTER_BOUND('',#63202,.T.); #40366=FACE_OUTER_BOUND('',#63203,.T.); #40367=FACE_OUTER_BOUND('',#63204,.T.); #40368=FACE_OUTER_BOUND('',#63206,.T.); #40369=FACE_OUTER_BOUND('',#63207,.T.); #40370=FACE_OUTER_BOUND('',#63209,.T.); #40371=FACE_OUTER_BOUND('',#63211,.T.); #40372=FACE_OUTER_BOUND('',#63213,.T.); #40373=FACE_OUTER_BOUND('',#63214,.T.); #40374=FACE_OUTER_BOUND('',#63216,.T.); #40375=FACE_OUTER_BOUND('',#63217,.T.); #40376=FACE_OUTER_BOUND('',#63218,.T.); #40377=FACE_OUTER_BOUND('',#63219,.T.); #40378=FACE_OUTER_BOUND('',#63220,.T.); #40379=FACE_OUTER_BOUND('',#63221,.T.); #40380=FACE_OUTER_BOUND('',#63222,.T.); #40381=FACE_OUTER_BOUND('',#63223,.T.); #40382=FACE_OUTER_BOUND('',#63224,.T.); #40383=FACE_OUTER_BOUND('',#63225,.T.); #40384=FACE_OUTER_BOUND('',#63226,.T.); #40385=FACE_OUTER_BOUND('',#63227,.T.); #40386=FACE_OUTER_BOUND('',#63228,.T.); #40387=FACE_OUTER_BOUND('',#63230,.T.); #40388=FACE_OUTER_BOUND('',#63231,.T.); #40389=FACE_OUTER_BOUND('',#63232,.T.); #40390=FACE_OUTER_BOUND('',#63233,.T.); #40391=FACE_OUTER_BOUND('',#63234,.T.); #40392=FACE_OUTER_BOUND('',#63235,.T.); #40393=FACE_OUTER_BOUND('',#63236,.T.); #40394=FACE_OUTER_BOUND('',#63237,.T.); #40395=FACE_OUTER_BOUND('',#63238,.T.); #40396=FACE_OUTER_BOUND('',#63239,.T.); #40397=FACE_OUTER_BOUND('',#63240,.T.); #40398=FACE_OUTER_BOUND('',#63241,.T.); #40399=FACE_OUTER_BOUND('',#63242,.T.); #40400=FACE_OUTER_BOUND('',#63243,.T.); #40401=FACE_OUTER_BOUND('',#63244,.T.); #40402=FACE_OUTER_BOUND('',#63245,.T.); #40403=FACE_OUTER_BOUND('',#63246,.T.); #40404=FACE_OUTER_BOUND('',#63247,.T.); #40405=FACE_OUTER_BOUND('',#63252,.T.); #40406=FACE_OUTER_BOUND('',#63257,.T.); #40407=FACE_OUTER_BOUND('',#63258,.T.); #40408=FACE_OUTER_BOUND('',#63259,.T.); #40409=FACE_OUTER_BOUND('',#63260,.T.); #40410=FACE_OUTER_BOUND('',#63261,.T.); #40411=FACE_OUTER_BOUND('',#63262,.T.); #40412=FACE_OUTER_BOUND('',#63263,.T.); #40413=FACE_OUTER_BOUND('',#63264,.T.); #40414=FACE_OUTER_BOUND('',#63265,.T.); #40415=FACE_OUTER_BOUND('',#63267,.T.); #40416=FACE_OUTER_BOUND('',#63268,.T.); #40417=FACE_OUTER_BOUND('',#63269,.T.); #40418=FACE_OUTER_BOUND('',#63270,.T.); #40419=FACE_OUTER_BOUND('',#63271,.T.); #40420=FACE_OUTER_BOUND('',#63272,.T.); #40421=FACE_OUTER_BOUND('',#63273,.T.); #40422=FACE_OUTER_BOUND('',#63274,.T.); #40423=FACE_OUTER_BOUND('',#63275,.T.); #40424=FACE_OUTER_BOUND('',#63276,.T.); #40425=FACE_OUTER_BOUND('',#63277,.T.); #40426=FACE_OUTER_BOUND('',#63279,.T.); #40427=FACE_OUTER_BOUND('',#63280,.T.); #40428=FACE_OUTER_BOUND('',#63282,.T.); #40429=FACE_OUTER_BOUND('',#63283,.T.); #40430=FACE_OUTER_BOUND('',#63284,.T.); #40431=FACE_OUTER_BOUND('',#63285,.T.); #40432=FACE_OUTER_BOUND('',#63286,.T.); #40433=FACE_OUTER_BOUND('',#63287,.T.); #40434=FACE_OUTER_BOUND('',#63288,.T.); #40435=FACE_OUTER_BOUND('',#63289,.T.); #40436=FACE_OUTER_BOUND('',#63290,.T.); #40437=FACE_OUTER_BOUND('',#63291,.T.); #40438=FACE_OUTER_BOUND('',#63292,.T.); #40439=FACE_OUTER_BOUND('',#63293,.T.); #40440=FACE_OUTER_BOUND('',#63294,.T.); #40441=EDGE_LOOP('',(#230675,#230676,#230677,#230678)); #40442=EDGE_LOOP('',(#230679,#230680,#230681,#230682)); #40443=EDGE_LOOP('',(#230683,#230684,#230685,#230686,#230687,#230688,#230689, #230690,#230691,#230692,#230693,#230694,#230695)); #40444=EDGE_LOOP('',(#230696,#230697,#230698,#230699)); #40445=EDGE_LOOP('',(#230700,#230701,#230702,#230703,#230704,#230705,#230706, #230707,#230708,#230709,#230710,#230711,#230712)); #40446=EDGE_LOOP('',(#230713,#230714,#230715,#230716)); #40447=EDGE_LOOP('',(#230717,#230718,#230719,#230720,#230721,#230722,#230723, #230724,#230725,#230726,#230727,#230728,#230729)); #40448=EDGE_LOOP('',(#230730,#230731,#230732,#230733,#230734,#230735,#230736, #230737,#230738,#230739,#230740,#230741,#230742,#230743,#230744,#230745, #230746,#230747,#230748,#230749,#230750,#230751,#230752,#230753,#230754, #230755,#230756,#230757,#230758,#230759,#230760,#230761,#230762,#230763, #230764,#230765,#230766,#230767,#230768,#230769,#230770,#230771,#230772, #230773,#230774,#230775,#230776,#230777,#230778,#230779,#230780,#230781, #230782,#230783,#230784,#230785,#230786,#230787,#230788,#230789,#230790, #230791,#230792,#230793,#230794,#230795,#230796,#230797,#230798,#230799, #230800,#230801,#230802,#230803,#230804,#230805)); #40449=EDGE_LOOP('',(#230806)); #40450=EDGE_LOOP('',(#230807)); #40451=EDGE_LOOP('',(#230808)); #40452=EDGE_LOOP('',(#230809)); #40453=EDGE_LOOP('',(#230810,#230811,#230812,#230813)); #40454=EDGE_LOOP('',(#230814,#230815,#230816,#230817,#230818,#230819,#230820, #230821,#230822,#230823,#230824,#230825,#230826,#230827,#230828,#230829, #230830,#230831,#230832)); #40455=EDGE_LOOP('',(#230833,#230834,#230835,#230836,#230837,#230838,#230839, #230840,#230841,#230842,#230843,#230844,#230845,#230846,#230847,#230848)); #40456=EDGE_LOOP('',(#230849)); #40457=EDGE_LOOP('',(#230850)); #40458=EDGE_LOOP('',(#230851)); #40459=EDGE_LOOP('',(#230852)); #40460=EDGE_LOOP('',(#230853,#230854,#230855,#230856)); #40461=EDGE_LOOP('',(#230857,#230858,#230859,#230860)); #40462=EDGE_LOOP('',(#230861,#230862,#230863,#230864)); #40463=EDGE_LOOP('',(#230865,#230866,#230867,#230868)); #40464=EDGE_LOOP('',(#230869,#230870,#230871,#230872)); #40465=EDGE_LOOP('',(#230873,#230874,#230875,#230876)); #40466=EDGE_LOOP('',(#230877,#230878,#230879,#230880)); #40467=EDGE_LOOP('',(#230881,#230882,#230883,#230884)); #40468=EDGE_LOOP('',(#230885,#230886,#230887,#230888)); #40469=EDGE_LOOP('',(#230889,#230890,#230891,#230892)); #40470=EDGE_LOOP('',(#230893,#230894,#230895,#230896)); #40471=EDGE_LOOP('',(#230897,#230898,#230899,#230900)); #40472=EDGE_LOOP('',(#230901,#230902,#230903,#230904)); #40473=EDGE_LOOP('',(#230905,#230906,#230907,#230908)); #40474=EDGE_LOOP('',(#230909,#230910,#230911,#230912,#230913,#230914,#230915, #230916,#230917,#230918,#230919,#230920,#230921,#230922,#230923,#230924, #230925,#230926,#230927)); #40475=EDGE_LOOP('',(#230928,#230929,#230930,#230931)); #40476=EDGE_LOOP('',(#230932,#230933,#230934,#230935,#230936,#230937,#230938, #230939,#230940,#230941,#230942,#230943,#230944)); #40477=EDGE_LOOP('',(#230945,#230946,#230947,#230948)); #40478=EDGE_LOOP('',(#230949,#230950,#230951,#230952)); #40479=EDGE_LOOP('',(#230953,#230954,#230955,#230956)); #40480=EDGE_LOOP('',(#230957,#230958,#230959,#230960)); #40481=EDGE_LOOP('',(#230961,#230962,#230963,#230964)); #40482=EDGE_LOOP('',(#230965,#230966,#230967,#230968)); #40483=EDGE_LOOP('',(#230969,#230970,#230971,#230972)); #40484=EDGE_LOOP('',(#230973,#230974,#230975,#230976)); #40485=EDGE_LOOP('',(#230977,#230978,#230979,#230980,#230981,#230982,#230983, #230984,#230985,#230986,#230987,#230988,#230989,#230990,#230991,#230992, #230993,#230994,#230995,#230996,#230997,#230998,#230999,#231000,#231001, #231002,#231003,#231004,#231005,#231006,#231007,#231008,#231009,#231010, #231011,#231012,#231013,#231014,#231015,#231016,#231017,#231018,#231019, #231020,#231021,#231022,#231023,#231024,#231025,#231026,#231027,#231028, #231029,#231030,#231031,#231032,#231033,#231034,#231035,#231036,#231037, #231038,#231039,#231040,#231041,#231042)); #40486=EDGE_LOOP('',(#231043)); #40487=EDGE_LOOP('',(#231044)); #40488=EDGE_LOOP('',(#231045)); #40489=EDGE_LOOP('',(#231046)); #40490=EDGE_LOOP('',(#231047,#231048,#231049,#231050)); #40491=EDGE_LOOP('',(#231051,#231052,#231053,#231054,#231055,#231056,#231057, #231058,#231059,#231060,#231061,#231062,#231063,#231064,#231065,#231066, #231067,#231068,#231069)); #40492=EDGE_LOOP('',(#231070,#231071,#231072,#231073,#231074,#231075,#231076, #231077,#231078,#231079,#231080,#231081,#231082,#231083,#231084,#231085)); #40493=EDGE_LOOP('',(#231086)); #40494=EDGE_LOOP('',(#231087)); #40495=EDGE_LOOP('',(#231088)); #40496=EDGE_LOOP('',(#231089)); #40497=EDGE_LOOP('',(#231090,#231091,#231092,#231093)); #40498=EDGE_LOOP('',(#231094,#231095,#231096,#231097)); #40499=EDGE_LOOP('',(#231098,#231099,#231100,#231101)); #40500=EDGE_LOOP('',(#231102,#231103,#231104,#231105)); #40501=EDGE_LOOP('',(#231106,#231107,#231108,#231109)); #40502=EDGE_LOOP('',(#231110,#231111,#231112,#231113)); #40503=EDGE_LOOP('',(#231114,#231115,#231116,#231117)); #40504=EDGE_LOOP('',(#231118,#231119,#231120,#231121)); #40505=EDGE_LOOP('',(#231122,#231123,#231124,#231125)); #40506=EDGE_LOOP('',(#231126,#231127,#231128,#231129)); #40507=EDGE_LOOP('',(#231130,#231131,#231132,#231133)); #40508=EDGE_LOOP('',(#231134,#231135,#231136,#231137)); #40509=EDGE_LOOP('',(#231138,#231139,#231140,#231141)); #40510=EDGE_LOOP('',(#231142,#231143,#231144,#231145)); #40511=EDGE_LOOP('',(#231146,#231147,#231148,#231149)); #40512=EDGE_LOOP('',(#231150,#231151,#231152,#231153)); #40513=EDGE_LOOP('',(#231154,#231155,#231156,#231157)); #40514=EDGE_LOOP('',(#231158,#231159,#231160,#231161,#231162,#231163)); #40515=EDGE_LOOP('',(#231164,#231165)); #40516=EDGE_LOOP('',(#231166,#231167,#231168)); #40517=EDGE_LOOP('',(#231169,#231170,#231171,#231172,#231173,#231174)); #40518=EDGE_LOOP('',(#231175,#231176)); #40519=EDGE_LOOP('',(#231177,#231178,#231179)); #40520=EDGE_LOOP('',(#231180,#231181,#231182,#231183,#231184,#231185)); #40521=EDGE_LOOP('',(#231186,#231187)); #40522=EDGE_LOOP('',(#231188,#231189,#231190)); #40523=EDGE_LOOP('',(#231191,#231192,#231193,#231194,#231195,#231196)); #40524=EDGE_LOOP('',(#231197,#231198)); #40525=EDGE_LOOP('',(#231199,#231200,#231201)); #40526=EDGE_LOOP('',(#231202,#231203,#231204,#231205,#231206,#231207,#231208, #231209,#231210,#231211,#231212,#231213,#231214,#231215,#231216,#231217, #231218,#231219,#231220,#231221,#231222,#231223,#231224,#231225,#231226, #231227,#231228,#231229,#231230)); #40527=EDGE_LOOP('',(#231231,#231232,#231233,#231234,#231235,#231236,#231237, #231238,#231239,#231240,#231241,#231242)); #40528=EDGE_LOOP('',(#231243,#231244,#231245,#231246,#231247,#231248,#231249, #231250,#231251,#231252,#231253,#231254,#231255)); #40529=EDGE_LOOP('',(#231256,#231257,#231258,#231259,#231260,#231261,#231262, #231263)); #40530=EDGE_LOOP('',(#231264,#231265,#231266,#231267,#231268,#231269,#231270, #231271,#231272,#231273,#231274,#231275,#231276,#231277,#231278,#231279, #231280,#231281,#231282,#231283,#231284,#231285,#231286,#231287,#231288, #231289,#231290,#231291,#231292,#231293,#231294,#231295,#231296,#231297, #231298,#231299,#231300,#231301,#231302,#231303,#231304,#231305,#231306, #231307,#231308,#231309,#231310,#231311,#231312,#231313,#231314,#231315, #231316,#231317,#231318,#231319,#231320,#231321,#231322,#231323,#231324, #231325,#231326,#231327,#231328,#231329,#231330,#231331,#231332,#231333, #231334,#231335,#231336,#231337,#231338,#231339,#231340,#231341,#231342, #231343,#231344,#231345,#231346,#231347,#231348,#231349,#231350,#231351, #231352,#231353,#231354,#231355,#231356,#231357)); #40531=EDGE_LOOP('',(#231358,#231359,#231360,#231361,#231362,#231363,#231364, #231365,#231366,#231367,#231368)); #40532=EDGE_LOOP('',(#231369,#231370,#231371)); #40533=EDGE_LOOP('',(#231372,#231373,#231374,#231375,#231376,#231377,#231378)); #40534=EDGE_LOOP('',(#231379,#231380,#231381,#231382)); #40535=EDGE_LOOP('',(#231383,#231384,#231385,#231386)); #40536=EDGE_LOOP('',(#231387,#231388,#231389,#231390)); #40537=EDGE_LOOP('',(#231391,#231392,#231393,#231394)); #40538=EDGE_LOOP('',(#231395,#231396,#231397,#231398)); #40539=EDGE_LOOP('',(#231399,#231400,#231401,#231402)); #40540=EDGE_LOOP('',(#231403,#231404,#231405,#231406)); #40541=EDGE_LOOP('',(#231407,#231408,#231409,#231410,#231411,#231412)); #40542=EDGE_LOOP('',(#231413,#231414,#231415,#231416)); #40543=EDGE_LOOP('',(#231417,#231418,#231419,#231420)); #40544=EDGE_LOOP('',(#231421,#231422,#231423,#231424)); #40545=EDGE_LOOP('',(#231425,#231426,#231427,#231428)); #40546=EDGE_LOOP('',(#231429,#231430,#231431,#231432,#231433)); #40547=EDGE_LOOP('',(#231434,#231435,#231436,#231437,#231438)); #40548=EDGE_LOOP('',(#231439,#231440,#231441,#231442)); #40549=EDGE_LOOP('',(#231443,#231444,#231445,#231446,#231447)); #40550=EDGE_LOOP('',(#231448,#231449,#231450,#231451,#231452,#231453,#231454, #231455,#231456,#231457,#231458,#231459,#231460)); #40551=EDGE_LOOP('',(#231461,#231462,#231463)); #40552=EDGE_LOOP('',(#231464,#231465,#231466,#231467,#231468,#231469,#231470, #231471,#231472,#231473,#231474,#231475)); #40553=EDGE_LOOP('',(#231476,#231477,#231478,#231479,#231480,#231481,#231482, #231483,#231484,#231485)); #40554=EDGE_LOOP('',(#231486,#231487,#231488)); #40555=EDGE_LOOP('',(#231489,#231490,#231491,#231492,#231493,#231494,#231495, #231496)); #40556=EDGE_LOOP('',(#231497,#231498,#231499,#231500,#231501,#231502)); #40557=EDGE_LOOP('',(#231503,#231504,#231505,#231506)); #40558=EDGE_LOOP('',(#231507,#231508,#231509,#231510,#231511)); #40559=EDGE_LOOP('',(#231512,#231513,#231514)); #40560=EDGE_LOOP('',(#231515,#231516,#231517,#231518,#231519,#231520,#231521)); #40561=EDGE_LOOP('',(#231522,#231523,#231524,#231525)); #40562=EDGE_LOOP('',(#231526,#231527,#231528,#231529)); #40563=EDGE_LOOP('',(#231530,#231531,#231532,#231533)); #40564=EDGE_LOOP('',(#231534,#231535,#231536,#231537)); #40565=EDGE_LOOP('',(#231538,#231539,#231540,#231541)); #40566=EDGE_LOOP('',(#231542,#231543,#231544,#231545)); #40567=EDGE_LOOP('',(#231546,#231547,#231548,#231549)); #40568=EDGE_LOOP('',(#231550,#231551,#231552,#231553,#231554,#231555,#231556, #231557,#231558,#231559,#231560,#231561)); #40569=EDGE_LOOP('',(#231562,#231563,#231564,#231565,#231566,#231567,#231568, #231569,#231570,#231571,#231572,#231573,#231574)); #40570=EDGE_LOOP('',(#231575,#231576,#231577,#231578)); #40571=EDGE_LOOP('',(#231579,#231580,#231581,#231582)); #40572=EDGE_LOOP('',(#231583,#231584,#231585,#231586)); #40573=EDGE_LOOP('',(#231587,#231588,#231589,#231590)); #40574=EDGE_LOOP('',(#231591,#231592,#231593,#231594)); #40575=EDGE_LOOP('',(#231595,#231596,#231597,#231598)); #40576=EDGE_LOOP('',(#231599,#231600,#231601)); #40577=EDGE_LOOP('',(#231602,#231603,#231604,#231605)); #40578=EDGE_LOOP('',(#231606,#231607,#231608,#231609,#231610,#231611)); #40579=EDGE_LOOP('',(#231612,#231613,#231614,#231615,#231616,#231617)); #40580=EDGE_LOOP('',(#231618,#231619,#231620,#231621)); #40581=EDGE_LOOP('',(#231622,#231623,#231624,#231625)); #40582=EDGE_LOOP('',(#231626,#231627,#231628,#231629)); #40583=EDGE_LOOP('',(#231630,#231631,#231632,#231633)); #40584=EDGE_LOOP('',(#231634,#231635,#231636,#231637)); #40585=EDGE_LOOP('',(#231638,#231639,#231640,#231641)); #40586=EDGE_LOOP('',(#231642,#231643,#231644,#231645,#231646)); #40587=EDGE_LOOP('',(#231647,#231648,#231649)); #40588=EDGE_LOOP('',(#231650,#231651,#231652,#231653,#231654,#231655,#231656)); #40589=EDGE_LOOP('',(#231657,#231658,#231659,#231660,#231661,#231662)); #40590=EDGE_LOOP('',(#231663,#231664,#231665,#231666)); #40591=EDGE_LOOP('',(#231667,#231668,#231669,#231670)); #40592=EDGE_LOOP('',(#231671,#231672,#231673,#231674)); #40593=EDGE_LOOP('',(#231675,#231676,#231677,#231678)); #40594=EDGE_LOOP('',(#231679,#231680,#231681,#231682)); #40595=EDGE_LOOP('',(#231683,#231684,#231685,#231686,#231687,#231688,#231689, #231690,#231691,#231692,#231693,#231694)); #40596=EDGE_LOOP('',(#231695,#231696,#231697,#231698)); #40597=EDGE_LOOP('',(#231699,#231700,#231701,#231702)); #40598=EDGE_LOOP('',(#231703,#231704,#231705,#231706)); #40599=EDGE_LOOP('',(#231707,#231708,#231709,#231710,#231711,#231712,#231713, #231714,#231715,#231716)); #40600=EDGE_LOOP('',(#231717,#231718,#231719)); #40601=EDGE_LOOP('',(#231720,#231721,#231722,#231723,#231724,#231725,#231726, #231727,#231728)); #40602=EDGE_LOOP('',(#231729,#231730,#231731,#231732,#231733,#231734,#231735, #231736)); #40603=EDGE_LOOP('',(#231737,#231738,#231739,#231740)); #40604=EDGE_LOOP('',(#231741,#231742,#231743,#231744)); #40605=EDGE_LOOP('',(#231745,#231746,#231747,#231748)); #40606=EDGE_LOOP('',(#231749,#231750,#231751,#231752)); #40607=EDGE_LOOP('',(#231753,#231754,#231755,#231756)); #40608=EDGE_LOOP('',(#231757,#231758,#231759,#231760,#231761)); #40609=EDGE_LOOP('',(#231762,#231763,#231764)); #40610=EDGE_LOOP('',(#231765,#231766,#231767,#231768,#231769,#231770,#231771)); #40611=EDGE_LOOP('',(#231772,#231773,#231774,#231775)); #40612=EDGE_LOOP('',(#231776,#231777,#231778,#231779)); #40613=EDGE_LOOP('',(#231780,#231781,#231782,#231783)); #40614=EDGE_LOOP('',(#231784,#231785,#231786,#231787)); #40615=EDGE_LOOP('',(#231788,#231789,#231790,#231791)); #40616=EDGE_LOOP('',(#231792,#231793,#231794,#231795)); #40617=EDGE_LOOP('',(#231796,#231797,#231798,#231799)); #40618=EDGE_LOOP('',(#231800,#231801,#231802,#231803,#231804,#231805,#231806, #231807,#231808,#231809,#231810,#231811,#231812)); #40619=EDGE_LOOP('',(#231813,#231814,#231815,#231816,#231817,#231818,#231819, #231820,#231821,#231822,#231823,#231824)); #40620=EDGE_LOOP('',(#231825,#231826,#231827)); #40621=EDGE_LOOP('',(#231828,#231829,#231830)); #40622=EDGE_LOOP('',(#231831,#231832,#231833,#231834)); #40623=EDGE_LOOP('',(#231835,#231836,#231837,#231838,#231839,#231840,#231841)); #40624=EDGE_LOOP('',(#231842,#231843,#231844)); #40625=EDGE_LOOP('',(#231845,#231846,#231847)); #40626=EDGE_LOOP('',(#231848,#231849)); #40627=EDGE_LOOP('',(#231850,#231851)); #40628=EDGE_LOOP('',(#231852,#231853,#231854)); #40629=EDGE_LOOP('',(#231855,#231856,#231857,#231858,#231859,#231860,#231861, #231862,#231863,#231864,#231865,#231866,#231867)); #40630=EDGE_LOOP('',(#231868,#231869,#231870)); #40631=EDGE_LOOP('',(#231871,#231872)); #40632=EDGE_LOOP('',(#231873,#231874)); #40633=EDGE_LOOP('',(#231875,#231876,#231877)); #40634=EDGE_LOOP('',(#231878,#231879,#231880,#231881,#231882,#231883,#231884)); #40635=EDGE_LOOP('',(#231885,#231886,#231887,#231888)); #40636=EDGE_LOOP('',(#231889,#231890,#231891,#231892)); #40637=EDGE_LOOP('',(#231893,#231894,#231895,#231896)); #40638=EDGE_LOOP('',(#231897,#231898,#231899,#231900)); #40639=EDGE_LOOP('',(#231901,#231902,#231903,#231904)); #40640=EDGE_LOOP('',(#231905,#231906,#231907,#231908)); #40641=EDGE_LOOP('',(#231909,#231910,#231911,#231912)); #40642=EDGE_LOOP('',(#231913,#231914,#231915)); #40643=EDGE_LOOP('',(#231916,#231917,#231918,#231919,#231920,#231921)); #40644=EDGE_LOOP('',(#231922,#231923,#231924,#231925,#231926)); #40645=EDGE_LOOP('',(#231927,#231928,#231929,#231930)); #40646=EDGE_LOOP('',(#231931,#231932,#231933,#231934)); #40647=EDGE_LOOP('',(#231935,#231936,#231937,#231938)); #40648=EDGE_LOOP('',(#231939,#231940,#231941,#231942)); #40649=EDGE_LOOP('',(#231943,#231944,#231945,#231946)); #40650=EDGE_LOOP('',(#231947,#231948,#231949,#231950)); #40651=EDGE_LOOP('',(#231951)); #40652=EDGE_LOOP('',(#231952,#231953,#231954,#231955)); #40653=EDGE_LOOP('',(#231956,#231957,#231958,#231959)); #40654=EDGE_LOOP('',(#231960,#231961,#231962,#231963)); #40655=EDGE_LOOP('',(#231964,#231965,#231966,#231967)); #40656=EDGE_LOOP('',(#231968,#231969,#231970,#231971)); #40657=EDGE_LOOP('',(#231972,#231973,#231974,#231975,#231976,#231977,#231978, #231979,#231980,#231981,#231982,#231983,#231984)); #40658=EDGE_LOOP('',(#231985,#231986,#231987,#231988)); #40659=EDGE_LOOP('',(#231989,#231990,#231991,#231992,#231993,#231994,#231995, #231996,#231997,#231998,#231999,#232000,#232001)); #40660=EDGE_LOOP('',(#232002,#232003,#232004,#232005)); #40661=EDGE_LOOP('',(#232006,#232007,#232008,#232009)); #40662=EDGE_LOOP('',(#232010,#232011,#232012,#232013)); #40663=EDGE_LOOP('',(#232014,#232015,#232016,#232017)); #40664=EDGE_LOOP('',(#232018,#232019,#232020,#232021)); #40665=EDGE_LOOP('',(#232022,#232023,#232024,#232025)); #40666=EDGE_LOOP('',(#232026,#232027,#232028,#232029,#232030,#232031)); #40667=EDGE_LOOP('',(#232032,#232033)); #40668=EDGE_LOOP('',(#232034,#232035,#232036,#232037)); #40669=EDGE_LOOP('',(#232038,#232039,#232040,#232041,#232042,#232043,#232044, #232045,#232046,#232047,#232048,#232049,#232050)); #40670=EDGE_LOOP('',(#232051,#232052,#232053,#232054)); #40671=EDGE_LOOP('',(#232055,#232056,#232057,#232058)); #40672=EDGE_LOOP('',(#232059,#232060,#232061,#232062)); #40673=EDGE_LOOP('',(#232063,#232064,#232065,#232066)); #40674=EDGE_LOOP('',(#232067,#232068,#232069,#232070)); #40675=EDGE_LOOP('',(#232071,#232072,#232073,#232074)); #40676=EDGE_LOOP('',(#232075,#232076,#232077,#232078)); #40677=EDGE_LOOP('',(#232079,#232080,#232081,#232082)); #40678=EDGE_LOOP('',(#232083,#232084,#232085,#232086,#232087,#232088,#232089, #232090,#232091,#232092,#232093,#232094,#232095)); #40679=EDGE_LOOP('',(#232096,#232097,#232098,#232099)); #40680=EDGE_LOOP('',(#232100,#232101,#232102,#232103,#232104,#232105,#232106, #232107,#232108,#232109,#232110,#232111,#232112)); #40681=EDGE_LOOP('',(#232113,#232114,#232115,#232116)); #40682=EDGE_LOOP('',(#232117,#232118,#232119,#232120,#232121,#232122,#232123, #232124,#232125,#232126,#232127,#232128,#232129)); #40683=EDGE_LOOP('',(#232130,#232131,#232132,#232133)); #40684=EDGE_LOOP('',(#232134,#232135,#232136,#232137)); #40685=EDGE_LOOP('',(#232138,#232139,#232140,#232141)); #40686=EDGE_LOOP('',(#232142,#232143,#232144,#232145)); #40687=EDGE_LOOP('',(#232146,#232147,#232148,#232149)); #40688=EDGE_LOOP('',(#232150,#232151,#232152,#232153)); #40689=EDGE_LOOP('',(#232154,#232155,#232156,#232157)); #40690=EDGE_LOOP('',(#232158,#232159,#232160,#232161)); #40691=EDGE_LOOP('',(#232162,#232163,#232164,#232165)); #40692=EDGE_LOOP('',(#232166,#232167,#232168,#232169)); #40693=EDGE_LOOP('',(#232170,#232171,#232172,#232173,#232174,#232175,#232176, #232177,#232178,#232179,#232180,#232181,#232182)); #40694=EDGE_LOOP('',(#232183,#232184,#232185,#232186)); #40695=EDGE_LOOP('',(#232187,#232188,#232189,#232190,#232191,#232192,#232193, #232194,#232195,#232196,#232197,#232198,#232199)); #40696=EDGE_LOOP('',(#232200,#232201,#232202,#232203)); #40697=EDGE_LOOP('',(#232204,#232205,#232206,#232207)); #40698=EDGE_LOOP('',(#232208,#232209,#232210,#232211)); #40699=EDGE_LOOP('',(#232212,#232213,#232214,#232215)); #40700=EDGE_LOOP('',(#232216,#232217,#232218,#232219)); #40701=EDGE_LOOP('',(#232220,#232221,#232222,#232223)); #40702=EDGE_LOOP('',(#232224,#232225,#232226,#232227,#232228,#232229)); #40703=EDGE_LOOP('',(#232230,#232231)); #40704=EDGE_LOOP('',(#232232,#232233,#232234,#232235)); #40705=EDGE_LOOP('',(#232236,#232237,#232238,#232239)); #40706=EDGE_LOOP('',(#232240,#232241,#232242,#232243)); #40707=EDGE_LOOP('',(#232244,#232245,#232246,#232247)); #40708=EDGE_LOOP('',(#232248,#232249,#232250,#232251)); #40709=EDGE_LOOP('',(#232252,#232253,#232254,#232255)); #40710=EDGE_LOOP('',(#232256,#232257,#232258,#232259)); #40711=EDGE_LOOP('',(#232260,#232261,#232262,#232263)); #40712=EDGE_LOOP('',(#232264,#232265,#232266,#232267)); #40713=EDGE_LOOP('',(#232268,#232269,#232270,#232271,#232272,#232273,#232274, #232275,#232276,#232277,#232278,#232279,#232280)); #40714=EDGE_LOOP('',(#232281,#232282,#232283,#232284)); #40715=EDGE_LOOP('',(#232285,#232286,#232287,#232288)); #40716=EDGE_LOOP('',(#232289,#232290,#232291,#232292)); #40717=EDGE_LOOP('',(#232293,#232294,#232295,#232296)); #40718=EDGE_LOOP('',(#232297,#232298,#232299,#232300)); #40719=EDGE_LOOP('',(#232301,#232302,#232303,#232304)); #40720=EDGE_LOOP('',(#232305,#232306,#232307,#232308)); #40721=EDGE_LOOP('',(#232309,#232310,#232311,#232312)); #40722=EDGE_LOOP('',(#232313,#232314,#232315,#232316)); #40723=EDGE_LOOP('',(#232317,#232318,#232319,#232320)); #40724=EDGE_LOOP('',(#232321,#232322,#232323,#232324,#232325,#232326,#232327, #232328,#232329,#232330,#232331,#232332,#232333)); #40725=EDGE_LOOP('',(#232334,#232335,#232336,#232337)); #40726=EDGE_LOOP('',(#232338,#232339,#232340,#232341,#232342,#232343,#232344, #232345,#232346,#232347,#232348,#232349,#232350)); #40727=EDGE_LOOP('',(#232351,#232352,#232353,#232354)); #40728=EDGE_LOOP('',(#232355,#232356,#232357,#232358)); #40729=EDGE_LOOP('',(#232359,#232360,#232361,#232362)); #40730=EDGE_LOOP('',(#232363,#232364,#232365,#232366)); #40731=EDGE_LOOP('',(#232367,#232368,#232369,#232370)); #40732=EDGE_LOOP('',(#232371,#232372,#232373,#232374)); #40733=EDGE_LOOP('',(#232375,#232376,#232377,#232378,#232379,#232380)); #40734=EDGE_LOOP('',(#232381,#232382)); #40735=EDGE_LOOP('',(#232383,#232384,#232385,#232386)); #40736=EDGE_LOOP('',(#232387,#232388,#232389,#232390,#232391,#232392,#232393, #232394,#232395,#232396,#232397,#232398,#232399)); #40737=EDGE_LOOP('',(#232400,#232401,#232402,#232403)); #40738=EDGE_LOOP('',(#232404,#232405,#232406,#232407)); #40739=EDGE_LOOP('',(#232408,#232409,#232410,#232411)); #40740=EDGE_LOOP('',(#232412,#232413,#232414,#232415)); #40741=EDGE_LOOP('',(#232416,#232417,#232418,#232419)); #40742=EDGE_LOOP('',(#232420,#232421,#232422,#232423)); #40743=EDGE_LOOP('',(#232424,#232425,#232426,#232427)); #40744=EDGE_LOOP('',(#232428,#232429,#232430,#232431)); #40745=EDGE_LOOP('',(#232432,#232433,#232434,#232435,#232436,#232437,#232438, #232439,#232440,#232441,#232442,#232443,#232444)); #40746=EDGE_LOOP('',(#232445,#232446,#232447,#232448)); #40747=EDGE_LOOP('',(#232449,#232450,#232451,#232452,#232453,#232454,#232455, #232456,#232457,#232458,#232459,#232460,#232461)); #40748=EDGE_LOOP('',(#232462,#232463,#232464,#232465)); #40749=EDGE_LOOP('',(#232466,#232467,#232468,#232469,#232470,#232471,#232472, #232473,#232474,#232475,#232476,#232477,#232478)); #40750=EDGE_LOOP('',(#232479,#232480,#232481,#232482)); #40751=EDGE_LOOP('',(#232483,#232484,#232485,#232486)); #40752=EDGE_LOOP('',(#232487,#232488,#232489,#232490)); #40753=EDGE_LOOP('',(#232491,#232492,#232493,#232494)); #40754=EDGE_LOOP('',(#232495,#232496,#232497,#232498)); #40755=EDGE_LOOP('',(#232499,#232500,#232501,#232502)); #40756=EDGE_LOOP('',(#232503,#232504,#232505,#232506)); #40757=EDGE_LOOP('',(#232507,#232508,#232509,#232510)); #40758=EDGE_LOOP('',(#232511,#232512,#232513,#232514)); #40759=EDGE_LOOP('',(#232515,#232516,#232517,#232518)); #40760=EDGE_LOOP('',(#232519,#232520,#232521,#232522,#232523,#232524,#232525, #232526,#232527,#232528,#232529,#232530,#232531)); #40761=EDGE_LOOP('',(#232532,#232533,#232534,#232535)); #40762=EDGE_LOOP('',(#232536,#232537,#232538,#232539,#232540,#232541,#232542, #232543,#232544,#232545,#232546,#232547,#232548)); #40763=EDGE_LOOP('',(#232549,#232550,#232551,#232552)); #40764=EDGE_LOOP('',(#232553,#232554,#232555,#232556)); #40765=EDGE_LOOP('',(#232557,#232558,#232559,#232560)); #40766=EDGE_LOOP('',(#232561,#232562,#232563,#232564)); #40767=EDGE_LOOP('',(#232565,#232566,#232567,#232568)); #40768=EDGE_LOOP('',(#232569,#232570,#232571,#232572)); #40769=EDGE_LOOP('',(#232573,#232574,#232575,#232576,#232577,#232578)); #40770=EDGE_LOOP('',(#232579,#232580)); #40771=EDGE_LOOP('',(#232581,#232582,#232583,#232584)); #40772=EDGE_LOOP('',(#232585,#232586,#232587,#232588,#232589,#232590,#232591, #232592,#232593,#232594,#232595,#232596,#232597)); #40773=EDGE_LOOP('',(#232598,#232599,#232600,#232601)); #40774=EDGE_LOOP('',(#232602,#232603,#232604,#232605)); #40775=EDGE_LOOP('',(#232606,#232607,#232608,#232609)); #40776=EDGE_LOOP('',(#232610,#232611,#232612,#232613)); #40777=EDGE_LOOP('',(#232614,#232615,#232616,#232617)); #40778=EDGE_LOOP('',(#232618,#232619,#232620,#232621)); #40779=EDGE_LOOP('',(#232622,#232623,#232624,#232625)); #40780=EDGE_LOOP('',(#232626,#232627,#232628,#232629)); #40781=EDGE_LOOP('',(#232630,#232631,#232632,#232633,#232634,#232635,#232636, #232637,#232638,#232639,#232640,#232641,#232642)); #40782=EDGE_LOOP('',(#232643,#232644,#232645,#232646)); #40783=EDGE_LOOP('',(#232647,#232648,#232649,#232650)); #40784=EDGE_LOOP('',(#232651,#232652,#232653,#232654)); #40785=EDGE_LOOP('',(#232655,#232656,#232657,#232658)); #40786=EDGE_LOOP('',(#232659,#232660,#232661,#232662)); #40787=EDGE_LOOP('',(#232663,#232664,#232665,#232666)); #40788=EDGE_LOOP('',(#232667,#232668,#232669,#232670)); #40789=EDGE_LOOP('',(#232671,#232672,#232673,#232674)); #40790=EDGE_LOOP('',(#232675,#232676,#232677,#232678)); #40791=EDGE_LOOP('',(#232679,#232680,#232681,#232682)); #40792=EDGE_LOOP('',(#232683,#232684,#232685,#232686)); #40793=EDGE_LOOP('',(#232687,#232688,#232689,#232690)); #40794=EDGE_LOOP('',(#232691,#232692,#232693,#232694)); #40795=EDGE_LOOP('',(#232695,#232696,#232697,#232698)); #40796=EDGE_LOOP('',(#232699,#232700,#232701,#232702)); #40797=EDGE_LOOP('',(#232703,#232704,#232705,#232706)); #40798=EDGE_LOOP('',(#232707,#232708,#232709,#232710)); #40799=EDGE_LOOP('',(#232711,#232712,#232713,#232714)); #40800=EDGE_LOOP('',(#232715,#232716,#232717,#232718)); #40801=EDGE_LOOP('',(#232719,#232720,#232721,#232722)); #40802=EDGE_LOOP('',(#232723,#232724,#232725,#232726)); #40803=EDGE_LOOP('',(#232727,#232728,#232729,#232730)); #40804=EDGE_LOOP('',(#232731,#232732,#232733,#232734)); #40805=EDGE_LOOP('',(#232735,#232736,#232737,#232738,#232739)); #40806=EDGE_LOOP('',(#232740,#232741,#232742,#232743)); #40807=EDGE_LOOP('',(#232744,#232745,#232746,#232747,#232748)); #40808=EDGE_LOOP('',(#232749,#232750,#232751,#232752,#232753,#232754,#232755)); #40809=EDGE_LOOP('',(#232756,#232757,#232758,#232759,#232760,#232761,#232762, #232763,#232764,#232765,#232766,#232767,#232768,#232769,#232770,#232771, #232772,#232773,#232774)); #40810=EDGE_LOOP('',(#232775,#232776,#232777,#232778,#232779,#232780,#232781)); #40811=EDGE_LOOP('',(#232782,#232783,#232784,#232785)); #40812=EDGE_LOOP('',(#232786,#232787,#232788,#232789)); #40813=EDGE_LOOP('',(#232790,#232791,#232792,#232793,#232794,#232795,#232796, #232797,#232798)); #40814=EDGE_LOOP('',(#232799,#232800,#232801,#232802,#232803)); #40815=EDGE_LOOP('',(#232804,#232805,#232806,#232807,#232808)); #40816=EDGE_LOOP('',(#232809,#232810,#232811,#232812,#232813)); #40817=EDGE_LOOP('',(#232814,#232815,#232816,#232817,#232818)); #40818=EDGE_LOOP('',(#232819,#232820,#232821,#232822)); #40819=EDGE_LOOP('',(#232823,#232824,#232825,#232826,#232827,#232828,#232829, #232830,#232831)); #40820=EDGE_LOOP('',(#232832,#232833,#232834,#232835)); #40821=EDGE_LOOP('',(#232836,#232837,#232838,#232839)); #40822=EDGE_LOOP('',(#232840,#232841,#232842,#232843,#232844,#232845,#232846)); #40823=EDGE_LOOP('',(#232847,#232848,#232849,#232850,#232851,#232852,#232853, #232854,#232855)); #40824=EDGE_LOOP('',(#232856,#232857,#232858,#232859)); #40825=EDGE_LOOP('',(#232860,#232861,#232862,#232863)); #40826=EDGE_LOOP('',(#232864,#232865,#232866,#232867,#232868,#232869,#232870)); #40827=EDGE_LOOP('',(#232871,#232872,#232873,#232874,#232875,#232876,#232877, #232878,#232879)); #40828=EDGE_LOOP('',(#232880,#232881,#232882,#232883,#232884)); #40829=EDGE_LOOP('',(#232885,#232886,#232887,#232888,#232889)); #40830=EDGE_LOOP('',(#232890,#232891,#232892,#232893)); #40831=EDGE_LOOP('',(#232894,#232895,#232896,#232897,#232898,#232899,#232900, #232901,#232902)); #40832=EDGE_LOOP('',(#232903,#232904,#232905,#232906)); #40833=EDGE_LOOP('',(#232907,#232908,#232909,#232910)); #40834=EDGE_LOOP('',(#232911,#232912,#232913,#232914,#232915,#232916,#232917)); #40835=EDGE_LOOP('',(#232918,#232919,#232920,#232921)); #40836=EDGE_LOOP('',(#232922,#232923,#232924,#232925,#232926)); #40837=EDGE_LOOP('',(#232927,#232928,#232929,#232930,#232931)); #40838=EDGE_LOOP('',(#232932,#232933,#232934,#232935,#232936)); #40839=EDGE_LOOP('',(#232937,#232938,#232939,#232940,#232941)); #40840=EDGE_LOOP('',(#232942,#232943,#232944,#232945,#232946,#232947,#232948, #232949,#232950,#232951)); #40841=EDGE_LOOP('',(#232952,#232953,#232954,#232955,#232956)); #40842=EDGE_LOOP('',(#232957,#232958,#232959,#232960,#232961)); #40843=EDGE_LOOP('',(#232962,#232963,#232964,#232965,#232966)); #40844=EDGE_LOOP('',(#232967,#232968,#232969,#232970,#232971)); #40845=EDGE_LOOP('',(#232972,#232973,#232974,#232975)); #40846=EDGE_LOOP('',(#232976,#232977,#232978)); #40847=EDGE_LOOP('',(#232979,#232980,#232981)); #40848=EDGE_LOOP('',(#232982,#232983,#232984,#232985,#232986)); #40849=EDGE_LOOP('',(#232987,#232988,#232989)); #40850=EDGE_LOOP('',(#232990,#232991,#232992)); #40851=EDGE_LOOP('',(#232993,#232994,#232995,#232996,#232997)); #40852=EDGE_LOOP('',(#232998,#232999,#233000,#233001,#233002)); #40853=EDGE_LOOP('',(#233003,#233004,#233005,#233006,#233007)); #40854=EDGE_LOOP('',(#233008,#233009,#233010,#233011)); #40855=EDGE_LOOP('',(#233012,#233013,#233014,#233015,#233016)); #40856=EDGE_LOOP('',(#233017,#233018,#233019)); #40857=EDGE_LOOP('',(#233020,#233021,#233022,#233023)); #40858=EDGE_LOOP('',(#233024)); #40859=EDGE_LOOP('',(#233025,#233026,#233027,#233028)); #40860=EDGE_LOOP('',(#233029,#233030,#233031)); #40861=EDGE_LOOP('',(#233032,#233033,#233034,#233035)); #40862=EDGE_LOOP('',(#233036,#233037,#233038)); #40863=EDGE_LOOP('',(#233039,#233040)); #40864=EDGE_LOOP('',(#233041,#233042,#233043)); #40865=EDGE_LOOP('',(#233044,#233045,#233046,#233047)); #40866=EDGE_LOOP('',(#233048,#233049)); #40867=EDGE_LOOP('',(#233050,#233051,#233052,#233053,#233054,#233055,#233056, #233057)); #40868=EDGE_LOOP('',(#233058,#233059,#233060,#233061)); #40869=EDGE_LOOP('',(#233062,#233063,#233064,#233065)); #40870=EDGE_LOOP('',(#233066,#233067,#233068,#233069)); #40871=EDGE_LOOP('',(#233070,#233071,#233072,#233073,#233074,#233075)); #40872=EDGE_LOOP('',(#233076,#233077,#233078,#233079,#233080,#233081)); #40873=EDGE_LOOP('',(#233082,#233083,#233084,#233085,#233086,#233087,#233088, #233089)); #40874=EDGE_LOOP('',(#233090,#233091,#233092,#233093)); #40875=EDGE_LOOP('',(#233094,#233095,#233096,#233097,#233098,#233099,#233100)); #40876=EDGE_LOOP('',(#233101,#233102,#233103,#233104,#233105,#233106)); #40877=EDGE_LOOP('',(#233107,#233108,#233109,#233110)); #40878=EDGE_LOOP('',(#233111,#233112,#233113,#233114,#233115,#233116,#233117, #233118,#233119)); #40879=EDGE_LOOP('',(#233120,#233121,#233122,#233123)); #40880=EDGE_LOOP('',(#233124,#233125,#233126,#233127,#233128,#233129,#233130, #233131)); #40881=EDGE_LOOP('',(#233132,#233133,#233134,#233135)); #40882=EDGE_LOOP('',(#233136,#233137,#233138,#233139,#233140,#233141)); #40883=EDGE_LOOP('',(#233142,#233143,#233144,#233145)); #40884=EDGE_LOOP('',(#233146,#233147,#233148,#233149,#233150,#233151,#233152, #233153)); #40885=EDGE_LOOP('',(#233154,#233155,#233156,#233157)); #40886=EDGE_LOOP('',(#233158,#233159,#233160,#233161,#233162,#233163)); #40887=EDGE_LOOP('',(#233164,#233165,#233166,#233167)); #40888=EDGE_LOOP('',(#233168,#233169,#233170,#233171,#233172,#233173,#233174)); #40889=EDGE_LOOP('',(#233175,#233176,#233177,#233178)); #40890=EDGE_LOOP('',(#233179,#233180,#233181,#233182,#233183,#233184,#233185, #233186)); #40891=EDGE_LOOP('',(#233187,#233188,#233189,#233190)); #40892=EDGE_LOOP('',(#233191,#233192,#233193,#233194)); #40893=EDGE_LOOP('',(#233195,#233196,#233197,#233198,#233199,#233200,#233201, #233202,#233203,#233204)); #40894=EDGE_LOOP('',(#233205,#233206,#233207,#233208)); #40895=EDGE_LOOP('',(#233209,#233210,#233211,#233212)); #40896=EDGE_LOOP('',(#233213,#233214,#233215,#233216,#233217,#233218,#233219)); #40897=EDGE_LOOP('',(#233220,#233221,#233222,#233223,#233224,#233225,#233226)); #40898=EDGE_LOOP('',(#233227,#233228,#233229,#233230,#233231,#233232,#233233, #233234)); #40899=EDGE_LOOP('',(#233235,#233236,#233237,#233238,#233239,#233240,#233241, #233242,#233243,#233244)); #40900=EDGE_LOOP('',(#233245,#233246,#233247,#233248)); #40901=EDGE_LOOP('',(#233249,#233250,#233251,#233252)); #40902=EDGE_LOOP('',(#233253,#233254,#233255,#233256,#233257,#233258,#233259)); #40903=EDGE_LOOP('',(#233260,#233261,#233262,#233263)); #40904=EDGE_LOOP('',(#233264,#233265,#233266,#233267)); #40905=EDGE_LOOP('',(#233268,#233269,#233270,#233271)); #40906=EDGE_LOOP('',(#233272,#233273,#233274,#233275)); #40907=EDGE_LOOP('',(#233276,#233277,#233278,#233279)); #40908=EDGE_LOOP('',(#233280,#233281,#233282,#233283,#233284,#233285,#233286, #233287,#233288,#233289)); #40909=EDGE_LOOP('',(#233290,#233291,#233292,#233293,#233294,#233295,#233296)); #40910=EDGE_LOOP('',(#233297,#233298,#233299,#233300,#233301,#233302,#233303, #233304)); #40911=EDGE_LOOP('',(#233305,#233306,#233307,#233308,#233309,#233310,#233311)); #40912=EDGE_LOOP('',(#233312,#233313,#233314,#233315,#233316,#233317)); #40913=EDGE_LOOP('',(#233318,#233319,#233320,#233321)); #40914=EDGE_LOOP('',(#233322,#233323,#233324,#233325)); #40915=EDGE_LOOP('',(#233326,#233327,#233328,#233329,#233330,#233331,#233332)); #40916=EDGE_LOOP('',(#233333,#233334,#233335,#233336,#233337,#233338,#233339)); #40917=EDGE_LOOP('',(#233340,#233341,#233342,#233343)); #40918=EDGE_LOOP('',(#233344,#233345,#233346,#233347)); #40919=EDGE_LOOP('',(#233348,#233349,#233350,#233351)); #40920=EDGE_LOOP('',(#233352,#233353,#233354,#233355,#233356,#233357)); #40921=EDGE_LOOP('',(#233358,#233359,#233360,#233361,#233362,#233363,#233364)); #40922=EDGE_LOOP('',(#233365,#233366,#233367,#233368,#233369,#233370)); #40923=EDGE_LOOP('',(#233371,#233372,#233373,#233374)); #40924=EDGE_LOOP('',(#233375,#233376,#233377,#233378)); #40925=EDGE_LOOP('',(#233379,#233380,#233381,#233382,#233383,#233384,#233385, #233386)); #40926=EDGE_LOOP('',(#233387,#233388,#233389,#233390)); #40927=EDGE_LOOP('',(#233391,#233392,#233393,#233394)); #40928=EDGE_LOOP('',(#233395,#233396,#233397,#233398,#233399,#233400)); #40929=EDGE_LOOP('',(#233401,#233402,#233403,#233404,#233405,#233406,#233407)); #40930=EDGE_LOOP('',(#233408,#233409,#233410,#233411,#233412,#233413)); #40931=EDGE_LOOP('',(#233414,#233415,#233416,#233417)); #40932=EDGE_LOOP('',(#233418,#233419,#233420,#233421)); #40933=EDGE_LOOP('',(#233422,#233423,#233424,#233425,#233426,#233427,#233428, #233429,#233430,#233431,#233432,#233433)); #40934=EDGE_LOOP('',(#233434,#233435,#233436,#233437,#233438,#233439,#233440, #233441,#233442,#233443,#233444,#233445)); #40935=EDGE_LOOP('',(#233446,#233447,#233448,#233449)); #40936=EDGE_LOOP('',(#233450,#233451,#233452,#233453)); #40937=EDGE_LOOP('',(#233454,#233455,#233456,#233457,#233458)); #40938=EDGE_LOOP('',(#233459,#233460,#233461,#233462,#233463,#233464,#233465, #233466,#233467)); #40939=EDGE_LOOP('',(#233468,#233469,#233470,#233471,#233472,#233473,#233474, #233475)); #40940=EDGE_LOOP('',(#233476,#233477,#233478,#233479)); #40941=EDGE_LOOP('',(#233480,#233481,#233482,#233483,#233484,#233485,#233486)); #40942=EDGE_LOOP('',(#233487,#233488,#233489,#233490,#233491,#233492,#233493, #233494,#233495)); #40943=EDGE_LOOP('',(#233496,#233497)); #40944=EDGE_LOOP('',(#233498,#233499,#233500)); #40945=EDGE_LOOP('',(#233501,#233502,#233503,#233504,#233505)); #40946=EDGE_LOOP('',(#233506,#233507,#233508,#233509)); #40947=EDGE_LOOP('',(#233510,#233511,#233512,#233513,#233514)); #40948=EDGE_LOOP('',(#233515,#233516,#233517,#233518,#233519,#233520,#233521, #233522)); #40949=EDGE_LOOP('',(#233523,#233524,#233525,#233526,#233527,#233528,#233529)); #40950=EDGE_LOOP('',(#233530,#233531,#233532,#233533,#233534,#233535)); #40951=EDGE_LOOP('',(#233536,#233537,#233538,#233539)); #40952=EDGE_LOOP('',(#233540,#233541,#233542,#233543)); #40953=EDGE_LOOP('',(#233544,#233545,#233546,#233547,#233548,#233549,#233550)); #40954=EDGE_LOOP('',(#233551,#233552,#233553,#233554,#233555,#233556,#233557, #233558,#233559,#233560,#233561,#233562,#233563,#233564,#233565,#233566, #233567,#233568,#233569,#233570,#233571)); #40955=EDGE_LOOP('',(#233572,#233573,#233574,#233575,#233576,#233577,#233578, #233579,#233580,#233581,#233582,#233583,#233584,#233585,#233586,#233587, #233588)); #40956=EDGE_LOOP('',(#233589,#233590,#233591)); #40957=EDGE_LOOP('',(#233592,#233593,#233594,#233595,#233596,#233597,#233598, #233599,#233600,#233601,#233602,#233603,#233604,#233605,#233606)); #40958=EDGE_LOOP('',(#233607,#233608,#233609,#233610,#233611)); #40959=EDGE_LOOP('',(#233612,#233613,#233614)); #40960=EDGE_LOOP('',(#233615,#233616,#233617)); #40961=EDGE_LOOP('',(#233618,#233619,#233620,#233621,#233622,#233623,#233624, #233625,#233626,#233627,#233628,#233629,#233630,#233631,#233632)); #40962=EDGE_LOOP('',(#233633,#233634,#233635,#233636,#233637,#233638,#233639, #233640,#233641,#233642,#233643,#233644)); #40963=EDGE_LOOP('',(#233645,#233646,#233647,#233648,#233649,#233650)); #40964=EDGE_LOOP('',(#233651,#233652,#233653,#233654)); #40965=EDGE_LOOP('',(#233655,#233656,#233657,#233658)); #40966=EDGE_LOOP('',(#233659,#233660,#233661,#233662)); #40967=EDGE_LOOP('',(#233663,#233664,#233665,#233666,#233667,#233668,#233669, #233670)); #40968=EDGE_LOOP('',(#233671,#233672,#233673,#233674,#233675,#233676,#233677, #233678,#233679,#233680)); #40969=EDGE_LOOP('',(#233681,#233682,#233683,#233684,#233685,#233686,#233687, #233688,#233689,#233690)); #40970=EDGE_LOOP('',(#233691,#233692,#233693,#233694)); #40971=EDGE_LOOP('',(#233695,#233696,#233697,#233698)); #40972=EDGE_LOOP('',(#233699,#233700,#233701,#233702)); #40973=EDGE_LOOP('',(#233703,#233704,#233705,#233706)); #40974=EDGE_LOOP('',(#233707,#233708,#233709,#233710)); #40975=EDGE_LOOP('',(#233711,#233712,#233713,#233714,#233715,#233716)); #40976=EDGE_LOOP('',(#233717,#233718,#233719,#233720,#233721,#233722,#233723, #233724,#233725,#233726)); #40977=EDGE_LOOP('',(#233727,#233728,#233729,#233730)); #40978=EDGE_LOOP('',(#233731,#233732,#233733,#233734)); #40979=EDGE_LOOP('',(#233735,#233736,#233737,#233738)); #40980=EDGE_LOOP('',(#233739,#233740,#233741,#233742)); #40981=EDGE_LOOP('',(#233743,#233744,#233745,#233746)); #40982=EDGE_LOOP('',(#233747,#233748,#233749,#233750)); #40983=EDGE_LOOP('',(#233751,#233752,#233753,#233754)); #40984=EDGE_LOOP('',(#233755,#233756,#233757,#233758)); #40985=EDGE_LOOP('',(#233759,#233760,#233761,#233762)); #40986=EDGE_LOOP('',(#233763,#233764,#233765,#233766)); #40987=EDGE_LOOP('',(#233767,#233768,#233769,#233770)); #40988=EDGE_LOOP('',(#233771,#233772,#233773,#233774)); #40989=EDGE_LOOP('',(#233775,#233776,#233777,#233778)); #40990=EDGE_LOOP('',(#233779,#233780,#233781,#233782,#233783,#233784,#233785, #233786,#233787,#233788,#233789,#233790,#233791,#233792)); #40991=EDGE_LOOP('',(#233793,#233794,#233795,#233796)); #40992=EDGE_LOOP('',(#233797,#233798,#233799,#233800)); #40993=EDGE_LOOP('',(#233801,#233802,#233803,#233804)); #40994=EDGE_LOOP('',(#233805,#233806,#233807,#233808)); #40995=EDGE_LOOP('',(#233809,#233810,#233811,#233812)); #40996=EDGE_LOOP('',(#233813,#233814,#233815,#233816)); #40997=EDGE_LOOP('',(#233817,#233818,#233819,#233820)); #40998=EDGE_LOOP('',(#233821,#233822,#233823,#233824)); #40999=EDGE_LOOP('',(#233825,#233826,#233827,#233828)); #41000=EDGE_LOOP('',(#233829,#233830,#233831,#233832)); #41001=EDGE_LOOP('',(#233833,#233834,#233835,#233836)); #41002=EDGE_LOOP('',(#233837,#233838,#233839,#233840)); #41003=EDGE_LOOP('',(#233841,#233842,#233843,#233844,#233845,#233846,#233847, #233848,#233849,#233850,#233851,#233852,#233853,#233854)); #41004=EDGE_LOOP('',(#233855,#233856,#233857,#233858,#233859)); #41005=EDGE_LOOP('',(#233860,#233861,#233862,#233863,#233864,#233865,#233866, #233867,#233868,#233869,#233870,#233871,#233872,#233873,#233874,#233875)); #41006=EDGE_LOOP('',(#233876,#233877,#233878,#233879,#233880)); #41007=EDGE_LOOP('',(#233881,#233882,#233883,#233884,#233885)); #41008=EDGE_LOOP('',(#233886,#233887,#233888,#233889,#233890)); #41009=EDGE_LOOP('',(#233891,#233892,#233893,#233894,#233895)); #41010=EDGE_LOOP('',(#233896,#233897,#233898,#233899,#233900)); #41011=EDGE_LOOP('',(#233901,#233902,#233903,#233904,#233905)); #41012=EDGE_LOOP('',(#233906,#233907,#233908,#233909,#233910)); #41013=EDGE_LOOP('',(#233911,#233912,#233913,#233914,#233915)); #41014=EDGE_LOOP('',(#233916,#233917,#233918,#233919,#233920)); #41015=EDGE_LOOP('',(#233921,#233922,#233923,#233924,#233925)); #41016=EDGE_LOOP('',(#233926,#233927,#233928,#233929,#233930)); #41017=EDGE_LOOP('',(#233931,#233932,#233933,#233934)); #41018=EDGE_LOOP('',(#233935,#233936,#233937)); #41019=EDGE_LOOP('',(#233938,#233939,#233940)); #41020=EDGE_LOOP('',(#233941,#233942,#233943)); #41021=EDGE_LOOP('',(#233944,#233945,#233946)); #41022=EDGE_LOOP('',(#233947,#233948,#233949)); #41023=EDGE_LOOP('',(#233950,#233951,#233952)); #41024=EDGE_LOOP('',(#233953,#233954,#233955,#233956,#233957,#233958)); #41025=EDGE_LOOP('',(#233959,#233960,#233961)); #41026=EDGE_LOOP('',(#233962,#233963,#233964)); #41027=EDGE_LOOP('',(#233965,#233966,#233967)); #41028=EDGE_LOOP('',(#233968,#233969,#233970)); #41029=EDGE_LOOP('',(#233971,#233972,#233973)); #41030=EDGE_LOOP('',(#233974,#233975,#233976)); #41031=EDGE_LOOP('',(#233977,#233978,#233979)); #41032=EDGE_LOOP('',(#233980,#233981,#233982)); #41033=EDGE_LOOP('',(#233983,#233984,#233985)); #41034=EDGE_LOOP('',(#233986,#233987,#233988)); #41035=EDGE_LOOP('',(#233989,#233990,#233991)); #41036=EDGE_LOOP('',(#233992,#233993,#233994)); #41037=EDGE_LOOP('',(#233995,#233996,#233997)); #41038=EDGE_LOOP('',(#233998,#233999,#234000)); #41039=EDGE_LOOP('',(#234001,#234002,#234003)); #41040=EDGE_LOOP('',(#234004,#234005,#234006)); #41041=EDGE_LOOP('',(#234007,#234008,#234009)); #41042=EDGE_LOOP('',(#234010,#234011,#234012)); #41043=EDGE_LOOP('',(#234013,#234014,#234015,#234016,#234017,#234018,#234019, #234020,#234021,#234022,#234023,#234024,#234025,#234026,#234027,#234028, #234029,#234030,#234031,#234032,#234033,#234034,#234035,#234036,#234037, #234038,#234039)); #41044=EDGE_LOOP('',(#234040,#234041,#234042,#234043)); #41045=EDGE_LOOP('',(#234044,#234045,#234046,#234047)); #41046=EDGE_LOOP('',(#234048,#234049,#234050,#234051)); #41047=EDGE_LOOP('',(#234052,#234053,#234054,#234055)); #41048=EDGE_LOOP('',(#234056,#234057,#234058,#234059)); #41049=EDGE_LOOP('',(#234060,#234061,#234062,#234063)); #41050=EDGE_LOOP('',(#234064,#234065,#234066,#234067)); #41051=EDGE_LOOP('',(#234068,#234069,#234070,#234071,#234072,#234073,#234074, #234075,#234076,#234077,#234078,#234079,#234080,#234081,#234082,#234083)); #41052=EDGE_LOOP('',(#234084,#234085,#234086,#234087)); #41053=EDGE_LOOP('',(#234088,#234089,#234090,#234091)); #41054=EDGE_LOOP('',(#234092,#234093,#234094,#234095)); #41055=EDGE_LOOP('',(#234096,#234097,#234098,#234099)); #41056=EDGE_LOOP('',(#234100,#234101,#234102,#234103)); #41057=EDGE_LOOP('',(#234104,#234105,#234106,#234107)); #41058=EDGE_LOOP('',(#234108,#234109,#234110,#234111)); #41059=EDGE_LOOP('',(#234112,#234113,#234114,#234115)); #41060=EDGE_LOOP('',(#234116,#234117,#234118,#234119)); #41061=EDGE_LOOP('',(#234120,#234121,#234122,#234123)); #41062=EDGE_LOOP('',(#234124,#234125,#234126,#234127)); #41063=EDGE_LOOP('',(#234128,#234129,#234130,#234131)); #41064=EDGE_LOOP('',(#234132,#234133,#234134,#234135)); #41065=EDGE_LOOP('',(#234136,#234137,#234138,#234139)); #41066=EDGE_LOOP('',(#234140,#234141,#234142,#234143,#234144,#234145,#234146, #234147,#234148,#234149,#234150,#234151,#234152,#234153,#234154,#234155)); #41067=EDGE_LOOP('',(#234156,#234157,#234158,#234159)); #41068=EDGE_LOOP('',(#234160,#234161,#234162,#234163)); #41069=EDGE_LOOP('',(#234164,#234165,#234166,#234167)); #41070=EDGE_LOOP('',(#234168,#234169,#234170,#234171)); #41071=EDGE_LOOP('',(#234172,#234173,#234174,#234175)); #41072=EDGE_LOOP('',(#234176,#234177,#234178,#234179)); #41073=EDGE_LOOP('',(#234180,#234181,#234182,#234183)); #41074=EDGE_LOOP('',(#234184,#234185,#234186,#234187)); #41075=EDGE_LOOP('',(#234188,#234189,#234190,#234191)); #41076=EDGE_LOOP('',(#234192,#234193,#234194,#234195)); #41077=EDGE_LOOP('',(#234196,#234197,#234198,#234199)); #41078=EDGE_LOOP('',(#234200,#234201,#234202,#234203)); #41079=EDGE_LOOP('',(#234204,#234205,#234206,#234207)); #41080=EDGE_LOOP('',(#234208,#234209,#234210,#234211)); #41081=EDGE_LOOP('',(#234212,#234213,#234214,#234215,#234216,#234217,#234218, #234219,#234220,#234221,#234222,#234223,#234224,#234225,#234226,#234227)); #41082=EDGE_LOOP('',(#234228,#234229,#234230,#234231)); #41083=EDGE_LOOP('',(#234232,#234233,#234234,#234235)); #41084=EDGE_LOOP('',(#234236,#234237,#234238,#234239)); #41085=EDGE_LOOP('',(#234240,#234241,#234242,#234243)); #41086=EDGE_LOOP('',(#234244,#234245,#234246,#234247)); #41087=EDGE_LOOP('',(#234248,#234249,#234250,#234251)); #41088=EDGE_LOOP('',(#234252,#234253,#234254,#234255)); #41089=EDGE_LOOP('',(#234256,#234257,#234258,#234259)); #41090=EDGE_LOOP('',(#234260,#234261,#234262,#234263)); #41091=EDGE_LOOP('',(#234264,#234265,#234266,#234267)); #41092=EDGE_LOOP('',(#234268,#234269,#234270,#234271)); #41093=EDGE_LOOP('',(#234272,#234273,#234274,#234275)); #41094=EDGE_LOOP('',(#234276,#234277,#234278,#234279)); #41095=EDGE_LOOP('',(#234280,#234281,#234282,#234283)); #41096=EDGE_LOOP('',(#234284,#234285,#234286,#234287,#234288,#234289,#234290, #234291,#234292,#234293,#234294,#234295,#234296,#234297,#234298,#234299)); #41097=EDGE_LOOP('',(#234300,#234301,#234302,#234303)); #41098=EDGE_LOOP('',(#234304,#234305,#234306,#234307)); #41099=EDGE_LOOP('',(#234308,#234309,#234310,#234311)); #41100=EDGE_LOOP('',(#234312,#234313,#234314,#234315)); #41101=EDGE_LOOP('',(#234316,#234317,#234318,#234319)); #41102=EDGE_LOOP('',(#234320,#234321,#234322,#234323)); #41103=EDGE_LOOP('',(#234324,#234325,#234326,#234327)); #41104=EDGE_LOOP('',(#234328,#234329,#234330,#234331)); #41105=EDGE_LOOP('',(#234332,#234333,#234334,#234335)); #41106=EDGE_LOOP('',(#234336,#234337,#234338,#234339)); #41107=EDGE_LOOP('',(#234340,#234341,#234342,#234343)); #41108=EDGE_LOOP('',(#234344,#234345,#234346,#234347)); #41109=EDGE_LOOP('',(#234348,#234349,#234350,#234351)); #41110=EDGE_LOOP('',(#234352,#234353,#234354,#234355)); #41111=EDGE_LOOP('',(#234356,#234357,#234358,#234359)); #41112=EDGE_LOOP('',(#234360,#234361,#234362,#234363)); #41113=EDGE_LOOP('',(#234364,#234365,#234366,#234367)); #41114=EDGE_LOOP('',(#234368,#234369,#234370,#234371)); #41115=EDGE_LOOP('',(#234372,#234373,#234374,#234375)); #41116=EDGE_LOOP('',(#234376,#234377,#234378,#234379)); #41117=EDGE_LOOP('',(#234380,#234381,#234382,#234383)); #41118=EDGE_LOOP('',(#234384,#234385,#234386,#234387)); #41119=EDGE_LOOP('',(#234388,#234389,#234390,#234391)); #41120=EDGE_LOOP('',(#234392,#234393,#234394,#234395)); #41121=EDGE_LOOP('',(#234396,#234397,#234398,#234399)); #41122=EDGE_LOOP('',(#234400,#234401,#234402,#234403)); #41123=EDGE_LOOP('',(#234404,#234405,#234406,#234407)); #41124=EDGE_LOOP('',(#234408,#234409,#234410,#234411)); #41125=EDGE_LOOP('',(#234412,#234413,#234414,#234415)); #41126=EDGE_LOOP('',(#234416,#234417,#234418,#234419)); #41127=EDGE_LOOP('',(#234420,#234421,#234422,#234423)); #41128=EDGE_LOOP('',(#234424,#234425,#234426,#234427)); #41129=EDGE_LOOP('',(#234428,#234429,#234430,#234431)); #41130=EDGE_LOOP('',(#234432,#234433,#234434,#234435)); #41131=EDGE_LOOP('',(#234436,#234437,#234438,#234439)); #41132=EDGE_LOOP('',(#234440,#234441,#234442,#234443,#234444,#234445)); #41133=EDGE_LOOP('',(#234446,#234447,#234448,#234449)); #41134=EDGE_LOOP('',(#234450,#234451,#234452,#234453,#234454,#234455,#234456, #234457,#234458,#234459,#234460,#234461,#234462,#234463,#234464,#234465, #234466,#234467,#234468,#234469,#234470,#234471,#234472,#234473,#234474, #234475,#234476,#234477,#234478,#234479,#234480,#234481,#234482,#234483, #234484,#234485,#234486,#234487,#234488,#234489,#234490,#234491,#234492, #234493,#234494,#234495,#234496,#234497,#234498,#234499,#234500,#234501, #234502,#234503,#234504,#234505,#234506,#234507,#234508,#234509,#234510, #234511,#234512,#234513,#234514,#234515,#234516,#234517,#234518,#234519, #234520,#234521,#234522,#234523,#234524,#234525,#234526,#234527,#234528, #234529,#234530,#234531,#234532,#234533,#234534,#234535,#234536,#234537, #234538,#234539,#234540,#234541,#234542,#234543,#234544,#234545,#234546, #234547,#234548,#234549,#234550,#234551,#234552,#234553,#234554,#234555)); #41135=EDGE_LOOP('',(#234556,#234557,#234558,#234559)); #41136=EDGE_LOOP('',(#234560,#234561,#234562)); #41137=EDGE_LOOP('',(#234563,#234564,#234565,#234566)); #41138=EDGE_LOOP('',(#234567,#234568,#234569,#234570,#234571,#234572)); #41139=EDGE_LOOP('',(#234573,#234574,#234575,#234576,#234577,#234578,#234579)); #41140=EDGE_LOOP('',(#234580,#234581,#234582,#234583)); #41141=EDGE_LOOP('',(#234584,#234585,#234586)); #41142=EDGE_LOOP('',(#234587,#234588,#234589,#234590)); #41143=EDGE_LOOP('',(#234591,#234592,#234593)); #41144=EDGE_LOOP('',(#234594,#234595,#234596,#234597,#234598,#234599,#234600, #234601,#234602,#234603,#234604,#234605,#234606,#234607,#234608,#234609, #234610)); #41145=EDGE_LOOP('',(#234611,#234612,#234613)); #41146=EDGE_LOOP('',(#234614,#234615,#234616,#234617,#234618)); #41147=EDGE_LOOP('',(#234619,#234620,#234621,#234622)); #41148=EDGE_LOOP('',(#234623,#234624,#234625,#234626)); #41149=EDGE_LOOP('',(#234627,#234628,#234629,#234630)); #41150=EDGE_LOOP('',(#234631,#234632,#234633,#234634,#234635,#234636)); #41151=EDGE_LOOP('',(#234637,#234638,#234639,#234640)); #41152=EDGE_LOOP('',(#234641)); #41153=EDGE_LOOP('',(#234642)); #41154=EDGE_LOOP('',(#234643,#234644,#234645,#234646)); #41155=EDGE_LOOP('',(#234647)); #41156=EDGE_LOOP('',(#234648)); #41157=EDGE_LOOP('',(#234649,#234650,#234651,#234652)); #41158=EDGE_LOOP('',(#234653)); #41159=EDGE_LOOP('',(#234654)); #41160=EDGE_LOOP('',(#234655,#234656,#234657,#234658)); #41161=EDGE_LOOP('',(#234659)); #41162=EDGE_LOOP('',(#234660)); #41163=EDGE_LOOP('',(#234661,#234662,#234663,#234664)); #41164=EDGE_LOOP('',(#234665)); #41165=EDGE_LOOP('',(#234666,#234667,#234668,#234669)); #41166=EDGE_LOOP('',(#234670)); #41167=EDGE_LOOP('',(#234671,#234672,#234673,#234674)); #41168=EDGE_LOOP('',(#234675)); #41169=EDGE_LOOP('',(#234676,#234677,#234678,#234679)); #41170=EDGE_LOOP('',(#234680)); #41171=EDGE_LOOP('',(#234681)); #41172=EDGE_LOOP('',(#234682)); #41173=EDGE_LOOP('',(#234683,#234684,#234685,#234686,#234687,#234688,#234689, #234690,#234691,#234692,#234693,#234694,#234695,#234696,#234697,#234698, #234699,#234700,#234701)); #41174=EDGE_LOOP('',(#234702,#234703,#234704,#234705)); #41175=EDGE_LOOP('',(#234706,#234707,#234708,#234709)); #41176=EDGE_LOOP('',(#234710,#234711,#234712,#234713)); #41177=EDGE_LOOP('',(#234714,#234715,#234716,#234717,#234718)); #41178=EDGE_LOOP('',(#234719,#234720,#234721,#234722)); #41179=EDGE_LOOP('',(#234723,#234724,#234725,#234726)); #41180=EDGE_LOOP('',(#234727,#234728,#234729,#234730)); #41181=EDGE_LOOP('',(#234731,#234732,#234733,#234734)); #41182=EDGE_LOOP('',(#234735,#234736,#234737,#234738)); #41183=EDGE_LOOP('',(#234739,#234740,#234741,#234742)); #41184=EDGE_LOOP('',(#234743,#234744,#234745,#234746)); #41185=EDGE_LOOP('',(#234747,#234748,#234749,#234750,#234751,#234752,#234753, #234754,#234755,#234756,#234757,#234758)); #41186=EDGE_LOOP('',(#234759,#234760,#234761,#234762,#234763,#234764,#234765, #234766)); #41187=EDGE_LOOP('',(#234767,#234768,#234769,#234770,#234771,#234772,#234773, #234774)); #41188=EDGE_LOOP('',(#234775,#234776,#234777,#234778,#234779,#234780,#234781, #234782)); #41189=EDGE_LOOP('',(#234783,#234784,#234785,#234786,#234787,#234788,#234789, #234790)); #41190=EDGE_LOOP('',(#234791,#234792,#234793,#234794)); #41191=EDGE_LOOP('',(#234795,#234796,#234797,#234798)); #41192=EDGE_LOOP('',(#234799,#234800,#234801,#234802)); #41193=EDGE_LOOP('',(#234803,#234804,#234805,#234806)); #41194=EDGE_LOOP('',(#234807,#234808,#234809,#234810)); #41195=EDGE_LOOP('',(#234811,#234812,#234813,#234814)); #41196=EDGE_LOOP('',(#234815,#234816,#234817,#234818)); #41197=EDGE_LOOP('',(#234819,#234820,#234821,#234822)); #41198=EDGE_LOOP('',(#234823,#234824,#234825,#234826)); #41199=EDGE_LOOP('',(#234827,#234828,#234829,#234830)); #41200=EDGE_LOOP('',(#234831,#234832,#234833,#234834)); #41201=EDGE_LOOP('',(#234835,#234836,#234837,#234838)); #41202=EDGE_LOOP('',(#234839,#234840,#234841,#234842)); #41203=EDGE_LOOP('',(#234843,#234844,#234845,#234846)); #41204=EDGE_LOOP('',(#234847,#234848,#234849,#234850)); #41205=EDGE_LOOP('',(#234851,#234852,#234853,#234854)); #41206=EDGE_LOOP('',(#234855,#234856,#234857,#234858)); #41207=EDGE_LOOP('',(#234859,#234860,#234861,#234862)); #41208=EDGE_LOOP('',(#234863,#234864,#234865,#234866)); #41209=EDGE_LOOP('',(#234867,#234868,#234869,#234870,#234871)); #41210=EDGE_LOOP('',(#234872,#234873,#234874,#234875)); #41211=EDGE_LOOP('',(#234876,#234877,#234878,#234879)); #41212=EDGE_LOOP('',(#234880,#234881,#234882,#234883)); #41213=EDGE_LOOP('',(#234884,#234885,#234886,#234887)); #41214=EDGE_LOOP('',(#234888,#234889,#234890,#234891)); #41215=EDGE_LOOP('',(#234892,#234893,#234894,#234895)); #41216=EDGE_LOOP('',(#234896,#234897,#234898,#234899)); #41217=EDGE_LOOP('',(#234900,#234901,#234902,#234903)); #41218=EDGE_LOOP('',(#234904,#234905,#234906,#234907)); #41219=EDGE_LOOP('',(#234908,#234909,#234910,#234911,#234912,#234913)); #41220=EDGE_LOOP('',(#234914,#234915,#234916,#234917)); #41221=EDGE_LOOP('',(#234918,#234919,#234920,#234921,#234922)); #41222=EDGE_LOOP('',(#234923,#234924,#234925,#234926)); #41223=EDGE_LOOP('',(#234927,#234928,#234929,#234930)); #41224=EDGE_LOOP('',(#234931,#234932,#234933,#234934)); #41225=EDGE_LOOP('',(#234935,#234936,#234937,#234938)); #41226=EDGE_LOOP('',(#234939,#234940,#234941,#234942)); #41227=EDGE_LOOP('',(#234943,#234944,#234945,#234946)); #41228=EDGE_LOOP('',(#234947,#234948,#234949,#234950)); #41229=EDGE_LOOP('',(#234951,#234952,#234953,#234954)); #41230=EDGE_LOOP('',(#234955,#234956,#234957,#234958)); #41231=EDGE_LOOP('',(#234959,#234960,#234961,#234962)); #41232=EDGE_LOOP('',(#234963,#234964,#234965,#234966)); #41233=EDGE_LOOP('',(#234967,#234968,#234969,#234970,#234971)); #41234=EDGE_LOOP('',(#234972,#234973,#234974,#234975)); #41235=EDGE_LOOP('',(#234976,#234977,#234978,#234979)); #41236=EDGE_LOOP('',(#234980,#234981,#234982,#234983)); #41237=EDGE_LOOP('',(#234984,#234985,#234986,#234987)); #41238=EDGE_LOOP('',(#234988,#234989,#234990,#234991)); #41239=EDGE_LOOP('',(#234992,#234993,#234994,#234995)); #41240=EDGE_LOOP('',(#234996,#234997,#234998,#234999)); #41241=EDGE_LOOP('',(#235000,#235001,#235002,#235003)); #41242=EDGE_LOOP('',(#235004,#235005,#235006,#235007)); #41243=EDGE_LOOP('',(#235008,#235009,#235010,#235011)); #41244=EDGE_LOOP('',(#235012,#235013,#235014,#235015)); #41245=EDGE_LOOP('',(#235016,#235017,#235018,#235019,#235020)); #41246=EDGE_LOOP('',(#235021,#235022,#235023,#235024)); #41247=EDGE_LOOP('',(#235025,#235026,#235027,#235028)); #41248=EDGE_LOOP('',(#235029,#235030,#235031,#235032)); #41249=EDGE_LOOP('',(#235033,#235034,#235035,#235036)); #41250=EDGE_LOOP('',(#235037,#235038,#235039,#235040)); #41251=EDGE_LOOP('',(#235041,#235042,#235043,#235044)); #41252=EDGE_LOOP('',(#235045,#235046,#235047,#235048)); #41253=EDGE_LOOP('',(#235049,#235050,#235051,#235052)); #41254=EDGE_LOOP('',(#235053,#235054,#235055,#235056)); #41255=EDGE_LOOP('',(#235057,#235058,#235059,#235060)); #41256=EDGE_LOOP('',(#235061,#235062,#235063,#235064)); #41257=EDGE_LOOP('',(#235065,#235066,#235067,#235068,#235069)); #41258=EDGE_LOOP('',(#235070,#235071,#235072,#235073)); #41259=EDGE_LOOP('',(#235074,#235075,#235076,#235077)); #41260=EDGE_LOOP('',(#235078,#235079,#235080,#235081)); #41261=EDGE_LOOP('',(#235082,#235083,#235084,#235085)); #41262=EDGE_LOOP('',(#235086,#235087,#235088,#235089)); #41263=EDGE_LOOP('',(#235090,#235091,#235092,#235093)); #41264=EDGE_LOOP('',(#235094,#235095,#235096,#235097)); #41265=EDGE_LOOP('',(#235098,#235099,#235100,#235101)); #41266=EDGE_LOOP('',(#235102,#235103,#235104,#235105)); #41267=EDGE_LOOP('',(#235106,#235107,#235108,#235109)); #41268=EDGE_LOOP('',(#235110,#235111,#235112,#235113)); #41269=EDGE_LOOP('',(#235114,#235115,#235116,#235117,#235118)); #41270=EDGE_LOOP('',(#235119,#235120,#235121,#235122)); #41271=EDGE_LOOP('',(#235123,#235124,#235125,#235126)); #41272=EDGE_LOOP('',(#235127,#235128,#235129,#235130)); #41273=EDGE_LOOP('',(#235131,#235132,#235133,#235134)); #41274=EDGE_LOOP('',(#235135,#235136,#235137,#235138)); #41275=EDGE_LOOP('',(#235139,#235140,#235141,#235142)); #41276=EDGE_LOOP('',(#235143,#235144,#235145,#235146)); #41277=EDGE_LOOP('',(#235147,#235148,#235149,#235150)); #41278=EDGE_LOOP('',(#235151,#235152,#235153,#235154)); #41279=EDGE_LOOP('',(#235155,#235156,#235157,#235158)); #41280=EDGE_LOOP('',(#235159,#235160,#235161,#235162)); #41281=EDGE_LOOP('',(#235163,#235164,#235165,#235166,#235167)); #41282=EDGE_LOOP('',(#235168,#235169,#235170,#235171)); #41283=EDGE_LOOP('',(#235172,#235173,#235174,#235175)); #41284=EDGE_LOOP('',(#235176,#235177,#235178,#235179)); #41285=EDGE_LOOP('',(#235180,#235181,#235182,#235183)); #41286=EDGE_LOOP('',(#235184,#235185,#235186,#235187)); #41287=EDGE_LOOP('',(#235188,#235189,#235190,#235191)); #41288=EDGE_LOOP('',(#235192,#235193,#235194,#235195)); #41289=EDGE_LOOP('',(#235196,#235197,#235198,#235199)); #41290=EDGE_LOOP('',(#235200,#235201,#235202,#235203)); #41291=EDGE_LOOP('',(#235204,#235205,#235206,#235207)); #41292=EDGE_LOOP('',(#235208,#235209,#235210,#235211)); #41293=EDGE_LOOP('',(#235212,#235213,#235214,#235215,#235216)); #41294=EDGE_LOOP('',(#235217,#235218,#235219,#235220)); #41295=EDGE_LOOP('',(#235221,#235222,#235223,#235224)); #41296=EDGE_LOOP('',(#235225,#235226,#235227,#235228)); #41297=EDGE_LOOP('',(#235229,#235230,#235231,#235232)); #41298=EDGE_LOOP('',(#235233,#235234,#235235,#235236)); #41299=EDGE_LOOP('',(#235237,#235238,#235239,#235240)); #41300=EDGE_LOOP('',(#235241,#235242,#235243,#235244)); #41301=EDGE_LOOP('',(#235245,#235246,#235247,#235248)); #41302=EDGE_LOOP('',(#235249,#235250,#235251,#235252)); #41303=EDGE_LOOP('',(#235253,#235254,#235255,#235256)); #41304=EDGE_LOOP('',(#235257,#235258,#235259,#235260)); #41305=EDGE_LOOP('',(#235261,#235262,#235263,#235264,#235265)); #41306=EDGE_LOOP('',(#235266,#235267,#235268,#235269)); #41307=EDGE_LOOP('',(#235270,#235271,#235272,#235273)); #41308=EDGE_LOOP('',(#235274,#235275,#235276,#235277)); #41309=EDGE_LOOP('',(#235278,#235279,#235280,#235281)); #41310=EDGE_LOOP('',(#235282,#235283,#235284,#235285)); #41311=EDGE_LOOP('',(#235286,#235287,#235288,#235289)); #41312=EDGE_LOOP('',(#235290,#235291,#235292,#235293)); #41313=EDGE_LOOP('',(#235294,#235295,#235296,#235297)); #41314=EDGE_LOOP('',(#235298,#235299,#235300,#235301)); #41315=EDGE_LOOP('',(#235302,#235303,#235304,#235305)); #41316=EDGE_LOOP('',(#235306,#235307,#235308,#235309)); #41317=EDGE_LOOP('',(#235310,#235311,#235312,#235313,#235314)); #41318=EDGE_LOOP('',(#235315,#235316,#235317,#235318)); #41319=EDGE_LOOP('',(#235319,#235320,#235321,#235322)); #41320=EDGE_LOOP('',(#235323,#235324,#235325,#235326)); #41321=EDGE_LOOP('',(#235327,#235328,#235329,#235330)); #41322=EDGE_LOOP('',(#235331,#235332,#235333,#235334)); #41323=EDGE_LOOP('',(#235335,#235336,#235337,#235338)); #41324=EDGE_LOOP('',(#235339,#235340,#235341,#235342)); #41325=EDGE_LOOP('',(#235343,#235344,#235345,#235346)); #41326=EDGE_LOOP('',(#235347,#235348,#235349,#235350)); #41327=EDGE_LOOP('',(#235351,#235352,#235353,#235354,#235355)); #41328=EDGE_LOOP('',(#235356,#235357,#235358,#235359)); #41329=EDGE_LOOP('',(#235360,#235361,#235362,#235363,#235364)); #41330=EDGE_LOOP('',(#235365,#235366,#235367,#235368)); #41331=EDGE_LOOP('',(#235369,#235370,#235371,#235372)); #41332=EDGE_LOOP('',(#235373,#235374,#235375,#235376)); #41333=EDGE_LOOP('',(#235377,#235378,#235379,#235380,#235381)); #41334=EDGE_LOOP('',(#235382,#235383,#235384,#235385)); #41335=EDGE_LOOP('',(#235386,#235387,#235388,#235389,#235390)); #41336=EDGE_LOOP('',(#235391,#235392,#235393,#235394)); #41337=EDGE_LOOP('',(#235395,#235396,#235397,#235398)); #41338=EDGE_LOOP('',(#235399,#235400,#235401,#235402)); #41339=EDGE_LOOP('',(#235403,#235404,#235405,#235406)); #41340=EDGE_LOOP('',(#235407,#235408,#235409,#235410)); #41341=EDGE_LOOP('',(#235411,#235412,#235413,#235414,#235415)); #41342=EDGE_LOOP('',(#235416,#235417,#235418,#235419)); #41343=EDGE_LOOP('',(#235420,#235421,#235422,#235423)); #41344=EDGE_LOOP('',(#235424,#235425,#235426,#235427)); #41345=EDGE_LOOP('',(#235428,#235429,#235430,#235431)); #41346=EDGE_LOOP('',(#235432,#235433,#235434,#235435)); #41347=EDGE_LOOP('',(#235436,#235437,#235438,#235439)); #41348=EDGE_LOOP('',(#235440,#235441,#235442,#235443)); #41349=EDGE_LOOP('',(#235444,#235445,#235446,#235447)); #41350=EDGE_LOOP('',(#235448,#235449,#235450,#235451)); #41351=EDGE_LOOP('',(#235452,#235453,#235454,#235455)); #41352=EDGE_LOOP('',(#235456,#235457,#235458,#235459)); #41353=EDGE_LOOP('',(#235460,#235461,#235462,#235463,#235464)); #41354=EDGE_LOOP('',(#235465,#235466,#235467,#235468)); #41355=EDGE_LOOP('',(#235469,#235470,#235471,#235472)); #41356=EDGE_LOOP('',(#235473,#235474,#235475,#235476)); #41357=EDGE_LOOP('',(#235477,#235478,#235479,#235480)); #41358=EDGE_LOOP('',(#235481,#235482,#235483,#235484)); #41359=EDGE_LOOP('',(#235485,#235486,#235487,#235488)); #41360=EDGE_LOOP('',(#235489,#235490,#235491,#235492)); #41361=EDGE_LOOP('',(#235493,#235494,#235495,#235496)); #41362=EDGE_LOOP('',(#235497,#235498,#235499,#235500)); #41363=EDGE_LOOP('',(#235501,#235502,#235503,#235504)); #41364=EDGE_LOOP('',(#235505,#235506,#235507,#235508)); #41365=EDGE_LOOP('',(#235509,#235510,#235511,#235512,#235513)); #41366=EDGE_LOOP('',(#235514,#235515,#235516,#235517)); #41367=EDGE_LOOP('',(#235518,#235519,#235520,#235521)); #41368=EDGE_LOOP('',(#235522,#235523,#235524,#235525)); #41369=EDGE_LOOP('',(#235526,#235527,#235528,#235529)); #41370=EDGE_LOOP('',(#235530,#235531,#235532,#235533)); #41371=EDGE_LOOP('',(#235534,#235535,#235536,#235537)); #41372=EDGE_LOOP('',(#235538,#235539,#235540,#235541)); #41373=EDGE_LOOP('',(#235542,#235543,#235544,#235545)); #41374=EDGE_LOOP('',(#235546,#235547,#235548,#235549)); #41375=EDGE_LOOP('',(#235550,#235551,#235552,#235553)); #41376=EDGE_LOOP('',(#235554,#235555,#235556,#235557)); #41377=EDGE_LOOP('',(#235558,#235559,#235560,#235561,#235562)); #41378=EDGE_LOOP('',(#235563,#235564,#235565,#235566)); #41379=EDGE_LOOP('',(#235567,#235568,#235569,#235570)); #41380=EDGE_LOOP('',(#235571,#235572,#235573,#235574)); #41381=EDGE_LOOP('',(#235575,#235576,#235577,#235578)); #41382=EDGE_LOOP('',(#235579,#235580,#235581,#235582)); #41383=EDGE_LOOP('',(#235583,#235584,#235585,#235586)); #41384=EDGE_LOOP('',(#235587,#235588,#235589,#235590)); #41385=EDGE_LOOP('',(#235591,#235592,#235593,#235594)); #41386=EDGE_LOOP('',(#235595,#235596)); #41387=EDGE_LOOP('',(#235597,#235598)); #41388=EDGE_LOOP('',(#235599,#235600,#235601,#235602)); #41389=EDGE_LOOP('',(#235603,#235604,#235605,#235606)); #41390=EDGE_LOOP('',(#235607,#235608,#235609,#235610)); #41391=EDGE_LOOP('',(#235611,#235612)); #41392=EDGE_LOOP('',(#235613,#235614)); #41393=EDGE_LOOP('',(#235615,#235616,#235617,#235618)); #41394=EDGE_LOOP('',(#235619,#235620,#235621,#235622)); #41395=EDGE_LOOP('',(#235623,#235624,#235625,#235626,#235627,#235628)); #41396=EDGE_LOOP('',(#235629,#235630,#235631,#235632)); #41397=EDGE_LOOP('',(#235633,#235634,#235635,#235636,#235637,#235638)); #41398=EDGE_LOOP('',(#235639,#235640,#235641,#235642)); #41399=EDGE_LOOP('',(#235643,#235644,#235645,#235646,#235647,#235648)); #41400=EDGE_LOOP('',(#235649)); #41401=EDGE_LOOP('',(#235650,#235651,#235652,#235653,#235654,#235655,#235656, #235657,#235658,#235659,#235660,#235661,#235662)); #41402=EDGE_LOOP('',(#235663)); #41403=EDGE_LOOP('',(#235664)); #41404=EDGE_LOOP('',(#235665)); #41405=EDGE_LOOP('',(#235666)); #41406=EDGE_LOOP('',(#235667,#235668,#235669,#235670)); #41407=EDGE_LOOP('',(#235671,#235672,#235673,#235674)); #41408=EDGE_LOOP('',(#235675)); #41409=EDGE_LOOP('',(#235676)); #41410=EDGE_LOOP('',(#235677)); #41411=EDGE_LOOP('',(#235678)); #41412=EDGE_LOOP('',(#235679)); #41413=EDGE_LOOP('',(#235680)); #41414=EDGE_LOOP('',(#235681)); #41415=EDGE_LOOP('',(#235682)); #41416=EDGE_LOOP('',(#235683,#235684,#235685,#235686)); #41417=EDGE_LOOP('',(#235687,#235688,#235689,#235690)); #41418=EDGE_LOOP('',(#235691,#235692,#235693,#235694)); #41419=EDGE_LOOP('',(#235695,#235696,#235697,#235698)); #41420=EDGE_LOOP('',(#235699,#235700,#235701,#235702)); #41421=EDGE_LOOP('',(#235703)); #41422=EDGE_LOOP('',(#235704)); #41423=EDGE_LOOP('',(#235705,#235706,#235707,#235708)); #41424=EDGE_LOOP('',(#235709)); #41425=EDGE_LOOP('',(#235710)); #41426=EDGE_LOOP('',(#235711,#235712,#235713,#235714)); #41427=EDGE_LOOP('',(#235715)); #41428=EDGE_LOOP('',(#235716)); #41429=EDGE_LOOP('',(#235717,#235718,#235719,#235720)); #41430=EDGE_LOOP('',(#235721)); #41431=EDGE_LOOP('',(#235722)); #41432=EDGE_LOOP('',(#235723,#235724,#235725,#235726)); #41433=EDGE_LOOP('',(#235727,#235728,#235729,#235730)); #41434=EDGE_LOOP('',(#235731)); #41435=EDGE_LOOP('',(#235732)); #41436=EDGE_LOOP('',(#235733)); #41437=EDGE_LOOP('',(#235734)); #41438=EDGE_LOOP('',(#235735)); #41439=EDGE_LOOP('',(#235736)); #41440=EDGE_LOOP('',(#235737,#235738,#235739,#235740)); #41441=EDGE_LOOP('',(#235741,#235742,#235743,#235744,#235745,#235746,#235747, #235748,#235749,#235750,#235751,#235752,#235753,#235754,#235755,#235756, #235757,#235758,#235759,#235760,#235761,#235762,#235763,#235764,#235765, #235766,#235767)); #41442=EDGE_LOOP('',(#235768,#235769,#235770,#235771,#235772)); #41443=EDGE_LOOP('',(#235773,#235774,#235775,#235776,#235777)); #41444=EDGE_LOOP('',(#235778,#235779,#235780,#235781,#235782)); #41445=EDGE_LOOP('',(#235783,#235784,#235785,#235786,#235787,#235788,#235789, #235790,#235791,#235792,#235793,#235794,#235795,#235796,#235797,#235798)); #41446=EDGE_LOOP('',(#235799,#235800,#235801)); #41447=EDGE_LOOP('',(#235802,#235803,#235804)); #41448=EDGE_LOOP('',(#235805,#235806,#235807)); #41449=EDGE_LOOP('',(#235808,#235809,#235810)); #41450=EDGE_LOOP('',(#235811,#235812,#235813)); #41451=EDGE_LOOP('',(#235814,#235815,#235816)); #41452=EDGE_LOOP('',(#235817,#235818,#235819)); #41453=EDGE_LOOP('',(#235820,#235821,#235822)); #41454=EDGE_LOOP('',(#235823,#235824,#235825)); #41455=EDGE_LOOP('',(#235826,#235827,#235828)); #41456=EDGE_LOOP('',(#235829,#235830,#235831)); #41457=EDGE_LOOP('',(#235832,#235833,#235834)); #41458=EDGE_LOOP('',(#235835,#235836,#235837)); #41459=EDGE_LOOP('',(#235838,#235839,#235840)); #41460=EDGE_LOOP('',(#235841,#235842,#235843)); #41461=EDGE_LOOP('',(#235844,#235845,#235846)); #41462=EDGE_LOOP('',(#235847,#235848,#235849)); #41463=EDGE_LOOP('',(#235850,#235851,#235852)); #41464=EDGE_LOOP('',(#235853,#235854,#235855)); #41465=EDGE_LOOP('',(#235856,#235857,#235858)); #41466=EDGE_LOOP('',(#235859,#235860,#235861)); #41467=EDGE_LOOP('',(#235862,#235863,#235864)); #41468=EDGE_LOOP('',(#235865,#235866,#235867)); #41469=EDGE_LOOP('',(#235868,#235869,#235870)); #41470=EDGE_LOOP('',(#235871,#235872,#235873,#235874,#235875)); #41471=EDGE_LOOP('',(#235876,#235877,#235878,#235879,#235880)); #41472=EDGE_LOOP('',(#235881,#235882,#235883,#235884,#235885,#235886)); #41473=EDGE_LOOP('',(#235887,#235888,#235889,#235890,#235891)); #41474=EDGE_LOOP('',(#235892,#235893,#235894,#235895,#235896)); #41475=EDGE_LOOP('',(#235897,#235898,#235899,#235900,#235901)); #41476=EDGE_LOOP('',(#235902,#235903,#235904,#235905,#235906)); #41477=EDGE_LOOP('',(#235907,#235908,#235909,#235910,#235911)); #41478=EDGE_LOOP('',(#235912,#235913,#235914,#235915,#235916)); #41479=EDGE_LOOP('',(#235917,#235918,#235919,#235920)); #41480=EDGE_LOOP('',(#235921,#235922,#235923,#235924)); #41481=EDGE_LOOP('',(#235925,#235926,#235927,#235928)); #41482=EDGE_LOOP('',(#235929,#235930,#235931,#235932,#235933,#235934,#235935, #235936)); #41483=EDGE_LOOP('',(#235937,#235938,#235939,#235940)); #41484=EDGE_LOOP('',(#235941,#235942,#235943,#235944)); #41485=EDGE_LOOP('',(#235945,#235946,#235947,#235948,#235949,#235950,#235951, #235952)); #41486=EDGE_LOOP('',(#235953,#235954,#235955,#235956,#235957)); #41487=EDGE_LOOP('',(#235958,#235959,#235960,#235961,#235962)); #41488=EDGE_LOOP('',(#235963,#235964,#235965,#235966,#235967)); #41489=EDGE_LOOP('',(#235968,#235969,#235970,#235971,#235972,#235973,#235974, #235975,#235976,#235977)); #41490=EDGE_LOOP('',(#235978,#235979,#235980,#235981,#235982,#235983)); #41491=EDGE_LOOP('',(#235984,#235985,#235986,#235987)); #41492=EDGE_LOOP('',(#235988,#235989,#235990,#235991,#235992,#235993)); #41493=EDGE_LOOP('',(#235994,#235995,#235996,#235997,#235998)); #41494=EDGE_LOOP('',(#235999,#236000,#236001,#236002)); #41495=EDGE_LOOP('',(#236003,#236004,#236005,#236006)); #41496=EDGE_LOOP('',(#236007,#236008,#236009,#236010)); #41497=EDGE_LOOP('',(#236011,#236012,#236013,#236014)); #41498=EDGE_LOOP('',(#236015)); #41499=EDGE_LOOP('',(#236016,#236017,#236018,#236019,#236020,#236021,#236022, #236023,#236024,#236025,#236026,#236027,#236028,#236029)); #41500=EDGE_LOOP('',(#236030,#236031,#236032,#236033,#236034,#236035,#236036, #236037,#236038,#236039)); #41501=EDGE_LOOP('',(#236040,#236041,#236042,#236043,#236044,#236045)); #41502=EDGE_LOOP('',(#236046,#236047,#236048,#236049,#236050,#236051)); #41503=EDGE_LOOP('',(#236052,#236053,#236054,#236055,#236056,#236057)); #41504=EDGE_LOOP('',(#236058,#236059,#236060,#236061)); #41505=EDGE_LOOP('',(#236062,#236063,#236064,#236065)); #41506=EDGE_LOOP('',(#236066,#236067,#236068,#236069,#236070,#236071)); #41507=EDGE_LOOP('',(#236072,#236073,#236074,#236075)); #41508=EDGE_LOOP('',(#236076,#236077,#236078,#236079,#236080,#236081)); #41509=EDGE_LOOP('',(#236082,#236083,#236084,#236085)); #41510=EDGE_LOOP('',(#236086,#236087,#236088,#236089,#236090,#236091)); #41511=EDGE_LOOP('',(#236092,#236093,#236094,#236095)); #41512=EDGE_LOOP('',(#236096,#236097,#236098,#236099,#236100,#236101)); #41513=EDGE_LOOP('',(#236102,#236103,#236104,#236105,#236106,#236107)); #41514=EDGE_LOOP('',(#236108,#236109,#236110,#236111)); #41515=EDGE_LOOP('',(#236112,#236113,#236114,#236115)); #41516=EDGE_LOOP('',(#236116,#236117,#236118,#236119,#236120,#236121)); #41517=EDGE_LOOP('',(#236122,#236123,#236124,#236125)); #41518=EDGE_LOOP('',(#236126,#236127,#236128,#236129,#236130,#236131,#236132, #236133)); #41519=EDGE_LOOP('',(#236134,#236135,#236136,#236137)); #41520=EDGE_LOOP('',(#236138,#236139,#236140,#236141,#236142,#236143,#236144, #236145)); #41521=EDGE_LOOP('',(#236146,#236147,#236148,#236149)); #41522=EDGE_LOOP('',(#236150,#236151,#236152,#236153)); #41523=EDGE_LOOP('',(#236154,#236155,#236156,#236157,#236158,#236159,#236160, #236161)); #41524=EDGE_LOOP('',(#236162,#236163,#236164,#236165)); #41525=EDGE_LOOP('',(#236166,#236167,#236168,#236169)); #41526=EDGE_LOOP('',(#236170,#236171,#236172,#236173,#236174,#236175,#236176, #236177,#236178)); #41527=EDGE_LOOP('',(#236179,#236180,#236181,#236182)); #41528=EDGE_LOOP('',(#236183,#236184,#236185,#236186,#236187,#236188,#236189, #236190,#236191)); #41529=EDGE_LOOP('',(#236192,#236193,#236194,#236195)); #41530=EDGE_LOOP('',(#236196,#236197,#236198,#236199)); #41531=EDGE_LOOP('',(#236200,#236201,#236202,#236203)); #41532=EDGE_LOOP('',(#236204,#236205,#236206,#236207)); #41533=EDGE_LOOP('',(#236208,#236209,#236210,#236211)); #41534=EDGE_LOOP('',(#236212,#236213,#236214,#236215)); #41535=EDGE_LOOP('',(#236216,#236217,#236218,#236219)); #41536=EDGE_LOOP('',(#236220,#236221,#236222,#236223)); #41537=EDGE_LOOP('',(#236224,#236225,#236226,#236227)); #41538=EDGE_LOOP('',(#236228,#236229,#236230,#236231)); #41539=EDGE_LOOP('',(#236232,#236233,#236234,#236235,#236236,#236237,#236238, #236239,#236240,#236241,#236242,#236243,#236244,#236245,#236246,#236247, #236248,#236249,#236250,#236251)); #41540=EDGE_LOOP('',(#236252,#236253,#236254,#236255,#236256,#236257,#236258)); #41541=EDGE_LOOP('',(#236259,#236260,#236261,#236262)); #41542=EDGE_LOOP('',(#236263,#236264,#236265,#236266,#236267,#236268)); #41543=EDGE_LOOP('',(#236269,#236270,#236271,#236272)); #41544=EDGE_LOOP('',(#236273,#236274,#236275,#236276,#236277,#236278)); #41545=EDGE_LOOP('',(#236279,#236280,#236281,#236282)); #41546=EDGE_LOOP('',(#236283,#236284,#236285,#236286,#236287,#236288,#236289, #236290,#236291)); #41547=EDGE_LOOP('',(#236292,#236293,#236294,#236295,#236296,#236297,#236298)); #41548=EDGE_LOOP('',(#236299,#236300,#236301,#236302)); #41549=EDGE_LOOP('',(#236303,#236304,#236305,#236306,#236307,#236308,#236309, #236310,#236311,#236312,#236313,#236314,#236315,#236316,#236317,#236318, #236319)); #41550=EDGE_LOOP('',(#236320,#236321,#236322,#236323,#236324,#236325,#236326)); #41551=EDGE_LOOP('',(#236327,#236328,#236329,#236330)); #41552=EDGE_LOOP('',(#236331,#236332,#236333,#236334,#236335,#236336,#236337)); #41553=EDGE_LOOP('',(#236338,#236339,#236340,#236341)); #41554=EDGE_LOOP('',(#236342)); #41555=EDGE_LOOP('',(#236343)); #41556=EDGE_LOOP('',(#236344,#236345,#236346,#236347)); #41557=EDGE_LOOP('',(#236348,#236349,#236350,#236351)); #41558=EDGE_LOOP('',(#236352,#236353,#236354,#236355)); #41559=EDGE_LOOP('',(#236356,#236357,#236358,#236359)); #41560=EDGE_LOOP('',(#236360,#236361,#236362,#236363)); #41561=EDGE_LOOP('',(#236364,#236365,#236366,#236367)); #41562=EDGE_LOOP('',(#236368,#236369,#236370,#236371)); #41563=EDGE_LOOP('',(#236372,#236373,#236374,#236375)); #41564=EDGE_LOOP('',(#236376,#236377,#236378,#236379)); #41565=EDGE_LOOP('',(#236380)); #41566=EDGE_LOOP('',(#236381,#236382,#236383,#236384)); #41567=EDGE_LOOP('',(#236385,#236386,#236387,#236388,#236389,#236390,#236391, #236392,#236393,#236394,#236395,#236396,#236397,#236398)); #41568=EDGE_LOOP('',(#236399,#236400,#236401,#236402)); #41569=EDGE_LOOP('',(#236403,#236404,#236405,#236406)); #41570=EDGE_LOOP('',(#236407,#236408,#236409,#236410)); #41571=EDGE_LOOP('',(#236411,#236412,#236413,#236414)); #41572=EDGE_LOOP('',(#236415,#236416,#236417,#236418)); #41573=EDGE_LOOP('',(#236419,#236420,#236421,#236422)); #41574=EDGE_LOOP('',(#236423,#236424,#236425,#236426)); #41575=EDGE_LOOP('',(#236427,#236428,#236429,#236430)); #41576=EDGE_LOOP('',(#236431,#236432,#236433,#236434)); #41577=EDGE_LOOP('',(#236435,#236436,#236437,#236438)); #41578=EDGE_LOOP('',(#236439,#236440,#236441,#236442)); #41579=EDGE_LOOP('',(#236443,#236444,#236445,#236446)); #41580=EDGE_LOOP('',(#236447,#236448,#236449,#236450)); #41581=EDGE_LOOP('',(#236451,#236452,#236453,#236454)); #41582=EDGE_LOOP('',(#236455,#236456,#236457,#236458)); #41583=EDGE_LOOP('',(#236459,#236460,#236461,#236462)); #41584=EDGE_LOOP('',(#236463,#236464,#236465,#236466)); #41585=EDGE_LOOP('',(#236467,#236468,#236469,#236470)); #41586=EDGE_LOOP('',(#236471,#236472,#236473,#236474)); #41587=EDGE_LOOP('',(#236475,#236476,#236477,#236478)); #41588=EDGE_LOOP('',(#236479,#236480,#236481,#236482)); #41589=EDGE_LOOP('',(#236483,#236484,#236485,#236486)); #41590=EDGE_LOOP('',(#236487,#236488,#236489,#236490)); #41591=EDGE_LOOP('',(#236491,#236492,#236493,#236494)); #41592=EDGE_LOOP('',(#236495,#236496,#236497,#236498)); #41593=EDGE_LOOP('',(#236499,#236500,#236501,#236502)); #41594=EDGE_LOOP('',(#236503,#236504,#236505,#236506)); #41595=EDGE_LOOP('',(#236507,#236508,#236509,#236510)); #41596=EDGE_LOOP('',(#236511,#236512,#236513,#236514)); #41597=EDGE_LOOP('',(#236515,#236516,#236517,#236518)); #41598=EDGE_LOOP('',(#236519,#236520,#236521,#236522)); #41599=EDGE_LOOP('',(#236523,#236524,#236525,#236526)); #41600=EDGE_LOOP('',(#236527,#236528,#236529,#236530)); #41601=EDGE_LOOP('',(#236531,#236532,#236533,#236534)); #41602=EDGE_LOOP('',(#236535,#236536,#236537,#236538)); #41603=EDGE_LOOP('',(#236539,#236540,#236541,#236542)); #41604=EDGE_LOOP('',(#236543,#236544,#236545,#236546)); #41605=EDGE_LOOP('',(#236547,#236548,#236549,#236550)); #41606=EDGE_LOOP('',(#236551,#236552,#236553,#236554)); #41607=EDGE_LOOP('',(#236555,#236556,#236557,#236558)); #41608=EDGE_LOOP('',(#236559,#236560,#236561,#236562)); #41609=EDGE_LOOP('',(#236563,#236564,#236565,#236566)); #41610=EDGE_LOOP('',(#236567,#236568,#236569,#236570)); #41611=EDGE_LOOP('',(#236571,#236572,#236573,#236574)); #41612=EDGE_LOOP('',(#236575,#236576,#236577,#236578)); #41613=EDGE_LOOP('',(#236579,#236580,#236581,#236582)); #41614=EDGE_LOOP('',(#236583,#236584,#236585,#236586)); #41615=EDGE_LOOP('',(#236587,#236588,#236589,#236590)); #41616=EDGE_LOOP('',(#236591,#236592,#236593,#236594)); #41617=EDGE_LOOP('',(#236595,#236596,#236597,#236598)); #41618=EDGE_LOOP('',(#236599,#236600,#236601,#236602)); #41619=EDGE_LOOP('',(#236603,#236604,#236605,#236606)); #41620=EDGE_LOOP('',(#236607,#236608,#236609,#236610)); #41621=EDGE_LOOP('',(#236611,#236612,#236613,#236614)); #41622=EDGE_LOOP('',(#236615,#236616,#236617,#236618)); #41623=EDGE_LOOP('',(#236619,#236620,#236621,#236622)); #41624=EDGE_LOOP('',(#236623,#236624,#236625,#236626)); #41625=EDGE_LOOP('',(#236627,#236628,#236629,#236630)); #41626=EDGE_LOOP('',(#236631,#236632,#236633,#236634)); #41627=EDGE_LOOP('',(#236635,#236636,#236637,#236638)); #41628=EDGE_LOOP('',(#236639,#236640,#236641,#236642)); #41629=EDGE_LOOP('',(#236643,#236644,#236645,#236646)); #41630=EDGE_LOOP('',(#236647,#236648,#236649,#236650)); #41631=EDGE_LOOP('',(#236651,#236652,#236653,#236654)); #41632=EDGE_LOOP('',(#236655,#236656,#236657,#236658)); #41633=EDGE_LOOP('',(#236659,#236660,#236661,#236662)); #41634=EDGE_LOOP('',(#236663,#236664,#236665,#236666)); #41635=EDGE_LOOP('',(#236667,#236668,#236669,#236670)); #41636=EDGE_LOOP('',(#236671,#236672,#236673,#236674)); #41637=EDGE_LOOP('',(#236675,#236676,#236677,#236678)); #41638=EDGE_LOOP('',(#236679,#236680,#236681,#236682)); #41639=EDGE_LOOP('',(#236683,#236684,#236685,#236686)); #41640=EDGE_LOOP('',(#236687,#236688,#236689,#236690)); #41641=EDGE_LOOP('',(#236691,#236692,#236693,#236694)); #41642=EDGE_LOOP('',(#236695,#236696,#236697,#236698)); #41643=EDGE_LOOP('',(#236699,#236700,#236701,#236702)); #41644=EDGE_LOOP('',(#236703,#236704,#236705,#236706)); #41645=EDGE_LOOP('',(#236707,#236708,#236709,#236710)); #41646=EDGE_LOOP('',(#236711,#236712,#236713,#236714)); #41647=EDGE_LOOP('',(#236715,#236716,#236717,#236718)); #41648=EDGE_LOOP('',(#236719,#236720,#236721,#236722)); #41649=EDGE_LOOP('',(#236723,#236724,#236725,#236726)); #41650=EDGE_LOOP('',(#236727,#236728,#236729,#236730)); #41651=EDGE_LOOP('',(#236731,#236732,#236733,#236734)); #41652=EDGE_LOOP('',(#236735,#236736,#236737,#236738)); #41653=EDGE_LOOP('',(#236739,#236740,#236741,#236742)); #41654=EDGE_LOOP('',(#236743,#236744,#236745,#236746)); #41655=EDGE_LOOP('',(#236747,#236748,#236749,#236750)); #41656=EDGE_LOOP('',(#236751,#236752,#236753,#236754)); #41657=EDGE_LOOP('',(#236755,#236756,#236757,#236758)); #41658=EDGE_LOOP('',(#236759,#236760,#236761,#236762)); #41659=EDGE_LOOP('',(#236763,#236764,#236765,#236766)); #41660=EDGE_LOOP('',(#236767,#236768,#236769,#236770)); #41661=EDGE_LOOP('',(#236771,#236772,#236773,#236774)); #41662=EDGE_LOOP('',(#236775,#236776,#236777,#236778)); #41663=EDGE_LOOP('',(#236779,#236780,#236781,#236782)); #41664=EDGE_LOOP('',(#236783,#236784,#236785,#236786)); #41665=EDGE_LOOP('',(#236787,#236788,#236789,#236790)); #41666=EDGE_LOOP('',(#236791,#236792,#236793,#236794)); #41667=EDGE_LOOP('',(#236795,#236796,#236797,#236798)); #41668=EDGE_LOOP('',(#236799,#236800,#236801,#236802)); #41669=EDGE_LOOP('',(#236803,#236804,#236805,#236806)); #41670=EDGE_LOOP('',(#236807,#236808,#236809,#236810)); #41671=EDGE_LOOP('',(#236811,#236812,#236813,#236814)); #41672=EDGE_LOOP('',(#236815,#236816,#236817,#236818)); #41673=EDGE_LOOP('',(#236819,#236820,#236821,#236822)); #41674=EDGE_LOOP('',(#236823,#236824,#236825,#236826)); #41675=EDGE_LOOP('',(#236827,#236828,#236829,#236830)); #41676=EDGE_LOOP('',(#236831,#236832,#236833,#236834)); #41677=EDGE_LOOP('',(#236835,#236836,#236837,#236838)); #41678=EDGE_LOOP('',(#236839,#236840,#236841,#236842)); #41679=EDGE_LOOP('',(#236843,#236844,#236845,#236846)); #41680=EDGE_LOOP('',(#236847,#236848,#236849,#236850)); #41681=EDGE_LOOP('',(#236851,#236852,#236853,#236854)); #41682=EDGE_LOOP('',(#236855,#236856,#236857,#236858)); #41683=EDGE_LOOP('',(#236859,#236860,#236861,#236862)); #41684=EDGE_LOOP('',(#236863,#236864,#236865,#236866)); #41685=EDGE_LOOP('',(#236867,#236868,#236869,#236870)); #41686=EDGE_LOOP('',(#236871,#236872,#236873,#236874)); #41687=EDGE_LOOP('',(#236875,#236876,#236877,#236878)); #41688=EDGE_LOOP('',(#236879,#236880,#236881,#236882)); #41689=EDGE_LOOP('',(#236883,#236884,#236885,#236886)); #41690=EDGE_LOOP('',(#236887,#236888,#236889,#236890)); #41691=EDGE_LOOP('',(#236891,#236892,#236893,#236894)); #41692=EDGE_LOOP('',(#236895,#236896,#236897,#236898)); #41693=EDGE_LOOP('',(#236899,#236900,#236901,#236902)); #41694=EDGE_LOOP('',(#236903,#236904,#236905,#236906)); #41695=EDGE_LOOP('',(#236907,#236908,#236909,#236910)); #41696=EDGE_LOOP('',(#236911,#236912,#236913,#236914)); #41697=EDGE_LOOP('',(#236915,#236916,#236917,#236918)); #41698=EDGE_LOOP('',(#236919,#236920,#236921,#236922)); #41699=EDGE_LOOP('',(#236923,#236924,#236925,#236926)); #41700=EDGE_LOOP('',(#236927,#236928,#236929,#236930)); #41701=EDGE_LOOP('',(#236931,#236932,#236933,#236934)); #41702=EDGE_LOOP('',(#236935,#236936,#236937,#236938)); #41703=EDGE_LOOP('',(#236939,#236940,#236941,#236942)); #41704=EDGE_LOOP('',(#236943,#236944,#236945,#236946)); #41705=EDGE_LOOP('',(#236947,#236948,#236949,#236950)); #41706=EDGE_LOOP('',(#236951,#236952,#236953,#236954)); #41707=EDGE_LOOP('',(#236955,#236956,#236957,#236958)); #41708=EDGE_LOOP('',(#236959,#236960,#236961,#236962)); #41709=EDGE_LOOP('',(#236963,#236964,#236965,#236966)); #41710=EDGE_LOOP('',(#236967,#236968,#236969,#236970)); #41711=EDGE_LOOP('',(#236971,#236972,#236973,#236974)); #41712=EDGE_LOOP('',(#236975,#236976,#236977,#236978)); #41713=EDGE_LOOP('',(#236979,#236980,#236981,#236982)); #41714=EDGE_LOOP('',(#236983,#236984,#236985,#236986)); #41715=EDGE_LOOP('',(#236987,#236988,#236989,#236990)); #41716=EDGE_LOOP('',(#236991,#236992,#236993,#236994)); #41717=EDGE_LOOP('',(#236995,#236996,#236997,#236998)); #41718=EDGE_LOOP('',(#236999,#237000,#237001,#237002)); #41719=EDGE_LOOP('',(#237003,#237004,#237005,#237006)); #41720=EDGE_LOOP('',(#237007,#237008,#237009,#237010)); #41721=EDGE_LOOP('',(#237011,#237012,#237013,#237014)); #41722=EDGE_LOOP('',(#237015,#237016,#237017,#237018)); #41723=EDGE_LOOP('',(#237019,#237020,#237021,#237022)); #41724=EDGE_LOOP('',(#237023,#237024,#237025,#237026)); #41725=EDGE_LOOP('',(#237027,#237028,#237029,#237030)); #41726=EDGE_LOOP('',(#237031,#237032,#237033,#237034)); #41727=EDGE_LOOP('',(#237035,#237036,#237037,#237038)); #41728=EDGE_LOOP('',(#237039,#237040,#237041,#237042)); #41729=EDGE_LOOP('',(#237043,#237044,#237045,#237046)); #41730=EDGE_LOOP('',(#237047,#237048,#237049,#237050)); #41731=EDGE_LOOP('',(#237051,#237052,#237053,#237054)); #41732=EDGE_LOOP('',(#237055,#237056,#237057,#237058)); #41733=EDGE_LOOP('',(#237059,#237060,#237061,#237062)); #41734=EDGE_LOOP('',(#237063,#237064,#237065,#237066)); #41735=EDGE_LOOP('',(#237067,#237068,#237069,#237070)); #41736=EDGE_LOOP('',(#237071,#237072,#237073,#237074)); #41737=EDGE_LOOP('',(#237075,#237076,#237077,#237078)); #41738=EDGE_LOOP('',(#237079,#237080,#237081,#237082)); #41739=EDGE_LOOP('',(#237083,#237084,#237085,#237086)); #41740=EDGE_LOOP('',(#237087,#237088,#237089,#237090)); #41741=EDGE_LOOP('',(#237091,#237092,#237093,#237094)); #41742=EDGE_LOOP('',(#237095,#237096,#237097,#237098)); #41743=EDGE_LOOP('',(#237099,#237100,#237101,#237102)); #41744=EDGE_LOOP('',(#237103,#237104,#237105,#237106)); #41745=EDGE_LOOP('',(#237107,#237108,#237109,#237110)); #41746=EDGE_LOOP('',(#237111,#237112,#237113,#237114)); #41747=EDGE_LOOP('',(#237115,#237116,#237117,#237118)); #41748=EDGE_LOOP('',(#237119,#237120,#237121,#237122)); #41749=EDGE_LOOP('',(#237123,#237124,#237125,#237126)); #41750=EDGE_LOOP('',(#237127,#237128,#237129,#237130)); #41751=EDGE_LOOP('',(#237131,#237132,#237133,#237134)); #41752=EDGE_LOOP('',(#237135,#237136,#237137,#237138)); #41753=EDGE_LOOP('',(#237139,#237140,#237141,#237142)); #41754=EDGE_LOOP('',(#237143,#237144,#237145,#237146)); #41755=EDGE_LOOP('',(#237147,#237148,#237149,#237150)); #41756=EDGE_LOOP('',(#237151,#237152,#237153,#237154)); #41757=EDGE_LOOP('',(#237155,#237156,#237157,#237158)); #41758=EDGE_LOOP('',(#237159,#237160,#237161,#237162)); #41759=EDGE_LOOP('',(#237163,#237164,#237165,#237166)); #41760=EDGE_LOOP('',(#237167,#237168,#237169,#237170)); #41761=EDGE_LOOP('',(#237171,#237172,#237173,#237174)); #41762=EDGE_LOOP('',(#237175,#237176,#237177,#237178)); #41763=EDGE_LOOP('',(#237179,#237180,#237181,#237182)); #41764=EDGE_LOOP('',(#237183,#237184,#237185,#237186)); #41765=EDGE_LOOP('',(#237187,#237188,#237189,#237190)); #41766=EDGE_LOOP('',(#237191,#237192,#237193,#237194)); #41767=EDGE_LOOP('',(#237195,#237196,#237197,#237198)); #41768=EDGE_LOOP('',(#237199,#237200,#237201,#237202)); #41769=EDGE_LOOP('',(#237203,#237204,#237205,#237206)); #41770=EDGE_LOOP('',(#237207,#237208,#237209,#237210)); #41771=EDGE_LOOP('',(#237211,#237212,#237213,#237214)); #41772=EDGE_LOOP('',(#237215,#237216,#237217,#237218)); #41773=EDGE_LOOP('',(#237219,#237220,#237221,#237222)); #41774=EDGE_LOOP('',(#237223,#237224,#237225,#237226)); #41775=EDGE_LOOP('',(#237227,#237228,#237229,#237230)); #41776=EDGE_LOOP('',(#237231,#237232,#237233,#237234)); #41777=EDGE_LOOP('',(#237235,#237236,#237237,#237238)); #41778=EDGE_LOOP('',(#237239,#237240,#237241,#237242)); #41779=EDGE_LOOP('',(#237243,#237244,#237245,#237246)); #41780=EDGE_LOOP('',(#237247,#237248,#237249,#237250)); #41781=EDGE_LOOP('',(#237251,#237252,#237253,#237254)); #41782=EDGE_LOOP('',(#237255,#237256,#237257,#237258)); #41783=EDGE_LOOP('',(#237259,#237260,#237261,#237262)); #41784=EDGE_LOOP('',(#237263,#237264,#237265,#237266)); #41785=EDGE_LOOP('',(#237267,#237268,#237269,#237270)); #41786=EDGE_LOOP('',(#237271,#237272,#237273,#237274)); #41787=EDGE_LOOP('',(#237275,#237276,#237277,#237278)); #41788=EDGE_LOOP('',(#237279,#237280,#237281,#237282)); #41789=EDGE_LOOP('',(#237283,#237284,#237285,#237286)); #41790=EDGE_LOOP('',(#237287,#237288,#237289,#237290)); #41791=EDGE_LOOP('',(#237291,#237292,#237293,#237294)); #41792=EDGE_LOOP('',(#237295,#237296,#237297,#237298)); #41793=EDGE_LOOP('',(#237299,#237300,#237301,#237302)); #41794=EDGE_LOOP('',(#237303,#237304,#237305,#237306)); #41795=EDGE_LOOP('',(#237307,#237308,#237309,#237310)); #41796=EDGE_LOOP('',(#237311,#237312,#237313,#237314)); #41797=EDGE_LOOP('',(#237315,#237316,#237317,#237318)); #41798=EDGE_LOOP('',(#237319,#237320,#237321,#237322)); #41799=EDGE_LOOP('',(#237323,#237324,#237325,#237326)); #41800=EDGE_LOOP('',(#237327,#237328,#237329,#237330)); #41801=EDGE_LOOP('',(#237331,#237332,#237333,#237334)); #41802=EDGE_LOOP('',(#237335,#237336,#237337,#237338)); #41803=EDGE_LOOP('',(#237339,#237340,#237341,#237342)); #41804=EDGE_LOOP('',(#237343,#237344,#237345,#237346)); #41805=EDGE_LOOP('',(#237347,#237348,#237349,#237350)); #41806=EDGE_LOOP('',(#237351,#237352,#237353,#237354)); #41807=EDGE_LOOP('',(#237355,#237356,#237357,#237358)); #41808=EDGE_LOOP('',(#237359,#237360,#237361,#237362)); #41809=EDGE_LOOP('',(#237363,#237364,#237365,#237366)); #41810=EDGE_LOOP('',(#237367,#237368,#237369,#237370)); #41811=EDGE_LOOP('',(#237371,#237372,#237373,#237374)); #41812=EDGE_LOOP('',(#237375,#237376,#237377,#237378)); #41813=EDGE_LOOP('',(#237379,#237380,#237381,#237382)); #41814=EDGE_LOOP('',(#237383,#237384,#237385,#237386)); #41815=EDGE_LOOP('',(#237387,#237388,#237389,#237390)); #41816=EDGE_LOOP('',(#237391,#237392,#237393,#237394)); #41817=EDGE_LOOP('',(#237395,#237396,#237397,#237398)); #41818=EDGE_LOOP('',(#237399,#237400,#237401,#237402)); #41819=EDGE_LOOP('',(#237403,#237404,#237405,#237406)); #41820=EDGE_LOOP('',(#237407,#237408,#237409,#237410)); #41821=EDGE_LOOP('',(#237411,#237412,#237413,#237414)); #41822=EDGE_LOOP('',(#237415,#237416,#237417,#237418)); #41823=EDGE_LOOP('',(#237419,#237420,#237421,#237422)); #41824=EDGE_LOOP('',(#237423,#237424,#237425,#237426)); #41825=EDGE_LOOP('',(#237427,#237428,#237429,#237430)); #41826=EDGE_LOOP('',(#237431,#237432,#237433,#237434)); #41827=EDGE_LOOP('',(#237435,#237436,#237437,#237438)); #41828=EDGE_LOOP('',(#237439,#237440,#237441,#237442)); #41829=EDGE_LOOP('',(#237443,#237444,#237445,#237446)); #41830=EDGE_LOOP('',(#237447,#237448,#237449,#237450)); #41831=EDGE_LOOP('',(#237451,#237452,#237453,#237454)); #41832=EDGE_LOOP('',(#237455,#237456,#237457,#237458)); #41833=EDGE_LOOP('',(#237459,#237460,#237461,#237462)); #41834=EDGE_LOOP('',(#237463,#237464,#237465,#237466)); #41835=EDGE_LOOP('',(#237467,#237468,#237469,#237470)); #41836=EDGE_LOOP('',(#237471,#237472,#237473,#237474)); #41837=EDGE_LOOP('',(#237475,#237476,#237477,#237478)); #41838=EDGE_LOOP('',(#237479,#237480,#237481,#237482)); #41839=EDGE_LOOP('',(#237483,#237484,#237485,#237486)); #41840=EDGE_LOOP('',(#237487,#237488,#237489,#237490)); #41841=EDGE_LOOP('',(#237491,#237492,#237493,#237494)); #41842=EDGE_LOOP('',(#237495,#237496,#237497,#237498)); #41843=EDGE_LOOP('',(#237499,#237500,#237501,#237502)); #41844=EDGE_LOOP('',(#237503,#237504,#237505,#237506)); #41845=EDGE_LOOP('',(#237507,#237508,#237509,#237510)); #41846=EDGE_LOOP('',(#237511,#237512,#237513,#237514)); #41847=EDGE_LOOP('',(#237515,#237516,#237517,#237518)); #41848=EDGE_LOOP('',(#237519,#237520,#237521,#237522)); #41849=EDGE_LOOP('',(#237523,#237524,#237525,#237526)); #41850=EDGE_LOOP('',(#237527,#237528,#237529,#237530)); #41851=EDGE_LOOP('',(#237531,#237532,#237533,#237534)); #41852=EDGE_LOOP('',(#237535,#237536,#237537,#237538)); #41853=EDGE_LOOP('',(#237539,#237540,#237541,#237542)); #41854=EDGE_LOOP('',(#237543,#237544,#237545,#237546)); #41855=EDGE_LOOP('',(#237547,#237548,#237549,#237550)); #41856=EDGE_LOOP('',(#237551,#237552,#237553,#237554)); #41857=EDGE_LOOP('',(#237555,#237556,#237557,#237558)); #41858=EDGE_LOOP('',(#237559,#237560,#237561,#237562)); #41859=EDGE_LOOP('',(#237563,#237564,#237565,#237566)); #41860=EDGE_LOOP('',(#237567,#237568,#237569,#237570)); #41861=EDGE_LOOP('',(#237571,#237572,#237573,#237574)); #41862=EDGE_LOOP('',(#237575,#237576,#237577,#237578)); #41863=EDGE_LOOP('',(#237579,#237580,#237581,#237582)); #41864=EDGE_LOOP('',(#237583,#237584,#237585,#237586)); #41865=EDGE_LOOP('',(#237587,#237588,#237589,#237590)); #41866=EDGE_LOOP('',(#237591,#237592,#237593,#237594)); #41867=EDGE_LOOP('',(#237595,#237596,#237597,#237598)); #41868=EDGE_LOOP('',(#237599,#237600,#237601,#237602)); #41869=EDGE_LOOP('',(#237603,#237604,#237605,#237606)); #41870=EDGE_LOOP('',(#237607,#237608,#237609,#237610)); #41871=EDGE_LOOP('',(#237611,#237612,#237613,#237614)); #41872=EDGE_LOOP('',(#237615,#237616,#237617,#237618)); #41873=EDGE_LOOP('',(#237619,#237620,#237621,#237622)); #41874=EDGE_LOOP('',(#237623,#237624,#237625,#237626)); #41875=EDGE_LOOP('',(#237627,#237628,#237629,#237630)); #41876=EDGE_LOOP('',(#237631,#237632,#237633,#237634)); #41877=EDGE_LOOP('',(#237635,#237636,#237637,#237638)); #41878=EDGE_LOOP('',(#237639,#237640,#237641,#237642)); #41879=EDGE_LOOP('',(#237643,#237644,#237645,#237646)); #41880=EDGE_LOOP('',(#237647,#237648,#237649,#237650)); #41881=EDGE_LOOP('',(#237651,#237652,#237653,#237654)); #41882=EDGE_LOOP('',(#237655,#237656,#237657,#237658)); #41883=EDGE_LOOP('',(#237659,#237660,#237661,#237662)); #41884=EDGE_LOOP('',(#237663,#237664,#237665,#237666)); #41885=EDGE_LOOP('',(#237667,#237668,#237669,#237670)); #41886=EDGE_LOOP('',(#237671,#237672,#237673,#237674)); #41887=EDGE_LOOP('',(#237675,#237676,#237677,#237678)); #41888=EDGE_LOOP('',(#237679,#237680,#237681,#237682)); #41889=EDGE_LOOP('',(#237683,#237684,#237685,#237686)); #41890=EDGE_LOOP('',(#237687,#237688,#237689,#237690)); #41891=EDGE_LOOP('',(#237691,#237692,#237693,#237694)); #41892=EDGE_LOOP('',(#237695,#237696,#237697,#237698)); #41893=EDGE_LOOP('',(#237699,#237700,#237701,#237702)); #41894=EDGE_LOOP('',(#237703,#237704,#237705,#237706)); #41895=EDGE_LOOP('',(#237707,#237708,#237709,#237710)); #41896=EDGE_LOOP('',(#237711,#237712,#237713,#237714)); #41897=EDGE_LOOP('',(#237715,#237716,#237717,#237718)); #41898=EDGE_LOOP('',(#237719,#237720,#237721,#237722)); #41899=EDGE_LOOP('',(#237723,#237724,#237725,#237726)); #41900=EDGE_LOOP('',(#237727,#237728,#237729,#237730)); #41901=EDGE_LOOP('',(#237731,#237732,#237733,#237734)); #41902=EDGE_LOOP('',(#237735,#237736,#237737,#237738)); #41903=EDGE_LOOP('',(#237739,#237740,#237741,#237742)); #41904=EDGE_LOOP('',(#237743)); #41905=EDGE_LOOP('',(#237744)); #41906=EDGE_LOOP('',(#237745)); #41907=EDGE_LOOP('',(#237746)); #41908=EDGE_LOOP('',(#237747)); #41909=EDGE_LOOP('',(#237748)); #41910=EDGE_LOOP('',(#237749)); #41911=EDGE_LOOP('',(#237750)); #41912=EDGE_LOOP('',(#237751)); #41913=EDGE_LOOP('',(#237752)); #41914=EDGE_LOOP('',(#237753)); #41915=EDGE_LOOP('',(#237754)); #41916=EDGE_LOOP('',(#237755)); #41917=EDGE_LOOP('',(#237756)); #41918=EDGE_LOOP('',(#237757)); #41919=EDGE_LOOP('',(#237758)); #41920=EDGE_LOOP('',(#237759)); #41921=EDGE_LOOP('',(#237760)); #41922=EDGE_LOOP('',(#237761)); #41923=EDGE_LOOP('',(#237762)); #41924=EDGE_LOOP('',(#237763)); #41925=EDGE_LOOP('',(#237764)); #41926=EDGE_LOOP('',(#237765)); #41927=EDGE_LOOP('',(#237766)); #41928=EDGE_LOOP('',(#237767)); #41929=EDGE_LOOP('',(#237768)); #41930=EDGE_LOOP('',(#237769)); #41931=EDGE_LOOP('',(#237770)); #41932=EDGE_LOOP('',(#237771)); #41933=EDGE_LOOP('',(#237772)); #41934=EDGE_LOOP('',(#237773)); #41935=EDGE_LOOP('',(#237774)); #41936=EDGE_LOOP('',(#237775)); #41937=EDGE_LOOP('',(#237776)); #41938=EDGE_LOOP('',(#237777)); #41939=EDGE_LOOP('',(#237778)); #41940=EDGE_LOOP('',(#237779)); #41941=EDGE_LOOP('',(#237780)); #41942=EDGE_LOOP('',(#237781)); #41943=EDGE_LOOP('',(#237782)); #41944=EDGE_LOOP('',(#237783)); #41945=EDGE_LOOP('',(#237784)); #41946=EDGE_LOOP('',(#237785)); #41947=EDGE_LOOP('',(#237786)); #41948=EDGE_LOOP('',(#237787)); #41949=EDGE_LOOP('',(#237788)); #41950=EDGE_LOOP('',(#237789)); #41951=EDGE_LOOP('',(#237790)); #41952=EDGE_LOOP('',(#237791)); #41953=EDGE_LOOP('',(#237792)); #41954=EDGE_LOOP('',(#237793)); #41955=EDGE_LOOP('',(#237794)); #41956=EDGE_LOOP('',(#237795)); #41957=EDGE_LOOP('',(#237796)); #41958=EDGE_LOOP('',(#237797)); #41959=EDGE_LOOP('',(#237798)); #41960=EDGE_LOOP('',(#237799)); #41961=EDGE_LOOP('',(#237800)); #41962=EDGE_LOOP('',(#237801)); #41963=EDGE_LOOP('',(#237802)); #41964=EDGE_LOOP('',(#237803)); #41965=EDGE_LOOP('',(#237804)); #41966=EDGE_LOOP('',(#237805)); #41967=EDGE_LOOP('',(#237806)); #41968=EDGE_LOOP('',(#237807)); #41969=EDGE_LOOP('',(#237808)); #41970=EDGE_LOOP('',(#237809)); #41971=EDGE_LOOP('',(#237810)); #41972=EDGE_LOOP('',(#237811)); #41973=EDGE_LOOP('',(#237812)); #41974=EDGE_LOOP('',(#237813)); #41975=EDGE_LOOP('',(#237814)); #41976=EDGE_LOOP('',(#237815)); #41977=EDGE_LOOP('',(#237816)); #41978=EDGE_LOOP('',(#237817)); #41979=EDGE_LOOP('',(#237818)); #41980=EDGE_LOOP('',(#237819)); #41981=EDGE_LOOP('',(#237820)); #41982=EDGE_LOOP('',(#237821)); #41983=EDGE_LOOP('',(#237822)); #41984=EDGE_LOOP('',(#237823)); #41985=EDGE_LOOP('',(#237824)); #41986=EDGE_LOOP('',(#237825)); #41987=EDGE_LOOP('',(#237826)); #41988=EDGE_LOOP('',(#237827)); #41989=EDGE_LOOP('',(#237828)); #41990=EDGE_LOOP('',(#237829)); #41991=EDGE_LOOP('',(#237830)); #41992=EDGE_LOOP('',(#237831)); #41993=EDGE_LOOP('',(#237832)); #41994=EDGE_LOOP('',(#237833)); #41995=EDGE_LOOP('',(#237834)); #41996=EDGE_LOOP('',(#237835)); #41997=EDGE_LOOP('',(#237836)); #41998=EDGE_LOOP('',(#237837)); #41999=EDGE_LOOP('',(#237838)); #42000=EDGE_LOOP('',(#237839)); #42001=EDGE_LOOP('',(#237840)); #42002=EDGE_LOOP('',(#237841)); #42003=EDGE_LOOP('',(#237842)); #42004=EDGE_LOOP('',(#237843)); #42005=EDGE_LOOP('',(#237844)); #42006=EDGE_LOOP('',(#237845)); #42007=EDGE_LOOP('',(#237846)); #42008=EDGE_LOOP('',(#237847)); #42009=EDGE_LOOP('',(#237848)); #42010=EDGE_LOOP('',(#237849)); #42011=EDGE_LOOP('',(#237850)); #42012=EDGE_LOOP('',(#237851)); #42013=EDGE_LOOP('',(#237852)); #42014=EDGE_LOOP('',(#237853)); #42015=EDGE_LOOP('',(#237854)); #42016=EDGE_LOOP('',(#237855)); #42017=EDGE_LOOP('',(#237856)); #42018=EDGE_LOOP('',(#237857)); #42019=EDGE_LOOP('',(#237858)); #42020=EDGE_LOOP('',(#237859)); #42021=EDGE_LOOP('',(#237860)); #42022=EDGE_LOOP('',(#237861)); #42023=EDGE_LOOP('',(#237862)); #42024=EDGE_LOOP('',(#237863)); #42025=EDGE_LOOP('',(#237864)); #42026=EDGE_LOOP('',(#237865)); #42027=EDGE_LOOP('',(#237866)); #42028=EDGE_LOOP('',(#237867)); #42029=EDGE_LOOP('',(#237868)); #42030=EDGE_LOOP('',(#237869)); #42031=EDGE_LOOP('',(#237870)); #42032=EDGE_LOOP('',(#237871)); #42033=EDGE_LOOP('',(#237872)); #42034=EDGE_LOOP('',(#237873)); #42035=EDGE_LOOP('',(#237874)); #42036=EDGE_LOOP('',(#237875)); #42037=EDGE_LOOP('',(#237876)); #42038=EDGE_LOOP('',(#237877)); #42039=EDGE_LOOP('',(#237878)); #42040=EDGE_LOOP('',(#237879)); #42041=EDGE_LOOP('',(#237880)); #42042=EDGE_LOOP('',(#237881)); #42043=EDGE_LOOP('',(#237882)); #42044=EDGE_LOOP('',(#237883)); #42045=EDGE_LOOP('',(#237884)); #42046=EDGE_LOOP('',(#237885)); #42047=EDGE_LOOP('',(#237886)); #42048=EDGE_LOOP('',(#237887)); #42049=EDGE_LOOP('',(#237888)); #42050=EDGE_LOOP('',(#237889)); #42051=EDGE_LOOP('',(#237890)); #42052=EDGE_LOOP('',(#237891)); #42053=EDGE_LOOP('',(#237892)); #42054=EDGE_LOOP('',(#237893)); #42055=EDGE_LOOP('',(#237894)); #42056=EDGE_LOOP('',(#237895)); #42057=EDGE_LOOP('',(#237896)); #42058=EDGE_LOOP('',(#237897)); #42059=EDGE_LOOP('',(#237898)); #42060=EDGE_LOOP('',(#237899)); #42061=EDGE_LOOP('',(#237900)); #42062=EDGE_LOOP('',(#237901)); #42063=EDGE_LOOP('',(#237902)); #42064=EDGE_LOOP('',(#237903)); #42065=EDGE_LOOP('',(#237904)); #42066=EDGE_LOOP('',(#237905)); #42067=EDGE_LOOP('',(#237906)); #42068=EDGE_LOOP('',(#237907,#237908,#237909,#237910)); #42069=EDGE_LOOP('',(#237911)); #42070=EDGE_LOOP('',(#237912,#237913,#237914,#237915)); #42071=EDGE_LOOP('',(#237916)); #42072=EDGE_LOOP('',(#237917)); #42073=EDGE_LOOP('',(#237918)); #42074=EDGE_LOOP('',(#237919,#237920,#237921,#237922)); #42075=EDGE_LOOP('',(#237923)); #42076=EDGE_LOOP('',(#237924)); #42077=EDGE_LOOP('',(#237925)); #42078=EDGE_LOOP('',(#237926)); #42079=EDGE_LOOP('',(#237927)); #42080=EDGE_LOOP('',(#237928)); #42081=EDGE_LOOP('',(#237929)); #42082=EDGE_LOOP('',(#237930)); #42083=EDGE_LOOP('',(#237931)); #42084=EDGE_LOOP('',(#237932)); #42085=EDGE_LOOP('',(#237933,#237934,#237935,#237936)); #42086=EDGE_LOOP('',(#237937)); #42087=EDGE_LOOP('',(#237938,#237939,#237940,#237941)); #42088=EDGE_LOOP('',(#237942)); #42089=EDGE_LOOP('',(#237943,#237944,#237945,#237946)); #42090=EDGE_LOOP('',(#237947)); #42091=EDGE_LOOP('',(#237948)); #42092=EDGE_LOOP('',(#237949)); #42093=EDGE_LOOP('',(#237950)); #42094=EDGE_LOOP('',(#237951)); #42095=EDGE_LOOP('',(#237952)); #42096=EDGE_LOOP('',(#237953)); #42097=EDGE_LOOP('',(#237954)); #42098=EDGE_LOOP('',(#237955)); #42099=EDGE_LOOP('',(#237956)); #42100=EDGE_LOOP('',(#237957)); #42101=EDGE_LOOP('',(#237958)); #42102=EDGE_LOOP('',(#237959)); #42103=EDGE_LOOP('',(#237960)); #42104=EDGE_LOOP('',(#237961)); #42105=EDGE_LOOP('',(#237962)); #42106=EDGE_LOOP('',(#237963)); #42107=EDGE_LOOP('',(#237964)); #42108=EDGE_LOOP('',(#237965)); #42109=EDGE_LOOP('',(#237966)); #42110=EDGE_LOOP('',(#237967)); #42111=EDGE_LOOP('',(#237968)); #42112=EDGE_LOOP('',(#237969)); #42113=EDGE_LOOP('',(#237970)); #42114=EDGE_LOOP('',(#237971)); #42115=EDGE_LOOP('',(#237972)); #42116=EDGE_LOOP('',(#237973)); #42117=EDGE_LOOP('',(#237974)); #42118=EDGE_LOOP('',(#237975)); #42119=EDGE_LOOP('',(#237976)); #42120=EDGE_LOOP('',(#237977)); #42121=EDGE_LOOP('',(#237978)); #42122=EDGE_LOOP('',(#237979)); #42123=EDGE_LOOP('',(#237980)); #42124=EDGE_LOOP('',(#237981)); #42125=EDGE_LOOP('',(#237982)); #42126=EDGE_LOOP('',(#237983)); #42127=EDGE_LOOP('',(#237984)); #42128=EDGE_LOOP('',(#237985)); #42129=EDGE_LOOP('',(#237986)); #42130=EDGE_LOOP('',(#237987)); #42131=EDGE_LOOP('',(#237988)); #42132=EDGE_LOOP('',(#237989)); #42133=EDGE_LOOP('',(#237990)); #42134=EDGE_LOOP('',(#237991)); #42135=EDGE_LOOP('',(#237992)); #42136=EDGE_LOOP('',(#237993)); #42137=EDGE_LOOP('',(#237994)); #42138=EDGE_LOOP('',(#237995)); #42139=EDGE_LOOP('',(#237996)); #42140=EDGE_LOOP('',(#237997)); #42141=EDGE_LOOP('',(#237998)); #42142=EDGE_LOOP('',(#237999)); #42143=EDGE_LOOP('',(#238000)); #42144=EDGE_LOOP('',(#238001)); #42145=EDGE_LOOP('',(#238002)); #42146=EDGE_LOOP('',(#238003)); #42147=EDGE_LOOP('',(#238004)); #42148=EDGE_LOOP('',(#238005)); #42149=EDGE_LOOP('',(#238006)); #42150=EDGE_LOOP('',(#238007)); #42151=EDGE_LOOP('',(#238008)); #42152=EDGE_LOOP('',(#238009)); #42153=EDGE_LOOP('',(#238010)); #42154=EDGE_LOOP('',(#238011)); #42155=EDGE_LOOP('',(#238012)); #42156=EDGE_LOOP('',(#238013)); #42157=EDGE_LOOP('',(#238014)); #42158=EDGE_LOOP('',(#238015)); #42159=EDGE_LOOP('',(#238016)); #42160=EDGE_LOOP('',(#238017)); #42161=EDGE_LOOP('',(#238018)); #42162=EDGE_LOOP('',(#238019)); #42163=EDGE_LOOP('',(#238020)); #42164=EDGE_LOOP('',(#238021)); #42165=EDGE_LOOP('',(#238022)); #42166=EDGE_LOOP('',(#238023)); #42167=EDGE_LOOP('',(#238024)); #42168=EDGE_LOOP('',(#238025)); #42169=EDGE_LOOP('',(#238026)); #42170=EDGE_LOOP('',(#238027)); #42171=EDGE_LOOP('',(#238028)); #42172=EDGE_LOOP('',(#238029)); #42173=EDGE_LOOP('',(#238030)); #42174=EDGE_LOOP('',(#238031)); #42175=EDGE_LOOP('',(#238032)); #42176=EDGE_LOOP('',(#238033)); #42177=EDGE_LOOP('',(#238034)); #42178=EDGE_LOOP('',(#238035)); #42179=EDGE_LOOP('',(#238036)); #42180=EDGE_LOOP('',(#238037)); #42181=EDGE_LOOP('',(#238038)); #42182=EDGE_LOOP('',(#238039)); #42183=EDGE_LOOP('',(#238040)); #42184=EDGE_LOOP('',(#238041)); #42185=EDGE_LOOP('',(#238042)); #42186=EDGE_LOOP('',(#238043)); #42187=EDGE_LOOP('',(#238044)); #42188=EDGE_LOOP('',(#238045)); #42189=EDGE_LOOP('',(#238046)); #42190=EDGE_LOOP('',(#238047)); #42191=EDGE_LOOP('',(#238048)); #42192=EDGE_LOOP('',(#238049)); #42193=EDGE_LOOP('',(#238050)); #42194=EDGE_LOOP('',(#238051)); #42195=EDGE_LOOP('',(#238052)); #42196=EDGE_LOOP('',(#238053)); #42197=EDGE_LOOP('',(#238054)); #42198=EDGE_LOOP('',(#238055)); #42199=EDGE_LOOP('',(#238056)); #42200=EDGE_LOOP('',(#238057)); #42201=EDGE_LOOP('',(#238058)); #42202=EDGE_LOOP('',(#238059)); #42203=EDGE_LOOP('',(#238060)); #42204=EDGE_LOOP('',(#238061)); #42205=EDGE_LOOP('',(#238062)); #42206=EDGE_LOOP('',(#238063)); #42207=EDGE_LOOP('',(#238064)); #42208=EDGE_LOOP('',(#238065)); #42209=EDGE_LOOP('',(#238066)); #42210=EDGE_LOOP('',(#238067)); #42211=EDGE_LOOP('',(#238068)); #42212=EDGE_LOOP('',(#238069)); #42213=EDGE_LOOP('',(#238070)); #42214=EDGE_LOOP('',(#238071)); #42215=EDGE_LOOP('',(#238072)); #42216=EDGE_LOOP('',(#238073)); #42217=EDGE_LOOP('',(#238074)); #42218=EDGE_LOOP('',(#238075)); #42219=EDGE_LOOP('',(#238076)); #42220=EDGE_LOOP('',(#238077)); #42221=EDGE_LOOP('',(#238078)); #42222=EDGE_LOOP('',(#238079)); #42223=EDGE_LOOP('',(#238080)); #42224=EDGE_LOOP('',(#238081)); #42225=EDGE_LOOP('',(#238082)); #42226=EDGE_LOOP('',(#238083)); #42227=EDGE_LOOP('',(#238084)); #42228=EDGE_LOOP('',(#238085)); #42229=EDGE_LOOP('',(#238086)); #42230=EDGE_LOOP('',(#238087)); #42231=EDGE_LOOP('',(#238088)); #42232=EDGE_LOOP('',(#238089)); #42233=EDGE_LOOP('',(#238090)); #42234=EDGE_LOOP('',(#238091)); #42235=EDGE_LOOP('',(#238092)); #42236=EDGE_LOOP('',(#238093)); #42237=EDGE_LOOP('',(#238094)); #42238=EDGE_LOOP('',(#238095)); #42239=EDGE_LOOP('',(#238096)); #42240=EDGE_LOOP('',(#238097)); #42241=EDGE_LOOP('',(#238098)); #42242=EDGE_LOOP('',(#238099)); #42243=EDGE_LOOP('',(#238100)); #42244=EDGE_LOOP('',(#238101)); #42245=EDGE_LOOP('',(#238102)); #42246=EDGE_LOOP('',(#238103)); #42247=EDGE_LOOP('',(#238104)); #42248=EDGE_LOOP('',(#238105)); #42249=EDGE_LOOP('',(#238106)); #42250=EDGE_LOOP('',(#238107)); #42251=EDGE_LOOP('',(#238108)); #42252=EDGE_LOOP('',(#238109)); #42253=EDGE_LOOP('',(#238110)); #42254=EDGE_LOOP('',(#238111)); #42255=EDGE_LOOP('',(#238112)); #42256=EDGE_LOOP('',(#238113)); #42257=EDGE_LOOP('',(#238114)); #42258=EDGE_LOOP('',(#238115)); #42259=EDGE_LOOP('',(#238116)); #42260=EDGE_LOOP('',(#238117)); #42261=EDGE_LOOP('',(#238118)); #42262=EDGE_LOOP('',(#238119)); #42263=EDGE_LOOP('',(#238120)); #42264=EDGE_LOOP('',(#238121)); #42265=EDGE_LOOP('',(#238122)); #42266=EDGE_LOOP('',(#238123)); #42267=EDGE_LOOP('',(#238124)); #42268=EDGE_LOOP('',(#238125)); #42269=EDGE_LOOP('',(#238126)); #42270=EDGE_LOOP('',(#238127)); #42271=EDGE_LOOP('',(#238128)); #42272=EDGE_LOOP('',(#238129)); #42273=EDGE_LOOP('',(#238130)); #42274=EDGE_LOOP('',(#238131)); #42275=EDGE_LOOP('',(#238132)); #42276=EDGE_LOOP('',(#238133)); #42277=EDGE_LOOP('',(#238134)); #42278=EDGE_LOOP('',(#238135)); #42279=EDGE_LOOP('',(#238136)); #42280=EDGE_LOOP('',(#238137)); #42281=EDGE_LOOP('',(#238138)); #42282=EDGE_LOOP('',(#238139)); #42283=EDGE_LOOP('',(#238140)); #42284=EDGE_LOOP('',(#238141)); #42285=EDGE_LOOP('',(#238142)); #42286=EDGE_LOOP('',(#238143)); #42287=EDGE_LOOP('',(#238144)); #42288=EDGE_LOOP('',(#238145)); #42289=EDGE_LOOP('',(#238146)); #42290=EDGE_LOOP('',(#238147)); #42291=EDGE_LOOP('',(#238148)); #42292=EDGE_LOOP('',(#238149)); #42293=EDGE_LOOP('',(#238150)); #42294=EDGE_LOOP('',(#238151)); #42295=EDGE_LOOP('',(#238152)); #42296=EDGE_LOOP('',(#238153)); #42297=EDGE_LOOP('',(#238154)); #42298=EDGE_LOOP('',(#238155)); #42299=EDGE_LOOP('',(#238156)); #42300=EDGE_LOOP('',(#238157)); #42301=EDGE_LOOP('',(#238158)); #42302=EDGE_LOOP('',(#238159)); #42303=EDGE_LOOP('',(#238160)); #42304=EDGE_LOOP('',(#238161)); #42305=EDGE_LOOP('',(#238162)); #42306=EDGE_LOOP('',(#238163)); #42307=EDGE_LOOP('',(#238164)); #42308=EDGE_LOOP('',(#238165)); #42309=EDGE_LOOP('',(#238166)); #42310=EDGE_LOOP('',(#238167)); #42311=EDGE_LOOP('',(#238168)); #42312=EDGE_LOOP('',(#238169)); #42313=EDGE_LOOP('',(#238170)); #42314=EDGE_LOOP('',(#238171)); #42315=EDGE_LOOP('',(#238172)); #42316=EDGE_LOOP('',(#238173)); #42317=EDGE_LOOP('',(#238174)); #42318=EDGE_LOOP('',(#238175)); #42319=EDGE_LOOP('',(#238176)); #42320=EDGE_LOOP('',(#238177)); #42321=EDGE_LOOP('',(#238178)); #42322=EDGE_LOOP('',(#238179)); #42323=EDGE_LOOP('',(#238180)); #42324=EDGE_LOOP('',(#238181)); #42325=EDGE_LOOP('',(#238182)); #42326=EDGE_LOOP('',(#238183)); #42327=EDGE_LOOP('',(#238184)); #42328=EDGE_LOOP('',(#238185)); #42329=EDGE_LOOP('',(#238186)); #42330=EDGE_LOOP('',(#238187)); #42331=EDGE_LOOP('',(#238188)); #42332=EDGE_LOOP('',(#238189)); #42333=EDGE_LOOP('',(#238190)); #42334=EDGE_LOOP('',(#238191)); #42335=EDGE_LOOP('',(#238192)); #42336=EDGE_LOOP('',(#238193)); #42337=EDGE_LOOP('',(#238194)); #42338=EDGE_LOOP('',(#238195)); #42339=EDGE_LOOP('',(#238196)); #42340=EDGE_LOOP('',(#238197)); #42341=EDGE_LOOP('',(#238198)); #42342=EDGE_LOOP('',(#238199)); #42343=EDGE_LOOP('',(#238200)); #42344=EDGE_LOOP('',(#238201)); #42345=EDGE_LOOP('',(#238202)); #42346=EDGE_LOOP('',(#238203)); #42347=EDGE_LOOP('',(#238204)); #42348=EDGE_LOOP('',(#238205)); #42349=EDGE_LOOP('',(#238206)); #42350=EDGE_LOOP('',(#238207)); #42351=EDGE_LOOP('',(#238208)); #42352=EDGE_LOOP('',(#238209)); #42353=EDGE_LOOP('',(#238210)); #42354=EDGE_LOOP('',(#238211)); #42355=EDGE_LOOP('',(#238212)); #42356=EDGE_LOOP('',(#238213)); #42357=EDGE_LOOP('',(#238214)); #42358=EDGE_LOOP('',(#238215)); #42359=EDGE_LOOP('',(#238216)); #42360=EDGE_LOOP('',(#238217)); #42361=EDGE_LOOP('',(#238218)); #42362=EDGE_LOOP('',(#238219)); #42363=EDGE_LOOP('',(#238220)); #42364=EDGE_LOOP('',(#238221)); #42365=EDGE_LOOP('',(#238222)); #42366=EDGE_LOOP('',(#238223)); #42367=EDGE_LOOP('',(#238224)); #42368=EDGE_LOOP('',(#238225)); #42369=EDGE_LOOP('',(#238226)); #42370=EDGE_LOOP('',(#238227)); #42371=EDGE_LOOP('',(#238228)); #42372=EDGE_LOOP('',(#238229)); #42373=EDGE_LOOP('',(#238230)); #42374=EDGE_LOOP('',(#238231)); #42375=EDGE_LOOP('',(#238232)); #42376=EDGE_LOOP('',(#238233)); #42377=EDGE_LOOP('',(#238234)); #42378=EDGE_LOOP('',(#238235)); #42379=EDGE_LOOP('',(#238236)); #42380=EDGE_LOOP('',(#238237)); #42381=EDGE_LOOP('',(#238238)); #42382=EDGE_LOOP('',(#238239)); #42383=EDGE_LOOP('',(#238240)); #42384=EDGE_LOOP('',(#238241)); #42385=EDGE_LOOP('',(#238242)); #42386=EDGE_LOOP('',(#238243,#238244,#238245,#238246)); #42387=EDGE_LOOP('',(#238247)); #42388=EDGE_LOOP('',(#238248,#238249,#238250,#238251)); #42389=EDGE_LOOP('',(#238252)); #42390=EDGE_LOOP('',(#238253)); #42391=EDGE_LOOP('',(#238254)); #42392=EDGE_LOOP('',(#238255,#238256,#238257,#238258)); #42393=EDGE_LOOP('',(#238259)); #42394=EDGE_LOOP('',(#238260)); #42395=EDGE_LOOP('',(#238261)); #42396=EDGE_LOOP('',(#238262)); #42397=EDGE_LOOP('',(#238263)); #42398=EDGE_LOOP('',(#238264)); #42399=EDGE_LOOP('',(#238265)); #42400=EDGE_LOOP('',(#238266)); #42401=EDGE_LOOP('',(#238267)); #42402=EDGE_LOOP('',(#238268)); #42403=EDGE_LOOP('',(#238269,#238270,#238271,#238272)); #42404=EDGE_LOOP('',(#238273)); #42405=EDGE_LOOP('',(#238274,#238275,#238276,#238277)); #42406=EDGE_LOOP('',(#238278)); #42407=EDGE_LOOP('',(#238279,#238280,#238281,#238282)); #42408=EDGE_LOOP('',(#238283)); #42409=EDGE_LOOP('',(#238284)); #42410=EDGE_LOOP('',(#238285)); #42411=EDGE_LOOP('',(#238286)); #42412=EDGE_LOOP('',(#238287)); #42413=EDGE_LOOP('',(#238288)); #42414=EDGE_LOOP('',(#238289)); #42415=EDGE_LOOP('',(#238290)); #42416=EDGE_LOOP('',(#238291)); #42417=EDGE_LOOP('',(#238292)); #42418=EDGE_LOOP('',(#238293)); #42419=EDGE_LOOP('',(#238294)); #42420=EDGE_LOOP('',(#238295)); #42421=EDGE_LOOP('',(#238296)); #42422=EDGE_LOOP('',(#238297)); #42423=EDGE_LOOP('',(#238298)); #42424=EDGE_LOOP('',(#238299)); #42425=EDGE_LOOP('',(#238300)); #42426=EDGE_LOOP('',(#238301)); #42427=EDGE_LOOP('',(#238302)); #42428=EDGE_LOOP('',(#238303)); #42429=EDGE_LOOP('',(#238304)); #42430=EDGE_LOOP('',(#238305)); #42431=EDGE_LOOP('',(#238306)); #42432=EDGE_LOOP('',(#238307)); #42433=EDGE_LOOP('',(#238308)); #42434=EDGE_LOOP('',(#238309)); #42435=EDGE_LOOP('',(#238310)); #42436=EDGE_LOOP('',(#238311)); #42437=EDGE_LOOP('',(#238312)); #42438=EDGE_LOOP('',(#238313)); #42439=EDGE_LOOP('',(#238314)); #42440=EDGE_LOOP('',(#238315)); #42441=EDGE_LOOP('',(#238316)); #42442=EDGE_LOOP('',(#238317)); #42443=EDGE_LOOP('',(#238318)); #42444=EDGE_LOOP('',(#238319)); #42445=EDGE_LOOP('',(#238320)); #42446=EDGE_LOOP('',(#238321)); #42447=EDGE_LOOP('',(#238322)); #42448=EDGE_LOOP('',(#238323)); #42449=EDGE_LOOP('',(#238324)); #42450=EDGE_LOOP('',(#238325)); #42451=EDGE_LOOP('',(#238326)); #42452=EDGE_LOOP('',(#238327)); #42453=EDGE_LOOP('',(#238328)); #42454=EDGE_LOOP('',(#238329)); #42455=EDGE_LOOP('',(#238330)); #42456=EDGE_LOOP('',(#238331)); #42457=EDGE_LOOP('',(#238332)); #42458=EDGE_LOOP('',(#238333)); #42459=EDGE_LOOP('',(#238334)); #42460=EDGE_LOOP('',(#238335)); #42461=EDGE_LOOP('',(#238336)); #42462=EDGE_LOOP('',(#238337)); #42463=EDGE_LOOP('',(#238338)); #42464=EDGE_LOOP('',(#238339)); #42465=EDGE_LOOP('',(#238340)); #42466=EDGE_LOOP('',(#238341)); #42467=EDGE_LOOP('',(#238342)); #42468=EDGE_LOOP('',(#238343)); #42469=EDGE_LOOP('',(#238344)); #42470=EDGE_LOOP('',(#238345)); #42471=EDGE_LOOP('',(#238346)); #42472=EDGE_LOOP('',(#238347)); #42473=EDGE_LOOP('',(#238348)); #42474=EDGE_LOOP('',(#238349)); #42475=EDGE_LOOP('',(#238350)); #42476=EDGE_LOOP('',(#238351)); #42477=EDGE_LOOP('',(#238352)); #42478=EDGE_LOOP('',(#238353)); #42479=EDGE_LOOP('',(#238354)); #42480=EDGE_LOOP('',(#238355)); #42481=EDGE_LOOP('',(#238356)); #42482=EDGE_LOOP('',(#238357)); #42483=EDGE_LOOP('',(#238358)); #42484=EDGE_LOOP('',(#238359)); #42485=EDGE_LOOP('',(#238360)); #42486=EDGE_LOOP('',(#238361)); #42487=EDGE_LOOP('',(#238362)); #42488=EDGE_LOOP('',(#238363)); #42489=EDGE_LOOP('',(#238364)); #42490=EDGE_LOOP('',(#238365)); #42491=EDGE_LOOP('',(#238366)); #42492=EDGE_LOOP('',(#238367)); #42493=EDGE_LOOP('',(#238368)); #42494=EDGE_LOOP('',(#238369)); #42495=EDGE_LOOP('',(#238370)); #42496=EDGE_LOOP('',(#238371)); #42497=EDGE_LOOP('',(#238372)); #42498=EDGE_LOOP('',(#238373)); #42499=EDGE_LOOP('',(#238374)); #42500=EDGE_LOOP('',(#238375)); #42501=EDGE_LOOP('',(#238376)); #42502=EDGE_LOOP('',(#238377)); #42503=EDGE_LOOP('',(#238378)); #42504=EDGE_LOOP('',(#238379)); #42505=EDGE_LOOP('',(#238380)); #42506=EDGE_LOOP('',(#238381)); #42507=EDGE_LOOP('',(#238382)); #42508=EDGE_LOOP('',(#238383)); #42509=EDGE_LOOP('',(#238384)); #42510=EDGE_LOOP('',(#238385)); #42511=EDGE_LOOP('',(#238386)); #42512=EDGE_LOOP('',(#238387)); #42513=EDGE_LOOP('',(#238388)); #42514=EDGE_LOOP('',(#238389)); #42515=EDGE_LOOP('',(#238390)); #42516=EDGE_LOOP('',(#238391)); #42517=EDGE_LOOP('',(#238392)); #42518=EDGE_LOOP('',(#238393)); #42519=EDGE_LOOP('',(#238394)); #42520=EDGE_LOOP('',(#238395)); #42521=EDGE_LOOP('',(#238396)); #42522=EDGE_LOOP('',(#238397)); #42523=EDGE_LOOP('',(#238398)); #42524=EDGE_LOOP('',(#238399)); #42525=EDGE_LOOP('',(#238400)); #42526=EDGE_LOOP('',(#238401)); #42527=EDGE_LOOP('',(#238402)); #42528=EDGE_LOOP('',(#238403)); #42529=EDGE_LOOP('',(#238404)); #42530=EDGE_LOOP('',(#238405)); #42531=EDGE_LOOP('',(#238406)); #42532=EDGE_LOOP('',(#238407)); #42533=EDGE_LOOP('',(#238408)); #42534=EDGE_LOOP('',(#238409)); #42535=EDGE_LOOP('',(#238410)); #42536=EDGE_LOOP('',(#238411)); #42537=EDGE_LOOP('',(#238412)); #42538=EDGE_LOOP('',(#238413)); #42539=EDGE_LOOP('',(#238414)); #42540=EDGE_LOOP('',(#238415,#238416,#238417,#238418)); #42541=EDGE_LOOP('',(#238419,#238420,#238421,#238422)); #42542=EDGE_LOOP('',(#238423)); #42543=EDGE_LOOP('',(#238424)); #42544=EDGE_LOOP('',(#238425)); #42545=EDGE_LOOP('',(#238426)); #42546=EDGE_LOOP('',(#238427,#238428,#238429,#238430)); #42547=EDGE_LOOP('',(#238431,#238432,#238433,#238434)); #42548=EDGE_LOOP('',(#238435)); #42549=EDGE_LOOP('',(#238436)); #42550=EDGE_LOOP('',(#238437)); #42551=EDGE_LOOP('',(#238438)); #42552=EDGE_LOOP('',(#238439,#238440,#238441,#238442)); #42553=EDGE_LOOP('',(#238443,#238444,#238445,#238446)); #42554=EDGE_LOOP('',(#238447)); #42555=EDGE_LOOP('',(#238448)); #42556=EDGE_LOOP('',(#238449)); #42557=EDGE_LOOP('',(#238450)); #42558=EDGE_LOOP('',(#238451,#238452,#238453,#238454)); #42559=EDGE_LOOP('',(#238455,#238456,#238457,#238458)); #42560=EDGE_LOOP('',(#238459)); #42561=EDGE_LOOP('',(#238460)); #42562=EDGE_LOOP('',(#238461)); #42563=EDGE_LOOP('',(#238462)); #42564=EDGE_LOOP('',(#238463,#238464,#238465,#238466)); #42565=EDGE_LOOP('',(#238467,#238468,#238469,#238470)); #42566=EDGE_LOOP('',(#238471)); #42567=EDGE_LOOP('',(#238472)); #42568=EDGE_LOOP('',(#238473)); #42569=EDGE_LOOP('',(#238474)); #42570=EDGE_LOOP('',(#238475,#238476,#238477,#238478)); #42571=EDGE_LOOP('',(#238479,#238480,#238481,#238482)); #42572=EDGE_LOOP('',(#238483)); #42573=EDGE_LOOP('',(#238484)); #42574=EDGE_LOOP('',(#238485)); #42575=EDGE_LOOP('',(#238486)); #42576=EDGE_LOOP('',(#238487,#238488,#238489,#238490)); #42577=EDGE_LOOP('',(#238491,#238492,#238493,#238494)); #42578=EDGE_LOOP('',(#238495)); #42579=EDGE_LOOP('',(#238496)); #42580=EDGE_LOOP('',(#238497)); #42581=EDGE_LOOP('',(#238498)); #42582=EDGE_LOOP('',(#238499,#238500,#238501,#238502)); #42583=EDGE_LOOP('',(#238503,#238504,#238505,#238506)); #42584=EDGE_LOOP('',(#238507)); #42585=EDGE_LOOP('',(#238508)); #42586=EDGE_LOOP('',(#238509)); #42587=EDGE_LOOP('',(#238510)); #42588=EDGE_LOOP('',(#238511,#238512,#238513,#238514)); #42589=EDGE_LOOP('',(#238515,#238516,#238517,#238518)); #42590=EDGE_LOOP('',(#238519)); #42591=EDGE_LOOP('',(#238520)); #42592=EDGE_LOOP('',(#238521)); #42593=EDGE_LOOP('',(#238522)); #42594=EDGE_LOOP('',(#238523,#238524,#238525,#238526)); #42595=EDGE_LOOP('',(#238527,#238528,#238529,#238530)); #42596=EDGE_LOOP('',(#238531)); #42597=EDGE_LOOP('',(#238532)); #42598=EDGE_LOOP('',(#238533)); #42599=EDGE_LOOP('',(#238534)); #42600=EDGE_LOOP('',(#238535,#238536,#238537,#238538)); #42601=EDGE_LOOP('',(#238539,#238540,#238541,#238542)); #42602=EDGE_LOOP('',(#238543)); #42603=EDGE_LOOP('',(#238544)); #42604=EDGE_LOOP('',(#238545)); #42605=EDGE_LOOP('',(#238546)); #42606=EDGE_LOOP('',(#238547,#238548,#238549,#238550)); #42607=EDGE_LOOP('',(#238551,#238552,#238553,#238554)); #42608=EDGE_LOOP('',(#238555)); #42609=EDGE_LOOP('',(#238556)); #42610=EDGE_LOOP('',(#238557)); #42611=EDGE_LOOP('',(#238558)); #42612=EDGE_LOOP('',(#238559,#238560,#238561,#238562)); #42613=EDGE_LOOP('',(#238563,#238564,#238565,#238566)); #42614=EDGE_LOOP('',(#238567)); #42615=EDGE_LOOP('',(#238568)); #42616=EDGE_LOOP('',(#238569)); #42617=EDGE_LOOP('',(#238570)); #42618=EDGE_LOOP('',(#238571,#238572,#238573,#238574)); #42619=EDGE_LOOP('',(#238575,#238576,#238577,#238578)); #42620=EDGE_LOOP('',(#238579)); #42621=EDGE_LOOP('',(#238580)); #42622=EDGE_LOOP('',(#238581)); #42623=EDGE_LOOP('',(#238582)); #42624=EDGE_LOOP('',(#238583,#238584,#238585,#238586)); #42625=EDGE_LOOP('',(#238587,#238588,#238589,#238590)); #42626=EDGE_LOOP('',(#238591)); #42627=EDGE_LOOP('',(#238592)); #42628=EDGE_LOOP('',(#238593)); #42629=EDGE_LOOP('',(#238594)); #42630=EDGE_LOOP('',(#238595,#238596,#238597,#238598)); #42631=EDGE_LOOP('',(#238599,#238600,#238601,#238602)); #42632=EDGE_LOOP('',(#238603)); #42633=EDGE_LOOP('',(#238604)); #42634=EDGE_LOOP('',(#238605)); #42635=EDGE_LOOP('',(#238606)); #42636=EDGE_LOOP('',(#238607,#238608,#238609,#238610)); #42637=EDGE_LOOP('',(#238611,#238612,#238613,#238614)); #42638=EDGE_LOOP('',(#238615)); #42639=EDGE_LOOP('',(#238616)); #42640=EDGE_LOOP('',(#238617)); #42641=EDGE_LOOP('',(#238618)); #42642=EDGE_LOOP('',(#238619,#238620,#238621,#238622)); #42643=EDGE_LOOP('',(#238623,#238624,#238625,#238626)); #42644=EDGE_LOOP('',(#238627)); #42645=EDGE_LOOP('',(#238628)); #42646=EDGE_LOOP('',(#238629)); #42647=EDGE_LOOP('',(#238630)); #42648=EDGE_LOOP('',(#238631,#238632,#238633,#238634)); #42649=EDGE_LOOP('',(#238635,#238636,#238637,#238638)); #42650=EDGE_LOOP('',(#238639)); #42651=EDGE_LOOP('',(#238640)); #42652=EDGE_LOOP('',(#238641)); #42653=EDGE_LOOP('',(#238642)); #42654=EDGE_LOOP('',(#238643,#238644,#238645,#238646)); #42655=EDGE_LOOP('',(#238647,#238648,#238649,#238650)); #42656=EDGE_LOOP('',(#238651)); #42657=EDGE_LOOP('',(#238652)); #42658=EDGE_LOOP('',(#238653)); #42659=EDGE_LOOP('',(#238654)); #42660=EDGE_LOOP('',(#238655,#238656,#238657,#238658)); #42661=EDGE_LOOP('',(#238659,#238660,#238661,#238662)); #42662=EDGE_LOOP('',(#238663)); #42663=EDGE_LOOP('',(#238664)); #42664=EDGE_LOOP('',(#238665)); #42665=EDGE_LOOP('',(#238666)); #42666=EDGE_LOOP('',(#238667,#238668,#238669,#238670)); #42667=EDGE_LOOP('',(#238671,#238672,#238673,#238674)); #42668=EDGE_LOOP('',(#238675)); #42669=EDGE_LOOP('',(#238676)); #42670=EDGE_LOOP('',(#238677)); #42671=EDGE_LOOP('',(#238678)); #42672=EDGE_LOOP('',(#238679,#238680,#238681,#238682)); #42673=EDGE_LOOP('',(#238683,#238684,#238685,#238686)); #42674=EDGE_LOOP('',(#238687)); #42675=EDGE_LOOP('',(#238688)); #42676=EDGE_LOOP('',(#238689)); #42677=EDGE_LOOP('',(#238690)); #42678=EDGE_LOOP('',(#238691,#238692,#238693,#238694)); #42679=EDGE_LOOP('',(#238695,#238696,#238697,#238698)); #42680=EDGE_LOOP('',(#238699)); #42681=EDGE_LOOP('',(#238700)); #42682=EDGE_LOOP('',(#238701)); #42683=EDGE_LOOP('',(#238702)); #42684=EDGE_LOOP('',(#238703,#238704,#238705,#238706)); #42685=EDGE_LOOP('',(#238707,#238708,#238709,#238710)); #42686=EDGE_LOOP('',(#238711)); #42687=EDGE_LOOP('',(#238712)); #42688=EDGE_LOOP('',(#238713)); #42689=EDGE_LOOP('',(#238714)); #42690=EDGE_LOOP('',(#238715,#238716,#238717,#238718)); #42691=EDGE_LOOP('',(#238719,#238720,#238721,#238722)); #42692=EDGE_LOOP('',(#238723)); #42693=EDGE_LOOP('',(#238724)); #42694=EDGE_LOOP('',(#238725)); #42695=EDGE_LOOP('',(#238726)); #42696=EDGE_LOOP('',(#238727,#238728,#238729,#238730)); #42697=EDGE_LOOP('',(#238731,#238732,#238733,#238734)); #42698=EDGE_LOOP('',(#238735)); #42699=EDGE_LOOP('',(#238736)); #42700=EDGE_LOOP('',(#238737)); #42701=EDGE_LOOP('',(#238738)); #42702=EDGE_LOOP('',(#238739,#238740,#238741,#238742)); #42703=EDGE_LOOP('',(#238743,#238744,#238745,#238746)); #42704=EDGE_LOOP('',(#238747)); #42705=EDGE_LOOP('',(#238748)); #42706=EDGE_LOOP('',(#238749)); #42707=EDGE_LOOP('',(#238750)); #42708=EDGE_LOOP('',(#238751,#238752,#238753,#238754)); #42709=EDGE_LOOP('',(#238755,#238756,#238757,#238758)); #42710=EDGE_LOOP('',(#238759)); #42711=EDGE_LOOP('',(#238760)); #42712=EDGE_LOOP('',(#238761)); #42713=EDGE_LOOP('',(#238762)); #42714=EDGE_LOOP('',(#238763,#238764,#238765,#238766)); #42715=EDGE_LOOP('',(#238767,#238768,#238769,#238770)); #42716=EDGE_LOOP('',(#238771)); #42717=EDGE_LOOP('',(#238772)); #42718=EDGE_LOOP('',(#238773)); #42719=EDGE_LOOP('',(#238774)); #42720=EDGE_LOOP('',(#238775,#238776,#238777,#238778)); #42721=EDGE_LOOP('',(#238779,#238780,#238781,#238782)); #42722=EDGE_LOOP('',(#238783)); #42723=EDGE_LOOP('',(#238784)); #42724=EDGE_LOOP('',(#238785)); #42725=EDGE_LOOP('',(#238786)); #42726=EDGE_LOOP('',(#238787,#238788,#238789,#238790)); #42727=EDGE_LOOP('',(#238791,#238792,#238793,#238794)); #42728=EDGE_LOOP('',(#238795)); #42729=EDGE_LOOP('',(#238796)); #42730=EDGE_LOOP('',(#238797)); #42731=EDGE_LOOP('',(#238798)); #42732=EDGE_LOOP('',(#238799,#238800,#238801,#238802)); #42733=EDGE_LOOP('',(#238803,#238804,#238805,#238806)); #42734=EDGE_LOOP('',(#238807)); #42735=EDGE_LOOP('',(#238808)); #42736=EDGE_LOOP('',(#238809)); #42737=EDGE_LOOP('',(#238810)); #42738=EDGE_LOOP('',(#238811,#238812,#238813,#238814)); #42739=EDGE_LOOP('',(#238815,#238816,#238817,#238818)); #42740=EDGE_LOOP('',(#238819)); #42741=EDGE_LOOP('',(#238820)); #42742=EDGE_LOOP('',(#238821)); #42743=EDGE_LOOP('',(#238822)); #42744=EDGE_LOOP('',(#238823,#238824,#238825,#238826)); #42745=EDGE_LOOP('',(#238827,#238828,#238829,#238830)); #42746=EDGE_LOOP('',(#238831)); #42747=EDGE_LOOP('',(#238832)); #42748=EDGE_LOOP('',(#238833)); #42749=EDGE_LOOP('',(#238834)); #42750=EDGE_LOOP('',(#238835,#238836,#238837,#238838)); #42751=EDGE_LOOP('',(#238839,#238840,#238841,#238842)); #42752=EDGE_LOOP('',(#238843)); #42753=EDGE_LOOP('',(#238844)); #42754=EDGE_LOOP('',(#238845)); #42755=EDGE_LOOP('',(#238846)); #42756=EDGE_LOOP('',(#238847,#238848,#238849,#238850)); #42757=EDGE_LOOP('',(#238851,#238852,#238853,#238854)); #42758=EDGE_LOOP('',(#238855)); #42759=EDGE_LOOP('',(#238856)); #42760=EDGE_LOOP('',(#238857)); #42761=EDGE_LOOP('',(#238858)); #42762=EDGE_LOOP('',(#238859,#238860,#238861,#238862)); #42763=EDGE_LOOP('',(#238863,#238864,#238865,#238866)); #42764=EDGE_LOOP('',(#238867)); #42765=EDGE_LOOP('',(#238868)); #42766=EDGE_LOOP('',(#238869)); #42767=EDGE_LOOP('',(#238870)); #42768=EDGE_LOOP('',(#238871,#238872,#238873,#238874)); #42769=EDGE_LOOP('',(#238875,#238876,#238877,#238878)); #42770=EDGE_LOOP('',(#238879)); #42771=EDGE_LOOP('',(#238880)); #42772=EDGE_LOOP('',(#238881)); #42773=EDGE_LOOP('',(#238882)); #42774=EDGE_LOOP('',(#238883,#238884,#238885,#238886)); #42775=EDGE_LOOP('',(#238887,#238888,#238889,#238890)); #42776=EDGE_LOOP('',(#238891)); #42777=EDGE_LOOP('',(#238892)); #42778=EDGE_LOOP('',(#238893)); #42779=EDGE_LOOP('',(#238894)); #42780=EDGE_LOOP('',(#238895,#238896,#238897,#238898)); #42781=EDGE_LOOP('',(#238899,#238900,#238901,#238902)); #42782=EDGE_LOOP('',(#238903)); #42783=EDGE_LOOP('',(#238904)); #42784=EDGE_LOOP('',(#238905)); #42785=EDGE_LOOP('',(#238906)); #42786=EDGE_LOOP('',(#238907,#238908,#238909,#238910)); #42787=EDGE_LOOP('',(#238911,#238912,#238913,#238914)); #42788=EDGE_LOOP('',(#238915)); #42789=EDGE_LOOP('',(#238916)); #42790=EDGE_LOOP('',(#238917)); #42791=EDGE_LOOP('',(#238918)); #42792=EDGE_LOOP('',(#238919,#238920,#238921,#238922)); #42793=EDGE_LOOP('',(#238923,#238924,#238925,#238926)); #42794=EDGE_LOOP('',(#238927)); #42795=EDGE_LOOP('',(#238928)); #42796=EDGE_LOOP('',(#238929)); #42797=EDGE_LOOP('',(#238930)); #42798=EDGE_LOOP('',(#238931,#238932,#238933,#238934)); #42799=EDGE_LOOP('',(#238935,#238936,#238937,#238938)); #42800=EDGE_LOOP('',(#238939)); #42801=EDGE_LOOP('',(#238940)); #42802=EDGE_LOOP('',(#238941)); #42803=EDGE_LOOP('',(#238942)); #42804=EDGE_LOOP('',(#238943,#238944,#238945,#238946)); #42805=EDGE_LOOP('',(#238947,#238948,#238949,#238950)); #42806=EDGE_LOOP('',(#238951)); #42807=EDGE_LOOP('',(#238952)); #42808=EDGE_LOOP('',(#238953)); #42809=EDGE_LOOP('',(#238954)); #42810=EDGE_LOOP('',(#238955,#238956,#238957,#238958)); #42811=EDGE_LOOP('',(#238959,#238960,#238961,#238962)); #42812=EDGE_LOOP('',(#238963)); #42813=EDGE_LOOP('',(#238964)); #42814=EDGE_LOOP('',(#238965)); #42815=EDGE_LOOP('',(#238966)); #42816=EDGE_LOOP('',(#238967,#238968,#238969,#238970)); #42817=EDGE_LOOP('',(#238971,#238972,#238973,#238974)); #42818=EDGE_LOOP('',(#238975)); #42819=EDGE_LOOP('',(#238976)); #42820=EDGE_LOOP('',(#238977)); #42821=EDGE_LOOP('',(#238978)); #42822=EDGE_LOOP('',(#238979,#238980,#238981,#238982)); #42823=EDGE_LOOP('',(#238983,#238984,#238985,#238986)); #42824=EDGE_LOOP('',(#238987)); #42825=EDGE_LOOP('',(#238988)); #42826=EDGE_LOOP('',(#238989)); #42827=EDGE_LOOP('',(#238990)); #42828=EDGE_LOOP('',(#238991,#238992,#238993,#238994)); #42829=EDGE_LOOP('',(#238995,#238996,#238997,#238998)); #42830=EDGE_LOOP('',(#238999)); #42831=EDGE_LOOP('',(#239000)); #42832=EDGE_LOOP('',(#239001)); #42833=EDGE_LOOP('',(#239002)); #42834=EDGE_LOOP('',(#239003,#239004,#239005,#239006)); #42835=EDGE_LOOP('',(#239007,#239008,#239009,#239010)); #42836=EDGE_LOOP('',(#239011)); #42837=EDGE_LOOP('',(#239012)); #42838=EDGE_LOOP('',(#239013)); #42839=EDGE_LOOP('',(#239014)); #42840=EDGE_LOOP('',(#239015,#239016,#239017,#239018)); #42841=EDGE_LOOP('',(#239019,#239020,#239021,#239022)); #42842=EDGE_LOOP('',(#239023)); #42843=EDGE_LOOP('',(#239024)); #42844=EDGE_LOOP('',(#239025)); #42845=EDGE_LOOP('',(#239026)); #42846=EDGE_LOOP('',(#239027,#239028,#239029,#239030)); #42847=EDGE_LOOP('',(#239031,#239032,#239033,#239034)); #42848=EDGE_LOOP('',(#239035)); #42849=EDGE_LOOP('',(#239036)); #42850=EDGE_LOOP('',(#239037)); #42851=EDGE_LOOP('',(#239038)); #42852=EDGE_LOOP('',(#239039,#239040,#239041,#239042)); #42853=EDGE_LOOP('',(#239043,#239044,#239045,#239046)); #42854=EDGE_LOOP('',(#239047)); #42855=EDGE_LOOP('',(#239048)); #42856=EDGE_LOOP('',(#239049)); #42857=EDGE_LOOP('',(#239050)); #42858=EDGE_LOOP('',(#239051,#239052,#239053,#239054)); #42859=EDGE_LOOP('',(#239055,#239056,#239057,#239058)); #42860=EDGE_LOOP('',(#239059)); #42861=EDGE_LOOP('',(#239060)); #42862=EDGE_LOOP('',(#239061)); #42863=EDGE_LOOP('',(#239062)); #42864=EDGE_LOOP('',(#239063,#239064,#239065,#239066)); #42865=EDGE_LOOP('',(#239067,#239068,#239069,#239070)); #42866=EDGE_LOOP('',(#239071)); #42867=EDGE_LOOP('',(#239072)); #42868=EDGE_LOOP('',(#239073)); #42869=EDGE_LOOP('',(#239074)); #42870=EDGE_LOOP('',(#239075,#239076,#239077,#239078)); #42871=EDGE_LOOP('',(#239079,#239080,#239081,#239082)); #42872=EDGE_LOOP('',(#239083)); #42873=EDGE_LOOP('',(#239084)); #42874=EDGE_LOOP('',(#239085)); #42875=EDGE_LOOP('',(#239086)); #42876=EDGE_LOOP('',(#239087,#239088,#239089,#239090)); #42877=EDGE_LOOP('',(#239091,#239092,#239093,#239094)); #42878=EDGE_LOOP('',(#239095)); #42879=EDGE_LOOP('',(#239096)); #42880=EDGE_LOOP('',(#239097)); #42881=EDGE_LOOP('',(#239098)); #42882=EDGE_LOOP('',(#239099,#239100,#239101,#239102)); #42883=EDGE_LOOP('',(#239103,#239104,#239105,#239106)); #42884=EDGE_LOOP('',(#239107)); #42885=EDGE_LOOP('',(#239108)); #42886=EDGE_LOOP('',(#239109)); #42887=EDGE_LOOP('',(#239110)); #42888=EDGE_LOOP('',(#239111,#239112,#239113,#239114)); #42889=EDGE_LOOP('',(#239115,#239116,#239117,#239118)); #42890=EDGE_LOOP('',(#239119)); #42891=EDGE_LOOP('',(#239120)); #42892=EDGE_LOOP('',(#239121)); #42893=EDGE_LOOP('',(#239122)); #42894=EDGE_LOOP('',(#239123,#239124,#239125,#239126)); #42895=EDGE_LOOP('',(#239127,#239128,#239129,#239130)); #42896=EDGE_LOOP('',(#239131)); #42897=EDGE_LOOP('',(#239132)); #42898=EDGE_LOOP('',(#239133)); #42899=EDGE_LOOP('',(#239134)); #42900=EDGE_LOOP('',(#239135,#239136,#239137,#239138)); #42901=EDGE_LOOP('',(#239139,#239140,#239141,#239142)); #42902=EDGE_LOOP('',(#239143)); #42903=EDGE_LOOP('',(#239144)); #42904=EDGE_LOOP('',(#239145)); #42905=EDGE_LOOP('',(#239146)); #42906=EDGE_LOOP('',(#239147,#239148,#239149,#239150)); #42907=EDGE_LOOP('',(#239151,#239152,#239153,#239154)); #42908=EDGE_LOOP('',(#239155)); #42909=EDGE_LOOP('',(#239156)); #42910=EDGE_LOOP('',(#239157)); #42911=EDGE_LOOP('',(#239158)); #42912=EDGE_LOOP('',(#239159,#239160,#239161,#239162)); #42913=EDGE_LOOP('',(#239163,#239164,#239165,#239166)); #42914=EDGE_LOOP('',(#239167)); #42915=EDGE_LOOP('',(#239168)); #42916=EDGE_LOOP('',(#239169)); #42917=EDGE_LOOP('',(#239170)); #42918=EDGE_LOOP('',(#239171,#239172,#239173,#239174)); #42919=EDGE_LOOP('',(#239175,#239176,#239177,#239178)); #42920=EDGE_LOOP('',(#239179)); #42921=EDGE_LOOP('',(#239180)); #42922=EDGE_LOOP('',(#239181)); #42923=EDGE_LOOP('',(#239182)); #42924=EDGE_LOOP('',(#239183,#239184,#239185,#239186)); #42925=EDGE_LOOP('',(#239187,#239188,#239189,#239190)); #42926=EDGE_LOOP('',(#239191)); #42927=EDGE_LOOP('',(#239192)); #42928=EDGE_LOOP('',(#239193)); #42929=EDGE_LOOP('',(#239194)); #42930=EDGE_LOOP('',(#239195,#239196,#239197,#239198)); #42931=EDGE_LOOP('',(#239199,#239200,#239201,#239202)); #42932=EDGE_LOOP('',(#239203)); #42933=EDGE_LOOP('',(#239204)); #42934=EDGE_LOOP('',(#239205)); #42935=EDGE_LOOP('',(#239206)); #42936=EDGE_LOOP('',(#239207,#239208,#239209,#239210)); #42937=EDGE_LOOP('',(#239211,#239212,#239213,#239214)); #42938=EDGE_LOOP('',(#239215)); #42939=EDGE_LOOP('',(#239216)); #42940=EDGE_LOOP('',(#239217)); #42941=EDGE_LOOP('',(#239218)); #42942=EDGE_LOOP('',(#239219,#239220,#239221,#239222)); #42943=EDGE_LOOP('',(#239223,#239224,#239225,#239226)); #42944=EDGE_LOOP('',(#239227)); #42945=EDGE_LOOP('',(#239228)); #42946=EDGE_LOOP('',(#239229)); #42947=EDGE_LOOP('',(#239230)); #42948=EDGE_LOOP('',(#239231,#239232,#239233,#239234)); #42949=EDGE_LOOP('',(#239235,#239236,#239237,#239238)); #42950=EDGE_LOOP('',(#239239)); #42951=EDGE_LOOP('',(#239240)); #42952=EDGE_LOOP('',(#239241)); #42953=EDGE_LOOP('',(#239242)); #42954=EDGE_LOOP('',(#239243,#239244,#239245,#239246)); #42955=EDGE_LOOP('',(#239247,#239248,#239249,#239250)); #42956=EDGE_LOOP('',(#239251)); #42957=EDGE_LOOP('',(#239252)); #42958=EDGE_LOOP('',(#239253)); #42959=EDGE_LOOP('',(#239254)); #42960=EDGE_LOOP('',(#239255,#239256,#239257,#239258)); #42961=EDGE_LOOP('',(#239259,#239260,#239261,#239262)); #42962=EDGE_LOOP('',(#239263)); #42963=EDGE_LOOP('',(#239264)); #42964=EDGE_LOOP('',(#239265)); #42965=EDGE_LOOP('',(#239266)); #42966=EDGE_LOOP('',(#239267,#239268,#239269,#239270)); #42967=EDGE_LOOP('',(#239271,#239272,#239273,#239274)); #42968=EDGE_LOOP('',(#239275)); #42969=EDGE_LOOP('',(#239276)); #42970=EDGE_LOOP('',(#239277)); #42971=EDGE_LOOP('',(#239278)); #42972=EDGE_LOOP('',(#239279,#239280,#239281,#239282)); #42973=EDGE_LOOP('',(#239283,#239284,#239285,#239286)); #42974=EDGE_LOOP('',(#239287)); #42975=EDGE_LOOP('',(#239288)); #42976=EDGE_LOOP('',(#239289)); #42977=EDGE_LOOP('',(#239290)); #42978=EDGE_LOOP('',(#239291,#239292,#239293,#239294)); #42979=EDGE_LOOP('',(#239295,#239296,#239297,#239298)); #42980=EDGE_LOOP('',(#239299)); #42981=EDGE_LOOP('',(#239300)); #42982=EDGE_LOOP('',(#239301)); #42983=EDGE_LOOP('',(#239302)); #42984=EDGE_LOOP('',(#239303,#239304,#239305,#239306)); #42985=EDGE_LOOP('',(#239307,#239308,#239309,#239310)); #42986=EDGE_LOOP('',(#239311)); #42987=EDGE_LOOP('',(#239312)); #42988=EDGE_LOOP('',(#239313)); #42989=EDGE_LOOP('',(#239314)); #42990=EDGE_LOOP('',(#239315,#239316,#239317,#239318)); #42991=EDGE_LOOP('',(#239319,#239320,#239321,#239322)); #42992=EDGE_LOOP('',(#239323)); #42993=EDGE_LOOP('',(#239324)); #42994=EDGE_LOOP('',(#239325)); #42995=EDGE_LOOP('',(#239326)); #42996=EDGE_LOOP('',(#239327,#239328,#239329,#239330)); #42997=EDGE_LOOP('',(#239331,#239332,#239333,#239334)); #42998=EDGE_LOOP('',(#239335)); #42999=EDGE_LOOP('',(#239336)); #43000=EDGE_LOOP('',(#239337)); #43001=EDGE_LOOP('',(#239338)); #43002=EDGE_LOOP('',(#239339,#239340,#239341,#239342)); #43003=EDGE_LOOP('',(#239343,#239344,#239345,#239346)); #43004=EDGE_LOOP('',(#239347)); #43005=EDGE_LOOP('',(#239348)); #43006=EDGE_LOOP('',(#239349)); #43007=EDGE_LOOP('',(#239350)); #43008=EDGE_LOOP('',(#239351,#239352,#239353,#239354)); #43009=EDGE_LOOP('',(#239355,#239356,#239357,#239358)); #43010=EDGE_LOOP('',(#239359)); #43011=EDGE_LOOP('',(#239360)); #43012=EDGE_LOOP('',(#239361)); #43013=EDGE_LOOP('',(#239362)); #43014=EDGE_LOOP('',(#239363,#239364,#239365,#239366)); #43015=EDGE_LOOP('',(#239367,#239368,#239369,#239370)); #43016=EDGE_LOOP('',(#239371)); #43017=EDGE_LOOP('',(#239372)); #43018=EDGE_LOOP('',(#239373)); #43019=EDGE_LOOP('',(#239374)); #43020=EDGE_LOOP('',(#239375,#239376,#239377,#239378)); #43021=EDGE_LOOP('',(#239379,#239380,#239381,#239382)); #43022=EDGE_LOOP('',(#239383)); #43023=EDGE_LOOP('',(#239384)); #43024=EDGE_LOOP('',(#239385)); #43025=EDGE_LOOP('',(#239386)); #43026=EDGE_LOOP('',(#239387,#239388,#239389,#239390)); #43027=EDGE_LOOP('',(#239391,#239392,#239393,#239394)); #43028=EDGE_LOOP('',(#239395)); #43029=EDGE_LOOP('',(#239396)); #43030=EDGE_LOOP('',(#239397)); #43031=EDGE_LOOP('',(#239398)); #43032=EDGE_LOOP('',(#239399,#239400,#239401,#239402)); #43033=EDGE_LOOP('',(#239403,#239404,#239405,#239406)); #43034=EDGE_LOOP('',(#239407)); #43035=EDGE_LOOP('',(#239408)); #43036=EDGE_LOOP('',(#239409)); #43037=EDGE_LOOP('',(#239410)); #43038=EDGE_LOOP('',(#239411,#239412,#239413,#239414)); #43039=EDGE_LOOP('',(#239415,#239416,#239417,#239418)); #43040=EDGE_LOOP('',(#239419)); #43041=EDGE_LOOP('',(#239420)); #43042=EDGE_LOOP('',(#239421)); #43043=EDGE_LOOP('',(#239422)); #43044=EDGE_LOOP('',(#239423,#239424,#239425,#239426)); #43045=EDGE_LOOP('',(#239427,#239428,#239429,#239430)); #43046=EDGE_LOOP('',(#239431)); #43047=EDGE_LOOP('',(#239432)); #43048=EDGE_LOOP('',(#239433)); #43049=EDGE_LOOP('',(#239434)); #43050=EDGE_LOOP('',(#239435,#239436,#239437,#239438)); #43051=EDGE_LOOP('',(#239439,#239440,#239441,#239442)); #43052=EDGE_LOOP('',(#239443)); #43053=EDGE_LOOP('',(#239444)); #43054=EDGE_LOOP('',(#239445)); #43055=EDGE_LOOP('',(#239446)); #43056=EDGE_LOOP('',(#239447,#239448,#239449,#239450)); #43057=EDGE_LOOP('',(#239451,#239452,#239453,#239454)); #43058=EDGE_LOOP('',(#239455)); #43059=EDGE_LOOP('',(#239456)); #43060=EDGE_LOOP('',(#239457)); #43061=EDGE_LOOP('',(#239458)); #43062=EDGE_LOOP('',(#239459,#239460,#239461,#239462)); #43063=EDGE_LOOP('',(#239463,#239464,#239465,#239466)); #43064=EDGE_LOOP('',(#239467)); #43065=EDGE_LOOP('',(#239468)); #43066=EDGE_LOOP('',(#239469)); #43067=EDGE_LOOP('',(#239470)); #43068=EDGE_LOOP('',(#239471,#239472,#239473,#239474)); #43069=EDGE_LOOP('',(#239475,#239476,#239477,#239478)); #43070=EDGE_LOOP('',(#239479)); #43071=EDGE_LOOP('',(#239480)); #43072=EDGE_LOOP('',(#239481)); #43073=EDGE_LOOP('',(#239482)); #43074=EDGE_LOOP('',(#239483,#239484,#239485,#239486)); #43075=EDGE_LOOP('',(#239487,#239488,#239489,#239490)); #43076=EDGE_LOOP('',(#239491)); #43077=EDGE_LOOP('',(#239492)); #43078=EDGE_LOOP('',(#239493)); #43079=EDGE_LOOP('',(#239494)); #43080=EDGE_LOOP('',(#239495,#239496,#239497,#239498)); #43081=EDGE_LOOP('',(#239499,#239500,#239501,#239502)); #43082=EDGE_LOOP('',(#239503)); #43083=EDGE_LOOP('',(#239504)); #43084=EDGE_LOOP('',(#239505)); #43085=EDGE_LOOP('',(#239506)); #43086=EDGE_LOOP('',(#239507,#239508,#239509,#239510)); #43087=EDGE_LOOP('',(#239511,#239512,#239513,#239514)); #43088=EDGE_LOOP('',(#239515)); #43089=EDGE_LOOP('',(#239516)); #43090=EDGE_LOOP('',(#239517)); #43091=EDGE_LOOP('',(#239518)); #43092=EDGE_LOOP('',(#239519,#239520,#239521,#239522)); #43093=EDGE_LOOP('',(#239523,#239524,#239525,#239526)); #43094=EDGE_LOOP('',(#239527)); #43095=EDGE_LOOP('',(#239528)); #43096=EDGE_LOOP('',(#239529)); #43097=EDGE_LOOP('',(#239530)); #43098=EDGE_LOOP('',(#239531,#239532,#239533,#239534)); #43099=EDGE_LOOP('',(#239535,#239536,#239537,#239538)); #43100=EDGE_LOOP('',(#239539)); #43101=EDGE_LOOP('',(#239540)); #43102=EDGE_LOOP('',(#239541)); #43103=EDGE_LOOP('',(#239542)); #43104=EDGE_LOOP('',(#239543,#239544,#239545,#239546)); #43105=EDGE_LOOP('',(#239547,#239548,#239549,#239550)); #43106=EDGE_LOOP('',(#239551)); #43107=EDGE_LOOP('',(#239552)); #43108=EDGE_LOOP('',(#239553)); #43109=EDGE_LOOP('',(#239554)); #43110=EDGE_LOOP('',(#239555,#239556,#239557,#239558)); #43111=EDGE_LOOP('',(#239559,#239560,#239561,#239562)); #43112=EDGE_LOOP('',(#239563)); #43113=EDGE_LOOP('',(#239564)); #43114=EDGE_LOOP('',(#239565)); #43115=EDGE_LOOP('',(#239566)); #43116=EDGE_LOOP('',(#239567,#239568,#239569,#239570)); #43117=EDGE_LOOP('',(#239571,#239572,#239573,#239574)); #43118=EDGE_LOOP('',(#239575)); #43119=EDGE_LOOP('',(#239576)); #43120=EDGE_LOOP('',(#239577)); #43121=EDGE_LOOP('',(#239578)); #43122=EDGE_LOOP('',(#239579,#239580,#239581,#239582)); #43123=EDGE_LOOP('',(#239583,#239584,#239585,#239586)); #43124=EDGE_LOOP('',(#239587)); #43125=EDGE_LOOP('',(#239588)); #43126=EDGE_LOOP('',(#239589)); #43127=EDGE_LOOP('',(#239590)); #43128=EDGE_LOOP('',(#239591,#239592,#239593,#239594)); #43129=EDGE_LOOP('',(#239595,#239596,#239597,#239598)); #43130=EDGE_LOOP('',(#239599)); #43131=EDGE_LOOP('',(#239600)); #43132=EDGE_LOOP('',(#239601)); #43133=EDGE_LOOP('',(#239602)); #43134=EDGE_LOOP('',(#239603,#239604,#239605,#239606)); #43135=EDGE_LOOP('',(#239607,#239608,#239609,#239610)); #43136=EDGE_LOOP('',(#239611)); #43137=EDGE_LOOP('',(#239612)); #43138=EDGE_LOOP('',(#239613)); #43139=EDGE_LOOP('',(#239614)); #43140=EDGE_LOOP('',(#239615,#239616,#239617,#239618)); #43141=EDGE_LOOP('',(#239619,#239620,#239621,#239622)); #43142=EDGE_LOOP('',(#239623)); #43143=EDGE_LOOP('',(#239624)); #43144=EDGE_LOOP('',(#239625)); #43145=EDGE_LOOP('',(#239626)); #43146=EDGE_LOOP('',(#239627,#239628,#239629,#239630)); #43147=EDGE_LOOP('',(#239631,#239632,#239633,#239634)); #43148=EDGE_LOOP('',(#239635)); #43149=EDGE_LOOP('',(#239636)); #43150=EDGE_LOOP('',(#239637)); #43151=EDGE_LOOP('',(#239638)); #43152=EDGE_LOOP('',(#239639,#239640,#239641,#239642)); #43153=EDGE_LOOP('',(#239643,#239644,#239645,#239646)); #43154=EDGE_LOOP('',(#239647)); #43155=EDGE_LOOP('',(#239648)); #43156=EDGE_LOOP('',(#239649)); #43157=EDGE_LOOP('',(#239650)); #43158=EDGE_LOOP('',(#239651,#239652,#239653,#239654)); #43159=EDGE_LOOP('',(#239655,#239656,#239657,#239658)); #43160=EDGE_LOOP('',(#239659)); #43161=EDGE_LOOP('',(#239660)); #43162=EDGE_LOOP('',(#239661)); #43163=EDGE_LOOP('',(#239662)); #43164=EDGE_LOOP('',(#239663,#239664,#239665,#239666)); #43165=EDGE_LOOP('',(#239667,#239668,#239669,#239670)); #43166=EDGE_LOOP('',(#239671)); #43167=EDGE_LOOP('',(#239672)); #43168=EDGE_LOOP('',(#239673)); #43169=EDGE_LOOP('',(#239674)); #43170=EDGE_LOOP('',(#239675,#239676,#239677,#239678)); #43171=EDGE_LOOP('',(#239679,#239680,#239681,#239682)); #43172=EDGE_LOOP('',(#239683)); #43173=EDGE_LOOP('',(#239684)); #43174=EDGE_LOOP('',(#239685)); #43175=EDGE_LOOP('',(#239686)); #43176=EDGE_LOOP('',(#239687,#239688,#239689,#239690)); #43177=EDGE_LOOP('',(#239691,#239692,#239693,#239694)); #43178=EDGE_LOOP('',(#239695)); #43179=EDGE_LOOP('',(#239696)); #43180=EDGE_LOOP('',(#239697)); #43181=EDGE_LOOP('',(#239698)); #43182=EDGE_LOOP('',(#239699,#239700,#239701,#239702)); #43183=EDGE_LOOP('',(#239703,#239704,#239705,#239706)); #43184=EDGE_LOOP('',(#239707)); #43185=EDGE_LOOP('',(#239708)); #43186=EDGE_LOOP('',(#239709)); #43187=EDGE_LOOP('',(#239710)); #43188=EDGE_LOOP('',(#239711,#239712,#239713,#239714)); #43189=EDGE_LOOP('',(#239715,#239716,#239717,#239718)); #43190=EDGE_LOOP('',(#239719)); #43191=EDGE_LOOP('',(#239720)); #43192=EDGE_LOOP('',(#239721)); #43193=EDGE_LOOP('',(#239722)); #43194=EDGE_LOOP('',(#239723,#239724,#239725,#239726)); #43195=EDGE_LOOP('',(#239727,#239728,#239729,#239730)); #43196=EDGE_LOOP('',(#239731)); #43197=EDGE_LOOP('',(#239732)); #43198=EDGE_LOOP('',(#239733)); #43199=EDGE_LOOP('',(#239734)); #43200=EDGE_LOOP('',(#239735,#239736,#239737,#239738)); #43201=EDGE_LOOP('',(#239739,#239740,#239741,#239742)); #43202=EDGE_LOOP('',(#239743)); #43203=EDGE_LOOP('',(#239744)); #43204=EDGE_LOOP('',(#239745)); #43205=EDGE_LOOP('',(#239746)); #43206=EDGE_LOOP('',(#239747,#239748,#239749,#239750)); #43207=EDGE_LOOP('',(#239751,#239752,#239753,#239754)); #43208=EDGE_LOOP('',(#239755)); #43209=EDGE_LOOP('',(#239756)); #43210=EDGE_LOOP('',(#239757)); #43211=EDGE_LOOP('',(#239758)); #43212=EDGE_LOOP('',(#239759,#239760,#239761,#239762)); #43213=EDGE_LOOP('',(#239763,#239764,#239765,#239766)); #43214=EDGE_LOOP('',(#239767)); #43215=EDGE_LOOP('',(#239768)); #43216=EDGE_LOOP('',(#239769)); #43217=EDGE_LOOP('',(#239770)); #43218=EDGE_LOOP('',(#239771,#239772,#239773,#239774)); #43219=EDGE_LOOP('',(#239775,#239776,#239777,#239778)); #43220=EDGE_LOOP('',(#239779)); #43221=EDGE_LOOP('',(#239780)); #43222=EDGE_LOOP('',(#239781)); #43223=EDGE_LOOP('',(#239782)); #43224=EDGE_LOOP('',(#239783,#239784,#239785,#239786)); #43225=EDGE_LOOP('',(#239787,#239788,#239789,#239790)); #43226=EDGE_LOOP('',(#239791)); #43227=EDGE_LOOP('',(#239792)); #43228=EDGE_LOOP('',(#239793)); #43229=EDGE_LOOP('',(#239794)); #43230=EDGE_LOOP('',(#239795,#239796,#239797,#239798)); #43231=EDGE_LOOP('',(#239799,#239800,#239801,#239802)); #43232=EDGE_LOOP('',(#239803)); #43233=EDGE_LOOP('',(#239804)); #43234=EDGE_LOOP('',(#239805)); #43235=EDGE_LOOP('',(#239806)); #43236=EDGE_LOOP('',(#239807,#239808,#239809,#239810)); #43237=EDGE_LOOP('',(#239811,#239812,#239813,#239814)); #43238=EDGE_LOOP('',(#239815)); #43239=EDGE_LOOP('',(#239816)); #43240=EDGE_LOOP('',(#239817)); #43241=EDGE_LOOP('',(#239818)); #43242=EDGE_LOOP('',(#239819,#239820,#239821,#239822)); #43243=EDGE_LOOP('',(#239823,#239824,#239825,#239826)); #43244=EDGE_LOOP('',(#239827)); #43245=EDGE_LOOP('',(#239828)); #43246=EDGE_LOOP('',(#239829)); #43247=EDGE_LOOP('',(#239830)); #43248=EDGE_LOOP('',(#239831,#239832,#239833,#239834)); #43249=EDGE_LOOP('',(#239835,#239836,#239837,#239838)); #43250=EDGE_LOOP('',(#239839)); #43251=EDGE_LOOP('',(#239840)); #43252=EDGE_LOOP('',(#239841)); #43253=EDGE_LOOP('',(#239842)); #43254=EDGE_LOOP('',(#239843,#239844,#239845,#239846)); #43255=EDGE_LOOP('',(#239847,#239848,#239849,#239850)); #43256=EDGE_LOOP('',(#239851)); #43257=EDGE_LOOP('',(#239852)); #43258=EDGE_LOOP('',(#239853)); #43259=EDGE_LOOP('',(#239854)); #43260=EDGE_LOOP('',(#239855,#239856,#239857,#239858)); #43261=EDGE_LOOP('',(#239859,#239860,#239861,#239862)); #43262=EDGE_LOOP('',(#239863)); #43263=EDGE_LOOP('',(#239864)); #43264=EDGE_LOOP('',(#239865)); #43265=EDGE_LOOP('',(#239866)); #43266=EDGE_LOOP('',(#239867,#239868,#239869,#239870)); #43267=EDGE_LOOP('',(#239871,#239872,#239873,#239874)); #43268=EDGE_LOOP('',(#239875)); #43269=EDGE_LOOP('',(#239876)); #43270=EDGE_LOOP('',(#239877)); #43271=EDGE_LOOP('',(#239878)); #43272=EDGE_LOOP('',(#239879,#239880,#239881,#239882)); #43273=EDGE_LOOP('',(#239883,#239884,#239885,#239886)); #43274=EDGE_LOOP('',(#239887)); #43275=EDGE_LOOP('',(#239888)); #43276=EDGE_LOOP('',(#239889)); #43277=EDGE_LOOP('',(#239890)); #43278=EDGE_LOOP('',(#239891,#239892,#239893,#239894)); #43279=EDGE_LOOP('',(#239895,#239896,#239897,#239898)); #43280=EDGE_LOOP('',(#239899)); #43281=EDGE_LOOP('',(#239900)); #43282=EDGE_LOOP('',(#239901)); #43283=EDGE_LOOP('',(#239902)); #43284=EDGE_LOOP('',(#239903,#239904,#239905,#239906)); #43285=EDGE_LOOP('',(#239907,#239908,#239909,#239910)); #43286=EDGE_LOOP('',(#239911)); #43287=EDGE_LOOP('',(#239912)); #43288=EDGE_LOOP('',(#239913)); #43289=EDGE_LOOP('',(#239914)); #43290=EDGE_LOOP('',(#239915,#239916,#239917,#239918)); #43291=EDGE_LOOP('',(#239919,#239920,#239921,#239922)); #43292=EDGE_LOOP('',(#239923)); #43293=EDGE_LOOP('',(#239924)); #43294=EDGE_LOOP('',(#239925)); #43295=EDGE_LOOP('',(#239926)); #43296=EDGE_LOOP('',(#239927,#239928,#239929,#239930)); #43297=EDGE_LOOP('',(#239931,#239932,#239933,#239934)); #43298=EDGE_LOOP('',(#239935)); #43299=EDGE_LOOP('',(#239936)); #43300=EDGE_LOOP('',(#239937)); #43301=EDGE_LOOP('',(#239938)); #43302=EDGE_LOOP('',(#239939,#239940,#239941,#239942)); #43303=EDGE_LOOP('',(#239943,#239944,#239945,#239946)); #43304=EDGE_LOOP('',(#239947)); #43305=EDGE_LOOP('',(#239948)); #43306=EDGE_LOOP('',(#239949)); #43307=EDGE_LOOP('',(#239950)); #43308=EDGE_LOOP('',(#239951,#239952,#239953,#239954)); #43309=EDGE_LOOP('',(#239955,#239956,#239957,#239958)); #43310=EDGE_LOOP('',(#239959)); #43311=EDGE_LOOP('',(#239960)); #43312=EDGE_LOOP('',(#239961)); #43313=EDGE_LOOP('',(#239962)); #43314=EDGE_LOOP('',(#239963,#239964,#239965,#239966)); #43315=EDGE_LOOP('',(#239967,#239968,#239969,#239970)); #43316=EDGE_LOOP('',(#239971)); #43317=EDGE_LOOP('',(#239972)); #43318=EDGE_LOOP('',(#239973)); #43319=EDGE_LOOP('',(#239974)); #43320=EDGE_LOOP('',(#239975,#239976,#239977,#239978)); #43321=EDGE_LOOP('',(#239979,#239980,#239981,#239982)); #43322=EDGE_LOOP('',(#239983)); #43323=EDGE_LOOP('',(#239984)); #43324=EDGE_LOOP('',(#239985)); #43325=EDGE_LOOP('',(#239986)); #43326=EDGE_LOOP('',(#239987,#239988,#239989,#239990)); #43327=EDGE_LOOP('',(#239991,#239992,#239993,#239994)); #43328=EDGE_LOOP('',(#239995)); #43329=EDGE_LOOP('',(#239996)); #43330=EDGE_LOOP('',(#239997)); #43331=EDGE_LOOP('',(#239998)); #43332=EDGE_LOOP('',(#239999,#240000,#240001,#240002)); #43333=EDGE_LOOP('',(#240003,#240004,#240005,#240006)); #43334=EDGE_LOOP('',(#240007,#240008,#240009,#240010)); #43335=EDGE_LOOP('',(#240011,#240012,#240013,#240014)); #43336=EDGE_LOOP('',(#240015,#240016,#240017,#240018)); #43337=EDGE_LOOP('',(#240019,#240020,#240021,#240022)); #43338=EDGE_LOOP('',(#240023,#240024,#240025,#240026)); #43339=EDGE_LOOP('',(#240027,#240028,#240029,#240030)); #43340=EDGE_LOOP('',(#240031,#240032,#240033,#240034)); #43341=EDGE_LOOP('',(#240035,#240036,#240037,#240038)); #43342=EDGE_LOOP('',(#240039,#240040,#240041,#240042)); #43343=EDGE_LOOP('',(#240043,#240044,#240045,#240046)); #43344=EDGE_LOOP('',(#240047,#240048,#240049,#240050)); #43345=EDGE_LOOP('',(#240051,#240052,#240053,#240054)); #43346=EDGE_LOOP('',(#240055)); #43347=EDGE_LOOP('',(#240056)); #43348=EDGE_LOOP('',(#240057)); #43349=EDGE_LOOP('',(#240058)); #43350=EDGE_LOOP('',(#240059,#240060,#240061,#240062)); #43351=EDGE_LOOP('',(#240063,#240064,#240065,#240066)); #43352=EDGE_LOOP('',(#240067,#240068,#240069,#240070)); #43353=EDGE_LOOP('',(#240071,#240072,#240073,#240074)); #43354=EDGE_LOOP('',(#240075,#240076,#240077,#240078)); #43355=EDGE_LOOP('',(#240079,#240080,#240081,#240082)); #43356=EDGE_LOOP('',(#240083,#240084,#240085,#240086)); #43357=EDGE_LOOP('',(#240087,#240088,#240089,#240090)); #43358=EDGE_LOOP('',(#240091,#240092,#240093,#240094)); #43359=EDGE_LOOP('',(#240095,#240096,#240097,#240098)); #43360=EDGE_LOOP('',(#240099,#240100,#240101,#240102)); #43361=EDGE_LOOP('',(#240103,#240104,#240105,#240106)); #43362=EDGE_LOOP('',(#240107,#240108,#240109,#240110)); #43363=EDGE_LOOP('',(#240111,#240112,#240113,#240114)); #43364=EDGE_LOOP('',(#240115)); #43365=EDGE_LOOP('',(#240116)); #43366=EDGE_LOOP('',(#240117)); #43367=EDGE_LOOP('',(#240118)); #43368=EDGE_LOOP('',(#240119,#240120,#240121,#240122)); #43369=EDGE_LOOP('',(#240123,#240124,#240125,#240126)); #43370=EDGE_LOOP('',(#240127,#240128,#240129,#240130)); #43371=EDGE_LOOP('',(#240131,#240132,#240133,#240134)); #43372=EDGE_LOOP('',(#240135,#240136,#240137,#240138)); #43373=EDGE_LOOP('',(#240139,#240140,#240141,#240142)); #43374=EDGE_LOOP('',(#240143,#240144,#240145,#240146)); #43375=EDGE_LOOP('',(#240147,#240148,#240149,#240150)); #43376=EDGE_LOOP('',(#240151,#240152,#240153,#240154)); #43377=EDGE_LOOP('',(#240155,#240156,#240157,#240158)); #43378=EDGE_LOOP('',(#240159,#240160,#240161,#240162)); #43379=EDGE_LOOP('',(#240163,#240164,#240165,#240166)); #43380=EDGE_LOOP('',(#240167,#240168,#240169,#240170)); #43381=EDGE_LOOP('',(#240171,#240172,#240173,#240174)); #43382=EDGE_LOOP('',(#240175)); #43383=EDGE_LOOP('',(#240176)); #43384=EDGE_LOOP('',(#240177)); #43385=EDGE_LOOP('',(#240178)); #43386=EDGE_LOOP('',(#240179,#240180,#240181,#240182)); #43387=EDGE_LOOP('',(#240183,#240184,#240185,#240186)); #43388=EDGE_LOOP('',(#240187)); #43389=EDGE_LOOP('',(#240188)); #43390=EDGE_LOOP('',(#240189)); #43391=EDGE_LOOP('',(#240190)); #43392=EDGE_LOOP('',(#240191,#240192,#240193,#240194)); #43393=EDGE_LOOP('',(#240195,#240196,#240197,#240198)); #43394=EDGE_LOOP('',(#240199)); #43395=EDGE_LOOP('',(#240200)); #43396=EDGE_LOOP('',(#240201)); #43397=EDGE_LOOP('',(#240202)); #43398=EDGE_LOOP('',(#240203,#240204,#240205,#240206)); #43399=EDGE_LOOP('',(#240207,#240208,#240209,#240210)); #43400=EDGE_LOOP('',(#240211)); #43401=EDGE_LOOP('',(#240212)); #43402=EDGE_LOOP('',(#240213)); #43403=EDGE_LOOP('',(#240214)); #43404=EDGE_LOOP('',(#240215,#240216,#240217,#240218)); #43405=EDGE_LOOP('',(#240219,#240220,#240221,#240222)); #43406=EDGE_LOOP('',(#240223)); #43407=EDGE_LOOP('',(#240224)); #43408=EDGE_LOOP('',(#240225)); #43409=EDGE_LOOP('',(#240226)); #43410=EDGE_LOOP('',(#240227,#240228,#240229,#240230)); #43411=EDGE_LOOP('',(#240231,#240232,#240233,#240234)); #43412=EDGE_LOOP('',(#240235)); #43413=EDGE_LOOP('',(#240236)); #43414=EDGE_LOOP('',(#240237)); #43415=EDGE_LOOP('',(#240238)); #43416=EDGE_LOOP('',(#240239,#240240,#240241,#240242)); #43417=EDGE_LOOP('',(#240243,#240244,#240245,#240246)); #43418=EDGE_LOOP('',(#240247)); #43419=EDGE_LOOP('',(#240248)); #43420=EDGE_LOOP('',(#240249)); #43421=EDGE_LOOP('',(#240250)); #43422=EDGE_LOOP('',(#240251,#240252,#240253,#240254)); #43423=EDGE_LOOP('',(#240255,#240256,#240257,#240258)); #43424=EDGE_LOOP('',(#240259)); #43425=EDGE_LOOP('',(#240260)); #43426=EDGE_LOOP('',(#240261)); #43427=EDGE_LOOP('',(#240262)); #43428=EDGE_LOOP('',(#240263,#240264,#240265,#240266)); #43429=EDGE_LOOP('',(#240267,#240268,#240269,#240270)); #43430=EDGE_LOOP('',(#240271)); #43431=EDGE_LOOP('',(#240272)); #43432=EDGE_LOOP('',(#240273)); #43433=EDGE_LOOP('',(#240274)); #43434=EDGE_LOOP('',(#240275,#240276,#240277,#240278)); #43435=EDGE_LOOP('',(#240279,#240280,#240281,#240282)); #43436=EDGE_LOOP('',(#240283)); #43437=EDGE_LOOP('',(#240284)); #43438=EDGE_LOOP('',(#240285)); #43439=EDGE_LOOP('',(#240286)); #43440=EDGE_LOOP('',(#240287,#240288,#240289,#240290)); #43441=EDGE_LOOP('',(#240291,#240292,#240293,#240294)); #43442=EDGE_LOOP('',(#240295,#240296,#240297,#240298)); #43443=EDGE_LOOP('',(#240299,#240300,#240301,#240302)); #43444=EDGE_LOOP('',(#240303,#240304,#240305,#240306)); #43445=EDGE_LOOP('',(#240307,#240308,#240309,#240310)); #43446=EDGE_LOOP('',(#240311,#240312,#240313,#240314)); #43447=EDGE_LOOP('',(#240315,#240316,#240317,#240318)); #43448=EDGE_LOOP('',(#240319,#240320,#240321,#240322)); #43449=EDGE_LOOP('',(#240323,#240324,#240325,#240326)); #43450=EDGE_LOOP('',(#240327,#240328,#240329,#240330)); #43451=EDGE_LOOP('',(#240331,#240332,#240333,#240334)); #43452=EDGE_LOOP('',(#240335,#240336,#240337,#240338)); #43453=EDGE_LOOP('',(#240339,#240340,#240341,#240342)); #43454=EDGE_LOOP('',(#240343)); #43455=EDGE_LOOP('',(#240344)); #43456=EDGE_LOOP('',(#240345)); #43457=EDGE_LOOP('',(#240346)); #43458=EDGE_LOOP('',(#240347,#240348,#240349,#240350)); #43459=EDGE_LOOP('',(#240351,#240352,#240353,#240354)); #43460=EDGE_LOOP('',(#240355)); #43461=EDGE_LOOP('',(#240356)); #43462=EDGE_LOOP('',(#240357)); #43463=EDGE_LOOP('',(#240358)); #43464=EDGE_LOOP('',(#240359,#240360,#240361,#240362)); #43465=EDGE_LOOP('',(#240363,#240364,#240365,#240366)); #43466=EDGE_LOOP('',(#240367)); #43467=EDGE_LOOP('',(#240368)); #43468=EDGE_LOOP('',(#240369)); #43469=EDGE_LOOP('',(#240370)); #43470=EDGE_LOOP('',(#240371,#240372,#240373,#240374)); #43471=EDGE_LOOP('',(#240375,#240376,#240377,#240378)); #43472=EDGE_LOOP('',(#240379,#240380,#240381,#240382)); #43473=EDGE_LOOP('',(#240383,#240384,#240385,#240386)); #43474=EDGE_LOOP('',(#240387,#240388,#240389,#240390)); #43475=EDGE_LOOP('',(#240391,#240392,#240393,#240394)); #43476=EDGE_LOOP('',(#240395,#240396,#240397,#240398)); #43477=EDGE_LOOP('',(#240399,#240400,#240401,#240402)); #43478=EDGE_LOOP('',(#240403,#240404,#240405,#240406)); #43479=EDGE_LOOP('',(#240407,#240408,#240409,#240410)); #43480=EDGE_LOOP('',(#240411,#240412,#240413,#240414)); #43481=EDGE_LOOP('',(#240415,#240416,#240417,#240418)); #43482=EDGE_LOOP('',(#240419,#240420,#240421,#240422)); #43483=EDGE_LOOP('',(#240423,#240424,#240425,#240426)); #43484=EDGE_LOOP('',(#240427)); #43485=EDGE_LOOP('',(#240428)); #43486=EDGE_LOOP('',(#240429)); #43487=EDGE_LOOP('',(#240430)); #43488=EDGE_LOOP('',(#240431,#240432,#240433,#240434)); #43489=EDGE_LOOP('',(#240435,#240436,#240437,#240438)); #43490=EDGE_LOOP('',(#240439,#240440,#240441,#240442)); #43491=EDGE_LOOP('',(#240443,#240444,#240445,#240446)); #43492=EDGE_LOOP('',(#240447,#240448,#240449,#240450)); #43493=EDGE_LOOP('',(#240451,#240452,#240453,#240454)); #43494=EDGE_LOOP('',(#240455,#240456,#240457,#240458)); #43495=EDGE_LOOP('',(#240459,#240460,#240461,#240462)); #43496=EDGE_LOOP('',(#240463,#240464,#240465,#240466)); #43497=EDGE_LOOP('',(#240467,#240468,#240469,#240470)); #43498=EDGE_LOOP('',(#240471,#240472,#240473,#240474)); #43499=EDGE_LOOP('',(#240475,#240476,#240477,#240478)); #43500=EDGE_LOOP('',(#240479,#240480,#240481,#240482)); #43501=EDGE_LOOP('',(#240483,#240484,#240485,#240486)); #43502=EDGE_LOOP('',(#240487)); #43503=EDGE_LOOP('',(#240488)); #43504=EDGE_LOOP('',(#240489)); #43505=EDGE_LOOP('',(#240490)); #43506=EDGE_LOOP('',(#240491,#240492,#240493,#240494)); #43507=EDGE_LOOP('',(#240495,#240496,#240497,#240498)); #43508=EDGE_LOOP('',(#240499)); #43509=EDGE_LOOP('',(#240500)); #43510=EDGE_LOOP('',(#240501)); #43511=EDGE_LOOP('',(#240502)); #43512=EDGE_LOOP('',(#240503,#240504,#240505,#240506)); #43513=EDGE_LOOP('',(#240507,#240508,#240509,#240510)); #43514=EDGE_LOOP('',(#240511)); #43515=EDGE_LOOP('',(#240512)); #43516=EDGE_LOOP('',(#240513)); #43517=EDGE_LOOP('',(#240514)); #43518=EDGE_LOOP('',(#240515,#240516,#240517,#240518)); #43519=EDGE_LOOP('',(#240519,#240520,#240521,#240522)); #43520=EDGE_LOOP('',(#240523)); #43521=EDGE_LOOP('',(#240524)); #43522=EDGE_LOOP('',(#240525)); #43523=EDGE_LOOP('',(#240526)); #43524=EDGE_LOOP('',(#240527,#240528,#240529,#240530)); #43525=EDGE_LOOP('',(#240531,#240532,#240533,#240534)); #43526=EDGE_LOOP('',(#240535)); #43527=EDGE_LOOP('',(#240536)); #43528=EDGE_LOOP('',(#240537)); #43529=EDGE_LOOP('',(#240538)); #43530=EDGE_LOOP('',(#240539,#240540,#240541,#240542)); #43531=EDGE_LOOP('',(#240543,#240544,#240545,#240546)); #43532=EDGE_LOOP('',(#240547)); #43533=EDGE_LOOP('',(#240548)); #43534=EDGE_LOOP('',(#240549)); #43535=EDGE_LOOP('',(#240550)); #43536=EDGE_LOOP('',(#240551,#240552,#240553,#240554)); #43537=EDGE_LOOP('',(#240555,#240556,#240557,#240558)); #43538=EDGE_LOOP('',(#240559)); #43539=EDGE_LOOP('',(#240560)); #43540=EDGE_LOOP('',(#240561)); #43541=EDGE_LOOP('',(#240562)); #43542=EDGE_LOOP('',(#240563,#240564,#240565,#240566)); #43543=EDGE_LOOP('',(#240567,#240568,#240569,#240570)); #43544=EDGE_LOOP('',(#240571)); #43545=EDGE_LOOP('',(#240572)); #43546=EDGE_LOOP('',(#240573)); #43547=EDGE_LOOP('',(#240574)); #43548=EDGE_LOOP('',(#240575,#240576,#240577,#240578)); #43549=EDGE_LOOP('',(#240579,#240580,#240581,#240582)); #43550=EDGE_LOOP('',(#240583)); #43551=EDGE_LOOP('',(#240584)); #43552=EDGE_LOOP('',(#240585)); #43553=EDGE_LOOP('',(#240586)); #43554=EDGE_LOOP('',(#240587,#240588,#240589,#240590)); #43555=EDGE_LOOP('',(#240591,#240592,#240593,#240594)); #43556=EDGE_LOOP('',(#240595)); #43557=EDGE_LOOP('',(#240596)); #43558=EDGE_LOOP('',(#240597)); #43559=EDGE_LOOP('',(#240598)); #43560=EDGE_LOOP('',(#240599,#240600,#240601,#240602)); #43561=EDGE_LOOP('',(#240603,#240604,#240605,#240606)); #43562=EDGE_LOOP('',(#240607)); #43563=EDGE_LOOP('',(#240608)); #43564=EDGE_LOOP('',(#240609)); #43565=EDGE_LOOP('',(#240610)); #43566=EDGE_LOOP('',(#240611,#240612,#240613,#240614)); #43567=EDGE_LOOP('',(#240615,#240616,#240617,#240618)); #43568=EDGE_LOOP('',(#240619)); #43569=EDGE_LOOP('',(#240620)); #43570=EDGE_LOOP('',(#240621)); #43571=EDGE_LOOP('',(#240622)); #43572=EDGE_LOOP('',(#240623,#240624,#240625,#240626)); #43573=EDGE_LOOP('',(#240627,#240628,#240629,#240630)); #43574=EDGE_LOOP('',(#240631)); #43575=EDGE_LOOP('',(#240632)); #43576=EDGE_LOOP('',(#240633)); #43577=EDGE_LOOP('',(#240634)); #43578=EDGE_LOOP('',(#240635,#240636,#240637,#240638)); #43579=EDGE_LOOP('',(#240639,#240640,#240641,#240642)); #43580=EDGE_LOOP('',(#240643)); #43581=EDGE_LOOP('',(#240644)); #43582=EDGE_LOOP('',(#240645)); #43583=EDGE_LOOP('',(#240646)); #43584=EDGE_LOOP('',(#240647,#240648,#240649,#240650)); #43585=EDGE_LOOP('',(#240651,#240652,#240653,#240654)); #43586=EDGE_LOOP('',(#240655)); #43587=EDGE_LOOP('',(#240656)); #43588=EDGE_LOOP('',(#240657)); #43589=EDGE_LOOP('',(#240658)); #43590=EDGE_LOOP('',(#240659,#240660,#240661,#240662)); #43591=EDGE_LOOP('',(#240663,#240664,#240665,#240666)); #43592=EDGE_LOOP('',(#240667)); #43593=EDGE_LOOP('',(#240668)); #43594=EDGE_LOOP('',(#240669)); #43595=EDGE_LOOP('',(#240670)); #43596=EDGE_LOOP('',(#240671,#240672,#240673,#240674)); #43597=EDGE_LOOP('',(#240675,#240676,#240677,#240678)); #43598=EDGE_LOOP('',(#240679)); #43599=EDGE_LOOP('',(#240680)); #43600=EDGE_LOOP('',(#240681)); #43601=EDGE_LOOP('',(#240682)); #43602=EDGE_LOOP('',(#240683,#240684,#240685,#240686)); #43603=EDGE_LOOP('',(#240687,#240688,#240689,#240690)); #43604=EDGE_LOOP('',(#240691)); #43605=EDGE_LOOP('',(#240692)); #43606=EDGE_LOOP('',(#240693)); #43607=EDGE_LOOP('',(#240694)); #43608=EDGE_LOOP('',(#240695,#240696,#240697,#240698)); #43609=EDGE_LOOP('',(#240699,#240700,#240701,#240702)); #43610=EDGE_LOOP('',(#240703)); #43611=EDGE_LOOP('',(#240704)); #43612=EDGE_LOOP('',(#240705)); #43613=EDGE_LOOP('',(#240706)); #43614=EDGE_LOOP('',(#240707,#240708,#240709,#240710)); #43615=EDGE_LOOP('',(#240711,#240712,#240713,#240714)); #43616=EDGE_LOOP('',(#240715)); #43617=EDGE_LOOP('',(#240716)); #43618=EDGE_LOOP('',(#240717)); #43619=EDGE_LOOP('',(#240718)); #43620=EDGE_LOOP('',(#240719,#240720,#240721,#240722)); #43621=EDGE_LOOP('',(#240723,#240724,#240725,#240726)); #43622=EDGE_LOOP('',(#240727)); #43623=EDGE_LOOP('',(#240728)); #43624=EDGE_LOOP('',(#240729)); #43625=EDGE_LOOP('',(#240730)); #43626=EDGE_LOOP('',(#240731,#240732,#240733,#240734)); #43627=EDGE_LOOP('',(#240735,#240736,#240737,#240738)); #43628=EDGE_LOOP('',(#240739)); #43629=EDGE_LOOP('',(#240740)); #43630=EDGE_LOOP('',(#240741)); #43631=EDGE_LOOP('',(#240742)); #43632=EDGE_LOOP('',(#240743,#240744,#240745,#240746)); #43633=EDGE_LOOP('',(#240747,#240748,#240749,#240750)); #43634=EDGE_LOOP('',(#240751)); #43635=EDGE_LOOP('',(#240752)); #43636=EDGE_LOOP('',(#240753)); #43637=EDGE_LOOP('',(#240754)); #43638=EDGE_LOOP('',(#240755,#240756,#240757,#240758)); #43639=EDGE_LOOP('',(#240759,#240760,#240761,#240762)); #43640=EDGE_LOOP('',(#240763)); #43641=EDGE_LOOP('',(#240764)); #43642=EDGE_LOOP('',(#240765)); #43643=EDGE_LOOP('',(#240766)); #43644=EDGE_LOOP('',(#240767,#240768,#240769,#240770)); #43645=EDGE_LOOP('',(#240771,#240772,#240773,#240774)); #43646=EDGE_LOOP('',(#240775)); #43647=EDGE_LOOP('',(#240776)); #43648=EDGE_LOOP('',(#240777)); #43649=EDGE_LOOP('',(#240778)); #43650=EDGE_LOOP('',(#240779,#240780,#240781,#240782)); #43651=EDGE_LOOP('',(#240783,#240784,#240785,#240786)); #43652=EDGE_LOOP('',(#240787)); #43653=EDGE_LOOP('',(#240788)); #43654=EDGE_LOOP('',(#240789)); #43655=EDGE_LOOP('',(#240790)); #43656=EDGE_LOOP('',(#240791,#240792,#240793,#240794)); #43657=EDGE_LOOP('',(#240795,#240796,#240797,#240798)); #43658=EDGE_LOOP('',(#240799)); #43659=EDGE_LOOP('',(#240800)); #43660=EDGE_LOOP('',(#240801)); #43661=EDGE_LOOP('',(#240802)); #43662=EDGE_LOOP('',(#240803,#240804,#240805,#240806)); #43663=EDGE_LOOP('',(#240807,#240808,#240809,#240810)); #43664=EDGE_LOOP('',(#240811)); #43665=EDGE_LOOP('',(#240812)); #43666=EDGE_LOOP('',(#240813)); #43667=EDGE_LOOP('',(#240814)); #43668=EDGE_LOOP('',(#240815,#240816,#240817,#240818)); #43669=EDGE_LOOP('',(#240819,#240820,#240821,#240822)); #43670=EDGE_LOOP('',(#240823)); #43671=EDGE_LOOP('',(#240824)); #43672=EDGE_LOOP('',(#240825)); #43673=EDGE_LOOP('',(#240826)); #43674=EDGE_LOOP('',(#240827,#240828,#240829,#240830)); #43675=EDGE_LOOP('',(#240831,#240832,#240833,#240834)); #43676=EDGE_LOOP('',(#240835)); #43677=EDGE_LOOP('',(#240836)); #43678=EDGE_LOOP('',(#240837)); #43679=EDGE_LOOP('',(#240838)); #43680=EDGE_LOOP('',(#240839,#240840,#240841,#240842)); #43681=EDGE_LOOP('',(#240843,#240844,#240845,#240846)); #43682=EDGE_LOOP('',(#240847)); #43683=EDGE_LOOP('',(#240848)); #43684=EDGE_LOOP('',(#240849)); #43685=EDGE_LOOP('',(#240850)); #43686=EDGE_LOOP('',(#240851,#240852,#240853,#240854)); #43687=EDGE_LOOP('',(#240855,#240856,#240857,#240858)); #43688=EDGE_LOOP('',(#240859)); #43689=EDGE_LOOP('',(#240860)); #43690=EDGE_LOOP('',(#240861)); #43691=EDGE_LOOP('',(#240862)); #43692=EDGE_LOOP('',(#240863,#240864,#240865,#240866)); #43693=EDGE_LOOP('',(#240867,#240868,#240869,#240870)); #43694=EDGE_LOOP('',(#240871)); #43695=EDGE_LOOP('',(#240872)); #43696=EDGE_LOOP('',(#240873)); #43697=EDGE_LOOP('',(#240874)); #43698=EDGE_LOOP('',(#240875,#240876,#240877,#240878)); #43699=EDGE_LOOP('',(#240879,#240880,#240881,#240882)); #43700=EDGE_LOOP('',(#240883)); #43701=EDGE_LOOP('',(#240884)); #43702=EDGE_LOOP('',(#240885)); #43703=EDGE_LOOP('',(#240886)); #43704=EDGE_LOOP('',(#240887,#240888,#240889,#240890)); #43705=EDGE_LOOP('',(#240891,#240892,#240893,#240894)); #43706=EDGE_LOOP('',(#240895)); #43707=EDGE_LOOP('',(#240896)); #43708=EDGE_LOOP('',(#240897)); #43709=EDGE_LOOP('',(#240898)); #43710=EDGE_LOOP('',(#240899,#240900,#240901,#240902)); #43711=EDGE_LOOP('',(#240903,#240904,#240905,#240906)); #43712=EDGE_LOOP('',(#240907)); #43713=EDGE_LOOP('',(#240908)); #43714=EDGE_LOOP('',(#240909)); #43715=EDGE_LOOP('',(#240910)); #43716=EDGE_LOOP('',(#240911,#240912,#240913,#240914)); #43717=EDGE_LOOP('',(#240915,#240916,#240917,#240918)); #43718=EDGE_LOOP('',(#240919)); #43719=EDGE_LOOP('',(#240920)); #43720=EDGE_LOOP('',(#240921)); #43721=EDGE_LOOP('',(#240922)); #43722=EDGE_LOOP('',(#240923,#240924,#240925,#240926)); #43723=EDGE_LOOP('',(#240927,#240928,#240929,#240930)); #43724=EDGE_LOOP('',(#240931)); #43725=EDGE_LOOP('',(#240932)); #43726=EDGE_LOOP('',(#240933)); #43727=EDGE_LOOP('',(#240934)); #43728=EDGE_LOOP('',(#240935,#240936,#240937,#240938)); #43729=EDGE_LOOP('',(#240939,#240940,#240941,#240942)); #43730=EDGE_LOOP('',(#240943)); #43731=EDGE_LOOP('',(#240944)); #43732=EDGE_LOOP('',(#240945)); #43733=EDGE_LOOP('',(#240946)); #43734=EDGE_LOOP('',(#240947,#240948,#240949,#240950)); #43735=EDGE_LOOP('',(#240951,#240952,#240953,#240954)); #43736=EDGE_LOOP('',(#240955)); #43737=EDGE_LOOP('',(#240956)); #43738=EDGE_LOOP('',(#240957)); #43739=EDGE_LOOP('',(#240958)); #43740=EDGE_LOOP('',(#240959,#240960,#240961,#240962)); #43741=EDGE_LOOP('',(#240963,#240964,#240965,#240966)); #43742=EDGE_LOOP('',(#240967)); #43743=EDGE_LOOP('',(#240968)); #43744=EDGE_LOOP('',(#240969)); #43745=EDGE_LOOP('',(#240970)); #43746=EDGE_LOOP('',(#240971,#240972,#240973,#240974)); #43747=EDGE_LOOP('',(#240975,#240976,#240977,#240978)); #43748=EDGE_LOOP('',(#240979)); #43749=EDGE_LOOP('',(#240980)); #43750=EDGE_LOOP('',(#240981)); #43751=EDGE_LOOP('',(#240982)); #43752=EDGE_LOOP('',(#240983,#240984,#240985,#240986)); #43753=EDGE_LOOP('',(#240987,#240988,#240989,#240990)); #43754=EDGE_LOOP('',(#240991)); #43755=EDGE_LOOP('',(#240992)); #43756=EDGE_LOOP('',(#240993)); #43757=EDGE_LOOP('',(#240994)); #43758=EDGE_LOOP('',(#240995,#240996,#240997,#240998)); #43759=EDGE_LOOP('',(#240999,#241000,#241001,#241002)); #43760=EDGE_LOOP('',(#241003)); #43761=EDGE_LOOP('',(#241004)); #43762=EDGE_LOOP('',(#241005)); #43763=EDGE_LOOP('',(#241006)); #43764=EDGE_LOOP('',(#241007,#241008,#241009,#241010)); #43765=EDGE_LOOP('',(#241011,#241012,#241013,#241014)); #43766=EDGE_LOOP('',(#241015)); #43767=EDGE_LOOP('',(#241016)); #43768=EDGE_LOOP('',(#241017)); #43769=EDGE_LOOP('',(#241018)); #43770=EDGE_LOOP('',(#241019,#241020,#241021,#241022)); #43771=EDGE_LOOP('',(#241023,#241024,#241025,#241026)); #43772=EDGE_LOOP('',(#241027)); #43773=EDGE_LOOP('',(#241028)); #43774=EDGE_LOOP('',(#241029)); #43775=EDGE_LOOP('',(#241030)); #43776=EDGE_LOOP('',(#241031,#241032,#241033,#241034)); #43777=EDGE_LOOP('',(#241035,#241036,#241037,#241038)); #43778=EDGE_LOOP('',(#241039)); #43779=EDGE_LOOP('',(#241040)); #43780=EDGE_LOOP('',(#241041)); #43781=EDGE_LOOP('',(#241042)); #43782=EDGE_LOOP('',(#241043,#241044,#241045,#241046)); #43783=EDGE_LOOP('',(#241047,#241048,#241049,#241050)); #43784=EDGE_LOOP('',(#241051)); #43785=EDGE_LOOP('',(#241052)); #43786=EDGE_LOOP('',(#241053)); #43787=EDGE_LOOP('',(#241054)); #43788=EDGE_LOOP('',(#241055,#241056,#241057,#241058)); #43789=EDGE_LOOP('',(#241059,#241060,#241061,#241062)); #43790=EDGE_LOOP('',(#241063)); #43791=EDGE_LOOP('',(#241064)); #43792=EDGE_LOOP('',(#241065)); #43793=EDGE_LOOP('',(#241066)); #43794=EDGE_LOOP('',(#241067,#241068,#241069,#241070)); #43795=EDGE_LOOP('',(#241071,#241072,#241073,#241074)); #43796=EDGE_LOOP('',(#241075)); #43797=EDGE_LOOP('',(#241076)); #43798=EDGE_LOOP('',(#241077)); #43799=EDGE_LOOP('',(#241078)); #43800=EDGE_LOOP('',(#241079,#241080,#241081,#241082)); #43801=EDGE_LOOP('',(#241083,#241084,#241085,#241086)); #43802=EDGE_LOOP('',(#241087)); #43803=EDGE_LOOP('',(#241088)); #43804=EDGE_LOOP('',(#241089)); #43805=EDGE_LOOP('',(#241090)); #43806=EDGE_LOOP('',(#241091,#241092,#241093,#241094)); #43807=EDGE_LOOP('',(#241095,#241096,#241097,#241098)); #43808=EDGE_LOOP('',(#241099)); #43809=EDGE_LOOP('',(#241100)); #43810=EDGE_LOOP('',(#241101)); #43811=EDGE_LOOP('',(#241102)); #43812=EDGE_LOOP('',(#241103,#241104,#241105,#241106)); #43813=EDGE_LOOP('',(#241107,#241108,#241109,#241110)); #43814=EDGE_LOOP('',(#241111)); #43815=EDGE_LOOP('',(#241112)); #43816=EDGE_LOOP('',(#241113)); #43817=EDGE_LOOP('',(#241114)); #43818=EDGE_LOOP('',(#241115,#241116,#241117,#241118)); #43819=EDGE_LOOP('',(#241119,#241120,#241121,#241122)); #43820=EDGE_LOOP('',(#241123)); #43821=EDGE_LOOP('',(#241124)); #43822=EDGE_LOOP('',(#241125)); #43823=EDGE_LOOP('',(#241126)); #43824=EDGE_LOOP('',(#241127,#241128,#241129,#241130)); #43825=EDGE_LOOP('',(#241131,#241132,#241133,#241134)); #43826=EDGE_LOOP('',(#241135)); #43827=EDGE_LOOP('',(#241136)); #43828=EDGE_LOOP('',(#241137)); #43829=EDGE_LOOP('',(#241138)); #43830=EDGE_LOOP('',(#241139,#241140,#241141,#241142)); #43831=EDGE_LOOP('',(#241143,#241144,#241145,#241146)); #43832=EDGE_LOOP('',(#241147)); #43833=EDGE_LOOP('',(#241148)); #43834=EDGE_LOOP('',(#241149)); #43835=EDGE_LOOP('',(#241150)); #43836=EDGE_LOOP('',(#241151,#241152,#241153,#241154)); #43837=EDGE_LOOP('',(#241155,#241156,#241157,#241158)); #43838=EDGE_LOOP('',(#241159)); #43839=EDGE_LOOP('',(#241160)); #43840=EDGE_LOOP('',(#241161)); #43841=EDGE_LOOP('',(#241162)); #43842=EDGE_LOOP('',(#241163,#241164,#241165,#241166)); #43843=EDGE_LOOP('',(#241167,#241168,#241169,#241170)); #43844=EDGE_LOOP('',(#241171)); #43845=EDGE_LOOP('',(#241172)); #43846=EDGE_LOOP('',(#241173)); #43847=EDGE_LOOP('',(#241174)); #43848=EDGE_LOOP('',(#241175,#241176,#241177,#241178)); #43849=EDGE_LOOP('',(#241179,#241180,#241181,#241182)); #43850=EDGE_LOOP('',(#241183)); #43851=EDGE_LOOP('',(#241184)); #43852=EDGE_LOOP('',(#241185)); #43853=EDGE_LOOP('',(#241186)); #43854=EDGE_LOOP('',(#241187,#241188,#241189,#241190)); #43855=EDGE_LOOP('',(#241191,#241192,#241193,#241194)); #43856=EDGE_LOOP('',(#241195)); #43857=EDGE_LOOP('',(#241196)); #43858=EDGE_LOOP('',(#241197)); #43859=EDGE_LOOP('',(#241198)); #43860=EDGE_LOOP('',(#241199,#241200,#241201,#241202)); #43861=EDGE_LOOP('',(#241203,#241204,#241205,#241206)); #43862=EDGE_LOOP('',(#241207)); #43863=EDGE_LOOP('',(#241208)); #43864=EDGE_LOOP('',(#241209)); #43865=EDGE_LOOP('',(#241210)); #43866=EDGE_LOOP('',(#241211,#241212,#241213,#241214)); #43867=EDGE_LOOP('',(#241215,#241216,#241217,#241218)); #43868=EDGE_LOOP('',(#241219)); #43869=EDGE_LOOP('',(#241220)); #43870=EDGE_LOOP('',(#241221)); #43871=EDGE_LOOP('',(#241222)); #43872=EDGE_LOOP('',(#241223,#241224,#241225,#241226)); #43873=EDGE_LOOP('',(#241227,#241228,#241229,#241230)); #43874=EDGE_LOOP('',(#241231)); #43875=EDGE_LOOP('',(#241232)); #43876=EDGE_LOOP('',(#241233)); #43877=EDGE_LOOP('',(#241234)); #43878=EDGE_LOOP('',(#241235,#241236,#241237,#241238)); #43879=EDGE_LOOP('',(#241239,#241240,#241241,#241242)); #43880=EDGE_LOOP('',(#241243)); #43881=EDGE_LOOP('',(#241244)); #43882=EDGE_LOOP('',(#241245)); #43883=EDGE_LOOP('',(#241246)); #43884=EDGE_LOOP('',(#241247,#241248,#241249,#241250)); #43885=EDGE_LOOP('',(#241251,#241252,#241253,#241254)); #43886=EDGE_LOOP('',(#241255)); #43887=EDGE_LOOP('',(#241256)); #43888=EDGE_LOOP('',(#241257)); #43889=EDGE_LOOP('',(#241258)); #43890=EDGE_LOOP('',(#241259,#241260,#241261,#241262)); #43891=EDGE_LOOP('',(#241263,#241264,#241265,#241266)); #43892=EDGE_LOOP('',(#241267)); #43893=EDGE_LOOP('',(#241268)); #43894=EDGE_LOOP('',(#241269)); #43895=EDGE_LOOP('',(#241270)); #43896=EDGE_LOOP('',(#241271,#241272,#241273,#241274)); #43897=EDGE_LOOP('',(#241275,#241276,#241277,#241278)); #43898=EDGE_LOOP('',(#241279)); #43899=EDGE_LOOP('',(#241280)); #43900=EDGE_LOOP('',(#241281)); #43901=EDGE_LOOP('',(#241282)); #43902=EDGE_LOOP('',(#241283,#241284,#241285,#241286)); #43903=EDGE_LOOP('',(#241287,#241288,#241289,#241290)); #43904=EDGE_LOOP('',(#241291)); #43905=EDGE_LOOP('',(#241292)); #43906=EDGE_LOOP('',(#241293)); #43907=EDGE_LOOP('',(#241294)); #43908=EDGE_LOOP('',(#241295,#241296,#241297,#241298)); #43909=EDGE_LOOP('',(#241299,#241300,#241301,#241302)); #43910=EDGE_LOOP('',(#241303)); #43911=EDGE_LOOP('',(#241304)); #43912=EDGE_LOOP('',(#241305)); #43913=EDGE_LOOP('',(#241306)); #43914=EDGE_LOOP('',(#241307,#241308,#241309,#241310)); #43915=EDGE_LOOP('',(#241311,#241312,#241313,#241314)); #43916=EDGE_LOOP('',(#241315)); #43917=EDGE_LOOP('',(#241316)); #43918=EDGE_LOOP('',(#241317)); #43919=EDGE_LOOP('',(#241318)); #43920=EDGE_LOOP('',(#241319,#241320,#241321,#241322)); #43921=EDGE_LOOP('',(#241323,#241324,#241325,#241326)); #43922=EDGE_LOOP('',(#241327)); #43923=EDGE_LOOP('',(#241328)); #43924=EDGE_LOOP('',(#241329)); #43925=EDGE_LOOP('',(#241330)); #43926=EDGE_LOOP('',(#241331,#241332,#241333,#241334)); #43927=EDGE_LOOP('',(#241335,#241336,#241337,#241338)); #43928=EDGE_LOOP('',(#241339)); #43929=EDGE_LOOP('',(#241340)); #43930=EDGE_LOOP('',(#241341)); #43931=EDGE_LOOP('',(#241342)); #43932=EDGE_LOOP('',(#241343,#241344,#241345,#241346)); #43933=EDGE_LOOP('',(#241347,#241348,#241349,#241350)); #43934=EDGE_LOOP('',(#241351)); #43935=EDGE_LOOP('',(#241352)); #43936=EDGE_LOOP('',(#241353)); #43937=EDGE_LOOP('',(#241354)); #43938=EDGE_LOOP('',(#241355,#241356,#241357,#241358)); #43939=EDGE_LOOP('',(#241359,#241360,#241361,#241362)); #43940=EDGE_LOOP('',(#241363)); #43941=EDGE_LOOP('',(#241364)); #43942=EDGE_LOOP('',(#241365)); #43943=EDGE_LOOP('',(#241366)); #43944=EDGE_LOOP('',(#241367,#241368,#241369,#241370)); #43945=EDGE_LOOP('',(#241371,#241372,#241373,#241374)); #43946=EDGE_LOOP('',(#241375)); #43947=EDGE_LOOP('',(#241376)); #43948=EDGE_LOOP('',(#241377)); #43949=EDGE_LOOP('',(#241378)); #43950=EDGE_LOOP('',(#241379,#241380,#241381,#241382)); #43951=EDGE_LOOP('',(#241383,#241384,#241385,#241386)); #43952=EDGE_LOOP('',(#241387)); #43953=EDGE_LOOP('',(#241388)); #43954=EDGE_LOOP('',(#241389)); #43955=EDGE_LOOP('',(#241390)); #43956=EDGE_LOOP('',(#241391,#241392,#241393,#241394)); #43957=EDGE_LOOP('',(#241395,#241396,#241397,#241398)); #43958=EDGE_LOOP('',(#241399)); #43959=EDGE_LOOP('',(#241400)); #43960=EDGE_LOOP('',(#241401)); #43961=EDGE_LOOP('',(#241402)); #43962=EDGE_LOOP('',(#241403,#241404,#241405,#241406)); #43963=EDGE_LOOP('',(#241407,#241408,#241409,#241410)); #43964=EDGE_LOOP('',(#241411)); #43965=EDGE_LOOP('',(#241412)); #43966=EDGE_LOOP('',(#241413)); #43967=EDGE_LOOP('',(#241414)); #43968=EDGE_LOOP('',(#241415,#241416,#241417,#241418)); #43969=EDGE_LOOP('',(#241419,#241420,#241421,#241422)); #43970=EDGE_LOOP('',(#241423)); #43971=EDGE_LOOP('',(#241424)); #43972=EDGE_LOOP('',(#241425)); #43973=EDGE_LOOP('',(#241426)); #43974=EDGE_LOOP('',(#241427,#241428,#241429,#241430)); #43975=EDGE_LOOP('',(#241431,#241432,#241433,#241434)); #43976=EDGE_LOOP('',(#241435)); #43977=EDGE_LOOP('',(#241436)); #43978=EDGE_LOOP('',(#241437)); #43979=EDGE_LOOP('',(#241438)); #43980=EDGE_LOOP('',(#241439,#241440,#241441,#241442)); #43981=EDGE_LOOP('',(#241443,#241444,#241445,#241446)); #43982=EDGE_LOOP('',(#241447)); #43983=EDGE_LOOP('',(#241448)); #43984=EDGE_LOOP('',(#241449)); #43985=EDGE_LOOP('',(#241450)); #43986=EDGE_LOOP('',(#241451,#241452,#241453,#241454)); #43987=EDGE_LOOP('',(#241455,#241456,#241457,#241458)); #43988=EDGE_LOOP('',(#241459)); #43989=EDGE_LOOP('',(#241460)); #43990=EDGE_LOOP('',(#241461)); #43991=EDGE_LOOP('',(#241462)); #43992=EDGE_LOOP('',(#241463,#241464,#241465,#241466)); #43993=EDGE_LOOP('',(#241467,#241468,#241469,#241470)); #43994=EDGE_LOOP('',(#241471)); #43995=EDGE_LOOP('',(#241472)); #43996=EDGE_LOOP('',(#241473)); #43997=EDGE_LOOP('',(#241474)); #43998=EDGE_LOOP('',(#241475,#241476,#241477,#241478)); #43999=EDGE_LOOP('',(#241479,#241480,#241481,#241482)); #44000=EDGE_LOOP('',(#241483)); #44001=EDGE_LOOP('',(#241484)); #44002=EDGE_LOOP('',(#241485)); #44003=EDGE_LOOP('',(#241486)); #44004=EDGE_LOOP('',(#241487,#241488,#241489,#241490)); #44005=EDGE_LOOP('',(#241491,#241492,#241493,#241494)); #44006=EDGE_LOOP('',(#241495)); #44007=EDGE_LOOP('',(#241496)); #44008=EDGE_LOOP('',(#241497)); #44009=EDGE_LOOP('',(#241498)); #44010=EDGE_LOOP('',(#241499,#241500,#241501,#241502)); #44011=EDGE_LOOP('',(#241503,#241504,#241505,#241506)); #44012=EDGE_LOOP('',(#241507)); #44013=EDGE_LOOP('',(#241508)); #44014=EDGE_LOOP('',(#241509)); #44015=EDGE_LOOP('',(#241510)); #44016=EDGE_LOOP('',(#241511,#241512,#241513,#241514)); #44017=EDGE_LOOP('',(#241515,#241516,#241517,#241518)); #44018=EDGE_LOOP('',(#241519)); #44019=EDGE_LOOP('',(#241520)); #44020=EDGE_LOOP('',(#241521)); #44021=EDGE_LOOP('',(#241522)); #44022=EDGE_LOOP('',(#241523,#241524,#241525,#241526)); #44023=EDGE_LOOP('',(#241527,#241528,#241529,#241530)); #44024=EDGE_LOOP('',(#241531)); #44025=EDGE_LOOP('',(#241532)); #44026=EDGE_LOOP('',(#241533)); #44027=EDGE_LOOP('',(#241534)); #44028=EDGE_LOOP('',(#241535,#241536,#241537,#241538)); #44029=EDGE_LOOP('',(#241539,#241540,#241541,#241542)); #44030=EDGE_LOOP('',(#241543)); #44031=EDGE_LOOP('',(#241544)); #44032=EDGE_LOOP('',(#241545)); #44033=EDGE_LOOP('',(#241546)); #44034=EDGE_LOOP('',(#241547,#241548,#241549,#241550)); #44035=EDGE_LOOP('',(#241551,#241552,#241553,#241554)); #44036=EDGE_LOOP('',(#241555)); #44037=EDGE_LOOP('',(#241556)); #44038=EDGE_LOOP('',(#241557)); #44039=EDGE_LOOP('',(#241558)); #44040=EDGE_LOOP('',(#241559,#241560,#241561,#241562)); #44041=EDGE_LOOP('',(#241563,#241564,#241565,#241566)); #44042=EDGE_LOOP('',(#241567)); #44043=EDGE_LOOP('',(#241568)); #44044=EDGE_LOOP('',(#241569)); #44045=EDGE_LOOP('',(#241570)); #44046=EDGE_LOOP('',(#241571,#241572,#241573,#241574)); #44047=EDGE_LOOP('',(#241575,#241576,#241577,#241578)); #44048=EDGE_LOOP('',(#241579)); #44049=EDGE_LOOP('',(#241580)); #44050=EDGE_LOOP('',(#241581)); #44051=EDGE_LOOP('',(#241582)); #44052=EDGE_LOOP('',(#241583,#241584,#241585,#241586)); #44053=EDGE_LOOP('',(#241587,#241588,#241589,#241590)); #44054=EDGE_LOOP('',(#241591)); #44055=EDGE_LOOP('',(#241592)); #44056=EDGE_LOOP('',(#241593)); #44057=EDGE_LOOP('',(#241594)); #44058=EDGE_LOOP('',(#241595,#241596,#241597,#241598)); #44059=EDGE_LOOP('',(#241599,#241600,#241601,#241602)); #44060=EDGE_LOOP('',(#241603)); #44061=EDGE_LOOP('',(#241604)); #44062=EDGE_LOOP('',(#241605)); #44063=EDGE_LOOP('',(#241606)); #44064=EDGE_LOOP('',(#241607,#241608,#241609,#241610)); #44065=EDGE_LOOP('',(#241611,#241612,#241613,#241614)); #44066=EDGE_LOOP('',(#241615)); #44067=EDGE_LOOP('',(#241616)); #44068=EDGE_LOOP('',(#241617)); #44069=EDGE_LOOP('',(#241618)); #44070=EDGE_LOOP('',(#241619,#241620,#241621,#241622)); #44071=EDGE_LOOP('',(#241623,#241624,#241625,#241626)); #44072=EDGE_LOOP('',(#241627)); #44073=EDGE_LOOP('',(#241628)); #44074=EDGE_LOOP('',(#241629)); #44075=EDGE_LOOP('',(#241630)); #44076=EDGE_LOOP('',(#241631,#241632,#241633,#241634)); #44077=EDGE_LOOP('',(#241635,#241636,#241637,#241638)); #44078=EDGE_LOOP('',(#241639)); #44079=EDGE_LOOP('',(#241640)); #44080=EDGE_LOOP('',(#241641)); #44081=EDGE_LOOP('',(#241642)); #44082=EDGE_LOOP('',(#241643,#241644,#241645,#241646)); #44083=EDGE_LOOP('',(#241647,#241648,#241649,#241650)); #44084=EDGE_LOOP('',(#241651)); #44085=EDGE_LOOP('',(#241652)); #44086=EDGE_LOOP('',(#241653)); #44087=EDGE_LOOP('',(#241654)); #44088=EDGE_LOOP('',(#241655,#241656,#241657,#241658)); #44089=EDGE_LOOP('',(#241659,#241660,#241661,#241662)); #44090=EDGE_LOOP('',(#241663)); #44091=EDGE_LOOP('',(#241664)); #44092=EDGE_LOOP('',(#241665)); #44093=EDGE_LOOP('',(#241666)); #44094=EDGE_LOOP('',(#241667,#241668,#241669,#241670)); #44095=EDGE_LOOP('',(#241671,#241672,#241673,#241674)); #44096=EDGE_LOOP('',(#241675)); #44097=EDGE_LOOP('',(#241676)); #44098=EDGE_LOOP('',(#241677)); #44099=EDGE_LOOP('',(#241678)); #44100=EDGE_LOOP('',(#241679,#241680,#241681,#241682)); #44101=EDGE_LOOP('',(#241683,#241684,#241685,#241686)); #44102=EDGE_LOOP('',(#241687)); #44103=EDGE_LOOP('',(#241688)); #44104=EDGE_LOOP('',(#241689)); #44105=EDGE_LOOP('',(#241690)); #44106=EDGE_LOOP('',(#241691,#241692,#241693,#241694)); #44107=EDGE_LOOP('',(#241695,#241696,#241697,#241698)); #44108=EDGE_LOOP('',(#241699)); #44109=EDGE_LOOP('',(#241700)); #44110=EDGE_LOOP('',(#241701)); #44111=EDGE_LOOP('',(#241702)); #44112=EDGE_LOOP('',(#241703,#241704,#241705,#241706)); #44113=EDGE_LOOP('',(#241707,#241708,#241709,#241710)); #44114=EDGE_LOOP('',(#241711)); #44115=EDGE_LOOP('',(#241712)); #44116=EDGE_LOOP('',(#241713)); #44117=EDGE_LOOP('',(#241714)); #44118=EDGE_LOOP('',(#241715,#241716,#241717,#241718)); #44119=EDGE_LOOP('',(#241719,#241720,#241721,#241722)); #44120=EDGE_LOOP('',(#241723)); #44121=EDGE_LOOP('',(#241724)); #44122=EDGE_LOOP('',(#241725)); #44123=EDGE_LOOP('',(#241726)); #44124=EDGE_LOOP('',(#241727,#241728,#241729,#241730)); #44125=EDGE_LOOP('',(#241731,#241732,#241733,#241734)); #44126=EDGE_LOOP('',(#241735)); #44127=EDGE_LOOP('',(#241736)); #44128=EDGE_LOOP('',(#241737)); #44129=EDGE_LOOP('',(#241738)); #44130=EDGE_LOOP('',(#241739,#241740,#241741,#241742)); #44131=EDGE_LOOP('',(#241743,#241744,#241745,#241746)); #44132=EDGE_LOOP('',(#241747)); #44133=EDGE_LOOP('',(#241748)); #44134=EDGE_LOOP('',(#241749)); #44135=EDGE_LOOP('',(#241750)); #44136=EDGE_LOOP('',(#241751,#241752,#241753,#241754)); #44137=EDGE_LOOP('',(#241755,#241756,#241757,#241758)); #44138=EDGE_LOOP('',(#241759)); #44139=EDGE_LOOP('',(#241760)); #44140=EDGE_LOOP('',(#241761)); #44141=EDGE_LOOP('',(#241762)); #44142=EDGE_LOOP('',(#241763,#241764,#241765,#241766)); #44143=EDGE_LOOP('',(#241767,#241768,#241769,#241770)); #44144=EDGE_LOOP('',(#241771)); #44145=EDGE_LOOP('',(#241772)); #44146=EDGE_LOOP('',(#241773)); #44147=EDGE_LOOP('',(#241774)); #44148=EDGE_LOOP('',(#241775,#241776,#241777,#241778)); #44149=EDGE_LOOP('',(#241779,#241780,#241781,#241782)); #44150=EDGE_LOOP('',(#241783)); #44151=EDGE_LOOP('',(#241784)); #44152=EDGE_LOOP('',(#241785)); #44153=EDGE_LOOP('',(#241786)); #44154=EDGE_LOOP('',(#241787,#241788,#241789,#241790)); #44155=EDGE_LOOP('',(#241791,#241792,#241793,#241794)); #44156=EDGE_LOOP('',(#241795)); #44157=EDGE_LOOP('',(#241796)); #44158=EDGE_LOOP('',(#241797)); #44159=EDGE_LOOP('',(#241798)); #44160=EDGE_LOOP('',(#241799,#241800,#241801,#241802)); #44161=EDGE_LOOP('',(#241803,#241804,#241805,#241806)); #44162=EDGE_LOOP('',(#241807)); #44163=EDGE_LOOP('',(#241808)); #44164=EDGE_LOOP('',(#241809)); #44165=EDGE_LOOP('',(#241810)); #44166=EDGE_LOOP('',(#241811,#241812,#241813,#241814)); #44167=EDGE_LOOP('',(#241815,#241816,#241817,#241818)); #44168=EDGE_LOOP('',(#241819)); #44169=EDGE_LOOP('',(#241820)); #44170=EDGE_LOOP('',(#241821)); #44171=EDGE_LOOP('',(#241822)); #44172=EDGE_LOOP('',(#241823,#241824,#241825,#241826)); #44173=EDGE_LOOP('',(#241827,#241828,#241829,#241830)); #44174=EDGE_LOOP('',(#241831)); #44175=EDGE_LOOP('',(#241832)); #44176=EDGE_LOOP('',(#241833)); #44177=EDGE_LOOP('',(#241834)); #44178=EDGE_LOOP('',(#241835,#241836,#241837,#241838)); #44179=EDGE_LOOP('',(#241839,#241840,#241841,#241842)); #44180=EDGE_LOOP('',(#241843)); #44181=EDGE_LOOP('',(#241844)); #44182=EDGE_LOOP('',(#241845)); #44183=EDGE_LOOP('',(#241846)); #44184=EDGE_LOOP('',(#241847,#241848,#241849,#241850)); #44185=EDGE_LOOP('',(#241851,#241852,#241853,#241854)); #44186=EDGE_LOOP('',(#241855)); #44187=EDGE_LOOP('',(#241856)); #44188=EDGE_LOOP('',(#241857)); #44189=EDGE_LOOP('',(#241858)); #44190=EDGE_LOOP('',(#241859,#241860,#241861,#241862)); #44191=EDGE_LOOP('',(#241863,#241864,#241865,#241866)); #44192=EDGE_LOOP('',(#241867)); #44193=EDGE_LOOP('',(#241868)); #44194=EDGE_LOOP('',(#241869)); #44195=EDGE_LOOP('',(#241870)); #44196=EDGE_LOOP('',(#241871,#241872,#241873,#241874)); #44197=EDGE_LOOP('',(#241875,#241876,#241877,#241878)); #44198=EDGE_LOOP('',(#241879)); #44199=EDGE_LOOP('',(#241880)); #44200=EDGE_LOOP('',(#241881)); #44201=EDGE_LOOP('',(#241882)); #44202=EDGE_LOOP('',(#241883,#241884,#241885,#241886)); #44203=EDGE_LOOP('',(#241887,#241888,#241889,#241890)); #44204=EDGE_LOOP('',(#241891)); #44205=EDGE_LOOP('',(#241892)); #44206=EDGE_LOOP('',(#241893)); #44207=EDGE_LOOP('',(#241894)); #44208=EDGE_LOOP('',(#241895,#241896,#241897,#241898)); #44209=EDGE_LOOP('',(#241899,#241900,#241901,#241902)); #44210=EDGE_LOOP('',(#241903)); #44211=EDGE_LOOP('',(#241904)); #44212=EDGE_LOOP('',(#241905)); #44213=EDGE_LOOP('',(#241906)); #44214=EDGE_LOOP('',(#241907,#241908,#241909,#241910)); #44215=EDGE_LOOP('',(#241911,#241912,#241913,#241914)); #44216=EDGE_LOOP('',(#241915)); #44217=EDGE_LOOP('',(#241916)); #44218=EDGE_LOOP('',(#241917)); #44219=EDGE_LOOP('',(#241918)); #44220=EDGE_LOOP('',(#241919,#241920,#241921,#241922)); #44221=EDGE_LOOP('',(#241923,#241924,#241925,#241926)); #44222=EDGE_LOOP('',(#241927)); #44223=EDGE_LOOP('',(#241928)); #44224=EDGE_LOOP('',(#241929)); #44225=EDGE_LOOP('',(#241930)); #44226=EDGE_LOOP('',(#241931,#241932,#241933,#241934)); #44227=EDGE_LOOP('',(#241935,#241936,#241937,#241938)); #44228=EDGE_LOOP('',(#241939)); #44229=EDGE_LOOP('',(#241940)); #44230=EDGE_LOOP('',(#241941)); #44231=EDGE_LOOP('',(#241942)); #44232=EDGE_LOOP('',(#241943,#241944,#241945,#241946)); #44233=EDGE_LOOP('',(#241947,#241948,#241949,#241950)); #44234=EDGE_LOOP('',(#241951)); #44235=EDGE_LOOP('',(#241952)); #44236=EDGE_LOOP('',(#241953)); #44237=EDGE_LOOP('',(#241954)); #44238=EDGE_LOOP('',(#241955,#241956,#241957,#241958)); #44239=EDGE_LOOP('',(#241959,#241960,#241961,#241962)); #44240=EDGE_LOOP('',(#241963)); #44241=EDGE_LOOP('',(#241964)); #44242=EDGE_LOOP('',(#241965)); #44243=EDGE_LOOP('',(#241966)); #44244=EDGE_LOOP('',(#241967,#241968,#241969,#241970)); #44245=EDGE_LOOP('',(#241971,#241972,#241973,#241974)); #44246=EDGE_LOOP('',(#241975)); #44247=EDGE_LOOP('',(#241976)); #44248=EDGE_LOOP('',(#241977)); #44249=EDGE_LOOP('',(#241978)); #44250=EDGE_LOOP('',(#241979,#241980,#241981,#241982)); #44251=EDGE_LOOP('',(#241983,#241984,#241985,#241986)); #44252=EDGE_LOOP('',(#241987)); #44253=EDGE_LOOP('',(#241988)); #44254=EDGE_LOOP('',(#241989)); #44255=EDGE_LOOP('',(#241990)); #44256=EDGE_LOOP('',(#241991,#241992,#241993,#241994)); #44257=EDGE_LOOP('',(#241995,#241996,#241997,#241998)); #44258=EDGE_LOOP('',(#241999)); #44259=EDGE_LOOP('',(#242000)); #44260=EDGE_LOOP('',(#242001)); #44261=EDGE_LOOP('',(#242002)); #44262=EDGE_LOOP('',(#242003,#242004,#242005,#242006)); #44263=EDGE_LOOP('',(#242007,#242008,#242009,#242010)); #44264=EDGE_LOOP('',(#242011)); #44265=EDGE_LOOP('',(#242012)); #44266=EDGE_LOOP('',(#242013)); #44267=EDGE_LOOP('',(#242014)); #44268=EDGE_LOOP('',(#242015,#242016,#242017,#242018)); #44269=EDGE_LOOP('',(#242019,#242020,#242021,#242022)); #44270=EDGE_LOOP('',(#242023)); #44271=EDGE_LOOP('',(#242024)); #44272=EDGE_LOOP('',(#242025)); #44273=EDGE_LOOP('',(#242026)); #44274=EDGE_LOOP('',(#242027,#242028,#242029,#242030)); #44275=EDGE_LOOP('',(#242031,#242032,#242033,#242034)); #44276=EDGE_LOOP('',(#242035)); #44277=EDGE_LOOP('',(#242036)); #44278=EDGE_LOOP('',(#242037)); #44279=EDGE_LOOP('',(#242038)); #44280=EDGE_LOOP('',(#242039,#242040,#242041,#242042)); #44281=EDGE_LOOP('',(#242043,#242044,#242045,#242046)); #44282=EDGE_LOOP('',(#242047)); #44283=EDGE_LOOP('',(#242048)); #44284=EDGE_LOOP('',(#242049)); #44285=EDGE_LOOP('',(#242050)); #44286=EDGE_LOOP('',(#242051,#242052,#242053,#242054)); #44287=EDGE_LOOP('',(#242055,#242056,#242057,#242058)); #44288=EDGE_LOOP('',(#242059)); #44289=EDGE_LOOP('',(#242060)); #44290=EDGE_LOOP('',(#242061)); #44291=EDGE_LOOP('',(#242062)); #44292=EDGE_LOOP('',(#242063,#242064,#242065,#242066)); #44293=EDGE_LOOP('',(#242067,#242068,#242069,#242070)); #44294=EDGE_LOOP('',(#242071)); #44295=EDGE_LOOP('',(#242072)); #44296=EDGE_LOOP('',(#242073)); #44297=EDGE_LOOP('',(#242074)); #44298=EDGE_LOOP('',(#242075,#242076,#242077,#242078)); #44299=EDGE_LOOP('',(#242079,#242080,#242081,#242082)); #44300=EDGE_LOOP('',(#242083)); #44301=EDGE_LOOP('',(#242084)); #44302=EDGE_LOOP('',(#242085)); #44303=EDGE_LOOP('',(#242086)); #44304=EDGE_LOOP('',(#242087,#242088,#242089,#242090)); #44305=EDGE_LOOP('',(#242091,#242092,#242093,#242094)); #44306=EDGE_LOOP('',(#242095)); #44307=EDGE_LOOP('',(#242096)); #44308=EDGE_LOOP('',(#242097)); #44309=EDGE_LOOP('',(#242098)); #44310=EDGE_LOOP('',(#242099,#242100,#242101,#242102)); #44311=EDGE_LOOP('',(#242103,#242104,#242105,#242106)); #44312=EDGE_LOOP('',(#242107)); #44313=EDGE_LOOP('',(#242108)); #44314=EDGE_LOOP('',(#242109)); #44315=EDGE_LOOP('',(#242110)); #44316=EDGE_LOOP('',(#242111,#242112,#242113,#242114)); #44317=EDGE_LOOP('',(#242115,#242116,#242117,#242118)); #44318=EDGE_LOOP('',(#242119)); #44319=EDGE_LOOP('',(#242120)); #44320=EDGE_LOOP('',(#242121)); #44321=EDGE_LOOP('',(#242122)); #44322=EDGE_LOOP('',(#242123,#242124,#242125,#242126)); #44323=EDGE_LOOP('',(#242127,#242128,#242129,#242130)); #44324=EDGE_LOOP('',(#242131)); #44325=EDGE_LOOP('',(#242132)); #44326=EDGE_LOOP('',(#242133)); #44327=EDGE_LOOP('',(#242134)); #44328=EDGE_LOOP('',(#242135,#242136,#242137,#242138)); #44329=EDGE_LOOP('',(#242139,#242140,#242141,#242142)); #44330=EDGE_LOOP('',(#242143)); #44331=EDGE_LOOP('',(#242144)); #44332=EDGE_LOOP('',(#242145)); #44333=EDGE_LOOP('',(#242146)); #44334=EDGE_LOOP('',(#242147,#242148,#242149,#242150)); #44335=EDGE_LOOP('',(#242151,#242152,#242153,#242154)); #44336=EDGE_LOOP('',(#242155)); #44337=EDGE_LOOP('',(#242156)); #44338=EDGE_LOOP('',(#242157)); #44339=EDGE_LOOP('',(#242158)); #44340=EDGE_LOOP('',(#242159,#242160,#242161,#242162)); #44341=EDGE_LOOP('',(#242163,#242164,#242165,#242166)); #44342=EDGE_LOOP('',(#242167)); #44343=EDGE_LOOP('',(#242168)); #44344=EDGE_LOOP('',(#242169)); #44345=EDGE_LOOP('',(#242170)); #44346=EDGE_LOOP('',(#242171,#242172,#242173,#242174)); #44347=EDGE_LOOP('',(#242175,#242176,#242177,#242178)); #44348=EDGE_LOOP('',(#242179)); #44349=EDGE_LOOP('',(#242180)); #44350=EDGE_LOOP('',(#242181)); #44351=EDGE_LOOP('',(#242182)); #44352=EDGE_LOOP('',(#242183,#242184,#242185,#242186)); #44353=EDGE_LOOP('',(#242187,#242188,#242189,#242190)); #44354=EDGE_LOOP('',(#242191)); #44355=EDGE_LOOP('',(#242192)); #44356=EDGE_LOOP('',(#242193)); #44357=EDGE_LOOP('',(#242194)); #44358=EDGE_LOOP('',(#242195,#242196,#242197,#242198)); #44359=EDGE_LOOP('',(#242199,#242200,#242201,#242202)); #44360=EDGE_LOOP('',(#242203)); #44361=EDGE_LOOP('',(#242204)); #44362=EDGE_LOOP('',(#242205)); #44363=EDGE_LOOP('',(#242206)); #44364=EDGE_LOOP('',(#242207,#242208,#242209,#242210)); #44365=EDGE_LOOP('',(#242211,#242212,#242213,#242214)); #44366=EDGE_LOOP('',(#242215)); #44367=EDGE_LOOP('',(#242216)); #44368=EDGE_LOOP('',(#242217)); #44369=EDGE_LOOP('',(#242218)); #44370=EDGE_LOOP('',(#242219,#242220,#242221,#242222)); #44371=EDGE_LOOP('',(#242223,#242224,#242225,#242226)); #44372=EDGE_LOOP('',(#242227)); #44373=EDGE_LOOP('',(#242228)); #44374=EDGE_LOOP('',(#242229)); #44375=EDGE_LOOP('',(#242230)); #44376=EDGE_LOOP('',(#242231,#242232,#242233,#242234)); #44377=EDGE_LOOP('',(#242235,#242236,#242237,#242238)); #44378=EDGE_LOOP('',(#242239)); #44379=EDGE_LOOP('',(#242240)); #44380=EDGE_LOOP('',(#242241)); #44381=EDGE_LOOP('',(#242242)); #44382=EDGE_LOOP('',(#242243,#242244,#242245,#242246)); #44383=EDGE_LOOP('',(#242247,#242248,#242249,#242250)); #44384=EDGE_LOOP('',(#242251)); #44385=EDGE_LOOP('',(#242252)); #44386=EDGE_LOOP('',(#242253)); #44387=EDGE_LOOP('',(#242254)); #44388=EDGE_LOOP('',(#242255,#242256,#242257,#242258)); #44389=EDGE_LOOP('',(#242259,#242260,#242261,#242262)); #44390=EDGE_LOOP('',(#242263)); #44391=EDGE_LOOP('',(#242264)); #44392=EDGE_LOOP('',(#242265)); #44393=EDGE_LOOP('',(#242266)); #44394=EDGE_LOOP('',(#242267,#242268,#242269,#242270)); #44395=EDGE_LOOP('',(#242271,#242272,#242273,#242274)); #44396=EDGE_LOOP('',(#242275)); #44397=EDGE_LOOP('',(#242276)); #44398=EDGE_LOOP('',(#242277)); #44399=EDGE_LOOP('',(#242278)); #44400=EDGE_LOOP('',(#242279,#242280,#242281,#242282)); #44401=EDGE_LOOP('',(#242283,#242284,#242285,#242286)); #44402=EDGE_LOOP('',(#242287)); #44403=EDGE_LOOP('',(#242288)); #44404=EDGE_LOOP('',(#242289)); #44405=EDGE_LOOP('',(#242290)); #44406=EDGE_LOOP('',(#242291,#242292,#242293,#242294)); #44407=EDGE_LOOP('',(#242295,#242296,#242297,#242298)); #44408=EDGE_LOOP('',(#242299)); #44409=EDGE_LOOP('',(#242300)); #44410=EDGE_LOOP('',(#242301)); #44411=EDGE_LOOP('',(#242302)); #44412=EDGE_LOOP('',(#242303,#242304,#242305,#242306)); #44413=EDGE_LOOP('',(#242307,#242308,#242309,#242310)); #44414=EDGE_LOOP('',(#242311)); #44415=EDGE_LOOP('',(#242312)); #44416=EDGE_LOOP('',(#242313)); #44417=EDGE_LOOP('',(#242314)); #44418=EDGE_LOOP('',(#242315,#242316,#242317,#242318)); #44419=EDGE_LOOP('',(#242319,#242320,#242321,#242322)); #44420=EDGE_LOOP('',(#242323)); #44421=EDGE_LOOP('',(#242324)); #44422=EDGE_LOOP('',(#242325)); #44423=EDGE_LOOP('',(#242326)); #44424=EDGE_LOOP('',(#242327,#242328,#242329,#242330)); #44425=EDGE_LOOP('',(#242331,#242332,#242333,#242334)); #44426=EDGE_LOOP('',(#242335)); #44427=EDGE_LOOP('',(#242336)); #44428=EDGE_LOOP('',(#242337)); #44429=EDGE_LOOP('',(#242338)); #44430=EDGE_LOOP('',(#242339,#242340,#242341,#242342)); #44431=EDGE_LOOP('',(#242343,#242344,#242345,#242346)); #44432=EDGE_LOOP('',(#242347)); #44433=EDGE_LOOP('',(#242348)); #44434=EDGE_LOOP('',(#242349)); #44435=EDGE_LOOP('',(#242350)); #44436=EDGE_LOOP('',(#242351,#242352,#242353,#242354)); #44437=EDGE_LOOP('',(#242355,#242356,#242357,#242358)); #44438=EDGE_LOOP('',(#242359)); #44439=EDGE_LOOP('',(#242360)); #44440=EDGE_LOOP('',(#242361)); #44441=EDGE_LOOP('',(#242362)); #44442=EDGE_LOOP('',(#242363,#242364,#242365,#242366)); #44443=EDGE_LOOP('',(#242367,#242368,#242369,#242370)); #44444=EDGE_LOOP('',(#242371)); #44445=EDGE_LOOP('',(#242372)); #44446=EDGE_LOOP('',(#242373)); #44447=EDGE_LOOP('',(#242374)); #44448=EDGE_LOOP('',(#242375,#242376,#242377,#242378)); #44449=EDGE_LOOP('',(#242379,#242380,#242381,#242382)); #44450=EDGE_LOOP('',(#242383)); #44451=EDGE_LOOP('',(#242384)); #44452=EDGE_LOOP('',(#242385)); #44453=EDGE_LOOP('',(#242386)); #44454=EDGE_LOOP('',(#242387,#242388,#242389,#242390)); #44455=EDGE_LOOP('',(#242391,#242392,#242393,#242394)); #44456=EDGE_LOOP('',(#242395)); #44457=EDGE_LOOP('',(#242396)); #44458=EDGE_LOOP('',(#242397)); #44459=EDGE_LOOP('',(#242398)); #44460=EDGE_LOOP('',(#242399,#242400,#242401,#242402)); #44461=EDGE_LOOP('',(#242403,#242404,#242405,#242406)); #44462=EDGE_LOOP('',(#242407)); #44463=EDGE_LOOP('',(#242408)); #44464=EDGE_LOOP('',(#242409)); #44465=EDGE_LOOP('',(#242410)); #44466=EDGE_LOOP('',(#242411,#242412,#242413,#242414)); #44467=EDGE_LOOP('',(#242415,#242416,#242417,#242418)); #44468=EDGE_LOOP('',(#242419,#242420,#242421,#242422)); #44469=EDGE_LOOP('',(#242423,#242424,#242425,#242426)); #44470=EDGE_LOOP('',(#242427,#242428,#242429)); #44471=EDGE_LOOP('',(#242430)); #44472=EDGE_LOOP('',(#242431,#242432,#242433)); #44473=EDGE_LOOP('',(#242434)); #44474=EDGE_LOOP('',(#242435,#242436,#242437,#242438)); #44475=EDGE_LOOP('',(#242439,#242440,#242441,#242442)); #44476=EDGE_LOOP('',(#242443,#242444,#242445,#242446)); #44477=EDGE_LOOP('',(#242447,#242448,#242449,#242450)); #44478=EDGE_LOOP('',(#242451,#242452,#242453,#242454)); #44479=EDGE_LOOP('',(#242455,#242456,#242457,#242458)); #44480=EDGE_LOOP('',(#242459,#242460,#242461,#242462)); #44481=EDGE_LOOP('',(#242463,#242464,#242465,#242466)); #44482=EDGE_LOOP('',(#242467,#242468,#242469,#242470)); #44483=EDGE_LOOP('',(#242471,#242472,#242473,#242474)); #44484=EDGE_LOOP('',(#242475,#242476,#242477,#242478)); #44485=EDGE_LOOP('',(#242479,#242480,#242481,#242482)); #44486=EDGE_LOOP('',(#242483,#242484,#242485,#242486)); #44487=EDGE_LOOP('',(#242487,#242488,#242489,#242490)); #44488=EDGE_LOOP('',(#242491,#242492,#242493,#242494)); #44489=EDGE_LOOP('',(#242495,#242496,#242497,#242498)); #44490=EDGE_LOOP('',(#242499,#242500,#242501,#242502)); #44491=EDGE_LOOP('',(#242503,#242504,#242505,#242506)); #44492=EDGE_LOOP('',(#242507,#242508,#242509,#242510)); #44493=EDGE_LOOP('',(#242511,#242512,#242513,#242514)); #44494=EDGE_LOOP('',(#242515,#242516,#242517,#242518)); #44495=EDGE_LOOP('',(#242519,#242520,#242521,#242522)); #44496=EDGE_LOOP('',(#242523,#242524,#242525,#242526)); #44497=EDGE_LOOP('',(#242527,#242528,#242529,#242530)); #44498=EDGE_LOOP('',(#242531,#242532,#242533,#242534)); #44499=EDGE_LOOP('',(#242535,#242536,#242537,#242538)); #44500=EDGE_LOOP('',(#242539,#242540,#242541,#242542)); #44501=EDGE_LOOP('',(#242543,#242544,#242545,#242546)); #44502=EDGE_LOOP('',(#242547,#242548,#242549,#242550)); #44503=EDGE_LOOP('',(#242551,#242552,#242553,#242554)); #44504=EDGE_LOOP('',(#242555,#242556,#242557,#242558)); #44505=EDGE_LOOP('',(#242559,#242560,#242561,#242562)); #44506=EDGE_LOOP('',(#242563,#242564,#242565,#242566)); #44507=EDGE_LOOP('',(#242567,#242568,#242569,#242570)); #44508=EDGE_LOOP('',(#242571,#242572,#242573,#242574)); #44509=EDGE_LOOP('',(#242575,#242576,#242577,#242578)); #44510=EDGE_LOOP('',(#242579,#242580,#242581,#242582)); #44511=EDGE_LOOP('',(#242583,#242584,#242585,#242586)); #44512=EDGE_LOOP('',(#242587,#242588,#242589,#242590)); #44513=EDGE_LOOP('',(#242591,#242592,#242593,#242594)); #44514=EDGE_LOOP('',(#242595,#242596,#242597,#242598)); #44515=EDGE_LOOP('',(#242599,#242600,#242601,#242602)); #44516=EDGE_LOOP('',(#242603,#242604,#242605,#242606)); #44517=EDGE_LOOP('',(#242607,#242608,#242609,#242610)); #44518=EDGE_LOOP('',(#242611,#242612,#242613,#242614)); #44519=EDGE_LOOP('',(#242615,#242616,#242617,#242618)); #44520=EDGE_LOOP('',(#242619,#242620,#242621,#242622)); #44521=EDGE_LOOP('',(#242623,#242624,#242625,#242626)); #44522=EDGE_LOOP('',(#242627,#242628,#242629,#242630)); #44523=EDGE_LOOP('',(#242631,#242632,#242633,#242634)); #44524=EDGE_LOOP('',(#242635,#242636,#242637,#242638)); #44525=EDGE_LOOP('',(#242639,#242640,#242641,#242642)); #44526=EDGE_LOOP('',(#242643,#242644,#242645,#242646)); #44527=EDGE_LOOP('',(#242647,#242648,#242649,#242650)); #44528=EDGE_LOOP('',(#242651,#242652,#242653,#242654)); #44529=EDGE_LOOP('',(#242655,#242656,#242657,#242658)); #44530=EDGE_LOOP('',(#242659,#242660,#242661,#242662)); #44531=EDGE_LOOP('',(#242663,#242664,#242665,#242666)); #44532=EDGE_LOOP('',(#242667,#242668,#242669,#242670)); #44533=EDGE_LOOP('',(#242671,#242672,#242673,#242674)); #44534=EDGE_LOOP('',(#242675,#242676,#242677,#242678)); #44535=EDGE_LOOP('',(#242679,#242680,#242681,#242682)); #44536=EDGE_LOOP('',(#242683,#242684,#242685,#242686)); #44537=EDGE_LOOP('',(#242687,#242688,#242689,#242690)); #44538=EDGE_LOOP('',(#242691,#242692,#242693)); #44539=EDGE_LOOP('',(#242694)); #44540=EDGE_LOOP('',(#242695,#242696,#242697)); #44541=EDGE_LOOP('',(#242698)); #44542=EDGE_LOOP('',(#242699,#242700,#242701,#242702)); #44543=EDGE_LOOP('',(#242703,#242704,#242705,#242706)); #44544=EDGE_LOOP('',(#242707,#242708,#242709,#242710)); #44545=EDGE_LOOP('',(#242711,#242712,#242713,#242714)); #44546=EDGE_LOOP('',(#242715,#242716,#242717,#242718)); #44547=EDGE_LOOP('',(#242719,#242720,#242721,#242722)); #44548=EDGE_LOOP('',(#242723,#242724,#242725,#242726)); #44549=EDGE_LOOP('',(#242727,#242728,#242729,#242730)); #44550=EDGE_LOOP('',(#242731,#242732,#242733,#242734)); #44551=EDGE_LOOP('',(#242735,#242736,#242737,#242738)); #44552=EDGE_LOOP('',(#242739,#242740,#242741,#242742,#242743,#242744,#242745, #242746)); #44553=EDGE_LOOP('',(#242747)); #44554=EDGE_LOOP('',(#242748)); #44555=EDGE_LOOP('',(#242749,#242750,#242751,#242752,#242753,#242754,#242755, #242756)); #44556=EDGE_LOOP('',(#242757)); #44557=EDGE_LOOP('',(#242758)); #44558=EDGE_LOOP('',(#242759,#242760,#242761,#242762)); #44559=EDGE_LOOP('',(#242763,#242764,#242765,#242766)); #44560=EDGE_LOOP('',(#242767,#242768,#242769,#242770)); #44561=EDGE_LOOP('',(#242771,#242772,#242773,#242774)); #44562=EDGE_LOOP('',(#242775,#242776,#242777)); #44563=EDGE_LOOP('',(#242778)); #44564=EDGE_LOOP('',(#242779,#242780,#242781)); #44565=EDGE_LOOP('',(#242782)); #44566=EDGE_LOOP('',(#242783,#242784,#242785,#242786)); #44567=EDGE_LOOP('',(#242787,#242788,#242789,#242790)); #44568=EDGE_LOOP('',(#242791,#242792,#242793,#242794)); #44569=EDGE_LOOP('',(#242795,#242796,#242797,#242798)); #44570=EDGE_LOOP('',(#242799,#242800,#242801)); #44571=EDGE_LOOP('',(#242802)); #44572=EDGE_LOOP('',(#242803,#242804,#242805)); #44573=EDGE_LOOP('',(#242806)); #44574=EDGE_LOOP('',(#242807,#242808,#242809,#242810)); #44575=EDGE_LOOP('',(#242811,#242812,#242813,#242814)); #44576=EDGE_LOOP('',(#242815,#242816,#242817,#242818)); #44577=EDGE_LOOP('',(#242819,#242820,#242821,#242822)); #44578=EDGE_LOOP('',(#242823,#242824,#242825,#242826)); #44579=EDGE_LOOP('',(#242827,#242828,#242829,#242830)); #44580=EDGE_LOOP('',(#242831,#242832,#242833,#242834)); #44581=EDGE_LOOP('',(#242835,#242836,#242837,#242838)); #44582=EDGE_LOOP('',(#242839,#242840,#242841,#242842)); #44583=EDGE_LOOP('',(#242843,#242844,#242845,#242846)); #44584=EDGE_LOOP('',(#242847,#242848,#242849,#242850)); #44585=EDGE_LOOP('',(#242851,#242852,#242853,#242854)); #44586=EDGE_LOOP('',(#242855,#242856,#242857,#242858)); #44587=EDGE_LOOP('',(#242859,#242860,#242861,#242862)); #44588=EDGE_LOOP('',(#242863,#242864,#242865,#242866,#242867,#242868,#242869, #242870,#242871,#242872,#242873,#242874,#242875)); #44589=EDGE_LOOP('',(#242876)); #44590=EDGE_LOOP('',(#242877,#242878,#242879,#242880,#242881,#242882,#242883, #242884,#242885,#242886,#242887,#242888,#242889)); #44591=EDGE_LOOP('',(#242890)); #44592=EDGE_LOOP('',(#242891,#242892,#242893,#242894)); #44593=EDGE_LOOP('',(#242895,#242896,#242897,#242898)); #44594=EDGE_LOOP('',(#242899,#242900,#242901,#242902)); #44595=EDGE_LOOP('',(#242903,#242904,#242905,#242906)); #44596=EDGE_LOOP('',(#242907,#242908,#242909,#242910)); #44597=EDGE_LOOP('',(#242911,#242912,#242913,#242914)); #44598=EDGE_LOOP('',(#242915,#242916,#242917,#242918)); #44599=EDGE_LOOP('',(#242919,#242920,#242921,#242922)); #44600=EDGE_LOOP('',(#242923,#242924,#242925,#242926)); #44601=EDGE_LOOP('',(#242927,#242928,#242929,#242930)); #44602=EDGE_LOOP('',(#242931,#242932,#242933,#242934)); #44603=EDGE_LOOP('',(#242935,#242936,#242937,#242938,#242939,#242940,#242941, #242942,#242943,#242944)); #44604=EDGE_LOOP('',(#242945)); #44605=EDGE_LOOP('',(#242946,#242947,#242948,#242949,#242950,#242951,#242952, #242953,#242954,#242955)); #44606=EDGE_LOOP('',(#242956)); #44607=EDGE_LOOP('',(#242957,#242958,#242959,#242960)); #44608=EDGE_LOOP('',(#242961,#242962,#242963,#242964)); #44609=EDGE_LOOP('',(#242965,#242966,#242967,#242968)); #44610=EDGE_LOOP('',(#242969,#242970,#242971,#242972)); #44611=EDGE_LOOP('',(#242973,#242974,#242975,#242976)); #44612=EDGE_LOOP('',(#242977,#242978,#242979,#242980)); #44613=EDGE_LOOP('',(#242981,#242982,#242983,#242984)); #44614=EDGE_LOOP('',(#242985,#242986,#242987,#242988)); #44615=EDGE_LOOP('',(#242989,#242990,#242991,#242992)); #44616=EDGE_LOOP('',(#242993,#242994,#242995,#242996)); #44617=EDGE_LOOP('',(#242997,#242998,#242999,#243000)); #44618=EDGE_LOOP('',(#243001,#243002,#243003,#243004)); #44619=EDGE_LOOP('',(#243005,#243006,#243007,#243008)); #44620=EDGE_LOOP('',(#243009,#243010,#243011,#243012)); #44621=EDGE_LOOP('',(#243013,#243014,#243015,#243016)); #44622=EDGE_LOOP('',(#243017,#243018,#243019,#243020)); #44623=EDGE_LOOP('',(#243021,#243022,#243023,#243024)); #44624=EDGE_LOOP('',(#243025,#243026,#243027,#243028)); #44625=EDGE_LOOP('',(#243029,#243030,#243031,#243032)); #44626=EDGE_LOOP('',(#243033,#243034,#243035,#243036)); #44627=EDGE_LOOP('',(#243037,#243038,#243039,#243040,#243041,#243042,#243043, #243044,#243045,#243046,#243047,#243048,#243049,#243050,#243051,#243052, #243053,#243054,#243055,#243056)); #44628=EDGE_LOOP('',(#243057,#243058,#243059,#243060,#243061,#243062,#243063, #243064,#243065,#243066,#243067,#243068,#243069,#243070,#243071,#243072, #243073,#243074,#243075,#243076)); #44629=EDGE_LOOP('',(#243077,#243078,#243079,#243080)); #44630=EDGE_LOOP('',(#243081,#243082,#243083,#243084)); #44631=EDGE_LOOP('',(#243085,#243086,#243087,#243088)); #44632=EDGE_LOOP('',(#243089,#243090,#243091,#243092)); #44633=EDGE_LOOP('',(#243093,#243094,#243095,#243096)); #44634=EDGE_LOOP('',(#243097,#243098,#243099,#243100)); #44635=EDGE_LOOP('',(#243101,#243102,#243103,#243104)); #44636=EDGE_LOOP('',(#243105,#243106,#243107,#243108)); #44637=EDGE_LOOP('',(#243109,#243110,#243111,#243112)); #44638=EDGE_LOOP('',(#243113,#243114,#243115,#243116)); #44639=EDGE_LOOP('',(#243117,#243118,#243119,#243120)); #44640=EDGE_LOOP('',(#243121,#243122,#243123,#243124)); #44641=EDGE_LOOP('',(#243125,#243126,#243127,#243128)); #44642=EDGE_LOOP('',(#243129,#243130,#243131,#243132)); #44643=EDGE_LOOP('',(#243133,#243134,#243135,#243136)); #44644=EDGE_LOOP('',(#243137,#243138,#243139,#243140)); #44645=EDGE_LOOP('',(#243141,#243142,#243143,#243144)); #44646=EDGE_LOOP('',(#243145,#243146,#243147,#243148)); #44647=EDGE_LOOP('',(#243149,#243150,#243151,#243152)); #44648=EDGE_LOOP('',(#243153,#243154,#243155,#243156)); #44649=EDGE_LOOP('',(#243157,#243158,#243159,#243160)); #44650=EDGE_LOOP('',(#243161,#243162,#243163,#243164)); #44651=EDGE_LOOP('',(#243165,#243166,#243167,#243168)); #44652=EDGE_LOOP('',(#243169,#243170,#243171,#243172)); #44653=EDGE_LOOP('',(#243173,#243174,#243175,#243176)); #44654=EDGE_LOOP('',(#243177,#243178,#243179,#243180)); #44655=EDGE_LOOP('',(#243181,#243182,#243183,#243184)); #44656=EDGE_LOOP('',(#243185,#243186,#243187,#243188)); #44657=EDGE_LOOP('',(#243189,#243190,#243191,#243192)); #44658=EDGE_LOOP('',(#243193,#243194,#243195,#243196)); #44659=EDGE_LOOP('',(#243197,#243198,#243199,#243200)); #44660=EDGE_LOOP('',(#243201,#243202,#243203,#243204)); #44661=EDGE_LOOP('',(#243205,#243206,#243207,#243208)); #44662=EDGE_LOOP('',(#243209,#243210,#243211,#243212)); #44663=EDGE_LOOP('',(#243213,#243214,#243215,#243216)); #44664=EDGE_LOOP('',(#243217,#243218,#243219,#243220)); #44665=EDGE_LOOP('',(#243221,#243222,#243223,#243224,#243225,#243226,#243227, #243228,#243229,#243230,#243231,#243232,#243233,#243234,#243235,#243236, #243237,#243238,#243239,#243240,#243241,#243242,#243243,#243244,#243245, #243246,#243247,#243248,#243249,#243250,#243251,#243252,#243253,#243254, #243255,#243256)); #44666=EDGE_LOOP('',(#243257,#243258,#243259,#243260,#243261,#243262,#243263, #243264,#243265,#243266,#243267,#243268,#243269,#243270,#243271,#243272, #243273,#243274,#243275,#243276,#243277,#243278,#243279,#243280,#243281, #243282,#243283,#243284,#243285,#243286,#243287,#243288,#243289,#243290, #243291,#243292)); #44667=EDGE_LOOP('',(#243293,#243294,#243295,#243296)); #44668=EDGE_LOOP('',(#243297,#243298,#243299,#243300)); #44669=EDGE_LOOP('',(#243301,#243302,#243303,#243304)); #44670=EDGE_LOOP('',(#243305,#243306,#243307,#243308)); #44671=EDGE_LOOP('',(#243309,#243310,#243311,#243312)); #44672=EDGE_LOOP('',(#243313,#243314,#243315,#243316)); #44673=EDGE_LOOP('',(#243317,#243318,#243319,#243320)); #44674=EDGE_LOOP('',(#243321,#243322,#243323,#243324)); #44675=EDGE_LOOP('',(#243325,#243326,#243327,#243328)); #44676=EDGE_LOOP('',(#243329,#243330,#243331,#243332)); #44677=EDGE_LOOP('',(#243333,#243334,#243335,#243336)); #44678=EDGE_LOOP('',(#243337,#243338,#243339,#243340)); #44679=EDGE_LOOP('',(#243341,#243342,#243343,#243344)); #44680=EDGE_LOOP('',(#243345,#243346,#243347,#243348)); #44681=EDGE_LOOP('',(#243349,#243350,#243351,#243352)); #44682=EDGE_LOOP('',(#243353,#243354,#243355,#243356)); #44683=EDGE_LOOP('',(#243357,#243358,#243359,#243360)); #44684=EDGE_LOOP('',(#243361,#243362,#243363,#243364)); #44685=EDGE_LOOP('',(#243365,#243366,#243367,#243368)); #44686=EDGE_LOOP('',(#243369,#243370,#243371,#243372)); #44687=EDGE_LOOP('',(#243373,#243374,#243375,#243376)); #44688=EDGE_LOOP('',(#243377,#243378,#243379,#243380)); #44689=EDGE_LOOP('',(#243381,#243382,#243383,#243384)); #44690=EDGE_LOOP('',(#243385,#243386,#243387,#243388)); #44691=EDGE_LOOP('',(#243389,#243390,#243391,#243392)); #44692=EDGE_LOOP('',(#243393,#243394,#243395,#243396)); #44693=EDGE_LOOP('',(#243397,#243398,#243399,#243400)); #44694=EDGE_LOOP('',(#243401,#243402,#243403,#243404)); #44695=EDGE_LOOP('',(#243405,#243406,#243407,#243408)); #44696=EDGE_LOOP('',(#243409,#243410,#243411,#243412)); #44697=EDGE_LOOP('',(#243413,#243414,#243415,#243416)); #44698=EDGE_LOOP('',(#243417,#243418,#243419,#243420)); #44699=EDGE_LOOP('',(#243421,#243422,#243423,#243424)); #44700=EDGE_LOOP('',(#243425,#243426,#243427,#243428)); #44701=EDGE_LOOP('',(#243429,#243430,#243431,#243432)); #44702=EDGE_LOOP('',(#243433,#243434,#243435,#243436)); #44703=EDGE_LOOP('',(#243437,#243438,#243439,#243440,#243441,#243442,#243443, #243444,#243445,#243446,#243447,#243448,#243449,#243450,#243451,#243452, #243453,#243454,#243455,#243456,#243457,#243458,#243459,#243460,#243461, #243462,#243463,#243464,#243465,#243466,#243467,#243468,#243469,#243470, #243471,#243472)); #44704=EDGE_LOOP('',(#243473,#243474,#243475,#243476,#243477,#243478,#243479, #243480,#243481,#243482,#243483,#243484,#243485,#243486,#243487,#243488, #243489,#243490,#243491,#243492,#243493,#243494,#243495,#243496,#243497, #243498,#243499,#243500,#243501,#243502,#243503,#243504,#243505,#243506, #243507,#243508)); #44705=EDGE_LOOP('',(#243509,#243510,#243511,#243512)); #44706=EDGE_LOOP('',(#243513,#243514,#243515,#243516)); #44707=EDGE_LOOP('',(#243517,#243518,#243519,#243520)); #44708=EDGE_LOOP('',(#243521,#243522,#243523,#243524)); #44709=EDGE_LOOP('',(#243525,#243526,#243527,#243528)); #44710=EDGE_LOOP('',(#243529,#243530,#243531,#243532)); #44711=EDGE_LOOP('',(#243533,#243534,#243535,#243536)); #44712=EDGE_LOOP('',(#243537,#243538,#243539,#243540)); #44713=EDGE_LOOP('',(#243541,#243542,#243543,#243544)); #44714=EDGE_LOOP('',(#243545,#243546,#243547,#243548)); #44715=EDGE_LOOP('',(#243549,#243550,#243551,#243552)); #44716=EDGE_LOOP('',(#243553,#243554,#243555,#243556)); #44717=EDGE_LOOP('',(#243557,#243558,#243559,#243560)); #44718=EDGE_LOOP('',(#243561,#243562,#243563,#243564)); #44719=EDGE_LOOP('',(#243565,#243566,#243567,#243568)); #44720=EDGE_LOOP('',(#243569,#243570,#243571,#243572)); #44721=EDGE_LOOP('',(#243573,#243574,#243575,#243576)); #44722=EDGE_LOOP('',(#243577,#243578,#243579,#243580)); #44723=EDGE_LOOP('',(#243581,#243582,#243583,#243584)); #44724=EDGE_LOOP('',(#243585,#243586,#243587,#243588)); #44725=EDGE_LOOP('',(#243589,#243590,#243591,#243592)); #44726=EDGE_LOOP('',(#243593,#243594,#243595,#243596)); #44727=EDGE_LOOP('',(#243597,#243598,#243599,#243600)); #44728=EDGE_LOOP('',(#243601,#243602,#243603,#243604,#243605,#243606,#243607, #243608,#243609,#243610,#243611,#243612,#243613,#243614,#243615,#243616, #243617,#243618,#243619,#243620,#243621,#243622)); #44729=EDGE_LOOP('',(#243623)); #44730=EDGE_LOOP('',(#243624,#243625,#243626,#243627,#243628,#243629,#243630, #243631,#243632,#243633,#243634,#243635,#243636,#243637,#243638,#243639, #243640,#243641,#243642,#243643,#243644,#243645)); #44731=EDGE_LOOP('',(#243646)); #44732=EDGE_LOOP('',(#243647,#243648,#243649,#243650)); #44733=EDGE_LOOP('',(#243651,#243652,#243653,#243654)); #44734=EDGE_LOOP('',(#243655,#243656,#243657,#243658)); #44735=EDGE_LOOP('',(#243659,#243660,#243661,#243662)); #44736=EDGE_LOOP('',(#243663,#243664,#243665,#243666)); #44737=EDGE_LOOP('',(#243667,#243668,#243669,#243670)); #44738=EDGE_LOOP('',(#243671,#243672,#243673,#243674)); #44739=EDGE_LOOP('',(#243675,#243676,#243677,#243678)); #44740=EDGE_LOOP('',(#243679,#243680,#243681,#243682)); #44741=EDGE_LOOP('',(#243683,#243684,#243685,#243686)); #44742=EDGE_LOOP('',(#243687,#243688,#243689,#243690)); #44743=EDGE_LOOP('',(#243691,#243692,#243693,#243694)); #44744=EDGE_LOOP('',(#243695,#243696,#243697,#243698)); #44745=EDGE_LOOP('',(#243699,#243700,#243701,#243702)); #44746=EDGE_LOOP('',(#243703,#243704,#243705,#243706)); #44747=EDGE_LOOP('',(#243707,#243708,#243709,#243710)); #44748=EDGE_LOOP('',(#243711,#243712,#243713,#243714)); #44749=EDGE_LOOP('',(#243715,#243716,#243717,#243718,#243719,#243720,#243721, #243722,#243723,#243724,#243725,#243726,#243727,#243728,#243729,#243730)); #44750=EDGE_LOOP('',(#243731)); #44751=EDGE_LOOP('',(#243732,#243733,#243734,#243735,#243736,#243737,#243738, #243739,#243740,#243741,#243742,#243743,#243744,#243745,#243746,#243747)); #44752=EDGE_LOOP('',(#243748)); #44753=EDGE_LOOP('',(#243749,#243750,#243751,#243752)); #44754=EDGE_LOOP('',(#243753,#243754,#243755,#243756)); #44755=EDGE_LOOP('',(#243757,#243758,#243759,#243760)); #44756=EDGE_LOOP('',(#243761,#243762,#243763,#243764)); #44757=EDGE_LOOP('',(#243765,#243766,#243767,#243768)); #44758=EDGE_LOOP('',(#243769,#243770,#243771,#243772)); #44759=EDGE_LOOP('',(#243773,#243774,#243775,#243776)); #44760=EDGE_LOOP('',(#243777,#243778,#243779,#243780)); #44761=EDGE_LOOP('',(#243781,#243782,#243783,#243784)); #44762=EDGE_LOOP('',(#243785,#243786,#243787,#243788)); #44763=EDGE_LOOP('',(#243789,#243790,#243791,#243792)); #44764=EDGE_LOOP('',(#243793,#243794,#243795,#243796)); #44765=EDGE_LOOP('',(#243797,#243798,#243799,#243800)); #44766=EDGE_LOOP('',(#243801,#243802,#243803,#243804,#243805,#243806,#243807, #243808,#243809,#243810,#243811,#243812)); #44767=EDGE_LOOP('',(#243813)); #44768=EDGE_LOOP('',(#243814,#243815,#243816,#243817,#243818,#243819,#243820, #243821,#243822,#243823,#243824,#243825)); #44769=EDGE_LOOP('',(#243826)); #44770=EDGE_LOOP('',(#243827,#243828,#243829,#243830)); #44771=EDGE_LOOP('',(#243831,#243832,#243833,#243834)); #44772=EDGE_LOOP('',(#243835,#243836,#243837,#243838)); #44773=EDGE_LOOP('',(#243839,#243840,#243841,#243842)); #44774=EDGE_LOOP('',(#243843,#243844,#243845,#243846)); #44775=EDGE_LOOP('',(#243847,#243848,#243849,#243850)); #44776=EDGE_LOOP('',(#243851,#243852,#243853,#243854)); #44777=EDGE_LOOP('',(#243855,#243856,#243857,#243858)); #44778=EDGE_LOOP('',(#243859,#243860,#243861,#243862)); #44779=EDGE_LOOP('',(#243863,#243864,#243865,#243866)); #44780=EDGE_LOOP('',(#243867,#243868,#243869,#243870)); #44781=EDGE_LOOP('',(#243871,#243872,#243873,#243874)); #44782=EDGE_LOOP('',(#243875,#243876,#243877,#243878)); #44783=EDGE_LOOP('',(#243879,#243880,#243881,#243882)); #44784=EDGE_LOOP('',(#243883,#243884,#243885,#243886)); #44785=EDGE_LOOP('',(#243887,#243888,#243889,#243890,#243891,#243892,#243893, #243894,#243895,#243896,#243897,#243898,#243899,#243900)); #44786=EDGE_LOOP('',(#243901)); #44787=EDGE_LOOP('',(#243902,#243903,#243904,#243905,#243906,#243907,#243908, #243909,#243910,#243911,#243912,#243913,#243914,#243915)); #44788=EDGE_LOOP('',(#243916)); #44789=EDGE_LOOP('',(#243917,#243918,#243919,#243920)); #44790=EDGE_LOOP('',(#243921,#243922,#243923,#243924)); #44791=EDGE_LOOP('',(#243925,#243926,#243927,#243928)); #44792=EDGE_LOOP('',(#243929,#243930,#243931,#243932)); #44793=EDGE_LOOP('',(#243933,#243934,#243935,#243936)); #44794=EDGE_LOOP('',(#243937,#243938,#243939,#243940)); #44795=EDGE_LOOP('',(#243941,#243942,#243943,#243944)); #44796=EDGE_LOOP('',(#243945,#243946,#243947,#243948)); #44797=EDGE_LOOP('',(#243949,#243950,#243951,#243952)); #44798=EDGE_LOOP('',(#243953,#243954,#243955,#243956)); #44799=EDGE_LOOP('',(#243957,#243958,#243959,#243960,#243961,#243962,#243963, #243964)); #44800=EDGE_LOOP('',(#243965)); #44801=EDGE_LOOP('',(#243966)); #44802=EDGE_LOOP('',(#243967,#243968,#243969,#243970,#243971,#243972,#243973, #243974)); #44803=EDGE_LOOP('',(#243975)); #44804=EDGE_LOOP('',(#243976)); #44805=EDGE_LOOP('',(#243977,#243978,#243979,#243980)); #44806=EDGE_LOOP('',(#243981,#243982,#243983,#243984)); #44807=EDGE_LOOP('',(#243985,#243986,#243987,#243988)); #44808=EDGE_LOOP('',(#243989,#243990,#243991,#243992)); #44809=EDGE_LOOP('',(#243993,#243994,#243995,#243996)); #44810=EDGE_LOOP('',(#243997,#243998,#243999,#244000)); #44811=EDGE_LOOP('',(#244001,#244002,#244003,#244004)); #44812=EDGE_LOOP('',(#244005,#244006,#244007,#244008)); #44813=EDGE_LOOP('',(#244009,#244010,#244011,#244012)); #44814=EDGE_LOOP('',(#244013,#244014,#244015,#244016)); #44815=EDGE_LOOP('',(#244017,#244018,#244019,#244020)); #44816=EDGE_LOOP('',(#244021,#244022,#244023,#244024)); #44817=EDGE_LOOP('',(#244025,#244026,#244027,#244028)); #44818=EDGE_LOOP('',(#244029,#244030,#244031,#244032)); #44819=EDGE_LOOP('',(#244033,#244034,#244035,#244036)); #44820=EDGE_LOOP('',(#244037,#244038,#244039,#244040)); #44821=EDGE_LOOP('',(#244041,#244042,#244043,#244044)); #44822=EDGE_LOOP('',(#244045,#244046,#244047,#244048,#244049,#244050,#244051, #244052,#244053,#244054,#244055,#244056,#244057,#244058,#244059,#244060)); #44823=EDGE_LOOP('',(#244061)); #44824=EDGE_LOOP('',(#244062,#244063,#244064,#244065,#244066,#244067,#244068, #244069,#244070,#244071,#244072,#244073,#244074,#244075,#244076,#244077)); #44825=EDGE_LOOP('',(#244078)); #44826=EDGE_LOOP('',(#244079,#244080,#244081,#244082)); #44827=EDGE_LOOP('',(#244083,#244084,#244085,#244086)); #44828=EDGE_LOOP('',(#244087,#244088,#244089,#244090)); #44829=EDGE_LOOP('',(#244091,#244092,#244093,#244094)); #44830=EDGE_LOOP('',(#244095,#244096,#244097,#244098)); #44831=EDGE_LOOP('',(#244099,#244100,#244101,#244102)); #44832=EDGE_LOOP('',(#244103,#244104,#244105,#244106)); #44833=EDGE_LOOP('',(#244107,#244108,#244109,#244110)); #44834=EDGE_LOOP('',(#244111,#244112,#244113,#244114)); #44835=EDGE_LOOP('',(#244115,#244116,#244117,#244118)); #44836=EDGE_LOOP('',(#244119,#244120,#244121,#244122)); #44837=EDGE_LOOP('',(#244123,#244124,#244125,#244126)); #44838=EDGE_LOOP('',(#244127,#244128,#244129,#244130)); #44839=EDGE_LOOP('',(#244131,#244132,#244133,#244134)); #44840=EDGE_LOOP('',(#244135,#244136,#244137,#244138)); #44841=EDGE_LOOP('',(#244139,#244140,#244141,#244142)); #44842=EDGE_LOOP('',(#244143,#244144,#244145,#244146)); #44843=EDGE_LOOP('',(#244147,#244148,#244149,#244150)); #44844=EDGE_LOOP('',(#244151,#244152,#244153,#244154)); #44845=EDGE_LOOP('',(#244155,#244156,#244157,#244158)); #44846=EDGE_LOOP('',(#244159,#244160,#244161,#244162)); #44847=EDGE_LOOP('',(#244163,#244164,#244165,#244166)); #44848=EDGE_LOOP('',(#244167,#244168,#244169,#244170)); #44849=EDGE_LOOP('',(#244171,#244172,#244173,#244174,#244175,#244176,#244177, #244178,#244179,#244180,#244181,#244182,#244183,#244184,#244185,#244186, #244187,#244188,#244189,#244190,#244191,#244192)); #44850=EDGE_LOOP('',(#244193)); #44851=EDGE_LOOP('',(#244194,#244195,#244196,#244197,#244198,#244199,#244200, #244201,#244202,#244203,#244204,#244205,#244206,#244207,#244208,#244209, #244210,#244211,#244212,#244213,#244214,#244215)); #44852=EDGE_LOOP('',(#244216)); #44853=EDGE_LOOP('',(#244217,#244218,#244219,#244220)); #44854=EDGE_LOOP('',(#244221,#244222,#244223,#244224)); #44855=EDGE_LOOP('',(#244225,#244226,#244227,#244228)); #44856=EDGE_LOOP('',(#244229,#244230,#244231,#244232)); #44857=EDGE_LOOP('',(#244233,#244234,#244235,#244236)); #44858=EDGE_LOOP('',(#244237,#244238,#244239,#244240)); #44859=EDGE_LOOP('',(#244241,#244242,#244243,#244244)); #44860=EDGE_LOOP('',(#244245,#244246,#244247,#244248)); #44861=EDGE_LOOP('',(#244249,#244250,#244251,#244252)); #44862=EDGE_LOOP('',(#244253,#244254,#244255,#244256)); #44863=EDGE_LOOP('',(#244257,#244258,#244259,#244260)); #44864=EDGE_LOOP('',(#244261,#244262,#244263,#244264)); #44865=EDGE_LOOP('',(#244265,#244266,#244267,#244268)); #44866=EDGE_LOOP('',(#244269,#244270,#244271,#244272)); #44867=EDGE_LOOP('',(#244273,#244274,#244275,#244276)); #44868=EDGE_LOOP('',(#244277,#244278,#244279,#244280)); #44869=EDGE_LOOP('',(#244281,#244282,#244283,#244284)); #44870=EDGE_LOOP('',(#244285,#244286,#244287,#244288)); #44871=EDGE_LOOP('',(#244289,#244290,#244291,#244292)); #44872=EDGE_LOOP('',(#244293,#244294,#244295,#244296)); #44873=EDGE_LOOP('',(#244297,#244298,#244299,#244300)); #44874=EDGE_LOOP('',(#244301,#244302,#244303,#244304)); #44875=EDGE_LOOP('',(#244305,#244306,#244307,#244308)); #44876=EDGE_LOOP('',(#244309,#244310,#244311,#244312)); #44877=EDGE_LOOP('',(#244313,#244314,#244315,#244316)); #44878=EDGE_LOOP('',(#244317,#244318,#244319,#244320)); #44879=EDGE_LOOP('',(#244321,#244322,#244323,#244324,#244325,#244326,#244327, #244328,#244329,#244330,#244331,#244332,#244333,#244334,#244335,#244336, #244337,#244338,#244339,#244340,#244341,#244342,#244343,#244344,#244345, #244346)); #44880=EDGE_LOOP('',(#244347,#244348,#244349,#244350,#244351,#244352,#244353, #244354,#244355,#244356,#244357,#244358,#244359,#244360,#244361,#244362, #244363,#244364,#244365,#244366,#244367,#244368,#244369,#244370,#244371, #244372)); #44881=EDGE_LOOP('',(#244373,#244374,#244375,#244376)); #44882=EDGE_LOOP('',(#244377,#244378,#244379,#244380)); #44883=EDGE_LOOP('',(#244381,#244382,#244383,#244384)); #44884=EDGE_LOOP('',(#244385,#244386,#244387,#244388)); #44885=EDGE_LOOP('',(#244389,#244390,#244391,#244392)); #44886=EDGE_LOOP('',(#244393,#244394,#244395,#244396)); #44887=EDGE_LOOP('',(#244397,#244398,#244399,#244400)); #44888=EDGE_LOOP('',(#244401,#244402,#244403,#244404)); #44889=EDGE_LOOP('',(#244405,#244406,#244407,#244408)); #44890=EDGE_LOOP('',(#244409,#244410,#244411,#244412)); #44891=EDGE_LOOP('',(#244413,#244414,#244415,#244416)); #44892=EDGE_LOOP('',(#244417,#244418,#244419,#244420)); #44893=EDGE_LOOP('',(#244421,#244422,#244423,#244424)); #44894=EDGE_LOOP('',(#244425,#244426,#244427,#244428)); #44895=EDGE_LOOP('',(#244429,#244430,#244431,#244432)); #44896=EDGE_LOOP('',(#244433,#244434,#244435,#244436)); #44897=EDGE_LOOP('',(#244437,#244438,#244439,#244440)); #44898=EDGE_LOOP('',(#244441,#244442,#244443,#244444)); #44899=EDGE_LOOP('',(#244445,#244446,#244447,#244448)); #44900=EDGE_LOOP('',(#244449,#244450,#244451,#244452)); #44901=EDGE_LOOP('',(#244453,#244454,#244455,#244456)); #44902=EDGE_LOOP('',(#244457,#244458,#244459,#244460)); #44903=EDGE_LOOP('',(#244461,#244462,#244463,#244464)); #44904=EDGE_LOOP('',(#244465,#244466,#244467,#244468)); #44905=EDGE_LOOP('',(#244469,#244470,#244471,#244472)); #44906=EDGE_LOOP('',(#244473,#244474,#244475,#244476,#244477,#244478,#244479, #244480,#244481,#244482,#244483,#244484,#244485,#244486,#244487,#244488, #244489,#244490,#244491,#244492,#244493,#244494,#244495,#244496)); #44907=EDGE_LOOP('',(#244497)); #44908=EDGE_LOOP('',(#244498,#244499,#244500,#244501,#244502,#244503,#244504, #244505,#244506,#244507,#244508,#244509,#244510,#244511,#244512,#244513, #244514,#244515,#244516,#244517,#244518,#244519,#244520,#244521)); #44909=EDGE_LOOP('',(#244522)); #44910=EDGE_LOOP('',(#244523,#244524,#244525,#244526)); #44911=EDGE_LOOP('',(#244527,#244528,#244529,#244530)); #44912=EDGE_LOOP('',(#244531,#244532,#244533,#244534)); #44913=EDGE_LOOP('',(#244535,#244536,#244537,#244538)); #44914=EDGE_LOOP('',(#244539,#244540,#244541,#244542)); #44915=EDGE_LOOP('',(#244543,#244544,#244545,#244546)); #44916=EDGE_LOOP('',(#244547,#244548,#244549,#244550)); #44917=EDGE_LOOP('',(#244551,#244552,#244553,#244554)); #44918=EDGE_LOOP('',(#244555,#244556,#244557,#244558)); #44919=EDGE_LOOP('',(#244559,#244560,#244561,#244562)); #44920=EDGE_LOOP('',(#244563,#244564,#244565,#244566)); #44921=EDGE_LOOP('',(#244567,#244568,#244569,#244570)); #44922=EDGE_LOOP('',(#244571,#244572,#244573,#244574,#244575,#244576,#244577, #244578,#244579,#244580,#244581)); #44923=EDGE_LOOP('',(#244582)); #44924=EDGE_LOOP('',(#244583,#244584,#244585,#244586,#244587,#244588,#244589, #244590,#244591,#244592,#244593)); #44925=EDGE_LOOP('',(#244594)); #44926=EDGE_LOOP('',(#244595,#244596,#244597,#244598)); #44927=EDGE_LOOP('',(#244599,#244600,#244601,#244602)); #44928=EDGE_LOOP('',(#244603,#244604,#244605,#244606)); #44929=EDGE_LOOP('',(#244607,#244608,#244609,#244610)); #44930=EDGE_LOOP('',(#244611,#244612,#244613,#244614)); #44931=EDGE_LOOP('',(#244615,#244616,#244617,#244618)); #44932=EDGE_LOOP('',(#244619,#244620,#244621,#244622)); #44933=EDGE_LOOP('',(#244623,#244624,#244625,#244626)); #44934=EDGE_LOOP('',(#244627,#244628,#244629,#244630)); #44935=EDGE_LOOP('',(#244631,#244632,#244633,#244634)); #44936=EDGE_LOOP('',(#244635,#244636,#244637,#244638)); #44937=EDGE_LOOP('',(#244639,#244640,#244641,#244642)); #44938=EDGE_LOOP('',(#244643,#244644,#244645,#244646)); #44939=EDGE_LOOP('',(#244647,#244648,#244649,#244650)); #44940=EDGE_LOOP('',(#244651,#244652,#244653,#244654)); #44941=EDGE_LOOP('',(#244655,#244656,#244657,#244658)); #44942=EDGE_LOOP('',(#244659,#244660,#244661,#244662)); #44943=EDGE_LOOP('',(#244663,#244664,#244665,#244666)); #44944=EDGE_LOOP('',(#244667,#244668,#244669,#244670)); #44945=EDGE_LOOP('',(#244671,#244672,#244673,#244674)); #44946=EDGE_LOOP('',(#244675,#244676,#244677,#244678)); #44947=EDGE_LOOP('',(#244679,#244680,#244681,#244682)); #44948=EDGE_LOOP('',(#244683,#244684,#244685,#244686)); #44949=EDGE_LOOP('',(#244687,#244688,#244689,#244690)); #44950=EDGE_LOOP('',(#244691,#244692,#244693,#244694)); #44951=EDGE_LOOP('',(#244695,#244696,#244697,#244698)); #44952=EDGE_LOOP('',(#244699,#244700,#244701,#244702)); #44953=EDGE_LOOP('',(#244703,#244704,#244705,#244706)); #44954=EDGE_LOOP('',(#244707,#244708,#244709,#244710)); #44955=EDGE_LOOP('',(#244711,#244712,#244713,#244714)); #44956=EDGE_LOOP('',(#244715,#244716,#244717,#244718)); #44957=EDGE_LOOP('',(#244719,#244720,#244721,#244722)); #44958=EDGE_LOOP('',(#244723,#244724,#244725,#244726)); #44959=EDGE_LOOP('',(#244727,#244728,#244729,#244730)); #44960=EDGE_LOOP('',(#244731,#244732,#244733,#244734)); #44961=EDGE_LOOP('',(#244735,#244736,#244737,#244738)); #44962=EDGE_LOOP('',(#244739,#244740,#244741,#244742)); #44963=EDGE_LOOP('',(#244743,#244744,#244745,#244746)); #44964=EDGE_LOOP('',(#244747,#244748,#244749,#244750,#244751,#244752,#244753, #244754,#244755,#244756,#244757,#244758,#244759,#244760,#244761,#244762, #244763,#244764,#244765,#244766,#244767,#244768,#244769,#244770,#244771, #244772,#244773,#244774,#244775,#244776,#244777,#244778,#244779,#244780, #244781,#244782,#244783,#244784)); #44965=EDGE_LOOP('',(#244785,#244786,#244787,#244788,#244789,#244790,#244791, #244792,#244793,#244794,#244795,#244796,#244797,#244798,#244799,#244800, #244801,#244802,#244803,#244804,#244805,#244806,#244807,#244808,#244809, #244810,#244811,#244812,#244813,#244814,#244815,#244816,#244817,#244818, #244819,#244820,#244821,#244822)); #44966=EDGE_LOOP('',(#244823,#244824,#244825,#244826)); #44967=EDGE_LOOP('',(#244827,#244828,#244829,#244830)); #44968=EDGE_LOOP('',(#244831,#244832,#244833,#244834)); #44969=EDGE_LOOP('',(#244835,#244836,#244837,#244838)); #44970=EDGE_LOOP('',(#244839,#244840,#244841,#244842)); #44971=EDGE_LOOP('',(#244843,#244844,#244845,#244846)); #44972=EDGE_LOOP('',(#244847,#244848,#244849,#244850)); #44973=EDGE_LOOP('',(#244851,#244852,#244853,#244854)); #44974=EDGE_LOOP('',(#244855,#244856,#244857,#244858)); #44975=EDGE_LOOP('',(#244859,#244860,#244861,#244862)); #44976=EDGE_LOOP('',(#244863,#244864,#244865,#244866)); #44977=EDGE_LOOP('',(#244867,#244868,#244869,#244870)); #44978=EDGE_LOOP('',(#244871,#244872,#244873,#244874)); #44979=EDGE_LOOP('',(#244875,#244876,#244877,#244878)); #44980=EDGE_LOOP('',(#244879,#244880,#244881,#244882)); #44981=EDGE_LOOP('',(#244883,#244884,#244885,#244886)); #44982=EDGE_LOOP('',(#244887,#244888,#244889,#244890)); #44983=EDGE_LOOP('',(#244891,#244892,#244893,#244894)); #44984=EDGE_LOOP('',(#244895,#244896,#244897,#244898)); #44985=EDGE_LOOP('',(#244899,#244900,#244901,#244902)); #44986=EDGE_LOOP('',(#244903,#244904,#244905,#244906)); #44987=EDGE_LOOP('',(#244907,#244908,#244909,#244910)); #44988=EDGE_LOOP('',(#244911,#244912,#244913,#244914)); #44989=EDGE_LOOP('',(#244915,#244916,#244917,#244918)); #44990=EDGE_LOOP('',(#244919,#244920,#244921,#244922)); #44991=EDGE_LOOP('',(#244923,#244924,#244925,#244926)); #44992=EDGE_LOOP('',(#244927,#244928,#244929,#244930)); #44993=EDGE_LOOP('',(#244931,#244932,#244933,#244934)); #44994=EDGE_LOOP('',(#244935,#244936,#244937,#244938)); #44995=EDGE_LOOP('',(#244939,#244940,#244941,#244942)); #44996=EDGE_LOOP('',(#244943,#244944,#244945,#244946)); #44997=EDGE_LOOP('',(#244947,#244948,#244949,#244950)); #44998=EDGE_LOOP('',(#244951,#244952,#244953,#244954)); #44999=EDGE_LOOP('',(#244955,#244956,#244957,#244958)); #45000=EDGE_LOOP('',(#244959,#244960,#244961,#244962)); #45001=EDGE_LOOP('',(#244963,#244964,#244965,#244966)); #45002=EDGE_LOOP('',(#244967,#244968,#244969,#244970)); #45003=EDGE_LOOP('',(#244971,#244972,#244973,#244974)); #45004=EDGE_LOOP('',(#244975,#244976,#244977,#244978,#244979,#244980,#244981, #244982,#244983,#244984,#244985,#244986,#244987,#244988,#244989,#244990, #244991,#244992,#244993,#244994,#244995,#244996,#244997,#244998,#244999, #245000,#245001,#245002,#245003,#245004,#245005,#245006,#245007,#245008, #245009,#245010,#245011,#245012)); #45005=EDGE_LOOP('',(#245013,#245014,#245015,#245016,#245017,#245018,#245019, #245020,#245021,#245022,#245023,#245024,#245025,#245026,#245027,#245028, #245029,#245030,#245031,#245032,#245033,#245034,#245035,#245036,#245037, #245038,#245039,#245040,#245041,#245042,#245043,#245044,#245045,#245046, #245047,#245048,#245049,#245050)); #45006=EDGE_LOOP('',(#245051,#245052,#245053,#245054)); #45007=EDGE_LOOP('',(#245055,#245056,#245057,#245058)); #45008=EDGE_LOOP('',(#245059,#245060,#245061,#245062)); #45009=EDGE_LOOP('',(#245063,#245064,#245065,#245066)); #45010=EDGE_LOOP('',(#245067,#245068,#245069,#245070)); #45011=EDGE_LOOP('',(#245071,#245072,#245073,#245074)); #45012=EDGE_LOOP('',(#245075,#245076,#245077,#245078)); #45013=EDGE_LOOP('',(#245079,#245080,#245081,#245082)); #45014=EDGE_LOOP('',(#245083,#245084,#245085,#245086)); #45015=EDGE_LOOP('',(#245087,#245088,#245089,#245090)); #45016=EDGE_LOOP('',(#245091,#245092,#245093,#245094)); #45017=EDGE_LOOP('',(#245095,#245096,#245097,#245098)); #45018=EDGE_LOOP('',(#245099,#245100,#245101,#245102)); #45019=EDGE_LOOP('',(#245103,#245104,#245105,#245106)); #45020=EDGE_LOOP('',(#245107,#245108,#245109,#245110)); #45021=EDGE_LOOP('',(#245111,#245112,#245113,#245114)); #45022=EDGE_LOOP('',(#245115,#245116,#245117,#245118)); #45023=EDGE_LOOP('',(#245119,#245120,#245121,#245122)); #45024=EDGE_LOOP('',(#245123,#245124,#245125,#245126)); #45025=EDGE_LOOP('',(#245127,#245128,#245129,#245130)); #45026=EDGE_LOOP('',(#245131,#245132,#245133,#245134,#245135,#245136,#245137, #245138,#245139,#245140,#245141,#245142,#245143,#245144,#245145,#245146, #245147,#245148,#245149,#245150)); #45027=EDGE_LOOP('',(#245151,#245152,#245153,#245154,#245155,#245156,#245157, #245158,#245159,#245160,#245161,#245162,#245163,#245164,#245165,#245166, #245167,#245168,#245169,#245170)); #45028=EDGE_LOOP('',(#245171,#245172,#245173,#245174)); #45029=EDGE_LOOP('',(#245175,#245176,#245177,#245178)); #45030=EDGE_LOOP('',(#245179,#245180,#245181,#245182)); #45031=EDGE_LOOP('',(#245183,#245184,#245185,#245186)); #45032=EDGE_LOOP('',(#245187,#245188,#245189,#245190)); #45033=EDGE_LOOP('',(#245191,#245192,#245193,#245194)); #45034=EDGE_LOOP('',(#245195,#245196,#245197,#245198)); #45035=EDGE_LOOP('',(#245199,#245200,#245201,#245202)); #45036=EDGE_LOOP('',(#245203,#245204,#245205,#245206)); #45037=EDGE_LOOP('',(#245207,#245208,#245209,#245210)); #45038=EDGE_LOOP('',(#245211,#245212,#245213,#245214)); #45039=EDGE_LOOP('',(#245215,#245216,#245217,#245218)); #45040=EDGE_LOOP('',(#245219,#245220,#245221,#245222)); #45041=EDGE_LOOP('',(#245223,#245224,#245225,#245226)); #45042=EDGE_LOOP('',(#245227,#245228,#245229,#245230)); #45043=EDGE_LOOP('',(#245231,#245232,#245233,#245234)); #45044=EDGE_LOOP('',(#245235,#245236,#245237,#245238)); #45045=EDGE_LOOP('',(#245239,#245240,#245241,#245242)); #45046=EDGE_LOOP('',(#245243,#245244,#245245,#245246)); #45047=EDGE_LOOP('',(#245247,#245248,#245249,#245250)); #45048=EDGE_LOOP('',(#245251,#245252,#245253,#245254)); #45049=EDGE_LOOP('',(#245255,#245256,#245257,#245258)); #45050=EDGE_LOOP('',(#245259,#245260,#245261,#245262,#245263,#245264,#245265, #245266,#245267,#245268,#245269,#245270,#245271,#245272,#245273,#245274, #245275,#245276,#245277,#245278,#245279)); #45051=EDGE_LOOP('',(#245280)); #45052=EDGE_LOOP('',(#245281,#245282,#245283,#245284,#245285,#245286,#245287, #245288,#245289,#245290,#245291,#245292,#245293,#245294,#245295,#245296, #245297,#245298,#245299,#245300,#245301)); #45053=EDGE_LOOP('',(#245302)); #45054=EDGE_LOOP('',(#245303,#245304,#245305,#245306)); #45055=EDGE_LOOP('',(#245307,#245308,#245309,#245310)); #45056=EDGE_LOOP('',(#245311,#245312,#245313,#245314)); #45057=EDGE_LOOP('',(#245315,#245316,#245317,#245318)); #45058=EDGE_LOOP('',(#245319,#245320,#245321,#245322)); #45059=EDGE_LOOP('',(#245323,#245324,#245325,#245326)); #45060=EDGE_LOOP('',(#245327,#245328,#245329,#245330)); #45061=EDGE_LOOP('',(#245331,#245332,#245333,#245334)); #45062=EDGE_LOOP('',(#245335,#245336,#245337,#245338)); #45063=EDGE_LOOP('',(#245339,#245340,#245341,#245342)); #45064=EDGE_LOOP('',(#245343,#245344,#245345,#245346)); #45065=EDGE_LOOP('',(#245347,#245348,#245349,#245350)); #45066=EDGE_LOOP('',(#245351,#245352,#245353,#245354)); #45067=EDGE_LOOP('',(#245355,#245356,#245357,#245358)); #45068=EDGE_LOOP('',(#245359,#245360,#245361,#245362)); #45069=EDGE_LOOP('',(#245363,#245364,#245365,#245366)); #45070=EDGE_LOOP('',(#245367,#245368,#245369,#245370)); #45071=EDGE_LOOP('',(#245371,#245372,#245373,#245374,#245375,#245376,#245377, #245378,#245379,#245380,#245381,#245382,#245383,#245384,#245385,#245386)); #45072=EDGE_LOOP('',(#245387)); #45073=EDGE_LOOP('',(#245388,#245389,#245390,#245391,#245392,#245393,#245394, #245395,#245396,#245397,#245398,#245399,#245400,#245401,#245402,#245403)); #45074=EDGE_LOOP('',(#245404)); #45075=EDGE_LOOP('',(#245405,#245406,#245407,#245408)); #45076=EDGE_LOOP('',(#245409,#245410,#245411,#245412)); #45077=EDGE_LOOP('',(#245413,#245414,#245415,#245416)); #45078=EDGE_LOOP('',(#245417,#245418,#245419,#245420)); #45079=EDGE_LOOP('',(#245421,#245422,#245423,#245424)); #45080=EDGE_LOOP('',(#245425,#245426,#245427,#245428)); #45081=EDGE_LOOP('',(#245429,#245430,#245431,#245432)); #45082=EDGE_LOOP('',(#245433,#245434,#245435,#245436)); #45083=EDGE_LOOP('',(#245437,#245438,#245439,#245440)); #45084=EDGE_LOOP('',(#245441,#245442,#245443,#245444)); #45085=EDGE_LOOP('',(#245445,#245446,#245447,#245448)); #45086=EDGE_LOOP('',(#245449,#245450,#245451,#245452)); #45087=EDGE_LOOP('',(#245453,#245454,#245455,#245456)); #45088=EDGE_LOOP('',(#245457,#245458,#245459,#245460)); #45089=EDGE_LOOP('',(#245461,#245462,#245463,#245464,#245465,#245466,#245467, #245468,#245469,#245470,#245471,#245472,#245473)); #45090=EDGE_LOOP('',(#245474)); #45091=EDGE_LOOP('',(#245475,#245476,#245477,#245478,#245479,#245480,#245481, #245482,#245483,#245484,#245485,#245486,#245487)); #45092=EDGE_LOOP('',(#245488)); #45093=EDGE_LOOP('',(#245489,#245490,#245491,#245492)); #45094=EDGE_LOOP('',(#245493,#245494,#245495,#245496)); #45095=EDGE_LOOP('',(#245497,#245498,#245499,#245500)); #45096=EDGE_LOOP('',(#245501,#245502,#245503,#245504)); #45097=EDGE_LOOP('',(#245505,#245506,#245507,#245508)); #45098=EDGE_LOOP('',(#245509,#245510,#245511,#245512)); #45099=EDGE_LOOP('',(#245513,#245514,#245515,#245516)); #45100=EDGE_LOOP('',(#245517,#245518,#245519,#245520)); #45101=EDGE_LOOP('',(#245521,#245522,#245523,#245524)); #45102=EDGE_LOOP('',(#245525,#245526,#245527,#245528)); #45103=EDGE_LOOP('',(#245529,#245530,#245531,#245532)); #45104=EDGE_LOOP('',(#245533,#245534,#245535,#245536)); #45105=EDGE_LOOP('',(#245537,#245538,#245539,#245540)); #45106=EDGE_LOOP('',(#245541,#245542,#245543,#245544)); #45107=EDGE_LOOP('',(#245545,#245546,#245547,#245548)); #45108=EDGE_LOOP('',(#245549,#245550,#245551,#245552)); #45109=EDGE_LOOP('',(#245553,#245554,#245555,#245556)); #45110=EDGE_LOOP('',(#245557,#245558,#245559,#245560)); #45111=EDGE_LOOP('',(#245561,#245562,#245563,#245564)); #45112=EDGE_LOOP('',(#245565,#245566,#245567,#245568,#245569,#245570,#245571, #245572,#245573,#245574,#245575,#245576,#245577,#245578,#245579,#245580, #245581,#245582)); #45113=EDGE_LOOP('',(#245583)); #45114=EDGE_LOOP('',(#245584,#245585,#245586,#245587,#245588,#245589,#245590, #245591,#245592,#245593,#245594,#245595,#245596,#245597,#245598,#245599, #245600,#245601)); #45115=EDGE_LOOP('',(#245602)); #45116=EDGE_LOOP('',(#245603,#245604,#245605,#245606)); #45117=EDGE_LOOP('',(#245607,#245608,#245609,#245610)); #45118=EDGE_LOOP('',(#245611,#245612,#245613,#245614)); #45119=EDGE_LOOP('',(#245615,#245616,#245617,#245618)); #45120=EDGE_LOOP('',(#245619,#245620,#245621,#245622)); #45121=EDGE_LOOP('',(#245623,#245624,#245625,#245626)); #45122=EDGE_LOOP('',(#245627,#245628,#245629,#245630)); #45123=EDGE_LOOP('',(#245631,#245632,#245633,#245634)); #45124=EDGE_LOOP('',(#245635,#245636,#245637,#245638)); #45125=EDGE_LOOP('',(#245639,#245640,#245641,#245642)); #45126=EDGE_LOOP('',(#245643,#245644,#245645,#245646)); #45127=EDGE_LOOP('',(#245647,#245648,#245649,#245650)); #45128=EDGE_LOOP('',(#245651,#245652,#245653,#245654)); #45129=EDGE_LOOP('',(#245655,#245656,#245657,#245658)); #45130=EDGE_LOOP('',(#245659,#245660,#245661,#245662,#245663,#245664,#245665, #245666,#245667,#245668,#245669,#245670,#245671)); #45131=EDGE_LOOP('',(#245672)); #45132=EDGE_LOOP('',(#245673,#245674,#245675,#245676,#245677,#245678,#245679, #245680,#245681,#245682,#245683,#245684,#245685)); #45133=EDGE_LOOP('',(#245686)); #45134=EDGE_LOOP('',(#245687,#245688,#245689,#245690)); #45135=EDGE_LOOP('',(#245691,#245692,#245693,#245694)); #45136=EDGE_LOOP('',(#245695,#245696,#245697,#245698)); #45137=EDGE_LOOP('',(#245699,#245700,#245701,#245702)); #45138=EDGE_LOOP('',(#245703,#245704,#245705,#245706)); #45139=EDGE_LOOP('',(#245707,#245708,#245709,#245710)); #45140=EDGE_LOOP('',(#245711,#245712,#245713,#245714)); #45141=EDGE_LOOP('',(#245715,#245716,#245717,#245718)); #45142=EDGE_LOOP('',(#245719,#245720,#245721,#245722)); #45143=EDGE_LOOP('',(#245723,#245724,#245725,#245726)); #45144=EDGE_LOOP('',(#245727,#245728,#245729,#245730)); #45145=EDGE_LOOP('',(#245731,#245732,#245733,#245734)); #45146=EDGE_LOOP('',(#245735,#245736,#245737,#245738)); #45147=EDGE_LOOP('',(#245739,#245740,#245741,#245742)); #45148=EDGE_LOOP('',(#245743,#245744,#245745,#245746)); #45149=EDGE_LOOP('',(#245747,#245748,#245749,#245750)); #45150=EDGE_LOOP('',(#245751,#245752,#245753,#245754)); #45151=EDGE_LOOP('',(#245755,#245756,#245757,#245758)); #45152=EDGE_LOOP('',(#245759,#245760,#245761,#245762)); #45153=EDGE_LOOP('',(#245763,#245764,#245765,#245766,#245767,#245768,#245769, #245770,#245771,#245772,#245773,#245774,#245775,#245776,#245777,#245778, #245779,#245780)); #45154=EDGE_LOOP('',(#245781)); #45155=EDGE_LOOP('',(#245782,#245783,#245784,#245785,#245786,#245787,#245788, #245789,#245790,#245791,#245792,#245793,#245794,#245795,#245796,#245797, #245798,#245799)); #45156=EDGE_LOOP('',(#245800)); #45157=EDGE_LOOP('',(#245801,#245802,#245803,#245804)); #45158=EDGE_LOOP('',(#245805,#245806,#245807,#245808)); #45159=EDGE_LOOP('',(#245809,#245810,#245811,#245812)); #45160=EDGE_LOOP('',(#245813,#245814,#245815,#245816)); #45161=EDGE_LOOP('',(#245817,#245818,#245819,#245820)); #45162=EDGE_LOOP('',(#245821,#245822,#245823,#245824)); #45163=EDGE_LOOP('',(#245825,#245826,#245827,#245828)); #45164=EDGE_LOOP('',(#245829,#245830,#245831,#245832)); #45165=EDGE_LOOP('',(#245833,#245834,#245835,#245836)); #45166=EDGE_LOOP('',(#245837,#245838,#245839,#245840)); #45167=EDGE_LOOP('',(#245841,#245842,#245843,#245844)); #45168=EDGE_LOOP('',(#245845,#245846,#245847,#245848)); #45169=EDGE_LOOP('',(#245849,#245850,#245851,#245852,#245853,#245854,#245855, #245856,#245857,#245858,#245859)); #45170=EDGE_LOOP('',(#245860)); #45171=EDGE_LOOP('',(#245861,#245862,#245863,#245864,#245865,#245866,#245867, #245868,#245869,#245870,#245871)); #45172=EDGE_LOOP('',(#245872)); #45173=EDGE_LOOP('',(#245873,#245874,#245875,#245876)); #45174=EDGE_LOOP('',(#245877,#245878,#245879,#245880)); #45175=EDGE_LOOP('',(#245881,#245882,#245883,#245884)); #45176=EDGE_LOOP('',(#245885,#245886,#245887,#245888)); #45177=EDGE_LOOP('',(#245889,#245890,#245891,#245892)); #45178=EDGE_LOOP('',(#245893,#245894,#245895,#245896)); #45179=EDGE_LOOP('',(#245897,#245898,#245899,#245900)); #45180=EDGE_LOOP('',(#245901,#245902,#245903,#245904)); #45181=EDGE_LOOP('',(#245905,#245906,#245907,#245908)); #45182=EDGE_LOOP('',(#245909,#245910,#245911,#245912)); #45183=EDGE_LOOP('',(#245913,#245914,#245915,#245916)); #45184=EDGE_LOOP('',(#245917,#245918,#245919,#245920)); #45185=EDGE_LOOP('',(#245921,#245922,#245923,#245924,#245925,#245926,#245927, #245928,#245929,#245930,#245931)); #45186=EDGE_LOOP('',(#245932)); #45187=EDGE_LOOP('',(#245933,#245934,#245935,#245936,#245937,#245938,#245939, #245940,#245941,#245942,#245943)); #45188=EDGE_LOOP('',(#245944)); #45189=EDGE_LOOP('',(#245945,#245946,#245947,#245948)); #45190=EDGE_LOOP('',(#245949,#245950,#245951,#245952)); #45191=EDGE_LOOP('',(#245953,#245954,#245955,#245956)); #45192=EDGE_LOOP('',(#245957,#245958,#245959,#245960)); #45193=EDGE_LOOP('',(#245961,#245962,#245963,#245964)); #45194=EDGE_LOOP('',(#245965,#245966,#245967,#245968)); #45195=EDGE_LOOP('',(#245969,#245970,#245971,#245972)); #45196=EDGE_LOOP('',(#245973,#245974,#245975,#245976)); #45197=EDGE_LOOP('',(#245977,#245978,#245979,#245980)); #45198=EDGE_LOOP('',(#245981,#245982,#245983,#245984)); #45199=EDGE_LOOP('',(#245985,#245986,#245987,#245988)); #45200=EDGE_LOOP('',(#245989,#245990,#245991,#245992)); #45201=EDGE_LOOP('',(#245993,#245994,#245995,#245996)); #45202=EDGE_LOOP('',(#245997,#245998,#245999,#246000)); #45203=EDGE_LOOP('',(#246001,#246002,#246003,#246004)); #45204=EDGE_LOOP('',(#246005,#246006,#246007,#246008)); #45205=EDGE_LOOP('',(#246009,#246010,#246011,#246012)); #45206=EDGE_LOOP('',(#246013,#246014,#246015,#246016)); #45207=EDGE_LOOP('',(#246017,#246018,#246019,#246020)); #45208=EDGE_LOOP('',(#246021,#246022,#246023,#246024)); #45209=EDGE_LOOP('',(#246025,#246026,#246027,#246028)); #45210=EDGE_LOOP('',(#246029,#246030,#246031,#246032)); #45211=EDGE_LOOP('',(#246033,#246034,#246035,#246036)); #45212=EDGE_LOOP('',(#246037,#246038,#246039,#246040)); #45213=EDGE_LOOP('',(#246041,#246042,#246043,#246044,#246045,#246046,#246047, #246048,#246049,#246050,#246051,#246052,#246053,#246054,#246055,#246056, #246057,#246058,#246059,#246060,#246061,#246062,#246063)); #45214=EDGE_LOOP('',(#246064)); #45215=EDGE_LOOP('',(#246065,#246066,#246067,#246068,#246069,#246070,#246071, #246072,#246073,#246074,#246075,#246076,#246077,#246078,#246079,#246080, #246081,#246082,#246083,#246084,#246085,#246086,#246087)); #45216=EDGE_LOOP('',(#246088)); #45217=EDGE_LOOP('',(#246089,#246090,#246091,#246092)); #45218=EDGE_LOOP('',(#246093,#246094,#246095,#246096)); #45219=EDGE_LOOP('',(#246097,#246098,#246099,#246100)); #45220=EDGE_LOOP('',(#246101,#246102,#246103,#246104)); #45221=EDGE_LOOP('',(#246105,#246106,#246107,#246108)); #45222=EDGE_LOOP('',(#246109,#246110,#246111,#246112)); #45223=EDGE_LOOP('',(#246113,#246114,#246115,#246116)); #45224=EDGE_LOOP('',(#246117,#246118,#246119,#246120)); #45225=EDGE_LOOP('',(#246121,#246122,#246123,#246124)); #45226=EDGE_LOOP('',(#246125,#246126,#246127,#246128)); #45227=EDGE_LOOP('',(#246129,#246130,#246131,#246132)); #45228=EDGE_LOOP('',(#246133,#246134,#246135,#246136)); #45229=EDGE_LOOP('',(#246137,#246138,#246139,#246140)); #45230=EDGE_LOOP('',(#246141,#246142,#246143,#246144)); #45231=EDGE_LOOP('',(#246145,#246146,#246147,#246148)); #45232=EDGE_LOOP('',(#246149,#246150,#246151,#246152)); #45233=EDGE_LOOP('',(#246153,#246154,#246155,#246156)); #45234=EDGE_LOOP('',(#246157,#246158,#246159,#246160)); #45235=EDGE_LOOP('',(#246161,#246162,#246163,#246164,#246165,#246166,#246167, #246168,#246169,#246170,#246171,#246172,#246173,#246174,#246175,#246176, #246177)); #45236=EDGE_LOOP('',(#246178)); #45237=EDGE_LOOP('',(#246179,#246180,#246181,#246182,#246183,#246184,#246185, #246186,#246187,#246188,#246189,#246190,#246191,#246192,#246193,#246194, #246195)); #45238=EDGE_LOOP('',(#246196)); #45239=EDGE_LOOP('',(#246197,#246198,#246199,#246200)); #45240=EDGE_LOOP('',(#246201,#246202,#246203,#246204)); #45241=EDGE_LOOP('',(#246205,#246206,#246207,#246208)); #45242=EDGE_LOOP('',(#246209,#246210,#246211,#246212)); #45243=EDGE_LOOP('',(#246213,#246214,#246215,#246216)); #45244=EDGE_LOOP('',(#246217,#246218,#246219,#246220)); #45245=EDGE_LOOP('',(#246221,#246222,#246223,#246224)); #45246=EDGE_LOOP('',(#246225,#246226,#246227,#246228)); #45247=EDGE_LOOP('',(#246229,#246230,#246231,#246232)); #45248=EDGE_LOOP('',(#246233,#246234,#246235,#246236)); #45249=EDGE_LOOP('',(#246237,#246238,#246239,#246240)); #45250=EDGE_LOOP('',(#246241,#246242,#246243,#246244)); #45251=EDGE_LOOP('',(#246245,#246246,#246247,#246248)); #45252=EDGE_LOOP('',(#246249,#246250,#246251,#246252)); #45253=EDGE_LOOP('',(#246253,#246254,#246255,#246256)); #45254=EDGE_LOOP('',(#246257,#246258,#246259,#246260)); #45255=EDGE_LOOP('',(#246261,#246262,#246263,#246264)); #45256=EDGE_LOOP('',(#246265,#246266,#246267,#246268)); #45257=EDGE_LOOP('',(#246269,#246270,#246271,#246272)); #45258=EDGE_LOOP('',(#246273,#246274,#246275,#246276)); #45259=EDGE_LOOP('',(#246277,#246278,#246279,#246280)); #45260=EDGE_LOOP('',(#246281,#246282,#246283,#246284)); #45261=EDGE_LOOP('',(#246285,#246286,#246287,#246288)); #45262=EDGE_LOOP('',(#246289,#246290,#246291,#246292)); #45263=EDGE_LOOP('',(#246293,#246294,#246295,#246296,#246297,#246298,#246299, #246300,#246301,#246302,#246303,#246304,#246305,#246306,#246307,#246308, #246309,#246310,#246311,#246312,#246313,#246314,#246315)); #45264=EDGE_LOOP('',(#246316)); #45265=EDGE_LOOP('',(#246317,#246318,#246319,#246320,#246321,#246322,#246323, #246324,#246325,#246326,#246327,#246328,#246329,#246330,#246331,#246332, #246333,#246334,#246335,#246336,#246337,#246338,#246339)); #45266=EDGE_LOOP('',(#246340)); #45267=EDGE_LOOP('',(#246341,#246342,#246343,#246344)); #45268=EDGE_LOOP('',(#246345,#246346,#246347,#246348)); #45269=EDGE_LOOP('',(#246349,#246350,#246351,#246352)); #45270=EDGE_LOOP('',(#246353,#246354,#246355,#246356)); #45271=EDGE_LOOP('',(#246357,#246358,#246359,#246360)); #45272=EDGE_LOOP('',(#246361,#246362,#246363,#246364)); #45273=EDGE_LOOP('',(#246365,#246366,#246367,#246368)); #45274=EDGE_LOOP('',(#246369,#246370,#246371,#246372)); #45275=EDGE_LOOP('',(#246373,#246374,#246375,#246376)); #45276=EDGE_LOOP('',(#246377,#246378,#246379,#246380)); #45277=EDGE_LOOP('',(#246381,#246382,#246383,#246384)); #45278=EDGE_LOOP('',(#246385,#246386,#246387,#246388)); #45279=EDGE_LOOP('',(#246389,#246390,#246391,#246392)); #45280=EDGE_LOOP('',(#246393,#246394,#246395,#246396)); #45281=EDGE_LOOP('',(#246397,#246398,#246399,#246400)); #45282=EDGE_LOOP('',(#246401,#246402,#246403,#246404)); #45283=EDGE_LOOP('',(#246405,#246406,#246407,#246408)); #45284=EDGE_LOOP('',(#246409,#246410,#246411,#246412)); #45285=EDGE_LOOP('',(#246413,#246414,#246415,#246416)); #45286=EDGE_LOOP('',(#246417,#246418,#246419,#246420)); #45287=EDGE_LOOP('',(#246421,#246422,#246423,#246424)); #45288=EDGE_LOOP('',(#246425,#246426,#246427,#246428)); #45289=EDGE_LOOP('',(#246429,#246430,#246431,#246432)); #45290=EDGE_LOOP('',(#246433,#246434,#246435,#246436)); #45291=EDGE_LOOP('',(#246437,#246438,#246439,#246440,#246441,#246442,#246443, #246444,#246445,#246446,#246447,#246448,#246449,#246450,#246451,#246452, #246453,#246454,#246455,#246456,#246457,#246458,#246459,#246460)); #45292=EDGE_LOOP('',(#246461,#246462,#246463,#246464,#246465,#246466,#246467, #246468,#246469,#246470,#246471,#246472,#246473,#246474,#246475,#246476, #246477,#246478,#246479,#246480,#246481,#246482,#246483,#246484)); #45293=EDGE_LOOP('',(#246485,#246486,#246487,#246488)); #45294=EDGE_LOOP('',(#246489,#246490,#246491,#246492)); #45295=EDGE_LOOP('',(#246493,#246494,#246495,#246496)); #45296=EDGE_LOOP('',(#246497,#246498,#246499,#246500)); #45297=EDGE_LOOP('',(#246501,#246502,#246503,#246504)); #45298=EDGE_LOOP('',(#246505,#246506,#246507,#246508)); #45299=EDGE_LOOP('',(#246509)); #45300=EDGE_LOOP('',(#246510,#246511,#246512,#246513)); #45301=EDGE_LOOP('',(#246514)); #45302=EDGE_LOOP('',(#246515,#246516,#246517,#246518)); #45303=EDGE_LOOP('',(#246519,#246520,#246521,#246522)); #45304=EDGE_LOOP('',(#246523,#246524,#246525,#246526)); #45305=EDGE_LOOP('',(#246527,#246528,#246529,#246530)); #45306=EDGE_LOOP('',(#246531,#246532,#246533,#246534)); #45307=EDGE_LOOP('',(#246535,#246536,#246537,#246538)); #45308=EDGE_LOOP('',(#246539,#246540,#246541,#246542)); #45309=EDGE_LOOP('',(#246543,#246544,#246545,#246546)); #45310=EDGE_LOOP('',(#246547,#246548,#246549,#246550)); #45311=EDGE_LOOP('',(#246551,#246552,#246553,#246554)); #45312=EDGE_LOOP('',(#246555,#246556,#246557,#246558)); #45313=EDGE_LOOP('',(#246559,#246560,#246561,#246562)); #45314=EDGE_LOOP('',(#246563,#246564,#246565,#246566)); #45315=EDGE_LOOP('',(#246567,#246568,#246569,#246570)); #45316=EDGE_LOOP('',(#246571,#246572,#246573,#246574)); #45317=EDGE_LOOP('',(#246575,#246576,#246577,#246578)); #45318=EDGE_LOOP('',(#246579,#246580,#246581,#246582)); #45319=EDGE_LOOP('',(#246583,#246584,#246585,#246586)); #45320=EDGE_LOOP('',(#246587,#246588,#246589,#246590)); #45321=EDGE_LOOP('',(#246591,#246592,#246593,#246594)); #45322=EDGE_LOOP('',(#246595,#246596,#246597,#246598)); #45323=EDGE_LOOP('',(#246599,#246600,#246601,#246602)); #45324=EDGE_LOOP('',(#246603,#246604,#246605,#246606)); #45325=EDGE_LOOP('',(#246607,#246608,#246609,#246610)); #45326=EDGE_LOOP('',(#246611,#246612,#246613,#246614)); #45327=EDGE_LOOP('',(#246615,#246616,#246617,#246618,#246619,#246620,#246621, #246622,#246623,#246624,#246625,#246626,#246627,#246628,#246629,#246630, #246631,#246632,#246633,#246634,#246635,#246636,#246637,#246638)); #45328=EDGE_LOOP('',(#246639)); #45329=EDGE_LOOP('',(#246640,#246641,#246642,#246643,#246644,#246645,#246646, #246647,#246648,#246649,#246650,#246651,#246652,#246653,#246654,#246655, #246656,#246657,#246658,#246659,#246660,#246661,#246662,#246663)); #45330=EDGE_LOOP('',(#246664)); #45331=EDGE_LOOP('',(#246665,#246666,#246667,#246668)); #45332=EDGE_LOOP('',(#246669,#246670,#246671,#246672)); #45333=EDGE_LOOP('',(#246673,#246674,#246675,#246676)); #45334=EDGE_LOOP('',(#246677,#246678,#246679,#246680)); #45335=EDGE_LOOP('',(#246681,#246682,#246683,#246684)); #45336=EDGE_LOOP('',(#246685,#246686,#246687,#246688)); #45337=EDGE_LOOP('',(#246689,#246690,#246691,#246692)); #45338=EDGE_LOOP('',(#246693,#246694,#246695,#246696)); #45339=EDGE_LOOP('',(#246697,#246698,#246699,#246700)); #45340=EDGE_LOOP('',(#246701,#246702,#246703,#246704)); #45341=EDGE_LOOP('',(#246705,#246706,#246707,#246708)); #45342=EDGE_LOOP('',(#246709,#246710,#246711,#246712)); #45343=EDGE_LOOP('',(#246713,#246714,#246715,#246716)); #45344=EDGE_LOOP('',(#246717,#246718,#246719,#246720)); #45345=EDGE_LOOP('',(#246721,#246722,#246723,#246724)); #45346=EDGE_LOOP('',(#246725,#246726,#246727,#246728)); #45347=EDGE_LOOP('',(#246729,#246730,#246731,#246732,#246733,#246734,#246735, #246736,#246737,#246738,#246739,#246740,#246741,#246742,#246743)); #45348=EDGE_LOOP('',(#246744)); #45349=EDGE_LOOP('',(#246745,#246746,#246747,#246748,#246749,#246750,#246751, #246752,#246753,#246754,#246755,#246756,#246757,#246758,#246759)); #45350=EDGE_LOOP('',(#246760)); #45351=EDGE_LOOP('',(#246761,#246762,#246763,#246764)); #45352=EDGE_LOOP('',(#246765,#246766,#246767,#246768)); #45353=EDGE_LOOP('',(#246769,#246770,#246771,#246772)); #45354=EDGE_LOOP('',(#246773,#246774,#246775,#246776)); #45355=EDGE_LOOP('',(#246777,#246778,#246779,#246780)); #45356=EDGE_LOOP('',(#246781,#246782,#246783,#246784)); #45357=EDGE_LOOP('',(#246785,#246786,#246787,#246788)); #45358=EDGE_LOOP('',(#246789,#246790,#246791,#246792)); #45359=EDGE_LOOP('',(#246793,#246794,#246795,#246796)); #45360=EDGE_LOOP('',(#246797,#246798,#246799,#246800)); #45361=EDGE_LOOP('',(#246801,#246802,#246803,#246804)); #45362=EDGE_LOOP('',(#246805,#246806,#246807,#246808)); #45363=EDGE_LOOP('',(#246809,#246810,#246811,#246812)); #45364=EDGE_LOOP('',(#246813,#246814,#246815,#246816)); #45365=EDGE_LOOP('',(#246817,#246818,#246819,#246820)); #45366=EDGE_LOOP('',(#246821,#246822,#246823,#246824)); #45367=EDGE_LOOP('',(#246825,#246826,#246827,#246828)); #45368=EDGE_LOOP('',(#246829,#246830,#246831,#246832)); #45369=EDGE_LOOP('',(#246833,#246834,#246835,#246836)); #45370=EDGE_LOOP('',(#246837,#246838,#246839,#246840)); #45371=EDGE_LOOP('',(#246841,#246842,#246843,#246844)); #45372=EDGE_LOOP('',(#246845,#246846,#246847,#246848)); #45373=EDGE_LOOP('',(#246849,#246850,#246851,#246852)); #45374=EDGE_LOOP('',(#246853,#246854,#246855,#246856)); #45375=EDGE_LOOP('',(#246857,#246858,#246859,#246860)); #45376=EDGE_LOOP('',(#246861,#246862,#246863,#246864)); #45377=EDGE_LOOP('',(#246865,#246866,#246867,#246868)); #45378=EDGE_LOOP('',(#246869,#246870,#246871,#246872)); #45379=EDGE_LOOP('',(#246873,#246874,#246875,#246876)); #45380=EDGE_LOOP('',(#246877,#246878,#246879,#246880)); #45381=EDGE_LOOP('',(#246881,#246882,#246883,#246884)); #45382=EDGE_LOOP('',(#246885,#246886,#246887,#246888)); #45383=EDGE_LOOP('',(#246889,#246890,#246891,#246892)); #45384=EDGE_LOOP('',(#246893,#246894,#246895,#246896)); #45385=EDGE_LOOP('',(#246897,#246898,#246899,#246900)); #45386=EDGE_LOOP('',(#246901,#246902,#246903,#246904)); #45387=EDGE_LOOP('',(#246905,#246906,#246907,#246908)); #45388=EDGE_LOOP('',(#246909,#246910,#246911,#246912)); #45389=EDGE_LOOP('',(#246913,#246914,#246915,#246916)); #45390=EDGE_LOOP('',(#246917,#246918,#246919,#246920)); #45391=EDGE_LOOP('',(#246921,#246922,#246923,#246924)); #45392=EDGE_LOOP('',(#246925,#246926,#246927,#246928)); #45393=EDGE_LOOP('',(#246929,#246930,#246931,#246932)); #45394=EDGE_LOOP('',(#246933,#246934,#246935,#246936)); #45395=EDGE_LOOP('',(#246937,#246938,#246939,#246940,#246941,#246942,#246943, #246944,#246945,#246946,#246947,#246948,#246949,#246950,#246951,#246952, #246953,#246954,#246955,#246956,#246957,#246958,#246959,#246960,#246961, #246962,#246963,#246964,#246965,#246966,#246967,#246968,#246969,#246970, #246971,#246972,#246973,#246974,#246975,#246976,#246977,#246978,#246979)); #45396=EDGE_LOOP('',(#246980)); #45397=EDGE_LOOP('',(#246981,#246982,#246983,#246984,#246985,#246986,#246987, #246988,#246989,#246990,#246991,#246992,#246993,#246994,#246995,#246996, #246997,#246998,#246999,#247000,#247001,#247002,#247003,#247004,#247005, #247006,#247007,#247008,#247009,#247010,#247011,#247012,#247013,#247014, #247015,#247016,#247017,#247018,#247019,#247020,#247021,#247022,#247023)); #45398=EDGE_LOOP('',(#247024)); #45399=EDGE_LOOP('',(#247025,#247026,#247027,#247028)); #45400=EDGE_LOOP('',(#247029,#247030,#247031,#247032)); #45401=EDGE_LOOP('',(#247033,#247034,#247035,#247036)); #45402=EDGE_LOOP('',(#247037,#247038,#247039,#247040)); #45403=EDGE_LOOP('',(#247041,#247042,#247043,#247044)); #45404=EDGE_LOOP('',(#247045,#247046,#247047,#247048)); #45405=EDGE_LOOP('',(#247049,#247050,#247051,#247052)); #45406=EDGE_LOOP('',(#247053,#247054,#247055,#247056)); #45407=EDGE_LOOP('',(#247057,#247058,#247059,#247060)); #45408=EDGE_LOOP('',(#247061,#247062,#247063,#247064)); #45409=EDGE_LOOP('',(#247065,#247066,#247067,#247068)); #45410=EDGE_LOOP('',(#247069,#247070,#247071,#247072)); #45411=EDGE_LOOP('',(#247073,#247074,#247075,#247076)); #45412=EDGE_LOOP('',(#247077,#247078,#247079,#247080)); #45413=EDGE_LOOP('',(#247081,#247082,#247083,#247084)); #45414=EDGE_LOOP('',(#247085,#247086,#247087,#247088)); #45415=EDGE_LOOP('',(#247089,#247090,#247091,#247092)); #45416=EDGE_LOOP('',(#247093,#247094,#247095,#247096)); #45417=EDGE_LOOP('',(#247097,#247098,#247099,#247100)); #45418=EDGE_LOOP('',(#247101,#247102,#247103,#247104)); #45419=EDGE_LOOP('',(#247105,#247106,#247107,#247108)); #45420=EDGE_LOOP('',(#247109,#247110,#247111,#247112)); #45421=EDGE_LOOP('',(#247113,#247114,#247115,#247116,#247117,#247118,#247119, #247120,#247121,#247122,#247123,#247124,#247125,#247126,#247127,#247128, #247129,#247130,#247131,#247132,#247133)); #45422=EDGE_LOOP('',(#247134)); #45423=EDGE_LOOP('',(#247135,#247136,#247137,#247138,#247139,#247140,#247141, #247142,#247143,#247144,#247145,#247146,#247147,#247148,#247149,#247150, #247151,#247152,#247153,#247154,#247155)); #45424=EDGE_LOOP('',(#247156)); #45425=EDGE_LOOP('',(#247157,#247158,#247159,#247160)); #45426=EDGE_LOOP('',(#247161,#247162,#247163,#247164)); #45427=EDGE_LOOP('',(#247165,#247166,#247167,#247168)); #45428=EDGE_LOOP('',(#247169,#247170,#247171,#247172)); #45429=EDGE_LOOP('',(#247173,#247174,#247175,#247176)); #45430=EDGE_LOOP('',(#247177,#247178,#247179,#247180)); #45431=EDGE_LOOP('',(#247181)); #45432=EDGE_LOOP('',(#247182,#247183,#247184,#247185)); #45433=EDGE_LOOP('',(#247186)); #45434=EDGE_LOOP('',(#247187,#247188,#247189,#247190)); #45435=EDGE_LOOP('',(#247191,#247192,#247193,#247194)); #45436=EDGE_LOOP('',(#247195,#247196,#247197,#247198)); #45437=EDGE_LOOP('',(#247199,#247200,#247201,#247202)); #45438=EDGE_LOOP('',(#247203,#247204,#247205,#247206)); #45439=EDGE_LOOP('',(#247207,#247208,#247209,#247210)); #45440=EDGE_LOOP('',(#247211)); #45441=EDGE_LOOP('',(#247212,#247213,#247214,#247215)); #45442=EDGE_LOOP('',(#247216)); #45443=EDGE_LOOP('',(#247217,#247218,#247219,#247220)); #45444=EDGE_LOOP('',(#247221,#247222,#247223,#247224)); #45445=EDGE_LOOP('',(#247225,#247226,#247227,#247228)); #45446=EDGE_LOOP('',(#247229,#247230,#247231,#247232)); #45447=EDGE_LOOP('',(#247233,#247234,#247235,#247236)); #45448=EDGE_LOOP('',(#247237,#247238,#247239,#247240)); #45449=EDGE_LOOP('',(#247241,#247242,#247243,#247244)); #45450=EDGE_LOOP('',(#247245,#247246,#247247,#247248)); #45451=EDGE_LOOP('',(#247249,#247250,#247251,#247252)); #45452=EDGE_LOOP('',(#247253,#247254,#247255,#247256)); #45453=EDGE_LOOP('',(#247257,#247258,#247259,#247260)); #45454=EDGE_LOOP('',(#247261,#247262,#247263,#247264)); #45455=EDGE_LOOP('',(#247265,#247266,#247267,#247268)); #45456=EDGE_LOOP('',(#247269,#247270,#247271,#247272)); #45457=EDGE_LOOP('',(#247273,#247274,#247275,#247276)); #45458=EDGE_LOOP('',(#247277,#247278,#247279,#247280,#247281,#247282,#247283, #247284,#247285,#247286,#247287,#247288,#247289,#247290)); #45459=EDGE_LOOP('',(#247291)); #45460=EDGE_LOOP('',(#247292,#247293,#247294,#247295,#247296,#247297,#247298, #247299,#247300,#247301,#247302,#247303,#247304,#247305)); #45461=EDGE_LOOP('',(#247306)); #45462=EDGE_LOOP('',(#247307,#247308,#247309,#247310)); #45463=EDGE_LOOP('',(#247311,#247312,#247313,#247314)); #45464=EDGE_LOOP('',(#247315,#247316,#247317,#247318)); #45465=EDGE_LOOP('',(#247319,#247320,#247321,#247322)); #45466=EDGE_LOOP('',(#247323,#247324,#247325)); #45467=EDGE_LOOP('',(#247326)); #45468=EDGE_LOOP('',(#247327,#247328,#247329)); #45469=EDGE_LOOP('',(#247330)); #45470=EDGE_LOOP('',(#247331,#247332,#247333,#247334)); #45471=EDGE_LOOP('',(#247335,#247336,#247337,#247338)); #45472=EDGE_LOOP('',(#247339,#247340,#247341,#247342)); #45473=EDGE_LOOP('',(#247343,#247344,#247345,#247346)); #45474=EDGE_LOOP('',(#247347,#247348,#247349,#247350)); #45475=EDGE_LOOP('',(#247351,#247352,#247353,#247354)); #45476=EDGE_LOOP('',(#247355,#247356,#247357,#247358)); #45477=EDGE_LOOP('',(#247359,#247360,#247361,#247362)); #45478=EDGE_LOOP('',(#247363,#247364,#247365,#247366)); #45479=EDGE_LOOP('',(#247367,#247368,#247369,#247370,#247371,#247372,#247373)); #45480=EDGE_LOOP('',(#247374)); #45481=EDGE_LOOP('',(#247375)); #45482=EDGE_LOOP('',(#247376,#247377,#247378,#247379,#247380,#247381,#247382)); #45483=EDGE_LOOP('',(#247383)); #45484=EDGE_LOOP('',(#247384)); #45485=EDGE_LOOP('',(#247385,#247386,#247387,#247388)); #45486=EDGE_LOOP('',(#247389,#247390,#247391,#247392)); #45487=EDGE_LOOP('',(#247393,#247394,#247395,#247396)); #45488=EDGE_LOOP('',(#247397,#247398,#247399,#247400)); #45489=EDGE_LOOP('',(#247401,#247402,#247403)); #45490=EDGE_LOOP('',(#247404)); #45491=EDGE_LOOP('',(#247405,#247406,#247407)); #45492=EDGE_LOOP('',(#247408)); #45493=EDGE_LOOP('',(#247409,#247410,#247411,#247412)); #45494=EDGE_LOOP('',(#247413,#247414,#247415,#247416)); #45495=EDGE_LOOP('',(#247417,#247418,#247419,#247420)); #45496=EDGE_LOOP('',(#247421,#247422,#247423,#247424)); #45497=EDGE_LOOP('',(#247425,#247426,#247427,#247428)); #45498=EDGE_LOOP('',(#247429,#247430,#247431,#247432)); #45499=EDGE_LOOP('',(#247433)); #45500=EDGE_LOOP('',(#247434,#247435,#247436,#247437)); #45501=EDGE_LOOP('',(#247438)); #45502=EDGE_LOOP('',(#247439,#247440,#247441,#247442)); #45503=EDGE_LOOP('',(#247443,#247444,#247445,#247446)); #45504=EDGE_LOOP('',(#247447,#247448,#247449,#247450)); #45505=EDGE_LOOP('',(#247451,#247452,#247453,#247454)); #45506=EDGE_LOOP('',(#247455,#247456,#247457,#247458)); #45507=EDGE_LOOP('',(#247459,#247460,#247461,#247462)); #45508=EDGE_LOOP('',(#247463,#247464,#247465,#247466)); #45509=EDGE_LOOP('',(#247467,#247468,#247469,#247470)); #45510=EDGE_LOOP('',(#247471,#247472,#247473,#247474)); #45511=EDGE_LOOP('',(#247475,#247476,#247477,#247478)); #45512=EDGE_LOOP('',(#247479,#247480,#247481,#247482)); #45513=EDGE_LOOP('',(#247483,#247484,#247485,#247486)); #45514=EDGE_LOOP('',(#247487,#247488,#247489,#247490)); #45515=EDGE_LOOP('',(#247491,#247492,#247493,#247494)); #45516=EDGE_LOOP('',(#247495,#247496,#247497,#247498)); #45517=EDGE_LOOP('',(#247499,#247500,#247501,#247502)); #45518=EDGE_LOOP('',(#247503,#247504,#247505,#247506)); #45519=EDGE_LOOP('',(#247507,#247508,#247509,#247510)); #45520=EDGE_LOOP('',(#247511,#247512,#247513,#247514)); #45521=EDGE_LOOP('',(#247515,#247516,#247517,#247518)); #45522=EDGE_LOOP('',(#247519,#247520,#247521,#247522)); #45523=EDGE_LOOP('',(#247523,#247524,#247525,#247526)); #45524=EDGE_LOOP('',(#247527,#247528,#247529,#247530)); #45525=EDGE_LOOP('',(#247531,#247532,#247533,#247534)); #45526=EDGE_LOOP('',(#247535,#247536,#247537,#247538)); #45527=EDGE_LOOP('',(#247539,#247540,#247541,#247542)); #45528=EDGE_LOOP('',(#247543,#247544,#247545,#247546)); #45529=EDGE_LOOP('',(#247547,#247548,#247549,#247550)); #45530=EDGE_LOOP('',(#247551,#247552,#247553,#247554)); #45531=EDGE_LOOP('',(#247555,#247556,#247557,#247558)); #45532=EDGE_LOOP('',(#247559,#247560,#247561,#247562)); #45533=EDGE_LOOP('',(#247563,#247564,#247565,#247566)); #45534=EDGE_LOOP('',(#247567,#247568,#247569,#247570)); #45535=EDGE_LOOP('',(#247571,#247572,#247573,#247574)); #45536=EDGE_LOOP('',(#247575,#247576,#247577,#247578)); #45537=EDGE_LOOP('',(#247579,#247580,#247581,#247582)); #45538=EDGE_LOOP('',(#247583,#247584,#247585,#247586)); #45539=EDGE_LOOP('',(#247587,#247588,#247589,#247590)); #45540=EDGE_LOOP('',(#247591,#247592,#247593,#247594)); #45541=EDGE_LOOP('',(#247595,#247596,#247597,#247598)); #45542=EDGE_LOOP('',(#247599,#247600,#247601,#247602)); #45543=EDGE_LOOP('',(#247603,#247604,#247605,#247606)); #45544=EDGE_LOOP('',(#247607,#247608,#247609,#247610)); #45545=EDGE_LOOP('',(#247611,#247612,#247613,#247614)); #45546=EDGE_LOOP('',(#247615,#247616,#247617,#247618,#247619,#247620,#247621, #247622,#247623,#247624,#247625,#247626,#247627,#247628,#247629,#247630, #247631,#247632,#247633,#247634,#247635,#247636,#247637,#247638,#247639, #247640,#247641,#247642,#247643,#247644,#247645,#247646,#247647,#247648, #247649,#247650,#247651,#247652,#247653,#247654,#247655,#247656,#247657)); #45547=EDGE_LOOP('',(#247658)); #45548=EDGE_LOOP('',(#247659,#247660,#247661,#247662,#247663,#247664,#247665, #247666,#247667,#247668,#247669,#247670,#247671,#247672,#247673,#247674, #247675,#247676,#247677,#247678,#247679,#247680,#247681,#247682,#247683, #247684,#247685,#247686,#247687,#247688,#247689,#247690,#247691,#247692, #247693,#247694,#247695,#247696,#247697,#247698,#247699,#247700,#247701)); #45549=EDGE_LOOP('',(#247702)); #45550=EDGE_LOOP('',(#247703,#247704,#247705,#247706)); #45551=EDGE_LOOP('',(#247707,#247708,#247709,#247710)); #45552=EDGE_LOOP('',(#247711,#247712,#247713,#247714)); #45553=EDGE_LOOP('',(#247715,#247716,#247717,#247718)); #45554=EDGE_LOOP('',(#247719,#247720,#247721,#247722)); #45555=EDGE_LOOP('',(#247723,#247724,#247725,#247726)); #45556=EDGE_LOOP('',(#247727,#247728,#247729,#247730)); #45557=EDGE_LOOP('',(#247731,#247732,#247733,#247734)); #45558=EDGE_LOOP('',(#247735,#247736,#247737,#247738)); #45559=EDGE_LOOP('',(#247739,#247740,#247741,#247742)); #45560=EDGE_LOOP('',(#247743,#247744,#247745,#247746)); #45561=EDGE_LOOP('',(#247747,#247748,#247749,#247750)); #45562=EDGE_LOOP('',(#247751,#247752,#247753,#247754)); #45563=EDGE_LOOP('',(#247755,#247756,#247757,#247758)); #45564=EDGE_LOOP('',(#247759,#247760,#247761,#247762)); #45565=EDGE_LOOP('',(#247763,#247764,#247765,#247766)); #45566=EDGE_LOOP('',(#247767,#247768,#247769,#247770)); #45567=EDGE_LOOP('',(#247771,#247772,#247773,#247774)); #45568=EDGE_LOOP('',(#247775,#247776,#247777,#247778)); #45569=EDGE_LOOP('',(#247779,#247780,#247781,#247782)); #45570=EDGE_LOOP('',(#247783,#247784,#247785,#247786)); #45571=EDGE_LOOP('',(#247787,#247788,#247789,#247790)); #45572=EDGE_LOOP('',(#247791,#247792,#247793,#247794,#247795,#247796,#247797, #247798,#247799,#247800,#247801,#247802,#247803,#247804,#247805,#247806, #247807,#247808,#247809,#247810,#247811)); #45573=EDGE_LOOP('',(#247812)); #45574=EDGE_LOOP('',(#247813,#247814,#247815,#247816,#247817,#247818,#247819, #247820,#247821,#247822,#247823,#247824,#247825,#247826,#247827,#247828, #247829,#247830,#247831,#247832,#247833)); #45575=EDGE_LOOP('',(#247834)); #45576=EDGE_LOOP('',(#247835,#247836,#247837,#247838)); #45577=EDGE_LOOP('',(#247839,#247840,#247841,#247842)); #45578=EDGE_LOOP('',(#247843,#247844,#247845,#247846)); #45579=EDGE_LOOP('',(#247847,#247848,#247849,#247850)); #45580=EDGE_LOOP('',(#247851,#247852,#247853,#247854)); #45581=EDGE_LOOP('',(#247855,#247856,#247857,#247858)); #45582=EDGE_LOOP('',(#247859,#247860,#247861,#247862)); #45583=EDGE_LOOP('',(#247863,#247864,#247865,#247866)); #45584=EDGE_LOOP('',(#247867,#247868,#247869,#247870)); #45585=EDGE_LOOP('',(#247871,#247872,#247873,#247874)); #45586=EDGE_LOOP('',(#247875,#247876,#247877,#247878)); #45587=EDGE_LOOP('',(#247879,#247880,#247881,#247882)); #45588=EDGE_LOOP('',(#247883,#247884,#247885,#247886,#247887,#247888,#247889, #247890,#247891,#247892,#247893,#247894)); #45589=EDGE_LOOP('',(#247895,#247896,#247897,#247898,#247899,#247900,#247901, #247902,#247903,#247904,#247905,#247906)); #45590=EDGE_LOOP('',(#247907,#247908,#247909,#247910)); #45591=EDGE_LOOP('',(#247911,#247912,#247913,#247914)); #45592=EDGE_LOOP('',(#247915,#247916,#247917,#247918)); #45593=EDGE_LOOP('',(#247919,#247920,#247921,#247922)); #45594=EDGE_LOOP('',(#247923,#247924,#247925,#247926)); #45595=EDGE_LOOP('',(#247927,#247928,#247929,#247930)); #45596=EDGE_LOOP('',(#247931,#247932,#247933,#247934)); #45597=EDGE_LOOP('',(#247935,#247936,#247937,#247938)); #45598=EDGE_LOOP('',(#247939,#247940,#247941,#247942)); #45599=EDGE_LOOP('',(#247943,#247944,#247945,#247946)); #45600=EDGE_LOOP('',(#247947,#247948,#247949,#247950)); #45601=EDGE_LOOP('',(#247951,#247952,#247953,#247954)); #45602=EDGE_LOOP('',(#247955,#247956,#247957,#247958,#247959,#247960,#247961, #247962,#247963,#247964,#247965,#247966)); #45603=EDGE_LOOP('',(#247967,#247968,#247969,#247970,#247971,#247972,#247973, #247974,#247975,#247976,#247977,#247978)); #45604=EDGE_LOOP('',(#247979,#247980,#247981,#247982)); #45605=EDGE_LOOP('',(#247983,#247984,#247985,#247986)); #45606=EDGE_LOOP('',(#247987,#247988,#247989,#247990)); #45607=EDGE_LOOP('',(#247991,#247992,#247993,#247994)); #45608=EDGE_LOOP('',(#247995,#247996,#247997,#247998)); #45609=EDGE_LOOP('',(#247999,#248000,#248001,#248002)); #45610=EDGE_LOOP('',(#248003,#248004,#248005,#248006)); #45611=EDGE_LOOP('',(#248007,#248008,#248009,#248010)); #45612=EDGE_LOOP('',(#248011,#248012,#248013,#248014)); #45613=EDGE_LOOP('',(#248015,#248016,#248017,#248018)); #45614=EDGE_LOOP('',(#248019,#248020,#248021,#248022)); #45615=EDGE_LOOP('',(#248023,#248024,#248025,#248026)); #45616=EDGE_LOOP('',(#248027,#248028,#248029,#248030)); #45617=EDGE_LOOP('',(#248031,#248032,#248033,#248034)); #45618=EDGE_LOOP('',(#248035,#248036,#248037,#248038)); #45619=EDGE_LOOP('',(#248039,#248040,#248041,#248042)); #45620=EDGE_LOOP('',(#248043,#248044,#248045,#248046)); #45621=EDGE_LOOP('',(#248047,#248048,#248049,#248050)); #45622=EDGE_LOOP('',(#248051,#248052,#248053,#248054,#248055,#248056,#248057, #248058,#248059,#248060,#248061,#248062,#248063,#248064,#248065,#248066, #248067)); #45623=EDGE_LOOP('',(#248068)); #45624=EDGE_LOOP('',(#248069,#248070,#248071,#248072,#248073,#248074,#248075, #248076,#248077,#248078,#248079,#248080,#248081,#248082,#248083,#248084, #248085)); #45625=EDGE_LOOP('',(#248086)); #45626=EDGE_LOOP('',(#248087,#248088,#248089,#248090)); #45627=EDGE_LOOP('',(#248091,#248092,#248093,#248094)); #45628=EDGE_LOOP('',(#248095,#248096,#248097,#248098)); #45629=EDGE_LOOP('',(#248099,#248100,#248101,#248102)); #45630=EDGE_LOOP('',(#248103,#248104,#248105,#248106)); #45631=EDGE_LOOP('',(#248107,#248108,#248109,#248110)); #45632=EDGE_LOOP('',(#248111,#248112,#248113,#248114)); #45633=EDGE_LOOP('',(#248115,#248116,#248117,#248118)); #45634=EDGE_LOOP('',(#248119,#248120,#248121,#248122)); #45635=EDGE_LOOP('',(#248123,#248124,#248125,#248126)); #45636=EDGE_LOOP('',(#248127,#248128,#248129,#248130)); #45637=EDGE_LOOP('',(#248131,#248132,#248133,#248134)); #45638=EDGE_LOOP('',(#248135,#248136,#248137,#248138)); #45639=EDGE_LOOP('',(#248139,#248140,#248141,#248142)); #45640=EDGE_LOOP('',(#248143,#248144,#248145,#248146)); #45641=EDGE_LOOP('',(#248147,#248148,#248149,#248150)); #45642=EDGE_LOOP('',(#248151,#248152,#248153,#248154)); #45643=EDGE_LOOP('',(#248155,#248156,#248157,#248158)); #45644=EDGE_LOOP('',(#248159,#248160,#248161,#248162)); #45645=EDGE_LOOP('',(#248163,#248164,#248165,#248166)); #45646=EDGE_LOOP('',(#248167,#248168,#248169,#248170)); #45647=EDGE_LOOP('',(#248171,#248172,#248173,#248174)); #45648=EDGE_LOOP('',(#248175,#248176,#248177,#248178)); #45649=EDGE_LOOP('',(#248179,#248180,#248181,#248182,#248183,#248184,#248185, #248186,#248187,#248188,#248189,#248190,#248191,#248192,#248193,#248194, #248195,#248196,#248197,#248198,#248199,#248200)); #45650=EDGE_LOOP('',(#248201)); #45651=EDGE_LOOP('',(#248202,#248203,#248204,#248205,#248206,#248207,#248208, #248209,#248210,#248211,#248212,#248213,#248214,#248215,#248216,#248217, #248218,#248219,#248220,#248221,#248222,#248223)); #45652=EDGE_LOOP('',(#248224)); #45653=EDGE_LOOP('',(#248225,#248226,#248227,#248228)); #45654=EDGE_LOOP('',(#248229,#248230,#248231,#248232)); #45655=EDGE_LOOP('',(#248233,#248234,#248235,#248236)); #45656=EDGE_LOOP('',(#248237,#248238,#248239,#248240)); #45657=EDGE_LOOP('',(#248241,#248242,#248243,#248244)); #45658=EDGE_LOOP('',(#248245,#248246,#248247,#248248)); #45659=EDGE_LOOP('',(#248249,#248250,#248251,#248252)); #45660=EDGE_LOOP('',(#248253,#248254,#248255,#248256)); #45661=EDGE_LOOP('',(#248257,#248258,#248259,#248260)); #45662=EDGE_LOOP('',(#248261,#248262,#248263,#248264)); #45663=EDGE_LOOP('',(#248265,#248266,#248267,#248268)); #45664=EDGE_LOOP('',(#248269,#248270,#248271,#248272)); #45665=EDGE_LOOP('',(#248273,#248274,#248275,#248276)); #45666=EDGE_LOOP('',(#248277,#248278,#248279,#248280,#248281,#248282,#248283, #248284,#248285,#248286,#248287,#248288)); #45667=EDGE_LOOP('',(#248289)); #45668=EDGE_LOOP('',(#248290,#248291,#248292,#248293,#248294,#248295,#248296, #248297,#248298,#248299,#248300,#248301)); #45669=EDGE_LOOP('',(#248302)); #45670=EDGE_LOOP('',(#248303,#248304,#248305,#248306)); #45671=EDGE_LOOP('',(#248307,#248308,#248309,#248310)); #45672=EDGE_LOOP('',(#248311,#248312,#248313,#248314)); #45673=EDGE_LOOP('',(#248315,#248316,#248317,#248318)); #45674=EDGE_LOOP('',(#248319,#248320,#248321,#248322)); #45675=EDGE_LOOP('',(#248323,#248324,#248325,#248326)); #45676=EDGE_LOOP('',(#248327,#248328,#248329,#248330)); #45677=EDGE_LOOP('',(#248331,#248332,#248333,#248334)); #45678=EDGE_LOOP('',(#248335,#248336,#248337,#248338)); #45679=EDGE_LOOP('',(#248339,#248340,#248341,#248342)); #45680=EDGE_LOOP('',(#248343,#248344,#248345,#248346)); #45681=EDGE_LOOP('',(#248347,#248348,#248349,#248350)); #45682=EDGE_LOOP('',(#248351,#248352,#248353,#248354)); #45683=EDGE_LOOP('',(#248355,#248356,#248357,#248358)); #45684=EDGE_LOOP('',(#248359,#248360,#248361,#248362)); #45685=EDGE_LOOP('',(#248363,#248364,#248365,#248366)); #45686=EDGE_LOOP('',(#248367,#248368,#248369,#248370)); #45687=EDGE_LOOP('',(#248371,#248372,#248373,#248374)); #45688=EDGE_LOOP('',(#248375,#248376,#248377,#248378)); #45689=EDGE_LOOP('',(#248379,#248380,#248381,#248382)); #45690=EDGE_LOOP('',(#248383,#248384,#248385,#248386)); #45691=EDGE_LOOP('',(#248387,#248388,#248389,#248390)); #45692=EDGE_LOOP('',(#248391,#248392,#248393,#248394)); #45693=EDGE_LOOP('',(#248395,#248396,#248397,#248398)); #45694=EDGE_LOOP('',(#248399,#248400,#248401,#248402)); #45695=EDGE_LOOP('',(#248403,#248404,#248405,#248406)); #45696=EDGE_LOOP('',(#248407,#248408,#248409,#248410)); #45697=EDGE_LOOP('',(#248411,#248412,#248413,#248414)); #45698=EDGE_LOOP('',(#248415,#248416,#248417,#248418)); #45699=EDGE_LOOP('',(#248419,#248420,#248421,#248422)); #45700=EDGE_LOOP('',(#248423,#248424,#248425,#248426)); #45701=EDGE_LOOP('',(#248427,#248428,#248429,#248430)); #45702=EDGE_LOOP('',(#248431,#248432,#248433,#248434)); #45703=EDGE_LOOP('',(#248435,#248436,#248437,#248438)); #45704=EDGE_LOOP('',(#248439,#248440,#248441,#248442)); #45705=EDGE_LOOP('',(#248443,#248444,#248445,#248446)); #45706=EDGE_LOOP('',(#248447,#248448,#248449,#248450,#248451,#248452,#248453, #248454,#248455,#248456,#248457,#248458,#248459,#248460,#248461,#248462, #248463,#248464,#248465,#248466,#248467,#248468,#248469,#248470,#248471, #248472,#248473,#248474,#248475,#248476,#248477,#248478,#248479,#248480, #248481,#248482)); #45707=EDGE_LOOP('',(#248483,#248484,#248485,#248486,#248487,#248488,#248489, #248490,#248491,#248492,#248493,#248494,#248495,#248496,#248497,#248498, #248499,#248500,#248501,#248502,#248503,#248504,#248505,#248506,#248507, #248508,#248509,#248510,#248511,#248512,#248513,#248514,#248515,#248516, #248517,#248518)); #45708=EDGE_LOOP('',(#248519,#248520,#248521,#248522)); #45709=EDGE_LOOP('',(#248523,#248524,#248525,#248526)); #45710=EDGE_LOOP('',(#248527,#248528,#248529,#248530)); #45711=EDGE_LOOP('',(#248531,#248532,#248533,#248534)); #45712=EDGE_LOOP('',(#248535,#248536,#248537,#248538)); #45713=EDGE_LOOP('',(#248539,#248540,#248541,#248542)); #45714=EDGE_LOOP('',(#248543,#248544,#248545,#248546)); #45715=EDGE_LOOP('',(#248547,#248548,#248549,#248550)); #45716=EDGE_LOOP('',(#248551,#248552,#248553,#248554)); #45717=EDGE_LOOP('',(#248555,#248556,#248557,#248558)); #45718=EDGE_LOOP('',(#248559,#248560,#248561,#248562)); #45719=EDGE_LOOP('',(#248563,#248564,#248565,#248566,#248567,#248568,#248569, #248570,#248571,#248572)); #45720=EDGE_LOOP('',(#248573)); #45721=EDGE_LOOP('',(#248574,#248575,#248576,#248577,#248578,#248579,#248580, #248581,#248582,#248583)); #45722=EDGE_LOOP('',(#248584)); #45723=EDGE_LOOP('',(#248585,#248586,#248587,#248588)); #45724=EDGE_LOOP('',(#248589,#248590,#248591,#248592)); #45725=EDGE_LOOP('',(#248593,#248594,#248595,#248596)); #45726=EDGE_LOOP('',(#248597,#248598,#248599,#248600)); #45727=EDGE_LOOP('',(#248601,#248602,#248603,#248604)); #45728=EDGE_LOOP('',(#248605,#248606,#248607,#248608)); #45729=EDGE_LOOP('',(#248609,#248610,#248611,#248612)); #45730=EDGE_LOOP('',(#248613,#248614,#248615,#248616)); #45731=EDGE_LOOP('',(#248617,#248618,#248619,#248620)); #45732=EDGE_LOOP('',(#248621,#248622,#248623,#248624)); #45733=EDGE_LOOP('',(#248625,#248626,#248627,#248628)); #45734=EDGE_LOOP('',(#248629,#248630,#248631,#248632)); #45735=EDGE_LOOP('',(#248633,#248634,#248635,#248636)); #45736=EDGE_LOOP('',(#248637,#248638,#248639,#248640)); #45737=EDGE_LOOP('',(#248641,#248642,#248643,#248644)); #45738=EDGE_LOOP('',(#248645,#248646,#248647,#248648)); #45739=EDGE_LOOP('',(#248649,#248650,#248651,#248652)); #45740=EDGE_LOOP('',(#248653,#248654,#248655,#248656)); #45741=EDGE_LOOP('',(#248657,#248658,#248659,#248660)); #45742=EDGE_LOOP('',(#248661,#248662,#248663,#248664)); #45743=EDGE_LOOP('',(#248665,#248666,#248667,#248668)); #45744=EDGE_LOOP('',(#248669,#248670,#248671,#248672)); #45745=EDGE_LOOP('',(#248673,#248674,#248675,#248676)); #45746=EDGE_LOOP('',(#248677,#248678,#248679,#248680,#248681,#248682,#248683, #248684,#248685,#248686,#248687,#248688,#248689,#248690,#248691,#248692, #248693,#248694,#248695,#248696,#248697)); #45747=EDGE_LOOP('',(#248698)); #45748=EDGE_LOOP('',(#248699)); #45749=EDGE_LOOP('',(#248700,#248701,#248702,#248703,#248704,#248705,#248706, #248707,#248708,#248709,#248710,#248711,#248712,#248713,#248714,#248715, #248716,#248717,#248718,#248719,#248720)); #45750=EDGE_LOOP('',(#248721)); #45751=EDGE_LOOP('',(#248722)); #45752=EDGE_LOOP('',(#248723,#248724,#248725,#248726)); #45753=EDGE_LOOP('',(#248727,#248728,#248729,#248730)); #45754=EDGE_LOOP('',(#248731,#248732,#248733,#248734)); #45755=EDGE_LOOP('',(#248735,#248736,#248737,#248738)); #45756=EDGE_LOOP('',(#248739,#248740,#248741,#248742)); #45757=EDGE_LOOP('',(#248743,#248744,#248745,#248746)); #45758=EDGE_LOOP('',(#248747,#248748,#248749,#248750)); #45759=EDGE_LOOP('',(#248751,#248752,#248753,#248754)); #45760=EDGE_LOOP('',(#248755,#248756,#248757,#248758)); #45761=EDGE_LOOP('',(#248759,#248760,#248761,#248762)); #45762=EDGE_LOOP('',(#248763,#248764,#248765,#248766)); #45763=EDGE_LOOP('',(#248767,#248768,#248769,#248770)); #45764=EDGE_LOOP('',(#248771,#248772,#248773,#248774)); #45765=EDGE_LOOP('',(#248775,#248776,#248777,#248778)); #45766=EDGE_LOOP('',(#248779,#248780,#248781,#248782,#248783,#248784,#248785, #248786,#248787,#248788,#248789,#248790,#248791,#248792)); #45767=EDGE_LOOP('',(#248793,#248794,#248795,#248796,#248797,#248798,#248799, #248800,#248801,#248802,#248803,#248804,#248805,#248806)); #45768=EDGE_LOOP('',(#248807,#248808,#248809,#248810)); #45769=EDGE_LOOP('',(#248811,#248812,#248813,#248814)); #45770=EDGE_LOOP('',(#248815,#248816,#248817,#248818)); #45771=EDGE_LOOP('',(#248819,#248820,#248821,#248822)); #45772=EDGE_LOOP('',(#248823,#248824,#248825,#248826)); #45773=EDGE_LOOP('',(#248827,#248828,#248829,#248830)); #45774=EDGE_LOOP('',(#248831,#248832,#248833,#248834)); #45775=EDGE_LOOP('',(#248835,#248836,#248837,#248838)); #45776=EDGE_LOOP('',(#248839,#248840,#248841,#248842)); #45777=EDGE_LOOP('',(#248843,#248844,#248845,#248846)); #45778=EDGE_LOOP('',(#248847,#248848,#248849,#248850)); #45779=EDGE_LOOP('',(#248851,#248852,#248853,#248854)); #45780=EDGE_LOOP('',(#248855,#248856,#248857,#248858)); #45781=EDGE_LOOP('',(#248859,#248860,#248861,#248862)); #45782=EDGE_LOOP('',(#248863,#248864,#248865,#248866)); #45783=EDGE_LOOP('',(#248867,#248868,#248869,#248870)); #45784=EDGE_LOOP('',(#248871,#248872,#248873,#248874)); #45785=EDGE_LOOP('',(#248875,#248876,#248877,#248878)); #45786=EDGE_LOOP('',(#248879,#248880,#248881,#248882)); #45787=EDGE_LOOP('',(#248883,#248884,#248885,#248886)); #45788=EDGE_LOOP('',(#248887,#248888,#248889,#248890)); #45789=EDGE_LOOP('',(#248891,#248892,#248893,#248894)); #45790=EDGE_LOOP('',(#248895,#248896,#248897,#248898)); #45791=EDGE_LOOP('',(#248899,#248900,#248901,#248902)); #45792=EDGE_LOOP('',(#248903,#248904,#248905,#248906)); #45793=EDGE_LOOP('',(#248907,#248908,#248909,#248910)); #45794=EDGE_LOOP('',(#248911,#248912,#248913,#248914)); #45795=EDGE_LOOP('',(#248915,#248916,#248917,#248918)); #45796=EDGE_LOOP('',(#248919,#248920,#248921,#248922)); #45797=EDGE_LOOP('',(#248923,#248924,#248925,#248926)); #45798=EDGE_LOOP('',(#248927,#248928,#248929,#248930)); #45799=EDGE_LOOP('',(#248931,#248932,#248933,#248934)); #45800=EDGE_LOOP('',(#248935,#248936,#248937,#248938)); #45801=EDGE_LOOP('',(#248939,#248940,#248941,#248942)); #45802=EDGE_LOOP('',(#248943,#248944,#248945,#248946)); #45803=EDGE_LOOP('',(#248947,#248948,#248949,#248950)); #45804=EDGE_LOOP('',(#248951,#248952,#248953,#248954)); #45805=EDGE_LOOP('',(#248955,#248956,#248957,#248958)); #45806=EDGE_LOOP('',(#248959,#248960,#248961,#248962)); #45807=EDGE_LOOP('',(#248963,#248964,#248965,#248966)); #45808=EDGE_LOOP('',(#248967,#248968,#248969,#248970)); #45809=EDGE_LOOP('',(#248971,#248972,#248973,#248974)); #45810=EDGE_LOOP('',(#248975,#248976,#248977,#248978)); #45811=EDGE_LOOP('',(#248979,#248980,#248981,#248982)); #45812=EDGE_LOOP('',(#248983,#248984,#248985,#248986)); #45813=EDGE_LOOP('',(#248987,#248988,#248989,#248990)); #45814=EDGE_LOOP('',(#248991,#248992,#248993,#248994)); #45815=EDGE_LOOP('',(#248995,#248996,#248997,#248998)); #45816=EDGE_LOOP('',(#248999,#249000,#249001,#249002)); #45817=EDGE_LOOP('',(#249003,#249004,#249005,#249006)); #45818=EDGE_LOOP('',(#249007,#249008,#249009,#249010)); #45819=EDGE_LOOP('',(#249011,#249012,#249013,#249014)); #45820=EDGE_LOOP('',(#249015,#249016,#249017,#249018)); #45821=EDGE_LOOP('',(#249019,#249020,#249021,#249022)); #45822=EDGE_LOOP('',(#249023,#249024,#249025,#249026)); #45823=EDGE_LOOP('',(#249027,#249028,#249029,#249030)); #45824=EDGE_LOOP('',(#249031,#249032,#249033,#249034)); #45825=EDGE_LOOP('',(#249035,#249036,#249037,#249038)); #45826=EDGE_LOOP('',(#249039,#249040,#249041,#249042)); #45827=EDGE_LOOP('',(#249043,#249044,#249045,#249046)); #45828=EDGE_LOOP('',(#249047,#249048,#249049,#249050)); #45829=EDGE_LOOP('',(#249051,#249052,#249053,#249054)); #45830=EDGE_LOOP('',(#249055,#249056,#249057,#249058)); #45831=EDGE_LOOP('',(#249059,#249060,#249061,#249062)); #45832=EDGE_LOOP('',(#249063,#249064,#249065,#249066)); #45833=EDGE_LOOP('',(#249067,#249068,#249069,#249070)); #45834=EDGE_LOOP('',(#249071,#249072,#249073,#249074)); #45835=EDGE_LOOP('',(#249075,#249076,#249077,#249078)); #45836=EDGE_LOOP('',(#249079,#249080,#249081,#249082)); #45837=EDGE_LOOP('',(#249083,#249084,#249085,#249086)); #45838=EDGE_LOOP('',(#249087,#249088,#249089,#249090)); #45839=EDGE_LOOP('',(#249091,#249092,#249093,#249094)); #45840=EDGE_LOOP('',(#249095,#249096,#249097,#249098)); #45841=EDGE_LOOP('',(#249099,#249100,#249101,#249102)); #45842=EDGE_LOOP('',(#249103,#249104,#249105,#249106)); #45843=EDGE_LOOP('',(#249107,#249108,#249109,#249110)); #45844=EDGE_LOOP('',(#249111,#249112,#249113,#249114)); #45845=EDGE_LOOP('',(#249115,#249116,#249117,#249118)); #45846=EDGE_LOOP('',(#249119,#249120,#249121,#249122)); #45847=EDGE_LOOP('',(#249123,#249124,#249125,#249126)); #45848=EDGE_LOOP('',(#249127,#249128,#249129,#249130)); #45849=EDGE_LOOP('',(#249131,#249132,#249133,#249134)); #45850=EDGE_LOOP('',(#249135,#249136,#249137,#249138)); #45851=EDGE_LOOP('',(#249139,#249140,#249141,#249142)); #45852=EDGE_LOOP('',(#249143,#249144,#249145,#249146)); #45853=EDGE_LOOP('',(#249147,#249148,#249149,#249150)); #45854=EDGE_LOOP('',(#249151,#249152,#249153,#249154)); #45855=EDGE_LOOP('',(#249155,#249156,#249157,#249158)); #45856=EDGE_LOOP('',(#249159,#249160,#249161,#249162)); #45857=EDGE_LOOP('',(#249163,#249164,#249165,#249166)); #45858=EDGE_LOOP('',(#249167,#249168,#249169,#249170)); #45859=EDGE_LOOP('',(#249171,#249172,#249173,#249174)); #45860=EDGE_LOOP('',(#249175,#249176,#249177,#249178)); #45861=EDGE_LOOP('',(#249179,#249180,#249181,#249182)); #45862=EDGE_LOOP('',(#249183,#249184,#249185,#249186)); #45863=EDGE_LOOP('',(#249187,#249188,#249189,#249190)); #45864=EDGE_LOOP('',(#249191,#249192,#249193,#249194)); #45865=EDGE_LOOP('',(#249195,#249196,#249197,#249198)); #45866=EDGE_LOOP('',(#249199,#249200,#249201,#249202)); #45867=EDGE_LOOP('',(#249203,#249204,#249205,#249206)); #45868=EDGE_LOOP('',(#249207,#249208,#249209,#249210)); #45869=EDGE_LOOP('',(#249211,#249212,#249213,#249214)); #45870=EDGE_LOOP('',(#249215,#249216,#249217,#249218)); #45871=EDGE_LOOP('',(#249219,#249220,#249221,#249222)); #45872=EDGE_LOOP('',(#249223,#249224,#249225,#249226)); #45873=EDGE_LOOP('',(#249227,#249228,#249229,#249230)); #45874=EDGE_LOOP('',(#249231,#249232,#249233,#249234)); #45875=EDGE_LOOP('',(#249235,#249236,#249237,#249238)); #45876=EDGE_LOOP('',(#249239,#249240,#249241,#249242)); #45877=EDGE_LOOP('',(#249243,#249244,#249245,#249246)); #45878=EDGE_LOOP('',(#249247,#249248,#249249,#249250)); #45879=EDGE_LOOP('',(#249251,#249252,#249253,#249254)); #45880=EDGE_LOOP('',(#249255,#249256,#249257,#249258)); #45881=EDGE_LOOP('',(#249259,#249260,#249261,#249262)); #45882=EDGE_LOOP('',(#249263,#249264,#249265,#249266)); #45883=EDGE_LOOP('',(#249267,#249268,#249269,#249270)); #45884=EDGE_LOOP('',(#249271,#249272,#249273,#249274)); #45885=EDGE_LOOP('',(#249275,#249276,#249277,#249278)); #45886=EDGE_LOOP('',(#249279,#249280,#249281,#249282)); #45887=EDGE_LOOP('',(#249283,#249284,#249285,#249286)); #45888=EDGE_LOOP('',(#249287,#249288,#249289,#249290)); #45889=EDGE_LOOP('',(#249291,#249292,#249293,#249294)); #45890=EDGE_LOOP('',(#249295,#249296,#249297,#249298)); #45891=EDGE_LOOP('',(#249299,#249300,#249301,#249302)); #45892=EDGE_LOOP('',(#249303,#249304,#249305,#249306)); #45893=EDGE_LOOP('',(#249307,#249308,#249309,#249310)); #45894=EDGE_LOOP('',(#249311,#249312,#249313,#249314)); #45895=EDGE_LOOP('',(#249315,#249316,#249317,#249318)); #45896=EDGE_LOOP('',(#249319,#249320,#249321,#249322)); #45897=EDGE_LOOP('',(#249323,#249324,#249325,#249326)); #45898=EDGE_LOOP('',(#249327,#249328,#249329,#249330)); #45899=EDGE_LOOP('',(#249331,#249332,#249333,#249334)); #45900=EDGE_LOOP('',(#249335,#249336,#249337,#249338)); #45901=EDGE_LOOP('',(#249339,#249340,#249341,#249342)); #45902=EDGE_LOOP('',(#249343,#249344,#249345,#249346)); #45903=EDGE_LOOP('',(#249347,#249348,#249349,#249350)); #45904=EDGE_LOOP('',(#249351,#249352,#249353,#249354)); #45905=EDGE_LOOP('',(#249355,#249356,#249357,#249358)); #45906=EDGE_LOOP('',(#249359,#249360,#249361,#249362)); #45907=EDGE_LOOP('',(#249363,#249364,#249365,#249366)); #45908=EDGE_LOOP('',(#249367,#249368,#249369,#249370)); #45909=EDGE_LOOP('',(#249371,#249372,#249373,#249374)); #45910=EDGE_LOOP('',(#249375,#249376,#249377,#249378)); #45911=EDGE_LOOP('',(#249379,#249380,#249381,#249382)); #45912=EDGE_LOOP('',(#249383,#249384,#249385,#249386,#249387,#249388,#249389, #249390,#249391,#249392,#249393,#249394,#249395,#249396,#249397,#249398, #249399,#249400,#249401,#249402,#249403,#249404,#249405,#249406,#249407, #249408,#249409,#249410,#249411,#249412,#249413,#249414,#249415,#249416, #249417,#249418,#249419,#249420,#249421,#249422,#249423,#249424,#249425, #249426,#249427,#249428,#249429,#249430,#249431,#249432,#249433,#249434, #249435,#249436,#249437,#249438,#249439,#249440,#249441,#249442,#249443, #249444,#249445,#249446,#249447,#249448,#249449,#249450,#249451,#249452, #249453,#249454,#249455,#249456,#249457,#249458,#249459,#249460,#249461, #249462,#249463,#249464,#249465,#249466,#249467,#249468,#249469,#249470, #249471,#249472,#249473,#249474,#249475,#249476,#249477,#249478,#249479, #249480,#249481,#249482,#249483,#249484,#249485,#249486,#249487,#249488, #249489,#249490,#249491,#249492,#249493,#249494,#249495,#249496,#249497, #249498,#249499,#249500,#249501,#249502,#249503,#249504,#249505,#249506, #249507,#249508,#249509,#249510,#249511,#249512,#249513,#249514,#249515)); #45913=EDGE_LOOP('',(#249516)); #45914=EDGE_LOOP('',(#249517)); #45915=EDGE_LOOP('',(#249518)); #45916=EDGE_LOOP('',(#249519)); #45917=EDGE_LOOP('',(#249520)); #45918=EDGE_LOOP('',(#249521)); #45919=EDGE_LOOP('',(#249522)); #45920=EDGE_LOOP('',(#249523)); #45921=EDGE_LOOP('',(#249524)); #45922=EDGE_LOOP('',(#249525)); #45923=EDGE_LOOP('',(#249526)); #45924=EDGE_LOOP('',(#249527,#249528,#249529,#249530,#249531,#249532,#249533, #249534,#249535,#249536,#249537,#249538,#249539,#249540,#249541,#249542, #249543,#249544,#249545,#249546,#249547,#249548,#249549,#249550,#249551, #249552,#249553,#249554,#249555,#249556,#249557,#249558,#249559,#249560, #249561,#249562,#249563,#249564,#249565,#249566,#249567,#249568,#249569, #249570,#249571,#249572,#249573,#249574,#249575,#249576,#249577,#249578, #249579,#249580,#249581,#249582,#249583,#249584,#249585,#249586,#249587, #249588,#249589,#249590,#249591,#249592,#249593,#249594,#249595,#249596, #249597,#249598,#249599,#249600,#249601,#249602,#249603,#249604,#249605, #249606,#249607,#249608,#249609,#249610,#249611,#249612,#249613,#249614, #249615,#249616,#249617,#249618,#249619,#249620,#249621,#249622,#249623, #249624,#249625,#249626,#249627,#249628,#249629,#249630,#249631,#249632, #249633,#249634,#249635,#249636,#249637,#249638,#249639,#249640,#249641, #249642,#249643,#249644,#249645,#249646,#249647,#249648,#249649,#249650, #249651,#249652,#249653,#249654,#249655,#249656,#249657,#249658,#249659)); #45925=EDGE_LOOP('',(#249660)); #45926=EDGE_LOOP('',(#249661)); #45927=EDGE_LOOP('',(#249662)); #45928=EDGE_LOOP('',(#249663)); #45929=EDGE_LOOP('',(#249664)); #45930=EDGE_LOOP('',(#249665)); #45931=EDGE_LOOP('',(#249666)); #45932=EDGE_LOOP('',(#249667)); #45933=EDGE_LOOP('',(#249668)); #45934=EDGE_LOOP('',(#249669)); #45935=EDGE_LOOP('',(#249670)); #45936=EDGE_LOOP('',(#249671,#249672,#249673,#249674)); #45937=EDGE_LOOP('',(#249675,#249676,#249677,#249678)); #45938=EDGE_LOOP('',(#249679,#249680,#249681,#249682)); #45939=EDGE_LOOP('',(#249683,#249684,#249685,#249686)); #45940=EDGE_LOOP('',(#249687,#249688,#249689)); #45941=EDGE_LOOP('',(#249690)); #45942=EDGE_LOOP('',(#249691,#249692,#249693)); #45943=EDGE_LOOP('',(#249694)); #45944=EDGE_LOOP('',(#249695,#249696,#249697,#249698)); #45945=EDGE_LOOP('',(#249699,#249700,#249701,#249702)); #45946=EDGE_LOOP('',(#249703,#249704,#249705,#249706)); #45947=EDGE_LOOP('',(#249707,#249708,#249709,#249710)); #45948=EDGE_LOOP('',(#249711,#249712,#249713,#249714)); #45949=EDGE_LOOP('',(#249715,#249716,#249717,#249718)); #45950=EDGE_LOOP('',(#249719,#249720,#249721,#249722)); #45951=EDGE_LOOP('',(#249723,#249724,#249725,#249726)); #45952=EDGE_LOOP('',(#249727,#249728,#249729,#249730)); #45953=EDGE_LOOP('',(#249731,#249732,#249733,#249734)); #45954=EDGE_LOOP('',(#249735,#249736,#249737,#249738)); #45955=EDGE_LOOP('',(#249739,#249740,#249741,#249742)); #45956=EDGE_LOOP('',(#249743,#249744,#249745,#249746)); #45957=EDGE_LOOP('',(#249747,#249748,#249749,#249750)); #45958=EDGE_LOOP('',(#249751,#249752,#249753,#249754)); #45959=EDGE_LOOP('',(#249755,#249756,#249757,#249758)); #45960=EDGE_LOOP('',(#249759,#249760,#249761,#249762)); #45961=EDGE_LOOP('',(#249763,#249764,#249765,#249766)); #45962=EDGE_LOOP('',(#249767,#249768,#249769,#249770)); #45963=EDGE_LOOP('',(#249771,#249772,#249773,#249774)); #45964=EDGE_LOOP('',(#249775,#249776,#249777,#249778,#249779,#249780,#249781, #249782,#249783,#249784,#249785,#249786,#249787,#249788,#249789,#249790, #249791,#249792,#249793,#249794)); #45965=EDGE_LOOP('',(#249795,#249796,#249797,#249798,#249799,#249800,#249801, #249802,#249803,#249804,#249805,#249806,#249807,#249808,#249809,#249810, #249811,#249812,#249813,#249814)); #45966=EDGE_LOOP('',(#249815,#249816,#249817,#249818)); #45967=EDGE_LOOP('',(#249819,#249820,#249821,#249822)); #45968=EDGE_LOOP('',(#249823,#249824,#249825,#249826)); #45969=EDGE_LOOP('',(#249827,#249828,#249829,#249830)); #45970=EDGE_LOOP('',(#249831,#249832,#249833,#249834)); #45971=EDGE_LOOP('',(#249835,#249836,#249837,#249838)); #45972=EDGE_LOOP('',(#249839,#249840,#249841,#249842)); #45973=EDGE_LOOP('',(#249843,#249844,#249845,#249846)); #45974=EDGE_LOOP('',(#249847,#249848,#249849,#249850)); #45975=EDGE_LOOP('',(#249851,#249852,#249853,#249854)); #45976=EDGE_LOOP('',(#249855,#249856,#249857,#249858)); #45977=EDGE_LOOP('',(#249859,#249860,#249861,#249862)); #45978=EDGE_LOOP('',(#249863,#249864,#249865,#249866)); #45979=EDGE_LOOP('',(#249867,#249868,#249869,#249870)); #45980=EDGE_LOOP('',(#249871,#249872,#249873,#249874)); #45981=EDGE_LOOP('',(#249875,#249876,#249877,#249878)); #45982=EDGE_LOOP('',(#249879,#249880,#249881,#249882)); #45983=EDGE_LOOP('',(#249883,#249884,#249885,#249886)); #45984=EDGE_LOOP('',(#249887,#249888,#249889,#249890)); #45985=EDGE_LOOP('',(#249891,#249892,#249893,#249894)); #45986=EDGE_LOOP('',(#249895,#249896,#249897,#249898)); #45987=EDGE_LOOP('',(#249899,#249900,#249901,#249902,#249903,#249904,#249905, #249906,#249907,#249908,#249909,#249910,#249911,#249912,#249913,#249914, #249915,#249916,#249917,#249918,#249919)); #45988=EDGE_LOOP('',(#249920,#249921,#249922,#249923,#249924,#249925,#249926, #249927,#249928,#249929,#249930,#249931,#249932,#249933,#249934,#249935, #249936,#249937,#249938,#249939,#249940)); #45989=EDGE_LOOP('',(#249941,#249942,#249943,#249944)); #45990=EDGE_LOOP('',(#249945,#249946,#249947,#249948)); #45991=EDGE_LOOP('',(#249949,#249950,#249951,#249952)); #45992=EDGE_LOOP('',(#249953,#249954,#249955,#249956)); #45993=EDGE_LOOP('',(#249957,#249958,#249959,#249960)); #45994=EDGE_LOOP('',(#249961,#249962,#249963,#249964)); #45995=EDGE_LOOP('',(#249965,#249966,#249967,#249968)); #45996=EDGE_LOOP('',(#249969,#249970,#249971,#249972)); #45997=EDGE_LOOP('',(#249973,#249974,#249975,#249976)); #45998=EDGE_LOOP('',(#249977,#249978,#249979,#249980)); #45999=EDGE_LOOP('',(#249981,#249982,#249983,#249984)); #46000=EDGE_LOOP('',(#249985,#249986,#249987,#249988)); #46001=EDGE_LOOP('',(#249989,#249990,#249991,#249992)); #46002=EDGE_LOOP('',(#249993,#249994,#249995,#249996)); #46003=EDGE_LOOP('',(#249997,#249998,#249999,#250000)); #46004=EDGE_LOOP('',(#250001,#250002,#250003,#250004)); #46005=EDGE_LOOP('',(#250005,#250006,#250007,#250008)); #46006=EDGE_LOOP('',(#250009,#250010,#250011,#250012)); #46007=EDGE_LOOP('',(#250013,#250014,#250015,#250016)); #46008=EDGE_LOOP('',(#250017,#250018,#250019,#250020)); #46009=EDGE_LOOP('',(#250021,#250022,#250023,#250024,#250025,#250026,#250027, #250028,#250029,#250030,#250031,#250032,#250033,#250034,#250035,#250036, #250037,#250038,#250039,#250040)); #46010=EDGE_LOOP('',(#250041,#250042,#250043,#250044,#250045,#250046,#250047, #250048,#250049,#250050,#250051,#250052,#250053,#250054,#250055,#250056, #250057,#250058,#250059,#250060)); #46011=EDGE_LOOP('',(#250061,#250062,#250063,#250064)); #46012=EDGE_LOOP('',(#250065,#250066,#250067,#250068)); #46013=EDGE_LOOP('',(#250069,#250070,#250071,#250072)); #46014=EDGE_LOOP('',(#250073,#250074,#250075,#250076)); #46015=EDGE_LOOP('',(#250077,#250078,#250079,#250080)); #46016=EDGE_LOOP('',(#250081,#250082,#250083,#250084)); #46017=EDGE_LOOP('',(#250085,#250086,#250087,#250088)); #46018=EDGE_LOOP('',(#250089,#250090,#250091,#250092)); #46019=EDGE_LOOP('',(#250093,#250094,#250095,#250096)); #46020=EDGE_LOOP('',(#250097,#250098,#250099,#250100)); #46021=EDGE_LOOP('',(#250101,#250102,#250103,#250104)); #46022=EDGE_LOOP('',(#250105,#250106,#250107,#250108)); #46023=EDGE_LOOP('',(#250109,#250110,#250111,#250112)); #46024=EDGE_LOOP('',(#250113,#250114,#250115,#250116)); #46025=EDGE_LOOP('',(#250117,#250118,#250119,#250120)); #46026=EDGE_LOOP('',(#250121,#250122,#250123,#250124)); #46027=EDGE_LOOP('',(#250125,#250126,#250127,#250128)); #46028=EDGE_LOOP('',(#250129,#250130,#250131,#250132)); #46029=EDGE_LOOP('',(#250133,#250134,#250135,#250136)); #46030=EDGE_LOOP('',(#250137,#250138,#250139,#250140)); #46031=EDGE_LOOP('',(#250141,#250142,#250143,#250144)); #46032=EDGE_LOOP('',(#250145,#250146,#250147,#250148,#250149,#250150,#250151, #250152,#250153,#250154,#250155,#250156,#250157,#250158,#250159,#250160, #250161,#250162,#250163,#250164,#250165)); #46033=EDGE_LOOP('',(#250166,#250167,#250168,#250169,#250170,#250171,#250172, #250173,#250174,#250175,#250176,#250177,#250178,#250179,#250180,#250181, #250182,#250183,#250184,#250185,#250186)); #46034=EDGE_LOOP('',(#250187,#250188,#250189,#250190)); #46035=EDGE_LOOP('',(#250191,#250192,#250193,#250194)); #46036=EDGE_LOOP('',(#250195,#250196,#250197,#250198)); #46037=EDGE_LOOP('',(#250199,#250200,#250201,#250202)); #46038=EDGE_LOOP('',(#250203,#250204,#250205,#250206)); #46039=EDGE_LOOP('',(#250207,#250208,#250209,#250210)); #46040=EDGE_LOOP('',(#250211,#250212,#250213,#250214)); #46041=EDGE_LOOP('',(#250215,#250216,#250217,#250218)); #46042=EDGE_LOOP('',(#250219,#250220,#250221,#250222)); #46043=EDGE_LOOP('',(#250223,#250224,#250225,#250226)); #46044=EDGE_LOOP('',(#250227,#250228,#250229,#250230)); #46045=EDGE_LOOP('',(#250231,#250232,#250233,#250234)); #46046=EDGE_LOOP('',(#250235,#250236,#250237,#250238)); #46047=EDGE_LOOP('',(#250239,#250240,#250241,#250242)); #46048=EDGE_LOOP('',(#250243,#250244,#250245,#250246)); #46049=EDGE_LOOP('',(#250247,#250248,#250249,#250250)); #46050=EDGE_LOOP('',(#250251,#250252,#250253,#250254)); #46051=EDGE_LOOP('',(#250255,#250256,#250257,#250258)); #46052=EDGE_LOOP('',(#250259,#250260,#250261,#250262)); #46053=EDGE_LOOP('',(#250263,#250264,#250265,#250266)); #46054=EDGE_LOOP('',(#250267,#250268,#250269,#250270)); #46055=EDGE_LOOP('',(#250271,#250272,#250273,#250274)); #46056=EDGE_LOOP('',(#250275,#250276,#250277,#250278)); #46057=EDGE_LOOP('',(#250279,#250280,#250281,#250282)); #46058=EDGE_LOOP('',(#250283,#250284,#250285,#250286)); #46059=EDGE_LOOP('',(#250287,#250288,#250289,#250290)); #46060=EDGE_LOOP('',(#250291,#250292,#250293,#250294)); #46061=EDGE_LOOP('',(#250295,#250296,#250297,#250298)); #46062=EDGE_LOOP('',(#250299,#250300,#250301,#250302)); #46063=EDGE_LOOP('',(#250303,#250304,#250305,#250306)); #46064=EDGE_LOOP('',(#250307,#250308,#250309,#250310)); #46065=EDGE_LOOP('',(#250311,#250312,#250313,#250314)); #46066=EDGE_LOOP('',(#250315,#250316,#250317,#250318)); #46067=EDGE_LOOP('',(#250319,#250320,#250321,#250322)); #46068=EDGE_LOOP('',(#250323,#250324,#250325,#250326)); #46069=EDGE_LOOP('',(#250327,#250328,#250329,#250330)); #46070=EDGE_LOOP('',(#250331,#250332,#250333,#250334)); #46071=EDGE_LOOP('',(#250335,#250336,#250337,#250338)); #46072=EDGE_LOOP('',(#250339,#250340,#250341,#250342)); #46073=EDGE_LOOP('',(#250343,#250344,#250345,#250346)); #46074=EDGE_LOOP('',(#250347,#250348,#250349,#250350)); #46075=EDGE_LOOP('',(#250351,#250352,#250353,#250354)); #46076=EDGE_LOOP('',(#250355,#250356,#250357,#250358)); #46077=EDGE_LOOP('',(#250359,#250360,#250361,#250362)); #46078=EDGE_LOOP('',(#250363,#250364,#250365,#250366)); #46079=EDGE_LOOP('',(#250367,#250368,#250369,#250370)); #46080=EDGE_LOOP('',(#250371,#250372,#250373,#250374)); #46081=EDGE_LOOP('',(#250375,#250376,#250377,#250378)); #46082=EDGE_LOOP('',(#250379,#250380,#250381,#250382)); #46083=EDGE_LOOP('',(#250383,#250384,#250385,#250386)); #46084=EDGE_LOOP('',(#250387,#250388,#250389,#250390)); #46085=EDGE_LOOP('',(#250391,#250392,#250393,#250394)); #46086=EDGE_LOOP('',(#250395,#250396,#250397,#250398)); #46087=EDGE_LOOP('',(#250399,#250400,#250401,#250402)); #46088=EDGE_LOOP('',(#250403,#250404,#250405,#250406)); #46089=EDGE_LOOP('',(#250407,#250408,#250409,#250410)); #46090=EDGE_LOOP('',(#250411,#250412,#250413,#250414)); #46091=EDGE_LOOP('',(#250415,#250416,#250417,#250418)); #46092=EDGE_LOOP('',(#250419,#250420,#250421,#250422)); #46093=EDGE_LOOP('',(#250423,#250424,#250425,#250426)); #46094=EDGE_LOOP('',(#250427,#250428,#250429,#250430)); #46095=EDGE_LOOP('',(#250431,#250432,#250433,#250434)); #46096=EDGE_LOOP('',(#250435,#250436,#250437,#250438)); #46097=EDGE_LOOP('',(#250439,#250440,#250441,#250442)); #46098=EDGE_LOOP('',(#250443,#250444,#250445,#250446)); #46099=EDGE_LOOP('',(#250447,#250448,#250449,#250450)); #46100=EDGE_LOOP('',(#250451,#250452,#250453,#250454)); #46101=EDGE_LOOP('',(#250455,#250456,#250457,#250458)); #46102=EDGE_LOOP('',(#250459,#250460,#250461,#250462)); #46103=EDGE_LOOP('',(#250463,#250464,#250465,#250466)); #46104=EDGE_LOOP('',(#250467,#250468,#250469,#250470)); #46105=EDGE_LOOP('',(#250471,#250472,#250473,#250474)); #46106=EDGE_LOOP('',(#250475,#250476,#250477,#250478)); #46107=EDGE_LOOP('',(#250479,#250480,#250481,#250482)); #46108=EDGE_LOOP('',(#250483,#250484,#250485,#250486)); #46109=EDGE_LOOP('',(#250487,#250488,#250489,#250490)); #46110=EDGE_LOOP('',(#250491,#250492,#250493,#250494)); #46111=EDGE_LOOP('',(#250495,#250496,#250497,#250498)); #46112=EDGE_LOOP('',(#250499,#250500,#250501,#250502)); #46113=EDGE_LOOP('',(#250503,#250504,#250505,#250506)); #46114=EDGE_LOOP('',(#250507,#250508,#250509,#250510)); #46115=EDGE_LOOP('',(#250511,#250512,#250513,#250514)); #46116=EDGE_LOOP('',(#250515,#250516,#250517,#250518)); #46117=EDGE_LOOP('',(#250519,#250520,#250521,#250522)); #46118=EDGE_LOOP('',(#250523,#250524,#250525,#250526)); #46119=EDGE_LOOP('',(#250527,#250528,#250529,#250530)); #46120=EDGE_LOOP('',(#250531,#250532,#250533,#250534)); #46121=EDGE_LOOP('',(#250535,#250536,#250537,#250538)); #46122=EDGE_LOOP('',(#250539,#250540,#250541,#250542)); #46123=EDGE_LOOP('',(#250543,#250544,#250545,#250546)); #46124=EDGE_LOOP('',(#250547,#250548,#250549,#250550)); #46125=EDGE_LOOP('',(#250551,#250552,#250553,#250554)); #46126=EDGE_LOOP('',(#250555,#250556,#250557,#250558)); #46127=EDGE_LOOP('',(#250559,#250560,#250561,#250562,#250563,#250564,#250565, #250566,#250567,#250568,#250569,#250570,#250571,#250572,#250573,#250574, #250575,#250576,#250577,#250578,#250579,#250580,#250581,#250582,#250583, #250584,#250585,#250586,#250587,#250588,#250589,#250590,#250591,#250592, #250593,#250594,#250595,#250596,#250597,#250598,#250599,#250600,#250601, #250602,#250603,#250604,#250605,#250606,#250607,#250608,#250609,#250610, #250611,#250612,#250613,#250614,#250615,#250616,#250617,#250618,#250619, #250620,#250621,#250622,#250623,#250624,#250625,#250626,#250627,#250628, #250629,#250630,#250631,#250632,#250633,#250634,#250635,#250636,#250637, #250638,#250639,#250640,#250641,#250642,#250643,#250644,#250645,#250646, #250647,#250648)); #46128=EDGE_LOOP('',(#250649)); #46129=EDGE_LOOP('',(#250650)); #46130=EDGE_LOOP('',(#250651)); #46131=EDGE_LOOP('',(#250652,#250653,#250654,#250655,#250656,#250657,#250658, #250659,#250660,#250661,#250662,#250663,#250664,#250665,#250666,#250667, #250668,#250669,#250670,#250671,#250672,#250673,#250674,#250675,#250676, #250677,#250678,#250679,#250680,#250681,#250682,#250683,#250684,#250685, #250686,#250687,#250688,#250689,#250690,#250691,#250692,#250693,#250694, #250695,#250696,#250697,#250698,#250699,#250700,#250701,#250702,#250703, #250704,#250705,#250706,#250707,#250708,#250709,#250710,#250711,#250712, #250713,#250714,#250715,#250716,#250717,#250718,#250719,#250720,#250721, #250722,#250723,#250724,#250725,#250726,#250727,#250728,#250729,#250730, #250731,#250732,#250733,#250734,#250735,#250736,#250737,#250738,#250739, #250740,#250741)); #46132=EDGE_LOOP('',(#250742)); #46133=EDGE_LOOP('',(#250743)); #46134=EDGE_LOOP('',(#250744)); #46135=EDGE_LOOP('',(#250745,#250746,#250747,#250748)); #46136=EDGE_LOOP('',(#250749,#250750,#250751,#250752)); #46137=EDGE_LOOP('',(#250753,#250754,#250755,#250756)); #46138=EDGE_LOOP('',(#250757,#250758,#250759,#250760)); #46139=EDGE_LOOP('',(#250761,#250762,#250763,#250764)); #46140=EDGE_LOOP('',(#250765,#250766,#250767,#250768)); #46141=EDGE_LOOP('',(#250769,#250770,#250771,#250772)); #46142=EDGE_LOOP('',(#250773,#250774,#250775,#250776)); #46143=EDGE_LOOP('',(#250777,#250778,#250779,#250780)); #46144=EDGE_LOOP('',(#250781,#250782,#250783,#250784)); #46145=EDGE_LOOP('',(#250785,#250786,#250787,#250788)); #46146=EDGE_LOOP('',(#250789,#250790,#250791,#250792)); #46147=EDGE_LOOP('',(#250793,#250794,#250795,#250796)); #46148=EDGE_LOOP('',(#250797,#250798,#250799,#250800)); #46149=EDGE_LOOP('',(#250801,#250802,#250803,#250804)); #46150=EDGE_LOOP('',(#250805,#250806,#250807,#250808)); #46151=EDGE_LOOP('',(#250809,#250810,#250811,#250812)); #46152=EDGE_LOOP('',(#250813,#250814,#250815,#250816)); #46153=EDGE_LOOP('',(#250817,#250818,#250819,#250820)); #46154=EDGE_LOOP('',(#250821,#250822,#250823,#250824)); #46155=EDGE_LOOP('',(#250825,#250826,#250827,#250828)); #46156=EDGE_LOOP('',(#250829,#250830,#250831,#250832)); #46157=EDGE_LOOP('',(#250833,#250834,#250835,#250836)); #46158=EDGE_LOOP('',(#250837,#250838,#250839,#250840)); #46159=EDGE_LOOP('',(#250841,#250842,#250843,#250844)); #46160=EDGE_LOOP('',(#250845,#250846,#250847,#250848)); #46161=EDGE_LOOP('',(#250849,#250850,#250851,#250852)); #46162=EDGE_LOOP('',(#250853,#250854,#250855,#250856)); #46163=EDGE_LOOP('',(#250857,#250858,#250859,#250860)); #46164=EDGE_LOOP('',(#250861,#250862,#250863,#250864)); #46165=EDGE_LOOP('',(#250865,#250866,#250867,#250868)); #46166=EDGE_LOOP('',(#250869,#250870,#250871,#250872)); #46167=EDGE_LOOP('',(#250873,#250874,#250875,#250876)); #46168=EDGE_LOOP('',(#250877,#250878,#250879,#250880)); #46169=EDGE_LOOP('',(#250881,#250882,#250883,#250884,#250885,#250886,#250887, #250888,#250889,#250890,#250891,#250892,#250893,#250894,#250895,#250896, #250897,#250898,#250899,#250900,#250901,#250902,#250903,#250904,#250905, #250906,#250907,#250908,#250909,#250910,#250911,#250912,#250913,#250914)); #46170=EDGE_LOOP('',(#250915,#250916,#250917,#250918,#250919,#250920,#250921, #250922,#250923,#250924,#250925,#250926,#250927,#250928,#250929,#250930, #250931,#250932,#250933,#250934,#250935,#250936,#250937,#250938,#250939, #250940,#250941,#250942,#250943,#250944,#250945,#250946,#250947,#250948)); #46171=EDGE_LOOP('',(#250949,#250950,#250951,#250952)); #46172=EDGE_LOOP('',(#250953,#250954,#250955,#250956)); #46173=EDGE_LOOP('',(#250957,#250958,#250959,#250960)); #46174=EDGE_LOOP('',(#250961,#250962,#250963,#250964)); #46175=EDGE_LOOP('',(#250965,#250966,#250967,#250968)); #46176=EDGE_LOOP('',(#250969,#250970,#250971,#250972)); #46177=EDGE_LOOP('',(#250973,#250974,#250975,#250976)); #46178=EDGE_LOOP('',(#250977,#250978,#250979,#250980)); #46179=EDGE_LOOP('',(#250981,#250982,#250983,#250984)); #46180=EDGE_LOOP('',(#250985,#250986,#250987,#250988)); #46181=EDGE_LOOP('',(#250989,#250990,#250991,#250992)); #46182=EDGE_LOOP('',(#250993,#250994,#250995,#250996)); #46183=EDGE_LOOP('',(#250997,#250998,#250999,#251000)); #46184=EDGE_LOOP('',(#251001,#251002,#251003,#251004)); #46185=EDGE_LOOP('',(#251005,#251006,#251007,#251008)); #46186=EDGE_LOOP('',(#251009,#251010,#251011,#251012)); #46187=EDGE_LOOP('',(#251013,#251014,#251015,#251016)); #46188=EDGE_LOOP('',(#251017,#251018,#251019,#251020)); #46189=EDGE_LOOP('',(#251021,#251022,#251023,#251024)); #46190=EDGE_LOOP('',(#251025,#251026,#251027,#251028)); #46191=EDGE_LOOP('',(#251029,#251030,#251031,#251032,#251033,#251034,#251035, #251036,#251037,#251038,#251039,#251040,#251041,#251042,#251043,#251044, #251045,#251046,#251047,#251048)); #46192=EDGE_LOOP('',(#251049,#251050,#251051,#251052,#251053,#251054,#251055, #251056,#251057,#251058,#251059,#251060,#251061,#251062,#251063,#251064, #251065,#251066,#251067,#251068)); #46193=EDGE_LOOP('',(#251069,#251070,#251071,#251072)); #46194=EDGE_LOOP('',(#251073,#251074,#251075,#251076)); #46195=EDGE_LOOP('',(#251077,#251078,#251079,#251080)); #46196=EDGE_LOOP('',(#251081,#251082,#251083,#251084)); #46197=EDGE_LOOP('',(#251085,#251086,#251087,#251088)); #46198=EDGE_LOOP('',(#251089,#251090,#251091,#251092)); #46199=EDGE_LOOP('',(#251093,#251094,#251095,#251096)); #46200=EDGE_LOOP('',(#251097,#251098,#251099,#251100)); #46201=EDGE_LOOP('',(#251101,#251102,#251103,#251104)); #46202=EDGE_LOOP('',(#251105,#251106,#251107,#251108)); #46203=EDGE_LOOP('',(#251109,#251110,#251111,#251112)); #46204=EDGE_LOOP('',(#251113,#251114,#251115,#251116)); #46205=EDGE_LOOP('',(#251117,#251118,#251119,#251120)); #46206=EDGE_LOOP('',(#251121,#251122,#251123,#251124)); #46207=EDGE_LOOP('',(#251125,#251126,#251127,#251128)); #46208=EDGE_LOOP('',(#251129,#251130,#251131,#251132)); #46209=EDGE_LOOP('',(#251133,#251134,#251135,#251136)); #46210=EDGE_LOOP('',(#251137,#251138,#251139,#251140)); #46211=EDGE_LOOP('',(#251141,#251142,#251143,#251144)); #46212=EDGE_LOOP('',(#251145,#251146,#251147,#251148)); #46213=EDGE_LOOP('',(#251149,#251150,#251151,#251152)); #46214=EDGE_LOOP('',(#251153,#251154,#251155,#251156,#251157,#251158,#251159, #251160,#251161,#251162,#251163,#251164,#251165,#251166,#251167,#251168, #251169,#251170,#251171,#251172,#251173)); #46215=EDGE_LOOP('',(#251174,#251175,#251176,#251177,#251178,#251179,#251180, #251181,#251182,#251183,#251184,#251185,#251186,#251187,#251188,#251189, #251190,#251191,#251192,#251193,#251194)); #46216=EDGE_LOOP('',(#251195,#251196,#251197,#251198)); #46217=EDGE_LOOP('',(#251199,#251200,#251201,#251202)); #46218=EDGE_LOOP('',(#251203,#251204,#251205,#251206)); #46219=EDGE_LOOP('',(#251207,#251208,#251209,#251210)); #46220=EDGE_LOOP('',(#251211,#251212,#251213,#251214)); #46221=EDGE_LOOP('',(#251215,#251216,#251217,#251218)); #46222=EDGE_LOOP('',(#251219,#251220,#251221,#251222)); #46223=EDGE_LOOP('',(#251223,#251224,#251225,#251226)); #46224=EDGE_LOOP('',(#251227,#251228,#251229,#251230)); #46225=EDGE_LOOP('',(#251231,#251232,#251233,#251234)); #46226=EDGE_LOOP('',(#251235,#251236,#251237,#251238)); #46227=EDGE_LOOP('',(#251239,#251240,#251241,#251242)); #46228=EDGE_LOOP('',(#251243,#251244,#251245,#251246)); #46229=EDGE_LOOP('',(#251247,#251248,#251249,#251250)); #46230=EDGE_LOOP('',(#251251,#251252,#251253,#251254)); #46231=EDGE_LOOP('',(#251255,#251256,#251257,#251258)); #46232=EDGE_LOOP('',(#251259,#251260,#251261,#251262)); #46233=EDGE_LOOP('',(#251263,#251264,#251265,#251266)); #46234=EDGE_LOOP('',(#251267,#251268,#251269,#251270)); #46235=EDGE_LOOP('',(#251271,#251272,#251273,#251274)); #46236=EDGE_LOOP('',(#251275,#251276,#251277,#251278)); #46237=EDGE_LOOP('',(#251279,#251280,#251281,#251282)); #46238=EDGE_LOOP('',(#251283,#251284,#251285,#251286)); #46239=EDGE_LOOP('',(#251287,#251288,#251289,#251290)); #46240=EDGE_LOOP('',(#251291,#251292,#251293,#251294)); #46241=EDGE_LOOP('',(#251295,#251296,#251297,#251298)); #46242=EDGE_LOOP('',(#251299,#251300,#251301,#251302)); #46243=EDGE_LOOP('',(#251303,#251304,#251305,#251306)); #46244=EDGE_LOOP('',(#251307,#251308,#251309,#251310)); #46245=EDGE_LOOP('',(#251311,#251312,#251313,#251314)); #46246=EDGE_LOOP('',(#251315,#251316,#251317,#251318)); #46247=EDGE_LOOP('',(#251319,#251320,#251321,#251322)); #46248=EDGE_LOOP('',(#251323,#251324,#251325,#251326)); #46249=EDGE_LOOP('',(#251327,#251328,#251329,#251330)); #46250=EDGE_LOOP('',(#251331,#251332,#251333,#251334)); #46251=EDGE_LOOP('',(#251335,#251336,#251337,#251338)); #46252=EDGE_LOOP('',(#251339,#251340,#251341,#251342)); #46253=EDGE_LOOP('',(#251343,#251344,#251345,#251346)); #46254=EDGE_LOOP('',(#251347,#251348,#251349,#251350)); #46255=EDGE_LOOP('',(#251351,#251352,#251353,#251354)); #46256=EDGE_LOOP('',(#251355,#251356,#251357,#251358)); #46257=EDGE_LOOP('',(#251359,#251360,#251361,#251362)); #46258=EDGE_LOOP('',(#251363,#251364,#251365,#251366)); #46259=EDGE_LOOP('',(#251367,#251368,#251369,#251370)); #46260=EDGE_LOOP('',(#251371,#251372,#251373,#251374)); #46261=EDGE_LOOP('',(#251375,#251376,#251377,#251378)); #46262=EDGE_LOOP('',(#251379,#251380,#251381,#251382)); #46263=EDGE_LOOP('',(#251383,#251384,#251385,#251386)); #46264=EDGE_LOOP('',(#251387,#251388,#251389,#251390)); #46265=EDGE_LOOP('',(#251391,#251392,#251393,#251394)); #46266=EDGE_LOOP('',(#251395,#251396,#251397,#251398)); #46267=EDGE_LOOP('',(#251399,#251400,#251401,#251402)); #46268=EDGE_LOOP('',(#251403,#251404,#251405,#251406)); #46269=EDGE_LOOP('',(#251407,#251408,#251409,#251410)); #46270=EDGE_LOOP('',(#251411,#251412,#251413,#251414)); #46271=EDGE_LOOP('',(#251415,#251416,#251417,#251418)); #46272=EDGE_LOOP('',(#251419,#251420,#251421,#251422)); #46273=EDGE_LOOP('',(#251423,#251424,#251425,#251426)); #46274=EDGE_LOOP('',(#251427,#251428,#251429,#251430)); #46275=EDGE_LOOP('',(#251431,#251432,#251433,#251434)); #46276=EDGE_LOOP('',(#251435,#251436,#251437,#251438)); #46277=EDGE_LOOP('',(#251439,#251440,#251441,#251442)); #46278=EDGE_LOOP('',(#251443,#251444,#251445,#251446)); #46279=EDGE_LOOP('',(#251447,#251448,#251449,#251450)); #46280=EDGE_LOOP('',(#251451,#251452,#251453,#251454)); #46281=EDGE_LOOP('',(#251455,#251456,#251457,#251458)); #46282=EDGE_LOOP('',(#251459,#251460,#251461,#251462)); #46283=EDGE_LOOP('',(#251463,#251464,#251465,#251466)); #46284=EDGE_LOOP('',(#251467,#251468,#251469,#251470)); #46285=EDGE_LOOP('',(#251471,#251472,#251473,#251474)); #46286=EDGE_LOOP('',(#251475,#251476,#251477,#251478)); #46287=EDGE_LOOP('',(#251479,#251480,#251481,#251482)); #46288=EDGE_LOOP('',(#251483,#251484,#251485,#251486)); #46289=EDGE_LOOP('',(#251487,#251488,#251489,#251490)); #46290=EDGE_LOOP('',(#251491,#251492,#251493,#251494)); #46291=EDGE_LOOP('',(#251495,#251496,#251497,#251498)); #46292=EDGE_LOOP('',(#251499,#251500,#251501,#251502)); #46293=EDGE_LOOP('',(#251503,#251504,#251505,#251506)); #46294=EDGE_LOOP('',(#251507,#251508,#251509,#251510)); #46295=EDGE_LOOP('',(#251511,#251512,#251513,#251514)); #46296=EDGE_LOOP('',(#251515,#251516,#251517,#251518)); #46297=EDGE_LOOP('',(#251519,#251520,#251521,#251522)); #46298=EDGE_LOOP('',(#251523,#251524,#251525,#251526)); #46299=EDGE_LOOP('',(#251527,#251528,#251529,#251530)); #46300=EDGE_LOOP('',(#251531,#251532,#251533,#251534)); #46301=EDGE_LOOP('',(#251535,#251536,#251537,#251538)); #46302=EDGE_LOOP('',(#251539,#251540,#251541,#251542)); #46303=EDGE_LOOP('',(#251543,#251544,#251545,#251546)); #46304=EDGE_LOOP('',(#251547,#251548,#251549,#251550)); #46305=EDGE_LOOP('',(#251551,#251552,#251553,#251554)); #46306=EDGE_LOOP('',(#251555,#251556,#251557,#251558)); #46307=EDGE_LOOP('',(#251559,#251560,#251561,#251562)); #46308=EDGE_LOOP('',(#251563,#251564,#251565,#251566)); #46309=EDGE_LOOP('',(#251567,#251568,#251569,#251570)); #46310=EDGE_LOOP('',(#251571,#251572,#251573,#251574)); #46311=EDGE_LOOP('',(#251575,#251576,#251577,#251578)); #46312=EDGE_LOOP('',(#251579,#251580,#251581,#251582)); #46313=EDGE_LOOP('',(#251583,#251584,#251585,#251586)); #46314=EDGE_LOOP('',(#251587,#251588,#251589,#251590)); #46315=EDGE_LOOP('',(#251591,#251592,#251593,#251594)); #46316=EDGE_LOOP('',(#251595,#251596,#251597,#251598)); #46317=EDGE_LOOP('',(#251599,#251600,#251601,#251602)); #46318=EDGE_LOOP('',(#251603,#251604,#251605,#251606)); #46319=EDGE_LOOP('',(#251607,#251608,#251609,#251610)); #46320=EDGE_LOOP('',(#251611,#251612,#251613,#251614)); #46321=EDGE_LOOP('',(#251615,#251616,#251617,#251618)); #46322=EDGE_LOOP('',(#251619,#251620,#251621,#251622)); #46323=EDGE_LOOP('',(#251623,#251624,#251625,#251626)); #46324=EDGE_LOOP('',(#251627,#251628,#251629,#251630)); #46325=EDGE_LOOP('',(#251631,#251632,#251633,#251634)); #46326=EDGE_LOOP('',(#251635,#251636,#251637,#251638)); #46327=EDGE_LOOP('',(#251639,#251640,#251641,#251642)); #46328=EDGE_LOOP('',(#251643,#251644,#251645,#251646)); #46329=EDGE_LOOP('',(#251647,#251648,#251649,#251650)); #46330=EDGE_LOOP('',(#251651,#251652,#251653,#251654)); #46331=EDGE_LOOP('',(#251655,#251656,#251657,#251658)); #46332=EDGE_LOOP('',(#251659,#251660,#251661,#251662)); #46333=EDGE_LOOP('',(#251663,#251664,#251665,#251666)); #46334=EDGE_LOOP('',(#251667,#251668,#251669,#251670)); #46335=EDGE_LOOP('',(#251671,#251672,#251673,#251674)); #46336=EDGE_LOOP('',(#251675,#251676,#251677,#251678)); #46337=EDGE_LOOP('',(#251679,#251680,#251681,#251682)); #46338=EDGE_LOOP('',(#251683,#251684,#251685,#251686)); #46339=EDGE_LOOP('',(#251687,#251688,#251689,#251690)); #46340=EDGE_LOOP('',(#251691,#251692,#251693,#251694)); #46341=EDGE_LOOP('',(#251695,#251696,#251697,#251698)); #46342=EDGE_LOOP('',(#251699,#251700,#251701,#251702)); #46343=EDGE_LOOP('',(#251703,#251704,#251705,#251706)); #46344=EDGE_LOOP('',(#251707,#251708,#251709,#251710)); #46345=EDGE_LOOP('',(#251711,#251712,#251713,#251714)); #46346=EDGE_LOOP('',(#251715,#251716,#251717,#251718)); #46347=EDGE_LOOP('',(#251719,#251720,#251721,#251722)); #46348=EDGE_LOOP('',(#251723,#251724,#251725,#251726)); #46349=EDGE_LOOP('',(#251727,#251728,#251729,#251730)); #46350=EDGE_LOOP('',(#251731,#251732,#251733,#251734)); #46351=EDGE_LOOP('',(#251735,#251736,#251737,#251738)); #46352=EDGE_LOOP('',(#251739,#251740,#251741,#251742)); #46353=EDGE_LOOP('',(#251743,#251744,#251745,#251746)); #46354=EDGE_LOOP('',(#251747,#251748,#251749,#251750)); #46355=EDGE_LOOP('',(#251751,#251752,#251753,#251754)); #46356=EDGE_LOOP('',(#251755,#251756,#251757,#251758)); #46357=EDGE_LOOP('',(#251759,#251760,#251761,#251762)); #46358=EDGE_LOOP('',(#251763,#251764,#251765,#251766)); #46359=EDGE_LOOP('',(#251767,#251768,#251769,#251770)); #46360=EDGE_LOOP('',(#251771,#251772,#251773,#251774)); #46361=EDGE_LOOP('',(#251775,#251776,#251777,#251778)); #46362=EDGE_LOOP('',(#251779,#251780,#251781,#251782)); #46363=EDGE_LOOP('',(#251783,#251784,#251785,#251786)); #46364=EDGE_LOOP('',(#251787,#251788,#251789,#251790)); #46365=EDGE_LOOP('',(#251791,#251792,#251793,#251794)); #46366=EDGE_LOOP('',(#251795,#251796,#251797,#251798)); #46367=EDGE_LOOP('',(#251799,#251800,#251801,#251802)); #46368=EDGE_LOOP('',(#251803,#251804,#251805,#251806)); #46369=EDGE_LOOP('',(#251807,#251808,#251809,#251810)); #46370=EDGE_LOOP('',(#251811,#251812,#251813,#251814)); #46371=EDGE_LOOP('',(#251815,#251816,#251817,#251818)); #46372=EDGE_LOOP('',(#251819,#251820,#251821,#251822)); #46373=EDGE_LOOP('',(#251823,#251824,#251825,#251826)); #46374=EDGE_LOOP('',(#251827,#251828,#251829,#251830)); #46375=EDGE_LOOP('',(#251831,#251832,#251833,#251834)); #46376=EDGE_LOOP('',(#251835,#251836,#251837,#251838)); #46377=EDGE_LOOP('',(#251839,#251840,#251841,#251842)); #46378=EDGE_LOOP('',(#251843,#251844,#251845,#251846)); #46379=EDGE_LOOP('',(#251847,#251848,#251849,#251850)); #46380=EDGE_LOOP('',(#251851,#251852,#251853,#251854)); #46381=EDGE_LOOP('',(#251855,#251856,#251857,#251858)); #46382=EDGE_LOOP('',(#251859,#251860,#251861,#251862)); #46383=EDGE_LOOP('',(#251863,#251864,#251865,#251866)); #46384=EDGE_LOOP('',(#251867,#251868,#251869,#251870)); #46385=EDGE_LOOP('',(#251871,#251872,#251873,#251874)); #46386=EDGE_LOOP('',(#251875,#251876,#251877,#251878)); #46387=EDGE_LOOP('',(#251879,#251880,#251881,#251882)); #46388=EDGE_LOOP('',(#251883,#251884,#251885,#251886)); #46389=EDGE_LOOP('',(#251887,#251888,#251889,#251890)); #46390=EDGE_LOOP('',(#251891,#251892,#251893,#251894)); #46391=EDGE_LOOP('',(#251895,#251896,#251897,#251898)); #46392=EDGE_LOOP('',(#251899,#251900,#251901,#251902)); #46393=EDGE_LOOP('',(#251903,#251904,#251905,#251906)); #46394=EDGE_LOOP('',(#251907,#251908,#251909,#251910)); #46395=EDGE_LOOP('',(#251911,#251912,#251913,#251914)); #46396=EDGE_LOOP('',(#251915,#251916,#251917,#251918)); #46397=EDGE_LOOP('',(#251919,#251920,#251921,#251922)); #46398=EDGE_LOOP('',(#251923,#251924,#251925,#251926)); #46399=EDGE_LOOP('',(#251927,#251928,#251929,#251930)); #46400=EDGE_LOOP('',(#251931,#251932,#251933,#251934)); #46401=EDGE_LOOP('',(#251935,#251936,#251937,#251938)); #46402=EDGE_LOOP('',(#251939,#251940,#251941,#251942)); #46403=EDGE_LOOP('',(#251943,#251944,#251945,#251946)); #46404=EDGE_LOOP('',(#251947,#251948,#251949,#251950)); #46405=EDGE_LOOP('',(#251951,#251952,#251953,#251954)); #46406=EDGE_LOOP('',(#251955,#251956,#251957,#251958)); #46407=EDGE_LOOP('',(#251959,#251960,#251961,#251962)); #46408=EDGE_LOOP('',(#251963,#251964,#251965,#251966)); #46409=EDGE_LOOP('',(#251967,#251968,#251969,#251970)); #46410=EDGE_LOOP('',(#251971,#251972,#251973,#251974)); #46411=EDGE_LOOP('',(#251975,#251976,#251977,#251978)); #46412=EDGE_LOOP('',(#251979,#251980,#251981,#251982)); #46413=EDGE_LOOP('',(#251983,#251984,#251985,#251986)); #46414=EDGE_LOOP('',(#251987,#251988,#251989,#251990)); #46415=EDGE_LOOP('',(#251991,#251992,#251993,#251994)); #46416=EDGE_LOOP('',(#251995,#251996,#251997,#251998)); #46417=EDGE_LOOP('',(#251999,#252000,#252001,#252002)); #46418=EDGE_LOOP('',(#252003,#252004,#252005,#252006)); #46419=EDGE_LOOP('',(#252007,#252008,#252009,#252010)); #46420=EDGE_LOOP('',(#252011,#252012,#252013,#252014)); #46421=EDGE_LOOP('',(#252015,#252016,#252017,#252018)); #46422=EDGE_LOOP('',(#252019,#252020,#252021,#252022)); #46423=EDGE_LOOP('',(#252023,#252024,#252025,#252026)); #46424=EDGE_LOOP('',(#252027,#252028,#252029,#252030)); #46425=EDGE_LOOP('',(#252031,#252032,#252033,#252034)); #46426=EDGE_LOOP('',(#252035,#252036,#252037,#252038)); #46427=EDGE_LOOP('',(#252039,#252040,#252041,#252042)); #46428=EDGE_LOOP('',(#252043,#252044,#252045,#252046)); #46429=EDGE_LOOP('',(#252047,#252048,#252049,#252050)); #46430=EDGE_LOOP('',(#252051,#252052,#252053,#252054)); #46431=EDGE_LOOP('',(#252055,#252056,#252057,#252058)); #46432=EDGE_LOOP('',(#252059,#252060,#252061,#252062)); #46433=EDGE_LOOP('',(#252063,#252064,#252065,#252066)); #46434=EDGE_LOOP('',(#252067,#252068,#252069,#252070)); #46435=EDGE_LOOP('',(#252071,#252072,#252073,#252074)); #46436=EDGE_LOOP('',(#252075,#252076,#252077,#252078)); #46437=EDGE_LOOP('',(#252079,#252080,#252081,#252082)); #46438=EDGE_LOOP('',(#252083,#252084,#252085,#252086)); #46439=EDGE_LOOP('',(#252087,#252088,#252089,#252090)); #46440=EDGE_LOOP('',(#252091,#252092,#252093,#252094)); #46441=EDGE_LOOP('',(#252095,#252096,#252097,#252098)); #46442=EDGE_LOOP('',(#252099,#252100,#252101,#252102)); #46443=EDGE_LOOP('',(#252103,#252104,#252105,#252106)); #46444=EDGE_LOOP('',(#252107,#252108,#252109,#252110)); #46445=EDGE_LOOP('',(#252111,#252112,#252113,#252114)); #46446=EDGE_LOOP('',(#252115,#252116,#252117,#252118)); #46447=EDGE_LOOP('',(#252119,#252120,#252121,#252122)); #46448=EDGE_LOOP('',(#252123,#252124,#252125,#252126)); #46449=EDGE_LOOP('',(#252127,#252128,#252129,#252130)); #46450=EDGE_LOOP('',(#252131,#252132,#252133,#252134)); #46451=EDGE_LOOP('',(#252135,#252136,#252137,#252138)); #46452=EDGE_LOOP('',(#252139,#252140,#252141,#252142)); #46453=EDGE_LOOP('',(#252143,#252144,#252145,#252146)); #46454=EDGE_LOOP('',(#252147,#252148,#252149,#252150)); #46455=EDGE_LOOP('',(#252151,#252152,#252153,#252154)); #46456=EDGE_LOOP('',(#252155,#252156,#252157,#252158)); #46457=EDGE_LOOP('',(#252159,#252160,#252161,#252162,#252163,#252164,#252165, #252166,#252167,#252168,#252169,#252170,#252171,#252172,#252173,#252174, #252175,#252176,#252177,#252178,#252179,#252180,#252181,#252182,#252183, #252184,#252185,#252186,#252187,#252188,#252189,#252190,#252191,#252192, #252193,#252194,#252195,#252196,#252197,#252198,#252199,#252200,#252201, #252202,#252203,#252204,#252205,#252206,#252207,#252208,#252209,#252210, #252211,#252212,#252213,#252214,#252215,#252216,#252217,#252218,#252219, #252220,#252221,#252222,#252223,#252224,#252225,#252226,#252227,#252228, #252229,#252230,#252231,#252232,#252233,#252234,#252235,#252236,#252237, #252238,#252239,#252240,#252241,#252242,#252243,#252244,#252245,#252246, #252247,#252248,#252249,#252250,#252251,#252252,#252253,#252254,#252255, #252256,#252257,#252258,#252259,#252260,#252261,#252262,#252263,#252264, #252265,#252266,#252267,#252268,#252269,#252270,#252271,#252272,#252273, #252274,#252275,#252276,#252277,#252278,#252279,#252280,#252281,#252282, #252283,#252284,#252285,#252286,#252287,#252288,#252289,#252290,#252291, #252292,#252293,#252294,#252295,#252296,#252297,#252298,#252299,#252300, #252301,#252302,#252303,#252304,#252305,#252306,#252307,#252308,#252309, #252310,#252311,#252312,#252313,#252314,#252315,#252316,#252317,#252318, #252319,#252320,#252321,#252322,#252323,#252324,#252325,#252326,#252327, #252328,#252329,#252330,#252331,#252332,#252333,#252334,#252335,#252336, #252337,#252338,#252339,#252340,#252341,#252342,#252343,#252344,#252345, #252346,#252347,#252348,#252349,#252350,#252351,#252352,#252353,#252354, #252355,#252356,#252357,#252358,#252359,#252360,#252361,#252362,#252363, #252364,#252365,#252366,#252367,#252368,#252369)); #46458=EDGE_LOOP('',(#252370)); #46459=EDGE_LOOP('',(#252371,#252372,#252373,#252374)); #46460=EDGE_LOOP('',(#252375)); #46461=EDGE_LOOP('',(#252376)); #46462=EDGE_LOOP('',(#252377)); #46463=EDGE_LOOP('',(#252378)); #46464=EDGE_LOOP('',(#252379)); #46465=EDGE_LOOP('',(#252380)); #46466=EDGE_LOOP('',(#252381)); #46467=EDGE_LOOP('',(#252382)); #46468=EDGE_LOOP('',(#252383)); #46469=EDGE_LOOP('',(#252384,#252385,#252386,#252387,#252388,#252389,#252390, #252391,#252392,#252393,#252394,#252395,#252396,#252397,#252398,#252399)); #46470=EDGE_LOOP('',(#252400,#252401,#252402,#252403,#252404,#252405,#252406, #252407,#252408,#252409,#252410,#252411,#252412,#252413,#252414,#252415, #252416,#252417,#252418,#252419,#252420,#252421,#252422,#252423,#252424, #252425,#252426,#252427,#252428,#252429,#252430,#252431,#252432,#252433, #252434,#252435,#252436,#252437,#252438,#252439,#252440,#252441,#252442, #252443,#252444,#252445,#252446,#252447,#252448,#252449,#252450,#252451, #252452,#252453,#252454,#252455,#252456,#252457,#252458,#252459,#252460, #252461,#252462,#252463,#252464,#252465,#252466,#252467,#252468,#252469, #252470,#252471,#252472,#252473,#252474,#252475,#252476,#252477,#252478, #252479,#252480,#252481,#252482,#252483,#252484,#252485,#252486,#252487, #252488,#252489,#252490,#252491,#252492,#252493,#252494,#252495,#252496, #252497,#252498,#252499,#252500,#252501,#252502,#252503,#252504,#252505, #252506,#252507,#252508,#252509,#252510,#252511,#252512,#252513,#252514, #252515,#252516,#252517,#252518,#252519,#252520,#252521,#252522,#252523, #252524,#252525,#252526,#252527,#252528,#252529,#252530,#252531,#252532, #252533,#252534,#252535,#252536,#252537,#252538,#252539,#252540,#252541, #252542,#252543,#252544,#252545,#252546,#252547,#252548,#252549,#252550, #252551,#252552,#252553,#252554,#252555,#252556,#252557,#252558,#252559, #252560,#252561,#252562,#252563,#252564,#252565,#252566,#252567,#252568, #252569,#252570,#252571,#252572,#252573,#252574,#252575,#252576,#252577, #252578,#252579,#252580,#252581,#252582,#252583,#252584,#252585,#252586, #252587,#252588,#252589,#252590,#252591,#252592,#252593,#252594,#252595, #252596,#252597,#252598,#252599,#252600,#252601,#252602,#252603,#252604, #252605,#252606,#252607,#252608,#252609,#252610)); #46471=EDGE_LOOP('',(#252611)); #46472=EDGE_LOOP('',(#252612,#252613,#252614,#252615)); #46473=EDGE_LOOP('',(#252616)); #46474=EDGE_LOOP('',(#252617)); #46475=EDGE_LOOP('',(#252618)); #46476=EDGE_LOOP('',(#252619)); #46477=EDGE_LOOP('',(#252620)); #46478=EDGE_LOOP('',(#252621)); #46479=EDGE_LOOP('',(#252622)); #46480=EDGE_LOOP('',(#252623)); #46481=EDGE_LOOP('',(#252624)); #46482=EDGE_LOOP('',(#252625,#252626,#252627,#252628,#252629,#252630,#252631, #252632,#252633,#252634,#252635,#252636,#252637,#252638,#252639,#252640)); #46483=EDGE_LOOP('',(#252641,#252642,#252643,#252644)); #46484=EDGE_LOOP('',(#252645,#252646,#252647,#252648)); #46485=EDGE_LOOP('',(#252649,#252650,#252651,#252652)); #46486=EDGE_LOOP('',(#252653,#252654,#252655,#252656)); #46487=EDGE_LOOP('',(#252657,#252658,#252659,#252660)); #46488=EDGE_LOOP('',(#252661,#252662,#252663,#252664)); #46489=EDGE_LOOP('',(#252665,#252666,#252667,#252668)); #46490=EDGE_LOOP('',(#252669,#252670,#252671,#252672)); #46491=EDGE_LOOP('',(#252673,#252674,#252675,#252676)); #46492=EDGE_LOOP('',(#252677,#252678,#252679,#252680)); #46493=EDGE_LOOP('',(#252681,#252682,#252683,#252684)); #46494=EDGE_LOOP('',(#252685,#252686,#252687,#252688)); #46495=EDGE_LOOP('',(#252689,#252690,#252691,#252692)); #46496=EDGE_LOOP('',(#252693,#252694,#252695,#252696)); #46497=EDGE_LOOP('',(#252697,#252698,#252699,#252700)); #46498=EDGE_LOOP('',(#252701,#252702,#252703,#252704)); #46499=EDGE_LOOP('',(#252705,#252706,#252707,#252708)); #46500=EDGE_LOOP('',(#252709,#252710,#252711,#252712)); #46501=EDGE_LOOP('',(#252713,#252714,#252715,#252716)); #46502=EDGE_LOOP('',(#252717,#252718,#252719,#252720)); #46503=EDGE_LOOP('',(#252721,#252722,#252723,#252724)); #46504=EDGE_LOOP('',(#252725,#252726,#252727,#252728,#252729,#252730,#252731, #252732,#252733,#252734,#252735,#252736,#252737,#252738,#252739,#252740, #252741,#252742,#252743,#252744,#252745)); #46505=EDGE_LOOP('',(#252746,#252747,#252748,#252749,#252750,#252751,#252752, #252753,#252754,#252755,#252756,#252757,#252758,#252759,#252760,#252761, #252762,#252763,#252764,#252765,#252766)); #46506=EDGE_LOOP('',(#252767,#252768,#252769,#252770)); #46507=EDGE_LOOP('',(#252771,#252772,#252773,#252774)); #46508=EDGE_LOOP('',(#252775,#252776,#252777,#252778)); #46509=EDGE_LOOP('',(#252779,#252780,#252781,#252782)); #46510=EDGE_LOOP('',(#252783,#252784,#252785,#252786)); #46511=EDGE_LOOP('',(#252787,#252788,#252789,#252790)); #46512=EDGE_LOOP('',(#252791,#252792,#252793,#252794)); #46513=EDGE_LOOP('',(#252795,#252796,#252797,#252798)); #46514=EDGE_LOOP('',(#252799,#252800,#252801,#252802)); #46515=EDGE_LOOP('',(#252803,#252804,#252805,#252806)); #46516=EDGE_LOOP('',(#252807,#252808,#252809,#252810)); #46517=EDGE_LOOP('',(#252811,#252812,#252813,#252814)); #46518=EDGE_LOOP('',(#252815,#252816,#252817,#252818)); #46519=EDGE_LOOP('',(#252819,#252820,#252821,#252822)); #46520=EDGE_LOOP('',(#252823,#252824,#252825,#252826)); #46521=EDGE_LOOP('',(#252827,#252828,#252829,#252830)); #46522=EDGE_LOOP('',(#252831,#252832,#252833,#252834)); #46523=EDGE_LOOP('',(#252835,#252836,#252837,#252838)); #46524=EDGE_LOOP('',(#252839,#252840,#252841,#252842)); #46525=EDGE_LOOP('',(#252843,#252844,#252845,#252846)); #46526=EDGE_LOOP('',(#252847,#252848,#252849,#252850,#252851,#252852,#252853, #252854,#252855,#252856,#252857,#252858,#252859,#252860,#252861,#252862, #252863,#252864,#252865,#252866)); #46527=EDGE_LOOP('',(#252867,#252868,#252869,#252870,#252871,#252872,#252873, #252874,#252875,#252876,#252877,#252878,#252879,#252880,#252881,#252882, #252883,#252884,#252885,#252886)); #46528=EDGE_LOOP('',(#252887,#252888,#252889,#252890)); #46529=EDGE_LOOP('',(#252891,#252892,#252893,#252894)); #46530=EDGE_LOOP('',(#252895,#252896,#252897,#252898)); #46531=EDGE_LOOP('',(#252899,#252900,#252901,#252902)); #46532=EDGE_LOOP('',(#252903,#252904,#252905,#252906)); #46533=EDGE_LOOP('',(#252907,#252908,#252909,#252910)); #46534=EDGE_LOOP('',(#252911,#252912,#252913,#252914)); #46535=EDGE_LOOP('',(#252915,#252916,#252917,#252918)); #46536=EDGE_LOOP('',(#252919,#252920,#252921,#252922)); #46537=EDGE_LOOP('',(#252923,#252924,#252925,#252926)); #46538=EDGE_LOOP('',(#252927,#252928,#252929,#252930)); #46539=EDGE_LOOP('',(#252931,#252932,#252933,#252934)); #46540=EDGE_LOOP('',(#252935,#252936,#252937,#252938)); #46541=EDGE_LOOP('',(#252939,#252940,#252941,#252942)); #46542=EDGE_LOOP('',(#252943,#252944,#252945,#252946)); #46543=EDGE_LOOP('',(#252947,#252948,#252949,#252950)); #46544=EDGE_LOOP('',(#252951,#252952,#252953,#252954)); #46545=EDGE_LOOP('',(#252955,#252956,#252957,#252958)); #46546=EDGE_LOOP('',(#252959,#252960,#252961,#252962)); #46547=EDGE_LOOP('',(#252963,#252964,#252965,#252966)); #46548=EDGE_LOOP('',(#252967,#252968,#252969,#252970)); #46549=EDGE_LOOP('',(#252971,#252972,#252973,#252974)); #46550=EDGE_LOOP('',(#252975,#252976,#252977,#252978)); #46551=EDGE_LOOP('',(#252979,#252980,#252981,#252982)); #46552=EDGE_LOOP('',(#252983,#252984,#252985,#252986)); #46553=EDGE_LOOP('',(#252987,#252988,#252989,#252990)); #46554=EDGE_LOOP('',(#252991,#252992,#252993,#252994)); #46555=EDGE_LOOP('',(#252995,#252996,#252997,#252998)); #46556=EDGE_LOOP('',(#252999,#253000,#253001,#253002)); #46557=EDGE_LOOP('',(#253003,#253004,#253005,#253006)); #46558=EDGE_LOOP('',(#253007,#253008,#253009,#253010)); #46559=EDGE_LOOP('',(#253011,#253012,#253013,#253014)); #46560=EDGE_LOOP('',(#253015,#253016,#253017,#253018)); #46561=EDGE_LOOP('',(#253019,#253020,#253021,#253022)); #46562=EDGE_LOOP('',(#253023,#253024,#253025,#253026)); #46563=EDGE_LOOP('',(#253027,#253028,#253029,#253030)); #46564=EDGE_LOOP('',(#253031,#253032,#253033,#253034)); #46565=EDGE_LOOP('',(#253035,#253036,#253037,#253038)); #46566=EDGE_LOOP('',(#253039,#253040,#253041,#253042)); #46567=EDGE_LOOP('',(#253043,#253044,#253045,#253046)); #46568=EDGE_LOOP('',(#253047,#253048,#253049,#253050)); #46569=EDGE_LOOP('',(#253051,#253052,#253053,#253054)); #46570=EDGE_LOOP('',(#253055,#253056,#253057,#253058)); #46571=EDGE_LOOP('',(#253059,#253060,#253061,#253062)); #46572=EDGE_LOOP('',(#253063,#253064,#253065,#253066)); #46573=EDGE_LOOP('',(#253067,#253068,#253069,#253070)); #46574=EDGE_LOOP('',(#253071,#253072,#253073,#253074)); #46575=EDGE_LOOP('',(#253075,#253076,#253077,#253078)); #46576=EDGE_LOOP('',(#253079,#253080,#253081,#253082)); #46577=EDGE_LOOP('',(#253083,#253084,#253085,#253086)); #46578=EDGE_LOOP('',(#253087,#253088,#253089,#253090)); #46579=EDGE_LOOP('',(#253091,#253092,#253093,#253094)); #46580=EDGE_LOOP('',(#253095,#253096,#253097,#253098)); #46581=EDGE_LOOP('',(#253099,#253100,#253101,#253102)); #46582=EDGE_LOOP('',(#253103,#253104,#253105,#253106)); #46583=EDGE_LOOP('',(#253107,#253108,#253109,#253110)); #46584=EDGE_LOOP('',(#253111,#253112,#253113,#253114)); #46585=EDGE_LOOP('',(#253115,#253116,#253117,#253118)); #46586=EDGE_LOOP('',(#253119,#253120,#253121,#253122)); #46587=EDGE_LOOP('',(#253123,#253124,#253125,#253126)); #46588=EDGE_LOOP('',(#253127,#253128,#253129,#253130)); #46589=EDGE_LOOP('',(#253131,#253132,#253133,#253134)); #46590=EDGE_LOOP('',(#253135,#253136,#253137,#253138)); #46591=EDGE_LOOP('',(#253139,#253140,#253141,#253142)); #46592=EDGE_LOOP('',(#253143,#253144,#253145,#253146)); #46593=EDGE_LOOP('',(#253147,#253148,#253149,#253150)); #46594=EDGE_LOOP('',(#253151,#253152,#253153,#253154)); #46595=EDGE_LOOP('',(#253155,#253156,#253157,#253158)); #46596=EDGE_LOOP('',(#253159,#253160,#253161,#253162)); #46597=EDGE_LOOP('',(#253163,#253164,#253165,#253166)); #46598=EDGE_LOOP('',(#253167,#253168,#253169,#253170)); #46599=EDGE_LOOP('',(#253171,#253172,#253173,#253174)); #46600=EDGE_LOOP('',(#253175,#253176,#253177,#253178)); #46601=EDGE_LOOP('',(#253179,#253180,#253181,#253182)); #46602=EDGE_LOOP('',(#253183,#253184,#253185,#253186)); #46603=EDGE_LOOP('',(#253187,#253188,#253189,#253190)); #46604=EDGE_LOOP('',(#253191,#253192,#253193,#253194)); #46605=EDGE_LOOP('',(#253195,#253196,#253197,#253198)); #46606=EDGE_LOOP('',(#253199,#253200,#253201,#253202)); #46607=EDGE_LOOP('',(#253203,#253204,#253205,#253206)); #46608=EDGE_LOOP('',(#253207,#253208,#253209,#253210)); #46609=EDGE_LOOP('',(#253211,#253212,#253213,#253214)); #46610=EDGE_LOOP('',(#253215,#253216,#253217,#253218)); #46611=EDGE_LOOP('',(#253219,#253220,#253221,#253222)); #46612=EDGE_LOOP('',(#253223,#253224,#253225,#253226)); #46613=EDGE_LOOP('',(#253227,#253228,#253229,#253230)); #46614=EDGE_LOOP('',(#253231,#253232,#253233,#253234)); #46615=EDGE_LOOP('',(#253235,#253236,#253237,#253238)); #46616=EDGE_LOOP('',(#253239,#253240,#253241,#253242)); #46617=EDGE_LOOP('',(#253243,#253244,#253245,#253246)); #46618=EDGE_LOOP('',(#253247,#253248,#253249,#253250)); #46619=EDGE_LOOP('',(#253251,#253252,#253253,#253254)); #46620=EDGE_LOOP('',(#253255,#253256,#253257,#253258)); #46621=EDGE_LOOP('',(#253259,#253260,#253261,#253262)); #46622=EDGE_LOOP('',(#253263,#253264,#253265,#253266)); #46623=EDGE_LOOP('',(#253267,#253268,#253269,#253270)); #46624=EDGE_LOOP('',(#253271,#253272,#253273,#253274)); #46625=EDGE_LOOP('',(#253275,#253276,#253277,#253278)); #46626=EDGE_LOOP('',(#253279,#253280,#253281,#253282)); #46627=EDGE_LOOP('',(#253283,#253284,#253285,#253286)); #46628=EDGE_LOOP('',(#253287,#253288,#253289,#253290)); #46629=EDGE_LOOP('',(#253291,#253292,#253293,#253294)); #46630=EDGE_LOOP('',(#253295,#253296,#253297,#253298)); #46631=EDGE_LOOP('',(#253299,#253300,#253301,#253302)); #46632=EDGE_LOOP('',(#253303,#253304,#253305,#253306)); #46633=EDGE_LOOP('',(#253307,#253308,#253309,#253310)); #46634=EDGE_LOOP('',(#253311,#253312,#253313,#253314)); #46635=EDGE_LOOP('',(#253315,#253316,#253317,#253318)); #46636=EDGE_LOOP('',(#253319,#253320,#253321,#253322)); #46637=EDGE_LOOP('',(#253323,#253324,#253325,#253326)); #46638=EDGE_LOOP('',(#253327,#253328,#253329,#253330)); #46639=EDGE_LOOP('',(#253331,#253332,#253333,#253334)); #46640=EDGE_LOOP('',(#253335,#253336,#253337,#253338)); #46641=EDGE_LOOP('',(#253339,#253340,#253341,#253342)); #46642=EDGE_LOOP('',(#253343,#253344,#253345,#253346)); #46643=EDGE_LOOP('',(#253347,#253348,#253349,#253350)); #46644=EDGE_LOOP('',(#253351,#253352,#253353,#253354)); #46645=EDGE_LOOP('',(#253355,#253356,#253357,#253358)); #46646=EDGE_LOOP('',(#253359,#253360,#253361,#253362)); #46647=EDGE_LOOP('',(#253363,#253364,#253365,#253366)); #46648=EDGE_LOOP('',(#253367,#253368,#253369,#253370)); #46649=EDGE_LOOP('',(#253371,#253372,#253373,#253374)); #46650=EDGE_LOOP('',(#253375,#253376,#253377,#253378)); #46651=EDGE_LOOP('',(#253379,#253380,#253381,#253382)); #46652=EDGE_LOOP('',(#253383,#253384,#253385,#253386)); #46653=EDGE_LOOP('',(#253387,#253388,#253389,#253390)); #46654=EDGE_LOOP('',(#253391,#253392,#253393,#253394)); #46655=EDGE_LOOP('',(#253395,#253396,#253397,#253398)); #46656=EDGE_LOOP('',(#253399,#253400,#253401,#253402)); #46657=EDGE_LOOP('',(#253403,#253404,#253405,#253406)); #46658=EDGE_LOOP('',(#253407,#253408,#253409,#253410)); #46659=EDGE_LOOP('',(#253411,#253412,#253413,#253414)); #46660=EDGE_LOOP('',(#253415,#253416,#253417,#253418)); #46661=EDGE_LOOP('',(#253419,#253420,#253421,#253422)); #46662=EDGE_LOOP('',(#253423,#253424,#253425,#253426)); #46663=EDGE_LOOP('',(#253427,#253428,#253429,#253430)); #46664=EDGE_LOOP('',(#253431,#253432,#253433,#253434)); #46665=EDGE_LOOP('',(#253435,#253436,#253437,#253438)); #46666=EDGE_LOOP('',(#253439,#253440,#253441,#253442)); #46667=EDGE_LOOP('',(#253443,#253444,#253445,#253446)); #46668=EDGE_LOOP('',(#253447,#253448,#253449,#253450)); #46669=EDGE_LOOP('',(#253451,#253452,#253453,#253454)); #46670=EDGE_LOOP('',(#253455,#253456,#253457,#253458)); #46671=EDGE_LOOP('',(#253459,#253460,#253461,#253462)); #46672=EDGE_LOOP('',(#253463,#253464,#253465,#253466)); #46673=EDGE_LOOP('',(#253467,#253468,#253469,#253470)); #46674=EDGE_LOOP('',(#253471,#253472,#253473,#253474)); #46675=EDGE_LOOP('',(#253475,#253476,#253477,#253478)); #46676=EDGE_LOOP('',(#253479,#253480,#253481,#253482)); #46677=EDGE_LOOP('',(#253483,#253484,#253485,#253486)); #46678=EDGE_LOOP('',(#253487,#253488,#253489,#253490)); #46679=EDGE_LOOP('',(#253491,#253492,#253493,#253494)); #46680=EDGE_LOOP('',(#253495,#253496,#253497,#253498)); #46681=EDGE_LOOP('',(#253499,#253500,#253501,#253502)); #46682=EDGE_LOOP('',(#253503,#253504,#253505,#253506)); #46683=EDGE_LOOP('',(#253507,#253508,#253509,#253510)); #46684=EDGE_LOOP('',(#253511,#253512,#253513,#253514)); #46685=EDGE_LOOP('',(#253515,#253516,#253517,#253518)); #46686=EDGE_LOOP('',(#253519,#253520,#253521,#253522)); #46687=EDGE_LOOP('',(#253523,#253524,#253525,#253526)); #46688=EDGE_LOOP('',(#253527,#253528,#253529,#253530)); #46689=EDGE_LOOP('',(#253531,#253532,#253533,#253534)); #46690=EDGE_LOOP('',(#253535,#253536,#253537,#253538)); #46691=EDGE_LOOP('',(#253539,#253540,#253541,#253542)); #46692=EDGE_LOOP('',(#253543,#253544,#253545,#253546)); #46693=EDGE_LOOP('',(#253547,#253548,#253549,#253550)); #46694=EDGE_LOOP('',(#253551,#253552,#253553,#253554)); #46695=EDGE_LOOP('',(#253555,#253556,#253557,#253558)); #46696=EDGE_LOOP('',(#253559,#253560,#253561,#253562)); #46697=EDGE_LOOP('',(#253563,#253564,#253565,#253566)); #46698=EDGE_LOOP('',(#253567,#253568,#253569,#253570)); #46699=EDGE_LOOP('',(#253571,#253572,#253573,#253574)); #46700=EDGE_LOOP('',(#253575,#253576,#253577,#253578)); #46701=EDGE_LOOP('',(#253579,#253580,#253581,#253582)); #46702=EDGE_LOOP('',(#253583,#253584,#253585,#253586)); #46703=EDGE_LOOP('',(#253587,#253588,#253589,#253590)); #46704=EDGE_LOOP('',(#253591,#253592,#253593,#253594)); #46705=EDGE_LOOP('',(#253595,#253596,#253597,#253598)); #46706=EDGE_LOOP('',(#253599,#253600,#253601,#253602)); #46707=EDGE_LOOP('',(#253603,#253604,#253605,#253606)); #46708=EDGE_LOOP('',(#253607,#253608,#253609,#253610)); #46709=EDGE_LOOP('',(#253611,#253612,#253613,#253614)); #46710=EDGE_LOOP('',(#253615,#253616,#253617,#253618)); #46711=EDGE_LOOP('',(#253619,#253620,#253621,#253622)); #46712=EDGE_LOOP('',(#253623,#253624,#253625,#253626)); #46713=EDGE_LOOP('',(#253627,#253628,#253629,#253630)); #46714=EDGE_LOOP('',(#253631,#253632,#253633,#253634)); #46715=EDGE_LOOP('',(#253635,#253636,#253637,#253638)); #46716=EDGE_LOOP('',(#253639,#253640,#253641,#253642)); #46717=EDGE_LOOP('',(#253643,#253644,#253645,#253646)); #46718=EDGE_LOOP('',(#253647,#253648,#253649,#253650)); #46719=EDGE_LOOP('',(#253651,#253652,#253653,#253654)); #46720=EDGE_LOOP('',(#253655,#253656,#253657,#253658)); #46721=EDGE_LOOP('',(#253659,#253660,#253661,#253662)); #46722=EDGE_LOOP('',(#253663,#253664,#253665,#253666)); #46723=EDGE_LOOP('',(#253667,#253668,#253669,#253670)); #46724=EDGE_LOOP('',(#253671,#253672,#253673,#253674)); #46725=EDGE_LOOP('',(#253675,#253676,#253677,#253678)); #46726=EDGE_LOOP('',(#253679,#253680,#253681,#253682)); #46727=EDGE_LOOP('',(#253683,#253684,#253685,#253686)); #46728=EDGE_LOOP('',(#253687,#253688,#253689,#253690)); #46729=EDGE_LOOP('',(#253691,#253692,#253693,#253694)); #46730=EDGE_LOOP('',(#253695,#253696,#253697,#253698)); #46731=EDGE_LOOP('',(#253699,#253700,#253701,#253702)); #46732=EDGE_LOOP('',(#253703,#253704,#253705,#253706)); #46733=EDGE_LOOP('',(#253707,#253708,#253709,#253710)); #46734=EDGE_LOOP('',(#253711,#253712,#253713,#253714)); #46735=EDGE_LOOP('',(#253715,#253716,#253717,#253718)); #46736=EDGE_LOOP('',(#253719,#253720,#253721,#253722)); #46737=EDGE_LOOP('',(#253723,#253724,#253725,#253726)); #46738=EDGE_LOOP('',(#253727,#253728,#253729,#253730)); #46739=EDGE_LOOP('',(#253731,#253732,#253733,#253734)); #46740=EDGE_LOOP('',(#253735,#253736,#253737,#253738)); #46741=EDGE_LOOP('',(#253739,#253740,#253741,#253742)); #46742=EDGE_LOOP('',(#253743,#253744,#253745,#253746)); #46743=EDGE_LOOP('',(#253747,#253748,#253749,#253750)); #46744=EDGE_LOOP('',(#253751,#253752,#253753,#253754)); #46745=EDGE_LOOP('',(#253755,#253756,#253757,#253758)); #46746=EDGE_LOOP('',(#253759,#253760,#253761,#253762)); #46747=EDGE_LOOP('',(#253763,#253764,#253765,#253766)); #46748=EDGE_LOOP('',(#253767,#253768,#253769,#253770)); #46749=EDGE_LOOP('',(#253771,#253772,#253773,#253774)); #46750=EDGE_LOOP('',(#253775,#253776,#253777,#253778)); #46751=EDGE_LOOP('',(#253779,#253780,#253781,#253782)); #46752=EDGE_LOOP('',(#253783,#253784,#253785,#253786)); #46753=EDGE_LOOP('',(#253787,#253788,#253789,#253790)); #46754=EDGE_LOOP('',(#253791,#253792,#253793,#253794)); #46755=EDGE_LOOP('',(#253795,#253796,#253797,#253798)); #46756=EDGE_LOOP('',(#253799,#253800,#253801,#253802)); #46757=EDGE_LOOP('',(#253803,#253804,#253805,#253806)); #46758=EDGE_LOOP('',(#253807,#253808,#253809,#253810)); #46759=EDGE_LOOP('',(#253811,#253812,#253813,#253814)); #46760=EDGE_LOOP('',(#253815,#253816,#253817,#253818)); #46761=EDGE_LOOP('',(#253819,#253820,#253821,#253822)); #46762=EDGE_LOOP('',(#253823,#253824,#253825,#253826)); #46763=EDGE_LOOP('',(#253827,#253828,#253829,#253830)); #46764=EDGE_LOOP('',(#253831,#253832,#253833,#253834)); #46765=EDGE_LOOP('',(#253835,#253836,#253837,#253838)); #46766=EDGE_LOOP('',(#253839,#253840,#253841,#253842)); #46767=EDGE_LOOP('',(#253843,#253844,#253845,#253846)); #46768=EDGE_LOOP('',(#253847,#253848,#253849,#253850)); #46769=EDGE_LOOP('',(#253851,#253852,#253853,#253854)); #46770=EDGE_LOOP('',(#253855,#253856,#253857,#253858)); #46771=EDGE_LOOP('',(#253859,#253860,#253861,#253862)); #46772=EDGE_LOOP('',(#253863,#253864,#253865,#253866)); #46773=EDGE_LOOP('',(#253867,#253868,#253869,#253870)); #46774=EDGE_LOOP('',(#253871,#253872,#253873,#253874)); #46775=EDGE_LOOP('',(#253875,#253876,#253877,#253878)); #46776=EDGE_LOOP('',(#253879,#253880,#253881,#253882)); #46777=EDGE_LOOP('',(#253883,#253884,#253885,#253886)); #46778=EDGE_LOOP('',(#253887,#253888,#253889,#253890)); #46779=EDGE_LOOP('',(#253891,#253892,#253893,#253894)); #46780=EDGE_LOOP('',(#253895,#253896,#253897,#253898)); #46781=EDGE_LOOP('',(#253899,#253900,#253901,#253902)); #46782=EDGE_LOOP('',(#253903,#253904,#253905,#253906)); #46783=EDGE_LOOP('',(#253907,#253908,#253909,#253910)); #46784=EDGE_LOOP('',(#253911,#253912,#253913,#253914)); #46785=EDGE_LOOP('',(#253915,#253916,#253917,#253918)); #46786=EDGE_LOOP('',(#253919,#253920,#253921,#253922)); #46787=EDGE_LOOP('',(#253923,#253924,#253925,#253926)); #46788=EDGE_LOOP('',(#253927,#253928,#253929,#253930)); #46789=EDGE_LOOP('',(#253931,#253932,#253933,#253934)); #46790=EDGE_LOOP('',(#253935,#253936,#253937,#253938)); #46791=EDGE_LOOP('',(#253939,#253940,#253941,#253942)); #46792=EDGE_LOOP('',(#253943,#253944,#253945,#253946)); #46793=EDGE_LOOP('',(#253947,#253948,#253949,#253950)); #46794=EDGE_LOOP('',(#253951,#253952,#253953,#253954)); #46795=EDGE_LOOP('',(#253955,#253956,#253957,#253958)); #46796=EDGE_LOOP('',(#253959,#253960,#253961,#253962)); #46797=EDGE_LOOP('',(#253963,#253964,#253965,#253966)); #46798=EDGE_LOOP('',(#253967,#253968,#253969,#253970)); #46799=EDGE_LOOP('',(#253971,#253972,#253973,#253974)); #46800=EDGE_LOOP('',(#253975,#253976,#253977,#253978)); #46801=EDGE_LOOP('',(#253979,#253980,#253981,#253982)); #46802=EDGE_LOOP('',(#253983,#253984,#253985,#253986)); #46803=EDGE_LOOP('',(#253987,#253988,#253989,#253990)); #46804=EDGE_LOOP('',(#253991,#253992,#253993,#253994)); #46805=EDGE_LOOP('',(#253995,#253996,#253997,#253998)); #46806=EDGE_LOOP('',(#253999,#254000,#254001,#254002)); #46807=EDGE_LOOP('',(#254003,#254004,#254005,#254006)); #46808=EDGE_LOOP('',(#254007,#254008,#254009,#254010)); #46809=EDGE_LOOP('',(#254011,#254012,#254013,#254014)); #46810=EDGE_LOOP('',(#254015,#254016,#254017,#254018)); #46811=EDGE_LOOP('',(#254019,#254020,#254021,#254022)); #46812=EDGE_LOOP('',(#254023,#254024,#254025,#254026)); #46813=EDGE_LOOP('',(#254027,#254028,#254029,#254030)); #46814=EDGE_LOOP('',(#254031,#254032,#254033,#254034)); #46815=EDGE_LOOP('',(#254035,#254036,#254037,#254038)); #46816=EDGE_LOOP('',(#254039,#254040,#254041,#254042)); #46817=EDGE_LOOP('',(#254043,#254044,#254045,#254046)); #46818=EDGE_LOOP('',(#254047,#254048,#254049,#254050)); #46819=EDGE_LOOP('',(#254051,#254052,#254053,#254054)); #46820=EDGE_LOOP('',(#254055,#254056,#254057,#254058)); #46821=EDGE_LOOP('',(#254059,#254060,#254061,#254062)); #46822=EDGE_LOOP('',(#254063,#254064,#254065,#254066)); #46823=EDGE_LOOP('',(#254067,#254068,#254069,#254070)); #46824=EDGE_LOOP('',(#254071,#254072,#254073,#254074)); #46825=EDGE_LOOP('',(#254075,#254076,#254077,#254078)); #46826=EDGE_LOOP('',(#254079,#254080,#254081,#254082)); #46827=EDGE_LOOP('',(#254083,#254084,#254085,#254086)); #46828=EDGE_LOOP('',(#254087,#254088,#254089,#254090)); #46829=EDGE_LOOP('',(#254091,#254092,#254093,#254094)); #46830=EDGE_LOOP('',(#254095,#254096,#254097,#254098)); #46831=EDGE_LOOP('',(#254099,#254100,#254101,#254102)); #46832=EDGE_LOOP('',(#254103,#254104,#254105,#254106)); #46833=EDGE_LOOP('',(#254107,#254108,#254109,#254110)); #46834=EDGE_LOOP('',(#254111,#254112,#254113,#254114)); #46835=EDGE_LOOP('',(#254115,#254116,#254117,#254118)); #46836=EDGE_LOOP('',(#254119,#254120,#254121,#254122)); #46837=EDGE_LOOP('',(#254123,#254124,#254125,#254126)); #46838=EDGE_LOOP('',(#254127,#254128,#254129,#254130)); #46839=EDGE_LOOP('',(#254131,#254132,#254133,#254134)); #46840=EDGE_LOOP('',(#254135,#254136,#254137,#254138)); #46841=EDGE_LOOP('',(#254139,#254140,#254141,#254142)); #46842=EDGE_LOOP('',(#254143,#254144,#254145,#254146)); #46843=EDGE_LOOP('',(#254147,#254148,#254149,#254150)); #46844=EDGE_LOOP('',(#254151,#254152,#254153,#254154)); #46845=EDGE_LOOP('',(#254155,#254156,#254157,#254158)); #46846=EDGE_LOOP('',(#254159,#254160,#254161,#254162)); #46847=EDGE_LOOP('',(#254163,#254164,#254165,#254166)); #46848=EDGE_LOOP('',(#254167,#254168,#254169,#254170)); #46849=EDGE_LOOP('',(#254171,#254172,#254173,#254174)); #46850=EDGE_LOOP('',(#254175,#254176,#254177,#254178)); #46851=EDGE_LOOP('',(#254179,#254180,#254181,#254182)); #46852=EDGE_LOOP('',(#254183,#254184,#254185,#254186)); #46853=EDGE_LOOP('',(#254187,#254188,#254189,#254190)); #46854=EDGE_LOOP('',(#254191,#254192,#254193,#254194)); #46855=EDGE_LOOP('',(#254195,#254196,#254197,#254198)); #46856=EDGE_LOOP('',(#254199,#254200,#254201,#254202)); #46857=EDGE_LOOP('',(#254203,#254204,#254205,#254206)); #46858=EDGE_LOOP('',(#254207,#254208,#254209,#254210)); #46859=EDGE_LOOP('',(#254211,#254212,#254213,#254214)); #46860=EDGE_LOOP('',(#254215,#254216,#254217,#254218)); #46861=EDGE_LOOP('',(#254219,#254220,#254221,#254222)); #46862=EDGE_LOOP('',(#254223,#254224,#254225,#254226)); #46863=EDGE_LOOP('',(#254227,#254228,#254229,#254230)); #46864=EDGE_LOOP('',(#254231,#254232,#254233,#254234)); #46865=EDGE_LOOP('',(#254235,#254236,#254237,#254238)); #46866=EDGE_LOOP('',(#254239,#254240,#254241,#254242)); #46867=EDGE_LOOP('',(#254243,#254244,#254245,#254246)); #46868=EDGE_LOOP('',(#254247,#254248,#254249,#254250)); #46869=EDGE_LOOP('',(#254251,#254252,#254253,#254254)); #46870=EDGE_LOOP('',(#254255,#254256,#254257,#254258)); #46871=EDGE_LOOP('',(#254259,#254260,#254261,#254262)); #46872=EDGE_LOOP('',(#254263,#254264,#254265,#254266)); #46873=EDGE_LOOP('',(#254267,#254268,#254269,#254270)); #46874=EDGE_LOOP('',(#254271,#254272,#254273,#254274)); #46875=EDGE_LOOP('',(#254275,#254276,#254277,#254278)); #46876=EDGE_LOOP('',(#254279,#254280,#254281,#254282)); #46877=EDGE_LOOP('',(#254283,#254284,#254285,#254286)); #46878=EDGE_LOOP('',(#254287,#254288,#254289,#254290)); #46879=EDGE_LOOP('',(#254291,#254292,#254293,#254294)); #46880=EDGE_LOOP('',(#254295,#254296,#254297,#254298)); #46881=EDGE_LOOP('',(#254299,#254300,#254301,#254302)); #46882=EDGE_LOOP('',(#254303,#254304,#254305,#254306)); #46883=EDGE_LOOP('',(#254307,#254308,#254309,#254310)); #46884=EDGE_LOOP('',(#254311,#254312,#254313,#254314)); #46885=EDGE_LOOP('',(#254315,#254316,#254317,#254318)); #46886=EDGE_LOOP('',(#254319,#254320,#254321,#254322)); #46887=EDGE_LOOP('',(#254323,#254324,#254325,#254326)); #46888=EDGE_LOOP('',(#254327,#254328,#254329,#254330)); #46889=EDGE_LOOP('',(#254331,#254332,#254333,#254334)); #46890=EDGE_LOOP('',(#254335,#254336,#254337,#254338)); #46891=EDGE_LOOP('',(#254339,#254340,#254341,#254342)); #46892=EDGE_LOOP('',(#254343,#254344,#254345,#254346)); #46893=EDGE_LOOP('',(#254347,#254348,#254349,#254350)); #46894=EDGE_LOOP('',(#254351,#254352,#254353,#254354)); #46895=EDGE_LOOP('',(#254355,#254356,#254357,#254358)); #46896=EDGE_LOOP('',(#254359,#254360,#254361,#254362)); #46897=EDGE_LOOP('',(#254363,#254364,#254365,#254366)); #46898=EDGE_LOOP('',(#254367,#254368,#254369,#254370)); #46899=EDGE_LOOP('',(#254371,#254372,#254373,#254374)); #46900=EDGE_LOOP('',(#254375,#254376,#254377,#254378)); #46901=EDGE_LOOP('',(#254379,#254380,#254381,#254382)); #46902=EDGE_LOOP('',(#254383,#254384,#254385,#254386)); #46903=EDGE_LOOP('',(#254387,#254388,#254389,#254390)); #46904=EDGE_LOOP('',(#254391,#254392,#254393,#254394)); #46905=EDGE_LOOP('',(#254395,#254396,#254397,#254398)); #46906=EDGE_LOOP('',(#254399,#254400,#254401,#254402)); #46907=EDGE_LOOP('',(#254403,#254404,#254405,#254406)); #46908=EDGE_LOOP('',(#254407,#254408,#254409,#254410)); #46909=EDGE_LOOP('',(#254411,#254412,#254413,#254414)); #46910=EDGE_LOOP('',(#254415,#254416,#254417,#254418)); #46911=EDGE_LOOP('',(#254419,#254420,#254421,#254422)); #46912=EDGE_LOOP('',(#254423,#254424,#254425,#254426)); #46913=EDGE_LOOP('',(#254427,#254428,#254429,#254430)); #46914=EDGE_LOOP('',(#254431,#254432,#254433,#254434)); #46915=EDGE_LOOP('',(#254435,#254436,#254437,#254438)); #46916=EDGE_LOOP('',(#254439,#254440,#254441,#254442)); #46917=EDGE_LOOP('',(#254443,#254444,#254445,#254446)); #46918=EDGE_LOOP('',(#254447,#254448,#254449,#254450)); #46919=EDGE_LOOP('',(#254451,#254452,#254453,#254454)); #46920=EDGE_LOOP('',(#254455,#254456,#254457,#254458)); #46921=EDGE_LOOP('',(#254459,#254460,#254461,#254462)); #46922=EDGE_LOOP('',(#254463,#254464,#254465,#254466)); #46923=EDGE_LOOP('',(#254467,#254468,#254469,#254470)); #46924=EDGE_LOOP('',(#254471,#254472,#254473,#254474)); #46925=EDGE_LOOP('',(#254475,#254476,#254477,#254478)); #46926=EDGE_LOOP('',(#254479,#254480,#254481,#254482)); #46927=EDGE_LOOP('',(#254483,#254484,#254485,#254486)); #46928=EDGE_LOOP('',(#254487,#254488,#254489,#254490)); #46929=EDGE_LOOP('',(#254491,#254492,#254493,#254494)); #46930=EDGE_LOOP('',(#254495,#254496,#254497,#254498)); #46931=EDGE_LOOP('',(#254499,#254500,#254501,#254502)); #46932=EDGE_LOOP('',(#254503,#254504,#254505,#254506)); #46933=EDGE_LOOP('',(#254507,#254508,#254509,#254510)); #46934=EDGE_LOOP('',(#254511,#254512,#254513,#254514)); #46935=EDGE_LOOP('',(#254515,#254516,#254517,#254518)); #46936=EDGE_LOOP('',(#254519,#254520,#254521,#254522)); #46937=EDGE_LOOP('',(#254523,#254524,#254525,#254526)); #46938=EDGE_LOOP('',(#254527,#254528,#254529,#254530)); #46939=EDGE_LOOP('',(#254531,#254532,#254533,#254534)); #46940=EDGE_LOOP('',(#254535,#254536,#254537,#254538)); #46941=EDGE_LOOP('',(#254539,#254540,#254541,#254542)); #46942=EDGE_LOOP('',(#254543,#254544,#254545,#254546)); #46943=EDGE_LOOP('',(#254547,#254548,#254549,#254550)); #46944=EDGE_LOOP('',(#254551,#254552,#254553,#254554)); #46945=EDGE_LOOP('',(#254555,#254556,#254557,#254558)); #46946=EDGE_LOOP('',(#254559,#254560,#254561,#254562)); #46947=EDGE_LOOP('',(#254563,#254564,#254565,#254566)); #46948=EDGE_LOOP('',(#254567,#254568,#254569,#254570)); #46949=EDGE_LOOP('',(#254571,#254572,#254573,#254574)); #46950=EDGE_LOOP('',(#254575,#254576,#254577,#254578)); #46951=EDGE_LOOP('',(#254579,#254580,#254581,#254582)); #46952=EDGE_LOOP('',(#254583,#254584,#254585,#254586)); #46953=EDGE_LOOP('',(#254587,#254588,#254589,#254590)); #46954=EDGE_LOOP('',(#254591,#254592,#254593,#254594)); #46955=EDGE_LOOP('',(#254595,#254596,#254597,#254598)); #46956=EDGE_LOOP('',(#254599,#254600,#254601,#254602)); #46957=EDGE_LOOP('',(#254603,#254604,#254605,#254606)); #46958=EDGE_LOOP('',(#254607,#254608,#254609,#254610)); #46959=EDGE_LOOP('',(#254611,#254612,#254613,#254614)); #46960=EDGE_LOOP('',(#254615,#254616,#254617,#254618)); #46961=EDGE_LOOP('',(#254619,#254620,#254621,#254622)); #46962=EDGE_LOOP('',(#254623,#254624,#254625,#254626)); #46963=EDGE_LOOP('',(#254627,#254628,#254629,#254630)); #46964=EDGE_LOOP('',(#254631,#254632,#254633,#254634)); #46965=EDGE_LOOP('',(#254635,#254636,#254637,#254638)); #46966=EDGE_LOOP('',(#254639,#254640,#254641,#254642)); #46967=EDGE_LOOP('',(#254643,#254644,#254645,#254646)); #46968=EDGE_LOOP('',(#254647,#254648,#254649,#254650)); #46969=EDGE_LOOP('',(#254651,#254652,#254653,#254654)); #46970=EDGE_LOOP('',(#254655,#254656,#254657,#254658)); #46971=EDGE_LOOP('',(#254659,#254660,#254661,#254662)); #46972=EDGE_LOOP('',(#254663,#254664,#254665,#254666)); #46973=EDGE_LOOP('',(#254667,#254668,#254669,#254670)); #46974=EDGE_LOOP('',(#254671,#254672,#254673,#254674)); #46975=EDGE_LOOP('',(#254675,#254676,#254677,#254678)); #46976=EDGE_LOOP('',(#254679,#254680,#254681,#254682)); #46977=EDGE_LOOP('',(#254683,#254684,#254685,#254686)); #46978=EDGE_LOOP('',(#254687,#254688,#254689,#254690)); #46979=EDGE_LOOP('',(#254691,#254692,#254693,#254694)); #46980=EDGE_LOOP('',(#254695,#254696,#254697,#254698)); #46981=EDGE_LOOP('',(#254699,#254700,#254701,#254702)); #46982=EDGE_LOOP('',(#254703,#254704,#254705,#254706)); #46983=EDGE_LOOP('',(#254707,#254708,#254709,#254710)); #46984=EDGE_LOOP('',(#254711,#254712,#254713,#254714)); #46985=EDGE_LOOP('',(#254715,#254716,#254717,#254718)); #46986=EDGE_LOOP('',(#254719,#254720,#254721,#254722)); #46987=EDGE_LOOP('',(#254723,#254724,#254725,#254726)); #46988=EDGE_LOOP('',(#254727,#254728,#254729,#254730)); #46989=EDGE_LOOP('',(#254731,#254732,#254733,#254734)); #46990=EDGE_LOOP('',(#254735,#254736,#254737,#254738)); #46991=EDGE_LOOP('',(#254739,#254740,#254741,#254742)); #46992=EDGE_LOOP('',(#254743,#254744,#254745,#254746)); #46993=EDGE_LOOP('',(#254747,#254748,#254749,#254750)); #46994=EDGE_LOOP('',(#254751,#254752,#254753,#254754)); #46995=EDGE_LOOP('',(#254755,#254756,#254757,#254758)); #46996=EDGE_LOOP('',(#254759,#254760,#254761,#254762)); #46997=EDGE_LOOP('',(#254763,#254764,#254765,#254766)); #46998=EDGE_LOOP('',(#254767,#254768,#254769,#254770)); #46999=EDGE_LOOP('',(#254771,#254772,#254773,#254774)); #47000=EDGE_LOOP('',(#254775,#254776,#254777,#254778)); #47001=EDGE_LOOP('',(#254779,#254780,#254781,#254782)); #47002=EDGE_LOOP('',(#254783,#254784,#254785,#254786)); #47003=EDGE_LOOP('',(#254787,#254788,#254789,#254790)); #47004=EDGE_LOOP('',(#254791,#254792,#254793,#254794)); #47005=EDGE_LOOP('',(#254795,#254796,#254797,#254798)); #47006=EDGE_LOOP('',(#254799,#254800,#254801,#254802)); #47007=EDGE_LOOP('',(#254803,#254804,#254805,#254806)); #47008=EDGE_LOOP('',(#254807,#254808,#254809,#254810)); #47009=EDGE_LOOP('',(#254811,#254812,#254813,#254814)); #47010=EDGE_LOOP('',(#254815,#254816,#254817,#254818)); #47011=EDGE_LOOP('',(#254819,#254820,#254821,#254822)); #47012=EDGE_LOOP('',(#254823,#254824,#254825,#254826)); #47013=EDGE_LOOP('',(#254827,#254828,#254829,#254830)); #47014=EDGE_LOOP('',(#254831,#254832,#254833,#254834)); #47015=EDGE_LOOP('',(#254835,#254836,#254837,#254838)); #47016=EDGE_LOOP('',(#254839,#254840,#254841,#254842)); #47017=EDGE_LOOP('',(#254843,#254844,#254845,#254846)); #47018=EDGE_LOOP('',(#254847,#254848,#254849,#254850)); #47019=EDGE_LOOP('',(#254851,#254852,#254853,#254854)); #47020=EDGE_LOOP('',(#254855,#254856,#254857,#254858)); #47021=EDGE_LOOP('',(#254859,#254860,#254861,#254862)); #47022=EDGE_LOOP('',(#254863,#254864,#254865,#254866)); #47023=EDGE_LOOP('',(#254867,#254868,#254869,#254870)); #47024=EDGE_LOOP('',(#254871,#254872,#254873,#254874)); #47025=EDGE_LOOP('',(#254875,#254876,#254877,#254878)); #47026=EDGE_LOOP('',(#254879,#254880,#254881,#254882)); #47027=EDGE_LOOP('',(#254883,#254884,#254885,#254886)); #47028=EDGE_LOOP('',(#254887,#254888,#254889,#254890)); #47029=EDGE_LOOP('',(#254891,#254892,#254893,#254894)); #47030=EDGE_LOOP('',(#254895,#254896,#254897,#254898)); #47031=EDGE_LOOP('',(#254899,#254900,#254901,#254902)); #47032=EDGE_LOOP('',(#254903,#254904,#254905,#254906)); #47033=EDGE_LOOP('',(#254907,#254908,#254909,#254910)); #47034=EDGE_LOOP('',(#254911,#254912,#254913,#254914)); #47035=EDGE_LOOP('',(#254915,#254916,#254917,#254918)); #47036=EDGE_LOOP('',(#254919,#254920,#254921,#254922)); #47037=EDGE_LOOP('',(#254923,#254924,#254925,#254926)); #47038=EDGE_LOOP('',(#254927,#254928,#254929,#254930)); #47039=EDGE_LOOP('',(#254931,#254932,#254933,#254934)); #47040=EDGE_LOOP('',(#254935,#254936,#254937,#254938)); #47041=EDGE_LOOP('',(#254939,#254940,#254941,#254942)); #47042=EDGE_LOOP('',(#254943,#254944,#254945,#254946)); #47043=EDGE_LOOP('',(#254947,#254948,#254949,#254950)); #47044=EDGE_LOOP('',(#254951,#254952,#254953,#254954)); #47045=EDGE_LOOP('',(#254955,#254956,#254957,#254958)); #47046=EDGE_LOOP('',(#254959,#254960,#254961,#254962)); #47047=EDGE_LOOP('',(#254963,#254964,#254965,#254966)); #47048=EDGE_LOOP('',(#254967,#254968,#254969,#254970)); #47049=EDGE_LOOP('',(#254971,#254972,#254973,#254974)); #47050=EDGE_LOOP('',(#254975,#254976,#254977,#254978)); #47051=EDGE_LOOP('',(#254979,#254980,#254981,#254982)); #47052=EDGE_LOOP('',(#254983,#254984,#254985,#254986)); #47053=EDGE_LOOP('',(#254987,#254988,#254989,#254990)); #47054=EDGE_LOOP('',(#254991,#254992,#254993,#254994)); #47055=EDGE_LOOP('',(#254995,#254996,#254997,#254998)); #47056=EDGE_LOOP('',(#254999,#255000,#255001,#255002)); #47057=EDGE_LOOP('',(#255003,#255004,#255005,#255006)); #47058=EDGE_LOOP('',(#255007,#255008,#255009,#255010)); #47059=EDGE_LOOP('',(#255011,#255012,#255013,#255014)); #47060=EDGE_LOOP('',(#255015,#255016,#255017,#255018)); #47061=EDGE_LOOP('',(#255019,#255020,#255021,#255022)); #47062=EDGE_LOOP('',(#255023,#255024,#255025,#255026)); #47063=EDGE_LOOP('',(#255027,#255028,#255029,#255030)); #47064=EDGE_LOOP('',(#255031,#255032,#255033,#255034)); #47065=EDGE_LOOP('',(#255035,#255036,#255037,#255038)); #47066=EDGE_LOOP('',(#255039,#255040,#255041,#255042)); #47067=EDGE_LOOP('',(#255043,#255044,#255045,#255046)); #47068=EDGE_LOOP('',(#255047,#255048,#255049,#255050)); #47069=EDGE_LOOP('',(#255051,#255052,#255053,#255054)); #47070=EDGE_LOOP('',(#255055,#255056,#255057,#255058)); #47071=EDGE_LOOP('',(#255059,#255060,#255061,#255062)); #47072=EDGE_LOOP('',(#255063,#255064,#255065,#255066)); #47073=EDGE_LOOP('',(#255067,#255068,#255069,#255070)); #47074=EDGE_LOOP('',(#255071,#255072,#255073,#255074)); #47075=EDGE_LOOP('',(#255075,#255076,#255077,#255078)); #47076=EDGE_LOOP('',(#255079,#255080,#255081,#255082)); #47077=EDGE_LOOP('',(#255083,#255084,#255085,#255086)); #47078=EDGE_LOOP('',(#255087,#255088,#255089,#255090)); #47079=EDGE_LOOP('',(#255091,#255092,#255093,#255094)); #47080=EDGE_LOOP('',(#255095,#255096,#255097,#255098)); #47081=EDGE_LOOP('',(#255099,#255100,#255101,#255102)); #47082=EDGE_LOOP('',(#255103,#255104,#255105,#255106)); #47083=EDGE_LOOP('',(#255107,#255108,#255109,#255110)); #47084=EDGE_LOOP('',(#255111,#255112,#255113,#255114)); #47085=EDGE_LOOP('',(#255115,#255116,#255117,#255118)); #47086=EDGE_LOOP('',(#255119,#255120,#255121,#255122)); #47087=EDGE_LOOP('',(#255123,#255124,#255125,#255126)); #47088=EDGE_LOOP('',(#255127,#255128,#255129,#255130)); #47089=EDGE_LOOP('',(#255131,#255132,#255133,#255134)); #47090=EDGE_LOOP('',(#255135,#255136,#255137,#255138)); #47091=EDGE_LOOP('',(#255139,#255140,#255141,#255142)); #47092=EDGE_LOOP('',(#255143,#255144,#255145,#255146)); #47093=EDGE_LOOP('',(#255147,#255148,#255149,#255150)); #47094=EDGE_LOOP('',(#255151,#255152,#255153,#255154)); #47095=EDGE_LOOP('',(#255155,#255156,#255157,#255158)); #47096=EDGE_LOOP('',(#255159,#255160,#255161,#255162)); #47097=EDGE_LOOP('',(#255163,#255164,#255165,#255166)); #47098=EDGE_LOOP('',(#255167,#255168,#255169,#255170)); #47099=EDGE_LOOP('',(#255171,#255172,#255173,#255174)); #47100=EDGE_LOOP('',(#255175,#255176,#255177,#255178)); #47101=EDGE_LOOP('',(#255179,#255180,#255181,#255182)); #47102=EDGE_LOOP('',(#255183,#255184,#255185,#255186)); #47103=EDGE_LOOP('',(#255187,#255188,#255189,#255190)); #47104=EDGE_LOOP('',(#255191,#255192,#255193,#255194)); #47105=EDGE_LOOP('',(#255195,#255196,#255197,#255198)); #47106=EDGE_LOOP('',(#255199,#255200,#255201,#255202)); #47107=EDGE_LOOP('',(#255203,#255204,#255205,#255206)); #47108=EDGE_LOOP('',(#255207,#255208,#255209,#255210)); #47109=EDGE_LOOP('',(#255211,#255212,#255213,#255214)); #47110=EDGE_LOOP('',(#255215,#255216,#255217,#255218)); #47111=EDGE_LOOP('',(#255219,#255220,#255221,#255222)); #47112=EDGE_LOOP('',(#255223,#255224,#255225,#255226)); #47113=EDGE_LOOP('',(#255227,#255228,#255229,#255230)); #47114=EDGE_LOOP('',(#255231,#255232,#255233,#255234)); #47115=EDGE_LOOP('',(#255235,#255236,#255237,#255238)); #47116=EDGE_LOOP('',(#255239,#255240,#255241,#255242)); #47117=EDGE_LOOP('',(#255243,#255244,#255245,#255246)); #47118=EDGE_LOOP('',(#255247,#255248,#255249,#255250)); #47119=EDGE_LOOP('',(#255251,#255252,#255253,#255254)); #47120=EDGE_LOOP('',(#255255,#255256,#255257,#255258)); #47121=EDGE_LOOP('',(#255259,#255260,#255261,#255262)); #47122=EDGE_LOOP('',(#255263,#255264,#255265,#255266)); #47123=EDGE_LOOP('',(#255267,#255268,#255269,#255270)); #47124=EDGE_LOOP('',(#255271,#255272,#255273,#255274)); #47125=EDGE_LOOP('',(#255275,#255276,#255277,#255278)); #47126=EDGE_LOOP('',(#255279,#255280,#255281,#255282)); #47127=EDGE_LOOP('',(#255283,#255284,#255285,#255286)); #47128=EDGE_LOOP('',(#255287,#255288,#255289,#255290)); #47129=EDGE_LOOP('',(#255291,#255292,#255293,#255294)); #47130=EDGE_LOOP('',(#255295,#255296,#255297,#255298)); #47131=EDGE_LOOP('',(#255299,#255300,#255301,#255302)); #47132=EDGE_LOOP('',(#255303,#255304,#255305,#255306)); #47133=EDGE_LOOP('',(#255307,#255308,#255309,#255310)); #47134=EDGE_LOOP('',(#255311,#255312,#255313,#255314)); #47135=EDGE_LOOP('',(#255315,#255316,#255317,#255318)); #47136=EDGE_LOOP('',(#255319,#255320,#255321,#255322)); #47137=EDGE_LOOP('',(#255323,#255324,#255325,#255326)); #47138=EDGE_LOOP('',(#255327,#255328,#255329,#255330)); #47139=EDGE_LOOP('',(#255331,#255332,#255333,#255334)); #47140=EDGE_LOOP('',(#255335,#255336,#255337,#255338)); #47141=EDGE_LOOP('',(#255339,#255340,#255341,#255342)); #47142=EDGE_LOOP('',(#255343,#255344,#255345,#255346)); #47143=EDGE_LOOP('',(#255347,#255348,#255349,#255350)); #47144=EDGE_LOOP('',(#255351,#255352,#255353,#255354)); #47145=EDGE_LOOP('',(#255355,#255356,#255357,#255358)); #47146=EDGE_LOOP('',(#255359,#255360,#255361,#255362)); #47147=EDGE_LOOP('',(#255363,#255364,#255365,#255366)); #47148=EDGE_LOOP('',(#255367,#255368,#255369,#255370)); #47149=EDGE_LOOP('',(#255371,#255372,#255373,#255374)); #47150=EDGE_LOOP('',(#255375,#255376,#255377,#255378)); #47151=EDGE_LOOP('',(#255379,#255380,#255381,#255382)); #47152=EDGE_LOOP('',(#255383,#255384,#255385,#255386)); #47153=EDGE_LOOP('',(#255387,#255388,#255389,#255390)); #47154=EDGE_LOOP('',(#255391,#255392,#255393,#255394)); #47155=EDGE_LOOP('',(#255395,#255396,#255397,#255398)); #47156=EDGE_LOOP('',(#255399,#255400,#255401,#255402)); #47157=EDGE_LOOP('',(#255403,#255404,#255405,#255406)); #47158=EDGE_LOOP('',(#255407,#255408,#255409,#255410)); #47159=EDGE_LOOP('',(#255411,#255412,#255413,#255414)); #47160=EDGE_LOOP('',(#255415,#255416,#255417,#255418)); #47161=EDGE_LOOP('',(#255419,#255420,#255421,#255422)); #47162=EDGE_LOOP('',(#255423,#255424,#255425,#255426)); #47163=EDGE_LOOP('',(#255427,#255428,#255429,#255430)); #47164=EDGE_LOOP('',(#255431,#255432,#255433,#255434)); #47165=EDGE_LOOP('',(#255435,#255436,#255437,#255438)); #47166=EDGE_LOOP('',(#255439,#255440,#255441,#255442)); #47167=EDGE_LOOP('',(#255443,#255444,#255445,#255446)); #47168=EDGE_LOOP('',(#255447,#255448,#255449,#255450)); #47169=EDGE_LOOP('',(#255451,#255452,#255453,#255454)); #47170=EDGE_LOOP('',(#255455,#255456,#255457,#255458)); #47171=EDGE_LOOP('',(#255459,#255460,#255461,#255462)); #47172=EDGE_LOOP('',(#255463,#255464,#255465,#255466)); #47173=EDGE_LOOP('',(#255467,#255468,#255469,#255470)); #47174=EDGE_LOOP('',(#255471,#255472,#255473,#255474)); #47175=EDGE_LOOP('',(#255475,#255476,#255477,#255478)); #47176=EDGE_LOOP('',(#255479,#255480,#255481,#255482)); #47177=EDGE_LOOP('',(#255483,#255484,#255485,#255486)); #47178=EDGE_LOOP('',(#255487,#255488,#255489,#255490)); #47179=EDGE_LOOP('',(#255491,#255492,#255493,#255494)); #47180=EDGE_LOOP('',(#255495,#255496,#255497,#255498)); #47181=EDGE_LOOP('',(#255499,#255500,#255501,#255502)); #47182=EDGE_LOOP('',(#255503,#255504,#255505,#255506)); #47183=EDGE_LOOP('',(#255507,#255508,#255509,#255510)); #47184=EDGE_LOOP('',(#255511,#255512,#255513,#255514)); #47185=EDGE_LOOP('',(#255515,#255516,#255517,#255518)); #47186=EDGE_LOOP('',(#255519,#255520,#255521,#255522)); #47187=EDGE_LOOP('',(#255523,#255524,#255525,#255526)); #47188=EDGE_LOOP('',(#255527,#255528,#255529,#255530)); #47189=EDGE_LOOP('',(#255531,#255532,#255533,#255534)); #47190=EDGE_LOOP('',(#255535,#255536,#255537,#255538)); #47191=EDGE_LOOP('',(#255539,#255540,#255541,#255542)); #47192=EDGE_LOOP('',(#255543,#255544,#255545,#255546)); #47193=EDGE_LOOP('',(#255547,#255548,#255549,#255550)); #47194=EDGE_LOOP('',(#255551,#255552,#255553,#255554)); #47195=EDGE_LOOP('',(#255555,#255556,#255557,#255558)); #47196=EDGE_LOOP('',(#255559,#255560,#255561,#255562)); #47197=EDGE_LOOP('',(#255563,#255564,#255565,#255566)); #47198=EDGE_LOOP('',(#255567,#255568,#255569,#255570)); #47199=EDGE_LOOP('',(#255571,#255572,#255573,#255574)); #47200=EDGE_LOOP('',(#255575,#255576,#255577,#255578)); #47201=EDGE_LOOP('',(#255579,#255580,#255581,#255582)); #47202=EDGE_LOOP('',(#255583,#255584,#255585,#255586)); #47203=EDGE_LOOP('',(#255587,#255588,#255589,#255590)); #47204=EDGE_LOOP('',(#255591,#255592,#255593,#255594)); #47205=EDGE_LOOP('',(#255595,#255596,#255597,#255598)); #47206=EDGE_LOOP('',(#255599,#255600,#255601,#255602)); #47207=EDGE_LOOP('',(#255603,#255604,#255605,#255606)); #47208=EDGE_LOOP('',(#255607,#255608,#255609,#255610)); #47209=EDGE_LOOP('',(#255611,#255612,#255613,#255614)); #47210=EDGE_LOOP('',(#255615,#255616,#255617,#255618)); #47211=EDGE_LOOP('',(#255619,#255620,#255621,#255622)); #47212=EDGE_LOOP('',(#255623,#255624,#255625,#255626)); #47213=EDGE_LOOP('',(#255627,#255628,#255629,#255630)); #47214=EDGE_LOOP('',(#255631,#255632,#255633,#255634)); #47215=EDGE_LOOP('',(#255635,#255636,#255637,#255638)); #47216=EDGE_LOOP('',(#255639,#255640,#255641,#255642)); #47217=EDGE_LOOP('',(#255643,#255644,#255645,#255646)); #47218=EDGE_LOOP('',(#255647,#255648,#255649,#255650)); #47219=EDGE_LOOP('',(#255651,#255652,#255653,#255654)); #47220=EDGE_LOOP('',(#255655,#255656,#255657,#255658)); #47221=EDGE_LOOP('',(#255659,#255660,#255661,#255662)); #47222=EDGE_LOOP('',(#255663,#255664,#255665,#255666)); #47223=EDGE_LOOP('',(#255667,#255668,#255669,#255670)); #47224=EDGE_LOOP('',(#255671,#255672,#255673,#255674)); #47225=EDGE_LOOP('',(#255675,#255676,#255677,#255678)); #47226=EDGE_LOOP('',(#255679,#255680,#255681,#255682)); #47227=EDGE_LOOP('',(#255683,#255684,#255685,#255686)); #47228=EDGE_LOOP('',(#255687,#255688,#255689,#255690)); #47229=EDGE_LOOP('',(#255691,#255692,#255693,#255694)); #47230=EDGE_LOOP('',(#255695,#255696,#255697,#255698)); #47231=EDGE_LOOP('',(#255699,#255700,#255701,#255702)); #47232=EDGE_LOOP('',(#255703,#255704,#255705,#255706)); #47233=EDGE_LOOP('',(#255707,#255708,#255709,#255710)); #47234=EDGE_LOOP('',(#255711,#255712,#255713,#255714)); #47235=EDGE_LOOP('',(#255715,#255716,#255717,#255718)); #47236=EDGE_LOOP('',(#255719,#255720,#255721,#255722)); #47237=EDGE_LOOP('',(#255723,#255724,#255725,#255726)); #47238=EDGE_LOOP('',(#255727,#255728,#255729,#255730)); #47239=EDGE_LOOP('',(#255731,#255732,#255733,#255734)); #47240=EDGE_LOOP('',(#255735,#255736,#255737,#255738)); #47241=EDGE_LOOP('',(#255739,#255740,#255741,#255742)); #47242=EDGE_LOOP('',(#255743,#255744,#255745,#255746)); #47243=EDGE_LOOP('',(#255747,#255748,#255749,#255750)); #47244=EDGE_LOOP('',(#255751,#255752,#255753,#255754)); #47245=EDGE_LOOP('',(#255755,#255756,#255757,#255758)); #47246=EDGE_LOOP('',(#255759,#255760,#255761,#255762)); #47247=EDGE_LOOP('',(#255763,#255764,#255765,#255766)); #47248=EDGE_LOOP('',(#255767,#255768,#255769,#255770)); #47249=EDGE_LOOP('',(#255771,#255772,#255773,#255774)); #47250=EDGE_LOOP('',(#255775,#255776,#255777,#255778)); #47251=EDGE_LOOP('',(#255779,#255780,#255781,#255782)); #47252=EDGE_LOOP('',(#255783,#255784,#255785,#255786)); #47253=EDGE_LOOP('',(#255787,#255788,#255789,#255790)); #47254=EDGE_LOOP('',(#255791,#255792,#255793,#255794)); #47255=EDGE_LOOP('',(#255795,#255796,#255797,#255798)); #47256=EDGE_LOOP('',(#255799,#255800,#255801,#255802)); #47257=EDGE_LOOP('',(#255803,#255804,#255805,#255806)); #47258=EDGE_LOOP('',(#255807,#255808,#255809,#255810)); #47259=EDGE_LOOP('',(#255811,#255812,#255813,#255814)); #47260=EDGE_LOOP('',(#255815,#255816,#255817,#255818)); #47261=EDGE_LOOP('',(#255819,#255820,#255821,#255822)); #47262=EDGE_LOOP('',(#255823,#255824,#255825,#255826)); #47263=EDGE_LOOP('',(#255827,#255828,#255829,#255830)); #47264=EDGE_LOOP('',(#255831,#255832,#255833,#255834)); #47265=EDGE_LOOP('',(#255835,#255836,#255837,#255838)); #47266=EDGE_LOOP('',(#255839,#255840,#255841,#255842)); #47267=EDGE_LOOP('',(#255843,#255844,#255845,#255846)); #47268=EDGE_LOOP('',(#255847,#255848,#255849,#255850)); #47269=EDGE_LOOP('',(#255851,#255852,#255853,#255854)); #47270=EDGE_LOOP('',(#255855,#255856,#255857,#255858)); #47271=EDGE_LOOP('',(#255859,#255860,#255861,#255862)); #47272=EDGE_LOOP('',(#255863,#255864,#255865,#255866)); #47273=EDGE_LOOP('',(#255867,#255868,#255869,#255870)); #47274=EDGE_LOOP('',(#255871,#255872,#255873,#255874)); #47275=EDGE_LOOP('',(#255875,#255876,#255877,#255878)); #47276=EDGE_LOOP('',(#255879,#255880,#255881,#255882)); #47277=EDGE_LOOP('',(#255883,#255884,#255885,#255886)); #47278=EDGE_LOOP('',(#255887,#255888,#255889,#255890)); #47279=EDGE_LOOP('',(#255891,#255892,#255893,#255894)); #47280=EDGE_LOOP('',(#255895,#255896,#255897,#255898)); #47281=EDGE_LOOP('',(#255899,#255900,#255901,#255902)); #47282=EDGE_LOOP('',(#255903,#255904,#255905,#255906)); #47283=EDGE_LOOP('',(#255907,#255908,#255909,#255910)); #47284=EDGE_LOOP('',(#255911,#255912,#255913,#255914)); #47285=EDGE_LOOP('',(#255915,#255916,#255917,#255918)); #47286=EDGE_LOOP('',(#255919,#255920,#255921,#255922)); #47287=EDGE_LOOP('',(#255923,#255924,#255925,#255926)); #47288=EDGE_LOOP('',(#255927,#255928,#255929,#255930)); #47289=EDGE_LOOP('',(#255931,#255932,#255933,#255934)); #47290=EDGE_LOOP('',(#255935,#255936,#255937,#255938)); #47291=EDGE_LOOP('',(#255939,#255940,#255941,#255942)); #47292=EDGE_LOOP('',(#255943,#255944,#255945,#255946)); #47293=EDGE_LOOP('',(#255947,#255948,#255949,#255950)); #47294=EDGE_LOOP('',(#255951,#255952,#255953,#255954)); #47295=EDGE_LOOP('',(#255955,#255956,#255957,#255958)); #47296=EDGE_LOOP('',(#255959,#255960,#255961,#255962)); #47297=EDGE_LOOP('',(#255963,#255964,#255965,#255966)); #47298=EDGE_LOOP('',(#255967,#255968,#255969,#255970)); #47299=EDGE_LOOP('',(#255971,#255972,#255973,#255974)); #47300=EDGE_LOOP('',(#255975,#255976,#255977,#255978)); #47301=EDGE_LOOP('',(#255979,#255980,#255981,#255982)); #47302=EDGE_LOOP('',(#255983,#255984,#255985,#255986)); #47303=EDGE_LOOP('',(#255987,#255988,#255989,#255990)); #47304=EDGE_LOOP('',(#255991,#255992,#255993,#255994)); #47305=EDGE_LOOP('',(#255995,#255996,#255997,#255998)); #47306=EDGE_LOOP('',(#255999,#256000,#256001,#256002)); #47307=EDGE_LOOP('',(#256003,#256004,#256005,#256006)); #47308=EDGE_LOOP('',(#256007,#256008,#256009,#256010)); #47309=EDGE_LOOP('',(#256011,#256012,#256013,#256014)); #47310=EDGE_LOOP('',(#256015,#256016,#256017,#256018)); #47311=EDGE_LOOP('',(#256019,#256020,#256021,#256022)); #47312=EDGE_LOOP('',(#256023,#256024,#256025,#256026)); #47313=EDGE_LOOP('',(#256027,#256028,#256029,#256030)); #47314=EDGE_LOOP('',(#256031,#256032,#256033,#256034)); #47315=EDGE_LOOP('',(#256035,#256036,#256037,#256038)); #47316=EDGE_LOOP('',(#256039,#256040,#256041,#256042)); #47317=EDGE_LOOP('',(#256043,#256044,#256045,#256046)); #47318=EDGE_LOOP('',(#256047,#256048,#256049,#256050)); #47319=EDGE_LOOP('',(#256051,#256052,#256053,#256054)); #47320=EDGE_LOOP('',(#256055,#256056,#256057,#256058)); #47321=EDGE_LOOP('',(#256059,#256060,#256061,#256062)); #47322=EDGE_LOOP('',(#256063,#256064,#256065,#256066)); #47323=EDGE_LOOP('',(#256067,#256068,#256069,#256070)); #47324=EDGE_LOOP('',(#256071,#256072,#256073,#256074)); #47325=EDGE_LOOP('',(#256075,#256076,#256077,#256078)); #47326=EDGE_LOOP('',(#256079,#256080,#256081,#256082)); #47327=EDGE_LOOP('',(#256083,#256084,#256085,#256086)); #47328=EDGE_LOOP('',(#256087,#256088,#256089,#256090)); #47329=EDGE_LOOP('',(#256091,#256092,#256093,#256094)); #47330=EDGE_LOOP('',(#256095,#256096,#256097,#256098)); #47331=EDGE_LOOP('',(#256099,#256100,#256101,#256102)); #47332=EDGE_LOOP('',(#256103,#256104,#256105,#256106)); #47333=EDGE_LOOP('',(#256107,#256108,#256109,#256110)); #47334=EDGE_LOOP('',(#256111,#256112,#256113,#256114)); #47335=EDGE_LOOP('',(#256115,#256116,#256117,#256118)); #47336=EDGE_LOOP('',(#256119,#256120,#256121,#256122)); #47337=EDGE_LOOP('',(#256123,#256124,#256125,#256126)); #47338=EDGE_LOOP('',(#256127,#256128,#256129,#256130)); #47339=EDGE_LOOP('',(#256131,#256132,#256133,#256134)); #47340=EDGE_LOOP('',(#256135,#256136,#256137,#256138)); #47341=EDGE_LOOP('',(#256139,#256140,#256141,#256142)); #47342=EDGE_LOOP('',(#256143,#256144,#256145,#256146)); #47343=EDGE_LOOP('',(#256147,#256148,#256149,#256150)); #47344=EDGE_LOOP('',(#256151,#256152,#256153,#256154)); #47345=EDGE_LOOP('',(#256155,#256156,#256157,#256158)); #47346=EDGE_LOOP('',(#256159,#256160,#256161,#256162)); #47347=EDGE_LOOP('',(#256163,#256164,#256165,#256166)); #47348=EDGE_LOOP('',(#256167,#256168,#256169,#256170)); #47349=EDGE_LOOP('',(#256171,#256172,#256173,#256174)); #47350=EDGE_LOOP('',(#256175,#256176,#256177,#256178)); #47351=EDGE_LOOP('',(#256179,#256180,#256181,#256182)); #47352=EDGE_LOOP('',(#256183,#256184,#256185,#256186)); #47353=EDGE_LOOP('',(#256187,#256188,#256189,#256190)); #47354=EDGE_LOOP('',(#256191,#256192,#256193,#256194)); #47355=EDGE_LOOP('',(#256195,#256196,#256197,#256198)); #47356=EDGE_LOOP('',(#256199,#256200,#256201,#256202)); #47357=EDGE_LOOP('',(#256203,#256204,#256205,#256206)); #47358=EDGE_LOOP('',(#256207,#256208,#256209,#256210)); #47359=EDGE_LOOP('',(#256211,#256212,#256213,#256214)); #47360=EDGE_LOOP('',(#256215,#256216,#256217,#256218)); #47361=EDGE_LOOP('',(#256219,#256220,#256221,#256222)); #47362=EDGE_LOOP('',(#256223,#256224,#256225,#256226)); #47363=EDGE_LOOP('',(#256227,#256228,#256229,#256230)); #47364=EDGE_LOOP('',(#256231,#256232,#256233,#256234)); #47365=EDGE_LOOP('',(#256235,#256236,#256237,#256238)); #47366=EDGE_LOOP('',(#256239,#256240,#256241,#256242)); #47367=EDGE_LOOP('',(#256243,#256244,#256245,#256246)); #47368=EDGE_LOOP('',(#256247,#256248,#256249,#256250)); #47369=EDGE_LOOP('',(#256251,#256252,#256253,#256254)); #47370=EDGE_LOOP('',(#256255,#256256,#256257,#256258)); #47371=EDGE_LOOP('',(#256259,#256260,#256261,#256262)); #47372=EDGE_LOOP('',(#256263,#256264,#256265,#256266)); #47373=EDGE_LOOP('',(#256267,#256268,#256269,#256270)); #47374=EDGE_LOOP('',(#256271,#256272,#256273,#256274)); #47375=EDGE_LOOP('',(#256275,#256276,#256277,#256278)); #47376=EDGE_LOOP('',(#256279,#256280,#256281,#256282)); #47377=EDGE_LOOP('',(#256283,#256284,#256285,#256286)); #47378=EDGE_LOOP('',(#256287,#256288,#256289,#256290)); #47379=EDGE_LOOP('',(#256291,#256292,#256293,#256294)); #47380=EDGE_LOOP('',(#256295,#256296,#256297,#256298)); #47381=EDGE_LOOP('',(#256299,#256300,#256301,#256302)); #47382=EDGE_LOOP('',(#256303,#256304,#256305,#256306)); #47383=EDGE_LOOP('',(#256307,#256308,#256309,#256310)); #47384=EDGE_LOOP('',(#256311,#256312,#256313,#256314)); #47385=EDGE_LOOP('',(#256315,#256316,#256317,#256318)); #47386=EDGE_LOOP('',(#256319,#256320,#256321,#256322)); #47387=EDGE_LOOP('',(#256323,#256324,#256325,#256326)); #47388=EDGE_LOOP('',(#256327,#256328,#256329,#256330)); #47389=EDGE_LOOP('',(#256331,#256332,#256333,#256334)); #47390=EDGE_LOOP('',(#256335,#256336,#256337,#256338)); #47391=EDGE_LOOP('',(#256339,#256340,#256341,#256342)); #47392=EDGE_LOOP('',(#256343,#256344,#256345,#256346)); #47393=EDGE_LOOP('',(#256347,#256348,#256349,#256350)); #47394=EDGE_LOOP('',(#256351,#256352,#256353,#256354)); #47395=EDGE_LOOP('',(#256355,#256356,#256357,#256358)); #47396=EDGE_LOOP('',(#256359,#256360,#256361,#256362)); #47397=EDGE_LOOP('',(#256363,#256364,#256365,#256366)); #47398=EDGE_LOOP('',(#256367,#256368,#256369,#256370)); #47399=EDGE_LOOP('',(#256371,#256372,#256373,#256374)); #47400=EDGE_LOOP('',(#256375,#256376,#256377,#256378)); #47401=EDGE_LOOP('',(#256379,#256380,#256381,#256382)); #47402=EDGE_LOOP('',(#256383,#256384,#256385,#256386)); #47403=EDGE_LOOP('',(#256387,#256388,#256389,#256390)); #47404=EDGE_LOOP('',(#256391,#256392,#256393,#256394)); #47405=EDGE_LOOP('',(#256395,#256396,#256397,#256398)); #47406=EDGE_LOOP('',(#256399,#256400,#256401,#256402)); #47407=EDGE_LOOP('',(#256403,#256404,#256405,#256406)); #47408=EDGE_LOOP('',(#256407,#256408,#256409,#256410)); #47409=EDGE_LOOP('',(#256411,#256412,#256413,#256414)); #47410=EDGE_LOOP('',(#256415,#256416,#256417,#256418)); #47411=EDGE_LOOP('',(#256419,#256420,#256421,#256422)); #47412=EDGE_LOOP('',(#256423,#256424,#256425,#256426)); #47413=EDGE_LOOP('',(#256427,#256428,#256429,#256430)); #47414=EDGE_LOOP('',(#256431,#256432,#256433,#256434)); #47415=EDGE_LOOP('',(#256435,#256436,#256437,#256438)); #47416=EDGE_LOOP('',(#256439,#256440,#256441,#256442)); #47417=EDGE_LOOP('',(#256443,#256444,#256445,#256446)); #47418=EDGE_LOOP('',(#256447,#256448,#256449,#256450)); #47419=EDGE_LOOP('',(#256451,#256452,#256453,#256454)); #47420=EDGE_LOOP('',(#256455,#256456,#256457,#256458)); #47421=EDGE_LOOP('',(#256459,#256460,#256461,#256462)); #47422=EDGE_LOOP('',(#256463,#256464,#256465,#256466)); #47423=EDGE_LOOP('',(#256467,#256468,#256469,#256470)); #47424=EDGE_LOOP('',(#256471,#256472,#256473,#256474)); #47425=EDGE_LOOP('',(#256475,#256476,#256477,#256478)); #47426=EDGE_LOOP('',(#256479,#256480,#256481,#256482)); #47427=EDGE_LOOP('',(#256483,#256484,#256485,#256486)); #47428=EDGE_LOOP('',(#256487,#256488,#256489,#256490)); #47429=EDGE_LOOP('',(#256491,#256492,#256493,#256494)); #47430=EDGE_LOOP('',(#256495,#256496,#256497,#256498)); #47431=EDGE_LOOP('',(#256499,#256500,#256501,#256502)); #47432=EDGE_LOOP('',(#256503,#256504,#256505,#256506)); #47433=EDGE_LOOP('',(#256507,#256508,#256509,#256510)); #47434=EDGE_LOOP('',(#256511,#256512,#256513,#256514)); #47435=EDGE_LOOP('',(#256515,#256516,#256517,#256518)); #47436=EDGE_LOOP('',(#256519,#256520,#256521,#256522)); #47437=EDGE_LOOP('',(#256523,#256524,#256525,#256526)); #47438=EDGE_LOOP('',(#256527,#256528,#256529,#256530)); #47439=EDGE_LOOP('',(#256531,#256532,#256533,#256534)); #47440=EDGE_LOOP('',(#256535,#256536,#256537,#256538)); #47441=EDGE_LOOP('',(#256539,#256540,#256541,#256542)); #47442=EDGE_LOOP('',(#256543,#256544,#256545,#256546)); #47443=EDGE_LOOP('',(#256547,#256548,#256549,#256550)); #47444=EDGE_LOOP('',(#256551,#256552,#256553,#256554)); #47445=EDGE_LOOP('',(#256555,#256556,#256557,#256558)); #47446=EDGE_LOOP('',(#256559,#256560,#256561,#256562)); #47447=EDGE_LOOP('',(#256563,#256564,#256565,#256566)); #47448=EDGE_LOOP('',(#256567,#256568,#256569,#256570)); #47449=EDGE_LOOP('',(#256571,#256572,#256573,#256574)); #47450=EDGE_LOOP('',(#256575,#256576,#256577,#256578)); #47451=EDGE_LOOP('',(#256579,#256580,#256581,#256582)); #47452=EDGE_LOOP('',(#256583,#256584,#256585,#256586)); #47453=EDGE_LOOP('',(#256587,#256588,#256589,#256590)); #47454=EDGE_LOOP('',(#256591,#256592,#256593,#256594)); #47455=EDGE_LOOP('',(#256595,#256596,#256597,#256598)); #47456=EDGE_LOOP('',(#256599,#256600,#256601,#256602)); #47457=EDGE_LOOP('',(#256603,#256604,#256605,#256606)); #47458=EDGE_LOOP('',(#256607,#256608,#256609,#256610)); #47459=EDGE_LOOP('',(#256611,#256612,#256613,#256614)); #47460=EDGE_LOOP('',(#256615,#256616,#256617,#256618)); #47461=EDGE_LOOP('',(#256619,#256620,#256621,#256622)); #47462=EDGE_LOOP('',(#256623,#256624,#256625,#256626)); #47463=EDGE_LOOP('',(#256627,#256628,#256629,#256630)); #47464=EDGE_LOOP('',(#256631,#256632,#256633,#256634)); #47465=EDGE_LOOP('',(#256635,#256636,#256637,#256638)); #47466=EDGE_LOOP('',(#256639,#256640,#256641,#256642)); #47467=EDGE_LOOP('',(#256643,#256644,#256645,#256646)); #47468=EDGE_LOOP('',(#256647,#256648,#256649,#256650)); #47469=EDGE_LOOP('',(#256651,#256652,#256653,#256654)); #47470=EDGE_LOOP('',(#256655,#256656,#256657,#256658)); #47471=EDGE_LOOP('',(#256659,#256660,#256661,#256662)); #47472=EDGE_LOOP('',(#256663,#256664,#256665,#256666)); #47473=EDGE_LOOP('',(#256667,#256668,#256669,#256670)); #47474=EDGE_LOOP('',(#256671,#256672,#256673,#256674)); #47475=EDGE_LOOP('',(#256675,#256676,#256677,#256678)); #47476=EDGE_LOOP('',(#256679,#256680,#256681,#256682)); #47477=EDGE_LOOP('',(#256683,#256684,#256685,#256686)); #47478=EDGE_LOOP('',(#256687,#256688,#256689,#256690)); #47479=EDGE_LOOP('',(#256691,#256692,#256693,#256694)); #47480=EDGE_LOOP('',(#256695,#256696,#256697,#256698)); #47481=EDGE_LOOP('',(#256699,#256700,#256701,#256702)); #47482=EDGE_LOOP('',(#256703,#256704,#256705,#256706)); #47483=EDGE_LOOP('',(#256707,#256708,#256709,#256710)); #47484=EDGE_LOOP('',(#256711,#256712,#256713,#256714)); #47485=EDGE_LOOP('',(#256715,#256716,#256717,#256718)); #47486=EDGE_LOOP('',(#256719,#256720,#256721,#256722)); #47487=EDGE_LOOP('',(#256723,#256724,#256725,#256726)); #47488=EDGE_LOOP('',(#256727,#256728,#256729,#256730)); #47489=EDGE_LOOP('',(#256731,#256732,#256733,#256734)); #47490=EDGE_LOOP('',(#256735,#256736,#256737,#256738)); #47491=EDGE_LOOP('',(#256739,#256740,#256741,#256742)); #47492=EDGE_LOOP('',(#256743,#256744,#256745,#256746)); #47493=EDGE_LOOP('',(#256747,#256748,#256749,#256750)); #47494=EDGE_LOOP('',(#256751,#256752,#256753,#256754)); #47495=EDGE_LOOP('',(#256755,#256756,#256757,#256758)); #47496=EDGE_LOOP('',(#256759,#256760,#256761,#256762)); #47497=EDGE_LOOP('',(#256763,#256764,#256765,#256766)); #47498=EDGE_LOOP('',(#256767,#256768,#256769,#256770)); #47499=EDGE_LOOP('',(#256771,#256772,#256773,#256774)); #47500=EDGE_LOOP('',(#256775,#256776,#256777,#256778)); #47501=EDGE_LOOP('',(#256779,#256780,#256781,#256782)); #47502=EDGE_LOOP('',(#256783,#256784,#256785,#256786)); #47503=EDGE_LOOP('',(#256787,#256788,#256789,#256790)); #47504=EDGE_LOOP('',(#256791,#256792,#256793,#256794)); #47505=EDGE_LOOP('',(#256795,#256796,#256797,#256798)); #47506=EDGE_LOOP('',(#256799,#256800,#256801,#256802)); #47507=EDGE_LOOP('',(#256803,#256804,#256805,#256806)); #47508=EDGE_LOOP('',(#256807,#256808,#256809,#256810)); #47509=EDGE_LOOP('',(#256811,#256812,#256813,#256814)); #47510=EDGE_LOOP('',(#256815,#256816,#256817,#256818)); #47511=EDGE_LOOP('',(#256819,#256820,#256821,#256822)); #47512=EDGE_LOOP('',(#256823,#256824,#256825,#256826)); #47513=EDGE_LOOP('',(#256827,#256828,#256829,#256830)); #47514=EDGE_LOOP('',(#256831,#256832,#256833,#256834)); #47515=EDGE_LOOP('',(#256835,#256836,#256837,#256838)); #47516=EDGE_LOOP('',(#256839,#256840,#256841,#256842)); #47517=EDGE_LOOP('',(#256843,#256844,#256845,#256846)); #47518=EDGE_LOOP('',(#256847,#256848,#256849,#256850)); #47519=EDGE_LOOP('',(#256851,#256852,#256853,#256854)); #47520=EDGE_LOOP('',(#256855,#256856,#256857,#256858)); #47521=EDGE_LOOP('',(#256859,#256860,#256861,#256862)); #47522=EDGE_LOOP('',(#256863,#256864,#256865,#256866)); #47523=EDGE_LOOP('',(#256867,#256868,#256869,#256870)); #47524=EDGE_LOOP('',(#256871,#256872,#256873,#256874)); #47525=EDGE_LOOP('',(#256875,#256876,#256877,#256878)); #47526=EDGE_LOOP('',(#256879,#256880,#256881,#256882)); #47527=EDGE_LOOP('',(#256883,#256884,#256885,#256886)); #47528=EDGE_LOOP('',(#256887,#256888,#256889,#256890)); #47529=EDGE_LOOP('',(#256891,#256892,#256893,#256894)); #47530=EDGE_LOOP('',(#256895,#256896,#256897,#256898)); #47531=EDGE_LOOP('',(#256899,#256900,#256901,#256902)); #47532=EDGE_LOOP('',(#256903,#256904,#256905,#256906)); #47533=EDGE_LOOP('',(#256907,#256908,#256909,#256910)); #47534=EDGE_LOOP('',(#256911,#256912,#256913,#256914)); #47535=EDGE_LOOP('',(#256915,#256916,#256917,#256918)); #47536=EDGE_LOOP('',(#256919,#256920,#256921,#256922)); #47537=EDGE_LOOP('',(#256923,#256924,#256925,#256926)); #47538=EDGE_LOOP('',(#256927,#256928,#256929,#256930)); #47539=EDGE_LOOP('',(#256931,#256932,#256933,#256934,#256935,#256936,#256937, #256938,#256939,#256940,#256941,#256942,#256943,#256944,#256945,#256946, #256947,#256948,#256949,#256950,#256951,#256952,#256953,#256954,#256955, #256956,#256957,#256958,#256959,#256960,#256961,#256962,#256963,#256964, #256965,#256966,#256967,#256968,#256969,#256970,#256971,#256972,#256973, #256974,#256975,#256976,#256977,#256978,#256979,#256980,#256981,#256982, #256983,#256984,#256985,#256986,#256987,#256988,#256989,#256990,#256991, #256992,#256993,#256994,#256995,#256996,#256997,#256998,#256999,#257000, #257001,#257002,#257003,#257004,#257005,#257006,#257007,#257008,#257009, #257010,#257011,#257012,#257013,#257014,#257015,#257016,#257017,#257018, #257019,#257020,#257021,#257022,#257023,#257024,#257025,#257026,#257027, #257028,#257029,#257030,#257031,#257032,#257033,#257034,#257035,#257036, #257037,#257038,#257039,#257040,#257041,#257042,#257043,#257044,#257045, #257046,#257047,#257048,#257049,#257050)); #47540=EDGE_LOOP('',(#257051)); #47541=EDGE_LOOP('',(#257052)); #47542=EDGE_LOOP('',(#257053)); #47543=EDGE_LOOP('',(#257054)); #47544=EDGE_LOOP('',(#257055)); #47545=EDGE_LOOP('',(#257056)); #47546=EDGE_LOOP('',(#257057)); #47547=EDGE_LOOP('',(#257058)); #47548=EDGE_LOOP('',(#257059)); #47549=EDGE_LOOP('',(#257060)); #47550=EDGE_LOOP('',(#257061)); #47551=EDGE_LOOP('',(#257062)); #47552=EDGE_LOOP('',(#257063)); #47553=EDGE_LOOP('',(#257064)); #47554=EDGE_LOOP('',(#257065)); #47555=EDGE_LOOP('',(#257066)); #47556=EDGE_LOOP('',(#257067)); #47557=EDGE_LOOP('',(#257068)); #47558=EDGE_LOOP('',(#257069)); #47559=EDGE_LOOP('',(#257070)); #47560=EDGE_LOOP('',(#257071)); #47561=EDGE_LOOP('',(#257072)); #47562=EDGE_LOOP('',(#257073)); #47563=EDGE_LOOP('',(#257074)); #47564=EDGE_LOOP('',(#257075)); #47565=EDGE_LOOP('',(#257076)); #47566=EDGE_LOOP('',(#257077)); #47567=EDGE_LOOP('',(#257078)); #47568=EDGE_LOOP('',(#257079)); #47569=EDGE_LOOP('',(#257080)); #47570=EDGE_LOOP('',(#257081)); #47571=EDGE_LOOP('',(#257082)); #47572=EDGE_LOOP('',(#257083)); #47573=EDGE_LOOP('',(#257084)); #47574=EDGE_LOOP('',(#257085)); #47575=EDGE_LOOP('',(#257086,#257087,#257088,#257089)); #47576=EDGE_LOOP('',(#257090)); #47577=EDGE_LOOP('',(#257091)); #47578=EDGE_LOOP('',(#257092)); #47579=EDGE_LOOP('',(#257093)); #47580=EDGE_LOOP('',(#257094,#257095,#257096,#257097)); #47581=EDGE_LOOP('',(#257098,#257099,#257100,#257101)); #47582=EDGE_LOOP('',(#257102,#257103,#257104,#257105)); #47583=EDGE_LOOP('',(#257106)); #47584=EDGE_LOOP('',(#257107)); #47585=EDGE_LOOP('',(#257108)); #47586=EDGE_LOOP('',(#257109)); #47587=EDGE_LOOP('',(#257110)); #47588=EDGE_LOOP('',(#257111)); #47589=EDGE_LOOP('',(#257112)); #47590=EDGE_LOOP('',(#257113)); #47591=EDGE_LOOP('',(#257114)); #47592=EDGE_LOOP('',(#257115)); #47593=EDGE_LOOP('',(#257116)); #47594=EDGE_LOOP('',(#257117)); #47595=EDGE_LOOP('',(#257118)); #47596=EDGE_LOOP('',(#257119)); #47597=EDGE_LOOP('',(#257120)); #47598=EDGE_LOOP('',(#257121)); #47599=EDGE_LOOP('',(#257122)); #47600=EDGE_LOOP('',(#257123)); #47601=EDGE_LOOP('',(#257124)); #47602=EDGE_LOOP('',(#257125,#257126,#257127,#257128,#257129,#257130,#257131, #257132)); #47603=EDGE_LOOP('',(#257133,#257134,#257135,#257136,#257137,#257138,#257139, #257140,#257141,#257142,#257143,#257144,#257145,#257146,#257147,#257148, #257149,#257150,#257151,#257152,#257153,#257154,#257155,#257156,#257157, #257158,#257159,#257160,#257161,#257162,#257163,#257164,#257165,#257166, #257167,#257168,#257169,#257170,#257171,#257172,#257173,#257174,#257175, #257176,#257177,#257178,#257179,#257180,#257181,#257182,#257183,#257184, #257185,#257186,#257187,#257188,#257189,#257190,#257191,#257192,#257193, #257194,#257195,#257196,#257197,#257198,#257199,#257200,#257201,#257202, #257203,#257204,#257205,#257206,#257207,#257208,#257209,#257210,#257211, #257212,#257213,#257214,#257215,#257216,#257217,#257218,#257219,#257220, #257221,#257222,#257223,#257224,#257225,#257226,#257227,#257228,#257229, #257230,#257231,#257232,#257233,#257234,#257235,#257236,#257237,#257238, #257239,#257240,#257241,#257242,#257243,#257244,#257245,#257246,#257247, #257248,#257249,#257250,#257251)); #47604=EDGE_LOOP('',(#257252,#257253,#257254,#257255,#257256,#257257,#257258, #257259,#257260,#257261,#257262,#257263,#257264,#257265,#257266,#257267, #257268,#257269,#257270,#257271,#257272,#257273,#257274,#257275,#257276, #257277,#257278,#257279,#257280,#257281,#257282,#257283,#257284,#257285, #257286,#257287,#257288,#257289,#257290,#257291,#257292,#257293,#257294, #257295,#257296,#257297,#257298,#257299,#257300,#257301,#257302,#257303, #257304,#257305,#257306,#257307,#257308,#257309,#257310,#257311,#257312, #257313,#257314,#257315,#257316,#257317,#257318,#257319,#257320,#257321, #257322,#257323,#257324,#257325,#257326,#257327,#257328,#257329,#257330, #257331,#257332,#257333,#257334,#257335,#257336,#257337,#257338,#257339, #257340,#257341,#257342,#257343,#257344,#257345,#257346,#257347,#257348, #257349,#257350,#257351,#257352,#257353,#257354,#257355,#257356,#257357, #257358,#257359,#257360,#257361,#257362,#257363,#257364,#257365,#257366, #257367,#257368,#257369,#257370,#257371,#257372,#257373,#257374,#257375, #257376,#257377,#257378,#257379,#257380,#257381,#257382,#257383,#257384, #257385,#257386,#257387,#257388,#257389,#257390,#257391,#257392,#257393, #257394,#257395,#257396,#257397,#257398,#257399,#257400,#257401,#257402, #257403,#257404,#257405,#257406,#257407,#257408,#257409,#257410,#257411, #257412,#257413,#257414,#257415,#257416,#257417,#257418,#257419,#257420, #257421,#257422,#257423,#257424,#257425,#257426,#257427,#257428,#257429, #257430,#257431,#257432,#257433,#257434,#257435,#257436,#257437,#257438, #257439,#257440,#257441,#257442,#257443,#257444,#257445,#257446,#257447, #257448,#257449,#257450,#257451,#257452,#257453,#257454,#257455,#257456, #257457,#257458,#257459,#257460,#257461,#257462,#257463,#257464,#257465, #257466,#257467,#257468,#257469,#257470,#257471,#257472,#257473,#257474, #257475,#257476,#257477,#257478,#257479,#257480,#257481,#257482,#257483, #257484,#257485,#257486,#257487,#257488,#257489,#257490,#257491,#257492, #257493,#257494,#257495,#257496,#257497,#257498,#257499,#257500,#257501, #257502,#257503,#257504,#257505,#257506,#257507,#257508,#257509,#257510, #257511,#257512,#257513,#257514,#257515,#257516,#257517,#257518,#257519, #257520,#257521,#257522,#257523,#257524,#257525,#257526,#257527,#257528, #257529,#257530,#257531,#257532,#257533,#257534,#257535,#257536,#257537, #257538,#257539,#257540,#257541,#257542,#257543,#257544,#257545,#257546, #257547,#257548,#257549,#257550,#257551,#257552,#257553,#257554,#257555, #257556,#257557,#257558,#257559,#257560,#257561,#257562,#257563,#257564, #257565,#257566,#257567,#257568,#257569,#257570,#257571,#257572,#257573, #257574,#257575,#257576,#257577,#257578,#257579,#257580,#257581,#257582, #257583,#257584,#257585,#257586,#257587,#257588,#257589,#257590,#257591, #257592,#257593,#257594,#257595,#257596,#257597,#257598,#257599,#257600, #257601,#257602,#257603,#257604,#257605,#257606,#257607,#257608,#257609, #257610,#257611,#257612,#257613,#257614,#257615,#257616,#257617,#257618, #257619,#257620,#257621,#257622,#257623,#257624,#257625,#257626,#257627, #257628,#257629,#257630,#257631,#257632,#257633,#257634,#257635,#257636, #257637,#257638,#257639,#257640,#257641,#257642,#257643,#257644,#257645, #257646,#257647,#257648,#257649,#257650,#257651,#257652,#257653,#257654, #257655,#257656,#257657,#257658,#257659,#257660,#257661,#257662,#257663, #257664,#257665,#257666,#257667,#257668,#257669,#257670,#257671,#257672, #257673,#257674,#257675,#257676,#257677,#257678,#257679,#257680,#257681, #257682,#257683,#257684,#257685,#257686,#257687,#257688,#257689,#257690, #257691,#257692,#257693,#257694,#257695,#257696,#257697,#257698,#257699, #257700,#257701,#257702,#257703,#257704,#257705,#257706,#257707,#257708, #257709,#257710,#257711,#257712,#257713,#257714,#257715,#257716,#257717, #257718,#257719,#257720,#257721,#257722,#257723,#257724,#257725,#257726, #257727,#257728,#257729,#257730,#257731,#257732,#257733,#257734,#257735, #257736,#257737,#257738,#257739,#257740,#257741,#257742,#257743,#257744, #257745,#257746,#257747,#257748,#257749,#257750,#257751,#257752,#257753, #257754,#257755,#257756,#257757,#257758,#257759,#257760,#257761,#257762, #257763,#257764,#257765,#257766,#257767,#257768,#257769,#257770,#257771, #257772,#257773,#257774,#257775,#257776,#257777,#257778,#257779,#257780, #257781,#257782,#257783,#257784,#257785,#257786,#257787,#257788,#257789, #257790,#257791,#257792,#257793,#257794,#257795,#257796,#257797,#257798, #257799,#257800,#257801,#257802,#257803,#257804,#257805,#257806,#257807, #257808,#257809,#257810,#257811,#257812,#257813,#257814,#257815,#257816, #257817,#257818,#257819,#257820,#257821,#257822,#257823,#257824,#257825, #257826,#257827,#257828,#257829)); #47605=EDGE_LOOP('',(#257830,#257831,#257832,#257833,#257834,#257835,#257836, #257837,#257838,#257839,#257840,#257841,#257842,#257843,#257844,#257845, #257846,#257847,#257848,#257849,#257850,#257851,#257852,#257853,#257854, #257855,#257856,#257857,#257858,#257859,#257860,#257861,#257862,#257863, #257864,#257865)); #47606=EDGE_LOOP('',(#257866,#257867,#257868,#257869,#257870,#257871,#257872, #257873,#257874,#257875,#257876,#257877,#257878,#257879,#257880,#257881, #257882,#257883,#257884,#257885,#257886,#257887,#257888,#257889,#257890, #257891,#257892,#257893,#257894,#257895,#257896,#257897,#257898,#257899, #257900,#257901)); #47607=EDGE_LOOP('',(#257902,#257903,#257904,#257905,#257906,#257907,#257908, #257909,#257910,#257911,#257912,#257913,#257914,#257915,#257916,#257917)); #47608=EDGE_LOOP('',(#257918,#257919,#257920,#257921,#257922,#257923,#257924, #257925,#257926,#257927,#257928,#257929,#257930,#257931,#257932,#257933)); #47609=EDGE_LOOP('',(#257934,#257935,#257936,#257937,#257938,#257939,#257940, #257941)); #47610=EDGE_LOOP('',(#257942,#257943,#257944,#257945,#257946,#257947,#257948, #257949,#257950,#257951,#257952,#257953,#257954,#257955,#257956,#257957, #257958,#257959,#257960,#257961,#257962,#257963,#257964,#257965,#257966, #257967,#257968,#257969,#257970,#257971,#257972,#257973,#257974,#257975, #257976,#257977,#257978,#257979,#257980,#257981,#257982,#257983,#257984, #257985,#257986,#257987,#257988,#257989,#257990,#257991,#257992,#257993, #257994,#257995,#257996,#257997,#257998,#257999,#258000,#258001,#258002, #258003,#258004,#258005,#258006,#258007,#258008,#258009,#258010,#258011, #258012,#258013,#258014,#258015,#258016,#258017,#258018,#258019,#258020, #258021,#258022,#258023,#258024,#258025,#258026,#258027,#258028,#258029, #258030,#258031,#258032,#258033,#258034,#258035,#258036,#258037,#258038, #258039,#258040,#258041,#258042,#258043,#258044,#258045,#258046,#258047, #258048,#258049,#258050,#258051,#258052,#258053,#258054,#258055,#258056, #258057,#258058,#258059,#258060,#258061)); #47611=EDGE_LOOP('',(#258062)); #47612=EDGE_LOOP('',(#258063)); #47613=EDGE_LOOP('',(#258064)); #47614=EDGE_LOOP('',(#258065)); #47615=EDGE_LOOP('',(#258066)); #47616=EDGE_LOOP('',(#258067)); #47617=EDGE_LOOP('',(#258068)); #47618=EDGE_LOOP('',(#258069)); #47619=EDGE_LOOP('',(#258070)); #47620=EDGE_LOOP('',(#258071)); #47621=EDGE_LOOP('',(#258072)); #47622=EDGE_LOOP('',(#258073)); #47623=EDGE_LOOP('',(#258074)); #47624=EDGE_LOOP('',(#258075)); #47625=EDGE_LOOP('',(#258076)); #47626=EDGE_LOOP('',(#258077)); #47627=EDGE_LOOP('',(#258078)); #47628=EDGE_LOOP('',(#258079)); #47629=EDGE_LOOP('',(#258080)); #47630=EDGE_LOOP('',(#258081)); #47631=EDGE_LOOP('',(#258082)); #47632=EDGE_LOOP('',(#258083)); #47633=EDGE_LOOP('',(#258084)); #47634=EDGE_LOOP('',(#258085)); #47635=EDGE_LOOP('',(#258086)); #47636=EDGE_LOOP('',(#258087)); #47637=EDGE_LOOP('',(#258088)); #47638=EDGE_LOOP('',(#258089)); #47639=EDGE_LOOP('',(#258090)); #47640=EDGE_LOOP('',(#258091)); #47641=EDGE_LOOP('',(#258092)); #47642=EDGE_LOOP('',(#258093)); #47643=EDGE_LOOP('',(#258094)); #47644=EDGE_LOOP('',(#258095)); #47645=EDGE_LOOP('',(#258096)); #47646=EDGE_LOOP('',(#258097,#258098,#258099,#258100)); #47647=EDGE_LOOP('',(#258101)); #47648=EDGE_LOOP('',(#258102)); #47649=EDGE_LOOP('',(#258103)); #47650=EDGE_LOOP('',(#258104)); #47651=EDGE_LOOP('',(#258105,#258106,#258107,#258108)); #47652=EDGE_LOOP('',(#258109,#258110,#258111,#258112)); #47653=EDGE_LOOP('',(#258113,#258114,#258115,#258116)); #47654=EDGE_LOOP('',(#258117)); #47655=EDGE_LOOP('',(#258118)); #47656=EDGE_LOOP('',(#258119)); #47657=EDGE_LOOP('',(#258120)); #47658=EDGE_LOOP('',(#258121)); #47659=EDGE_LOOP('',(#258122)); #47660=EDGE_LOOP('',(#258123)); #47661=EDGE_LOOP('',(#258124)); #47662=EDGE_LOOP('',(#258125)); #47663=EDGE_LOOP('',(#258126)); #47664=EDGE_LOOP('',(#258127)); #47665=EDGE_LOOP('',(#258128)); #47666=EDGE_LOOP('',(#258129)); #47667=EDGE_LOOP('',(#258130)); #47668=EDGE_LOOP('',(#258131)); #47669=EDGE_LOOP('',(#258132)); #47670=EDGE_LOOP('',(#258133)); #47671=EDGE_LOOP('',(#258134)); #47672=EDGE_LOOP('',(#258135)); #47673=EDGE_LOOP('',(#258136,#258137,#258138,#258139,#258140,#258141,#258142, #258143)); #47674=EDGE_LOOP('',(#258144,#258145,#258146,#258147,#258148,#258149,#258150, #258151,#258152,#258153,#258154,#258155,#258156,#258157,#258158,#258159, #258160,#258161,#258162,#258163,#258164,#258165,#258166,#258167,#258168, #258169,#258170,#258171,#258172,#258173,#258174,#258175,#258176,#258177, #258178,#258179,#258180,#258181,#258182,#258183,#258184,#258185,#258186, #258187,#258188,#258189,#258190,#258191,#258192,#258193,#258194,#258195, #258196,#258197,#258198,#258199,#258200,#258201,#258202,#258203,#258204, #258205,#258206,#258207,#258208,#258209,#258210,#258211,#258212,#258213, #258214,#258215,#258216,#258217,#258218,#258219,#258220,#258221,#258222, #258223,#258224,#258225,#258226,#258227,#258228,#258229,#258230,#258231, #258232,#258233,#258234,#258235,#258236,#258237,#258238,#258239,#258240, #258241,#258242,#258243,#258244,#258245,#258246,#258247,#258248,#258249, #258250,#258251,#258252,#258253,#258254,#258255,#258256,#258257,#258258, #258259,#258260,#258261,#258262)); #47675=EDGE_LOOP('',(#258263,#258264,#258265,#258266,#258267,#258268,#258269, #258270,#258271,#258272,#258273,#258274,#258275,#258276,#258277,#258278, #258279,#258280,#258281,#258282,#258283,#258284,#258285,#258286,#258287, #258288,#258289,#258290,#258291,#258292,#258293,#258294,#258295,#258296, #258297,#258298,#258299,#258300,#258301,#258302,#258303,#258304,#258305, #258306,#258307,#258308,#258309,#258310,#258311,#258312,#258313,#258314, #258315,#258316,#258317,#258318,#258319,#258320,#258321,#258322,#258323, #258324,#258325,#258326,#258327,#258328,#258329,#258330,#258331,#258332, #258333,#258334,#258335,#258336,#258337,#258338,#258339,#258340,#258341, #258342,#258343,#258344,#258345,#258346,#258347,#258348,#258349,#258350, #258351,#258352,#258353,#258354,#258355,#258356,#258357,#258358,#258359, #258360,#258361,#258362,#258363,#258364,#258365,#258366,#258367,#258368, #258369,#258370,#258371,#258372,#258373,#258374,#258375,#258376,#258377, #258378,#258379,#258380,#258381,#258382,#258383,#258384,#258385,#258386, #258387,#258388,#258389,#258390,#258391,#258392,#258393,#258394,#258395, #258396,#258397,#258398,#258399,#258400,#258401,#258402,#258403,#258404, #258405,#258406,#258407,#258408,#258409,#258410,#258411,#258412,#258413, #258414,#258415,#258416,#258417,#258418,#258419,#258420,#258421,#258422, #258423,#258424,#258425,#258426,#258427,#258428,#258429,#258430,#258431, #258432,#258433,#258434,#258435,#258436,#258437,#258438,#258439,#258440, #258441,#258442,#258443,#258444,#258445,#258446,#258447,#258448,#258449, #258450,#258451,#258452,#258453,#258454,#258455,#258456,#258457,#258458, #258459,#258460,#258461,#258462,#258463,#258464,#258465,#258466,#258467, #258468,#258469,#258470,#258471,#258472,#258473,#258474,#258475,#258476, #258477,#258478,#258479,#258480,#258481,#258482,#258483,#258484,#258485, #258486,#258487,#258488,#258489,#258490,#258491,#258492,#258493,#258494, #258495,#258496,#258497,#258498,#258499,#258500,#258501,#258502,#258503, #258504,#258505,#258506,#258507,#258508,#258509,#258510,#258511,#258512, #258513,#258514,#258515,#258516,#258517,#258518,#258519,#258520,#258521, #258522,#258523,#258524,#258525,#258526,#258527,#258528,#258529,#258530, #258531,#258532,#258533,#258534,#258535,#258536,#258537,#258538,#258539, #258540,#258541,#258542,#258543,#258544,#258545,#258546,#258547,#258548, #258549,#258550,#258551,#258552,#258553,#258554,#258555,#258556,#258557, #258558,#258559,#258560,#258561,#258562,#258563,#258564,#258565,#258566, #258567,#258568,#258569,#258570,#258571,#258572,#258573,#258574,#258575, #258576,#258577,#258578,#258579,#258580,#258581,#258582,#258583,#258584, #258585,#258586,#258587,#258588,#258589,#258590,#258591,#258592,#258593, #258594,#258595,#258596,#258597,#258598,#258599,#258600,#258601,#258602, #258603,#258604,#258605,#258606,#258607,#258608,#258609,#258610,#258611, #258612,#258613,#258614,#258615,#258616,#258617,#258618,#258619,#258620, #258621,#258622,#258623,#258624,#258625,#258626,#258627,#258628,#258629, #258630,#258631,#258632,#258633,#258634,#258635,#258636,#258637,#258638, #258639,#258640,#258641,#258642,#258643,#258644,#258645,#258646,#258647, #258648,#258649,#258650,#258651,#258652,#258653,#258654,#258655,#258656, #258657,#258658,#258659,#258660,#258661,#258662,#258663,#258664,#258665, #258666,#258667,#258668,#258669,#258670,#258671,#258672,#258673,#258674, #258675,#258676,#258677,#258678,#258679,#258680,#258681,#258682,#258683, #258684,#258685,#258686,#258687,#258688,#258689,#258690,#258691,#258692, #258693,#258694,#258695,#258696,#258697,#258698,#258699,#258700,#258701, #258702,#258703,#258704,#258705,#258706,#258707,#258708,#258709,#258710, #258711,#258712,#258713,#258714,#258715,#258716,#258717,#258718,#258719, #258720,#258721,#258722,#258723,#258724,#258725,#258726,#258727,#258728, #258729,#258730,#258731,#258732,#258733,#258734,#258735,#258736,#258737, #258738,#258739,#258740,#258741,#258742,#258743,#258744,#258745,#258746, #258747,#258748,#258749,#258750,#258751,#258752,#258753,#258754,#258755, #258756,#258757,#258758,#258759,#258760,#258761,#258762,#258763,#258764, #258765,#258766,#258767,#258768,#258769,#258770,#258771,#258772,#258773, #258774,#258775,#258776,#258777,#258778,#258779,#258780,#258781,#258782, #258783,#258784,#258785,#258786,#258787,#258788,#258789,#258790,#258791, #258792,#258793,#258794,#258795,#258796,#258797,#258798,#258799,#258800, #258801,#258802,#258803,#258804,#258805,#258806,#258807,#258808,#258809, #258810,#258811,#258812,#258813,#258814,#258815,#258816,#258817,#258818, #258819,#258820,#258821,#258822,#258823,#258824,#258825,#258826,#258827, #258828,#258829,#258830,#258831,#258832,#258833,#258834,#258835,#258836, #258837,#258838,#258839,#258840)); #47676=EDGE_LOOP('',(#258841,#258842,#258843,#258844,#258845,#258846,#258847, #258848,#258849,#258850,#258851,#258852,#258853,#258854,#258855,#258856, #258857,#258858,#258859,#258860,#258861,#258862,#258863,#258864,#258865, #258866,#258867,#258868,#258869,#258870,#258871,#258872,#258873,#258874, #258875,#258876)); #47677=EDGE_LOOP('',(#258877,#258878,#258879,#258880,#258881,#258882,#258883, #258884,#258885,#258886,#258887,#258888,#258889,#258890,#258891,#258892, #258893,#258894,#258895,#258896,#258897,#258898,#258899,#258900,#258901, #258902,#258903,#258904,#258905,#258906,#258907,#258908,#258909,#258910, #258911,#258912)); #47678=EDGE_LOOP('',(#258913,#258914,#258915,#258916,#258917,#258918,#258919, #258920,#258921,#258922,#258923,#258924,#258925,#258926,#258927,#258928)); #47679=EDGE_LOOP('',(#258929,#258930,#258931,#258932,#258933,#258934,#258935, #258936,#258937,#258938,#258939,#258940,#258941,#258942,#258943,#258944)); #47680=EDGE_LOOP('',(#258945,#258946,#258947,#258948,#258949,#258950,#258951, #258952)); #47681=EDGE_LOOP('',(#258953,#258954,#258955,#258956)); #47682=EDGE_LOOP('',(#258957,#258958,#258959,#258960)); #47683=EDGE_LOOP('',(#258961,#258962,#258963,#258964)); #47684=EDGE_LOOP('',(#258965,#258966,#258967,#258968)); #47685=EDGE_LOOP('',(#258969,#258970,#258971,#258972)); #47686=EDGE_LOOP('',(#258973,#258974,#258975,#258976)); #47687=EDGE_LOOP('',(#258977,#258978,#258979,#258980)); #47688=EDGE_LOOP('',(#258981,#258982,#258983,#258984)); #47689=EDGE_LOOP('',(#258985,#258986,#258987,#258988)); #47690=EDGE_LOOP('',(#258989,#258990,#258991,#258992)); #47691=EDGE_LOOP('',(#258993,#258994,#258995,#258996)); #47692=EDGE_LOOP('',(#258997,#258998,#258999,#259000)); #47693=EDGE_LOOP('',(#259001,#259002,#259003,#259004)); #47694=EDGE_LOOP('',(#259005,#259006,#259007,#259008)); #47695=EDGE_LOOP('',(#259009,#259010,#259011,#259012)); #47696=EDGE_LOOP('',(#259013,#259014,#259015,#259016)); #47697=EDGE_LOOP('',(#259017,#259018,#259019,#259020)); #47698=EDGE_LOOP('',(#259021,#259022,#259023,#259024)); #47699=EDGE_LOOP('',(#259025,#259026,#259027,#259028)); #47700=EDGE_LOOP('',(#259029,#259030,#259031,#259032)); #47701=EDGE_LOOP('',(#259033,#259034,#259035,#259036)); #47702=EDGE_LOOP('',(#259037,#259038,#259039,#259040,#259041,#259042,#259043, #259044,#259045,#259046,#259047,#259048,#259049,#259050,#259051,#259052, #259053,#259054,#259055,#259056,#259057)); #47703=EDGE_LOOP('',(#259058,#259059,#259060,#259061,#259062,#259063,#259064, #259065,#259066,#259067,#259068,#259069,#259070,#259071,#259072,#259073, #259074,#259075,#259076,#259077,#259078)); #47704=EDGE_LOOP('',(#259079,#259080,#259081,#259082)); #47705=EDGE_LOOP('',(#259083,#259084,#259085,#259086)); #47706=EDGE_LOOP('',(#259087,#259088,#259089,#259090)); #47707=EDGE_LOOP('',(#259091,#259092,#259093,#259094)); #47708=EDGE_LOOP('',(#259095,#259096,#259097,#259098)); #47709=EDGE_LOOP('',(#259099,#259100,#259101,#259102)); #47710=EDGE_LOOP('',(#259103,#259104,#259105,#259106)); #47711=EDGE_LOOP('',(#259107,#259108,#259109,#259110)); #47712=EDGE_LOOP('',(#259111,#259112,#259113,#259114)); #47713=EDGE_LOOP('',(#259115,#259116,#259117,#259118)); #47714=EDGE_LOOP('',(#259119,#259120,#259121,#259122)); #47715=EDGE_LOOP('',(#259123,#259124,#259125,#259126)); #47716=EDGE_LOOP('',(#259127,#259128,#259129,#259130)); #47717=EDGE_LOOP('',(#259131,#259132,#259133,#259134)); #47718=EDGE_LOOP('',(#259135,#259136,#259137,#259138)); #47719=EDGE_LOOP('',(#259139,#259140,#259141,#259142)); #47720=EDGE_LOOP('',(#259143,#259144,#259145,#259146)); #47721=EDGE_LOOP('',(#259147,#259148,#259149,#259150)); #47722=EDGE_LOOP('',(#259151,#259152,#259153,#259154)); #47723=EDGE_LOOP('',(#259155,#259156,#259157,#259158)); #47724=EDGE_LOOP('',(#259159,#259160,#259161,#259162)); #47725=EDGE_LOOP('',(#259163,#259164,#259165,#259166)); #47726=EDGE_LOOP('',(#259167,#259168,#259169,#259170)); #47727=EDGE_LOOP('',(#259171,#259172,#259173,#259174)); #47728=EDGE_LOOP('',(#259175,#259176,#259177,#259178)); #47729=EDGE_LOOP('',(#259179,#259180,#259181,#259182)); #47730=EDGE_LOOP('',(#259183,#259184,#259185,#259186,#259187,#259188,#259189, #259190,#259191,#259192,#259193,#259194,#259195,#259196,#259197,#259198, #259199,#259200,#259201,#259202,#259203,#259204,#259205,#259206,#259207, #259208)); #47731=EDGE_LOOP('',(#259209,#259210,#259211,#259212,#259213,#259214,#259215, #259216,#259217,#259218,#259219,#259220,#259221,#259222,#259223,#259224, #259225,#259226,#259227,#259228,#259229,#259230,#259231,#259232,#259233, #259234)); #47732=EDGE_LOOP('',(#259235,#259236,#259237,#259238)); #47733=EDGE_LOOP('',(#259239,#259240,#259241,#259242)); #47734=EDGE_LOOP('',(#259243,#259244,#259245,#259246)); #47735=EDGE_LOOP('',(#259247,#259248,#259249,#259250)); #47736=EDGE_LOOP('',(#259251,#259252,#259253,#259254)); #47737=EDGE_LOOP('',(#259255,#259256,#259257,#259258)); #47738=EDGE_LOOP('',(#259259,#259260,#259261,#259262)); #47739=EDGE_LOOP('',(#259263,#259264,#259265,#259266)); #47740=EDGE_LOOP('',(#259267,#259268,#259269,#259270)); #47741=EDGE_LOOP('',(#259271,#259272,#259273,#259274)); #47742=EDGE_LOOP('',(#259275,#259276,#259277,#259278)); #47743=EDGE_LOOP('',(#259279,#259280,#259281,#259282)); #47744=EDGE_LOOP('',(#259283,#259284,#259285,#259286)); #47745=EDGE_LOOP('',(#259287,#259288,#259289,#259290)); #47746=EDGE_LOOP('',(#259291,#259292,#259293,#259294)); #47747=EDGE_LOOP('',(#259295,#259296,#259297,#259298)); #47748=EDGE_LOOP('',(#259299,#259300,#259301,#259302)); #47749=EDGE_LOOP('',(#259303,#259304,#259305,#259306)); #47750=EDGE_LOOP('',(#259307,#259308,#259309,#259310)); #47751=EDGE_LOOP('',(#259311,#259312,#259313,#259314)); #47752=EDGE_LOOP('',(#259315,#259316,#259317,#259318,#259319,#259320,#259321, #259322,#259323,#259324,#259325,#259326,#259327,#259328,#259329,#259330, #259331,#259332,#259333,#259334)); #47753=EDGE_LOOP('',(#259335,#259336,#259337,#259338,#259339,#259340,#259341, #259342,#259343,#259344,#259345,#259346,#259347,#259348,#259349,#259350, #259351,#259352,#259353,#259354)); #47754=EDGE_LOOP('',(#259355,#259356,#259357,#259358)); #47755=EDGE_LOOP('',(#259359,#259360,#259361,#259362)); #47756=EDGE_LOOP('',(#259363,#259364,#259365,#259366)); #47757=EDGE_LOOP('',(#259367,#259368,#259369,#259370)); #47758=EDGE_LOOP('',(#259371,#259372,#259373,#259374)); #47759=EDGE_LOOP('',(#259375,#259376,#259377,#259378)); #47760=EDGE_LOOP('',(#259379,#259380,#259381,#259382)); #47761=EDGE_LOOP('',(#259383,#259384,#259385,#259386)); #47762=EDGE_LOOP('',(#259387,#259388,#259389,#259390)); #47763=EDGE_LOOP('',(#259391,#259392,#259393,#259394)); #47764=EDGE_LOOP('',(#259395,#259396,#259397,#259398)); #47765=EDGE_LOOP('',(#259399,#259400,#259401,#259402)); #47766=EDGE_LOOP('',(#259403,#259404,#259405,#259406)); #47767=EDGE_LOOP('',(#259407,#259408,#259409,#259410)); #47768=EDGE_LOOP('',(#259411,#259412,#259413,#259414)); #47769=EDGE_LOOP('',(#259415,#259416,#259417,#259418)); #47770=EDGE_LOOP('',(#259419,#259420,#259421,#259422)); #47771=EDGE_LOOP('',(#259423,#259424,#259425,#259426)); #47772=EDGE_LOOP('',(#259427,#259428,#259429,#259430)); #47773=EDGE_LOOP('',(#259431,#259432,#259433,#259434)); #47774=EDGE_LOOP('',(#259435,#259436,#259437,#259438)); #47775=EDGE_LOOP('',(#259439,#259440,#259441,#259442)); #47776=EDGE_LOOP('',(#259443,#259444,#259445,#259446)); #47777=EDGE_LOOP('',(#259447,#259448,#259449,#259450,#259451,#259452,#259453, #259454,#259455,#259456,#259457,#259458,#259459,#259460,#259461,#259462, #259463,#259464,#259465,#259466,#259467,#259468,#259469)); #47778=EDGE_LOOP('',(#259470,#259471,#259472,#259473,#259474,#259475,#259476, #259477,#259478,#259479,#259480,#259481,#259482,#259483,#259484,#259485, #259486,#259487,#259488,#259489,#259490,#259491,#259492)); #47779=EDGE_LOOP('',(#259493,#259494,#259495,#259496)); #47780=EDGE_LOOP('',(#259497,#259498,#259499,#259500)); #47781=EDGE_LOOP('',(#259501,#259502,#259503,#259504)); #47782=EDGE_LOOP('',(#259505,#259506,#259507,#259508)); #47783=EDGE_LOOP('',(#259509,#259510,#259511,#259512)); #47784=EDGE_LOOP('',(#259513,#259514,#259515,#259516)); #47785=EDGE_LOOP('',(#259517,#259518,#259519,#259520)); #47786=EDGE_LOOP('',(#259521,#259522,#259523,#259524)); #47787=EDGE_LOOP('',(#259525,#259526,#259527,#259528)); #47788=EDGE_LOOP('',(#259529,#259530,#259531,#259532)); #47789=EDGE_LOOP('',(#259533,#259534,#259535,#259536)); #47790=EDGE_LOOP('',(#259537,#259538,#259539,#259540)); #47791=EDGE_LOOP('',(#259541,#259542,#259543,#259544)); #47792=EDGE_LOOP('',(#259545,#259546,#259547,#259548)); #47793=EDGE_LOOP('',(#259549,#259550,#259551,#259552)); #47794=EDGE_LOOP('',(#259553,#259554,#259555,#259556)); #47795=EDGE_LOOP('',(#259557,#259558,#259559,#259560)); #47796=EDGE_LOOP('',(#259561,#259562,#259563,#259564)); #47797=EDGE_LOOP('',(#259565,#259566,#259567,#259568)); #47798=EDGE_LOOP('',(#259569,#259570,#259571,#259572)); #47799=EDGE_LOOP('',(#259573,#259574,#259575,#259576)); #47800=EDGE_LOOP('',(#259577,#259578,#259579,#259580,#259581,#259582,#259583, #259584,#259585,#259586,#259587,#259588,#259589,#259590,#259591,#259592, #259593,#259594,#259595,#259596,#259597)); #47801=EDGE_LOOP('',(#259598,#259599,#259600,#259601,#259602,#259603,#259604, #259605,#259606,#259607,#259608,#259609,#259610,#259611,#259612,#259613, #259614,#259615,#259616,#259617,#259618)); #47802=EDGE_LOOP('',(#259619,#259620,#259621,#259622)); #47803=EDGE_LOOP('',(#259623,#259624,#259625,#259626)); #47804=EDGE_LOOP('',(#259627,#259628,#259629,#259630)); #47805=EDGE_LOOP('',(#259631,#259632,#259633,#259634)); #47806=EDGE_LOOP('',(#259635,#259636,#259637,#259638)); #47807=EDGE_LOOP('',(#259639,#259640,#259641,#259642)); #47808=EDGE_LOOP('',(#259643,#259644,#259645,#259646)); #47809=EDGE_LOOP('',(#259647,#259648,#259649,#259650)); #47810=EDGE_LOOP('',(#259651,#259652,#259653,#259654)); #47811=EDGE_LOOP('',(#259655,#259656,#259657,#259658)); #47812=EDGE_LOOP('',(#259659,#259660,#259661,#259662)); #47813=EDGE_LOOP('',(#259663,#259664,#259665,#259666)); #47814=EDGE_LOOP('',(#259667,#259668,#259669,#259670)); #47815=EDGE_LOOP('',(#259671,#259672,#259673,#259674)); #47816=EDGE_LOOP('',(#259675,#259676,#259677,#259678)); #47817=EDGE_LOOP('',(#259679,#259680,#259681,#259682)); #47818=EDGE_LOOP('',(#259683,#259684,#259685,#259686)); #47819=EDGE_LOOP('',(#259687,#259688,#259689,#259690)); #47820=EDGE_LOOP('',(#259691,#259692,#259693,#259694)); #47821=EDGE_LOOP('',(#259695,#259696,#259697,#259698)); #47822=EDGE_LOOP('',(#259699,#259700,#259701,#259702)); #47823=EDGE_LOOP('',(#259703,#259704,#259705,#259706)); #47824=EDGE_LOOP('',(#259707,#259708,#259709,#259710)); #47825=EDGE_LOOP('',(#259711,#259712,#259713,#259714)); #47826=EDGE_LOOP('',(#259715,#259716,#259717,#259718)); #47827=EDGE_LOOP('',(#259719,#259720,#259721,#259722)); #47828=EDGE_LOOP('',(#259723,#259724,#259725,#259726)); #47829=EDGE_LOOP('',(#259727,#259728,#259729,#259730)); #47830=EDGE_LOOP('',(#259731,#259732,#259733,#259734)); #47831=EDGE_LOOP('',(#259735,#259736,#259737,#259738)); #47832=EDGE_LOOP('',(#259739,#259740,#259741,#259742,#259743,#259744,#259745, #259746,#259747,#259748,#259749,#259750,#259751,#259752,#259753,#259754, #259755,#259756,#259757,#259758,#259759,#259760,#259761,#259762,#259763, #259764,#259765,#259766,#259767,#259768)); #47833=EDGE_LOOP('',(#259769,#259770,#259771,#259772,#259773,#259774,#259775, #259776,#259777,#259778,#259779,#259780,#259781,#259782,#259783,#259784, #259785,#259786,#259787,#259788,#259789,#259790,#259791,#259792,#259793, #259794,#259795,#259796,#259797,#259798)); #47834=EDGE_LOOP('',(#259799,#259800,#259801,#259802)); #47835=EDGE_LOOP('',(#259803,#259804,#259805,#259806)); #47836=EDGE_LOOP('',(#259807,#259808,#259809,#259810)); #47837=EDGE_LOOP('',(#259811,#259812,#259813,#259814)); #47838=EDGE_LOOP('',(#259815,#259816,#259817,#259818)); #47839=EDGE_LOOP('',(#259819,#259820,#259821,#259822)); #47840=EDGE_LOOP('',(#259823,#259824,#259825,#259826)); #47841=EDGE_LOOP('',(#259827,#259828,#259829,#259830)); #47842=EDGE_LOOP('',(#259831,#259832,#259833,#259834)); #47843=EDGE_LOOP('',(#259835,#259836,#259837,#259838)); #47844=EDGE_LOOP('',(#259839,#259840,#259841,#259842)); #47845=EDGE_LOOP('',(#259843,#259844,#259845,#259846)); #47846=EDGE_LOOP('',(#259847,#259848,#259849,#259850)); #47847=EDGE_LOOP('',(#259851,#259852,#259853,#259854)); #47848=EDGE_LOOP('',(#259855,#259856,#259857,#259858)); #47849=EDGE_LOOP('',(#259859,#259860,#259861,#259862)); #47850=EDGE_LOOP('',(#259863,#259864,#259865,#259866)); #47851=EDGE_LOOP('',(#259867,#259868,#259869,#259870)); #47852=EDGE_LOOP('',(#259871,#259872,#259873,#259874)); #47853=EDGE_LOOP('',(#259875,#259876,#259877,#259878)); #47854=EDGE_LOOP('',(#259879,#259880,#259881,#259882,#259883,#259884,#259885, #259886,#259887,#259888,#259889,#259890,#259891,#259892,#259893,#259894, #259895,#259896,#259897,#259898)); #47855=EDGE_LOOP('',(#259899,#259900,#259901,#259902,#259903,#259904,#259905, #259906,#259907,#259908,#259909,#259910,#259911,#259912,#259913,#259914, #259915,#259916,#259917,#259918)); #47856=EDGE_LOOP('',(#259919,#259920,#259921,#259922)); #47857=EDGE_LOOP('',(#259923,#259924,#259925,#259926)); #47858=EDGE_LOOP('',(#259927,#259928,#259929,#259930)); #47859=EDGE_LOOP('',(#259931,#259932,#259933,#259934)); #47860=EDGE_LOOP('',(#259935,#259936,#259937,#259938)); #47861=EDGE_LOOP('',(#259939,#259940,#259941,#259942)); #47862=EDGE_LOOP('',(#259943,#259944,#259945,#259946)); #47863=EDGE_LOOP('',(#259947,#259948,#259949,#259950)); #47864=EDGE_LOOP('',(#259951,#259952,#259953,#259954)); #47865=EDGE_LOOP('',(#259955,#259956,#259957,#259958)); #47866=EDGE_LOOP('',(#259959,#259960,#259961,#259962)); #47867=EDGE_LOOP('',(#259963,#259964,#259965,#259966)); #47868=EDGE_LOOP('',(#259967,#259968,#259969,#259970)); #47869=EDGE_LOOP('',(#259971,#259972,#259973,#259974)); #47870=EDGE_LOOP('',(#259975,#259976,#259977,#259978)); #47871=EDGE_LOOP('',(#259979,#259980,#259981,#259982)); #47872=EDGE_LOOP('',(#259983,#259984,#259985,#259986)); #47873=EDGE_LOOP('',(#259987,#259988,#259989,#259990)); #47874=EDGE_LOOP('',(#259991,#259992,#259993,#259994)); #47875=EDGE_LOOP('',(#259995,#259996,#259997,#259998)); #47876=EDGE_LOOP('',(#259999,#260000,#260001,#260002)); #47877=EDGE_LOOP('',(#260003,#260004,#260005,#260006)); #47878=EDGE_LOOP('',(#260007,#260008,#260009,#260010)); #47879=EDGE_LOOP('',(#260011,#260012,#260013,#260014)); #47880=EDGE_LOOP('',(#260015,#260016,#260017,#260018)); #47881=EDGE_LOOP('',(#260019,#260020,#260021,#260022)); #47882=EDGE_LOOP('',(#260023,#260024,#260025,#260026)); #47883=EDGE_LOOP('',(#260027,#260028,#260029,#260030)); #47884=EDGE_LOOP('',(#260031,#260032,#260033,#260034)); #47885=EDGE_LOOP('',(#260035,#260036,#260037,#260038)); #47886=EDGE_LOOP('',(#260039,#260040,#260041,#260042)); #47887=EDGE_LOOP('',(#260043,#260044,#260045,#260046)); #47888=EDGE_LOOP('',(#260047,#260048,#260049,#260050)); #47889=EDGE_LOOP('',(#260051,#260052,#260053,#260054,#260055,#260056,#260057, #260058,#260059,#260060,#260061,#260062,#260063,#260064,#260065,#260066, #260067,#260068,#260069,#260070,#260071,#260072,#260073,#260074,#260075, #260076,#260077,#260078,#260079,#260080,#260081,#260082,#260083)); #47890=EDGE_LOOP('',(#260084,#260085,#260086,#260087,#260088,#260089,#260090, #260091,#260092,#260093,#260094,#260095,#260096,#260097,#260098,#260099, #260100,#260101,#260102,#260103,#260104,#260105,#260106,#260107,#260108, #260109,#260110,#260111,#260112,#260113,#260114,#260115,#260116)); #47891=EDGE_LOOP('',(#260117,#260118,#260119,#260120)); #47892=EDGE_LOOP('',(#260121,#260122,#260123,#260124)); #47893=EDGE_LOOP('',(#260125,#260126,#260127,#260128)); #47894=EDGE_LOOP('',(#260129,#260130,#260131,#260132)); #47895=EDGE_LOOP('',(#260133,#260134,#260135,#260136)); #47896=EDGE_LOOP('',(#260137,#260138,#260139,#260140)); #47897=EDGE_LOOP('',(#260141,#260142,#260143,#260144)); #47898=EDGE_LOOP('',(#260145,#260146,#260147,#260148)); #47899=EDGE_LOOP('',(#260149,#260150,#260151,#260152)); #47900=EDGE_LOOP('',(#260153,#260154,#260155,#260156)); #47901=EDGE_LOOP('',(#260157,#260158,#260159,#260160)); #47902=EDGE_LOOP('',(#260161,#260162,#260163,#260164)); #47903=EDGE_LOOP('',(#260165,#260166,#260167,#260168)); #47904=EDGE_LOOP('',(#260169,#260170,#260171,#260172)); #47905=EDGE_LOOP('',(#260173,#260174,#260175,#260176)); #47906=EDGE_LOOP('',(#260177,#260178,#260179,#260180)); #47907=EDGE_LOOP('',(#260181,#260182,#260183,#260184)); #47908=EDGE_LOOP('',(#260185,#260186,#260187,#260188)); #47909=EDGE_LOOP('',(#260189,#260190,#260191,#260192)); #47910=EDGE_LOOP('',(#260193,#260194,#260195,#260196)); #47911=EDGE_LOOP('',(#260197,#260198,#260199,#260200)); #47912=EDGE_LOOP('',(#260201,#260202,#260203,#260204)); #47913=EDGE_LOOP('',(#260205,#260206,#260207,#260208)); #47914=EDGE_LOOP('',(#260209,#260210,#260211,#260212)); #47915=EDGE_LOOP('',(#260213,#260214,#260215,#260216)); #47916=EDGE_LOOP('',(#260217,#260218,#260219,#260220)); #47917=EDGE_LOOP('',(#260221,#260222,#260223,#260224)); #47918=EDGE_LOOP('',(#260225,#260226,#260227,#260228)); #47919=EDGE_LOOP('',(#260229,#260230,#260231,#260232)); #47920=EDGE_LOOP('',(#260233,#260234,#260235,#260236)); #47921=EDGE_LOOP('',(#260237,#260238,#260239,#260240)); #47922=EDGE_LOOP('',(#260241,#260242,#260243,#260244)); #47923=EDGE_LOOP('',(#260245,#260246,#260247,#260248)); #47924=EDGE_LOOP('',(#260249,#260250,#260251,#260252)); #47925=EDGE_LOOP('',(#260253,#260254,#260255,#260256)); #47926=EDGE_LOOP('',(#260257,#260258,#260259,#260260)); #47927=EDGE_LOOP('',(#260261,#260262,#260263,#260264)); #47928=EDGE_LOOP('',(#260265,#260266,#260267,#260268)); #47929=EDGE_LOOP('',(#260269,#260270,#260271,#260272)); #47930=EDGE_LOOP('',(#260273,#260274,#260275,#260276)); #47931=EDGE_LOOP('',(#260277,#260278,#260279,#260280)); #47932=EDGE_LOOP('',(#260281,#260282,#260283,#260284)); #47933=EDGE_LOOP('',(#260285,#260286,#260287,#260288)); #47934=EDGE_LOOP('',(#260289,#260290,#260291,#260292)); #47935=EDGE_LOOP('',(#260293,#260294,#260295,#260296)); #47936=EDGE_LOOP('',(#260297,#260298,#260299,#260300)); #47937=EDGE_LOOP('',(#260301,#260302,#260303,#260304)); #47938=EDGE_LOOP('',(#260305,#260306,#260307,#260308)); #47939=EDGE_LOOP('',(#260309,#260310,#260311,#260312)); #47940=EDGE_LOOP('',(#260313,#260314,#260315,#260316)); #47941=EDGE_LOOP('',(#260317,#260318,#260319,#260320)); #47942=EDGE_LOOP('',(#260321,#260322,#260323,#260324)); #47943=EDGE_LOOP('',(#260325,#260326,#260327,#260328)); #47944=EDGE_LOOP('',(#260329,#260330,#260331,#260332)); #47945=EDGE_LOOP('',(#260333,#260334,#260335,#260336)); #47946=EDGE_LOOP('',(#260337,#260338,#260339,#260340)); #47947=EDGE_LOOP('',(#260341,#260342,#260343,#260344)); #47948=EDGE_LOOP('',(#260345,#260346,#260347,#260348)); #47949=EDGE_LOOP('',(#260349,#260350,#260351,#260352)); #47950=EDGE_LOOP('',(#260353,#260354,#260355,#260356)); #47951=EDGE_LOOP('',(#260357,#260358,#260359,#260360)); #47952=EDGE_LOOP('',(#260361,#260362,#260363,#260364)); #47953=EDGE_LOOP('',(#260365,#260366,#260367,#260368)); #47954=EDGE_LOOP('',(#260369,#260370,#260371,#260372)); #47955=EDGE_LOOP('',(#260373,#260374,#260375,#260376)); #47956=EDGE_LOOP('',(#260377,#260378,#260379,#260380)); #47957=EDGE_LOOP('',(#260381,#260382,#260383,#260384)); #47958=EDGE_LOOP('',(#260385,#260386,#260387,#260388)); #47959=EDGE_LOOP('',(#260389,#260390,#260391,#260392)); #47960=EDGE_LOOP('',(#260393,#260394,#260395,#260396)); #47961=EDGE_LOOP('',(#260397,#260398,#260399,#260400)); #47962=EDGE_LOOP('',(#260401,#260402,#260403,#260404)); #47963=EDGE_LOOP('',(#260405,#260406,#260407,#260408)); #47964=EDGE_LOOP('',(#260409,#260410,#260411,#260412)); #47965=EDGE_LOOP('',(#260413,#260414,#260415,#260416)); #47966=EDGE_LOOP('',(#260417,#260418,#260419,#260420)); #47967=EDGE_LOOP('',(#260421,#260422,#260423,#260424)); #47968=EDGE_LOOP('',(#260425,#260426,#260427,#260428)); #47969=EDGE_LOOP('',(#260429,#260430,#260431,#260432)); #47970=EDGE_LOOP('',(#260433,#260434,#260435,#260436)); #47971=EDGE_LOOP('',(#260437,#260438,#260439,#260440)); #47972=EDGE_LOOP('',(#260441,#260442,#260443,#260444)); #47973=EDGE_LOOP('',(#260445,#260446,#260447,#260448)); #47974=EDGE_LOOP('',(#260449,#260450,#260451,#260452)); #47975=EDGE_LOOP('',(#260453,#260454,#260455,#260456)); #47976=EDGE_LOOP('',(#260457,#260458,#260459,#260460)); #47977=EDGE_LOOP('',(#260461,#260462,#260463,#260464)); #47978=EDGE_LOOP('',(#260465,#260466,#260467,#260468)); #47979=EDGE_LOOP('',(#260469,#260470,#260471,#260472)); #47980=EDGE_LOOP('',(#260473,#260474,#260475,#260476)); #47981=EDGE_LOOP('',(#260477,#260478,#260479,#260480,#260481,#260482,#260483, #260484,#260485,#260486,#260487,#260488,#260489,#260490,#260491,#260492, #260493,#260494,#260495,#260496,#260497,#260498,#260499,#260500,#260501, #260502,#260503,#260504,#260505,#260506,#260507,#260508,#260509,#260510, #260511,#260512,#260513,#260514,#260515,#260516,#260517,#260518,#260519, #260520,#260521,#260522,#260523,#260524,#260525,#260526,#260527,#260528, #260529,#260530,#260531,#260532,#260533,#260534,#260535,#260536,#260537, #260538,#260539,#260540,#260541,#260542,#260543,#260544,#260545,#260546, #260547,#260548,#260549,#260550,#260551,#260552,#260553,#260554,#260555, #260556,#260557,#260558,#260559,#260560,#260561,#260562,#260563)); #47982=EDGE_LOOP('',(#260564)); #47983=EDGE_LOOP('',(#260565)); #47984=EDGE_LOOP('',(#260566)); #47985=EDGE_LOOP('',(#260567,#260568,#260569,#260570,#260571,#260572,#260573, #260574,#260575,#260576,#260577,#260578,#260579,#260580,#260581,#260582, #260583,#260584,#260585,#260586,#260587,#260588,#260589,#260590,#260591, #260592,#260593,#260594,#260595,#260596,#260597,#260598,#260599,#260600, #260601,#260602,#260603,#260604,#260605,#260606,#260607,#260608,#260609, #260610,#260611,#260612,#260613,#260614,#260615,#260616,#260617,#260618, #260619,#260620,#260621,#260622,#260623,#260624,#260625,#260626,#260627, #260628,#260629,#260630,#260631,#260632,#260633,#260634,#260635,#260636, #260637,#260638,#260639,#260640,#260641,#260642,#260643,#260644,#260645, #260646,#260647,#260648,#260649,#260650,#260651,#260652,#260653)); #47986=EDGE_LOOP('',(#260654)); #47987=EDGE_LOOP('',(#260655)); #47988=EDGE_LOOP('',(#260656)); #47989=EDGE_LOOP('',(#260657,#260658,#260659,#260660)); #47990=EDGE_LOOP('',(#260661,#260662,#260663,#260664)); #47991=EDGE_LOOP('',(#260665,#260666,#260667,#260668)); #47992=EDGE_LOOP('',(#260669,#260670,#260671,#260672)); #47993=EDGE_LOOP('',(#260673,#260674,#260675,#260676)); #47994=EDGE_LOOP('',(#260677,#260678,#260679,#260680)); #47995=EDGE_LOOP('',(#260681,#260682,#260683,#260684)); #47996=EDGE_LOOP('',(#260685,#260686,#260687,#260688)); #47997=EDGE_LOOP('',(#260689,#260690,#260691,#260692)); #47998=EDGE_LOOP('',(#260693,#260694,#260695,#260696)); #47999=EDGE_LOOP('',(#260697,#260698,#260699,#260700)); #48000=EDGE_LOOP('',(#260701,#260702,#260703,#260704)); #48001=EDGE_LOOP('',(#260705,#260706,#260707,#260708)); #48002=EDGE_LOOP('',(#260709,#260710,#260711,#260712)); #48003=EDGE_LOOP('',(#260713,#260714,#260715,#260716)); #48004=EDGE_LOOP('',(#260717,#260718,#260719,#260720)); #48005=EDGE_LOOP('',(#260721,#260722,#260723,#260724)); #48006=EDGE_LOOP('',(#260725,#260726,#260727,#260728)); #48007=EDGE_LOOP('',(#260729,#260730,#260731,#260732)); #48008=EDGE_LOOP('',(#260733,#260734,#260735,#260736)); #48009=EDGE_LOOP('',(#260737,#260738,#260739,#260740)); #48010=EDGE_LOOP('',(#260741,#260742,#260743,#260744)); #48011=EDGE_LOOP('',(#260745,#260746,#260747,#260748)); #48012=EDGE_LOOP('',(#260749,#260750,#260751,#260752)); #48013=EDGE_LOOP('',(#260753,#260754,#260755,#260756)); #48014=EDGE_LOOP('',(#260757,#260758,#260759,#260760)); #48015=EDGE_LOOP('',(#260761,#260762,#260763,#260764)); #48016=EDGE_LOOP('',(#260765,#260766,#260767,#260768)); #48017=EDGE_LOOP('',(#260769,#260770,#260771,#260772)); #48018=EDGE_LOOP('',(#260773,#260774,#260775,#260776,#260777,#260778,#260779, #260780,#260781,#260782,#260783,#260784,#260785,#260786,#260787,#260788, #260789,#260790,#260791,#260792,#260793,#260794,#260795,#260796,#260797, #260798,#260799,#260800,#260801)); #48019=EDGE_LOOP('',(#260802,#260803,#260804,#260805,#260806,#260807,#260808, #260809,#260810,#260811,#260812,#260813,#260814,#260815,#260816,#260817, #260818,#260819,#260820,#260821,#260822,#260823,#260824,#260825,#260826, #260827,#260828,#260829,#260830)); #48020=EDGE_LOOP('',(#260831,#260832,#260833,#260834)); #48021=EDGE_LOOP('',(#260835,#260836,#260837,#260838)); #48022=EDGE_LOOP('',(#260839,#260840,#260841,#260842)); #48023=EDGE_LOOP('',(#260843,#260844,#260845,#260846)); #48024=EDGE_LOOP('',(#260847,#260848,#260849,#260850)); #48025=EDGE_LOOP('',(#260851,#260852,#260853,#260854)); #48026=EDGE_LOOP('',(#260855,#260856,#260857,#260858)); #48027=EDGE_LOOP('',(#260859,#260860,#260861,#260862)); #48028=EDGE_LOOP('',(#260863,#260864,#260865,#260866)); #48029=EDGE_LOOP('',(#260867,#260868,#260869,#260870)); #48030=EDGE_LOOP('',(#260871,#260872,#260873,#260874)); #48031=EDGE_LOOP('',(#260875,#260876,#260877,#260878)); #48032=EDGE_LOOP('',(#260879,#260880,#260881,#260882)); #48033=EDGE_LOOP('',(#260883,#260884,#260885,#260886)); #48034=EDGE_LOOP('',(#260887,#260888,#260889,#260890)); #48035=EDGE_LOOP('',(#260891,#260892,#260893,#260894)); #48036=EDGE_LOOP('',(#260895,#260896,#260897,#260898)); #48037=EDGE_LOOP('',(#260899,#260900,#260901,#260902)); #48038=EDGE_LOOP('',(#260903,#260904,#260905,#260906)); #48039=EDGE_LOOP('',(#260907,#260908,#260909,#260910,#260911,#260912,#260913, #260914,#260915,#260916,#260917,#260918,#260919,#260920,#260921,#260922, #260923,#260924,#260925)); #48040=EDGE_LOOP('',(#260926,#260927,#260928,#260929,#260930,#260931,#260932, #260933,#260934,#260935,#260936,#260937,#260938,#260939,#260940,#260941, #260942,#260943,#260944)); #48041=EDGE_LOOP('',(#260945,#260946,#260947,#260948)); #48042=EDGE_LOOP('',(#260949,#260950,#260951,#260952)); #48043=EDGE_LOOP('',(#260953,#260954,#260955,#260956)); #48044=EDGE_LOOP('',(#260957,#260958,#260959,#260960)); #48045=EDGE_LOOP('',(#260961,#260962,#260963,#260964)); #48046=EDGE_LOOP('',(#260965,#260966,#260967,#260968)); #48047=EDGE_LOOP('',(#260969,#260970,#260971,#260972)); #48048=EDGE_LOOP('',(#260973,#260974,#260975,#260976)); #48049=EDGE_LOOP('',(#260977,#260978,#260979,#260980)); #48050=EDGE_LOOP('',(#260981,#260982,#260983,#260984)); #48051=EDGE_LOOP('',(#260985,#260986,#260987,#260988)); #48052=EDGE_LOOP('',(#260989,#260990,#260991,#260992)); #48053=EDGE_LOOP('',(#260993,#260994,#260995,#260996)); #48054=EDGE_LOOP('',(#260997,#260998,#260999,#261000)); #48055=EDGE_LOOP('',(#261001,#261002,#261003,#261004)); #48056=EDGE_LOOP('',(#261005,#261006,#261007,#261008)); #48057=EDGE_LOOP('',(#261009,#261010,#261011,#261012)); #48058=EDGE_LOOP('',(#261013,#261014,#261015,#261016)); #48059=EDGE_LOOP('',(#261017,#261018,#261019,#261020)); #48060=EDGE_LOOP('',(#261021,#261022,#261023,#261024)); #48061=EDGE_LOOP('',(#261025,#261026,#261027,#261028)); #48062=EDGE_LOOP('',(#261029,#261030,#261031,#261032)); #48063=EDGE_LOOP('',(#261033,#261034,#261035,#261036)); #48064=EDGE_LOOP('',(#261037,#261038,#261039,#261040)); #48065=EDGE_LOOP('',(#261041,#261042,#261043,#261044)); #48066=EDGE_LOOP('',(#261045,#261046,#261047,#261048)); #48067=EDGE_LOOP('',(#261049,#261050,#261051,#261052)); #48068=EDGE_LOOP('',(#261053,#261054,#261055,#261056)); #48069=EDGE_LOOP('',(#261057,#261058,#261059,#261060)); #48070=EDGE_LOOP('',(#261061,#261062,#261063,#261064)); #48071=EDGE_LOOP('',(#261065,#261066,#261067,#261068)); #48072=EDGE_LOOP('',(#261069,#261070,#261071,#261072)); #48073=EDGE_LOOP('',(#261073,#261074,#261075,#261076)); #48074=EDGE_LOOP('',(#261077,#261078,#261079,#261080)); #48075=EDGE_LOOP('',(#261081,#261082,#261083,#261084)); #48076=EDGE_LOOP('',(#261085,#261086,#261087,#261088)); #48077=EDGE_LOOP('',(#261089,#261090,#261091,#261092)); #48078=EDGE_LOOP('',(#261093,#261094,#261095,#261096)); #48079=EDGE_LOOP('',(#261097,#261098,#261099,#261100)); #48080=EDGE_LOOP('',(#261101,#261102,#261103,#261104)); #48081=EDGE_LOOP('',(#261105,#261106,#261107,#261108)); #48082=EDGE_LOOP('',(#261109,#261110,#261111,#261112)); #48083=EDGE_LOOP('',(#261113,#261114,#261115,#261116)); #48084=EDGE_LOOP('',(#261117,#261118,#261119,#261120)); #48085=EDGE_LOOP('',(#261121,#261122,#261123,#261124)); #48086=EDGE_LOOP('',(#261125,#261126,#261127,#261128)); #48087=EDGE_LOOP('',(#261129,#261130,#261131,#261132)); #48088=EDGE_LOOP('',(#261133,#261134,#261135,#261136)); #48089=EDGE_LOOP('',(#261137,#261138,#261139,#261140)); #48090=EDGE_LOOP('',(#261141,#261142,#261143,#261144)); #48091=EDGE_LOOP('',(#261145,#261146,#261147,#261148)); #48092=EDGE_LOOP('',(#261149,#261150,#261151,#261152)); #48093=EDGE_LOOP('',(#261153,#261154,#261155,#261156)); #48094=EDGE_LOOP('',(#261157,#261158,#261159,#261160)); #48095=EDGE_LOOP('',(#261161,#261162,#261163,#261164)); #48096=EDGE_LOOP('',(#261165,#261166,#261167,#261168)); #48097=EDGE_LOOP('',(#261169,#261170,#261171,#261172)); #48098=EDGE_LOOP('',(#261173,#261174,#261175,#261176)); #48099=EDGE_LOOP('',(#261177,#261178,#261179,#261180)); #48100=EDGE_LOOP('',(#261181,#261182,#261183,#261184)); #48101=EDGE_LOOP('',(#261185,#261186,#261187,#261188)); #48102=EDGE_LOOP('',(#261189,#261190,#261191,#261192)); #48103=EDGE_LOOP('',(#261193,#261194,#261195,#261196)); #48104=EDGE_LOOP('',(#261197,#261198,#261199,#261200)); #48105=EDGE_LOOP('',(#261201,#261202,#261203,#261204)); #48106=EDGE_LOOP('',(#261205,#261206,#261207,#261208)); #48107=EDGE_LOOP('',(#261209,#261210,#261211,#261212)); #48108=EDGE_LOOP('',(#261213,#261214,#261215,#261216)); #48109=EDGE_LOOP('',(#261217,#261218,#261219,#261220)); #48110=EDGE_LOOP('',(#261221,#261222,#261223,#261224)); #48111=EDGE_LOOP('',(#261225,#261226,#261227,#261228)); #48112=EDGE_LOOP('',(#261229,#261230,#261231,#261232)); #48113=EDGE_LOOP('',(#261233,#261234,#261235,#261236)); #48114=EDGE_LOOP('',(#261237,#261238,#261239,#261240)); #48115=EDGE_LOOP('',(#261241,#261242,#261243,#261244)); #48116=EDGE_LOOP('',(#261245,#261246,#261247,#261248)); #48117=EDGE_LOOP('',(#261249,#261250,#261251,#261252)); #48118=EDGE_LOOP('',(#261253,#261254,#261255,#261256)); #48119=EDGE_LOOP('',(#261257,#261258,#261259,#261260)); #48120=EDGE_LOOP('',(#261261,#261262,#261263,#261264)); #48121=EDGE_LOOP('',(#261265,#261266,#261267,#261268)); #48122=EDGE_LOOP('',(#261269,#261270,#261271,#261272)); #48123=EDGE_LOOP('',(#261273,#261274,#261275,#261276)); #48124=EDGE_LOOP('',(#261277,#261278,#261279,#261280)); #48125=EDGE_LOOP('',(#261281,#261282,#261283,#261284)); #48126=EDGE_LOOP('',(#261285,#261286,#261287,#261288)); #48127=EDGE_LOOP('',(#261289,#261290,#261291,#261292)); #48128=EDGE_LOOP('',(#261293,#261294,#261295,#261296)); #48129=EDGE_LOOP('',(#261297,#261298,#261299,#261300)); #48130=EDGE_LOOP('',(#261301,#261302,#261303,#261304)); #48131=EDGE_LOOP('',(#261305,#261306,#261307,#261308)); #48132=EDGE_LOOP('',(#261309,#261310,#261311,#261312)); #48133=EDGE_LOOP('',(#261313,#261314,#261315,#261316)); #48134=EDGE_LOOP('',(#261317,#261318,#261319,#261320)); #48135=EDGE_LOOP('',(#261321,#261322,#261323,#261324)); #48136=EDGE_LOOP('',(#261325,#261326,#261327,#261328)); #48137=EDGE_LOOP('',(#261329,#261330,#261331,#261332)); #48138=EDGE_LOOP('',(#261333,#261334,#261335,#261336)); #48139=EDGE_LOOP('',(#261337,#261338,#261339,#261340)); #48140=EDGE_LOOP('',(#261341,#261342,#261343,#261344)); #48141=EDGE_LOOP('',(#261345,#261346,#261347,#261348)); #48142=EDGE_LOOP('',(#261349,#261350,#261351,#261352)); #48143=EDGE_LOOP('',(#261353,#261354,#261355,#261356)); #48144=EDGE_LOOP('',(#261357,#261358,#261359,#261360)); #48145=EDGE_LOOP('',(#261361,#261362,#261363,#261364)); #48146=EDGE_LOOP('',(#261365,#261366,#261367,#261368)); #48147=EDGE_LOOP('',(#261369,#261370,#261371,#261372)); #48148=EDGE_LOOP('',(#261373,#261374,#261375,#261376)); #48149=EDGE_LOOP('',(#261377,#261378,#261379,#261380)); #48150=EDGE_LOOP('',(#261381,#261382,#261383,#261384)); #48151=EDGE_LOOP('',(#261385,#261386,#261387,#261388)); #48152=EDGE_LOOP('',(#261389,#261390,#261391,#261392)); #48153=EDGE_LOOP('',(#261393,#261394,#261395,#261396)); #48154=EDGE_LOOP('',(#261397,#261398,#261399,#261400)); #48155=EDGE_LOOP('',(#261401,#261402,#261403,#261404)); #48156=EDGE_LOOP('',(#261405,#261406,#261407,#261408)); #48157=EDGE_LOOP('',(#261409,#261410,#261411,#261412)); #48158=EDGE_LOOP('',(#261413,#261414,#261415,#261416)); #48159=EDGE_LOOP('',(#261417,#261418,#261419,#261420)); #48160=EDGE_LOOP('',(#261421,#261422,#261423,#261424)); #48161=EDGE_LOOP('',(#261425,#261426,#261427,#261428)); #48162=EDGE_LOOP('',(#261429,#261430,#261431,#261432)); #48163=EDGE_LOOP('',(#261433,#261434,#261435,#261436)); #48164=EDGE_LOOP('',(#261437,#261438,#261439,#261440)); #48165=EDGE_LOOP('',(#261441,#261442,#261443,#261444)); #48166=EDGE_LOOP('',(#261445,#261446,#261447,#261448)); #48167=EDGE_LOOP('',(#261449,#261450,#261451,#261452)); #48168=EDGE_LOOP('',(#261453,#261454,#261455,#261456)); #48169=EDGE_LOOP('',(#261457,#261458,#261459,#261460)); #48170=EDGE_LOOP('',(#261461,#261462,#261463,#261464)); #48171=EDGE_LOOP('',(#261465,#261466,#261467,#261468)); #48172=EDGE_LOOP('',(#261469,#261470,#261471,#261472)); #48173=EDGE_LOOP('',(#261473,#261474,#261475,#261476)); #48174=EDGE_LOOP('',(#261477,#261478,#261479,#261480)); #48175=EDGE_LOOP('',(#261481,#261482,#261483,#261484)); #48176=EDGE_LOOP('',(#261485,#261486,#261487,#261488)); #48177=EDGE_LOOP('',(#261489,#261490,#261491,#261492)); #48178=EDGE_LOOP('',(#261493,#261494,#261495,#261496)); #48179=EDGE_LOOP('',(#261497,#261498,#261499,#261500)); #48180=EDGE_LOOP('',(#261501,#261502,#261503,#261504)); #48181=EDGE_LOOP('',(#261505,#261506,#261507,#261508)); #48182=EDGE_LOOP('',(#261509,#261510,#261511,#261512)); #48183=EDGE_LOOP('',(#261513,#261514,#261515,#261516)); #48184=EDGE_LOOP('',(#261517,#261518,#261519,#261520)); #48185=EDGE_LOOP('',(#261521,#261522,#261523,#261524)); #48186=EDGE_LOOP('',(#261525,#261526,#261527,#261528)); #48187=EDGE_LOOP('',(#261529,#261530,#261531,#261532)); #48188=EDGE_LOOP('',(#261533,#261534,#261535,#261536)); #48189=EDGE_LOOP('',(#261537,#261538,#261539,#261540)); #48190=EDGE_LOOP('',(#261541,#261542,#261543,#261544)); #48191=EDGE_LOOP('',(#261545,#261546,#261547,#261548)); #48192=EDGE_LOOP('',(#261549,#261550,#261551,#261552)); #48193=EDGE_LOOP('',(#261553,#261554,#261555,#261556)); #48194=EDGE_LOOP('',(#261557,#261558,#261559,#261560)); #48195=EDGE_LOOP('',(#261561,#261562,#261563,#261564)); #48196=EDGE_LOOP('',(#261565,#261566,#261567,#261568)); #48197=EDGE_LOOP('',(#261569,#261570,#261571,#261572)); #48198=EDGE_LOOP('',(#261573,#261574,#261575,#261576)); #48199=EDGE_LOOP('',(#261577,#261578,#261579,#261580)); #48200=EDGE_LOOP('',(#261581,#261582,#261583,#261584)); #48201=EDGE_LOOP('',(#261585,#261586,#261587,#261588)); #48202=EDGE_LOOP('',(#261589,#261590,#261591,#261592)); #48203=EDGE_LOOP('',(#261593,#261594,#261595,#261596)); #48204=EDGE_LOOP('',(#261597,#261598,#261599,#261600)); #48205=EDGE_LOOP('',(#261601,#261602,#261603,#261604)); #48206=EDGE_LOOP('',(#261605,#261606,#261607,#261608)); #48207=EDGE_LOOP('',(#261609,#261610,#261611,#261612)); #48208=EDGE_LOOP('',(#261613,#261614,#261615,#261616)); #48209=EDGE_LOOP('',(#261617,#261618,#261619,#261620)); #48210=EDGE_LOOP('',(#261621,#261622,#261623,#261624)); #48211=EDGE_LOOP('',(#261625,#261626,#261627,#261628)); #48212=EDGE_LOOP('',(#261629,#261630,#261631,#261632)); #48213=EDGE_LOOP('',(#261633,#261634,#261635,#261636)); #48214=EDGE_LOOP('',(#261637,#261638,#261639,#261640)); #48215=EDGE_LOOP('',(#261641,#261642,#261643,#261644)); #48216=EDGE_LOOP('',(#261645,#261646,#261647,#261648)); #48217=EDGE_LOOP('',(#261649,#261650,#261651,#261652)); #48218=EDGE_LOOP('',(#261653,#261654,#261655,#261656)); #48219=EDGE_LOOP('',(#261657,#261658,#261659,#261660)); #48220=EDGE_LOOP('',(#261661,#261662,#261663,#261664)); #48221=EDGE_LOOP('',(#261665,#261666,#261667,#261668)); #48222=EDGE_LOOP('',(#261669,#261670,#261671,#261672)); #48223=EDGE_LOOP('',(#261673,#261674,#261675,#261676)); #48224=EDGE_LOOP('',(#261677,#261678,#261679,#261680)); #48225=EDGE_LOOP('',(#261681,#261682,#261683,#261684)); #48226=EDGE_LOOP('',(#261685,#261686,#261687,#261688)); #48227=EDGE_LOOP('',(#261689,#261690,#261691,#261692)); #48228=EDGE_LOOP('',(#261693,#261694,#261695,#261696)); #48229=EDGE_LOOP('',(#261697,#261698,#261699,#261700)); #48230=EDGE_LOOP('',(#261701,#261702,#261703,#261704)); #48231=EDGE_LOOP('',(#261705,#261706,#261707,#261708)); #48232=EDGE_LOOP('',(#261709,#261710,#261711,#261712)); #48233=EDGE_LOOP('',(#261713,#261714,#261715,#261716)); #48234=EDGE_LOOP('',(#261717,#261718,#261719,#261720)); #48235=EDGE_LOOP('',(#261721,#261722,#261723,#261724)); #48236=EDGE_LOOP('',(#261725,#261726,#261727,#261728)); #48237=EDGE_LOOP('',(#261729,#261730,#261731,#261732)); #48238=EDGE_LOOP('',(#261733,#261734,#261735,#261736)); #48239=EDGE_LOOP('',(#261737,#261738,#261739,#261740)); #48240=EDGE_LOOP('',(#261741,#261742,#261743,#261744)); #48241=EDGE_LOOP('',(#261745,#261746,#261747,#261748)); #48242=EDGE_LOOP('',(#261749,#261750,#261751,#261752)); #48243=EDGE_LOOP('',(#261753,#261754,#261755,#261756)); #48244=EDGE_LOOP('',(#261757,#261758,#261759,#261760)); #48245=EDGE_LOOP('',(#261761,#261762,#261763,#261764)); #48246=EDGE_LOOP('',(#261765,#261766,#261767,#261768)); #48247=EDGE_LOOP('',(#261769,#261770,#261771,#261772)); #48248=EDGE_LOOP('',(#261773,#261774,#261775,#261776)); #48249=EDGE_LOOP('',(#261777,#261778,#261779,#261780)); #48250=EDGE_LOOP('',(#261781,#261782,#261783,#261784)); #48251=EDGE_LOOP('',(#261785,#261786,#261787,#261788)); #48252=EDGE_LOOP('',(#261789,#261790,#261791,#261792)); #48253=EDGE_LOOP('',(#261793,#261794,#261795,#261796)); #48254=EDGE_LOOP('',(#261797,#261798,#261799,#261800)); #48255=EDGE_LOOP('',(#261801,#261802,#261803,#261804)); #48256=EDGE_LOOP('',(#261805,#261806,#261807,#261808)); #48257=EDGE_LOOP('',(#261809,#261810,#261811,#261812)); #48258=EDGE_LOOP('',(#261813,#261814,#261815,#261816)); #48259=EDGE_LOOP('',(#261817,#261818,#261819,#261820)); #48260=EDGE_LOOP('',(#261821,#261822,#261823,#261824)); #48261=EDGE_LOOP('',(#261825,#261826,#261827,#261828)); #48262=EDGE_LOOP('',(#261829,#261830,#261831,#261832)); #48263=EDGE_LOOP('',(#261833,#261834,#261835,#261836)); #48264=EDGE_LOOP('',(#261837,#261838,#261839,#261840)); #48265=EDGE_LOOP('',(#261841,#261842,#261843,#261844)); #48266=EDGE_LOOP('',(#261845,#261846,#261847,#261848)); #48267=EDGE_LOOP('',(#261849,#261850,#261851,#261852)); #48268=EDGE_LOOP('',(#261853,#261854,#261855,#261856)); #48269=EDGE_LOOP('',(#261857,#261858,#261859,#261860)); #48270=EDGE_LOOP('',(#261861,#261862,#261863,#261864)); #48271=EDGE_LOOP('',(#261865,#261866,#261867,#261868)); #48272=EDGE_LOOP('',(#261869,#261870,#261871,#261872)); #48273=EDGE_LOOP('',(#261873,#261874,#261875,#261876)); #48274=EDGE_LOOP('',(#261877,#261878,#261879,#261880)); #48275=EDGE_LOOP('',(#261881,#261882,#261883,#261884)); #48276=EDGE_LOOP('',(#261885,#261886,#261887,#261888)); #48277=EDGE_LOOP('',(#261889,#261890,#261891,#261892)); #48278=EDGE_LOOP('',(#261893,#261894,#261895,#261896)); #48279=EDGE_LOOP('',(#261897,#261898,#261899,#261900)); #48280=EDGE_LOOP('',(#261901,#261902,#261903,#261904)); #48281=EDGE_LOOP('',(#261905,#261906,#261907,#261908)); #48282=EDGE_LOOP('',(#261909,#261910,#261911,#261912)); #48283=EDGE_LOOP('',(#261913,#261914,#261915,#261916)); #48284=EDGE_LOOP('',(#261917,#261918,#261919,#261920)); #48285=EDGE_LOOP('',(#261921,#261922,#261923,#261924)); #48286=EDGE_LOOP('',(#261925,#261926,#261927,#261928)); #48287=EDGE_LOOP('',(#261929,#261930,#261931,#261932)); #48288=EDGE_LOOP('',(#261933,#261934,#261935,#261936)); #48289=EDGE_LOOP('',(#261937,#261938,#261939,#261940)); #48290=EDGE_LOOP('',(#261941,#261942,#261943,#261944)); #48291=EDGE_LOOP('',(#261945,#261946,#261947,#261948)); #48292=EDGE_LOOP('',(#261949,#261950,#261951,#261952)); #48293=EDGE_LOOP('',(#261953,#261954,#261955,#261956)); #48294=EDGE_LOOP('',(#261957,#261958,#261959,#261960)); #48295=EDGE_LOOP('',(#261961,#261962,#261963,#261964)); #48296=EDGE_LOOP('',(#261965,#261966,#261967,#261968)); #48297=EDGE_LOOP('',(#261969,#261970,#261971,#261972)); #48298=EDGE_LOOP('',(#261973,#261974,#261975,#261976)); #48299=EDGE_LOOP('',(#261977,#261978,#261979,#261980)); #48300=EDGE_LOOP('',(#261981,#261982,#261983,#261984)); #48301=EDGE_LOOP('',(#261985,#261986,#261987,#261988)); #48302=EDGE_LOOP('',(#261989,#261990,#261991,#261992)); #48303=EDGE_LOOP('',(#261993,#261994,#261995,#261996)); #48304=EDGE_LOOP('',(#261997,#261998,#261999,#262000)); #48305=EDGE_LOOP('',(#262001,#262002,#262003,#262004)); #48306=EDGE_LOOP('',(#262005,#262006,#262007,#262008)); #48307=EDGE_LOOP('',(#262009,#262010,#262011,#262012)); #48308=EDGE_LOOP('',(#262013,#262014,#262015,#262016)); #48309=EDGE_LOOP('',(#262017,#262018,#262019,#262020)); #48310=EDGE_LOOP('',(#262021,#262022,#262023,#262024)); #48311=EDGE_LOOP('',(#262025,#262026,#262027,#262028)); #48312=EDGE_LOOP('',(#262029,#262030,#262031,#262032)); #48313=EDGE_LOOP('',(#262033,#262034,#262035,#262036)); #48314=EDGE_LOOP('',(#262037,#262038,#262039,#262040)); #48315=EDGE_LOOP('',(#262041,#262042,#262043,#262044)); #48316=EDGE_LOOP('',(#262045,#262046,#262047,#262048)); #48317=EDGE_LOOP('',(#262049,#262050,#262051,#262052)); #48318=EDGE_LOOP('',(#262053,#262054,#262055,#262056)); #48319=EDGE_LOOP('',(#262057,#262058,#262059,#262060)); #48320=EDGE_LOOP('',(#262061,#262062,#262063,#262064)); #48321=EDGE_LOOP('',(#262065,#262066,#262067,#262068)); #48322=EDGE_LOOP('',(#262069,#262070,#262071,#262072)); #48323=EDGE_LOOP('',(#262073,#262074,#262075,#262076)); #48324=EDGE_LOOP('',(#262077,#262078,#262079,#262080)); #48325=EDGE_LOOP('',(#262081,#262082,#262083,#262084)); #48326=EDGE_LOOP('',(#262085,#262086,#262087,#262088)); #48327=EDGE_LOOP('',(#262089,#262090,#262091,#262092)); #48328=EDGE_LOOP('',(#262093,#262094,#262095,#262096)); #48329=EDGE_LOOP('',(#262097,#262098,#262099,#262100)); #48330=EDGE_LOOP('',(#262101,#262102,#262103,#262104)); #48331=EDGE_LOOP('',(#262105,#262106,#262107,#262108)); #48332=EDGE_LOOP('',(#262109,#262110,#262111,#262112)); #48333=EDGE_LOOP('',(#262113,#262114,#262115,#262116)); #48334=EDGE_LOOP('',(#262117,#262118,#262119,#262120)); #48335=EDGE_LOOP('',(#262121,#262122,#262123,#262124)); #48336=EDGE_LOOP('',(#262125,#262126,#262127,#262128)); #48337=EDGE_LOOP('',(#262129,#262130,#262131,#262132)); #48338=EDGE_LOOP('',(#262133,#262134,#262135,#262136)); #48339=EDGE_LOOP('',(#262137,#262138,#262139,#262140)); #48340=EDGE_LOOP('',(#262141,#262142,#262143,#262144)); #48341=EDGE_LOOP('',(#262145,#262146,#262147,#262148)); #48342=EDGE_LOOP('',(#262149,#262150,#262151,#262152)); #48343=EDGE_LOOP('',(#262153,#262154,#262155,#262156)); #48344=EDGE_LOOP('',(#262157,#262158,#262159,#262160)); #48345=EDGE_LOOP('',(#262161,#262162,#262163,#262164)); #48346=EDGE_LOOP('',(#262165,#262166,#262167,#262168)); #48347=EDGE_LOOP('',(#262169,#262170,#262171,#262172)); #48348=EDGE_LOOP('',(#262173,#262174,#262175,#262176)); #48349=EDGE_LOOP('',(#262177,#262178,#262179,#262180)); #48350=EDGE_LOOP('',(#262181,#262182,#262183,#262184)); #48351=EDGE_LOOP('',(#262185,#262186,#262187,#262188)); #48352=EDGE_LOOP('',(#262189,#262190,#262191,#262192)); #48353=EDGE_LOOP('',(#262193,#262194,#262195,#262196)); #48354=EDGE_LOOP('',(#262197,#262198,#262199,#262200)); #48355=EDGE_LOOP('',(#262201,#262202,#262203,#262204)); #48356=EDGE_LOOP('',(#262205,#262206,#262207,#262208)); #48357=EDGE_LOOP('',(#262209,#262210,#262211,#262212)); #48358=EDGE_LOOP('',(#262213,#262214,#262215,#262216)); #48359=EDGE_LOOP('',(#262217,#262218,#262219,#262220)); #48360=EDGE_LOOP('',(#262221,#262222,#262223,#262224)); #48361=EDGE_LOOP('',(#262225,#262226,#262227,#262228)); #48362=EDGE_LOOP('',(#262229,#262230,#262231,#262232)); #48363=EDGE_LOOP('',(#262233,#262234,#262235,#262236)); #48364=EDGE_LOOP('',(#262237,#262238,#262239,#262240)); #48365=EDGE_LOOP('',(#262241,#262242,#262243,#262244)); #48366=EDGE_LOOP('',(#262245,#262246,#262247,#262248)); #48367=EDGE_LOOP('',(#262249,#262250,#262251,#262252)); #48368=EDGE_LOOP('',(#262253,#262254,#262255,#262256)); #48369=EDGE_LOOP('',(#262257,#262258,#262259,#262260)); #48370=EDGE_LOOP('',(#262261,#262262,#262263,#262264)); #48371=EDGE_LOOP('',(#262265,#262266,#262267,#262268)); #48372=EDGE_LOOP('',(#262269,#262270,#262271,#262272)); #48373=EDGE_LOOP('',(#262273,#262274,#262275,#262276)); #48374=EDGE_LOOP('',(#262277,#262278,#262279,#262280)); #48375=EDGE_LOOP('',(#262281,#262282,#262283,#262284)); #48376=EDGE_LOOP('',(#262285,#262286,#262287,#262288)); #48377=EDGE_LOOP('',(#262289,#262290,#262291,#262292)); #48378=EDGE_LOOP('',(#262293,#262294,#262295,#262296)); #48379=EDGE_LOOP('',(#262297,#262298,#262299,#262300)); #48380=EDGE_LOOP('',(#262301,#262302,#262303,#262304)); #48381=EDGE_LOOP('',(#262305,#262306,#262307,#262308)); #48382=EDGE_LOOP('',(#262309,#262310,#262311,#262312)); #48383=EDGE_LOOP('',(#262313,#262314,#262315,#262316)); #48384=EDGE_LOOP('',(#262317,#262318,#262319,#262320)); #48385=EDGE_LOOP('',(#262321,#262322,#262323,#262324)); #48386=EDGE_LOOP('',(#262325,#262326,#262327,#262328,#262329,#262330,#262331, #262332,#262333,#262334,#262335,#262336,#262337,#262338,#262339,#262340, #262341,#262342,#262343,#262344,#262345,#262346,#262347,#262348,#262349, #262350,#262351,#262352,#262353,#262354,#262355,#262356,#262357,#262358, #262359,#262360,#262361,#262362,#262363,#262364,#262365,#262366,#262367, #262368,#262369,#262370,#262371,#262372,#262373,#262374,#262375,#262376, #262377,#262378,#262379,#262380,#262381,#262382,#262383,#262384,#262385, #262386,#262387,#262388,#262389,#262390,#262391,#262392,#262393,#262394, #262395,#262396,#262397,#262398,#262399,#262400,#262401,#262402,#262403, #262404,#262405,#262406,#262407,#262408,#262409,#262410,#262411,#262412, #262413,#262414,#262415,#262416,#262417,#262418,#262419,#262420,#262421, #262422,#262423,#262424,#262425,#262426,#262427,#262428,#262429,#262430, #262431,#262432,#262433,#262434,#262435,#262436,#262437,#262438,#262439, #262440,#262441,#262442,#262443,#262444,#262445,#262446,#262447,#262448, #262449,#262450,#262451,#262452,#262453,#262454,#262455,#262456,#262457, #262458,#262459,#262460,#262461,#262462,#262463,#262464,#262465,#262466, #262467,#262468,#262469,#262470,#262471,#262472,#262473,#262474,#262475, #262476,#262477,#262478,#262479,#262480,#262481,#262482,#262483,#262484, #262485,#262486,#262487,#262488,#262489,#262490,#262491,#262492,#262493, #262494,#262495,#262496,#262497,#262498,#262499,#262500,#262501,#262502, #262503,#262504,#262505,#262506,#262507,#262508,#262509,#262510,#262511, #262512,#262513,#262514,#262515,#262516,#262517,#262518,#262519,#262520, #262521,#262522,#262523,#262524,#262525,#262526,#262527,#262528,#262529, #262530,#262531,#262532,#262533,#262534,#262535,#262536,#262537,#262538, #262539,#262540,#262541,#262542,#262543,#262544,#262545,#262546,#262547, #262548,#262549,#262550,#262551,#262552,#262553,#262554,#262555,#262556, #262557,#262558,#262559,#262560,#262561,#262562,#262563,#262564,#262565, #262566,#262567,#262568,#262569,#262570,#262571,#262572,#262573,#262574, #262575,#262576,#262577,#262578,#262579,#262580,#262581,#262582,#262583, #262584,#262585,#262586,#262587,#262588,#262589,#262590,#262591,#262592, #262593,#262594,#262595,#262596,#262597,#262598,#262599,#262600,#262601, #262602,#262603,#262604,#262605,#262606,#262607,#262608,#262609,#262610, #262611,#262612,#262613,#262614,#262615,#262616,#262617,#262618,#262619, #262620)); #48387=EDGE_LOOP('',(#262621)); #48388=EDGE_LOOP('',(#262622)); #48389=EDGE_LOOP('',(#262623)); #48390=EDGE_LOOP('',(#262624)); #48391=EDGE_LOOP('',(#262625)); #48392=EDGE_LOOP('',(#262626)); #48393=EDGE_LOOP('',(#262627)); #48394=EDGE_LOOP('',(#262628)); #48395=EDGE_LOOP('',(#262629)); #48396=EDGE_LOOP('',(#262630)); #48397=EDGE_LOOP('',(#262631)); #48398=EDGE_LOOP('',(#262632)); #48399=EDGE_LOOP('',(#262633)); #48400=EDGE_LOOP('',(#262634)); #48401=EDGE_LOOP('',(#262635)); #48402=EDGE_LOOP('',(#262636)); #48403=EDGE_LOOP('',(#262637)); #48404=EDGE_LOOP('',(#262638)); #48405=EDGE_LOOP('',(#262639)); #48406=EDGE_LOOP('',(#262640)); #48407=EDGE_LOOP('',(#262641)); #48408=EDGE_LOOP('',(#262642)); #48409=EDGE_LOOP('',(#262643)); #48410=EDGE_LOOP('',(#262644)); #48411=EDGE_LOOP('',(#262645)); #48412=EDGE_LOOP('',(#262646)); #48413=EDGE_LOOP('',(#262647)); #48414=EDGE_LOOP('',(#262648)); #48415=EDGE_LOOP('',(#262649)); #48416=EDGE_LOOP('',(#262650)); #48417=EDGE_LOOP('',(#262651)); #48418=EDGE_LOOP('',(#262652)); #48419=EDGE_LOOP('',(#262653)); #48420=EDGE_LOOP('',(#262654)); #48421=EDGE_LOOP('',(#262655)); #48422=EDGE_LOOP('',(#262656)); #48423=EDGE_LOOP('',(#262657)); #48424=EDGE_LOOP('',(#262658)); #48425=EDGE_LOOP('',(#262659)); #48426=EDGE_LOOP('',(#262660)); #48427=EDGE_LOOP('',(#262661)); #48428=EDGE_LOOP('',(#262662)); #48429=EDGE_LOOP('',(#262663)); #48430=EDGE_LOOP('',(#262664)); #48431=EDGE_LOOP('',(#262665)); #48432=EDGE_LOOP('',(#262666)); #48433=EDGE_LOOP('',(#262667)); #48434=EDGE_LOOP('',(#262668)); #48435=EDGE_LOOP('',(#262669)); #48436=EDGE_LOOP('',(#262670,#262671,#262672,#262673,#262674,#262675,#262676, #262677,#262678,#262679,#262680,#262681,#262682,#262683,#262684,#262685, #262686,#262687,#262688,#262689,#262690,#262691,#262692,#262693,#262694, #262695,#262696,#262697,#262698,#262699,#262700,#262701,#262702,#262703, #262704,#262705,#262706,#262707,#262708,#262709,#262710,#262711,#262712, #262713,#262714,#262715,#262716,#262717,#262718,#262719,#262720,#262721, #262722,#262723,#262724,#262725,#262726,#262727,#262728,#262729,#262730, #262731,#262732,#262733,#262734,#262735,#262736,#262737,#262738,#262739, #262740,#262741,#262742,#262743,#262744,#262745,#262746,#262747,#262748, #262749,#262750,#262751,#262752,#262753,#262754,#262755,#262756,#262757, #262758,#262759,#262760,#262761,#262762,#262763,#262764,#262765,#262766, #262767,#262768,#262769,#262770,#262771,#262772,#262773,#262774,#262775, #262776,#262777,#262778,#262779,#262780,#262781,#262782,#262783,#262784, #262785,#262786,#262787,#262788,#262789,#262790,#262791,#262792,#262793, #262794,#262795,#262796,#262797,#262798,#262799,#262800,#262801,#262802, #262803,#262804,#262805,#262806,#262807,#262808,#262809,#262810,#262811, #262812,#262813,#262814,#262815,#262816,#262817,#262818,#262819,#262820, #262821,#262822,#262823,#262824,#262825,#262826,#262827,#262828,#262829, #262830,#262831,#262832,#262833,#262834,#262835,#262836,#262837,#262838, #262839,#262840,#262841,#262842,#262843,#262844,#262845,#262846,#262847, #262848,#262849,#262850,#262851,#262852,#262853,#262854,#262855,#262856, #262857,#262858,#262859,#262860,#262861,#262862,#262863,#262864,#262865, #262866,#262867,#262868,#262869,#262870,#262871,#262872,#262873,#262874, #262875,#262876,#262877,#262878,#262879,#262880,#262881,#262882,#262883, #262884,#262885,#262886,#262887,#262888,#262889,#262890,#262891,#262892, #262893,#262894,#262895,#262896,#262897,#262898,#262899,#262900,#262901, #262902,#262903,#262904,#262905,#262906,#262907,#262908,#262909,#262910, #262911,#262912,#262913,#262914,#262915,#262916,#262917,#262918,#262919, #262920,#262921,#262922,#262923,#262924,#262925,#262926,#262927,#262928, #262929,#262930,#262931,#262932,#262933,#262934,#262935,#262936,#262937, #262938,#262939,#262940,#262941,#262942,#262943,#262944,#262945,#262946, #262947,#262948,#262949,#262950,#262951,#262952,#262953,#262954,#262955, #262956,#262957,#262958,#262959,#262960,#262961,#262962,#262963,#262964, #262965)); #48437=EDGE_LOOP('',(#262966)); #48438=EDGE_LOOP('',(#262967)); #48439=EDGE_LOOP('',(#262968)); #48440=EDGE_LOOP('',(#262969)); #48441=EDGE_LOOP('',(#262970)); #48442=EDGE_LOOP('',(#262971)); #48443=EDGE_LOOP('',(#262972)); #48444=EDGE_LOOP('',(#262973)); #48445=EDGE_LOOP('',(#262974)); #48446=EDGE_LOOP('',(#262975)); #48447=EDGE_LOOP('',(#262976)); #48448=EDGE_LOOP('',(#262977)); #48449=EDGE_LOOP('',(#262978)); #48450=EDGE_LOOP('',(#262979)); #48451=EDGE_LOOP('',(#262980)); #48452=EDGE_LOOP('',(#262981)); #48453=EDGE_LOOP('',(#262982)); #48454=EDGE_LOOP('',(#262983)); #48455=EDGE_LOOP('',(#262984)); #48456=EDGE_LOOP('',(#262985)); #48457=EDGE_LOOP('',(#262986)); #48458=EDGE_LOOP('',(#262987)); #48459=EDGE_LOOP('',(#262988)); #48460=EDGE_LOOP('',(#262989)); #48461=EDGE_LOOP('',(#262990)); #48462=EDGE_LOOP('',(#262991)); #48463=EDGE_LOOP('',(#262992)); #48464=EDGE_LOOP('',(#262993)); #48465=EDGE_LOOP('',(#262994)); #48466=EDGE_LOOP('',(#262995)); #48467=EDGE_LOOP('',(#262996)); #48468=EDGE_LOOP('',(#262997)); #48469=EDGE_LOOP('',(#262998)); #48470=EDGE_LOOP('',(#262999)); #48471=EDGE_LOOP('',(#263000)); #48472=EDGE_LOOP('',(#263001)); #48473=EDGE_LOOP('',(#263002)); #48474=EDGE_LOOP('',(#263003)); #48475=EDGE_LOOP('',(#263004)); #48476=EDGE_LOOP('',(#263005)); #48477=EDGE_LOOP('',(#263006)); #48478=EDGE_LOOP('',(#263007)); #48479=EDGE_LOOP('',(#263008)); #48480=EDGE_LOOP('',(#263009)); #48481=EDGE_LOOP('',(#263010)); #48482=EDGE_LOOP('',(#263011)); #48483=EDGE_LOOP('',(#263012)); #48484=EDGE_LOOP('',(#263013)); #48485=EDGE_LOOP('',(#263014)); #48486=EDGE_LOOP('',(#263015,#263016,#263017,#263018)); #48487=EDGE_LOOP('',(#263019,#263020,#263021,#263022)); #48488=EDGE_LOOP('',(#263023,#263024,#263025,#263026)); #48489=EDGE_LOOP('',(#263027,#263028,#263029,#263030)); #48490=EDGE_LOOP('',(#263031,#263032,#263033,#263034)); #48491=EDGE_LOOP('',(#263035,#263036,#263037,#263038)); #48492=EDGE_LOOP('',(#263039,#263040,#263041,#263042)); #48493=EDGE_LOOP('',(#263043,#263044,#263045,#263046)); #48494=EDGE_LOOP('',(#263047,#263048,#263049,#263050)); #48495=EDGE_LOOP('',(#263051,#263052,#263053,#263054)); #48496=EDGE_LOOP('',(#263055,#263056,#263057,#263058)); #48497=EDGE_LOOP('',(#263059,#263060,#263061,#263062)); #48498=EDGE_LOOP('',(#263063,#263064,#263065,#263066)); #48499=EDGE_LOOP('',(#263067,#263068,#263069,#263070)); #48500=EDGE_LOOP('',(#263071,#263072,#263073,#263074)); #48501=EDGE_LOOP('',(#263075,#263076,#263077,#263078)); #48502=EDGE_LOOP('',(#263079,#263080,#263081,#263082)); #48503=EDGE_LOOP('',(#263083,#263084,#263085,#263086)); #48504=EDGE_LOOP('',(#263087,#263088,#263089,#263090)); #48505=EDGE_LOOP('',(#263091,#263092,#263093,#263094)); #48506=EDGE_LOOP('',(#263095,#263096,#263097,#263098)); #48507=EDGE_LOOP('',(#263099,#263100,#263101,#263102,#263103,#263104,#263105, #263106,#263107,#263108,#263109,#263110,#263111,#263112,#263113,#263114, #263115,#263116,#263117,#263118,#263119)); #48508=EDGE_LOOP('',(#263120,#263121,#263122,#263123,#263124,#263125,#263126, #263127,#263128,#263129,#263130,#263131,#263132,#263133,#263134,#263135, #263136,#263137,#263138,#263139,#263140)); #48509=EDGE_LOOP('',(#263141,#263142,#263143,#263144)); #48510=EDGE_LOOP('',(#263145,#263146,#263147,#263148)); #48511=EDGE_LOOP('',(#263149,#263150,#263151,#263152)); #48512=EDGE_LOOP('',(#263153,#263154,#263155,#263156)); #48513=EDGE_LOOP('',(#263157,#263158,#263159,#263160)); #48514=EDGE_LOOP('',(#263161,#263162,#263163,#263164)); #48515=EDGE_LOOP('',(#263165,#263166,#263167,#263168)); #48516=EDGE_LOOP('',(#263169,#263170,#263171,#263172)); #48517=EDGE_LOOP('',(#263173,#263174,#263175,#263176)); #48518=EDGE_LOOP('',(#263177,#263178,#263179,#263180)); #48519=EDGE_LOOP('',(#263181,#263182,#263183,#263184)); #48520=EDGE_LOOP('',(#263185,#263186,#263187,#263188)); #48521=EDGE_LOOP('',(#263189,#263190,#263191,#263192)); #48522=EDGE_LOOP('',(#263193,#263194,#263195,#263196)); #48523=EDGE_LOOP('',(#263197,#263198,#263199,#263200)); #48524=EDGE_LOOP('',(#263201,#263202,#263203,#263204)); #48525=EDGE_LOOP('',(#263205,#263206,#263207,#263208)); #48526=EDGE_LOOP('',(#263209,#263210,#263211,#263212)); #48527=EDGE_LOOP('',(#263213,#263214,#263215,#263216)); #48528=EDGE_LOOP('',(#263217,#263218,#263219,#263220)); #48529=EDGE_LOOP('',(#263221,#263222,#263223,#263224)); #48530=EDGE_LOOP('',(#263225,#263226,#263227,#263228,#263229,#263230,#263231, #263232,#263233,#263234,#263235,#263236,#263237,#263238,#263239,#263240, #263241,#263242,#263243,#263244,#263245)); #48531=EDGE_LOOP('',(#263246,#263247,#263248,#263249,#263250,#263251,#263252, #263253,#263254,#263255,#263256,#263257,#263258,#263259,#263260,#263261, #263262,#263263,#263264,#263265,#263266)); #48532=EDGE_LOOP('',(#263267,#263268,#263269,#263270)); #48533=EDGE_LOOP('',(#263271,#263272,#263273,#263274)); #48534=EDGE_LOOP('',(#263275,#263276,#263277,#263278)); #48535=EDGE_LOOP('',(#263279,#263280,#263281,#263282)); #48536=EDGE_LOOP('',(#263283,#263284,#263285,#263286)); #48537=EDGE_LOOP('',(#263287,#263288,#263289,#263290)); #48538=EDGE_LOOP('',(#263291,#263292,#263293,#263294)); #48539=EDGE_LOOP('',(#263295,#263296,#263297,#263298)); #48540=EDGE_LOOP('',(#263299,#263300,#263301,#263302)); #48541=EDGE_LOOP('',(#263303,#263304,#263305,#263306)); #48542=EDGE_LOOP('',(#263307,#263308,#263309,#263310)); #48543=EDGE_LOOP('',(#263311,#263312,#263313,#263314)); #48544=EDGE_LOOP('',(#263315,#263316,#263317,#263318)); #48545=EDGE_LOOP('',(#263319,#263320,#263321,#263322)); #48546=EDGE_LOOP('',(#263323,#263324,#263325,#263326)); #48547=EDGE_LOOP('',(#263327,#263328,#263329,#263330)); #48548=EDGE_LOOP('',(#263331,#263332,#263333,#263334)); #48549=EDGE_LOOP('',(#263335,#263336,#263337,#263338)); #48550=EDGE_LOOP('',(#263339,#263340,#263341,#263342)); #48551=EDGE_LOOP('',(#263343,#263344,#263345,#263346,#263347,#263348,#263349, #263350,#263351,#263352,#263353,#263354,#263355,#263356,#263357,#263358, #263359,#263360,#263361)); #48552=EDGE_LOOP('',(#263362,#263363,#263364,#263365,#263366,#263367,#263368, #263369,#263370,#263371,#263372,#263373,#263374,#263375,#263376,#263377, #263378,#263379,#263380)); #48553=EDGE_LOOP('',(#263381,#263382,#263383,#263384)); #48554=EDGE_LOOP('',(#263385,#263386,#263387,#263388)); #48555=EDGE_LOOP('',(#263389,#263390,#263391,#263392)); #48556=EDGE_LOOP('',(#263393,#263394,#263395,#263396)); #48557=EDGE_LOOP('',(#263397,#263398,#263399,#263400)); #48558=EDGE_LOOP('',(#263401,#263402,#263403,#263404)); #48559=EDGE_LOOP('',(#263405,#263406,#263407,#263408)); #48560=EDGE_LOOP('',(#263409,#263410,#263411,#263412)); #48561=EDGE_LOOP('',(#263413,#263414,#263415,#263416)); #48562=EDGE_LOOP('',(#263417,#263418,#263419,#263420)); #48563=EDGE_LOOP('',(#263421,#263422,#263423,#263424)); #48564=EDGE_LOOP('',(#263425,#263426,#263427,#263428)); #48565=EDGE_LOOP('',(#263429,#263430,#263431,#263432)); #48566=EDGE_LOOP('',(#263433,#263434,#263435,#263436)); #48567=EDGE_LOOP('',(#263437,#263438,#263439,#263440)); #48568=EDGE_LOOP('',(#263441,#263442,#263443,#263444)); #48569=EDGE_LOOP('',(#263445,#263446,#263447,#263448)); #48570=EDGE_LOOP('',(#263449,#263450,#263451,#263452)); #48571=EDGE_LOOP('',(#263453,#263454,#263455,#263456)); #48572=EDGE_LOOP('',(#263457,#263458,#263459,#263460,#263461,#263462,#263463, #263464,#263465,#263466,#263467,#263468,#263469,#263470,#263471,#263472, #263473,#263474,#263475)); #48573=EDGE_LOOP('',(#263476,#263477,#263478,#263479,#263480,#263481,#263482, #263483,#263484,#263485,#263486,#263487,#263488,#263489,#263490,#263491, #263492,#263493,#263494)); #48574=EDGE_LOOP('',(#263495,#263496,#263497,#263498)); #48575=EDGE_LOOP('',(#263499,#263500,#263501,#263502)); #48576=EDGE_LOOP('',(#263503,#263504,#263505,#263506)); #48577=EDGE_LOOP('',(#263507,#263508,#263509,#263510)); #48578=EDGE_LOOP('',(#263511,#263512,#263513,#263514)); #48579=EDGE_LOOP('',(#263515,#263516,#263517,#263518)); #48580=EDGE_LOOP('',(#263519,#263520,#263521,#263522)); #48581=EDGE_LOOP('',(#263523,#263524,#263525,#263526)); #48582=EDGE_LOOP('',(#263527,#263528,#263529,#263530)); #48583=EDGE_LOOP('',(#263531,#263532,#263533,#263534)); #48584=EDGE_LOOP('',(#263535,#263536,#263537,#263538)); #48585=EDGE_LOOP('',(#263539,#263540,#263541,#263542)); #48586=EDGE_LOOP('',(#263543,#263544,#263545,#263546)); #48587=EDGE_LOOP('',(#263547,#263548,#263549,#263550)); #48588=EDGE_LOOP('',(#263551,#263552,#263553,#263554)); #48589=EDGE_LOOP('',(#263555,#263556,#263557,#263558)); #48590=EDGE_LOOP('',(#263559,#263560,#263561,#263562)); #48591=EDGE_LOOP('',(#263563,#263564,#263565,#263566)); #48592=EDGE_LOOP('',(#263567,#263568,#263569,#263570)); #48593=EDGE_LOOP('',(#263571,#263572,#263573,#263574,#263575,#263576,#263577, #263578,#263579,#263580,#263581,#263582,#263583,#263584,#263585,#263586, #263587,#263588,#263589)); #48594=EDGE_LOOP('',(#263590,#263591,#263592,#263593,#263594,#263595,#263596, #263597,#263598,#263599,#263600,#263601,#263602,#263603,#263604,#263605, #263606,#263607,#263608)); #48595=EDGE_LOOP('',(#263609,#263610,#263611,#263612)); #48596=EDGE_LOOP('',(#263613,#263614,#263615,#263616)); #48597=EDGE_LOOP('',(#263617,#263618,#263619,#263620)); #48598=EDGE_LOOP('',(#263621,#263622,#263623,#263624)); #48599=EDGE_LOOP('',(#263625,#263626,#263627,#263628)); #48600=EDGE_LOOP('',(#263629,#263630,#263631,#263632)); #48601=EDGE_LOOP('',(#263633,#263634,#263635,#263636)); #48602=EDGE_LOOP('',(#263637,#263638,#263639,#263640)); #48603=EDGE_LOOP('',(#263641,#263642,#263643,#263644)); #48604=EDGE_LOOP('',(#263645,#263646,#263647,#263648)); #48605=EDGE_LOOP('',(#263649,#263650,#263651,#263652)); #48606=EDGE_LOOP('',(#263653,#263654,#263655,#263656)); #48607=EDGE_LOOP('',(#263657,#263658,#263659,#263660)); #48608=EDGE_LOOP('',(#263661,#263662,#263663,#263664)); #48609=EDGE_LOOP('',(#263665,#263666,#263667,#263668)); #48610=EDGE_LOOP('',(#263669,#263670,#263671,#263672)); #48611=EDGE_LOOP('',(#263673,#263674,#263675,#263676)); #48612=EDGE_LOOP('',(#263677,#263678,#263679,#263680)); #48613=EDGE_LOOP('',(#263681,#263682,#263683,#263684)); #48614=EDGE_LOOP('',(#263685,#263686,#263687,#263688)); #48615=EDGE_LOOP('',(#263689,#263690,#263691,#263692)); #48616=EDGE_LOOP('',(#263693,#263694,#263695,#263696)); #48617=EDGE_LOOP('',(#263697,#263698,#263699,#263700)); #48618=EDGE_LOOP('',(#263701,#263702,#263703,#263704)); #48619=EDGE_LOOP('',(#263705,#263706,#263707,#263708)); #48620=EDGE_LOOP('',(#263709,#263710,#263711,#263712)); #48621=EDGE_LOOP('',(#263713,#263714,#263715,#263716)); #48622=EDGE_LOOP('',(#263717,#263718,#263719,#263720)); #48623=EDGE_LOOP('',(#263721,#263722,#263723,#263724)); #48624=EDGE_LOOP('',(#263725,#263726,#263727,#263728)); #48625=EDGE_LOOP('',(#263729,#263730,#263731,#263732)); #48626=EDGE_LOOP('',(#263733,#263734,#263735,#263736)); #48627=EDGE_LOOP('',(#263737,#263738,#263739,#263740)); #48628=EDGE_LOOP('',(#263741,#263742,#263743,#263744)); #48629=EDGE_LOOP('',(#263745,#263746,#263747,#263748)); #48630=EDGE_LOOP('',(#263749,#263750,#263751,#263752)); #48631=EDGE_LOOP('',(#263753,#263754,#263755,#263756)); #48632=EDGE_LOOP('',(#263757,#263758,#263759,#263760,#263761,#263762,#263763, #263764,#263765,#263766,#263767,#263768,#263769,#263770,#263771,#263772, #263773,#263774,#263775,#263776,#263777,#263778,#263779,#263780,#263781, #263782,#263783,#263784,#263785,#263786,#263787,#263788,#263789,#263790, #263791,#263792,#263793)); #48633=EDGE_LOOP('',(#263794,#263795,#263796,#263797,#263798,#263799,#263800, #263801,#263802,#263803,#263804,#263805,#263806,#263807,#263808,#263809, #263810,#263811,#263812,#263813,#263814,#263815,#263816,#263817,#263818, #263819,#263820,#263821,#263822,#263823,#263824,#263825,#263826,#263827, #263828,#263829,#263830)); #48634=EDGE_LOOP('',(#263831,#263832,#263833,#263834)); #48635=EDGE_LOOP('',(#263835,#263836,#263837,#263838)); #48636=EDGE_LOOP('',(#263839,#263840,#263841,#263842)); #48637=EDGE_LOOP('',(#263843,#263844,#263845,#263846)); #48638=EDGE_LOOP('',(#263847,#263848,#263849,#263850)); #48639=EDGE_LOOP('',(#263851,#263852,#263853,#263854)); #48640=EDGE_LOOP('',(#263855,#263856,#263857,#263858)); #48641=EDGE_LOOP('',(#263859,#263860,#263861,#263862)); #48642=EDGE_LOOP('',(#263863,#263864,#263865,#263866)); #48643=EDGE_LOOP('',(#263867,#263868,#263869,#263870)); #48644=EDGE_LOOP('',(#263871,#263872,#263873,#263874)); #48645=EDGE_LOOP('',(#263875,#263876,#263877,#263878)); #48646=EDGE_LOOP('',(#263879,#263880,#263881,#263882)); #48647=EDGE_LOOP('',(#263883,#263884,#263885,#263886)); #48648=EDGE_LOOP('',(#263887,#263888,#263889,#263890)); #48649=EDGE_LOOP('',(#263891,#263892,#263893,#263894)); #48650=EDGE_LOOP('',(#263895,#263896,#263897,#263898)); #48651=EDGE_LOOP('',(#263899,#263900,#263901,#263902)); #48652=EDGE_LOOP('',(#263903,#263904,#263905,#263906)); #48653=EDGE_LOOP('',(#263907,#263908,#263909,#263910)); #48654=EDGE_LOOP('',(#263911,#263912,#263913,#263914,#263915,#263916,#263917, #263918,#263919,#263920,#263921,#263922,#263923,#263924,#263925,#263926, #263927,#263928,#263929,#263930)); #48655=EDGE_LOOP('',(#263931,#263932,#263933,#263934,#263935,#263936,#263937, #263938,#263939,#263940,#263941,#263942,#263943,#263944,#263945,#263946, #263947,#263948,#263949,#263950)); #48656=EDGE_LOOP('',(#263951,#263952,#263953,#263954)); #48657=EDGE_LOOP('',(#263955,#263956,#263957,#263958)); #48658=EDGE_LOOP('',(#263959,#263960,#263961,#263962)); #48659=EDGE_LOOP('',(#263963,#263964,#263965,#263966)); #48660=EDGE_LOOP('',(#263967,#263968,#263969,#263970)); #48661=EDGE_LOOP('',(#263971,#263972,#263973,#263974)); #48662=EDGE_LOOP('',(#263975,#263976,#263977,#263978)); #48663=EDGE_LOOP('',(#263979,#263980,#263981,#263982)); #48664=EDGE_LOOP('',(#263983,#263984,#263985,#263986)); #48665=EDGE_LOOP('',(#263987,#263988,#263989,#263990)); #48666=EDGE_LOOP('',(#263991,#263992,#263993,#263994)); #48667=EDGE_LOOP('',(#263995,#263996,#263997,#263998)); #48668=EDGE_LOOP('',(#263999,#264000,#264001,#264002)); #48669=EDGE_LOOP('',(#264003,#264004,#264005,#264006)); #48670=EDGE_LOOP('',(#264007,#264008,#264009,#264010)); #48671=EDGE_LOOP('',(#264011,#264012,#264013,#264014)); #48672=EDGE_LOOP('',(#264015,#264016,#264017,#264018)); #48673=EDGE_LOOP('',(#264019,#264020,#264021,#264022)); #48674=EDGE_LOOP('',(#264023,#264024,#264025,#264026)); #48675=EDGE_LOOP('',(#264027,#264028,#264029,#264030)); #48676=EDGE_LOOP('',(#264031,#264032,#264033,#264034)); #48677=EDGE_LOOP('',(#264035,#264036,#264037,#264038)); #48678=EDGE_LOOP('',(#264039,#264040,#264041,#264042)); #48679=EDGE_LOOP('',(#264043,#264044,#264045,#264046)); #48680=EDGE_LOOP('',(#264047,#264048,#264049,#264050)); #48681=EDGE_LOOP('',(#264051,#264052,#264053,#264054)); #48682=EDGE_LOOP('',(#264055,#264056,#264057,#264058)); #48683=EDGE_LOOP('',(#264059,#264060,#264061,#264062)); #48684=EDGE_LOOP('',(#264063,#264064,#264065,#264066)); #48685=EDGE_LOOP('',(#264067,#264068,#264069,#264070)); #48686=EDGE_LOOP('',(#264071,#264072,#264073,#264074)); #48687=EDGE_LOOP('',(#264075,#264076,#264077,#264078)); #48688=EDGE_LOOP('',(#264079,#264080,#264081,#264082)); #48689=EDGE_LOOP('',(#264083,#264084,#264085,#264086)); #48690=EDGE_LOOP('',(#264087,#264088,#264089,#264090)); #48691=EDGE_LOOP('',(#264091,#264092,#264093,#264094)); #48692=EDGE_LOOP('',(#264095,#264096,#264097,#264098)); #48693=EDGE_LOOP('',(#264099,#264100,#264101,#264102)); #48694=EDGE_LOOP('',(#264103,#264104,#264105,#264106)); #48695=EDGE_LOOP('',(#264107,#264108,#264109,#264110)); #48696=EDGE_LOOP('',(#264111,#264112,#264113,#264114)); #48697=EDGE_LOOP('',(#264115,#264116,#264117,#264118)); #48698=EDGE_LOOP('',(#264119,#264120,#264121,#264122)); #48699=EDGE_LOOP('',(#264123,#264124,#264125,#264126)); #48700=EDGE_LOOP('',(#264127,#264128,#264129,#264130)); #48701=EDGE_LOOP('',(#264131,#264132,#264133,#264134)); #48702=EDGE_LOOP('',(#264135,#264136,#264137,#264138)); #48703=EDGE_LOOP('',(#264139,#264140,#264141,#264142)); #48704=EDGE_LOOP('',(#264143,#264144,#264145,#264146,#264147,#264148,#264149, #264150,#264151,#264152,#264153,#264154,#264155,#264156,#264157,#264158, #264159,#264160,#264161,#264162,#264163,#264164,#264165,#264166,#264167, #264168,#264169,#264170,#264171,#264172,#264173,#264174,#264175,#264176, #264177,#264178,#264179,#264180,#264181,#264182,#264183,#264184,#264185, #264186,#264187,#264188,#264189,#264190)); #48705=EDGE_LOOP('',(#264191,#264192,#264193,#264194,#264195,#264196,#264197, #264198,#264199,#264200,#264201,#264202,#264203,#264204,#264205,#264206, #264207,#264208,#264209,#264210,#264211,#264212,#264213,#264214,#264215, #264216,#264217,#264218,#264219,#264220,#264221,#264222,#264223,#264224, #264225,#264226,#264227,#264228,#264229,#264230,#264231,#264232,#264233, #264234,#264235,#264236,#264237,#264238)); #48706=EDGE_LOOP('',(#264239,#264240,#264241,#264242)); #48707=EDGE_LOOP('',(#264243,#264244,#264245,#264246)); #48708=EDGE_LOOP('',(#264247,#264248,#264249,#264250)); #48709=EDGE_LOOP('',(#264251,#264252,#264253,#264254)); #48710=EDGE_LOOP('',(#264255,#264256,#264257,#264258)); #48711=EDGE_LOOP('',(#264259,#264260,#264261,#264262)); #48712=EDGE_LOOP('',(#264263,#264264,#264265,#264266)); #48713=EDGE_LOOP('',(#264267,#264268,#264269,#264270)); #48714=EDGE_LOOP('',(#264271,#264272,#264273,#264274)); #48715=EDGE_LOOP('',(#264275,#264276,#264277,#264278)); #48716=EDGE_LOOP('',(#264279,#264280,#264281,#264282)); #48717=EDGE_LOOP('',(#264283,#264284,#264285,#264286)); #48718=EDGE_LOOP('',(#264287,#264288,#264289,#264290)); #48719=EDGE_LOOP('',(#264291,#264292,#264293,#264294)); #48720=EDGE_LOOP('',(#264295,#264296,#264297,#264298)); #48721=EDGE_LOOP('',(#264299,#264300,#264301,#264302)); #48722=EDGE_LOOP('',(#264303,#264304,#264305,#264306)); #48723=EDGE_LOOP('',(#264307,#264308,#264309,#264310)); #48724=EDGE_LOOP('',(#264311,#264312,#264313,#264314)); #48725=EDGE_LOOP('',(#264315,#264316,#264317,#264318)); #48726=EDGE_LOOP('',(#264319,#264320,#264321,#264322,#264323,#264324,#264325, #264326,#264327,#264328,#264329,#264330,#264331,#264332,#264333,#264334, #264335,#264336,#264337,#264338)); #48727=EDGE_LOOP('',(#264339,#264340,#264341,#264342,#264343,#264344,#264345, #264346,#264347,#264348,#264349,#264350,#264351,#264352,#264353,#264354, #264355,#264356,#264357,#264358)); #48728=EDGE_LOOP('',(#264359,#264360,#264361,#264362)); #48729=EDGE_LOOP('',(#264363,#264364,#264365,#264366)); #48730=EDGE_LOOP('',(#264367,#264368,#264369,#264370)); #48731=EDGE_LOOP('',(#264371,#264372,#264373,#264374)); #48732=EDGE_LOOP('',(#264375,#264376,#264377,#264378)); #48733=EDGE_LOOP('',(#264379,#264380,#264381,#264382)); #48734=EDGE_LOOP('',(#264383,#264384,#264385,#264386)); #48735=EDGE_LOOP('',(#264387,#264388,#264389,#264390)); #48736=EDGE_LOOP('',(#264391,#264392,#264393,#264394)); #48737=EDGE_LOOP('',(#264395,#264396,#264397,#264398)); #48738=EDGE_LOOP('',(#264399,#264400,#264401,#264402)); #48739=EDGE_LOOP('',(#264403,#264404,#264405,#264406)); #48740=EDGE_LOOP('',(#264407,#264408,#264409,#264410)); #48741=EDGE_LOOP('',(#264411,#264412,#264413,#264414)); #48742=EDGE_LOOP('',(#264415,#264416,#264417,#264418)); #48743=EDGE_LOOP('',(#264419,#264420,#264421,#264422)); #48744=EDGE_LOOP('',(#264423,#264424,#264425,#264426)); #48745=EDGE_LOOP('',(#264427,#264428,#264429,#264430)); #48746=EDGE_LOOP('',(#264431,#264432,#264433,#264434)); #48747=EDGE_LOOP('',(#264435,#264436,#264437,#264438)); #48748=EDGE_LOOP('',(#264439,#264440,#264441,#264442)); #48749=EDGE_LOOP('',(#264443,#264444,#264445,#264446)); #48750=EDGE_LOOP('',(#264447,#264448,#264449,#264450)); #48751=EDGE_LOOP('',(#264451,#264452,#264453,#264454)); #48752=EDGE_LOOP('',(#264455,#264456,#264457,#264458)); #48753=EDGE_LOOP('',(#264459,#264460,#264461,#264462)); #48754=EDGE_LOOP('',(#264463,#264464,#264465,#264466)); #48755=EDGE_LOOP('',(#264467,#264468,#264469,#264470)); #48756=EDGE_LOOP('',(#264471,#264472,#264473,#264474)); #48757=EDGE_LOOP('',(#264475,#264476,#264477,#264478)); #48758=EDGE_LOOP('',(#264479,#264480,#264481,#264482)); #48759=EDGE_LOOP('',(#264483,#264484,#264485,#264486,#264487,#264488,#264489, #264490,#264491,#264492,#264493,#264494,#264495,#264496,#264497,#264498, #264499,#264500,#264501,#264502,#264503,#264504,#264505,#264506,#264507, #264508,#264509,#264510,#264511,#264512,#264513)); #48760=EDGE_LOOP('',(#264514,#264515,#264516,#264517,#264518,#264519,#264520, #264521,#264522,#264523,#264524,#264525,#264526,#264527,#264528,#264529, #264530,#264531,#264532,#264533,#264534,#264535,#264536,#264537,#264538, #264539,#264540,#264541,#264542,#264543,#264544)); #48761=EDGE_LOOP('',(#264545,#264546,#264547,#264548)); #48762=EDGE_LOOP('',(#264549,#264550,#264551,#264552)); #48763=EDGE_LOOP('',(#264553,#264554,#264555,#264556)); #48764=EDGE_LOOP('',(#264557,#264558,#264559,#264560)); #48765=EDGE_LOOP('',(#264561,#264562,#264563,#264564)); #48766=EDGE_LOOP('',(#264565,#264566,#264567,#264568)); #48767=EDGE_LOOP('',(#264569,#264570,#264571,#264572)); #48768=EDGE_LOOP('',(#264573,#264574,#264575,#264576)); #48769=EDGE_LOOP('',(#264577,#264578,#264579,#264580)); #48770=EDGE_LOOP('',(#264581,#264582,#264583,#264584)); #48771=EDGE_LOOP('',(#264585,#264586,#264587,#264588)); #48772=EDGE_LOOP('',(#264589,#264590,#264591,#264592)); #48773=EDGE_LOOP('',(#264593,#264594,#264595,#264596)); #48774=EDGE_LOOP('',(#264597,#264598,#264599,#264600)); #48775=EDGE_LOOP('',(#264601,#264602,#264603,#264604)); #48776=EDGE_LOOP('',(#264605,#264606,#264607,#264608)); #48777=EDGE_LOOP('',(#264609,#264610,#264611,#264612)); #48778=EDGE_LOOP('',(#264613,#264614,#264615,#264616)); #48779=EDGE_LOOP('',(#264617,#264618,#264619,#264620)); #48780=EDGE_LOOP('',(#264621,#264622,#264623,#264624)); #48781=EDGE_LOOP('',(#264625,#264626,#264627,#264628,#264629,#264630,#264631, #264632,#264633,#264634,#264635,#264636,#264637,#264638,#264639,#264640, #264641,#264642,#264643,#264644)); #48782=EDGE_LOOP('',(#264645,#264646,#264647,#264648,#264649,#264650,#264651, #264652,#264653,#264654,#264655,#264656,#264657,#264658,#264659,#264660, #264661,#264662,#264663,#264664)); #48783=EDGE_LOOP('',(#264665,#264666,#264667,#264668)); #48784=EDGE_LOOP('',(#264669,#264670,#264671,#264672)); #48785=EDGE_LOOP('',(#264673,#264674,#264675,#264676)); #48786=EDGE_LOOP('',(#264677,#264678,#264679,#264680)); #48787=EDGE_LOOP('',(#264681,#264682,#264683,#264684)); #48788=EDGE_LOOP('',(#264685,#264686,#264687,#264688)); #48789=EDGE_LOOP('',(#264689,#264690,#264691,#264692)); #48790=EDGE_LOOP('',(#264693,#264694,#264695,#264696)); #48791=EDGE_LOOP('',(#264697,#264698,#264699,#264700)); #48792=EDGE_LOOP('',(#264701,#264702,#264703,#264704)); #48793=EDGE_LOOP('',(#264705,#264706,#264707,#264708)); #48794=EDGE_LOOP('',(#264709,#264710,#264711,#264712)); #48795=EDGE_LOOP('',(#264713,#264714,#264715,#264716)); #48796=EDGE_LOOP('',(#264717,#264718,#264719,#264720)); #48797=EDGE_LOOP('',(#264721,#264722,#264723,#264724)); #48798=EDGE_LOOP('',(#264725,#264726,#264727,#264728)); #48799=EDGE_LOOP('',(#264729,#264730,#264731,#264732)); #48800=EDGE_LOOP('',(#264733,#264734,#264735,#264736)); #48801=EDGE_LOOP('',(#264737,#264738,#264739,#264740)); #48802=EDGE_LOOP('',(#264741,#264742,#264743,#264744)); #48803=EDGE_LOOP('',(#264745,#264746,#264747,#264748)); #48804=EDGE_LOOP('',(#264749,#264750,#264751,#264752)); #48805=EDGE_LOOP('',(#264753,#264754,#264755,#264756)); #48806=EDGE_LOOP('',(#264757,#264758,#264759,#264760)); #48807=EDGE_LOOP('',(#264761,#264762,#264763,#264764)); #48808=EDGE_LOOP('',(#264765,#264766,#264767,#264768)); #48809=EDGE_LOOP('',(#264769,#264770,#264771,#264772)); #48810=EDGE_LOOP('',(#264773,#264774,#264775,#264776)); #48811=EDGE_LOOP('',(#264777,#264778,#264779,#264780)); #48812=EDGE_LOOP('',(#264781,#264782,#264783,#264784)); #48813=EDGE_LOOP('',(#264785,#264786,#264787,#264788)); #48814=EDGE_LOOP('',(#264789,#264790,#264791,#264792,#264793,#264794,#264795, #264796,#264797,#264798,#264799,#264800,#264801,#264802,#264803,#264804, #264805,#264806,#264807,#264808,#264809,#264810,#264811,#264812,#264813, #264814,#264815,#264816,#264817,#264818,#264819)); #48815=EDGE_LOOP('',(#264820,#264821,#264822,#264823,#264824,#264825,#264826, #264827,#264828,#264829,#264830,#264831,#264832,#264833,#264834,#264835, #264836,#264837,#264838,#264839,#264840,#264841,#264842,#264843,#264844, #264845,#264846,#264847,#264848,#264849,#264850)); #48816=EDGE_LOOP('',(#264851,#264852,#264853,#264854)); #48817=EDGE_LOOP('',(#264855,#264856,#264857,#264858)); #48818=EDGE_LOOP('',(#264859,#264860,#264861,#264862)); #48819=EDGE_LOOP('',(#264863,#264864,#264865,#264866)); #48820=EDGE_LOOP('',(#264867,#264868,#264869,#264870)); #48821=EDGE_LOOP('',(#264871,#264872,#264873,#264874)); #48822=EDGE_LOOP('',(#264875,#264876,#264877,#264878)); #48823=EDGE_LOOP('',(#264879,#264880,#264881,#264882)); #48824=EDGE_LOOP('',(#264883,#264884,#264885,#264886)); #48825=EDGE_LOOP('',(#264887,#264888,#264889,#264890)); #48826=EDGE_LOOP('',(#264891,#264892,#264893,#264894)); #48827=EDGE_LOOP('',(#264895,#264896,#264897,#264898)); #48828=EDGE_LOOP('',(#264899,#264900,#264901,#264902)); #48829=EDGE_LOOP('',(#264903,#264904,#264905,#264906)); #48830=EDGE_LOOP('',(#264907,#264908,#264909,#264910)); #48831=EDGE_LOOP('',(#264911,#264912,#264913,#264914)); #48832=EDGE_LOOP('',(#264915,#264916,#264917,#264918)); #48833=EDGE_LOOP('',(#264919,#264920,#264921,#264922)); #48834=EDGE_LOOP('',(#264923,#264924,#264925,#264926)); #48835=EDGE_LOOP('',(#264927,#264928,#264929,#264930)); #48836=EDGE_LOOP('',(#264931,#264932,#264933,#264934)); #48837=EDGE_LOOP('',(#264935,#264936,#264937,#264938,#264939,#264940,#264941, #264942,#264943,#264944,#264945,#264946,#264947,#264948,#264949,#264950, #264951,#264952,#264953,#264954,#264955)); #48838=EDGE_LOOP('',(#264956,#264957,#264958,#264959,#264960,#264961,#264962, #264963,#264964,#264965,#264966,#264967,#264968,#264969,#264970,#264971, #264972,#264973,#264974,#264975,#264976)); #48839=EDGE_LOOP('',(#264977,#264978,#264979,#264980)); #48840=EDGE_LOOP('',(#264981,#264982,#264983,#264984)); #48841=EDGE_LOOP('',(#264985,#264986,#264987,#264988)); #48842=EDGE_LOOP('',(#264989,#264990,#264991,#264992)); #48843=EDGE_LOOP('',(#264993,#264994,#264995,#264996)); #48844=EDGE_LOOP('',(#264997,#264998,#264999,#265000)); #48845=EDGE_LOOP('',(#265001,#265002,#265003,#265004)); #48846=EDGE_LOOP('',(#265005,#265006,#265007,#265008)); #48847=EDGE_LOOP('',(#265009,#265010,#265011,#265012)); #48848=EDGE_LOOP('',(#265013,#265014,#265015,#265016)); #48849=EDGE_LOOP('',(#265017,#265018,#265019,#265020)); #48850=EDGE_LOOP('',(#265021,#265022,#265023,#265024)); #48851=EDGE_LOOP('',(#265025,#265026,#265027,#265028)); #48852=EDGE_LOOP('',(#265029,#265030,#265031,#265032)); #48853=EDGE_LOOP('',(#265033,#265034,#265035,#265036)); #48854=EDGE_LOOP('',(#265037,#265038,#265039,#265040)); #48855=EDGE_LOOP('',(#265041,#265042,#265043,#265044)); #48856=EDGE_LOOP('',(#265045,#265046,#265047,#265048)); #48857=EDGE_LOOP('',(#265049,#265050,#265051,#265052)); #48858=EDGE_LOOP('',(#265053,#265054,#265055,#265056)); #48859=EDGE_LOOP('',(#265057,#265058,#265059,#265060)); #48860=EDGE_LOOP('',(#265061,#265062,#265063,#265064)); #48861=EDGE_LOOP('',(#265065,#265066,#265067,#265068)); #48862=EDGE_LOOP('',(#265069,#265070,#265071,#265072)); #48863=EDGE_LOOP('',(#265073,#265074,#265075,#265076)); #48864=EDGE_LOOP('',(#265077,#265078,#265079,#265080)); #48865=EDGE_LOOP('',(#265081,#265082,#265083,#265084)); #48866=EDGE_LOOP('',(#265085,#265086,#265087,#265088)); #48867=EDGE_LOOP('',(#265089,#265090,#265091,#265092)); #48868=EDGE_LOOP('',(#265093,#265094,#265095,#265096)); #48869=EDGE_LOOP('',(#265097,#265098,#265099,#265100)); #48870=EDGE_LOOP('',(#265101,#265102,#265103,#265104)); #48871=EDGE_LOOP('',(#265105,#265106,#265107,#265108)); #48872=EDGE_LOOP('',(#265109,#265110,#265111,#265112)); #48873=EDGE_LOOP('',(#265113,#265114,#265115,#265116)); #48874=EDGE_LOOP('',(#265117,#265118,#265119,#265120)); #48875=EDGE_LOOP('',(#265121,#265122,#265123,#265124)); #48876=EDGE_LOOP('',(#265125,#265126,#265127,#265128)); #48877=EDGE_LOOP('',(#265129,#265130,#265131,#265132)); #48878=EDGE_LOOP('',(#265133,#265134,#265135,#265136)); #48879=EDGE_LOOP('',(#265137,#265138,#265139,#265140,#265141,#265142,#265143, #265144,#265145,#265146,#265147,#265148,#265149,#265150,#265151,#265152, #265153,#265154,#265155,#265156,#265157,#265158,#265159,#265160,#265161, #265162,#265163,#265164,#265165,#265166,#265167,#265168,#265169,#265170, #265171,#265172,#265173,#265174,#265175,#265176)); #48880=EDGE_LOOP('',(#265177,#265178,#265179,#265180,#265181,#265182,#265183, #265184,#265185,#265186,#265187,#265188,#265189,#265190,#265191,#265192, #265193,#265194,#265195,#265196,#265197,#265198,#265199,#265200,#265201, #265202,#265203,#265204,#265205,#265206,#265207,#265208,#265209,#265210, #265211,#265212,#265213,#265214,#265215,#265216)); #48881=EDGE_LOOP('',(#265217,#265218,#265219,#265220)); #48882=EDGE_LOOP('',(#265221,#265222,#265223,#265224)); #48883=EDGE_LOOP('',(#265225,#265226,#265227,#265228)); #48884=EDGE_LOOP('',(#265229,#265230,#265231,#265232)); #48885=EDGE_LOOP('',(#265233,#265234,#265235,#265236)); #48886=EDGE_LOOP('',(#265237,#265238,#265239,#265240)); #48887=EDGE_LOOP('',(#265241,#265242,#265243,#265244)); #48888=EDGE_LOOP('',(#265245,#265246,#265247,#265248)); #48889=EDGE_LOOP('',(#265249,#265250,#265251,#265252)); #48890=EDGE_LOOP('',(#265253,#265254,#265255,#265256)); #48891=EDGE_LOOP('',(#265257,#265258,#265259,#265260)); #48892=EDGE_LOOP('',(#265261,#265262,#265263,#265264)); #48893=EDGE_LOOP('',(#265265,#265266,#265267,#265268)); #48894=EDGE_LOOP('',(#265269,#265270,#265271,#265272)); #48895=EDGE_LOOP('',(#265273,#265274,#265275,#265276)); #48896=EDGE_LOOP('',(#265277,#265278,#265279,#265280)); #48897=EDGE_LOOP('',(#265281,#265282,#265283,#265284)); #48898=EDGE_LOOP('',(#265285,#265286,#265287,#265288)); #48899=EDGE_LOOP('',(#265289,#265290,#265291,#265292)); #48900=EDGE_LOOP('',(#265293,#265294,#265295,#265296)); #48901=EDGE_LOOP('',(#265297,#265298,#265299,#265300,#265301,#265302,#265303, #265304,#265305,#265306,#265307,#265308,#265309,#265310,#265311,#265312, #265313,#265314,#265315,#265316)); #48902=EDGE_LOOP('',(#265317,#265318,#265319,#265320,#265321,#265322,#265323, #265324,#265325,#265326,#265327,#265328,#265329,#265330,#265331,#265332, #265333,#265334,#265335,#265336)); #48903=EDGE_LOOP('',(#265337,#265338,#265339,#265340)); #48904=EDGE_LOOP('',(#265341,#265342,#265343,#265344)); #48905=EDGE_LOOP('',(#265345,#265346,#265347,#265348)); #48906=EDGE_LOOP('',(#265349,#265350,#265351,#265352)); #48907=EDGE_LOOP('',(#265353,#265354,#265355,#265356)); #48908=EDGE_LOOP('',(#265357,#265358,#265359,#265360)); #48909=EDGE_LOOP('',(#265361,#265362,#265363,#265364)); #48910=EDGE_LOOP('',(#265365,#265366,#265367,#265368)); #48911=EDGE_LOOP('',(#265369,#265370,#265371,#265372)); #48912=EDGE_LOOP('',(#265373,#265374,#265375,#265376)); #48913=EDGE_LOOP('',(#265377,#265378,#265379,#265380)); #48914=EDGE_LOOP('',(#265381,#265382,#265383,#265384)); #48915=EDGE_LOOP('',(#265385,#265386,#265387,#265388)); #48916=EDGE_LOOP('',(#265389,#265390,#265391,#265392)); #48917=EDGE_LOOP('',(#265393,#265394,#265395,#265396)); #48918=EDGE_LOOP('',(#265397,#265398,#265399,#265400)); #48919=EDGE_LOOP('',(#265401,#265402,#265403,#265404)); #48920=EDGE_LOOP('',(#265405,#265406,#265407,#265408)); #48921=EDGE_LOOP('',(#265409,#265410,#265411,#265412)); #48922=EDGE_LOOP('',(#265413,#265414,#265415,#265416)); #48923=EDGE_LOOP('',(#265417,#265418,#265419,#265420,#265421,#265422,#265423, #265424,#265425,#265426,#265427,#265428,#265429,#265430,#265431,#265432, #265433,#265434,#265435,#265436)); #48924=EDGE_LOOP('',(#265437,#265438,#265439,#265440,#265441,#265442,#265443, #265444,#265445,#265446,#265447,#265448,#265449,#265450,#265451,#265452, #265453,#265454,#265455,#265456)); #48925=EDGE_LOOP('',(#265457,#265458,#265459,#265460)); #48926=EDGE_LOOP('',(#265461,#265462,#265463,#265464)); #48927=EDGE_LOOP('',(#265465,#265466,#265467,#265468)); #48928=EDGE_LOOP('',(#265469,#265470,#265471,#265472)); #48929=EDGE_LOOP('',(#265473,#265474,#265475,#265476)); #48930=EDGE_LOOP('',(#265477,#265478,#265479,#265480)); #48931=EDGE_LOOP('',(#265481,#265482,#265483,#265484)); #48932=EDGE_LOOP('',(#265485,#265486,#265487,#265488)); #48933=EDGE_LOOP('',(#265489,#265490,#265491,#265492)); #48934=EDGE_LOOP('',(#265493,#265494,#265495,#265496)); #48935=EDGE_LOOP('',(#265497,#265498,#265499,#265500)); #48936=EDGE_LOOP('',(#265501,#265502,#265503,#265504)); #48937=EDGE_LOOP('',(#265505,#265506,#265507,#265508)); #48938=EDGE_LOOP('',(#265509,#265510,#265511,#265512)); #48939=EDGE_LOOP('',(#265513,#265514,#265515,#265516)); #48940=EDGE_LOOP('',(#265517,#265518,#265519,#265520)); #48941=EDGE_LOOP('',(#265521,#265522,#265523,#265524)); #48942=EDGE_LOOP('',(#265525,#265526,#265527,#265528)); #48943=EDGE_LOOP('',(#265529,#265530,#265531,#265532)); #48944=EDGE_LOOP('',(#265533,#265534,#265535,#265536)); #48945=EDGE_LOOP('',(#265537,#265538,#265539,#265540,#265541,#265542,#265543, #265544,#265545,#265546,#265547,#265548,#265549,#265550,#265551,#265552, #265553,#265554,#265555,#265556)); #48946=EDGE_LOOP('',(#265557,#265558,#265559,#265560,#265561,#265562,#265563, #265564,#265565,#265566,#265567,#265568,#265569,#265570,#265571,#265572, #265573,#265574,#265575,#265576)); #48947=EDGE_LOOP('',(#265577,#265578,#265579,#265580)); #48948=EDGE_LOOP('',(#265581,#265582,#265583,#265584)); #48949=EDGE_LOOP('',(#265585,#265586,#265587,#265588)); #48950=EDGE_LOOP('',(#265589,#265590,#265591,#265592)); #48951=EDGE_LOOP('',(#265593,#265594,#265595,#265596)); #48952=EDGE_LOOP('',(#265597,#265598,#265599,#265600)); #48953=EDGE_LOOP('',(#265601,#265602,#265603,#265604)); #48954=EDGE_LOOP('',(#265605,#265606,#265607,#265608)); #48955=EDGE_LOOP('',(#265609,#265610,#265611,#265612)); #48956=EDGE_LOOP('',(#265613,#265614,#265615,#265616)); #48957=EDGE_LOOP('',(#265617,#265618,#265619,#265620)); #48958=EDGE_LOOP('',(#265621,#265622,#265623,#265624)); #48959=EDGE_LOOP('',(#265625,#265626,#265627,#265628)); #48960=EDGE_LOOP('',(#265629,#265630,#265631,#265632)); #48961=EDGE_LOOP('',(#265633,#265634,#265635,#265636)); #48962=EDGE_LOOP('',(#265637,#265638,#265639,#265640)); #48963=EDGE_LOOP('',(#265641,#265642,#265643,#265644)); #48964=EDGE_LOOP('',(#265645,#265646,#265647,#265648)); #48965=EDGE_LOOP('',(#265649,#265650,#265651,#265652)); #48966=EDGE_LOOP('',(#265653,#265654,#265655,#265656)); #48967=EDGE_LOOP('',(#265657,#265658,#265659,#265660)); #48968=EDGE_LOOP('',(#265661,#265662,#265663,#265664)); #48969=EDGE_LOOP('',(#265665,#265666,#265667,#265668)); #48970=EDGE_LOOP('',(#265669,#265670,#265671,#265672)); #48971=EDGE_LOOP('',(#265673,#265674,#265675,#265676)); #48972=EDGE_LOOP('',(#265677,#265678,#265679,#265680)); #48973=EDGE_LOOP('',(#265681,#265682,#265683,#265684)); #48974=EDGE_LOOP('',(#265685,#265686,#265687,#265688)); #48975=EDGE_LOOP('',(#265689,#265690,#265691,#265692)); #48976=EDGE_LOOP('',(#265693,#265694,#265695,#265696)); #48977=EDGE_LOOP('',(#265697,#265698,#265699,#265700)); #48978=EDGE_LOOP('',(#265701,#265702,#265703,#265704)); #48979=EDGE_LOOP('',(#265705,#265706,#265707,#265708)); #48980=EDGE_LOOP('',(#265709,#265710,#265711,#265712)); #48981=EDGE_LOOP('',(#265713,#265714,#265715,#265716)); #48982=EDGE_LOOP('',(#265717,#265718,#265719,#265720)); #48983=EDGE_LOOP('',(#265721,#265722,#265723,#265724)); #48984=EDGE_LOOP('',(#265725,#265726,#265727,#265728)); #48985=EDGE_LOOP('',(#265729,#265730,#265731,#265732)); #48986=EDGE_LOOP('',(#265733,#265734,#265735,#265736)); #48987=EDGE_LOOP('',(#265737,#265738,#265739,#265740,#265741,#265742,#265743, #265744,#265745,#265746,#265747,#265748,#265749,#265750,#265751,#265752, #265753,#265754,#265755,#265756,#265757,#265758,#265759,#265760,#265761, #265762,#265763,#265764,#265765,#265766,#265767,#265768,#265769,#265770, #265771,#265772,#265773,#265774,#265775,#265776)); #48988=EDGE_LOOP('',(#265777,#265778,#265779,#265780,#265781,#265782,#265783, #265784,#265785,#265786,#265787,#265788,#265789,#265790,#265791,#265792, #265793,#265794,#265795,#265796,#265797,#265798,#265799,#265800,#265801, #265802,#265803,#265804,#265805,#265806,#265807,#265808,#265809,#265810, #265811,#265812,#265813,#265814,#265815,#265816)); #48989=EDGE_LOOP('',(#265817,#265818,#265819,#265820)); #48990=EDGE_LOOP('',(#265821,#265822,#265823,#265824)); #48991=EDGE_LOOP('',(#265825,#265826,#265827,#265828)); #48992=EDGE_LOOP('',(#265829,#265830,#265831,#265832)); #48993=EDGE_LOOP('',(#265833,#265834,#265835,#265836)); #48994=EDGE_LOOP('',(#265837,#265838,#265839,#265840)); #48995=EDGE_LOOP('',(#265841,#265842,#265843,#265844)); #48996=EDGE_LOOP('',(#265845,#265846,#265847,#265848)); #48997=EDGE_LOOP('',(#265849,#265850,#265851,#265852)); #48998=EDGE_LOOP('',(#265853,#265854,#265855,#265856)); #48999=EDGE_LOOP('',(#265857,#265858,#265859,#265860)); #49000=EDGE_LOOP('',(#265861,#265862,#265863,#265864)); #49001=EDGE_LOOP('',(#265865,#265866,#265867,#265868)); #49002=EDGE_LOOP('',(#265869,#265870,#265871,#265872)); #49003=EDGE_LOOP('',(#265873,#265874,#265875,#265876)); #49004=EDGE_LOOP('',(#265877,#265878,#265879,#265880)); #49005=EDGE_LOOP('',(#265881,#265882,#265883,#265884)); #49006=EDGE_LOOP('',(#265885,#265886,#265887,#265888)); #49007=EDGE_LOOP('',(#265889,#265890,#265891,#265892)); #49008=EDGE_LOOP('',(#265893,#265894,#265895,#265896)); #49009=EDGE_LOOP('',(#265897,#265898,#265899,#265900)); #49010=EDGE_LOOP('',(#265901,#265902,#265903,#265904)); #49011=EDGE_LOOP('',(#265905,#265906,#265907,#265908)); #49012=EDGE_LOOP('',(#265909,#265910,#265911,#265912)); #49013=EDGE_LOOP('',(#265913,#265914,#265915,#265916)); #49014=EDGE_LOOP('',(#265917,#265918,#265919,#265920)); #49015=EDGE_LOOP('',(#265921,#265922,#265923,#265924)); #49016=EDGE_LOOP('',(#265925,#265926,#265927,#265928)); #49017=EDGE_LOOP('',(#265929,#265930,#265931,#265932)); #49018=EDGE_LOOP('',(#265933,#265934,#265935,#265936)); #49019=EDGE_LOOP('',(#265937,#265938,#265939,#265940)); #49020=EDGE_LOOP('',(#265941,#265942,#265943,#265944)); #49021=EDGE_LOOP('',(#265945,#265946,#265947,#265948)); #49022=EDGE_LOOP('',(#265949,#265950,#265951,#265952)); #49023=EDGE_LOOP('',(#265953,#265954,#265955,#265956)); #49024=EDGE_LOOP('',(#265957,#265958,#265959,#265960)); #49025=EDGE_LOOP('',(#265961,#265962,#265963,#265964)); #49026=EDGE_LOOP('',(#265965,#265966,#265967,#265968)); #49027=EDGE_LOOP('',(#265969,#265970,#265971,#265972)); #49028=EDGE_LOOP('',(#265973,#265974,#265975,#265976)); #49029=EDGE_LOOP('',(#265977,#265978,#265979,#265980)); #49030=EDGE_LOOP('',(#265981,#265982,#265983,#265984)); #49031=EDGE_LOOP('',(#265985,#265986,#265987,#265988)); #49032=EDGE_LOOP('',(#265989,#265990,#265991,#265992)); #49033=EDGE_LOOP('',(#265993,#265994,#265995,#265996)); #49034=EDGE_LOOP('',(#265997,#265998,#265999,#266000)); #49035=EDGE_LOOP('',(#266001,#266002,#266003,#266004)); #49036=EDGE_LOOP('',(#266005,#266006,#266007,#266008)); #49037=EDGE_LOOP('',(#266009,#266010,#266011,#266012)); #49038=EDGE_LOOP('',(#266013,#266014,#266015,#266016)); #49039=EDGE_LOOP('',(#266017,#266018,#266019,#266020)); #49040=EDGE_LOOP('',(#266021,#266022,#266023,#266024)); #49041=EDGE_LOOP('',(#266025,#266026,#266027,#266028)); #49042=EDGE_LOOP('',(#266029,#266030,#266031,#266032)); #49043=EDGE_LOOP('',(#266033,#266034,#266035,#266036)); #49044=EDGE_LOOP('',(#266037,#266038,#266039,#266040)); #49045=EDGE_LOOP('',(#266041,#266042,#266043,#266044)); #49046=EDGE_LOOP('',(#266045,#266046,#266047,#266048)); #49047=EDGE_LOOP('',(#266049,#266050,#266051,#266052)); #49048=EDGE_LOOP('',(#266053,#266054,#266055,#266056)); #49049=EDGE_LOOP('',(#266057,#266058,#266059,#266060)); #49050=EDGE_LOOP('',(#266061,#266062,#266063,#266064)); #49051=EDGE_LOOP('',(#266065,#266066,#266067,#266068)); #49052=EDGE_LOOP('',(#266069,#266070,#266071,#266072)); #49053=EDGE_LOOP('',(#266073,#266074,#266075,#266076)); #49054=EDGE_LOOP('',(#266077,#266078,#266079,#266080)); #49055=EDGE_LOOP('',(#266081,#266082,#266083,#266084)); #49056=EDGE_LOOP('',(#266085,#266086,#266087,#266088)); #49057=EDGE_LOOP('',(#266089,#266090,#266091,#266092)); #49058=EDGE_LOOP('',(#266093,#266094,#266095,#266096)); #49059=EDGE_LOOP('',(#266097,#266098,#266099,#266100)); #49060=EDGE_LOOP('',(#266101,#266102,#266103,#266104)); #49061=EDGE_LOOP('',(#266105,#266106,#266107,#266108)); #49062=EDGE_LOOP('',(#266109,#266110,#266111,#266112)); #49063=EDGE_LOOP('',(#266113,#266114,#266115,#266116)); #49064=EDGE_LOOP('',(#266117,#266118,#266119,#266120)); #49065=EDGE_LOOP('',(#266121,#266122,#266123,#266124)); #49066=EDGE_LOOP('',(#266125,#266126,#266127,#266128)); #49067=EDGE_LOOP('',(#266129,#266130,#266131,#266132)); #49068=EDGE_LOOP('',(#266133,#266134,#266135,#266136)); #49069=EDGE_LOOP('',(#266137,#266138,#266139,#266140)); #49070=EDGE_LOOP('',(#266141,#266142,#266143,#266144)); #49071=EDGE_LOOP('',(#266145,#266146,#266147,#266148)); #49072=EDGE_LOOP('',(#266149,#266150,#266151,#266152)); #49073=EDGE_LOOP('',(#266153,#266154,#266155,#266156)); #49074=EDGE_LOOP('',(#266157,#266158,#266159,#266160)); #49075=EDGE_LOOP('',(#266161,#266162,#266163,#266164)); #49076=EDGE_LOOP('',(#266165,#266166,#266167,#266168)); #49077=EDGE_LOOP('',(#266169,#266170,#266171,#266172)); #49078=EDGE_LOOP('',(#266173,#266174,#266175,#266176)); #49079=EDGE_LOOP('',(#266177,#266178,#266179,#266180)); #49080=EDGE_LOOP('',(#266181,#266182,#266183,#266184)); #49081=EDGE_LOOP('',(#266185,#266186,#266187,#266188)); #49082=EDGE_LOOP('',(#266189,#266190,#266191,#266192)); #49083=EDGE_LOOP('',(#266193,#266194,#266195,#266196)); #49084=EDGE_LOOP('',(#266197,#266198,#266199,#266200)); #49085=EDGE_LOOP('',(#266201,#266202,#266203,#266204)); #49086=EDGE_LOOP('',(#266205,#266206,#266207,#266208)); #49087=EDGE_LOOP('',(#266209,#266210,#266211,#266212,#266213,#266214,#266215, #266216,#266217,#266218,#266219,#266220,#266221,#266222,#266223,#266224, #266225,#266226,#266227,#266228,#266229,#266230,#266231,#266232,#266233, #266234,#266235,#266236,#266237,#266238,#266239,#266240,#266241,#266242, #266243,#266244,#266245,#266246,#266247,#266248,#266249,#266250,#266251, #266252,#266253,#266254,#266255,#266256,#266257,#266258,#266259,#266260, #266261,#266262,#266263,#266264,#266265,#266266,#266267,#266268,#266269, #266270,#266271,#266272,#266273,#266274,#266275,#266276,#266277,#266278, #266279,#266280,#266281,#266282,#266283,#266284,#266285,#266286,#266287, #266288,#266289,#266290,#266291,#266292,#266293,#266294,#266295,#266296, #266297,#266298,#266299,#266300,#266301)); #49088=EDGE_LOOP('',(#266302)); #49089=EDGE_LOOP('',(#266303,#266304,#266305,#266306)); #49090=EDGE_LOOP('',(#266307,#266308,#266309,#266310,#266311,#266312,#266313, #266314,#266315,#266316,#266317,#266318,#266319,#266320,#266321,#266322, #266323,#266324,#266325,#266326,#266327,#266328,#266329,#266330,#266331, #266332,#266333,#266334,#266335,#266336,#266337,#266338,#266339,#266340, #266341,#266342,#266343,#266344,#266345,#266346,#266347,#266348,#266349, #266350,#266351,#266352,#266353,#266354,#266355,#266356,#266357,#266358, #266359,#266360,#266361,#266362,#266363,#266364,#266365,#266366,#266367, #266368,#266369,#266370,#266371,#266372,#266373,#266374,#266375,#266376, #266377,#266378,#266379,#266380,#266381,#266382,#266383,#266384,#266385, #266386,#266387,#266388,#266389,#266390,#266391,#266392,#266393,#266394, #266395,#266396,#266397,#266398,#266399)); #49091=EDGE_LOOP('',(#266400)); #49092=EDGE_LOOP('',(#266401,#266402,#266403,#266404)); #49093=EDGE_LOOP('',(#266405,#266406,#266407,#266408)); #49094=EDGE_LOOP('',(#266409,#266410,#266411,#266412)); #49095=EDGE_LOOP('',(#266413,#266414,#266415,#266416)); #49096=EDGE_LOOP('',(#266417,#266418,#266419,#266420)); #49097=EDGE_LOOP('',(#266421,#266422,#266423,#266424)); #49098=EDGE_LOOP('',(#266425,#266426,#266427,#266428)); #49099=EDGE_LOOP('',(#266429,#266430,#266431,#266432)); #49100=EDGE_LOOP('',(#266433,#266434,#266435,#266436)); #49101=EDGE_LOOP('',(#266437,#266438,#266439,#266440)); #49102=EDGE_LOOP('',(#266441,#266442,#266443,#266444)); #49103=EDGE_LOOP('',(#266445,#266446,#266447,#266448)); #49104=EDGE_LOOP('',(#266449,#266450,#266451,#266452)); #49105=EDGE_LOOP('',(#266453,#266454,#266455,#266456)); #49106=EDGE_LOOP('',(#266457,#266458,#266459,#266460)); #49107=EDGE_LOOP('',(#266461,#266462,#266463,#266464)); #49108=EDGE_LOOP('',(#266465,#266466,#266467,#266468)); #49109=EDGE_LOOP('',(#266469,#266470,#266471,#266472)); #49110=EDGE_LOOP('',(#266473,#266474,#266475,#266476)); #49111=EDGE_LOOP('',(#266477,#266478,#266479,#266480)); #49112=EDGE_LOOP('',(#266481,#266482,#266483,#266484)); #49113=EDGE_LOOP('',(#266485,#266486,#266487,#266488,#266489,#266490,#266491, #266492,#266493,#266494,#266495,#266496,#266497,#266498,#266499,#266500, #266501,#266502,#266503,#266504)); #49114=EDGE_LOOP('',(#266505,#266506,#266507,#266508,#266509,#266510,#266511, #266512,#266513,#266514,#266515,#266516,#266517,#266518,#266519,#266520, #266521,#266522,#266523,#266524)); #49115=EDGE_LOOP('',(#266525,#266526,#266527,#266528)); #49116=EDGE_LOOP('',(#266529,#266530,#266531,#266532)); #49117=EDGE_LOOP('',(#266533,#266534,#266535,#266536)); #49118=EDGE_LOOP('',(#266537,#266538,#266539,#266540)); #49119=EDGE_LOOP('',(#266541,#266542,#266543,#266544)); #49120=EDGE_LOOP('',(#266545,#266546,#266547,#266548)); #49121=EDGE_LOOP('',(#266549,#266550,#266551,#266552)); #49122=EDGE_LOOP('',(#266553,#266554,#266555,#266556)); #49123=EDGE_LOOP('',(#266557,#266558,#266559,#266560)); #49124=EDGE_LOOP('',(#266561,#266562,#266563,#266564)); #49125=EDGE_LOOP('',(#266565,#266566,#266567,#266568)); #49126=EDGE_LOOP('',(#266569,#266570,#266571,#266572)); #49127=EDGE_LOOP('',(#266573,#266574,#266575,#266576)); #49128=EDGE_LOOP('',(#266577,#266578,#266579,#266580)); #49129=EDGE_LOOP('',(#266581,#266582,#266583,#266584)); #49130=EDGE_LOOP('',(#266585,#266586,#266587,#266588)); #49131=EDGE_LOOP('',(#266589,#266590,#266591,#266592)); #49132=EDGE_LOOP('',(#266593,#266594,#266595,#266596)); #49133=EDGE_LOOP('',(#266597,#266598,#266599,#266600)); #49134=EDGE_LOOP('',(#266601,#266602,#266603,#266604)); #49135=EDGE_LOOP('',(#266605,#266606,#266607,#266608)); #49136=EDGE_LOOP('',(#266609,#266610,#266611,#266612)); #49137=EDGE_LOOP('',(#266613,#266614,#266615,#266616)); #49138=EDGE_LOOP('',(#266617,#266618,#266619,#266620)); #49139=EDGE_LOOP('',(#266621,#266622,#266623,#266624)); #49140=EDGE_LOOP('',(#266625,#266626,#266627,#266628)); #49141=EDGE_LOOP('',(#266629,#266630,#266631,#266632)); #49142=EDGE_LOOP('',(#266633,#266634,#266635,#266636)); #49143=EDGE_LOOP('',(#266637,#266638,#266639,#266640)); #49144=EDGE_LOOP('',(#266641,#266642,#266643,#266644)); #49145=EDGE_LOOP('',(#266645,#266646,#266647,#266648)); #49146=EDGE_LOOP('',(#266649,#266650,#266651,#266652)); #49147=EDGE_LOOP('',(#266653,#266654,#266655,#266656)); #49148=EDGE_LOOP('',(#266657,#266658,#266659,#266660)); #49149=EDGE_LOOP('',(#266661,#266662,#266663,#266664)); #49150=EDGE_LOOP('',(#266665,#266666,#266667,#266668)); #49151=EDGE_LOOP('',(#266669,#266670,#266671,#266672)); #49152=EDGE_LOOP('',(#266673,#266674,#266675,#266676)); #49153=EDGE_LOOP('',(#266677,#266678,#266679,#266680)); #49154=EDGE_LOOP('',(#266681,#266682,#266683,#266684)); #49155=EDGE_LOOP('',(#266685,#266686,#266687,#266688)); #49156=EDGE_LOOP('',(#266689,#266690,#266691,#266692)); #49157=EDGE_LOOP('',(#266693,#266694,#266695,#266696)); #49158=EDGE_LOOP('',(#266697,#266698,#266699,#266700)); #49159=EDGE_LOOP('',(#266701,#266702,#266703,#266704)); #49160=EDGE_LOOP('',(#266705,#266706,#266707,#266708)); #49161=EDGE_LOOP('',(#266709,#266710,#266711,#266712)); #49162=EDGE_LOOP('',(#266713,#266714,#266715,#266716)); #49163=EDGE_LOOP('',(#266717,#266718,#266719,#266720)); #49164=EDGE_LOOP('',(#266721,#266722,#266723,#266724)); #49165=EDGE_LOOP('',(#266725,#266726,#266727,#266728)); #49166=EDGE_LOOP('',(#266729,#266730,#266731,#266732)); #49167=EDGE_LOOP('',(#266733,#266734,#266735,#266736)); #49168=EDGE_LOOP('',(#266737,#266738,#266739,#266740)); #49169=EDGE_LOOP('',(#266741,#266742,#266743,#266744)); #49170=EDGE_LOOP('',(#266745,#266746,#266747,#266748)); #49171=EDGE_LOOP('',(#266749,#266750,#266751,#266752,#266753,#266754,#266755, #266756,#266757,#266758,#266759,#266760,#266761,#266762,#266763,#266764, #266765,#266766,#266767,#266768,#266769,#266770,#266771,#266772,#266773, #266774,#266775,#266776,#266777,#266778,#266779,#266780,#266781,#266782, #266783,#266784,#266785,#266786,#266787,#266788,#266789)); #49172=EDGE_LOOP('',(#266790)); #49173=EDGE_LOOP('',(#266791)); #49174=EDGE_LOOP('',(#266792)); #49175=EDGE_LOOP('',(#266793)); #49176=EDGE_LOOP('',(#266794)); #49177=EDGE_LOOP('',(#266795)); #49178=EDGE_LOOP('',(#266796)); #49179=EDGE_LOOP('',(#266797)); #49180=EDGE_LOOP('',(#266798)); #49181=EDGE_LOOP('',(#266799)); #49182=EDGE_LOOP('',(#266800)); #49183=EDGE_LOOP('',(#266801)); #49184=EDGE_LOOP('',(#266802)); #49185=EDGE_LOOP('',(#266803)); #49186=EDGE_LOOP('',(#266804)); #49187=EDGE_LOOP('',(#266805,#266806,#266807,#266808,#266809,#266810,#266811, #266812,#266813,#266814,#266815,#266816,#266817,#266818,#266819,#266820, #266821,#266822,#266823,#266824,#266825,#266826,#266827,#266828,#266829, #266830,#266831,#266832,#266833,#266834,#266835,#266836,#266837,#266838, #266839,#266840,#266841,#266842,#266843,#266844,#266845)); #49188=EDGE_LOOP('',(#266846)); #49189=EDGE_LOOP('',(#266847)); #49190=EDGE_LOOP('',(#266848)); #49191=EDGE_LOOP('',(#266849)); #49192=EDGE_LOOP('',(#266850)); #49193=EDGE_LOOP('',(#266851)); #49194=EDGE_LOOP('',(#266852)); #49195=EDGE_LOOP('',(#266853)); #49196=EDGE_LOOP('',(#266854)); #49197=EDGE_LOOP('',(#266855)); #49198=EDGE_LOOP('',(#266856)); #49199=EDGE_LOOP('',(#266857)); #49200=EDGE_LOOP('',(#266858)); #49201=EDGE_LOOP('',(#266859)); #49202=EDGE_LOOP('',(#266860)); #49203=EDGE_LOOP('',(#266861,#266862,#266863,#266864)); #49204=EDGE_LOOP('',(#266865,#266866,#266867,#266868)); #49205=EDGE_LOOP('',(#266869,#266870,#266871,#266872)); #49206=EDGE_LOOP('',(#266873,#266874,#266875,#266876)); #49207=EDGE_LOOP('',(#266877,#266878,#266879,#266880)); #49208=EDGE_LOOP('',(#266881,#266882,#266883,#266884)); #49209=EDGE_LOOP('',(#266885,#266886,#266887,#266888)); #49210=EDGE_LOOP('',(#266889,#266890,#266891,#266892)); #49211=EDGE_LOOP('',(#266893,#266894,#266895,#266896)); #49212=EDGE_LOOP('',(#266897,#266898,#266899,#266900)); #49213=EDGE_LOOP('',(#266901,#266902,#266903,#266904)); #49214=EDGE_LOOP('',(#266905,#266906,#266907,#266908)); #49215=EDGE_LOOP('',(#266909,#266910,#266911,#266912)); #49216=EDGE_LOOP('',(#266913,#266914,#266915,#266916)); #49217=EDGE_LOOP('',(#266917,#266918,#266919,#266920)); #49218=EDGE_LOOP('',(#266921,#266922,#266923,#266924)); #49219=EDGE_LOOP('',(#266925,#266926,#266927,#266928)); #49220=EDGE_LOOP('',(#266929,#266930,#266931,#266932)); #49221=EDGE_LOOP('',(#266933,#266934,#266935,#266936)); #49222=EDGE_LOOP('',(#266937,#266938,#266939,#266940)); #49223=EDGE_LOOP('',(#266941,#266942,#266943,#266944)); #49224=EDGE_LOOP('',(#266945,#266946,#266947,#266948)); #49225=EDGE_LOOP('',(#266949,#266950,#266951,#266952)); #49226=EDGE_LOOP('',(#266953,#266954,#266955,#266956)); #49227=EDGE_LOOP('',(#266957,#266958,#266959,#266960)); #49228=EDGE_LOOP('',(#266961,#266962,#266963,#266964)); #49229=EDGE_LOOP('',(#266965,#266966,#266967,#266968)); #49230=EDGE_LOOP('',(#266969,#266970,#266971,#266972)); #49231=EDGE_LOOP('',(#266973,#266974,#266975,#266976)); #49232=EDGE_LOOP('',(#266977,#266978,#266979,#266980)); #49233=EDGE_LOOP('',(#266981,#266982,#266983,#266984)); #49234=EDGE_LOOP('',(#266985,#266986,#266987,#266988)); #49235=EDGE_LOOP('',(#266989,#266990,#266991,#266992)); #49236=EDGE_LOOP('',(#266993,#266994,#266995,#266996)); #49237=EDGE_LOOP('',(#266997,#266998,#266999,#267000)); #49238=EDGE_LOOP('',(#267001,#267002,#267003,#267004)); #49239=EDGE_LOOP('',(#267005,#267006,#267007,#267008)); #49240=EDGE_LOOP('',(#267009,#267010,#267011,#267012)); #49241=EDGE_LOOP('',(#267013,#267014,#267015,#267016)); #49242=EDGE_LOOP('',(#267017,#267018,#267019,#267020)); #49243=EDGE_LOOP('',(#267021,#267022,#267023,#267024)); #49244=EDGE_LOOP('',(#267025,#267026,#267027,#267028)); #49245=EDGE_LOOP('',(#267029,#267030,#267031,#267032)); #49246=EDGE_LOOP('',(#267033,#267034,#267035,#267036,#267037,#267038,#267039, #267040,#267041,#267042,#267043,#267044,#267045,#267046,#267047,#267048, #267049,#267050,#267051,#267052,#267053,#267054,#267055,#267056,#267057, #267058,#267059,#267060,#267061,#267062,#267063,#267064,#267065,#267066, #267067,#267068,#267069,#267070,#267071,#267072,#267073,#267074)); #49247=EDGE_LOOP('',(#267075)); #49248=EDGE_LOOP('',(#267076,#267077,#267078,#267079,#267080,#267081,#267082, #267083,#267084,#267085,#267086,#267087,#267088,#267089,#267090,#267091, #267092,#267093,#267094,#267095,#267096,#267097,#267098,#267099,#267100, #267101,#267102,#267103,#267104,#267105,#267106,#267107,#267108,#267109, #267110,#267111,#267112,#267113,#267114,#267115,#267116,#267117)); #49249=EDGE_LOOP('',(#267118)); #49250=EDGE_LOOP('',(#267119,#267120,#267121,#267122)); #49251=EDGE_LOOP('',(#267123,#267124,#267125,#267126)); #49252=EDGE_LOOP('',(#267127,#267128,#267129,#267130)); #49253=EDGE_LOOP('',(#267131,#267132,#267133,#267134)); #49254=EDGE_LOOP('',(#267135,#267136,#267137,#267138)); #49255=EDGE_LOOP('',(#267139,#267140,#267141,#267142)); #49256=EDGE_LOOP('',(#267143,#267144,#267145,#267146)); #49257=EDGE_LOOP('',(#267147,#267148,#267149,#267150)); #49258=EDGE_LOOP('',(#267151,#267152,#267153,#267154)); #49259=EDGE_LOOP('',(#267155,#267156,#267157,#267158)); #49260=EDGE_LOOP('',(#267159,#267160,#267161,#267162)); #49261=EDGE_LOOP('',(#267163,#267164,#267165,#267166)); #49262=EDGE_LOOP('',(#267167,#267168,#267169,#267170)); #49263=EDGE_LOOP('',(#267171,#267172,#267173,#267174)); #49264=EDGE_LOOP('',(#267175,#267176,#267177,#267178)); #49265=EDGE_LOOP('',(#267179,#267180,#267181,#267182)); #49266=EDGE_LOOP('',(#267183,#267184,#267185,#267186)); #49267=EDGE_LOOP('',(#267187,#267188,#267189,#267190)); #49268=EDGE_LOOP('',(#267191,#267192,#267193,#267194)); #49269=EDGE_LOOP('',(#267195,#267196,#267197,#267198)); #49270=EDGE_LOOP('',(#267199,#267200,#267201,#267202)); #49271=EDGE_LOOP('',(#267203,#267204,#267205,#267206)); #49272=EDGE_LOOP('',(#267207,#267208,#267209,#267210)); #49273=EDGE_LOOP('',(#267211,#267212,#267213,#267214)); #49274=EDGE_LOOP('',(#267215,#267216,#267217,#267218)); #49275=EDGE_LOOP('',(#267219,#267220,#267221,#267222)); #49276=EDGE_LOOP('',(#267223,#267224,#267225,#267226)); #49277=EDGE_LOOP('',(#267227,#267228,#267229,#267230)); #49278=EDGE_LOOP('',(#267231,#267232,#267233,#267234)); #49279=EDGE_LOOP('',(#267235,#267236,#267237,#267238)); #49280=EDGE_LOOP('',(#267239,#267240,#267241,#267242)); #49281=EDGE_LOOP('',(#267243,#267244,#267245,#267246)); #49282=EDGE_LOOP('',(#267247,#267248,#267249,#267250)); #49283=EDGE_LOOP('',(#267251,#267252,#267253,#267254)); #49284=EDGE_LOOP('',(#267255,#267256,#267257,#267258)); #49285=EDGE_LOOP('',(#267259,#267260,#267261,#267262)); #49286=EDGE_LOOP('',(#267263,#267264,#267265,#267266)); #49287=EDGE_LOOP('',(#267267,#267268,#267269,#267270)); #49288=EDGE_LOOP('',(#267271,#267272,#267273,#267274)); #49289=EDGE_LOOP('',(#267275,#267276,#267277,#267278)); #49290=EDGE_LOOP('',(#267279,#267280,#267281,#267282)); #49291=EDGE_LOOP('',(#267283,#267284,#267285,#267286)); #49292=EDGE_LOOP('',(#267287,#267288,#267289,#267290)); #49293=EDGE_LOOP('',(#267291,#267292,#267293,#267294)); #49294=EDGE_LOOP('',(#267295,#267296,#267297,#267298)); #49295=EDGE_LOOP('',(#267299,#267300,#267301,#267302)); #49296=EDGE_LOOP('',(#267303,#267304,#267305,#267306)); #49297=EDGE_LOOP('',(#267307,#267308,#267309,#267310)); #49298=EDGE_LOOP('',(#267311,#267312,#267313,#267314)); #49299=EDGE_LOOP('',(#267315,#267316,#267317,#267318)); #49300=EDGE_LOOP('',(#267319,#267320,#267321,#267322)); #49301=EDGE_LOOP('',(#267323,#267324,#267325,#267326)); #49302=EDGE_LOOP('',(#267327,#267328,#267329,#267330)); #49303=EDGE_LOOP('',(#267331,#267332,#267333,#267334)); #49304=EDGE_LOOP('',(#267335,#267336,#267337,#267338)); #49305=EDGE_LOOP('',(#267339,#267340,#267341,#267342)); #49306=EDGE_LOOP('',(#267343,#267344,#267345,#267346)); #49307=EDGE_LOOP('',(#267347,#267348,#267349,#267350)); #49308=EDGE_LOOP('',(#267351,#267352,#267353,#267354)); #49309=EDGE_LOOP('',(#267355,#267356,#267357,#267358)); #49310=EDGE_LOOP('',(#267359,#267360,#267361,#267362)); #49311=EDGE_LOOP('',(#267363,#267364,#267365,#267366)); #49312=EDGE_LOOP('',(#267367,#267368,#267369,#267370)); #49313=EDGE_LOOP('',(#267371,#267372,#267373,#267374)); #49314=EDGE_LOOP('',(#267375,#267376,#267377,#267378,#267379,#267380,#267381, #267382,#267383,#267384,#267385,#267386,#267387,#267388,#267389,#267390, #267391,#267392,#267393,#267394,#267395,#267396,#267397,#267398,#267399, #267400,#267401,#267402,#267403,#267404,#267405,#267406,#267407,#267408, #267409,#267410,#267411,#267412,#267413,#267414,#267415,#267416,#267417, #267418,#267419,#267420,#267421,#267422,#267423)); #49315=EDGE_LOOP('',(#267424)); #49316=EDGE_LOOP('',(#267425)); #49317=EDGE_LOOP('',(#267426)); #49318=EDGE_LOOP('',(#267427)); #49319=EDGE_LOOP('',(#267428)); #49320=EDGE_LOOP('',(#267429)); #49321=EDGE_LOOP('',(#267430)); #49322=EDGE_LOOP('',(#267431)); #49323=EDGE_LOOP('',(#267432)); #49324=EDGE_LOOP('',(#267433)); #49325=EDGE_LOOP('',(#267434)); #49326=EDGE_LOOP('',(#267435)); #49327=EDGE_LOOP('',(#267436)); #49328=EDGE_LOOP('',(#267437)); #49329=EDGE_LOOP('',(#267438)); #49330=EDGE_LOOP('',(#267439,#267440,#267441,#267442,#267443,#267444,#267445, #267446,#267447,#267448,#267449,#267450,#267451,#267452,#267453,#267454, #267455,#267456,#267457,#267458,#267459,#267460,#267461,#267462,#267463, #267464,#267465,#267466,#267467,#267468,#267469,#267470,#267471,#267472, #267473,#267474,#267475,#267476,#267477,#267478,#267479,#267480,#267481, #267482,#267483,#267484,#267485,#267486,#267487)); #49331=EDGE_LOOP('',(#267488)); #49332=EDGE_LOOP('',(#267489)); #49333=EDGE_LOOP('',(#267490)); #49334=EDGE_LOOP('',(#267491)); #49335=EDGE_LOOP('',(#267492)); #49336=EDGE_LOOP('',(#267493)); #49337=EDGE_LOOP('',(#267494)); #49338=EDGE_LOOP('',(#267495)); #49339=EDGE_LOOP('',(#267496)); #49340=EDGE_LOOP('',(#267497)); #49341=EDGE_LOOP('',(#267498)); #49342=EDGE_LOOP('',(#267499)); #49343=EDGE_LOOP('',(#267500)); #49344=EDGE_LOOP('',(#267501)); #49345=EDGE_LOOP('',(#267502)); #49346=EDGE_LOOP('',(#267503,#267504,#267505,#267506)); #49347=EDGE_LOOP('',(#267507,#267508,#267509,#267510)); #49348=EDGE_LOOP('',(#267511,#267512,#267513,#267514)); #49349=EDGE_LOOP('',(#267515,#267516,#267517,#267518)); #49350=EDGE_LOOP('',(#267519,#267520,#267521,#267522)); #49351=EDGE_LOOP('',(#267523,#267524,#267525,#267526)); #49352=EDGE_LOOP('',(#267527,#267528,#267529,#267530)); #49353=EDGE_LOOP('',(#267531,#267532,#267533,#267534)); #49354=EDGE_LOOP('',(#267535,#267536,#267537,#267538)); #49355=EDGE_LOOP('',(#267539,#267540,#267541,#267542)); #49356=EDGE_LOOP('',(#267543,#267544,#267545,#267546)); #49357=EDGE_LOOP('',(#267547,#267548,#267549,#267550)); #49358=EDGE_LOOP('',(#267551,#267552,#267553,#267554)); #49359=EDGE_LOOP('',(#267555,#267556,#267557,#267558)); #49360=EDGE_LOOP('',(#267559,#267560,#267561,#267562)); #49361=EDGE_LOOP('',(#267563,#267564,#267565,#267566)); #49362=EDGE_LOOP('',(#267567,#267568,#267569,#267570)); #49363=EDGE_LOOP('',(#267571,#267572,#267573,#267574)); #49364=EDGE_LOOP('',(#267575,#267576,#267577,#267578)); #49365=EDGE_LOOP('',(#267579,#267580,#267581,#267582)); #49366=EDGE_LOOP('',(#267583,#267584,#267585,#267586)); #49367=EDGE_LOOP('',(#267587,#267588,#267589,#267590)); #49368=EDGE_LOOP('',(#267591,#267592,#267593,#267594)); #49369=EDGE_LOOP('',(#267595,#267596,#267597,#267598)); #49370=EDGE_LOOP('',(#267599,#267600,#267601,#267602)); #49371=EDGE_LOOP('',(#267603,#267604,#267605,#267606)); #49372=EDGE_LOOP('',(#267607,#267608,#267609,#267610)); #49373=EDGE_LOOP('',(#267611,#267612,#267613,#267614)); #49374=EDGE_LOOP('',(#267615,#267616,#267617,#267618)); #49375=EDGE_LOOP('',(#267619,#267620,#267621,#267622)); #49376=EDGE_LOOP('',(#267623,#267624,#267625,#267626)); #49377=EDGE_LOOP('',(#267627,#267628,#267629,#267630)); #49378=EDGE_LOOP('',(#267631,#267632,#267633,#267634)); #49379=EDGE_LOOP('',(#267635,#267636,#267637,#267638)); #49380=EDGE_LOOP('',(#267639,#267640,#267641,#267642)); #49381=EDGE_LOOP('',(#267643,#267644,#267645,#267646)); #49382=EDGE_LOOP('',(#267647,#267648,#267649,#267650)); #49383=EDGE_LOOP('',(#267651,#267652,#267653,#267654)); #49384=EDGE_LOOP('',(#267655,#267656,#267657,#267658)); #49385=EDGE_LOOP('',(#267659,#267660,#267661,#267662)); #49386=EDGE_LOOP('',(#267663,#267664,#267665,#267666)); #49387=EDGE_LOOP('',(#267667,#267668,#267669,#267670)); #49388=EDGE_LOOP('',(#267671,#267672,#267673,#267674)); #49389=EDGE_LOOP('',(#267675,#267676,#267677,#267678,#267679,#267680,#267681, #267682,#267683,#267684,#267685,#267686,#267687,#267688,#267689,#267690, #267691,#267692,#267693,#267694,#267695,#267696,#267697,#267698,#267699, #267700,#267701,#267702,#267703,#267704,#267705,#267706,#267707,#267708, #267709,#267710,#267711,#267712,#267713,#267714,#267715,#267716)); #49390=EDGE_LOOP('',(#267717)); #49391=EDGE_LOOP('',(#267718,#267719,#267720,#267721,#267722,#267723,#267724, #267725,#267726,#267727,#267728,#267729,#267730,#267731,#267732,#267733, #267734,#267735,#267736,#267737,#267738,#267739,#267740,#267741,#267742, #267743,#267744,#267745,#267746,#267747,#267748,#267749,#267750,#267751, #267752,#267753,#267754,#267755,#267756,#267757,#267758,#267759)); #49392=EDGE_LOOP('',(#267760)); #49393=EDGE_LOOP('',(#267761,#267762,#267763,#267764)); #49394=EDGE_LOOP('',(#267765,#267766,#267767,#267768)); #49395=EDGE_LOOP('',(#267769,#267770,#267771,#267772)); #49396=EDGE_LOOP('',(#267773,#267774,#267775,#267776)); #49397=EDGE_LOOP('',(#267777,#267778,#267779,#267780)); #49398=EDGE_LOOP('',(#267781,#267782,#267783,#267784)); #49399=EDGE_LOOP('',(#267785,#267786,#267787,#267788)); #49400=EDGE_LOOP('',(#267789,#267790,#267791,#267792)); #49401=EDGE_LOOP('',(#267793,#267794,#267795,#267796)); #49402=EDGE_LOOP('',(#267797,#267798,#267799,#267800)); #49403=EDGE_LOOP('',(#267801,#267802,#267803,#267804)); #49404=EDGE_LOOP('',(#267805,#267806,#267807,#267808)); #49405=EDGE_LOOP('',(#267809,#267810,#267811,#267812)); #49406=EDGE_LOOP('',(#267813,#267814,#267815,#267816)); #49407=EDGE_LOOP('',(#267817,#267818,#267819,#267820)); #49408=EDGE_LOOP('',(#267821,#267822,#267823,#267824)); #49409=EDGE_LOOP('',(#267825,#267826,#267827,#267828)); #49410=EDGE_LOOP('',(#267829,#267830,#267831,#267832)); #49411=EDGE_LOOP('',(#267833,#267834,#267835,#267836)); #49412=EDGE_LOOP('',(#267837,#267838,#267839,#267840)); #49413=EDGE_LOOP('',(#267841,#267842,#267843,#267844)); #49414=EDGE_LOOP('',(#267845,#267846,#267847,#267848)); #49415=EDGE_LOOP('',(#267849,#267850,#267851,#267852)); #49416=EDGE_LOOP('',(#267853,#267854,#267855,#267856)); #49417=EDGE_LOOP('',(#267857,#267858,#267859,#267860)); #49418=EDGE_LOOP('',(#267861,#267862,#267863,#267864)); #49419=EDGE_LOOP('',(#267865,#267866,#267867,#267868)); #49420=EDGE_LOOP('',(#267869,#267870,#267871,#267872)); #49421=EDGE_LOOP('',(#267873,#267874,#267875,#267876)); #49422=EDGE_LOOP('',(#267877,#267878,#267879,#267880)); #49423=EDGE_LOOP('',(#267881,#267882,#267883,#267884)); #49424=EDGE_LOOP('',(#267885,#267886,#267887,#267888)); #49425=EDGE_LOOP('',(#267889,#267890,#267891,#267892)); #49426=EDGE_LOOP('',(#267893,#267894,#267895,#267896)); #49427=EDGE_LOOP('',(#267897,#267898,#267899,#267900)); #49428=EDGE_LOOP('',(#267901,#267902,#267903,#267904)); #49429=EDGE_LOOP('',(#267905,#267906,#267907,#267908)); #49430=EDGE_LOOP('',(#267909,#267910,#267911,#267912)); #49431=EDGE_LOOP('',(#267913,#267914,#267915,#267916)); #49432=EDGE_LOOP('',(#267917,#267918,#267919,#267920)); #49433=EDGE_LOOP('',(#267921,#267922,#267923,#267924)); #49434=EDGE_LOOP('',(#267925,#267926,#267927,#267928)); #49435=EDGE_LOOP('',(#267929,#267930,#267931,#267932)); #49436=EDGE_LOOP('',(#267933,#267934,#267935,#267936)); #49437=EDGE_LOOP('',(#267937,#267938,#267939,#267940)); #49438=EDGE_LOOP('',(#267941,#267942,#267943,#267944)); #49439=EDGE_LOOP('',(#267945,#267946,#267947,#267948)); #49440=EDGE_LOOP('',(#267949,#267950,#267951,#267952)); #49441=EDGE_LOOP('',(#267953,#267954,#267955,#267956)); #49442=EDGE_LOOP('',(#267957,#267958,#267959,#267960)); #49443=EDGE_LOOP('',(#267961,#267962,#267963,#267964)); #49444=EDGE_LOOP('',(#267965,#267966,#267967,#267968)); #49445=EDGE_LOOP('',(#267969,#267970,#267971,#267972)); #49446=EDGE_LOOP('',(#267973,#267974,#267975,#267976)); #49447=EDGE_LOOP('',(#267977,#267978,#267979,#267980)); #49448=EDGE_LOOP('',(#267981,#267982,#267983,#267984)); #49449=EDGE_LOOP('',(#267985,#267986,#267987,#267988)); #49450=EDGE_LOOP('',(#267989,#267990,#267991,#267992)); #49451=EDGE_LOOP('',(#267993,#267994,#267995,#267996)); #49452=EDGE_LOOP('',(#267997,#267998,#267999,#268000)); #49453=EDGE_LOOP('',(#268001,#268002,#268003,#268004)); #49454=EDGE_LOOP('',(#268005,#268006,#268007,#268008)); #49455=EDGE_LOOP('',(#268009,#268010,#268011,#268012)); #49456=EDGE_LOOP('',(#268013,#268014,#268015,#268016)); #49457=EDGE_LOOP('',(#268017,#268018,#268019,#268020)); #49458=EDGE_LOOP('',(#268021,#268022,#268023,#268024)); #49459=EDGE_LOOP('',(#268025,#268026,#268027,#268028)); #49460=EDGE_LOOP('',(#268029,#268030,#268031,#268032)); #49461=EDGE_LOOP('',(#268033,#268034,#268035,#268036)); #49462=EDGE_LOOP('',(#268037,#268038,#268039,#268040)); #49463=EDGE_LOOP('',(#268041,#268042,#268043,#268044)); #49464=EDGE_LOOP('',(#268045,#268046,#268047,#268048)); #49465=EDGE_LOOP('',(#268049,#268050,#268051,#268052)); #49466=EDGE_LOOP('',(#268053,#268054,#268055,#268056)); #49467=EDGE_LOOP('',(#268057,#268058,#268059,#268060)); #49468=EDGE_LOOP('',(#268061,#268062,#268063,#268064)); #49469=EDGE_LOOP('',(#268065,#268066,#268067,#268068)); #49470=EDGE_LOOP('',(#268069,#268070,#268071,#268072)); #49471=EDGE_LOOP('',(#268073,#268074,#268075,#268076)); #49472=EDGE_LOOP('',(#268077,#268078,#268079,#268080)); #49473=EDGE_LOOP('',(#268081,#268082,#268083,#268084)); #49474=EDGE_LOOP('',(#268085,#268086,#268087,#268088)); #49475=EDGE_LOOP('',(#268089,#268090,#268091,#268092)); #49476=EDGE_LOOP('',(#268093,#268094,#268095,#268096)); #49477=EDGE_LOOP('',(#268097,#268098,#268099,#268100)); #49478=EDGE_LOOP('',(#268101,#268102,#268103,#268104)); #49479=EDGE_LOOP('',(#268105,#268106,#268107,#268108)); #49480=EDGE_LOOP('',(#268109,#268110,#268111,#268112)); #49481=EDGE_LOOP('',(#268113,#268114,#268115,#268116)); #49482=EDGE_LOOP('',(#268117,#268118,#268119,#268120)); #49483=EDGE_LOOP('',(#268121,#268122,#268123,#268124)); #49484=EDGE_LOOP('',(#268125,#268126,#268127,#268128)); #49485=EDGE_LOOP('',(#268129,#268130,#268131,#268132)); #49486=EDGE_LOOP('',(#268133,#268134,#268135,#268136)); #49487=EDGE_LOOP('',(#268137,#268138,#268139,#268140)); #49488=EDGE_LOOP('',(#268141,#268142,#268143,#268144)); #49489=EDGE_LOOP('',(#268145,#268146,#268147,#268148)); #49490=EDGE_LOOP('',(#268149,#268150,#268151,#268152)); #49491=EDGE_LOOP('',(#268153,#268154,#268155,#268156)); #49492=EDGE_LOOP('',(#268157,#268158,#268159,#268160)); #49493=EDGE_LOOP('',(#268161,#268162,#268163,#268164)); #49494=EDGE_LOOP('',(#268165,#268166,#268167,#268168)); #49495=EDGE_LOOP('',(#268169,#268170,#268171,#268172)); #49496=EDGE_LOOP('',(#268173,#268174,#268175,#268176)); #49497=EDGE_LOOP('',(#268177,#268178,#268179,#268180)); #49498=EDGE_LOOP('',(#268181,#268182,#268183,#268184)); #49499=EDGE_LOOP('',(#268185,#268186,#268187,#268188)); #49500=EDGE_LOOP('',(#268189,#268190,#268191,#268192)); #49501=EDGE_LOOP('',(#268193,#268194,#268195,#268196)); #49502=EDGE_LOOP('',(#268197,#268198,#268199,#268200)); #49503=EDGE_LOOP('',(#268201,#268202,#268203,#268204)); #49504=EDGE_LOOP('',(#268205,#268206,#268207,#268208)); #49505=EDGE_LOOP('',(#268209,#268210,#268211,#268212)); #49506=EDGE_LOOP('',(#268213,#268214,#268215,#268216)); #49507=EDGE_LOOP('',(#268217,#268218,#268219,#268220)); #49508=EDGE_LOOP('',(#268221,#268222,#268223,#268224)); #49509=EDGE_LOOP('',(#268225,#268226,#268227,#268228,#268229,#268230,#268231, #268232,#268233,#268234,#268235,#268236,#268237,#268238,#268239,#268240, #268241,#268242,#268243,#268244,#268245,#268246,#268247,#268248,#268249, #268250,#268251,#268252,#268253,#268254,#268255,#268256,#268257,#268258, #268259,#268260,#268261,#268262,#268263,#268264,#268265,#268266,#268267, #268268,#268269,#268270,#268271,#268272,#268273,#268274,#268275,#268276, #268277,#268278,#268279,#268280,#268281,#268282,#268283,#268284,#268285, #268286,#268287,#268288,#268289,#268290,#268291,#268292,#268293,#268294, #268295,#268296,#268297,#268298,#268299,#268300,#268301,#268302,#268303, #268304,#268305,#268306,#268307,#268308,#268309)); #49510=EDGE_LOOP('',(#268310)); #49511=EDGE_LOOP('',(#268311)); #49512=EDGE_LOOP('',(#268312)); #49513=EDGE_LOOP('',(#268313)); #49514=EDGE_LOOP('',(#268314)); #49515=EDGE_LOOP('',(#268315)); #49516=EDGE_LOOP('',(#268316)); #49517=EDGE_LOOP('',(#268317)); #49518=EDGE_LOOP('',(#268318)); #49519=EDGE_LOOP('',(#268319)); #49520=EDGE_LOOP('',(#268320)); #49521=EDGE_LOOP('',(#268321)); #49522=EDGE_LOOP('',(#268322)); #49523=EDGE_LOOP('',(#268323)); #49524=EDGE_LOOP('',(#268324)); #49525=EDGE_LOOP('',(#268325)); #49526=EDGE_LOOP('',(#268326)); #49527=EDGE_LOOP('',(#268327)); #49528=EDGE_LOOP('',(#268328)); #49529=EDGE_LOOP('',(#268329)); #49530=EDGE_LOOP('',(#268330)); #49531=EDGE_LOOP('',(#268331)); #49532=EDGE_LOOP('',(#268332)); #49533=EDGE_LOOP('',(#268333)); #49534=EDGE_LOOP('',(#268334)); #49535=EDGE_LOOP('',(#268335)); #49536=EDGE_LOOP('',(#268336)); #49537=EDGE_LOOP('',(#268337)); #49538=EDGE_LOOP('',(#268338)); #49539=EDGE_LOOP('',(#268339)); #49540=EDGE_LOOP('',(#268340)); #49541=EDGE_LOOP('',(#268341,#268342,#268343,#268344,#268345,#268346,#268347, #268348,#268349,#268350,#268351,#268352,#268353,#268354,#268355,#268356, #268357,#268358,#268359,#268360,#268361,#268362,#268363,#268364,#268365, #268366,#268367,#268368,#268369,#268370,#268371,#268372,#268373,#268374, #268375,#268376,#268377,#268378,#268379,#268380,#268381,#268382,#268383, #268384,#268385,#268386,#268387,#268388,#268389,#268390,#268391,#268392, #268393,#268394,#268395,#268396,#268397,#268398,#268399,#268400,#268401, #268402,#268403,#268404,#268405,#268406,#268407,#268408,#268409,#268410, #268411,#268412,#268413,#268414,#268415,#268416,#268417,#268418,#268419, #268420,#268421,#268422,#268423,#268424,#268425)); #49542=EDGE_LOOP('',(#268426)); #49543=EDGE_LOOP('',(#268427)); #49544=EDGE_LOOP('',(#268428)); #49545=EDGE_LOOP('',(#268429)); #49546=EDGE_LOOP('',(#268430)); #49547=EDGE_LOOP('',(#268431)); #49548=EDGE_LOOP('',(#268432)); #49549=EDGE_LOOP('',(#268433)); #49550=EDGE_LOOP('',(#268434)); #49551=EDGE_LOOP('',(#268435)); #49552=EDGE_LOOP('',(#268436)); #49553=EDGE_LOOP('',(#268437)); #49554=EDGE_LOOP('',(#268438)); #49555=EDGE_LOOP('',(#268439)); #49556=EDGE_LOOP('',(#268440)); #49557=EDGE_LOOP('',(#268441)); #49558=EDGE_LOOP('',(#268442)); #49559=EDGE_LOOP('',(#268443)); #49560=EDGE_LOOP('',(#268444)); #49561=EDGE_LOOP('',(#268445)); #49562=EDGE_LOOP('',(#268446)); #49563=EDGE_LOOP('',(#268447)); #49564=EDGE_LOOP('',(#268448)); #49565=EDGE_LOOP('',(#268449)); #49566=EDGE_LOOP('',(#268450)); #49567=EDGE_LOOP('',(#268451)); #49568=EDGE_LOOP('',(#268452)); #49569=EDGE_LOOP('',(#268453)); #49570=EDGE_LOOP('',(#268454)); #49571=EDGE_LOOP('',(#268455)); #49572=EDGE_LOOP('',(#268456)); #49573=EDGE_LOOP('',(#268457,#268458,#268459,#268460)); #49574=EDGE_LOOP('',(#268461,#268462,#268463,#268464)); #49575=EDGE_LOOP('',(#268465,#268466,#268467,#268468)); #49576=EDGE_LOOP('',(#268469,#268470,#268471,#268472)); #49577=EDGE_LOOP('',(#268473,#268474,#268475,#268476)); #49578=EDGE_LOOP('',(#268477,#268478,#268479,#268480)); #49579=EDGE_LOOP('',(#268481,#268482,#268483,#268484)); #49580=EDGE_LOOP('',(#268485,#268486,#268487,#268488)); #49581=EDGE_LOOP('',(#268489,#268490,#268491,#268492)); #49582=EDGE_LOOP('',(#268493,#268494,#268495,#268496)); #49583=EDGE_LOOP('',(#268497,#268498,#268499,#268500)); #49584=EDGE_LOOP('',(#268501,#268502,#268503,#268504)); #49585=EDGE_LOOP('',(#268505,#268506,#268507,#268508)); #49586=EDGE_LOOP('',(#268509,#268510,#268511,#268512)); #49587=EDGE_LOOP('',(#268513,#268514,#268515,#268516)); #49588=EDGE_LOOP('',(#268517,#268518,#268519,#268520)); #49589=EDGE_LOOP('',(#268521,#268522,#268523,#268524)); #49590=EDGE_LOOP('',(#268525,#268526,#268527,#268528)); #49591=EDGE_LOOP('',(#268529,#268530,#268531,#268532)); #49592=EDGE_LOOP('',(#268533,#268534,#268535,#268536)); #49593=EDGE_LOOP('',(#268537,#268538,#268539,#268540)); #49594=EDGE_LOOP('',(#268541,#268542,#268543,#268544)); #49595=EDGE_LOOP('',(#268545,#268546,#268547,#268548,#268549,#268550,#268551, #268552,#268553,#268554,#268555,#268556,#268557,#268558,#268559,#268560, #268561,#268562,#268563,#268564,#268565)); #49596=EDGE_LOOP('',(#268566)); #49597=EDGE_LOOP('',(#268567,#268568,#268569,#268570,#268571,#268572,#268573, #268574,#268575,#268576,#268577,#268578,#268579,#268580,#268581,#268582, #268583,#268584,#268585,#268586,#268587)); #49598=EDGE_LOOP('',(#268588)); #49599=EDGE_LOOP('',(#268589,#268590,#268591,#268592)); #49600=EDGE_LOOP('',(#268593,#268594,#268595,#268596)); #49601=EDGE_LOOP('',(#268597,#268598,#268599,#268600)); #49602=EDGE_LOOP('',(#268601,#268602,#268603,#268604)); #49603=EDGE_LOOP('',(#268605,#268606,#268607,#268608)); #49604=EDGE_LOOP('',(#268609,#268610,#268611,#268612)); #49605=EDGE_LOOP('',(#268613,#268614,#268615,#268616)); #49606=EDGE_LOOP('',(#268617,#268618,#268619,#268620)); #49607=EDGE_LOOP('',(#268621,#268622,#268623,#268624)); #49608=EDGE_LOOP('',(#268625,#268626,#268627,#268628)); #49609=EDGE_LOOP('',(#268629,#268630,#268631,#268632)); #49610=EDGE_LOOP('',(#268633,#268634,#268635,#268636)); #49611=EDGE_LOOP('',(#268637,#268638,#268639,#268640)); #49612=EDGE_LOOP('',(#268641,#268642,#268643,#268644)); #49613=EDGE_LOOP('',(#268645,#268646,#268647,#268648)); #49614=EDGE_LOOP('',(#268649,#268650,#268651,#268652)); #49615=EDGE_LOOP('',(#268653,#268654,#268655,#268656)); #49616=EDGE_LOOP('',(#268657,#268658,#268659,#268660)); #49617=EDGE_LOOP('',(#268661,#268662,#268663,#268664)); #49618=EDGE_LOOP('',(#268665,#268666,#268667,#268668)); #49619=EDGE_LOOP('',(#268669,#268670,#268671,#268672)); #49620=EDGE_LOOP('',(#268673,#268674,#268675,#268676)); #49621=EDGE_LOOP('',(#268677,#268678,#268679,#268680)); #49622=EDGE_LOOP('',(#268681,#268682,#268683,#268684)); #49623=EDGE_LOOP('',(#268685,#268686,#268687,#268688)); #49624=EDGE_LOOP('',(#268689,#268690,#268691,#268692)); #49625=EDGE_LOOP('',(#268693,#268694,#268695,#268696)); #49626=EDGE_LOOP('',(#268697,#268698,#268699,#268700)); #49627=EDGE_LOOP('',(#268701,#268702,#268703,#268704)); #49628=EDGE_LOOP('',(#268705,#268706,#268707,#268708)); #49629=EDGE_LOOP('',(#268709,#268710,#268711,#268712)); #49630=EDGE_LOOP('',(#268713,#268714,#268715,#268716)); #49631=EDGE_LOOP('',(#268717,#268718,#268719,#268720)); #49632=EDGE_LOOP('',(#268721,#268722,#268723,#268724)); #49633=EDGE_LOOP('',(#268725,#268726,#268727,#268728)); #49634=EDGE_LOOP('',(#268729,#268730,#268731,#268732)); #49635=EDGE_LOOP('',(#268733,#268734,#268735,#268736)); #49636=EDGE_LOOP('',(#268737,#268738,#268739,#268740)); #49637=EDGE_LOOP('',(#268741,#268742,#268743,#268744)); #49638=EDGE_LOOP('',(#268745,#268746,#268747,#268748)); #49639=EDGE_LOOP('',(#268749,#268750,#268751,#268752)); #49640=EDGE_LOOP('',(#268753,#268754,#268755,#268756)); #49641=EDGE_LOOP('',(#268757,#268758,#268759,#268760)); #49642=EDGE_LOOP('',(#268761,#268762,#268763,#268764)); #49643=EDGE_LOOP('',(#268765,#268766,#268767,#268768)); #49644=EDGE_LOOP('',(#268769,#268770,#268771,#268772)); #49645=EDGE_LOOP('',(#268773,#268774,#268775,#268776)); #49646=EDGE_LOOP('',(#268777,#268778,#268779,#268780)); #49647=EDGE_LOOP('',(#268781,#268782,#268783,#268784)); #49648=EDGE_LOOP('',(#268785,#268786,#268787,#268788)); #49649=EDGE_LOOP('',(#268789,#268790,#268791,#268792)); #49650=EDGE_LOOP('',(#268793,#268794,#268795,#268796)); #49651=EDGE_LOOP('',(#268797,#268798,#268799,#268800)); #49652=EDGE_LOOP('',(#268801,#268802,#268803,#268804)); #49653=EDGE_LOOP('',(#268805,#268806,#268807,#268808)); #49654=EDGE_LOOP('',(#268809,#268810,#268811,#268812)); #49655=EDGE_LOOP('',(#268813,#268814,#268815,#268816)); #49656=EDGE_LOOP('',(#268817,#268818,#268819,#268820)); #49657=EDGE_LOOP('',(#268821,#268822,#268823,#268824)); #49658=EDGE_LOOP('',(#268825,#268826,#268827,#268828)); #49659=EDGE_LOOP('',(#268829,#268830,#268831,#268832)); #49660=EDGE_LOOP('',(#268833,#268834,#268835,#268836)); #49661=EDGE_LOOP('',(#268837,#268838,#268839,#268840)); #49662=EDGE_LOOP('',(#268841,#268842,#268843,#268844)); #49663=EDGE_LOOP('',(#268845,#268846,#268847,#268848)); #49664=EDGE_LOOP('',(#268849,#268850,#268851,#268852)); #49665=EDGE_LOOP('',(#268853,#268854,#268855,#268856)); #49666=EDGE_LOOP('',(#268857,#268858,#268859,#268860)); #49667=EDGE_LOOP('',(#268861,#268862,#268863,#268864)); #49668=EDGE_LOOP('',(#268865,#268866,#268867,#268868)); #49669=EDGE_LOOP('',(#268869,#268870,#268871,#268872)); #49670=EDGE_LOOP('',(#268873,#268874,#268875,#268876)); #49671=EDGE_LOOP('',(#268877,#268878,#268879,#268880)); #49672=EDGE_LOOP('',(#268881,#268882,#268883,#268884)); #49673=EDGE_LOOP('',(#268885,#268886,#268887,#268888)); #49674=EDGE_LOOP('',(#268889,#268890,#268891,#268892)); #49675=EDGE_LOOP('',(#268893,#268894,#268895,#268896)); #49676=EDGE_LOOP('',(#268897,#268898,#268899,#268900)); #49677=EDGE_LOOP('',(#268901,#268902,#268903,#268904)); #49678=EDGE_LOOP('',(#268905,#268906,#268907,#268908)); #49679=EDGE_LOOP('',(#268909,#268910,#268911,#268912)); #49680=EDGE_LOOP('',(#268913,#268914,#268915,#268916)); #49681=EDGE_LOOP('',(#268917,#268918,#268919,#268920)); #49682=EDGE_LOOP('',(#268921,#268922,#268923,#268924)); #49683=EDGE_LOOP('',(#268925,#268926,#268927,#268928)); #49684=EDGE_LOOP('',(#268929,#268930,#268931,#268932)); #49685=EDGE_LOOP('',(#268933,#268934,#268935,#268936)); #49686=EDGE_LOOP('',(#268937,#268938,#268939,#268940)); #49687=EDGE_LOOP('',(#268941,#268942,#268943,#268944)); #49688=EDGE_LOOP('',(#268945,#268946,#268947,#268948)); #49689=EDGE_LOOP('',(#268949,#268950,#268951,#268952)); #49690=EDGE_LOOP('',(#268953,#268954,#268955,#268956)); #49691=EDGE_LOOP('',(#268957,#268958,#268959,#268960)); #49692=EDGE_LOOP('',(#268961,#268962,#268963,#268964)); #49693=EDGE_LOOP('',(#268965,#268966,#268967,#268968)); #49694=EDGE_LOOP('',(#268969,#268970,#268971,#268972)); #49695=EDGE_LOOP('',(#268973,#268974,#268975,#268976)); #49696=EDGE_LOOP('',(#268977,#268978,#268979,#268980)); #49697=EDGE_LOOP('',(#268981,#268982,#268983,#268984)); #49698=EDGE_LOOP('',(#268985,#268986,#268987,#268988)); #49699=EDGE_LOOP('',(#268989,#268990,#268991,#268992)); #49700=EDGE_LOOP('',(#268993,#268994,#268995,#268996)); #49701=EDGE_LOOP('',(#268997,#268998,#268999,#269000)); #49702=EDGE_LOOP('',(#269001,#269002,#269003,#269004)); #49703=EDGE_LOOP('',(#269005,#269006,#269007,#269008)); #49704=EDGE_LOOP('',(#269009,#269010,#269011,#269012)); #49705=EDGE_LOOP('',(#269013,#269014,#269015,#269016)); #49706=EDGE_LOOP('',(#269017,#269018,#269019,#269020)); #49707=EDGE_LOOP('',(#269021,#269022,#269023,#269024)); #49708=EDGE_LOOP('',(#269025,#269026,#269027,#269028)); #49709=EDGE_LOOP('',(#269029,#269030,#269031,#269032)); #49710=EDGE_LOOP('',(#269033,#269034,#269035,#269036)); #49711=EDGE_LOOP('',(#269037,#269038,#269039,#269040)); #49712=EDGE_LOOP('',(#269041,#269042,#269043,#269044)); #49713=EDGE_LOOP('',(#269045,#269046,#269047,#269048)); #49714=EDGE_LOOP('',(#269049,#269050,#269051,#269052)); #49715=EDGE_LOOP('',(#269053,#269054,#269055,#269056)); #49716=EDGE_LOOP('',(#269057,#269058,#269059,#269060)); #49717=EDGE_LOOP('',(#269061,#269062,#269063,#269064)); #49718=EDGE_LOOP('',(#269065,#269066,#269067,#269068)); #49719=EDGE_LOOP('',(#269069,#269070,#269071,#269072)); #49720=EDGE_LOOP('',(#269073,#269074,#269075,#269076)); #49721=EDGE_LOOP('',(#269077,#269078,#269079,#269080)); #49722=EDGE_LOOP('',(#269081,#269082,#269083,#269084)); #49723=EDGE_LOOP('',(#269085,#269086,#269087,#269088)); #49724=EDGE_LOOP('',(#269089,#269090,#269091,#269092)); #49725=EDGE_LOOP('',(#269093,#269094,#269095,#269096)); #49726=EDGE_LOOP('',(#269097,#269098,#269099,#269100)); #49727=EDGE_LOOP('',(#269101,#269102,#269103,#269104)); #49728=EDGE_LOOP('',(#269105,#269106,#269107,#269108)); #49729=EDGE_LOOP('',(#269109,#269110,#269111,#269112)); #49730=EDGE_LOOP('',(#269113,#269114,#269115,#269116)); #49731=EDGE_LOOP('',(#269117,#269118,#269119,#269120)); #49732=EDGE_LOOP('',(#269121,#269122,#269123,#269124)); #49733=EDGE_LOOP('',(#269125,#269126,#269127,#269128)); #49734=EDGE_LOOP('',(#269129,#269130,#269131,#269132,#269133,#269134,#269135, #269136,#269137,#269138,#269139,#269140,#269141,#269142,#269143,#269144, #269145,#269146,#269147,#269148,#269149,#269150,#269151,#269152,#269153, #269154,#269155,#269156,#269157,#269158,#269159,#269160,#269161,#269162, #269163,#269164,#269165,#269166,#269167,#269168,#269169,#269170,#269171, #269172,#269173,#269174,#269175,#269176,#269177,#269178,#269179,#269180, #269181,#269182,#269183,#269184,#269185,#269186,#269187,#269188,#269189, #269190,#269191,#269192,#269193,#269194,#269195,#269196,#269197,#269198, #269199,#269200,#269201,#269202,#269203,#269204,#269205,#269206,#269207, #269208,#269209,#269210,#269211,#269212,#269213,#269214,#269215,#269216, #269217,#269218,#269219,#269220,#269221,#269222,#269223,#269224,#269225, #269226,#269227,#269228,#269229,#269230,#269231,#269232,#269233,#269234, #269235,#269236,#269237,#269238,#269239,#269240,#269241,#269242,#269243, #269244,#269245,#269246,#269247,#269248,#269249,#269250,#269251,#269252)); #49735=EDGE_LOOP('',(#269253)); #49736=EDGE_LOOP('',(#269254)); #49737=EDGE_LOOP('',(#269255)); #49738=EDGE_LOOP('',(#269256)); #49739=EDGE_LOOP('',(#269257)); #49740=EDGE_LOOP('',(#269258)); #49741=EDGE_LOOP('',(#269259)); #49742=EDGE_LOOP('',(#269260)); #49743=EDGE_LOOP('',(#269261)); #49744=EDGE_LOOP('',(#269262)); #49745=EDGE_LOOP('',(#269263)); #49746=EDGE_LOOP('',(#269264,#269265,#269266,#269267,#269268,#269269,#269270, #269271,#269272,#269273,#269274,#269275,#269276,#269277,#269278,#269279, #269280,#269281,#269282,#269283,#269284,#269285,#269286,#269287,#269288, #269289,#269290,#269291,#269292,#269293,#269294,#269295,#269296,#269297, #269298,#269299,#269300,#269301,#269302,#269303,#269304,#269305,#269306, #269307,#269308,#269309,#269310,#269311,#269312,#269313,#269314,#269315, #269316,#269317,#269318,#269319,#269320,#269321,#269322,#269323,#269324, #269325,#269326,#269327,#269328,#269329,#269330,#269331,#269332,#269333, #269334,#269335,#269336,#269337,#269338,#269339,#269340,#269341,#269342, #269343,#269344,#269345,#269346,#269347,#269348,#269349,#269350,#269351, #269352,#269353,#269354,#269355,#269356,#269357,#269358,#269359,#269360, #269361,#269362,#269363,#269364,#269365,#269366,#269367,#269368,#269369, #269370,#269371,#269372,#269373,#269374,#269375,#269376,#269377,#269378, #269379,#269380,#269381,#269382,#269383,#269384,#269385,#269386,#269387)); #49747=EDGE_LOOP('',(#269388)); #49748=EDGE_LOOP('',(#269389)); #49749=EDGE_LOOP('',(#269390)); #49750=EDGE_LOOP('',(#269391)); #49751=EDGE_LOOP('',(#269392)); #49752=EDGE_LOOP('',(#269393)); #49753=EDGE_LOOP('',(#269394)); #49754=EDGE_LOOP('',(#269395)); #49755=EDGE_LOOP('',(#269396)); #49756=EDGE_LOOP('',(#269397)); #49757=EDGE_LOOP('',(#269398)); #49758=EDGE_LOOP('',(#269399,#269400,#269401,#269402)); #49759=EDGE_LOOP('',(#269403,#269404,#269405,#269406)); #49760=EDGE_LOOP('',(#269407,#269408,#269409,#269410)); #49761=EDGE_LOOP('',(#269411,#269412,#269413,#269414)); #49762=EDGE_LOOP('',(#269415,#269416,#269417,#269418)); #49763=EDGE_LOOP('',(#269419,#269420,#269421,#269422)); #49764=EDGE_LOOP('',(#269423,#269424,#269425,#269426)); #49765=EDGE_LOOP('',(#269427,#269428,#269429,#269430)); #49766=EDGE_LOOP('',(#269431,#269432,#269433,#269434)); #49767=EDGE_LOOP('',(#269435,#269436,#269437,#269438)); #49768=EDGE_LOOP('',(#269439,#269440,#269441,#269442)); #49769=EDGE_LOOP('',(#269443,#269444,#269445,#269446)); #49770=EDGE_LOOP('',(#269447,#269448,#269449,#269450)); #49771=EDGE_LOOP('',(#269451,#269452,#269453,#269454)); #49772=EDGE_LOOP('',(#269455,#269456,#269457,#269458)); #49773=EDGE_LOOP('',(#269459,#269460,#269461,#269462)); #49774=EDGE_LOOP('',(#269463,#269464,#269465,#269466)); #49775=EDGE_LOOP('',(#269467,#269468,#269469,#269470)); #49776=EDGE_LOOP('',(#269471,#269472,#269473,#269474)); #49777=EDGE_LOOP('',(#269475,#269476,#269477,#269478)); #49778=EDGE_LOOP('',(#269479,#269480,#269481,#269482)); #49779=EDGE_LOOP('',(#269483,#269484,#269485,#269486)); #49780=EDGE_LOOP('',(#269487,#269488,#269489,#269490)); #49781=EDGE_LOOP('',(#269491,#269492,#269493,#269494)); #49782=EDGE_LOOP('',(#269495,#269496,#269497,#269498)); #49783=EDGE_LOOP('',(#269499,#269500,#269501,#269502)); #49784=EDGE_LOOP('',(#269503,#269504,#269505,#269506)); #49785=EDGE_LOOP('',(#269507,#269508,#269509,#269510)); #49786=EDGE_LOOP('',(#269511,#269512,#269513,#269514)); #49787=EDGE_LOOP('',(#269515,#269516,#269517,#269518)); #49788=EDGE_LOOP('',(#269519,#269520,#269521,#269522)); #49789=EDGE_LOOP('',(#269523,#269524,#269525,#269526)); #49790=EDGE_LOOP('',(#269527,#269528,#269529,#269530)); #49791=EDGE_LOOP('',(#269531,#269532,#269533,#269534)); #49792=EDGE_LOOP('',(#269535,#269536,#269537,#269538)); #49793=EDGE_LOOP('',(#269539,#269540,#269541,#269542)); #49794=EDGE_LOOP('',(#269543,#269544,#269545,#269546)); #49795=EDGE_LOOP('',(#269547,#269548,#269549,#269550)); #49796=EDGE_LOOP('',(#269551,#269552,#269553,#269554)); #49797=EDGE_LOOP('',(#269555,#269556,#269557,#269558)); #49798=EDGE_LOOP('',(#269559,#269560,#269561,#269562)); #49799=EDGE_LOOP('',(#269563,#269564,#269565,#269566)); #49800=EDGE_LOOP('',(#269567,#269568,#269569,#269570)); #49801=EDGE_LOOP('',(#269571,#269572,#269573,#269574)); #49802=EDGE_LOOP('',(#269575,#269576,#269577,#269578)); #49803=EDGE_LOOP('',(#269579,#269580,#269581,#269582)); #49804=EDGE_LOOP('',(#269583,#269584,#269585,#269586)); #49805=EDGE_LOOP('',(#269587,#269588,#269589,#269590)); #49806=EDGE_LOOP('',(#269591,#269592,#269593,#269594)); #49807=EDGE_LOOP('',(#269595,#269596,#269597,#269598)); #49808=EDGE_LOOP('',(#269599,#269600,#269601,#269602)); #49809=EDGE_LOOP('',(#269603,#269604,#269605,#269606)); #49810=EDGE_LOOP('',(#269607,#269608,#269609,#269610)); #49811=EDGE_LOOP('',(#269611,#269612,#269613,#269614)); #49812=EDGE_LOOP('',(#269615,#269616,#269617,#269618)); #49813=EDGE_LOOP('',(#269619,#269620,#269621,#269622)); #49814=EDGE_LOOP('',(#269623,#269624,#269625,#269626)); #49815=EDGE_LOOP('',(#269627,#269628,#269629,#269630)); #49816=EDGE_LOOP('',(#269631,#269632,#269633,#269634)); #49817=EDGE_LOOP('',(#269635,#269636,#269637,#269638)); #49818=EDGE_LOOP('',(#269639,#269640,#269641,#269642)); #49819=EDGE_LOOP('',(#269643,#269644,#269645,#269646)); #49820=EDGE_LOOP('',(#269647,#269648,#269649,#269650)); #49821=EDGE_LOOP('',(#269651,#269652,#269653,#269654)); #49822=EDGE_LOOP('',(#269655,#269656,#269657,#269658)); #49823=EDGE_LOOP('',(#269659,#269660,#269661,#269662)); #49824=EDGE_LOOP('',(#269663,#269664,#269665,#269666)); #49825=EDGE_LOOP('',(#269667,#269668,#269669,#269670)); #49826=EDGE_LOOP('',(#269671,#269672,#269673,#269674)); #49827=EDGE_LOOP('',(#269675,#269676,#269677,#269678)); #49828=EDGE_LOOP('',(#269679,#269680,#269681,#269682)); #49829=EDGE_LOOP('',(#269683,#269684,#269685,#269686)); #49830=EDGE_LOOP('',(#269687,#269688,#269689,#269690)); #49831=EDGE_LOOP('',(#269691,#269692,#269693,#269694)); #49832=EDGE_LOOP('',(#269695,#269696,#269697,#269698)); #49833=EDGE_LOOP('',(#269699,#269700,#269701,#269702)); #49834=EDGE_LOOP('',(#269703,#269704,#269705,#269706)); #49835=EDGE_LOOP('',(#269707,#269708,#269709,#269710)); #49836=EDGE_LOOP('',(#269711,#269712,#269713,#269714)); #49837=EDGE_LOOP('',(#269715,#269716,#269717,#269718)); #49838=EDGE_LOOP('',(#269719,#269720,#269721,#269722)); #49839=EDGE_LOOP('',(#269723,#269724,#269725,#269726)); #49840=EDGE_LOOP('',(#269727,#269728,#269729,#269730)); #49841=EDGE_LOOP('',(#269731,#269732,#269733,#269734)); #49842=EDGE_LOOP('',(#269735,#269736,#269737,#269738)); #49843=EDGE_LOOP('',(#269739,#269740,#269741,#269742)); #49844=EDGE_LOOP('',(#269743,#269744,#269745,#269746)); #49845=EDGE_LOOP('',(#269747,#269748,#269749,#269750)); #49846=EDGE_LOOP('',(#269751,#269752,#269753,#269754)); #49847=EDGE_LOOP('',(#269755,#269756,#269757,#269758)); #49848=EDGE_LOOP('',(#269759,#269760,#269761,#269762)); #49849=EDGE_LOOP('',(#269763,#269764,#269765,#269766)); #49850=EDGE_LOOP('',(#269767,#269768,#269769,#269770)); #49851=EDGE_LOOP('',(#269771,#269772,#269773,#269774)); #49852=EDGE_LOOP('',(#269775,#269776,#269777,#269778)); #49853=EDGE_LOOP('',(#269779,#269780,#269781,#269782)); #49854=EDGE_LOOP('',(#269783,#269784,#269785,#269786)); #49855=EDGE_LOOP('',(#269787,#269788,#269789,#269790)); #49856=EDGE_LOOP('',(#269791,#269792,#269793,#269794)); #49857=EDGE_LOOP('',(#269795,#269796,#269797,#269798)); #49858=EDGE_LOOP('',(#269799,#269800,#269801,#269802)); #49859=EDGE_LOOP('',(#269803,#269804,#269805,#269806)); #49860=EDGE_LOOP('',(#269807,#269808,#269809,#269810)); #49861=EDGE_LOOP('',(#269811,#269812,#269813,#269814)); #49862=EDGE_LOOP('',(#269815,#269816,#269817,#269818)); #49863=EDGE_LOOP('',(#269819,#269820,#269821,#269822)); #49864=EDGE_LOOP('',(#269823,#269824,#269825,#269826)); #49865=EDGE_LOOP('',(#269827,#269828,#269829,#269830)); #49866=EDGE_LOOP('',(#269831,#269832,#269833,#269834)); #49867=EDGE_LOOP('',(#269835,#269836,#269837,#269838)); #49868=EDGE_LOOP('',(#269839,#269840,#269841,#269842)); #49869=EDGE_LOOP('',(#269843,#269844,#269845,#269846,#269847,#269848,#269849, #269850,#269851,#269852,#269853,#269854,#269855,#269856,#269857,#269858, #269859,#269860,#269861,#269862,#269863,#269864,#269865,#269866,#269867, #269868,#269869,#269870,#269871,#269872,#269873,#269874,#269875,#269876, #269877,#269878,#269879,#269880,#269881,#269882,#269883,#269884,#269885, #269886,#269887,#269888,#269889,#269890,#269891,#269892,#269893,#269894, #269895,#269896,#269897,#269898,#269899,#269900,#269901,#269902,#269903, #269904,#269905,#269906,#269907,#269908,#269909,#269910,#269911,#269912, #269913,#269914,#269915,#269916,#269917,#269918,#269919,#269920,#269921, #269922)); #49870=EDGE_LOOP('',(#269923)); #49871=EDGE_LOOP('',(#269924)); #49872=EDGE_LOOP('',(#269925)); #49873=EDGE_LOOP('',(#269926)); #49874=EDGE_LOOP('',(#269927)); #49875=EDGE_LOOP('',(#269928)); #49876=EDGE_LOOP('',(#269929)); #49877=EDGE_LOOP('',(#269930)); #49878=EDGE_LOOP('',(#269931)); #49879=EDGE_LOOP('',(#269932)); #49880=EDGE_LOOP('',(#269933)); #49881=EDGE_LOOP('',(#269934)); #49882=EDGE_LOOP('',(#269935)); #49883=EDGE_LOOP('',(#269936)); #49884=EDGE_LOOP('',(#269937)); #49885=EDGE_LOOP('',(#269938)); #49886=EDGE_LOOP('',(#269939)); #49887=EDGE_LOOP('',(#269940)); #49888=EDGE_LOOP('',(#269941)); #49889=EDGE_LOOP('',(#269942)); #49890=EDGE_LOOP('',(#269943)); #49891=EDGE_LOOP('',(#269944)); #49892=EDGE_LOOP('',(#269945)); #49893=EDGE_LOOP('',(#269946)); #49894=EDGE_LOOP('',(#269947)); #49895=EDGE_LOOP('',(#269948)); #49896=EDGE_LOOP('',(#269949)); #49897=EDGE_LOOP('',(#269950)); #49898=EDGE_LOOP('',(#269951)); #49899=EDGE_LOOP('',(#269952)); #49900=EDGE_LOOP('',(#269953)); #49901=EDGE_LOOP('',(#269954,#269955,#269956,#269957,#269958,#269959,#269960, #269961,#269962,#269963,#269964,#269965,#269966,#269967,#269968,#269969, #269970,#269971,#269972,#269973,#269974,#269975,#269976,#269977,#269978, #269979,#269980,#269981,#269982,#269983,#269984,#269985,#269986,#269987, #269988,#269989,#269990,#269991,#269992,#269993,#269994,#269995,#269996, #269997,#269998,#269999,#270000,#270001,#270002,#270003,#270004,#270005, #270006,#270007,#270008,#270009,#270010,#270011,#270012,#270013,#270014, #270015,#270016,#270017,#270018,#270019,#270020,#270021,#270022,#270023, #270024,#270025,#270026,#270027,#270028,#270029,#270030,#270031,#270032, #270033)); #49902=EDGE_LOOP('',(#270034)); #49903=EDGE_LOOP('',(#270035)); #49904=EDGE_LOOP('',(#270036)); #49905=EDGE_LOOP('',(#270037)); #49906=EDGE_LOOP('',(#270038)); #49907=EDGE_LOOP('',(#270039)); #49908=EDGE_LOOP('',(#270040)); #49909=EDGE_LOOP('',(#270041)); #49910=EDGE_LOOP('',(#270042)); #49911=EDGE_LOOP('',(#270043)); #49912=EDGE_LOOP('',(#270044)); #49913=EDGE_LOOP('',(#270045)); #49914=EDGE_LOOP('',(#270046)); #49915=EDGE_LOOP('',(#270047)); #49916=EDGE_LOOP('',(#270048)); #49917=EDGE_LOOP('',(#270049)); #49918=EDGE_LOOP('',(#270050)); #49919=EDGE_LOOP('',(#270051)); #49920=EDGE_LOOP('',(#270052)); #49921=EDGE_LOOP('',(#270053)); #49922=EDGE_LOOP('',(#270054)); #49923=EDGE_LOOP('',(#270055)); #49924=EDGE_LOOP('',(#270056)); #49925=EDGE_LOOP('',(#270057)); #49926=EDGE_LOOP('',(#270058)); #49927=EDGE_LOOP('',(#270059)); #49928=EDGE_LOOP('',(#270060)); #49929=EDGE_LOOP('',(#270061)); #49930=EDGE_LOOP('',(#270062)); #49931=EDGE_LOOP('',(#270063)); #49932=EDGE_LOOP('',(#270064)); #49933=EDGE_LOOP('',(#270065,#270066,#270067,#270068)); #49934=EDGE_LOOP('',(#270069,#270070,#270071,#270072)); #49935=EDGE_LOOP('',(#270073,#270074,#270075,#270076)); #49936=EDGE_LOOP('',(#270077,#270078,#270079,#270080)); #49937=EDGE_LOOP('',(#270081,#270082,#270083,#270084)); #49938=EDGE_LOOP('',(#270085,#270086,#270087,#270088)); #49939=EDGE_LOOP('',(#270089,#270090,#270091,#270092)); #49940=EDGE_LOOP('',(#270093,#270094,#270095,#270096)); #49941=EDGE_LOOP('',(#270097,#270098,#270099,#270100)); #49942=EDGE_LOOP('',(#270101,#270102,#270103,#270104)); #49943=EDGE_LOOP('',(#270105,#270106,#270107,#270108)); #49944=EDGE_LOOP('',(#270109,#270110,#270111,#270112)); #49945=EDGE_LOOP('',(#270113,#270114,#270115,#270116)); #49946=EDGE_LOOP('',(#270117,#270118,#270119,#270120)); #49947=EDGE_LOOP('',(#270121,#270122,#270123,#270124)); #49948=EDGE_LOOP('',(#270125,#270126,#270127,#270128)); #49949=EDGE_LOOP('',(#270129,#270130,#270131,#270132)); #49950=EDGE_LOOP('',(#270133,#270134,#270135,#270136)); #49951=EDGE_LOOP('',(#270137,#270138,#270139,#270140)); #49952=EDGE_LOOP('',(#270141,#270142,#270143,#270144)); #49953=EDGE_LOOP('',(#270145,#270146,#270147,#270148)); #49954=EDGE_LOOP('',(#270149,#270150,#270151,#270152)); #49955=EDGE_LOOP('',(#270153,#270154,#270155,#270156,#270157,#270158,#270159, #270160,#270161,#270162,#270163,#270164,#270165,#270166,#270167,#270168, #270169,#270170,#270171,#270172,#270173)); #49956=EDGE_LOOP('',(#270174)); #49957=EDGE_LOOP('',(#270175,#270176,#270177,#270178,#270179,#270180,#270181, #270182,#270183,#270184,#270185,#270186,#270187,#270188,#270189,#270190, #270191,#270192,#270193,#270194,#270195)); #49958=EDGE_LOOP('',(#270196)); #49959=EDGE_LOOP('',(#270197,#270198,#270199,#270200)); #49960=EDGE_LOOP('',(#270201,#270202,#270203,#270204)); #49961=EDGE_LOOP('',(#270205,#270206,#270207,#270208)); #49962=EDGE_LOOP('',(#270209,#270210,#270211,#270212)); #49963=EDGE_LOOP('',(#270213,#270214,#270215,#270216)); #49964=EDGE_LOOP('',(#270217,#270218,#270219,#270220)); #49965=EDGE_LOOP('',(#270221,#270222,#270223,#270224)); #49966=EDGE_LOOP('',(#270225,#270226,#270227,#270228)); #49967=EDGE_LOOP('',(#270229,#270230,#270231,#270232)); #49968=EDGE_LOOP('',(#270233,#270234,#270235,#270236)); #49969=EDGE_LOOP('',(#270237,#270238,#270239,#270240)); #49970=EDGE_LOOP('',(#270241,#270242,#270243,#270244)); #49971=EDGE_LOOP('',(#270245,#270246,#270247,#270248)); #49972=EDGE_LOOP('',(#270249,#270250,#270251,#270252)); #49973=EDGE_LOOP('',(#270253,#270254,#270255,#270256)); #49974=EDGE_LOOP('',(#270257,#270258,#270259,#270260)); #49975=EDGE_LOOP('',(#270261,#270262,#270263,#270264)); #49976=EDGE_LOOP('',(#270265,#270266,#270267,#270268)); #49977=EDGE_LOOP('',(#270269,#270270,#270271,#270272)); #49978=EDGE_LOOP('',(#270273,#270274,#270275,#270276)); #49979=EDGE_LOOP('',(#270277,#270278,#270279,#270280)); #49980=EDGE_LOOP('',(#270281,#270282,#270283,#270284)); #49981=EDGE_LOOP('',(#270285,#270286,#270287,#270288)); #49982=EDGE_LOOP('',(#270289,#270290,#270291,#270292)); #49983=EDGE_LOOP('',(#270293,#270294,#270295,#270296)); #49984=EDGE_LOOP('',(#270297,#270298,#270299,#270300)); #49985=EDGE_LOOP('',(#270301,#270302,#270303,#270304)); #49986=EDGE_LOOP('',(#270305,#270306,#270307,#270308)); #49987=EDGE_LOOP('',(#270309,#270310,#270311,#270312)); #49988=EDGE_LOOP('',(#270313,#270314,#270315,#270316)); #49989=EDGE_LOOP('',(#270317,#270318,#270319,#270320)); #49990=EDGE_LOOP('',(#270321,#270322,#270323,#270324)); #49991=EDGE_LOOP('',(#270325,#270326,#270327,#270328)); #49992=EDGE_LOOP('',(#270329,#270330,#270331,#270332)); #49993=EDGE_LOOP('',(#270333,#270334,#270335,#270336)); #49994=EDGE_LOOP('',(#270337,#270338,#270339,#270340)); #49995=EDGE_LOOP('',(#270341,#270342,#270343,#270344)); #49996=EDGE_LOOP('',(#270345,#270346,#270347,#270348)); #49997=EDGE_LOOP('',(#270349,#270350,#270351,#270352)); #49998=EDGE_LOOP('',(#270353,#270354,#270355,#270356)); #49999=EDGE_LOOP('',(#270357,#270358,#270359,#270360)); #50000=EDGE_LOOP('',(#270361,#270362,#270363,#270364)); #50001=EDGE_LOOP('',(#270365,#270366,#270367,#270368)); #50002=EDGE_LOOP('',(#270369,#270370,#270371,#270372)); #50003=EDGE_LOOP('',(#270373,#270374,#270375,#270376)); #50004=EDGE_LOOP('',(#270377,#270378,#270379,#270380)); #50005=EDGE_LOOP('',(#270381,#270382,#270383,#270384)); #50006=EDGE_LOOP('',(#270385,#270386,#270387,#270388)); #50007=EDGE_LOOP('',(#270389,#270390,#270391,#270392)); #50008=EDGE_LOOP('',(#270393,#270394,#270395,#270396)); #50009=EDGE_LOOP('',(#270397,#270398,#270399,#270400)); #50010=EDGE_LOOP('',(#270401,#270402,#270403,#270404)); #50011=EDGE_LOOP('',(#270405,#270406,#270407,#270408)); #50012=EDGE_LOOP('',(#270409,#270410,#270411,#270412)); #50013=EDGE_LOOP('',(#270413,#270414,#270415,#270416)); #50014=EDGE_LOOP('',(#270417,#270418,#270419,#270420)); #50015=EDGE_LOOP('',(#270421,#270422,#270423,#270424)); #50016=EDGE_LOOP('',(#270425,#270426,#270427,#270428)); #50017=EDGE_LOOP('',(#270429,#270430,#270431,#270432)); #50018=EDGE_LOOP('',(#270433,#270434,#270435,#270436)); #50019=EDGE_LOOP('',(#270437,#270438,#270439,#270440)); #50020=EDGE_LOOP('',(#270441,#270442,#270443,#270444)); #50021=EDGE_LOOP('',(#270445,#270446,#270447,#270448)); #50022=EDGE_LOOP('',(#270449,#270450,#270451,#270452)); #50023=EDGE_LOOP('',(#270453,#270454,#270455,#270456)); #50024=EDGE_LOOP('',(#270457,#270458,#270459,#270460)); #50025=EDGE_LOOP('',(#270461,#270462,#270463,#270464)); #50026=EDGE_LOOP('',(#270465,#270466,#270467,#270468)); #50027=EDGE_LOOP('',(#270469,#270470,#270471,#270472)); #50028=EDGE_LOOP('',(#270473,#270474,#270475,#270476)); #50029=EDGE_LOOP('',(#270477,#270478,#270479,#270480)); #50030=EDGE_LOOP('',(#270481,#270482,#270483,#270484)); #50031=EDGE_LOOP('',(#270485,#270486,#270487,#270488)); #50032=EDGE_LOOP('',(#270489,#270490,#270491,#270492)); #50033=EDGE_LOOP('',(#270493,#270494,#270495,#270496)); #50034=EDGE_LOOP('',(#270497,#270498,#270499,#270500)); #50035=EDGE_LOOP('',(#270501,#270502,#270503,#270504)); #50036=EDGE_LOOP('',(#270505,#270506,#270507,#270508)); #50037=EDGE_LOOP('',(#270509,#270510,#270511,#270512)); #50038=EDGE_LOOP('',(#270513,#270514,#270515,#270516)); #50039=EDGE_LOOP('',(#270517,#270518,#270519,#270520)); #50040=EDGE_LOOP('',(#270521,#270522,#270523,#270524)); #50041=EDGE_LOOP('',(#270525,#270526,#270527,#270528)); #50042=EDGE_LOOP('',(#270529,#270530,#270531,#270532)); #50043=EDGE_LOOP('',(#270533,#270534,#270535,#270536)); #50044=EDGE_LOOP('',(#270537,#270538,#270539,#270540)); #50045=EDGE_LOOP('',(#270541,#270542,#270543,#270544)); #50046=EDGE_LOOP('',(#270545,#270546,#270547,#270548)); #50047=EDGE_LOOP('',(#270549,#270550,#270551,#270552)); #50048=EDGE_LOOP('',(#270553,#270554,#270555,#270556)); #50049=EDGE_LOOP('',(#270557,#270558,#270559,#270560)); #50050=EDGE_LOOP('',(#270561,#270562,#270563,#270564)); #50051=EDGE_LOOP('',(#270565,#270566,#270567,#270568)); #50052=EDGE_LOOP('',(#270569,#270570,#270571,#270572)); #50053=EDGE_LOOP('',(#270573,#270574,#270575,#270576)); #50054=EDGE_LOOP('',(#270577,#270578,#270579,#270580)); #50055=EDGE_LOOP('',(#270581,#270582,#270583,#270584)); #50056=EDGE_LOOP('',(#270585,#270586,#270587,#270588)); #50057=EDGE_LOOP('',(#270589,#270590,#270591,#270592)); #50058=EDGE_LOOP('',(#270593,#270594,#270595,#270596)); #50059=EDGE_LOOP('',(#270597,#270598,#270599,#270600)); #50060=EDGE_LOOP('',(#270601,#270602,#270603,#270604)); #50061=EDGE_LOOP('',(#270605,#270606,#270607,#270608)); #50062=EDGE_LOOP('',(#270609,#270610,#270611,#270612)); #50063=EDGE_LOOP('',(#270613,#270614,#270615,#270616)); #50064=EDGE_LOOP('',(#270617,#270618,#270619,#270620)); #50065=EDGE_LOOP('',(#270621,#270622,#270623,#270624)); #50066=EDGE_LOOP('',(#270625,#270626,#270627,#270628)); #50067=EDGE_LOOP('',(#270629,#270630,#270631,#270632)); #50068=EDGE_LOOP('',(#270633,#270634,#270635,#270636)); #50069=EDGE_LOOP('',(#270637,#270638,#270639,#270640)); #50070=EDGE_LOOP('',(#270641,#270642,#270643,#270644)); #50071=EDGE_LOOP('',(#270645,#270646,#270647,#270648)); #50072=EDGE_LOOP('',(#270649,#270650,#270651,#270652)); #50073=EDGE_LOOP('',(#270653,#270654,#270655,#270656)); #50074=EDGE_LOOP('',(#270657,#270658,#270659,#270660)); #50075=EDGE_LOOP('',(#270661,#270662,#270663,#270664)); #50076=EDGE_LOOP('',(#270665,#270666,#270667,#270668)); #50077=EDGE_LOOP('',(#270669,#270670,#270671,#270672)); #50078=EDGE_LOOP('',(#270673,#270674,#270675,#270676)); #50079=EDGE_LOOP('',(#270677,#270678,#270679,#270680)); #50080=EDGE_LOOP('',(#270681,#270682,#270683,#270684)); #50081=EDGE_LOOP('',(#270685,#270686,#270687,#270688)); #50082=EDGE_LOOP('',(#270689,#270690,#270691,#270692)); #50083=EDGE_LOOP('',(#270693,#270694,#270695,#270696)); #50084=EDGE_LOOP('',(#270697,#270698,#270699,#270700)); #50085=EDGE_LOOP('',(#270701,#270702,#270703,#270704)); #50086=EDGE_LOOP('',(#270705,#270706,#270707,#270708)); #50087=EDGE_LOOP('',(#270709,#270710,#270711,#270712)); #50088=EDGE_LOOP('',(#270713,#270714,#270715,#270716)); #50089=EDGE_LOOP('',(#270717,#270718,#270719,#270720)); #50090=EDGE_LOOP('',(#270721,#270722,#270723,#270724)); #50091=EDGE_LOOP('',(#270725,#270726,#270727,#270728)); #50092=EDGE_LOOP('',(#270729,#270730,#270731,#270732)); #50093=EDGE_LOOP('',(#270733,#270734,#270735,#270736)); #50094=EDGE_LOOP('',(#270737,#270738,#270739,#270740)); #50095=EDGE_LOOP('',(#270741,#270742,#270743,#270744)); #50096=EDGE_LOOP('',(#270745,#270746,#270747,#270748)); #50097=EDGE_LOOP('',(#270749,#270750,#270751,#270752)); #50098=EDGE_LOOP('',(#270753,#270754,#270755,#270756)); #50099=EDGE_LOOP('',(#270757,#270758,#270759,#270760)); #50100=EDGE_LOOP('',(#270761,#270762,#270763,#270764)); #50101=EDGE_LOOP('',(#270765,#270766,#270767,#270768)); #50102=EDGE_LOOP('',(#270769,#270770,#270771,#270772)); #50103=EDGE_LOOP('',(#270773,#270774,#270775,#270776)); #50104=EDGE_LOOP('',(#270777,#270778,#270779,#270780)); #50105=EDGE_LOOP('',(#270781,#270782,#270783,#270784)); #50106=EDGE_LOOP('',(#270785,#270786,#270787,#270788)); #50107=EDGE_LOOP('',(#270789,#270790,#270791,#270792)); #50108=EDGE_LOOP('',(#270793,#270794,#270795,#270796)); #50109=EDGE_LOOP('',(#270797,#270798,#270799,#270800)); #50110=EDGE_LOOP('',(#270801,#270802,#270803,#270804)); #50111=EDGE_LOOP('',(#270805,#270806,#270807,#270808)); #50112=EDGE_LOOP('',(#270809,#270810,#270811,#270812)); #50113=EDGE_LOOP('',(#270813,#270814,#270815,#270816)); #50114=EDGE_LOOP('',(#270817,#270818,#270819,#270820)); #50115=EDGE_LOOP('',(#270821,#270822,#270823,#270824)); #50116=EDGE_LOOP('',(#270825,#270826,#270827,#270828)); #50117=EDGE_LOOP('',(#270829,#270830,#270831,#270832)); #50118=EDGE_LOOP('',(#270833,#270834,#270835,#270836)); #50119=EDGE_LOOP('',(#270837,#270838,#270839,#270840)); #50120=EDGE_LOOP('',(#270841,#270842,#270843,#270844)); #50121=EDGE_LOOP('',(#270845,#270846,#270847,#270848)); #50122=EDGE_LOOP('',(#270849,#270850,#270851,#270852)); #50123=EDGE_LOOP('',(#270853,#270854,#270855,#270856)); #50124=EDGE_LOOP('',(#270857,#270858,#270859,#270860)); #50125=EDGE_LOOP('',(#270861,#270862,#270863,#270864)); #50126=EDGE_LOOP('',(#270865,#270866,#270867,#270868)); #50127=EDGE_LOOP('',(#270869,#270870,#270871,#270872)); #50128=EDGE_LOOP('',(#270873,#270874,#270875,#270876)); #50129=EDGE_LOOP('',(#270877,#270878,#270879,#270880)); #50130=EDGE_LOOP('',(#270881,#270882,#270883,#270884)); #50131=EDGE_LOOP('',(#270885,#270886,#270887,#270888)); #50132=EDGE_LOOP('',(#270889,#270890,#270891,#270892)); #50133=EDGE_LOOP('',(#270893,#270894,#270895,#270896)); #50134=EDGE_LOOP('',(#270897,#270898,#270899,#270900)); #50135=EDGE_LOOP('',(#270901,#270902,#270903,#270904)); #50136=EDGE_LOOP('',(#270905,#270906,#270907,#270908)); #50137=EDGE_LOOP('',(#270909,#270910,#270911,#270912)); #50138=EDGE_LOOP('',(#270913,#270914,#270915,#270916)); #50139=EDGE_LOOP('',(#270917,#270918,#270919,#270920)); #50140=EDGE_LOOP('',(#270921,#270922,#270923,#270924)); #50141=EDGE_LOOP('',(#270925,#270926,#270927,#270928)); #50142=EDGE_LOOP('',(#270929,#270930,#270931,#270932)); #50143=EDGE_LOOP('',(#270933,#270934,#270935,#270936)); #50144=EDGE_LOOP('',(#270937,#270938,#270939,#270940)); #50145=EDGE_LOOP('',(#270941,#270942,#270943,#270944)); #50146=EDGE_LOOP('',(#270945,#270946,#270947,#270948)); #50147=EDGE_LOOP('',(#270949,#270950,#270951,#270952)); #50148=EDGE_LOOP('',(#270953,#270954,#270955,#270956)); #50149=EDGE_LOOP('',(#270957,#270958,#270959,#270960)); #50150=EDGE_LOOP('',(#270961,#270962,#270963,#270964)); #50151=EDGE_LOOP('',(#270965,#270966,#270967,#270968)); #50152=EDGE_LOOP('',(#270969,#270970,#270971,#270972)); #50153=EDGE_LOOP('',(#270973,#270974,#270975,#270976)); #50154=EDGE_LOOP('',(#270977,#270978,#270979,#270980)); #50155=EDGE_LOOP('',(#270981,#270982,#270983,#270984)); #50156=EDGE_LOOP('',(#270985,#270986,#270987,#270988)); #50157=EDGE_LOOP('',(#270989,#270990,#270991,#270992)); #50158=EDGE_LOOP('',(#270993,#270994,#270995,#270996)); #50159=EDGE_LOOP('',(#270997,#270998,#270999,#271000)); #50160=EDGE_LOOP('',(#271001,#271002,#271003,#271004)); #50161=EDGE_LOOP('',(#271005,#271006,#271007,#271008)); #50162=EDGE_LOOP('',(#271009,#271010,#271011,#271012)); #50163=EDGE_LOOP('',(#271013,#271014,#271015,#271016)); #50164=EDGE_LOOP('',(#271017,#271018,#271019,#271020)); #50165=EDGE_LOOP('',(#271021,#271022,#271023,#271024)); #50166=EDGE_LOOP('',(#271025,#271026,#271027,#271028)); #50167=EDGE_LOOP('',(#271029,#271030,#271031,#271032)); #50168=EDGE_LOOP('',(#271033,#271034,#271035,#271036)); #50169=EDGE_LOOP('',(#271037,#271038,#271039,#271040)); #50170=EDGE_LOOP('',(#271041,#271042,#271043,#271044)); #50171=EDGE_LOOP('',(#271045,#271046,#271047,#271048)); #50172=EDGE_LOOP('',(#271049,#271050,#271051,#271052)); #50173=EDGE_LOOP('',(#271053,#271054,#271055,#271056)); #50174=EDGE_LOOP('',(#271057,#271058,#271059,#271060)); #50175=EDGE_LOOP('',(#271061,#271062,#271063,#271064)); #50176=EDGE_LOOP('',(#271065,#271066,#271067,#271068)); #50177=EDGE_LOOP('',(#271069,#271070,#271071,#271072)); #50178=EDGE_LOOP('',(#271073,#271074,#271075,#271076)); #50179=EDGE_LOOP('',(#271077,#271078,#271079,#271080)); #50180=EDGE_LOOP('',(#271081,#271082,#271083,#271084)); #50181=EDGE_LOOP('',(#271085,#271086,#271087,#271088)); #50182=EDGE_LOOP('',(#271089,#271090,#271091,#271092)); #50183=EDGE_LOOP('',(#271093,#271094,#271095,#271096)); #50184=EDGE_LOOP('',(#271097,#271098,#271099,#271100)); #50185=EDGE_LOOP('',(#271101,#271102,#271103,#271104)); #50186=EDGE_LOOP('',(#271105,#271106,#271107,#271108)); #50187=EDGE_LOOP('',(#271109,#271110,#271111,#271112)); #50188=EDGE_LOOP('',(#271113,#271114,#271115,#271116)); #50189=EDGE_LOOP('',(#271117,#271118,#271119,#271120)); #50190=EDGE_LOOP('',(#271121,#271122,#271123,#271124)); #50191=EDGE_LOOP('',(#271125,#271126,#271127,#271128)); #50192=EDGE_LOOP('',(#271129,#271130,#271131,#271132)); #50193=EDGE_LOOP('',(#271133,#271134,#271135,#271136)); #50194=EDGE_LOOP('',(#271137,#271138,#271139,#271140)); #50195=EDGE_LOOP('',(#271141,#271142,#271143,#271144)); #50196=EDGE_LOOP('',(#271145,#271146,#271147,#271148)); #50197=EDGE_LOOP('',(#271149,#271150,#271151,#271152)); #50198=EDGE_LOOP('',(#271153,#271154,#271155,#271156)); #50199=EDGE_LOOP('',(#271157,#271158,#271159,#271160)); #50200=EDGE_LOOP('',(#271161,#271162,#271163,#271164)); #50201=EDGE_LOOP('',(#271165,#271166,#271167,#271168)); #50202=EDGE_LOOP('',(#271169,#271170,#271171,#271172)); #50203=EDGE_LOOP('',(#271173,#271174,#271175,#271176)); #50204=EDGE_LOOP('',(#271177,#271178,#271179,#271180)); #50205=EDGE_LOOP('',(#271181,#271182,#271183,#271184)); #50206=EDGE_LOOP('',(#271185,#271186,#271187,#271188)); #50207=EDGE_LOOP('',(#271189,#271190,#271191,#271192)); #50208=EDGE_LOOP('',(#271193,#271194,#271195,#271196)); #50209=EDGE_LOOP('',(#271197,#271198,#271199,#271200)); #50210=EDGE_LOOP('',(#271201,#271202,#271203,#271204)); #50211=EDGE_LOOP('',(#271205,#271206,#271207,#271208)); #50212=EDGE_LOOP('',(#271209,#271210,#271211,#271212)); #50213=EDGE_LOOP('',(#271213,#271214,#271215,#271216)); #50214=EDGE_LOOP('',(#271217,#271218,#271219,#271220)); #50215=EDGE_LOOP('',(#271221,#271222,#271223,#271224)); #50216=EDGE_LOOP('',(#271225,#271226,#271227,#271228)); #50217=EDGE_LOOP('',(#271229,#271230,#271231,#271232)); #50218=EDGE_LOOP('',(#271233,#271234,#271235,#271236)); #50219=EDGE_LOOP('',(#271237,#271238,#271239,#271240)); #50220=EDGE_LOOP('',(#271241,#271242,#271243,#271244)); #50221=EDGE_LOOP('',(#271245,#271246,#271247,#271248)); #50222=EDGE_LOOP('',(#271249,#271250,#271251,#271252)); #50223=EDGE_LOOP('',(#271253,#271254,#271255,#271256)); #50224=EDGE_LOOP('',(#271257,#271258,#271259,#271260)); #50225=EDGE_LOOP('',(#271261,#271262,#271263,#271264)); #50226=EDGE_LOOP('',(#271265,#271266,#271267,#271268)); #50227=EDGE_LOOP('',(#271269,#271270,#271271,#271272)); #50228=EDGE_LOOP('',(#271273,#271274,#271275,#271276)); #50229=EDGE_LOOP('',(#271277,#271278,#271279,#271280)); #50230=EDGE_LOOP('',(#271281,#271282,#271283,#271284)); #50231=EDGE_LOOP('',(#271285,#271286,#271287,#271288)); #50232=EDGE_LOOP('',(#271289,#271290,#271291,#271292)); #50233=EDGE_LOOP('',(#271293,#271294,#271295,#271296)); #50234=EDGE_LOOP('',(#271297,#271298,#271299,#271300)); #50235=EDGE_LOOP('',(#271301,#271302,#271303,#271304)); #50236=EDGE_LOOP('',(#271305,#271306,#271307,#271308)); #50237=EDGE_LOOP('',(#271309,#271310,#271311,#271312)); #50238=EDGE_LOOP('',(#271313,#271314,#271315,#271316)); #50239=EDGE_LOOP('',(#271317,#271318,#271319,#271320)); #50240=EDGE_LOOP('',(#271321,#271322,#271323,#271324)); #50241=EDGE_LOOP('',(#271325,#271326,#271327,#271328)); #50242=EDGE_LOOP('',(#271329,#271330,#271331,#271332)); #50243=EDGE_LOOP('',(#271333,#271334,#271335,#271336)); #50244=EDGE_LOOP('',(#271337,#271338,#271339,#271340)); #50245=EDGE_LOOP('',(#271341,#271342,#271343,#271344)); #50246=EDGE_LOOP('',(#271345,#271346,#271347,#271348)); #50247=EDGE_LOOP('',(#271349,#271350,#271351,#271352)); #50248=EDGE_LOOP('',(#271353,#271354,#271355,#271356)); #50249=EDGE_LOOP('',(#271357,#271358,#271359,#271360)); #50250=EDGE_LOOP('',(#271361,#271362,#271363,#271364)); #50251=EDGE_LOOP('',(#271365,#271366,#271367,#271368)); #50252=EDGE_LOOP('',(#271369,#271370,#271371,#271372)); #50253=EDGE_LOOP('',(#271373,#271374,#271375,#271376)); #50254=EDGE_LOOP('',(#271377,#271378,#271379,#271380)); #50255=EDGE_LOOP('',(#271381,#271382,#271383,#271384)); #50256=EDGE_LOOP('',(#271385,#271386,#271387,#271388)); #50257=EDGE_LOOP('',(#271389,#271390,#271391,#271392)); #50258=EDGE_LOOP('',(#271393,#271394,#271395,#271396)); #50259=EDGE_LOOP('',(#271397,#271398,#271399,#271400)); #50260=EDGE_LOOP('',(#271401,#271402,#271403,#271404)); #50261=EDGE_LOOP('',(#271405,#271406,#271407,#271408)); #50262=EDGE_LOOP('',(#271409,#271410,#271411,#271412)); #50263=EDGE_LOOP('',(#271413,#271414,#271415,#271416)); #50264=EDGE_LOOP('',(#271417,#271418,#271419,#271420)); #50265=EDGE_LOOP('',(#271421,#271422,#271423,#271424)); #50266=EDGE_LOOP('',(#271425,#271426,#271427,#271428)); #50267=EDGE_LOOP('',(#271429,#271430,#271431,#271432)); #50268=EDGE_LOOP('',(#271433,#271434,#271435,#271436)); #50269=EDGE_LOOP('',(#271437,#271438,#271439,#271440)); #50270=EDGE_LOOP('',(#271441,#271442,#271443,#271444)); #50271=EDGE_LOOP('',(#271445,#271446,#271447,#271448)); #50272=EDGE_LOOP('',(#271449,#271450,#271451,#271452)); #50273=EDGE_LOOP('',(#271453,#271454,#271455,#271456)); #50274=EDGE_LOOP('',(#271457,#271458,#271459,#271460)); #50275=EDGE_LOOP('',(#271461,#271462,#271463,#271464)); #50276=EDGE_LOOP('',(#271465,#271466,#271467,#271468)); #50277=EDGE_LOOP('',(#271469,#271470,#271471,#271472)); #50278=EDGE_LOOP('',(#271473,#271474,#271475,#271476)); #50279=EDGE_LOOP('',(#271477,#271478,#271479,#271480)); #50280=EDGE_LOOP('',(#271481,#271482,#271483,#271484)); #50281=EDGE_LOOP('',(#271485,#271486,#271487,#271488)); #50282=EDGE_LOOP('',(#271489,#271490,#271491,#271492)); #50283=EDGE_LOOP('',(#271493,#271494,#271495,#271496)); #50284=EDGE_LOOP('',(#271497,#271498,#271499,#271500)); #50285=EDGE_LOOP('',(#271501,#271502,#271503,#271504)); #50286=EDGE_LOOP('',(#271505,#271506,#271507,#271508)); #50287=EDGE_LOOP('',(#271509,#271510,#271511,#271512)); #50288=EDGE_LOOP('',(#271513,#271514,#271515,#271516)); #50289=EDGE_LOOP('',(#271517,#271518,#271519,#271520)); #50290=EDGE_LOOP('',(#271521,#271522,#271523,#271524)); #50291=EDGE_LOOP('',(#271525,#271526,#271527,#271528)); #50292=EDGE_LOOP('',(#271529,#271530,#271531,#271532)); #50293=EDGE_LOOP('',(#271533,#271534,#271535,#271536)); #50294=EDGE_LOOP('',(#271537,#271538,#271539,#271540)); #50295=EDGE_LOOP('',(#271541,#271542,#271543,#271544)); #50296=EDGE_LOOP('',(#271545,#271546,#271547,#271548)); #50297=EDGE_LOOP('',(#271549,#271550,#271551,#271552,#271553,#271554,#271555, #271556,#271557,#271558,#271559,#271560,#271561,#271562,#271563,#271564, #271565,#271566,#271567,#271568,#271569,#271570,#271571,#271572,#271573, #271574,#271575,#271576,#271577,#271578,#271579,#271580,#271581,#271582, #271583,#271584,#271585,#271586,#271587,#271588,#271589,#271590,#271591, #271592,#271593,#271594,#271595,#271596,#271597,#271598,#271599,#271600, #271601,#271602,#271603,#271604,#271605,#271606,#271607,#271608,#271609, #271610,#271611,#271612,#271613,#271614,#271615,#271616,#271617,#271618, #271619,#271620,#271621,#271622,#271623,#271624,#271625,#271626,#271627, #271628,#271629,#271630,#271631,#271632,#271633,#271634,#271635,#271636, #271637,#271638,#271639,#271640,#271641,#271642,#271643,#271644,#271645, #271646,#271647,#271648,#271649,#271650,#271651,#271652,#271653,#271654, #271655,#271656,#271657,#271658,#271659,#271660,#271661,#271662,#271663, #271664,#271665,#271666,#271667,#271668,#271669,#271670,#271671,#271672, #271673,#271674,#271675,#271676,#271677,#271678,#271679,#271680,#271681, #271682,#271683,#271684,#271685,#271686,#271687,#271688,#271689,#271690, #271691,#271692,#271693,#271694,#271695,#271696,#271697,#271698,#271699, #271700,#271701,#271702,#271703,#271704,#271705,#271706,#271707,#271708, #271709,#271710,#271711,#271712,#271713,#271714,#271715,#271716,#271717, #271718,#271719,#271720,#271721,#271722,#271723,#271724,#271725,#271726, #271727,#271728,#271729,#271730,#271731,#271732,#271733,#271734,#271735, #271736,#271737,#271738,#271739,#271740,#271741,#271742,#271743,#271744, #271745,#271746,#271747,#271748,#271749,#271750,#271751,#271752,#271753, #271754,#271755,#271756,#271757,#271758,#271759,#271760,#271761,#271762, #271763,#271764,#271765,#271766,#271767,#271768,#271769,#271770,#271771, #271772,#271773,#271774,#271775,#271776,#271777,#271778,#271779,#271780, #271781,#271782,#271783,#271784,#271785,#271786,#271787,#271788,#271789, #271790,#271791,#271792,#271793,#271794,#271795,#271796,#271797,#271798, #271799,#271800,#271801,#271802,#271803,#271804,#271805,#271806,#271807, #271808,#271809,#271810,#271811,#271812,#271813,#271814,#271815,#271816, #271817,#271818,#271819,#271820,#271821,#271822,#271823,#271824,#271825, #271826,#271827,#271828,#271829,#271830,#271831,#271832,#271833,#271834, #271835,#271836,#271837,#271838,#271839,#271840,#271841,#271842,#271843, #271844,#271845,#271846)); #50298=EDGE_LOOP('',(#271847)); #50299=EDGE_LOOP('',(#271848)); #50300=EDGE_LOOP('',(#271849)); #50301=EDGE_LOOP('',(#271850)); #50302=EDGE_LOOP('',(#271851)); #50303=EDGE_LOOP('',(#271852)); #50304=EDGE_LOOP('',(#271853)); #50305=EDGE_LOOP('',(#271854)); #50306=EDGE_LOOP('',(#271855)); #50307=EDGE_LOOP('',(#271856)); #50308=EDGE_LOOP('',(#271857)); #50309=EDGE_LOOP('',(#271858)); #50310=EDGE_LOOP('',(#271859)); #50311=EDGE_LOOP('',(#271860)); #50312=EDGE_LOOP('',(#271861)); #50313=EDGE_LOOP('',(#271862)); #50314=EDGE_LOOP('',(#271863,#271864,#271865,#271866,#271867,#271868,#271869, #271870,#271871,#271872,#271873,#271874,#271875,#271876,#271877,#271878, #271879,#271880,#271881,#271882,#271883,#271884,#271885,#271886)); #50315=EDGE_LOOP('',(#271887,#271888,#271889,#271890,#271891,#271892,#271893, #271894,#271895,#271896,#271897,#271898,#271899,#271900,#271901,#271902, #271903,#271904,#271905,#271906,#271907,#271908,#271909,#271910,#271911, #271912,#271913,#271914,#271915,#271916,#271917,#271918,#271919,#271920, #271921,#271922,#271923,#271924,#271925,#271926,#271927,#271928,#271929, #271930,#271931,#271932,#271933,#271934,#271935,#271936,#271937,#271938, #271939,#271940,#271941,#271942,#271943,#271944,#271945,#271946,#271947, #271948,#271949,#271950,#271951,#271952,#271953,#271954,#271955,#271956, #271957,#271958,#271959,#271960,#271961,#271962,#271963,#271964,#271965, #271966,#271967,#271968,#271969,#271970,#271971,#271972,#271973,#271974, #271975,#271976,#271977,#271978,#271979,#271980,#271981,#271982,#271983, #271984,#271985,#271986,#271987,#271988,#271989,#271990,#271991,#271992, #271993,#271994,#271995,#271996,#271997,#271998,#271999,#272000,#272001, #272002,#272003,#272004,#272005,#272006,#272007,#272008,#272009,#272010, #272011,#272012,#272013,#272014,#272015,#272016,#272017,#272018,#272019, #272020,#272021,#272022,#272023,#272024,#272025,#272026,#272027,#272028, #272029,#272030,#272031,#272032,#272033,#272034,#272035,#272036,#272037, #272038,#272039,#272040,#272041,#272042,#272043,#272044,#272045,#272046, #272047,#272048,#272049,#272050,#272051,#272052,#272053,#272054,#272055, #272056,#272057,#272058,#272059,#272060,#272061,#272062,#272063,#272064, #272065,#272066,#272067,#272068,#272069,#272070,#272071,#272072,#272073, #272074,#272075,#272076,#272077,#272078,#272079,#272080,#272081,#272082, #272083,#272084,#272085,#272086,#272087,#272088,#272089,#272090,#272091, #272092,#272093,#272094,#272095,#272096,#272097,#272098,#272099,#272100, #272101,#272102,#272103,#272104,#272105,#272106,#272107,#272108,#272109, #272110,#272111,#272112,#272113,#272114,#272115,#272116,#272117,#272118, #272119,#272120,#272121,#272122,#272123,#272124,#272125,#272126,#272127, #272128,#272129,#272130,#272131,#272132,#272133,#272134,#272135,#272136, #272137,#272138,#272139,#272140,#272141,#272142,#272143,#272144,#272145, #272146,#272147,#272148,#272149,#272150,#272151,#272152,#272153,#272154, #272155,#272156,#272157,#272158,#272159,#272160,#272161,#272162,#272163, #272164,#272165,#272166,#272167,#272168,#272169,#272170,#272171,#272172, #272173,#272174,#272175,#272176,#272177,#272178,#272179,#272180,#272181, #272182,#272183,#272184)); #50316=EDGE_LOOP('',(#272185)); #50317=EDGE_LOOP('',(#272186)); #50318=EDGE_LOOP('',(#272187)); #50319=EDGE_LOOP('',(#272188)); #50320=EDGE_LOOP('',(#272189)); #50321=EDGE_LOOP('',(#272190)); #50322=EDGE_LOOP('',(#272191)); #50323=EDGE_LOOP('',(#272192)); #50324=EDGE_LOOP('',(#272193)); #50325=EDGE_LOOP('',(#272194)); #50326=EDGE_LOOP('',(#272195)); #50327=EDGE_LOOP('',(#272196)); #50328=EDGE_LOOP('',(#272197)); #50329=EDGE_LOOP('',(#272198)); #50330=EDGE_LOOP('',(#272199)); #50331=EDGE_LOOP('',(#272200)); #50332=EDGE_LOOP('',(#272201,#272202,#272203,#272204,#272205,#272206,#272207, #272208,#272209,#272210,#272211,#272212,#272213,#272214,#272215,#272216, #272217,#272218,#272219,#272220,#272221,#272222,#272223,#272224)); #50333=EDGE_LOOP('',(#272225,#272226,#272227,#272228)); #50334=EDGE_LOOP('',(#272229,#272230,#272231,#272232)); #50335=EDGE_LOOP('',(#272233,#272234,#272235,#272236)); #50336=EDGE_LOOP('',(#272237,#272238,#272239,#272240)); #50337=EDGE_LOOP('',(#272241,#272242,#272243,#272244)); #50338=EDGE_LOOP('',(#272245,#272246,#272247,#272248)); #50339=EDGE_LOOP('',(#272249,#272250,#272251,#272252)); #50340=EDGE_LOOP('',(#272253,#272254,#272255,#272256)); #50341=EDGE_LOOP('',(#272257,#272258,#272259,#272260)); #50342=EDGE_LOOP('',(#272261,#272262,#272263,#272264)); #50343=EDGE_LOOP('',(#272265,#272266,#272267,#272268)); #50344=EDGE_LOOP('',(#272269,#272270,#272271,#272272)); #50345=EDGE_LOOP('',(#272273,#272274,#272275,#272276)); #50346=EDGE_LOOP('',(#272277,#272278,#272279,#272280)); #50347=EDGE_LOOP('',(#272281,#272282,#272283,#272284)); #50348=EDGE_LOOP('',(#272285,#272286,#272287,#272288)); #50349=EDGE_LOOP('',(#272289,#272290,#272291,#272292)); #50350=EDGE_LOOP('',(#272293,#272294,#272295,#272296)); #50351=EDGE_LOOP('',(#272297,#272298,#272299,#272300)); #50352=EDGE_LOOP('',(#272301,#272302,#272303,#272304)); #50353=EDGE_LOOP('',(#272305,#272306,#272307,#272308,#272309,#272310,#272311, #272312,#272313,#272314,#272315,#272316,#272317,#272318,#272319,#272320, #272321,#272322,#272323,#272324)); #50354=EDGE_LOOP('',(#272325,#272326,#272327,#272328,#272329,#272330,#272331, #272332,#272333,#272334,#272335,#272336,#272337,#272338,#272339,#272340, #272341,#272342,#272343,#272344)); #50355=EDGE_LOOP('',(#272345,#272346,#272347,#272348)); #50356=EDGE_LOOP('',(#272349,#272350,#272351,#272352)); #50357=EDGE_LOOP('',(#272353,#272354,#272355,#272356)); #50358=EDGE_LOOP('',(#272357,#272358,#272359,#272360)); #50359=EDGE_LOOP('',(#272361,#272362,#272363,#272364)); #50360=EDGE_LOOP('',(#272365,#272366,#272367,#272368)); #50361=EDGE_LOOP('',(#272369,#272370,#272371,#272372)); #50362=EDGE_LOOP('',(#272373,#272374,#272375,#272376)); #50363=EDGE_LOOP('',(#272377,#272378,#272379,#272380)); #50364=EDGE_LOOP('',(#272381,#272382,#272383,#272384)); #50365=EDGE_LOOP('',(#272385,#272386,#272387,#272388)); #50366=EDGE_LOOP('',(#272389,#272390,#272391,#272392)); #50367=EDGE_LOOP('',(#272393,#272394,#272395,#272396)); #50368=EDGE_LOOP('',(#272397,#272398,#272399,#272400)); #50369=EDGE_LOOP('',(#272401,#272402,#272403,#272404)); #50370=EDGE_LOOP('',(#272405,#272406,#272407,#272408)); #50371=EDGE_LOOP('',(#272409,#272410,#272411,#272412)); #50372=EDGE_LOOP('',(#272413,#272414,#272415,#272416)); #50373=EDGE_LOOP('',(#272417,#272418,#272419,#272420)); #50374=EDGE_LOOP('',(#272421,#272422,#272423,#272424)); #50375=EDGE_LOOP('',(#272425,#272426,#272427,#272428,#272429,#272430,#272431, #272432,#272433,#272434,#272435,#272436,#272437,#272438,#272439,#272440, #272441,#272442,#272443,#272444)); #50376=EDGE_LOOP('',(#272445,#272446,#272447,#272448,#272449,#272450,#272451, #272452,#272453,#272454,#272455,#272456,#272457,#272458,#272459,#272460, #272461,#272462,#272463,#272464)); #50377=EDGE_LOOP('',(#272465,#272466,#272467,#272468)); #50378=EDGE_LOOP('',(#272469,#272470,#272471,#272472)); #50379=EDGE_LOOP('',(#272473,#272474,#272475,#272476)); #50380=EDGE_LOOP('',(#272477,#272478,#272479,#272480)); #50381=EDGE_LOOP('',(#272481,#272482,#272483,#272484)); #50382=EDGE_LOOP('',(#272485,#272486,#272487,#272488)); #50383=EDGE_LOOP('',(#272489,#272490,#272491,#272492)); #50384=EDGE_LOOP('',(#272493,#272494,#272495,#272496)); #50385=EDGE_LOOP('',(#272497,#272498,#272499,#272500)); #50386=EDGE_LOOP('',(#272501,#272502,#272503,#272504)); #50387=EDGE_LOOP('',(#272505,#272506,#272507,#272508)); #50388=EDGE_LOOP('',(#272509,#272510,#272511,#272512)); #50389=EDGE_LOOP('',(#272513,#272514,#272515,#272516)); #50390=EDGE_LOOP('',(#272517,#272518,#272519,#272520)); #50391=EDGE_LOOP('',(#272521,#272522,#272523,#272524)); #50392=EDGE_LOOP('',(#272525,#272526,#272527,#272528)); #50393=EDGE_LOOP('',(#272529,#272530,#272531,#272532)); #50394=EDGE_LOOP('',(#272533,#272534,#272535,#272536)); #50395=EDGE_LOOP('',(#272537,#272538,#272539,#272540)); #50396=EDGE_LOOP('',(#272541,#272542,#272543,#272544)); #50397=EDGE_LOOP('',(#272545,#272546,#272547,#272548,#272549,#272550,#272551, #272552,#272553,#272554,#272555,#272556,#272557,#272558,#272559,#272560, #272561,#272562,#272563,#272564)); #50398=EDGE_LOOP('',(#272565,#272566,#272567,#272568,#272569,#272570,#272571, #272572,#272573,#272574,#272575,#272576,#272577,#272578,#272579,#272580, #272581,#272582,#272583,#272584)); #50399=EDGE_LOOP('',(#272585,#272586,#272587,#272588)); #50400=EDGE_LOOP('',(#272589,#272590,#272591,#272592)); #50401=EDGE_LOOP('',(#272593,#272594,#272595,#272596)); #50402=EDGE_LOOP('',(#272597,#272598,#272599,#272600)); #50403=EDGE_LOOP('',(#272601,#272602,#272603,#272604)); #50404=EDGE_LOOP('',(#272605,#272606,#272607,#272608)); #50405=EDGE_LOOP('',(#272609,#272610,#272611,#272612)); #50406=EDGE_LOOP('',(#272613,#272614,#272615,#272616)); #50407=EDGE_LOOP('',(#272617,#272618,#272619,#272620)); #50408=EDGE_LOOP('',(#272621,#272622,#272623,#272624)); #50409=EDGE_LOOP('',(#272625,#272626,#272627,#272628)); #50410=EDGE_LOOP('',(#272629,#272630,#272631,#272632)); #50411=EDGE_LOOP('',(#272633,#272634,#272635,#272636)); #50412=EDGE_LOOP('',(#272637,#272638,#272639,#272640)); #50413=EDGE_LOOP('',(#272641,#272642,#272643,#272644)); #50414=EDGE_LOOP('',(#272645,#272646,#272647,#272648)); #50415=EDGE_LOOP('',(#272649,#272650,#272651,#272652)); #50416=EDGE_LOOP('',(#272653,#272654,#272655,#272656)); #50417=EDGE_LOOP('',(#272657,#272658,#272659,#272660)); #50418=EDGE_LOOP('',(#272661,#272662,#272663,#272664)); #50419=EDGE_LOOP('',(#272665,#272666,#272667,#272668)); #50420=EDGE_LOOP('',(#272669,#272670,#272671,#272672)); #50421=EDGE_LOOP('',(#272673,#272674,#272675,#272676)); #50422=EDGE_LOOP('',(#272677,#272678,#272679,#272680,#272681,#272682,#272683, #272684,#272685,#272686,#272687,#272688,#272689,#272690,#272691,#272692, #272693,#272694,#272695,#272696,#272697,#272698,#272699)); #50423=EDGE_LOOP('',(#272700,#272701,#272702,#272703,#272704,#272705,#272706, #272707,#272708,#272709,#272710,#272711,#272712,#272713,#272714,#272715, #272716,#272717,#272718,#272719,#272720,#272721,#272722)); #50424=EDGE_LOOP('',(#272723,#272724,#272725,#272726)); #50425=EDGE_LOOP('',(#272727,#272728,#272729,#272730)); #50426=EDGE_LOOP('',(#272731,#272732,#272733,#272734)); #50427=EDGE_LOOP('',(#272735,#272736,#272737,#272738)); #50428=EDGE_LOOP('',(#272739,#272740,#272741,#272742)); #50429=EDGE_LOOP('',(#272743,#272744,#272745,#272746)); #50430=EDGE_LOOP('',(#272747,#272748,#272749,#272750)); #50431=EDGE_LOOP('',(#272751,#272752,#272753,#272754)); #50432=EDGE_LOOP('',(#272755,#272756,#272757,#272758)); #50433=EDGE_LOOP('',(#272759,#272760,#272761,#272762)); #50434=EDGE_LOOP('',(#272763,#272764,#272765,#272766)); #50435=EDGE_LOOP('',(#272767,#272768,#272769,#272770)); #50436=EDGE_LOOP('',(#272771,#272772,#272773,#272774)); #50437=EDGE_LOOP('',(#272775,#272776,#272777,#272778)); #50438=EDGE_LOOP('',(#272779,#272780,#272781,#272782)); #50439=EDGE_LOOP('',(#272783,#272784,#272785,#272786)); #50440=EDGE_LOOP('',(#272787,#272788,#272789,#272790)); #50441=EDGE_LOOP('',(#272791,#272792,#272793,#272794)); #50442=EDGE_LOOP('',(#272795,#272796,#272797,#272798)); #50443=EDGE_LOOP('',(#272799,#272800,#272801,#272802)); #50444=EDGE_LOOP('',(#272803,#272804,#272805,#272806,#272807,#272808,#272809, #272810,#272811,#272812,#272813,#272814,#272815,#272816,#272817,#272818, #272819,#272820,#272821,#272822)); #50445=EDGE_LOOP('',(#272823,#272824,#272825,#272826,#272827,#272828,#272829, #272830,#272831,#272832,#272833,#272834,#272835,#272836,#272837,#272838, #272839,#272840,#272841,#272842)); #50446=EDGE_LOOP('',(#272843,#272844,#272845,#272846)); #50447=EDGE_LOOP('',(#272847,#272848,#272849,#272850)); #50448=EDGE_LOOP('',(#272851,#272852,#272853,#272854)); #50449=EDGE_LOOP('',(#272855,#272856,#272857,#272858)); #50450=EDGE_LOOP('',(#272859,#272860,#272861,#272862)); #50451=EDGE_LOOP('',(#272863,#272864,#272865,#272866)); #50452=EDGE_LOOP('',(#272867,#272868,#272869,#272870)); #50453=EDGE_LOOP('',(#272871,#272872,#272873,#272874)); #50454=EDGE_LOOP('',(#272875,#272876,#272877,#272878)); #50455=EDGE_LOOP('',(#272879,#272880,#272881,#272882)); #50456=EDGE_LOOP('',(#272883,#272884,#272885,#272886)); #50457=EDGE_LOOP('',(#272887,#272888,#272889,#272890)); #50458=EDGE_LOOP('',(#272891,#272892,#272893,#272894)); #50459=EDGE_LOOP('',(#272895,#272896,#272897,#272898)); #50460=EDGE_LOOP('',(#272899,#272900,#272901,#272902)); #50461=EDGE_LOOP('',(#272903,#272904,#272905,#272906)); #50462=EDGE_LOOP('',(#272907,#272908,#272909,#272910)); #50463=EDGE_LOOP('',(#272911,#272912,#272913,#272914)); #50464=EDGE_LOOP('',(#272915,#272916,#272917,#272918)); #50465=EDGE_LOOP('',(#272919,#272920,#272921,#272922)); #50466=EDGE_LOOP('',(#272923,#272924,#272925,#272926)); #50467=EDGE_LOOP('',(#272927,#272928,#272929,#272930,#272931,#272932,#272933, #272934,#272935,#272936,#272937,#272938,#272939,#272940,#272941,#272942, #272943,#272944,#272945,#272946,#272947)); #50468=EDGE_LOOP('',(#272948,#272949,#272950,#272951,#272952,#272953,#272954, #272955,#272956,#272957,#272958,#272959,#272960,#272961,#272962,#272963, #272964,#272965,#272966,#272967,#272968)); #50469=EDGE_LOOP('',(#272969,#272970,#272971,#272972)); #50470=EDGE_LOOP('',(#272973,#272974,#272975,#272976)); #50471=EDGE_LOOP('',(#272977,#272978,#272979,#272980)); #50472=EDGE_LOOP('',(#272981,#272982,#272983,#272984)); #50473=EDGE_LOOP('',(#272985,#272986,#272987)); #50474=EDGE_LOOP('',(#272988)); #50475=EDGE_LOOP('',(#272989,#272990,#272991)); #50476=EDGE_LOOP('',(#272992)); #50477=EDGE_LOOP('',(#272993,#272994,#272995,#272996)); #50478=EDGE_LOOP('',(#272997,#272998,#272999,#273000)); #50479=EDGE_LOOP('',(#273001,#273002,#273003,#273004)); #50480=EDGE_LOOP('',(#273005,#273006,#273007,#273008)); #50481=EDGE_LOOP('',(#273009,#273010,#273011)); #50482=EDGE_LOOP('',(#273012)); #50483=EDGE_LOOP('',(#273013,#273014,#273015)); #50484=EDGE_LOOP('',(#273016)); #50485=EDGE_LOOP('',(#273017,#273018,#273019,#273020)); #50486=EDGE_LOOP('',(#273021,#273022,#273023,#273024)); #50487=EDGE_LOOP('',(#273025,#273026,#273027,#273028)); #50488=EDGE_LOOP('',(#273029,#273030,#273031,#273032)); #50489=EDGE_LOOP('',(#273033,#273034,#273035)); #50490=EDGE_LOOP('',(#273036)); #50491=EDGE_LOOP('',(#273037,#273038,#273039)); #50492=EDGE_LOOP('',(#273040)); #50493=EDGE_LOOP('',(#273041,#273042,#273043,#273044)); #50494=EDGE_LOOP('',(#273045,#273046,#273047,#273048)); #50495=EDGE_LOOP('',(#273049,#273050,#273051,#273052)); #50496=EDGE_LOOP('',(#273053,#273054,#273055,#273056)); #50497=EDGE_LOOP('',(#273057,#273058,#273059)); #50498=EDGE_LOOP('',(#273060)); #50499=EDGE_LOOP('',(#273061,#273062,#273063)); #50500=EDGE_LOOP('',(#273064)); #50501=EDGE_LOOP('',(#273065,#273066,#273067,#273068)); #50502=EDGE_LOOP('',(#273069,#273070,#273071,#273072)); #50503=EDGE_LOOP('',(#273073,#273074,#273075,#273076)); #50504=EDGE_LOOP('',(#273077,#273078,#273079,#273080)); #50505=EDGE_LOOP('',(#273081,#273082,#273083,#273084)); #50506=EDGE_LOOP('',(#273085,#273086,#273087,#273088)); #50507=EDGE_LOOP('',(#273089)); #50508=EDGE_LOOP('',(#273090,#273091,#273092,#273093)); #50509=EDGE_LOOP('',(#273094)); #50510=EDGE_LOOP('',(#273095,#273096,#273097,#273098)); #50511=EDGE_LOOP('',(#273099,#273100,#273101,#273102)); #50512=EDGE_LOOP('',(#273103,#273104,#273105,#273106)); #50513=EDGE_LOOP('',(#273107,#273108,#273109,#273110)); #50514=EDGE_LOOP('',(#273111,#273112,#273113)); #50515=EDGE_LOOP('',(#273114)); #50516=EDGE_LOOP('',(#273115,#273116,#273117)); #50517=EDGE_LOOP('',(#273118)); #50518=EDGE_LOOP('',(#273119,#273120,#273121,#273122)); #50519=EDGE_LOOP('',(#273123,#273124,#273125,#273126)); #50520=EDGE_LOOP('',(#273127,#273128,#273129,#273130)); #50521=EDGE_LOOP('',(#273131,#273132,#273133,#273134)); #50522=EDGE_LOOP('',(#273135,#273136,#273137)); #50523=EDGE_LOOP('',(#273138)); #50524=EDGE_LOOP('',(#273139,#273140,#273141)); #50525=EDGE_LOOP('',(#273142)); #50526=EDGE_LOOP('',(#273143,#273144,#273145,#273146)); #50527=EDGE_LOOP('',(#273147,#273148,#273149,#273150)); #50528=EDGE_LOOP('',(#273151,#273152,#273153,#273154)); #50529=EDGE_LOOP('',(#273155,#273156,#273157,#273158)); #50530=EDGE_LOOP('',(#273159,#273160,#273161)); #50531=EDGE_LOOP('',(#273162)); #50532=EDGE_LOOP('',(#273163,#273164,#273165)); #50533=EDGE_LOOP('',(#273166)); #50534=EDGE_LOOP('',(#273167,#273168,#273169,#273170)); #50535=EDGE_LOOP('',(#273171,#273172,#273173,#273174)); #50536=EDGE_LOOP('',(#273175,#273176,#273177,#273178)); #50537=EDGE_LOOP('',(#273179,#273180,#273181,#273182)); #50538=EDGE_LOOP('',(#273183,#273184,#273185)); #50539=EDGE_LOOP('',(#273186)); #50540=EDGE_LOOP('',(#273187,#273188,#273189)); #50541=EDGE_LOOP('',(#273190)); #50542=EDGE_LOOP('',(#273191,#273192,#273193,#273194)); #50543=EDGE_LOOP('',(#273195,#273196,#273197,#273198)); #50544=EDGE_LOOP('',(#273199,#273200,#273201,#273202)); #50545=EDGE_LOOP('',(#273203,#273204,#273205,#273206)); #50546=EDGE_LOOP('',(#273207,#273208,#273209,#273210)); #50547=EDGE_LOOP('',(#273211,#273212,#273213,#273214)); #50548=EDGE_LOOP('',(#273215,#273216,#273217,#273218)); #50549=EDGE_LOOP('',(#273219,#273220,#273221,#273222)); #50550=EDGE_LOOP('',(#273223,#273224,#273225,#273226)); #50551=EDGE_LOOP('',(#273227,#273228,#273229,#273230)); #50552=EDGE_LOOP('',(#273231,#273232,#273233,#273234)); #50553=EDGE_LOOP('',(#273235,#273236,#273237,#273238)); #50554=EDGE_LOOP('',(#273239,#273240,#273241,#273242)); #50555=EDGE_LOOP('',(#273243,#273244,#273245,#273246)); #50556=EDGE_LOOP('',(#273247,#273248,#273249,#273250)); #50557=EDGE_LOOP('',(#273251,#273252,#273253,#273254)); #50558=EDGE_LOOP('',(#273255,#273256,#273257,#273258)); #50559=EDGE_LOOP('',(#273259,#273260,#273261,#273262)); #50560=EDGE_LOOP('',(#273263,#273264,#273265,#273266)); #50561=EDGE_LOOP('',(#273267,#273268,#273269,#273270)); #50562=EDGE_LOOP('',(#273271,#273272,#273273,#273274)); #50563=EDGE_LOOP('',(#273275,#273276,#273277,#273278)); #50564=EDGE_LOOP('',(#273279,#273280,#273281,#273282)); #50565=EDGE_LOOP('',(#273283,#273284,#273285,#273286)); #50566=EDGE_LOOP('',(#273287,#273288,#273289,#273290)); #50567=EDGE_LOOP('',(#273291,#273292,#273293,#273294)); #50568=EDGE_LOOP('',(#273295,#273296,#273297,#273298)); #50569=EDGE_LOOP('',(#273299,#273300,#273301,#273302)); #50570=EDGE_LOOP('',(#273303,#273304,#273305,#273306)); #50571=EDGE_LOOP('',(#273307,#273308,#273309,#273310)); #50572=EDGE_LOOP('',(#273311,#273312,#273313,#273314)); #50573=EDGE_LOOP('',(#273315,#273316,#273317,#273318)); #50574=EDGE_LOOP('',(#273319,#273320,#273321,#273322)); #50575=EDGE_LOOP('',(#273323,#273324,#273325,#273326)); #50576=EDGE_LOOP('',(#273327,#273328,#273329,#273330)); #50577=EDGE_LOOP('',(#273331,#273332,#273333,#273334)); #50578=EDGE_LOOP('',(#273335,#273336,#273337,#273338)); #50579=EDGE_LOOP('',(#273339,#273340,#273341,#273342)); #50580=EDGE_LOOP('',(#273343,#273344,#273345,#273346)); #50581=EDGE_LOOP('',(#273347,#273348,#273349,#273350)); #50582=EDGE_LOOP('',(#273351,#273352,#273353,#273354)); #50583=EDGE_LOOP('',(#273355,#273356,#273357,#273358)); #50584=EDGE_LOOP('',(#273359,#273360,#273361,#273362)); #50585=EDGE_LOOP('',(#273363,#273364,#273365,#273366)); #50586=EDGE_LOOP('',(#273367,#273368,#273369,#273370)); #50587=EDGE_LOOP('',(#273371,#273372,#273373,#273374)); #50588=EDGE_LOOP('',(#273375,#273376,#273377,#273378)); #50589=EDGE_LOOP('',(#273379,#273380,#273381,#273382)); #50590=EDGE_LOOP('',(#273383,#273384,#273385,#273386)); #50591=EDGE_LOOP('',(#273387,#273388,#273389,#273390)); #50592=EDGE_LOOP('',(#273391,#273392,#273393,#273394)); #50593=EDGE_LOOP('',(#273395,#273396,#273397,#273398)); #50594=EDGE_LOOP('',(#273399,#273400,#273401,#273402)); #50595=EDGE_LOOP('',(#273403,#273404,#273405,#273406)); #50596=EDGE_LOOP('',(#273407,#273408,#273409,#273410)); #50597=EDGE_LOOP('',(#273411,#273412,#273413,#273414)); #50598=EDGE_LOOP('',(#273415,#273416,#273417,#273418)); #50599=EDGE_LOOP('',(#273419,#273420,#273421,#273422)); #50600=EDGE_LOOP('',(#273423,#273424,#273425,#273426)); #50601=EDGE_LOOP('',(#273427,#273428,#273429,#273430)); #50602=EDGE_LOOP('',(#273431,#273432,#273433,#273434,#273435,#273436,#273437, #273438,#273439,#273440,#273441,#273442,#273443,#273444,#273445,#273446, #273447,#273448,#273449,#273450,#273451,#273452,#273453,#273454,#273455, #273456,#273457,#273458,#273459,#273460,#273461,#273462,#273463,#273464, #273465,#273466,#273467,#273468,#273469,#273470,#273471,#273472,#273473, #273474,#273475,#273476,#273477,#273478,#273479,#273480,#273481,#273482, #273483,#273484,#273485,#273486)); #50603=EDGE_LOOP('',(#273487,#273488,#273489,#273490)); #50604=EDGE_LOOP('',(#273491,#273492,#273493,#273494,#273495,#273496,#273497, #273498,#273499,#273500,#273501,#273502,#273503,#273504,#273505,#273506, #273507,#273508,#273509,#273510,#273511,#273512,#273513,#273514,#273515, #273516,#273517,#273518,#273519,#273520,#273521,#273522,#273523,#273524, #273525,#273526,#273527,#273528,#273529,#273530,#273531,#273532,#273533, #273534,#273535,#273536,#273537,#273538,#273539,#273540,#273541,#273542, #273543,#273544,#273545,#273546)); #50605=EDGE_LOOP('',(#273547,#273548,#273549,#273550)); #50606=EDGE_LOOP('',(#273551,#273552,#273553,#273554)); #50607=EDGE_LOOP('',(#273555,#273556,#273557,#273558)); #50608=EDGE_LOOP('',(#273559,#273560,#273561,#273562)); #50609=EDGE_LOOP('',(#273563,#273564,#273565,#273566)); #50610=EDGE_LOOP('',(#273567,#273568,#273569,#273570)); #50611=EDGE_LOOP('',(#273571,#273572,#273573,#273574)); #50612=EDGE_LOOP('',(#273575,#273576,#273577,#273578)); #50613=EDGE_LOOP('',(#273579,#273580,#273581,#273582)); #50614=EDGE_LOOP('',(#273583,#273584,#273585,#273586)); #50615=EDGE_LOOP('',(#273587,#273588,#273589,#273590)); #50616=EDGE_LOOP('',(#273591,#273592,#273593,#273594)); #50617=EDGE_LOOP('',(#273595,#273596,#273597,#273598)); #50618=EDGE_LOOP('',(#273599,#273600,#273601,#273602)); #50619=EDGE_LOOP('',(#273603,#273604,#273605,#273606)); #50620=EDGE_LOOP('',(#273607,#273608,#273609,#273610)); #50621=EDGE_LOOP('',(#273611,#273612,#273613,#273614)); #50622=EDGE_LOOP('',(#273615,#273616,#273617,#273618)); #50623=EDGE_LOOP('',(#273619,#273620,#273621,#273622)); #50624=EDGE_LOOP('',(#273623,#273624,#273625,#273626)); #50625=EDGE_LOOP('',(#273627,#273628,#273629,#273630)); #50626=EDGE_LOOP('',(#273631,#273632,#273633,#273634)); #50627=EDGE_LOOP('',(#273635,#273636,#273637,#273638)); #50628=EDGE_LOOP('',(#273639,#273640,#273641,#273642)); #50629=EDGE_LOOP('',(#273643,#273644,#273645,#273646)); #50630=EDGE_LOOP('',(#273647,#273648,#273649,#273650)); #50631=EDGE_LOOP('',(#273651,#273652,#273653,#273654)); #50632=EDGE_LOOP('',(#273655,#273656,#273657,#273658)); #50633=EDGE_LOOP('',(#273659,#273660,#273661,#273662)); #50634=EDGE_LOOP('',(#273663,#273664,#273665,#273666)); #50635=EDGE_LOOP('',(#273667,#273668,#273669,#273670)); #50636=EDGE_LOOP('',(#273671,#273672,#273673,#273674)); #50637=EDGE_LOOP('',(#273675,#273676,#273677,#273678)); #50638=EDGE_LOOP('',(#273679,#273680,#273681,#273682)); #50639=EDGE_LOOP('',(#273683,#273684,#273685,#273686)); #50640=EDGE_LOOP('',(#273687,#273688,#273689,#273690)); #50641=EDGE_LOOP('',(#273691,#273692,#273693,#273694)); #50642=EDGE_LOOP('',(#273695,#273696,#273697,#273698)); #50643=EDGE_LOOP('',(#273699,#273700,#273701,#273702)); #50644=EDGE_LOOP('',(#273703,#273704,#273705,#273706)); #50645=EDGE_LOOP('',(#273707,#273708,#273709,#273710)); #50646=EDGE_LOOP('',(#273711,#273712,#273713,#273714)); #50647=EDGE_LOOP('',(#273715,#273716,#273717,#273718)); #50648=EDGE_LOOP('',(#273719,#273720,#273721,#273722)); #50649=EDGE_LOOP('',(#273723,#273724,#273725,#273726)); #50650=EDGE_LOOP('',(#273727,#273728,#273729,#273730)); #50651=EDGE_LOOP('',(#273731,#273732,#273733,#273734)); #50652=EDGE_LOOP('',(#273735,#273736,#273737,#273738)); #50653=EDGE_LOOP('',(#273739,#273740,#273741,#273742)); #50654=EDGE_LOOP('',(#273743,#273744,#273745,#273746)); #50655=EDGE_LOOP('',(#273747,#273748,#273749,#273750)); #50656=EDGE_LOOP('',(#273751,#273752,#273753,#273754)); #50657=EDGE_LOOP('',(#273755,#273756,#273757,#273758)); #50658=EDGE_LOOP('',(#273759,#273760,#273761,#273762)); #50659=EDGE_LOOP('',(#273763,#273764,#273765,#273766)); #50660=EDGE_LOOP('',(#273767,#273768,#273769,#273770)); #50661=EDGE_LOOP('',(#273771,#273772,#273773,#273774)); #50662=EDGE_LOOP('',(#273775,#273776,#273777,#273778)); #50663=EDGE_LOOP('',(#273779,#273780,#273781,#273782)); #50664=EDGE_LOOP('',(#273783,#273784,#273785,#273786)); #50665=EDGE_LOOP('',(#273787,#273788,#273789,#273790)); #50666=EDGE_LOOP('',(#273791,#273792,#273793,#273794)); #50667=EDGE_LOOP('',(#273795,#273796,#273797,#273798)); #50668=EDGE_LOOP('',(#273799,#273800,#273801,#273802)); #50669=EDGE_LOOP('',(#273803,#273804,#273805,#273806)); #50670=EDGE_LOOP('',(#273807,#273808,#273809,#273810)); #50671=EDGE_LOOP('',(#273811,#273812,#273813,#273814)); #50672=EDGE_LOOP('',(#273815,#273816,#273817,#273818)); #50673=EDGE_LOOP('',(#273819,#273820,#273821,#273822)); #50674=EDGE_LOOP('',(#273823,#273824,#273825,#273826)); #50675=EDGE_LOOP('',(#273827,#273828,#273829,#273830)); #50676=EDGE_LOOP('',(#273831,#273832,#273833,#273834)); #50677=EDGE_LOOP('',(#273835,#273836,#273837,#273838)); #50678=EDGE_LOOP('',(#273839,#273840,#273841,#273842)); #50679=EDGE_LOOP('',(#273843,#273844,#273845,#273846)); #50680=EDGE_LOOP('',(#273847,#273848,#273849,#273850)); #50681=EDGE_LOOP('',(#273851,#273852,#273853,#273854)); #50682=EDGE_LOOP('',(#273855,#273856,#273857,#273858,#273859,#273860,#273861, #273862,#273863,#273864,#273865,#273866,#273867,#273868,#273869,#273870, #273871,#273872,#273873,#273874,#273875,#273876,#273877,#273878,#273879, #273880,#273881,#273882,#273883,#273884,#273885,#273886,#273887,#273888, #273889,#273890,#273891,#273892,#273893,#273894,#273895,#273896,#273897, #273898,#273899,#273900,#273901,#273902,#273903,#273904,#273905,#273906, #273907,#273908,#273909,#273910,#273911,#273912,#273913,#273914,#273915, #273916,#273917,#273918,#273919,#273920,#273921,#273922,#273923,#273924, #273925,#273926)); #50683=EDGE_LOOP('',(#273927,#273928,#273929,#273930)); #50684=EDGE_LOOP('',(#273931,#273932,#273933,#273934,#273935,#273936,#273937, #273938,#273939,#273940,#273941,#273942,#273943,#273944,#273945,#273946, #273947,#273948,#273949,#273950,#273951,#273952,#273953,#273954,#273955, #273956,#273957,#273958,#273959,#273960,#273961,#273962,#273963,#273964, #273965,#273966,#273967,#273968,#273969,#273970,#273971,#273972,#273973, #273974,#273975,#273976,#273977,#273978,#273979,#273980,#273981,#273982, #273983,#273984,#273985,#273986,#273987,#273988,#273989,#273990,#273991, #273992,#273993,#273994,#273995,#273996,#273997,#273998,#273999,#274000, #274001,#274002)); #50685=EDGE_LOOP('',(#274003,#274004,#274005,#274006)); #50686=EDGE_LOOP('',(#274007,#274008,#274009,#274010)); #50687=EDGE_LOOP('',(#274011,#274012,#274013,#274014)); #50688=EDGE_LOOP('',(#274015,#274016,#274017,#274018)); #50689=EDGE_LOOP('',(#274019,#274020,#274021,#274022)); #50690=EDGE_LOOP('',(#274023,#274024,#274025,#274026)); #50691=EDGE_LOOP('',(#274027,#274028,#274029,#274030)); #50692=EDGE_LOOP('',(#274031,#274032,#274033,#274034)); #50693=EDGE_LOOP('',(#274035,#274036,#274037,#274038)); #50694=EDGE_LOOP('',(#274039,#274040,#274041,#274042)); #50695=EDGE_LOOP('',(#274043,#274044,#274045,#274046)); #50696=EDGE_LOOP('',(#274047,#274048,#274049,#274050)); #50697=EDGE_LOOP('',(#274051,#274052,#274053,#274054)); #50698=EDGE_LOOP('',(#274055,#274056,#274057,#274058)); #50699=EDGE_LOOP('',(#274059,#274060,#274061,#274062)); #50700=EDGE_LOOP('',(#274063,#274064,#274065,#274066)); #50701=EDGE_LOOP('',(#274067,#274068,#274069,#274070)); #50702=EDGE_LOOP('',(#274071,#274072,#274073,#274074)); #50703=EDGE_LOOP('',(#274075,#274076,#274077,#274078)); #50704=EDGE_LOOP('',(#274079,#274080,#274081,#274082)); #50705=EDGE_LOOP('',(#274083,#274084,#274085,#274086)); #50706=EDGE_LOOP('',(#274087,#274088,#274089,#274090)); #50707=EDGE_LOOP('',(#274091,#274092,#274093,#274094)); #50708=EDGE_LOOP('',(#274095,#274096,#274097,#274098)); #50709=EDGE_LOOP('',(#274099,#274100,#274101,#274102)); #50710=EDGE_LOOP('',(#274103,#274104,#274105,#274106)); #50711=EDGE_LOOP('',(#274107,#274108,#274109,#274110)); #50712=EDGE_LOOP('',(#274111,#274112,#274113,#274114)); #50713=EDGE_LOOP('',(#274115,#274116,#274117,#274118)); #50714=EDGE_LOOP('',(#274119,#274120,#274121,#274122)); #50715=EDGE_LOOP('',(#274123,#274124,#274125,#274126)); #50716=EDGE_LOOP('',(#274127,#274128,#274129,#274130)); #50717=EDGE_LOOP('',(#274131,#274132,#274133,#274134)); #50718=EDGE_LOOP('',(#274135,#274136,#274137,#274138)); #50719=EDGE_LOOP('',(#274139,#274140,#274141,#274142)); #50720=EDGE_LOOP('',(#274143,#274144,#274145,#274146)); #50721=EDGE_LOOP('',(#274147,#274148,#274149,#274150)); #50722=EDGE_LOOP('',(#274151,#274152,#274153,#274154)); #50723=EDGE_LOOP('',(#274155,#274156,#274157,#274158)); #50724=EDGE_LOOP('',(#274159,#274160,#274161,#274162)); #50725=EDGE_LOOP('',(#274163,#274164,#274165,#274166)); #50726=EDGE_LOOP('',(#274167,#274168,#274169,#274170)); #50727=EDGE_LOOP('',(#274171,#274172,#274173,#274174)); #50728=EDGE_LOOP('',(#274175,#274176,#274177,#274178)); #50729=EDGE_LOOP('',(#274179,#274180,#274181,#274182)); #50730=EDGE_LOOP('',(#274183,#274184,#274185,#274186)); #50731=EDGE_LOOP('',(#274187,#274188,#274189,#274190)); #50732=EDGE_LOOP('',(#274191,#274192,#274193,#274194)); #50733=EDGE_LOOP('',(#274195,#274196,#274197,#274198)); #50734=EDGE_LOOP('',(#274199,#274200,#274201,#274202)); #50735=EDGE_LOOP('',(#274203,#274204,#274205,#274206)); #50736=EDGE_LOOP('',(#274207,#274208,#274209,#274210)); #50737=EDGE_LOOP('',(#274211,#274212,#274213,#274214)); #50738=EDGE_LOOP('',(#274215,#274216,#274217,#274218)); #50739=EDGE_LOOP('',(#274219,#274220,#274221,#274222)); #50740=EDGE_LOOP('',(#274223,#274224,#274225,#274226)); #50741=EDGE_LOOP('',(#274227,#274228,#274229,#274230)); #50742=EDGE_LOOP('',(#274231,#274232,#274233,#274234)); #50743=EDGE_LOOP('',(#274235,#274236,#274237,#274238)); #50744=EDGE_LOOP('',(#274239,#274240,#274241,#274242)); #50745=EDGE_LOOP('',(#274243,#274244,#274245,#274246)); #50746=EDGE_LOOP('',(#274247,#274248,#274249,#274250,#274251,#274252,#274253, #274254,#274255,#274256,#274257,#274258,#274259,#274260,#274261,#274262, #274263,#274264,#274265,#274266,#274267,#274268,#274269,#274270,#274271, #274272,#274273,#274274,#274275,#274276,#274277,#274278,#274279,#274280, #274281,#274282,#274283,#274284,#274285,#274286,#274287,#274288,#274289, #274290,#274291,#274292,#274293,#274294,#274295,#274296,#274297,#274298, #274299,#274300,#274301,#274302)); #50747=EDGE_LOOP('',(#274303,#274304,#274305,#274306)); #50748=EDGE_LOOP('',(#274307,#274308,#274309,#274310,#274311,#274312,#274313, #274314,#274315,#274316,#274317,#274318,#274319,#274320,#274321,#274322, #274323,#274324,#274325,#274326,#274327,#274328,#274329,#274330,#274331, #274332,#274333,#274334,#274335,#274336,#274337,#274338,#274339,#274340, #274341,#274342,#274343,#274344,#274345,#274346,#274347,#274348,#274349, #274350,#274351,#274352,#274353,#274354,#274355,#274356,#274357,#274358, #274359,#274360,#274361,#274362)); #50749=EDGE_LOOP('',(#274363,#274364,#274365,#274366)); #50750=EDGE_LOOP('',(#274367,#274368,#274369,#274370)); #50751=EDGE_LOOP('',(#274371,#274372,#274373,#274374)); #50752=EDGE_LOOP('',(#274375,#274376,#274377,#274378)); #50753=EDGE_LOOP('',(#274379,#274380,#274381,#274382)); #50754=EDGE_LOOP('',(#274383,#274384,#274385,#274386)); #50755=EDGE_LOOP('',(#274387,#274388,#274389,#274390)); #50756=EDGE_LOOP('',(#274391)); #50757=EDGE_LOOP('',(#274392,#274393,#274394,#274395)); #50758=EDGE_LOOP('',(#274396)); #50759=EDGE_LOOP('',(#274397,#274398,#274399,#274400)); #50760=EDGE_LOOP('',(#274401,#274402,#274403,#274404)); #50761=EDGE_LOOP('',(#274405,#274406,#274407,#274408)); #50762=EDGE_LOOP('',(#274409,#274410,#274411,#274412)); #50763=EDGE_LOOP('',(#274413,#274414,#274415,#274416)); #50764=EDGE_LOOP('',(#274417,#274418,#274419,#274420)); #50765=EDGE_LOOP('',(#274421,#274422,#274423,#274424)); #50766=EDGE_LOOP('',(#274425,#274426,#274427,#274428)); #50767=EDGE_LOOP('',(#274429,#274430,#274431,#274432)); #50768=EDGE_LOOP('',(#274433,#274434,#274435,#274436)); #50769=EDGE_LOOP('',(#274437,#274438,#274439,#274440)); #50770=EDGE_LOOP('',(#274441,#274442,#274443,#274444)); #50771=EDGE_LOOP('',(#274445,#274446,#274447,#274448)); #50772=EDGE_LOOP('',(#274449,#274450,#274451,#274452)); #50773=EDGE_LOOP('',(#274453,#274454,#274455,#274456)); #50774=EDGE_LOOP('',(#274457,#274458,#274459,#274460)); #50775=EDGE_LOOP('',(#274461,#274462,#274463,#274464)); #50776=EDGE_LOOP('',(#274465,#274466,#274467,#274468)); #50777=EDGE_LOOP('',(#274469,#274470,#274471,#274472)); #50778=EDGE_LOOP('',(#274473,#274474,#274475,#274476)); #50779=EDGE_LOOP('',(#274477,#274478,#274479,#274480)); #50780=EDGE_LOOP('',(#274481,#274482,#274483,#274484)); #50781=EDGE_LOOP('',(#274485,#274486,#274487,#274488)); #50782=EDGE_LOOP('',(#274489,#274490,#274491,#274492)); #50783=EDGE_LOOP('',(#274493,#274494,#274495,#274496)); #50784=EDGE_LOOP('',(#274497,#274498,#274499,#274500)); #50785=EDGE_LOOP('',(#274501,#274502,#274503,#274504)); #50786=EDGE_LOOP('',(#274505,#274506,#274507,#274508)); #50787=EDGE_LOOP('',(#274509,#274510,#274511,#274512)); #50788=EDGE_LOOP('',(#274513,#274514,#274515,#274516)); #50789=EDGE_LOOP('',(#274517,#274518,#274519,#274520)); #50790=EDGE_LOOP('',(#274521,#274522,#274523,#274524)); #50791=EDGE_LOOP('',(#274525,#274526,#274527,#274528)); #50792=EDGE_LOOP('',(#274529,#274530,#274531,#274532)); #50793=EDGE_LOOP('',(#274533,#274534,#274535,#274536)); #50794=EDGE_LOOP('',(#274537,#274538,#274539,#274540)); #50795=EDGE_LOOP('',(#274541,#274542,#274543,#274544)); #50796=EDGE_LOOP('',(#274545,#274546,#274547,#274548)); #50797=EDGE_LOOP('',(#274549,#274550,#274551,#274552)); #50798=EDGE_LOOP('',(#274553,#274554,#274555,#274556)); #50799=EDGE_LOOP('',(#274557,#274558,#274559,#274560)); #50800=EDGE_LOOP('',(#274561,#274562,#274563,#274564)); #50801=EDGE_LOOP('',(#274565,#274566,#274567,#274568)); #50802=EDGE_LOOP('',(#274569,#274570,#274571,#274572)); #50803=EDGE_LOOP('',(#274573,#274574,#274575,#274576)); #50804=EDGE_LOOP('',(#274577,#274578,#274579,#274580)); #50805=EDGE_LOOP('',(#274581,#274582,#274583,#274584)); #50806=EDGE_LOOP('',(#274585,#274586,#274587,#274588)); #50807=EDGE_LOOP('',(#274589,#274590,#274591,#274592)); #50808=EDGE_LOOP('',(#274593,#274594,#274595,#274596)); #50809=EDGE_LOOP('',(#274597,#274598,#274599,#274600)); #50810=EDGE_LOOP('',(#274601,#274602,#274603,#274604)); #50811=EDGE_LOOP('',(#274605,#274606,#274607,#274608)); #50812=EDGE_LOOP('',(#274609,#274610,#274611,#274612)); #50813=EDGE_LOOP('',(#274613,#274614,#274615,#274616)); #50814=EDGE_LOOP('',(#274617,#274618,#274619,#274620)); #50815=EDGE_LOOP('',(#274621,#274622,#274623,#274624)); #50816=EDGE_LOOP('',(#274625,#274626,#274627,#274628)); #50817=EDGE_LOOP('',(#274629,#274630,#274631,#274632)); #50818=EDGE_LOOP('',(#274633,#274634,#274635,#274636)); #50819=EDGE_LOOP('',(#274637,#274638,#274639,#274640,#274641,#274642,#274643, #274644,#274645,#274646,#274647,#274648,#274649,#274650,#274651,#274652, #274653,#274654,#274655,#274656,#274657,#274658,#274659,#274660,#274661, #274662,#274663,#274664,#274665,#274666,#274667,#274668,#274669,#274670, #274671,#274672,#274673,#274674,#274675,#274676,#274677,#274678,#274679, #274680,#274681,#274682,#274683,#274684,#274685,#274686,#274687,#274688, #274689,#274690,#274691,#274692)); #50820=EDGE_LOOP('',(#274693,#274694,#274695,#274696)); #50821=EDGE_LOOP('',(#274697,#274698,#274699,#274700,#274701,#274702,#274703, #274704,#274705,#274706,#274707,#274708,#274709,#274710,#274711,#274712, #274713,#274714,#274715,#274716,#274717,#274718,#274719,#274720,#274721, #274722,#274723,#274724,#274725,#274726,#274727,#274728,#274729,#274730, #274731,#274732,#274733,#274734,#274735,#274736,#274737,#274738,#274739, #274740,#274741,#274742,#274743,#274744,#274745,#274746,#274747,#274748, #274749,#274750,#274751,#274752)); #50822=EDGE_LOOP('',(#274753,#274754,#274755,#274756)); #50823=EDGE_LOOP('',(#274757,#274758,#274759,#274760)); #50824=EDGE_LOOP('',(#274761,#274762,#274763,#274764)); #50825=EDGE_LOOP('',(#274765,#274766,#274767,#274768)); #50826=EDGE_LOOP('',(#274769,#274770,#274771,#274772)); #50827=EDGE_LOOP('',(#274773,#274774,#274775,#274776)); #50828=EDGE_LOOP('',(#274777,#274778,#274779,#274780)); #50829=EDGE_LOOP('',(#274781,#274782,#274783,#274784)); #50830=EDGE_LOOP('',(#274785,#274786,#274787,#274788)); #50831=EDGE_LOOP('',(#274789,#274790,#274791,#274792)); #50832=EDGE_LOOP('',(#274793,#274794,#274795,#274796)); #50833=EDGE_LOOP('',(#274797,#274798,#274799,#274800)); #50834=EDGE_LOOP('',(#274801,#274802,#274803,#274804)); #50835=EDGE_LOOP('',(#274805,#274806,#274807,#274808)); #50836=EDGE_LOOP('',(#274809,#274810,#274811,#274812)); #50837=EDGE_LOOP('',(#274813,#274814,#274815,#274816)); #50838=EDGE_LOOP('',(#274817,#274818,#274819,#274820)); #50839=EDGE_LOOP('',(#274821,#274822,#274823,#274824)); #50840=EDGE_LOOP('',(#274825,#274826,#274827,#274828)); #50841=EDGE_LOOP('',(#274829,#274830,#274831,#274832)); #50842=EDGE_LOOP('',(#274833,#274834,#274835,#274836)); #50843=EDGE_LOOP('',(#274837,#274838,#274839,#274840)); #50844=EDGE_LOOP('',(#274841,#274842,#274843,#274844)); #50845=EDGE_LOOP('',(#274845,#274846,#274847,#274848)); #50846=EDGE_LOOP('',(#274849,#274850,#274851,#274852)); #50847=EDGE_LOOP('',(#274853,#274854,#274855,#274856)); #50848=EDGE_LOOP('',(#274857,#274858,#274859,#274860)); #50849=EDGE_LOOP('',(#274861,#274862,#274863,#274864)); #50850=EDGE_LOOP('',(#274865,#274866,#274867,#274868)); #50851=EDGE_LOOP('',(#274869,#274870,#274871,#274872)); #50852=EDGE_LOOP('',(#274873,#274874,#274875,#274876)); #50853=EDGE_LOOP('',(#274877,#274878,#274879,#274880)); #50854=EDGE_LOOP('',(#274881,#274882,#274883,#274884)); #50855=EDGE_LOOP('',(#274885,#274886,#274887,#274888)); #50856=EDGE_LOOP('',(#274889,#274890,#274891,#274892)); #50857=EDGE_LOOP('',(#274893,#274894,#274895,#274896)); #50858=EDGE_LOOP('',(#274897,#274898,#274899,#274900)); #50859=EDGE_LOOP('',(#274901,#274902,#274903,#274904)); #50860=EDGE_LOOP('',(#274905,#274906,#274907,#274908)); #50861=EDGE_LOOP('',(#274909,#274910,#274911,#274912)); #50862=EDGE_LOOP('',(#274913,#274914,#274915,#274916)); #50863=EDGE_LOOP('',(#274917,#274918,#274919,#274920)); #50864=EDGE_LOOP('',(#274921,#274922,#274923,#274924)); #50865=EDGE_LOOP('',(#274925,#274926,#274927,#274928)); #50866=EDGE_LOOP('',(#274929,#274930,#274931,#274932)); #50867=EDGE_LOOP('',(#274933,#274934,#274935,#274936)); #50868=EDGE_LOOP('',(#274937,#274938,#274939,#274940)); #50869=EDGE_LOOP('',(#274941,#274942,#274943,#274944)); #50870=EDGE_LOOP('',(#274945,#274946,#274947,#274948)); #50871=EDGE_LOOP('',(#274949,#274950,#274951,#274952)); #50872=EDGE_LOOP('',(#274953,#274954,#274955,#274956)); #50873=EDGE_LOOP('',(#274957,#274958,#274959,#274960)); #50874=EDGE_LOOP('',(#274961,#274962,#274963,#274964)); #50875=EDGE_LOOP('',(#274965,#274966,#274967,#274968)); #50876=EDGE_LOOP('',(#274969,#274970,#274971,#274972)); #50877=EDGE_LOOP('',(#274973,#274974,#274975,#274976)); #50878=EDGE_LOOP('',(#274977,#274978,#274979,#274980)); #50879=EDGE_LOOP('',(#274981,#274982,#274983,#274984)); #50880=EDGE_LOOP('',(#274985,#274986,#274987,#274988)); #50881=EDGE_LOOP('',(#274989,#274990,#274991,#274992)); #50882=EDGE_LOOP('',(#274993,#274994,#274995,#274996)); #50883=EDGE_LOOP('',(#274997,#274998,#274999,#275000)); #50884=EDGE_LOOP('',(#275001,#275002,#275003,#275004)); #50885=EDGE_LOOP('',(#275005,#275006,#275007,#275008)); #50886=EDGE_LOOP('',(#275009,#275010,#275011,#275012)); #50887=EDGE_LOOP('',(#275013,#275014,#275015,#275016)); #50888=EDGE_LOOP('',(#275017,#275018,#275019,#275020)); #50889=EDGE_LOOP('',(#275021,#275022,#275023,#275024)); #50890=EDGE_LOOP('',(#275025,#275026,#275027,#275028)); #50891=EDGE_LOOP('',(#275029,#275030,#275031,#275032)); #50892=EDGE_LOOP('',(#275033,#275034,#275035,#275036)); #50893=EDGE_LOOP('',(#275037,#275038,#275039,#275040)); #50894=EDGE_LOOP('',(#275041,#275042,#275043,#275044)); #50895=EDGE_LOOP('',(#275045,#275046,#275047,#275048)); #50896=EDGE_LOOP('',(#275049,#275050,#275051,#275052)); #50897=EDGE_LOOP('',(#275053,#275054,#275055,#275056)); #50898=EDGE_LOOP('',(#275057,#275058,#275059,#275060)); #50899=EDGE_LOOP('',(#275061,#275062,#275063,#275064,#275065,#275066,#275067, #275068,#275069,#275070,#275071,#275072,#275073,#275074,#275075,#275076, #275077,#275078,#275079,#275080,#275081,#275082,#275083,#275084,#275085, #275086,#275087,#275088,#275089,#275090,#275091,#275092,#275093,#275094, #275095,#275096,#275097,#275098,#275099,#275100,#275101,#275102,#275103, #275104,#275105,#275106,#275107,#275108,#275109,#275110,#275111,#275112, #275113,#275114,#275115,#275116,#275117,#275118,#275119,#275120,#275121, #275122,#275123,#275124,#275125,#275126,#275127,#275128,#275129,#275130, #275131,#275132)); #50900=EDGE_LOOP('',(#275133,#275134,#275135,#275136)); #50901=EDGE_LOOP('',(#275137,#275138,#275139,#275140,#275141,#275142,#275143, #275144,#275145,#275146,#275147,#275148,#275149,#275150,#275151,#275152, #275153,#275154,#275155,#275156,#275157,#275158,#275159,#275160,#275161, #275162,#275163,#275164,#275165,#275166,#275167,#275168,#275169,#275170, #275171,#275172,#275173,#275174,#275175,#275176,#275177,#275178,#275179, #275180,#275181,#275182,#275183,#275184,#275185,#275186,#275187,#275188, #275189,#275190,#275191,#275192,#275193,#275194,#275195,#275196,#275197, #275198,#275199,#275200,#275201,#275202,#275203,#275204,#275205,#275206, #275207,#275208)); #50902=EDGE_LOOP('',(#275209,#275210,#275211,#275212)); #50903=EDGE_LOOP('',(#275213,#275214,#275215,#275216)); #50904=EDGE_LOOP('',(#275217,#275218,#275219,#275220)); #50905=EDGE_LOOP('',(#275221,#275222,#275223,#275224)); #50906=EDGE_LOOP('',(#275225,#275226,#275227,#275228)); #50907=EDGE_LOOP('',(#275229,#275230,#275231)); #50908=EDGE_LOOP('',(#275232)); #50909=EDGE_LOOP('',(#275233,#275234,#275235)); #50910=EDGE_LOOP('',(#275236)); #50911=EDGE_LOOP('',(#275237,#275238,#275239,#275240)); #50912=EDGE_LOOP('',(#275241,#275242,#275243,#275244)); #50913=EDGE_LOOP('',(#275245,#275246,#275247,#275248)); #50914=EDGE_LOOP('',(#275249,#275250,#275251,#275252)); #50915=EDGE_LOOP('',(#275253,#275254,#275255)); #50916=EDGE_LOOP('',(#275256)); #50917=EDGE_LOOP('',(#275257,#275258,#275259)); #50918=EDGE_LOOP('',(#275260)); #50919=EDGE_LOOP('',(#275261,#275262,#275263,#275264)); #50920=EDGE_LOOP('',(#275265,#275266,#275267,#275268)); #50921=EDGE_LOOP('',(#275269,#275270,#275271,#275272)); #50922=EDGE_LOOP('',(#275273,#275274,#275275,#275276)); #50923=EDGE_LOOP('',(#275277,#275278,#275279,#275280)); #50924=EDGE_LOOP('',(#275281,#275282,#275283,#275284)); #50925=EDGE_LOOP('',(#275285,#275286,#275287,#275288)); #50926=EDGE_LOOP('',(#275289,#275290,#275291,#275292)); #50927=EDGE_LOOP('',(#275293,#275294,#275295,#275296)); #50928=EDGE_LOOP('',(#275297,#275298,#275299,#275300)); #50929=EDGE_LOOP('',(#275301,#275302,#275303,#275304)); #50930=EDGE_LOOP('',(#275305,#275306,#275307,#275308)); #50931=EDGE_LOOP('',(#275309,#275310,#275311,#275312)); #50932=EDGE_LOOP('',(#275313,#275314,#275315,#275316)); #50933=EDGE_LOOP('',(#275317,#275318,#275319,#275320)); #50934=EDGE_LOOP('',(#275321,#275322,#275323,#275324)); #50935=EDGE_LOOP('',(#275325,#275326,#275327,#275328)); #50936=EDGE_LOOP('',(#275329,#275330,#275331,#275332)); #50937=EDGE_LOOP('',(#275333,#275334,#275335,#275336)); #50938=EDGE_LOOP('',(#275337,#275338,#275339,#275340)); #50939=EDGE_LOOP('',(#275341,#275342,#275343,#275344)); #50940=EDGE_LOOP('',(#275345,#275346,#275347,#275348)); #50941=EDGE_LOOP('',(#275349,#275350,#275351,#275352)); #50942=EDGE_LOOP('',(#275353,#275354,#275355,#275356)); #50943=EDGE_LOOP('',(#275357,#275358,#275359,#275360)); #50944=EDGE_LOOP('',(#275361,#275362,#275363,#275364)); #50945=EDGE_LOOP('',(#275365,#275366,#275367,#275368)); #50946=EDGE_LOOP('',(#275369,#275370,#275371,#275372)); #50947=EDGE_LOOP('',(#275373,#275374,#275375,#275376)); #50948=EDGE_LOOP('',(#275377,#275378,#275379,#275380)); #50949=EDGE_LOOP('',(#275381,#275382,#275383,#275384)); #50950=EDGE_LOOP('',(#275385,#275386,#275387,#275388)); #50951=EDGE_LOOP('',(#275389,#275390,#275391,#275392)); #50952=EDGE_LOOP('',(#275393,#275394,#275395,#275396)); #50953=EDGE_LOOP('',(#275397,#275398,#275399,#275400)); #50954=EDGE_LOOP('',(#275401,#275402,#275403,#275404)); #50955=EDGE_LOOP('',(#275405,#275406,#275407,#275408)); #50956=EDGE_LOOP('',(#275409,#275410,#275411,#275412)); #50957=EDGE_LOOP('',(#275413,#275414,#275415,#275416)); #50958=EDGE_LOOP('',(#275417,#275418,#275419,#275420)); #50959=EDGE_LOOP('',(#275421,#275422,#275423,#275424)); #50960=EDGE_LOOP('',(#275425,#275426,#275427,#275428)); #50961=EDGE_LOOP('',(#275429,#275430,#275431,#275432)); #50962=EDGE_LOOP('',(#275433,#275434,#275435,#275436)); #50963=EDGE_LOOP('',(#275437,#275438,#275439,#275440)); #50964=EDGE_LOOP('',(#275441,#275442,#275443,#275444)); #50965=EDGE_LOOP('',(#275445,#275446,#275447,#275448)); #50966=EDGE_LOOP('',(#275449,#275450,#275451,#275452)); #50967=EDGE_LOOP('',(#275453,#275454,#275455,#275456)); #50968=EDGE_LOOP('',(#275457,#275458,#275459,#275460)); #50969=EDGE_LOOP('',(#275461,#275462,#275463,#275464)); #50970=EDGE_LOOP('',(#275465,#275466,#275467,#275468)); #50971=EDGE_LOOP('',(#275469,#275470,#275471,#275472)); #50972=EDGE_LOOP('',(#275473,#275474,#275475,#275476)); #50973=EDGE_LOOP('',(#275477,#275478,#275479,#275480)); #50974=EDGE_LOOP('',(#275481,#275482,#275483,#275484)); #50975=EDGE_LOOP('',(#275485,#275486,#275487,#275488)); #50976=EDGE_LOOP('',(#275489,#275490,#275491,#275492)); #50977=EDGE_LOOP('',(#275493,#275494,#275495,#275496)); #50978=EDGE_LOOP('',(#275497,#275498,#275499,#275500)); #50979=EDGE_LOOP('',(#275501,#275502,#275503,#275504,#275505,#275506,#275507, #275508,#275509,#275510,#275511,#275512,#275513,#275514,#275515,#275516, #275517,#275518,#275519,#275520,#275521,#275522,#275523,#275524,#275525, #275526,#275527,#275528,#275529,#275530,#275531,#275532,#275533,#275534, #275535,#275536,#275537,#275538,#275539,#275540,#275541,#275542,#275543, #275544,#275545,#275546,#275547,#275548,#275549,#275550,#275551,#275552, #275553,#275554,#275555,#275556)); #50980=EDGE_LOOP('',(#275557,#275558,#275559,#275560)); #50981=EDGE_LOOP('',(#275561,#275562,#275563,#275564,#275565,#275566,#275567, #275568,#275569,#275570,#275571,#275572,#275573,#275574,#275575,#275576, #275577,#275578,#275579,#275580,#275581,#275582,#275583,#275584,#275585, #275586,#275587,#275588,#275589,#275590,#275591,#275592,#275593,#275594, #275595,#275596,#275597,#275598,#275599,#275600,#275601,#275602,#275603, #275604,#275605,#275606,#275607,#275608,#275609,#275610,#275611,#275612, #275613,#275614,#275615,#275616)); #50982=EDGE_LOOP('',(#275617,#275618,#275619,#275620)); #50983=EDGE_LOOP('',(#275621,#275622,#275623,#275624)); #50984=EDGE_LOOP('',(#275625,#275626,#275627,#275628)); #50985=EDGE_LOOP('',(#275629,#275630,#275631,#275632)); #50986=EDGE_LOOP('',(#275633,#275634,#275635,#275636)); #50987=EDGE_LOOP('',(#275637,#275638,#275639)); #50988=EDGE_LOOP('',(#275640)); #50989=EDGE_LOOP('',(#275641,#275642,#275643)); #50990=EDGE_LOOP('',(#275644)); #50991=EDGE_LOOP('',(#275645,#275646,#275647,#275648)); #50992=EDGE_LOOP('',(#275649,#275650,#275651,#275652)); #50993=EDGE_LOOP('',(#275653,#275654,#275655,#275656)); #50994=EDGE_LOOP('',(#275657,#275658,#275659,#275660)); #50995=EDGE_LOOP('',(#275661,#275662,#275663)); #50996=EDGE_LOOP('',(#275664)); #50997=EDGE_LOOP('',(#275665,#275666,#275667)); #50998=EDGE_LOOP('',(#275668)); #50999=EDGE_LOOP('',(#275669,#275670,#275671,#275672)); #51000=EDGE_LOOP('',(#275673,#275674,#275675,#275676)); #51001=EDGE_LOOP('',(#275677,#275678,#275679,#275680)); #51002=EDGE_LOOP('',(#275681,#275682,#275683,#275684)); #51003=EDGE_LOOP('',(#275685,#275686,#275687)); #51004=EDGE_LOOP('',(#275688)); #51005=EDGE_LOOP('',(#275689,#275690,#275691)); #51006=EDGE_LOOP('',(#275692)); #51007=EDGE_LOOP('',(#275693,#275694,#275695,#275696)); #51008=EDGE_LOOP('',(#275697,#275698,#275699,#275700)); #51009=EDGE_LOOP('',(#275701,#275702,#275703,#275704)); #51010=EDGE_LOOP('',(#275705,#275706,#275707,#275708)); #51011=EDGE_LOOP('',(#275709,#275710,#275711,#275712)); #51012=EDGE_LOOP('',(#275713,#275714,#275715,#275716)); #51013=EDGE_LOOP('',(#275717)); #51014=EDGE_LOOP('',(#275718,#275719,#275720,#275721)); #51015=EDGE_LOOP('',(#275722)); #51016=EDGE_LOOP('',(#275723,#275724,#275725,#275726)); #51017=EDGE_LOOP('',(#275727,#275728,#275729,#275730)); #51018=EDGE_LOOP('',(#275731,#275732,#275733,#275734)); #51019=EDGE_LOOP('',(#275735,#275736,#275737,#275738)); #51020=EDGE_LOOP('',(#275739,#275740,#275741)); #51021=EDGE_LOOP('',(#275742)); #51022=EDGE_LOOP('',(#275743,#275744,#275745)); #51023=EDGE_LOOP('',(#275746)); #51024=EDGE_LOOP('',(#275747,#275748,#275749,#275750)); #51025=EDGE_LOOP('',(#275751,#275752,#275753,#275754)); #51026=EDGE_LOOP('',(#275755,#275756,#275757,#275758)); #51027=EDGE_LOOP('',(#275759,#275760,#275761,#275762)); #51028=EDGE_LOOP('',(#275763,#275764,#275765,#275766)); #51029=EDGE_LOOP('',(#275767,#275768,#275769,#275770)); #51030=EDGE_LOOP('',(#275771,#275772,#275773,#275774)); #51031=EDGE_LOOP('',(#275775,#275776,#275777,#275778)); #51032=EDGE_LOOP('',(#275779,#275780,#275781,#275782)); #51033=EDGE_LOOP('',(#275783,#275784,#275785,#275786)); #51034=EDGE_LOOP('',(#275787,#275788,#275789,#275790)); #51035=EDGE_LOOP('',(#275791,#275792,#275793,#275794)); #51036=EDGE_LOOP('',(#275795,#275796,#275797,#275798)); #51037=EDGE_LOOP('',(#275799,#275800,#275801,#275802)); #51038=EDGE_LOOP('',(#275803,#275804,#275805,#275806)); #51039=EDGE_LOOP('',(#275807,#275808,#275809,#275810)); #51040=EDGE_LOOP('',(#275811,#275812,#275813,#275814)); #51041=EDGE_LOOP('',(#275815,#275816,#275817,#275818)); #51042=EDGE_LOOP('',(#275819,#275820,#275821,#275822)); #51043=EDGE_LOOP('',(#275823,#275824,#275825,#275826)); #51044=EDGE_LOOP('',(#275827,#275828,#275829,#275830)); #51045=EDGE_LOOP('',(#275831,#275832,#275833,#275834)); #51046=EDGE_LOOP('',(#275835,#275836,#275837,#275838)); #51047=EDGE_LOOP('',(#275839,#275840,#275841,#275842)); #51048=EDGE_LOOP('',(#275843,#275844,#275845,#275846)); #51049=EDGE_LOOP('',(#275847,#275848,#275849,#275850)); #51050=EDGE_LOOP('',(#275851,#275852,#275853,#275854)); #51051=EDGE_LOOP('',(#275855,#275856,#275857,#275858)); #51052=EDGE_LOOP('',(#275859,#275860,#275861,#275862)); #51053=EDGE_LOOP('',(#275863,#275864,#275865,#275866)); #51054=EDGE_LOOP('',(#275867,#275868,#275869,#275870)); #51055=EDGE_LOOP('',(#275871,#275872,#275873,#275874)); #51056=EDGE_LOOP('',(#275875,#275876,#275877,#275878)); #51057=EDGE_LOOP('',(#275879,#275880,#275881,#275882)); #51058=EDGE_LOOP('',(#275883,#275884,#275885,#275886)); #51059=EDGE_LOOP('',(#275887,#275888,#275889,#275890)); #51060=EDGE_LOOP('',(#275891,#275892,#275893,#275894)); #51061=EDGE_LOOP('',(#275895,#275896,#275897,#275898)); #51062=EDGE_LOOP('',(#275899,#275900,#275901,#275902)); #51063=EDGE_LOOP('',(#275903,#275904,#275905,#275906)); #51064=EDGE_LOOP('',(#275907,#275908,#275909,#275910)); #51065=EDGE_LOOP('',(#275911,#275912,#275913,#275914)); #51066=EDGE_LOOP('',(#275915,#275916,#275917,#275918)); #51067=EDGE_LOOP('',(#275919,#275920,#275921,#275922)); #51068=EDGE_LOOP('',(#275923,#275924,#275925,#275926)); #51069=EDGE_LOOP('',(#275927,#275928,#275929,#275930)); #51070=EDGE_LOOP('',(#275931,#275932,#275933,#275934)); #51071=EDGE_LOOP('',(#275935,#275936,#275937,#275938)); #51072=EDGE_LOOP('',(#275939,#275940,#275941,#275942)); #51073=EDGE_LOOP('',(#275943,#275944,#275945,#275946)); #51074=EDGE_LOOP('',(#275947,#275948,#275949,#275950)); #51075=EDGE_LOOP('',(#275951,#275952,#275953,#275954)); #51076=EDGE_LOOP('',(#275955,#275956,#275957,#275958)); #51077=EDGE_LOOP('',(#275959,#275960,#275961,#275962)); #51078=EDGE_LOOP('',(#275963,#275964,#275965,#275966)); #51079=EDGE_LOOP('',(#275967,#275968,#275969,#275970)); #51080=EDGE_LOOP('',(#275971,#275972,#275973,#275974)); #51081=EDGE_LOOP('',(#275975,#275976,#275977,#275978)); #51082=EDGE_LOOP('',(#275979,#275980,#275981,#275982)); #51083=EDGE_LOOP('',(#275983,#275984,#275985,#275986)); #51084=EDGE_LOOP('',(#275987,#275988,#275989,#275990)); #51085=EDGE_LOOP('',(#275991,#275992,#275993,#275994)); #51086=EDGE_LOOP('',(#275995,#275996,#275997,#275998)); #51087=EDGE_LOOP('',(#275999,#276000,#276001,#276002)); #51088=EDGE_LOOP('',(#276003,#276004,#276005,#276006)); #51089=EDGE_LOOP('',(#276007,#276008,#276009,#276010)); #51090=EDGE_LOOP('',(#276011,#276012,#276013,#276014)); #51091=EDGE_LOOP('',(#276015,#276016,#276017,#276018)); #51092=EDGE_LOOP('',(#276019,#276020,#276021,#276022)); #51093=EDGE_LOOP('',(#276023,#276024,#276025,#276026)); #51094=EDGE_LOOP('',(#276027,#276028,#276029,#276030)); #51095=EDGE_LOOP('',(#276031,#276032,#276033,#276034)); #51096=EDGE_LOOP('',(#276035,#276036,#276037,#276038)); #51097=EDGE_LOOP('',(#276039,#276040,#276041,#276042)); #51098=EDGE_LOOP('',(#276043,#276044,#276045,#276046)); #51099=EDGE_LOOP('',(#276047,#276048,#276049,#276050)); #51100=EDGE_LOOP('',(#276051,#276052,#276053,#276054)); #51101=EDGE_LOOP('',(#276055,#276056,#276057,#276058)); #51102=EDGE_LOOP('',(#276059,#276060,#276061,#276062)); #51103=EDGE_LOOP('',(#276063,#276064,#276065,#276066)); #51104=EDGE_LOOP('',(#276067,#276068,#276069,#276070)); #51105=EDGE_LOOP('',(#276071,#276072,#276073,#276074)); #51106=EDGE_LOOP('',(#276075,#276076,#276077,#276078)); #51107=EDGE_LOOP('',(#276079,#276080,#276081,#276082)); #51108=EDGE_LOOP('',(#276083,#276084,#276085,#276086)); #51109=EDGE_LOOP('',(#276087,#276088,#276089,#276090)); #51110=EDGE_LOOP('',(#276091,#276092,#276093,#276094)); #51111=EDGE_LOOP('',(#276095,#276096,#276097,#276098)); #51112=EDGE_LOOP('',(#276099,#276100,#276101,#276102)); #51113=EDGE_LOOP('',(#276103)); #51114=EDGE_LOOP('',(#276104)); #51115=EDGE_LOOP('',(#276105)); #51116=EDGE_LOOP('',(#276106)); #51117=EDGE_LOOP('',(#276107)); #51118=EDGE_LOOP('',(#276108)); #51119=EDGE_LOOP('',(#276109,#276110,#276111,#276112)); #51120=EDGE_LOOP('',(#276113)); #51121=EDGE_LOOP('',(#276114)); #51122=EDGE_LOOP('',(#276115)); #51123=EDGE_LOOP('',(#276116)); #51124=EDGE_LOOP('',(#276117)); #51125=EDGE_LOOP('',(#276118)); #51126=EDGE_LOOP('',(#276119,#276120,#276121,#276122)); #51127=EDGE_LOOP('',(#276123,#276124,#276125,#276126)); #51128=EDGE_LOOP('',(#276127,#276128,#276129,#276130)); #51129=EDGE_LOOP('',(#276131,#276132,#276133,#276134)); #51130=EDGE_LOOP('',(#276135,#276136,#276137,#276138)); #51131=EDGE_LOOP('',(#276139,#276140,#276141,#276142)); #51132=EDGE_LOOP('',(#276143,#276144,#276145,#276146)); #51133=EDGE_LOOP('',(#276147,#276148,#276149,#276150)); #51134=EDGE_LOOP('',(#276151,#276152,#276153,#276154)); #51135=EDGE_LOOP('',(#276155,#276156,#276157,#276158)); #51136=EDGE_LOOP('',(#276159,#276160,#276161,#276162)); #51137=EDGE_LOOP('',(#276163,#276164,#276165,#276166)); #51138=EDGE_LOOP('',(#276167,#276168,#276169,#276170)); #51139=EDGE_LOOP('',(#276171,#276172,#276173,#276174)); #51140=EDGE_LOOP('',(#276175,#276176,#276177,#276178)); #51141=EDGE_LOOP('',(#276179,#276180,#276181,#276182)); #51142=EDGE_LOOP('',(#276183,#276184,#276185,#276186)); #51143=EDGE_LOOP('',(#276187,#276188,#276189,#276190)); #51144=EDGE_LOOP('',(#276191,#276192,#276193,#276194)); #51145=EDGE_LOOP('',(#276195,#276196,#276197,#276198)); #51146=EDGE_LOOP('',(#276199,#276200,#276201,#276202)); #51147=EDGE_LOOP('',(#276203,#276204,#276205,#276206)); #51148=EDGE_LOOP('',(#276207,#276208,#276209,#276210)); #51149=EDGE_LOOP('',(#276211,#276212,#276213,#276214)); #51150=EDGE_LOOP('',(#276215,#276216,#276217,#276218)); #51151=EDGE_LOOP('',(#276219,#276220,#276221,#276222)); #51152=EDGE_LOOP('',(#276223,#276224,#276225,#276226)); #51153=EDGE_LOOP('',(#276227,#276228,#276229,#276230)); #51154=EDGE_LOOP('',(#276231,#276232,#276233,#276234)); #51155=EDGE_LOOP('',(#276235,#276236,#276237,#276238)); #51156=EDGE_LOOP('',(#276239,#276240,#276241,#276242)); #51157=EDGE_LOOP('',(#276243,#276244,#276245,#276246)); #51158=EDGE_LOOP('',(#276247,#276248,#276249,#276250)); #51159=EDGE_LOOP('',(#276251,#276252,#276253,#276254)); #51160=EDGE_LOOP('',(#276255,#276256,#276257,#276258)); #51161=EDGE_LOOP('',(#276259,#276260,#276261,#276262)); #51162=EDGE_LOOP('',(#276263,#276264,#276265,#276266)); #51163=EDGE_LOOP('',(#276267,#276268,#276269,#276270)); #51164=EDGE_LOOP('',(#276271,#276272,#276273,#276274)); #51165=EDGE_LOOP('',(#276275,#276276,#276277,#276278)); #51166=EDGE_LOOP('',(#276279,#276280,#276281,#276282)); #51167=EDGE_LOOP('',(#276283,#276284,#276285,#276286)); #51168=EDGE_LOOP('',(#276287,#276288,#276289,#276290)); #51169=EDGE_LOOP('',(#276291,#276292,#276293,#276294)); #51170=EDGE_LOOP('',(#276295,#276296,#276297,#276298)); #51171=EDGE_LOOP('',(#276299,#276300,#276301,#276302)); #51172=EDGE_LOOP('',(#276303,#276304,#276305,#276306)); #51173=EDGE_LOOP('',(#276307,#276308,#276309,#276310)); #51174=EDGE_LOOP('',(#276311,#276312,#276313,#276314)); #51175=EDGE_LOOP('',(#276315,#276316,#276317,#276318)); #51176=EDGE_LOOP('',(#276319,#276320,#276321,#276322)); #51177=EDGE_LOOP('',(#276323,#276324,#276325,#276326)); #51178=EDGE_LOOP('',(#276327,#276328,#276329,#276330)); #51179=EDGE_LOOP('',(#276331,#276332,#276333,#276334)); #51180=EDGE_LOOP('',(#276335,#276336,#276337,#276338)); #51181=EDGE_LOOP('',(#276339,#276340,#276341,#276342)); #51182=EDGE_LOOP('',(#276343,#276344,#276345,#276346)); #51183=EDGE_LOOP('',(#276347,#276348,#276349,#276350)); #51184=EDGE_LOOP('',(#276351,#276352,#276353,#276354)); #51185=EDGE_LOOP('',(#276355,#276356,#276357,#276358)); #51186=EDGE_LOOP('',(#276359,#276360,#276361,#276362)); #51187=EDGE_LOOP('',(#276363,#276364,#276365,#276366)); #51188=EDGE_LOOP('',(#276367,#276368,#276369,#276370)); #51189=EDGE_LOOP('',(#276371,#276372,#276373,#276374)); #51190=EDGE_LOOP('',(#276375,#276376,#276377,#276378)); #51191=EDGE_LOOP('',(#276379,#276380,#276381,#276382)); #51192=EDGE_LOOP('',(#276383,#276384,#276385,#276386)); #51193=EDGE_LOOP('',(#276387,#276388,#276389,#276390)); #51194=EDGE_LOOP('',(#276391,#276392,#276393,#276394)); #51195=EDGE_LOOP('',(#276395,#276396,#276397,#276398)); #51196=EDGE_LOOP('',(#276399,#276400,#276401,#276402)); #51197=EDGE_LOOP('',(#276403,#276404,#276405,#276406)); #51198=EDGE_LOOP('',(#276407,#276408,#276409,#276410)); #51199=EDGE_LOOP('',(#276411,#276412,#276413,#276414)); #51200=EDGE_LOOP('',(#276415,#276416,#276417,#276418)); #51201=EDGE_LOOP('',(#276419,#276420,#276421,#276422)); #51202=EDGE_LOOP('',(#276423,#276424,#276425,#276426)); #51203=EDGE_LOOP('',(#276427,#276428,#276429,#276430)); #51204=EDGE_LOOP('',(#276431,#276432,#276433,#276434)); #51205=EDGE_LOOP('',(#276435,#276436,#276437,#276438)); #51206=EDGE_LOOP('',(#276439,#276440,#276441,#276442)); #51207=EDGE_LOOP('',(#276443,#276444,#276445,#276446)); #51208=EDGE_LOOP('',(#276447,#276448,#276449,#276450)); #51209=EDGE_LOOP('',(#276451,#276452,#276453,#276454)); #51210=EDGE_LOOP('',(#276455,#276456,#276457,#276458)); #51211=EDGE_LOOP('',(#276459,#276460,#276461,#276462)); #51212=EDGE_LOOP('',(#276463,#276464,#276465,#276466)); #51213=EDGE_LOOP('',(#276467,#276468,#276469,#276470)); #51214=EDGE_LOOP('',(#276471,#276472,#276473,#276474)); #51215=EDGE_LOOP('',(#276475,#276476,#276477,#276478)); #51216=EDGE_LOOP('',(#276479,#276480,#276481,#276482)); #51217=EDGE_LOOP('',(#276483,#276484,#276485,#276486)); #51218=EDGE_LOOP('',(#276487,#276488,#276489,#276490)); #51219=EDGE_LOOP('',(#276491,#276492,#276493,#276494)); #51220=EDGE_LOOP('',(#276495,#276496,#276497,#276498)); #51221=EDGE_LOOP('',(#276499,#276500,#276501,#276502)); #51222=EDGE_LOOP('',(#276503,#276504,#276505,#276506)); #51223=EDGE_LOOP('',(#276507,#276508,#276509,#276510)); #51224=EDGE_LOOP('',(#276511,#276512,#276513,#276514)); #51225=EDGE_LOOP('',(#276515,#276516,#276517,#276518)); #51226=EDGE_LOOP('',(#276519,#276520,#276521,#276522)); #51227=EDGE_LOOP('',(#276523,#276524,#276525,#276526)); #51228=EDGE_LOOP('',(#276527,#276528,#276529,#276530)); #51229=EDGE_LOOP('',(#276531,#276532,#276533,#276534)); #51230=EDGE_LOOP('',(#276535,#276536,#276537,#276538)); #51231=EDGE_LOOP('',(#276539,#276540,#276541,#276542)); #51232=EDGE_LOOP('',(#276543,#276544,#276545,#276546)); #51233=EDGE_LOOP('',(#276547,#276548,#276549,#276550)); #51234=EDGE_LOOP('',(#276551,#276552,#276553,#276554)); #51235=EDGE_LOOP('',(#276555,#276556,#276557,#276558)); #51236=EDGE_LOOP('',(#276559,#276560,#276561,#276562)); #51237=EDGE_LOOP('',(#276563,#276564,#276565,#276566)); #51238=EDGE_LOOP('',(#276567,#276568,#276569,#276570)); #51239=EDGE_LOOP('',(#276571,#276572,#276573,#276574)); #51240=EDGE_LOOP('',(#276575,#276576,#276577,#276578)); #51241=EDGE_LOOP('',(#276579,#276580,#276581,#276582)); #51242=EDGE_LOOP('',(#276583,#276584,#276585,#276586)); #51243=EDGE_LOOP('',(#276587,#276588,#276589,#276590)); #51244=EDGE_LOOP('',(#276591,#276592,#276593,#276594)); #51245=EDGE_LOOP('',(#276595,#276596,#276597,#276598)); #51246=EDGE_LOOP('',(#276599,#276600,#276601,#276602)); #51247=EDGE_LOOP('',(#276603,#276604,#276605,#276606)); #51248=EDGE_LOOP('',(#276607,#276608,#276609,#276610)); #51249=EDGE_LOOP('',(#276611,#276612,#276613,#276614)); #51250=EDGE_LOOP('',(#276615,#276616,#276617,#276618)); #51251=EDGE_LOOP('',(#276619,#276620,#276621,#276622)); #51252=EDGE_LOOP('',(#276623,#276624,#276625,#276626)); #51253=EDGE_LOOP('',(#276627,#276628,#276629,#276630)); #51254=EDGE_LOOP('',(#276631,#276632,#276633,#276634)); #51255=EDGE_LOOP('',(#276635,#276636,#276637,#276638)); #51256=EDGE_LOOP('',(#276639,#276640,#276641,#276642)); #51257=EDGE_LOOP('',(#276643,#276644,#276645,#276646)); #51258=EDGE_LOOP('',(#276647,#276648,#276649,#276650)); #51259=EDGE_LOOP('',(#276651,#276652,#276653,#276654)); #51260=EDGE_LOOP('',(#276655,#276656,#276657,#276658)); #51261=EDGE_LOOP('',(#276659,#276660,#276661,#276662)); #51262=EDGE_LOOP('',(#276663,#276664,#276665,#276666)); #51263=EDGE_LOOP('',(#276667,#276668,#276669,#276670)); #51264=EDGE_LOOP('',(#276671,#276672,#276673,#276674)); #51265=EDGE_LOOP('',(#276675,#276676,#276677,#276678)); #51266=EDGE_LOOP('',(#276679,#276680,#276681,#276682)); #51267=EDGE_LOOP('',(#276683,#276684,#276685,#276686)); #51268=EDGE_LOOP('',(#276687,#276688,#276689,#276690)); #51269=EDGE_LOOP('',(#276691,#276692,#276693,#276694)); #51270=EDGE_LOOP('',(#276695,#276696,#276697,#276698)); #51271=EDGE_LOOP('',(#276699,#276700,#276701,#276702)); #51272=EDGE_LOOP('',(#276703,#276704,#276705,#276706)); #51273=EDGE_LOOP('',(#276707,#276708,#276709,#276710)); #51274=EDGE_LOOP('',(#276711,#276712,#276713,#276714)); #51275=EDGE_LOOP('',(#276715,#276716,#276717,#276718)); #51276=EDGE_LOOP('',(#276719,#276720,#276721,#276722)); #51277=EDGE_LOOP('',(#276723,#276724,#276725,#276726)); #51278=EDGE_LOOP('',(#276727,#276728,#276729,#276730)); #51279=EDGE_LOOP('',(#276731,#276732,#276733,#276734)); #51280=EDGE_LOOP('',(#276735,#276736,#276737,#276738)); #51281=EDGE_LOOP('',(#276739,#276740,#276741,#276742)); #51282=EDGE_LOOP('',(#276743,#276744,#276745,#276746)); #51283=EDGE_LOOP('',(#276747,#276748,#276749,#276750)); #51284=EDGE_LOOP('',(#276751,#276752,#276753,#276754)); #51285=EDGE_LOOP('',(#276755,#276756,#276757,#276758)); #51286=EDGE_LOOP('',(#276759,#276760,#276761,#276762)); #51287=EDGE_LOOP('',(#276763,#276764,#276765,#276766)); #51288=EDGE_LOOP('',(#276767,#276768,#276769,#276770)); #51289=EDGE_LOOP('',(#276771,#276772,#276773,#276774)); #51290=EDGE_LOOP('',(#276775,#276776,#276777,#276778)); #51291=EDGE_LOOP('',(#276779,#276780,#276781,#276782)); #51292=EDGE_LOOP('',(#276783,#276784,#276785,#276786)); #51293=EDGE_LOOP('',(#276787)); #51294=EDGE_LOOP('',(#276788)); #51295=EDGE_LOOP('',(#276789)); #51296=EDGE_LOOP('',(#276790)); #51297=EDGE_LOOP('',(#276791)); #51298=EDGE_LOOP('',(#276792)); #51299=EDGE_LOOP('',(#276793,#276794,#276795,#276796)); #51300=EDGE_LOOP('',(#276797)); #51301=EDGE_LOOP('',(#276798)); #51302=EDGE_LOOP('',(#276799)); #51303=EDGE_LOOP('',(#276800)); #51304=EDGE_LOOP('',(#276801)); #51305=EDGE_LOOP('',(#276802)); #51306=EDGE_LOOP('',(#276803,#276804,#276805,#276806)); #51307=EDGE_LOOP('',(#276807,#276808,#276809,#276810)); #51308=EDGE_LOOP('',(#276811,#276812,#276813,#276814)); #51309=EDGE_LOOP('',(#276815,#276816,#276817,#276818)); #51310=EDGE_LOOP('',(#276819,#276820,#276821,#276822)); #51311=EDGE_LOOP('',(#276823,#276824,#276825,#276826)); #51312=EDGE_LOOP('',(#276827,#276828,#276829,#276830)); #51313=EDGE_LOOP('',(#276831,#276832,#276833,#276834)); #51314=EDGE_LOOP('',(#276835,#276836,#276837,#276838)); #51315=EDGE_LOOP('',(#276839,#276840,#276841,#276842)); #51316=EDGE_LOOP('',(#276843,#276844,#276845,#276846)); #51317=EDGE_LOOP('',(#276847,#276848,#276849,#276850)); #51318=EDGE_LOOP('',(#276851,#276852,#276853,#276854)); #51319=EDGE_LOOP('',(#276855,#276856,#276857,#276858)); #51320=EDGE_LOOP('',(#276859,#276860,#276861,#276862)); #51321=EDGE_LOOP('',(#276863,#276864,#276865,#276866)); #51322=EDGE_LOOP('',(#276867,#276868,#276869,#276870)); #51323=EDGE_LOOP('',(#276871,#276872,#276873,#276874)); #51324=EDGE_LOOP('',(#276875,#276876,#276877,#276878)); #51325=EDGE_LOOP('',(#276879,#276880,#276881,#276882)); #51326=EDGE_LOOP('',(#276883,#276884,#276885,#276886)); #51327=EDGE_LOOP('',(#276887,#276888,#276889,#276890)); #51328=EDGE_LOOP('',(#276891,#276892,#276893,#276894)); #51329=EDGE_LOOP('',(#276895,#276896,#276897,#276898)); #51330=EDGE_LOOP('',(#276899,#276900,#276901,#276902)); #51331=EDGE_LOOP('',(#276903,#276904,#276905,#276906)); #51332=EDGE_LOOP('',(#276907,#276908,#276909,#276910)); #51333=EDGE_LOOP('',(#276911,#276912,#276913,#276914)); #51334=EDGE_LOOP('',(#276915,#276916,#276917,#276918)); #51335=EDGE_LOOP('',(#276919,#276920,#276921,#276922)); #51336=EDGE_LOOP('',(#276923,#276924,#276925,#276926)); #51337=EDGE_LOOP('',(#276927,#276928,#276929,#276930)); #51338=EDGE_LOOP('',(#276931,#276932,#276933,#276934)); #51339=EDGE_LOOP('',(#276935,#276936,#276937,#276938)); #51340=EDGE_LOOP('',(#276939,#276940,#276941,#276942)); #51341=EDGE_LOOP('',(#276943,#276944,#276945,#276946)); #51342=EDGE_LOOP('',(#276947,#276948,#276949,#276950)); #51343=EDGE_LOOP('',(#276951,#276952,#276953,#276954)); #51344=EDGE_LOOP('',(#276955,#276956,#276957,#276958)); #51345=EDGE_LOOP('',(#276959,#276960,#276961,#276962)); #51346=EDGE_LOOP('',(#276963,#276964,#276965,#276966)); #51347=EDGE_LOOP('',(#276967,#276968,#276969,#276970)); #51348=EDGE_LOOP('',(#276971,#276972,#276973,#276974)); #51349=EDGE_LOOP('',(#276975,#276976,#276977,#276978)); #51350=EDGE_LOOP('',(#276979,#276980,#276981,#276982)); #51351=EDGE_LOOP('',(#276983,#276984,#276985,#276986)); #51352=EDGE_LOOP('',(#276987,#276988,#276989,#276990)); #51353=EDGE_LOOP('',(#276991)); #51354=EDGE_LOOP('',(#276992)); #51355=EDGE_LOOP('',(#276993)); #51356=EDGE_LOOP('',(#276994)); #51357=EDGE_LOOP('',(#276995)); #51358=EDGE_LOOP('',(#276996)); #51359=EDGE_LOOP('',(#276997,#276998,#276999,#277000)); #51360=EDGE_LOOP('',(#277001)); #51361=EDGE_LOOP('',(#277002)); #51362=EDGE_LOOP('',(#277003)); #51363=EDGE_LOOP('',(#277004)); #51364=EDGE_LOOP('',(#277005)); #51365=EDGE_LOOP('',(#277006)); #51366=EDGE_LOOP('',(#277007,#277008,#277009,#277010)); #51367=EDGE_LOOP('',(#277011,#277012,#277013,#277014)); #51368=EDGE_LOOP('',(#277015,#277016,#277017,#277018)); #51369=EDGE_LOOP('',(#277019,#277020,#277021,#277022)); #51370=EDGE_LOOP('',(#277023,#277024,#277025,#277026)); #51371=EDGE_LOOP('',(#277027,#277028,#277029,#277030)); #51372=EDGE_LOOP('',(#277031,#277032,#277033,#277034)); #51373=EDGE_LOOP('',(#277035,#277036,#277037,#277038)); #51374=EDGE_LOOP('',(#277039,#277040,#277041,#277042)); #51375=EDGE_LOOP('',(#277043,#277044,#277045,#277046)); #51376=EDGE_LOOP('',(#277047,#277048,#277049,#277050)); #51377=EDGE_LOOP('',(#277051,#277052,#277053,#277054)); #51378=EDGE_LOOP('',(#277055,#277056,#277057,#277058)); #51379=EDGE_LOOP('',(#277059,#277060,#277061,#277062)); #51380=EDGE_LOOP('',(#277063,#277064,#277065,#277066)); #51381=EDGE_LOOP('',(#277067,#277068,#277069,#277070)); #51382=EDGE_LOOP('',(#277071,#277072,#277073,#277074)); #51383=EDGE_LOOP('',(#277075,#277076,#277077,#277078)); #51384=EDGE_LOOP('',(#277079,#277080,#277081,#277082)); #51385=EDGE_LOOP('',(#277083,#277084,#277085,#277086)); #51386=EDGE_LOOP('',(#277087,#277088,#277089,#277090)); #51387=EDGE_LOOP('',(#277091,#277092,#277093,#277094)); #51388=EDGE_LOOP('',(#277095,#277096,#277097,#277098)); #51389=EDGE_LOOP('',(#277099,#277100,#277101,#277102)); #51390=EDGE_LOOP('',(#277103,#277104,#277105,#277106)); #51391=EDGE_LOOP('',(#277107,#277108,#277109,#277110)); #51392=EDGE_LOOP('',(#277111,#277112,#277113,#277114)); #51393=EDGE_LOOP('',(#277115,#277116,#277117,#277118)); #51394=EDGE_LOOP('',(#277119,#277120,#277121,#277122)); #51395=EDGE_LOOP('',(#277123,#277124,#277125,#277126)); #51396=EDGE_LOOP('',(#277127,#277128,#277129,#277130)); #51397=EDGE_LOOP('',(#277131,#277132,#277133,#277134)); #51398=EDGE_LOOP('',(#277135,#277136,#277137,#277138)); #51399=EDGE_LOOP('',(#277139,#277140,#277141,#277142)); #51400=EDGE_LOOP('',(#277143,#277144,#277145,#277146)); #51401=EDGE_LOOP('',(#277147,#277148,#277149,#277150)); #51402=EDGE_LOOP('',(#277151,#277152,#277153,#277154)); #51403=EDGE_LOOP('',(#277155,#277156,#277157,#277158)); #51404=EDGE_LOOP('',(#277159,#277160,#277161,#277162)); #51405=EDGE_LOOP('',(#277163,#277164,#277165,#277166)); #51406=EDGE_LOOP('',(#277167,#277168,#277169,#277170)); #51407=EDGE_LOOP('',(#277171,#277172,#277173,#277174)); #51408=EDGE_LOOP('',(#277175,#277176,#277177,#277178)); #51409=EDGE_LOOP('',(#277179,#277180,#277181,#277182)); #51410=EDGE_LOOP('',(#277183,#277184,#277185,#277186)); #51411=EDGE_LOOP('',(#277187,#277188,#277189,#277190)); #51412=EDGE_LOOP('',(#277191,#277192,#277193,#277194)); #51413=EDGE_LOOP('',(#277195,#277196,#277197,#277198)); #51414=EDGE_LOOP('',(#277199,#277200,#277201,#277202)); #51415=EDGE_LOOP('',(#277203,#277204,#277205,#277206)); #51416=EDGE_LOOP('',(#277207,#277208,#277209,#277210)); #51417=EDGE_LOOP('',(#277211,#277212,#277213,#277214)); #51418=EDGE_LOOP('',(#277215,#277216,#277217,#277218)); #51419=EDGE_LOOP('',(#277219,#277220,#277221,#277222)); #51420=EDGE_LOOP('',(#277223,#277224,#277225,#277226)); #51421=EDGE_LOOP('',(#277227,#277228,#277229,#277230)); #51422=EDGE_LOOP('',(#277231,#277232,#277233,#277234)); #51423=EDGE_LOOP('',(#277235,#277236,#277237,#277238)); #51424=EDGE_LOOP('',(#277239,#277240,#277241,#277242)); #51425=EDGE_LOOP('',(#277243,#277244,#277245,#277246)); #51426=EDGE_LOOP('',(#277247,#277248,#277249,#277250)); #51427=EDGE_LOOP('',(#277251,#277252,#277253,#277254)); #51428=EDGE_LOOP('',(#277255,#277256,#277257,#277258)); #51429=EDGE_LOOP('',(#277259,#277260,#277261,#277262)); #51430=EDGE_LOOP('',(#277263,#277264,#277265,#277266)); #51431=EDGE_LOOP('',(#277267,#277268,#277269,#277270)); #51432=EDGE_LOOP('',(#277271)); #51433=EDGE_LOOP('',(#277272)); #51434=EDGE_LOOP('',(#277273)); #51435=EDGE_LOOP('',(#277274)); #51436=EDGE_LOOP('',(#277275)); #51437=EDGE_LOOP('',(#277276)); #51438=EDGE_LOOP('',(#277277)); #51439=EDGE_LOOP('',(#277278)); #51440=EDGE_LOOP('',(#277279)); #51441=EDGE_LOOP('',(#277280)); #51442=EDGE_LOOP('',(#277281)); #51443=EDGE_LOOP('',(#277282)); #51444=EDGE_LOOP('',(#277283)); #51445=EDGE_LOOP('',(#277284)); #51446=EDGE_LOOP('',(#277285)); #51447=EDGE_LOOP('',(#277286)); #51448=EDGE_LOOP('',(#277287)); #51449=EDGE_LOOP('',(#277288)); #51450=EDGE_LOOP('',(#277289)); #51451=EDGE_LOOP('',(#277290)); #51452=EDGE_LOOP('',(#277291)); #51453=EDGE_LOOP('',(#277292)); #51454=EDGE_LOOP('',(#277293)); #51455=EDGE_LOOP('',(#277294)); #51456=EDGE_LOOP('',(#277295)); #51457=EDGE_LOOP('',(#277296)); #51458=EDGE_LOOP('',(#277297)); #51459=EDGE_LOOP('',(#277298)); #51460=EDGE_LOOP('',(#277299)); #51461=EDGE_LOOP('',(#277300)); #51462=EDGE_LOOP('',(#277301)); #51463=EDGE_LOOP('',(#277302)); #51464=EDGE_LOOP('',(#277303)); #51465=EDGE_LOOP('',(#277304)); #51466=EDGE_LOOP('',(#277305)); #51467=EDGE_LOOP('',(#277306)); #51468=EDGE_LOOP('',(#277307)); #51469=EDGE_LOOP('',(#277308)); #51470=EDGE_LOOP('',(#277309)); #51471=EDGE_LOOP('',(#277310)); #51472=EDGE_LOOP('',(#277311)); #51473=EDGE_LOOP('',(#277312)); #51474=EDGE_LOOP('',(#277313)); #51475=EDGE_LOOP('',(#277314)); #51476=EDGE_LOOP('',(#277315)); #51477=EDGE_LOOP('',(#277316)); #51478=EDGE_LOOP('',(#277317)); #51479=EDGE_LOOP('',(#277318)); #51480=EDGE_LOOP('',(#277319)); #51481=EDGE_LOOP('',(#277320,#277321,#277322,#277323)); #51482=EDGE_LOOP('',(#277324)); #51483=EDGE_LOOP('',(#277325)); #51484=EDGE_LOOP('',(#277326)); #51485=EDGE_LOOP('',(#277327)); #51486=EDGE_LOOP('',(#277328)); #51487=EDGE_LOOP('',(#277329)); #51488=EDGE_LOOP('',(#277330)); #51489=EDGE_LOOP('',(#277331)); #51490=EDGE_LOOP('',(#277332)); #51491=EDGE_LOOP('',(#277333)); #51492=EDGE_LOOP('',(#277334)); #51493=EDGE_LOOP('',(#277335)); #51494=EDGE_LOOP('',(#277336)); #51495=EDGE_LOOP('',(#277337)); #51496=EDGE_LOOP('',(#277338)); #51497=EDGE_LOOP('',(#277339)); #51498=EDGE_LOOP('',(#277340)); #51499=EDGE_LOOP('',(#277341)); #51500=EDGE_LOOP('',(#277342)); #51501=EDGE_LOOP('',(#277343)); #51502=EDGE_LOOP('',(#277344)); #51503=EDGE_LOOP('',(#277345)); #51504=EDGE_LOOP('',(#277346)); #51505=EDGE_LOOP('',(#277347)); #51506=EDGE_LOOP('',(#277348)); #51507=EDGE_LOOP('',(#277349)); #51508=EDGE_LOOP('',(#277350)); #51509=EDGE_LOOP('',(#277351)); #51510=EDGE_LOOP('',(#277352)); #51511=EDGE_LOOP('',(#277353)); #51512=EDGE_LOOP('',(#277354)); #51513=EDGE_LOOP('',(#277355)); #51514=EDGE_LOOP('',(#277356)); #51515=EDGE_LOOP('',(#277357)); #51516=EDGE_LOOP('',(#277358)); #51517=EDGE_LOOP('',(#277359)); #51518=EDGE_LOOP('',(#277360)); #51519=EDGE_LOOP('',(#277361)); #51520=EDGE_LOOP('',(#277362)); #51521=EDGE_LOOP('',(#277363)); #51522=EDGE_LOOP('',(#277364)); #51523=EDGE_LOOP('',(#277365)); #51524=EDGE_LOOP('',(#277366)); #51525=EDGE_LOOP('',(#277367)); #51526=EDGE_LOOP('',(#277368)); #51527=EDGE_LOOP('',(#277369)); #51528=EDGE_LOOP('',(#277370)); #51529=EDGE_LOOP('',(#277371)); #51530=EDGE_LOOP('',(#277372)); #51531=EDGE_LOOP('',(#277373,#277374,#277375,#277376)); #51532=EDGE_LOOP('',(#277377,#277378,#277379,#277380)); #51533=EDGE_LOOP('',(#277381,#277382,#277383,#277384)); #51534=EDGE_LOOP('',(#277385,#277386,#277387,#277388)); #51535=EDGE_LOOP('',(#277389,#277390,#277391,#277392)); #51536=EDGE_LOOP('',(#277393,#277394,#277395,#277396)); #51537=EDGE_LOOP('',(#277397,#277398,#277399,#277400)); #51538=EDGE_LOOP('',(#277401,#277402,#277403,#277404)); #51539=EDGE_LOOP('',(#277405,#277406,#277407,#277408)); #51540=EDGE_LOOP('',(#277409,#277410,#277411,#277412)); #51541=EDGE_LOOP('',(#277413,#277414,#277415,#277416)); #51542=EDGE_LOOP('',(#277417,#277418,#277419,#277420)); #51543=EDGE_LOOP('',(#277421,#277422,#277423,#277424)); #51544=EDGE_LOOP('',(#277425,#277426,#277427,#277428)); #51545=EDGE_LOOP('',(#277429,#277430,#277431,#277432)); #51546=EDGE_LOOP('',(#277433,#277434,#277435,#277436)); #51547=EDGE_LOOP('',(#277437,#277438,#277439,#277440)); #51548=EDGE_LOOP('',(#277441,#277442,#277443,#277444)); #51549=EDGE_LOOP('',(#277445,#277446,#277447,#277448)); #51550=EDGE_LOOP('',(#277449,#277450,#277451,#277452)); #51551=EDGE_LOOP('',(#277453,#277454,#277455,#277456)); #51552=EDGE_LOOP('',(#277457,#277458,#277459,#277460)); #51553=EDGE_LOOP('',(#277461,#277462,#277463,#277464)); #51554=EDGE_LOOP('',(#277465,#277466,#277467,#277468)); #51555=EDGE_LOOP('',(#277469,#277470,#277471,#277472)); #51556=EDGE_LOOP('',(#277473,#277474,#277475,#277476)); #51557=EDGE_LOOP('',(#277477,#277478,#277479,#277480)); #51558=EDGE_LOOP('',(#277481,#277482,#277483,#277484)); #51559=EDGE_LOOP('',(#277485,#277486,#277487,#277488)); #51560=EDGE_LOOP('',(#277489,#277490,#277491,#277492)); #51561=EDGE_LOOP('',(#277493,#277494,#277495,#277496)); #51562=EDGE_LOOP('',(#277497,#277498,#277499,#277500)); #51563=EDGE_LOOP('',(#277501,#277502,#277503,#277504)); #51564=EDGE_LOOP('',(#277505,#277506,#277507,#277508)); #51565=EDGE_LOOP('',(#277509,#277510,#277511,#277512)); #51566=EDGE_LOOP('',(#277513,#277514,#277515,#277516)); #51567=EDGE_LOOP('',(#277517,#277518,#277519,#277520)); #51568=EDGE_LOOP('',(#277521,#277522,#277523,#277524)); #51569=EDGE_LOOP('',(#277525,#277526,#277527,#277528)); #51570=EDGE_LOOP('',(#277529,#277530,#277531,#277532)); #51571=EDGE_LOOP('',(#277533,#277534,#277535,#277536)); #51572=EDGE_LOOP('',(#277537,#277538,#277539,#277540)); #51573=EDGE_LOOP('',(#277541,#277542,#277543,#277544)); #51574=EDGE_LOOP('',(#277545,#277546,#277547,#277548)); #51575=EDGE_LOOP('',(#277549,#277550,#277551,#277552)); #51576=EDGE_LOOP('',(#277553,#277554,#277555,#277556)); #51577=EDGE_LOOP('',(#277557,#277558,#277559,#277560)); #51578=EDGE_LOOP('',(#277561,#277562,#277563,#277564)); #51579=EDGE_LOOP('',(#277565,#277566,#277567,#277568)); #51580=EDGE_LOOP('',(#277569,#277570,#277571,#277572)); #51581=EDGE_LOOP('',(#277573,#277574,#277575,#277576)); #51582=EDGE_LOOP('',(#277577,#277578,#277579,#277580)); #51583=EDGE_LOOP('',(#277581,#277582,#277583,#277584)); #51584=EDGE_LOOP('',(#277585,#277586,#277587,#277588)); #51585=EDGE_LOOP('',(#277589,#277590,#277591,#277592)); #51586=EDGE_LOOP('',(#277593,#277594,#277595,#277596)); #51587=EDGE_LOOP('',(#277597,#277598,#277599,#277600)); #51588=EDGE_LOOP('',(#277601,#277602,#277603,#277604)); #51589=EDGE_LOOP('',(#277605,#277606,#277607,#277608)); #51590=EDGE_LOOP('',(#277609,#277610,#277611,#277612)); #51591=EDGE_LOOP('',(#277613,#277614,#277615,#277616)); #51592=EDGE_LOOP('',(#277617,#277618,#277619,#277620)); #51593=EDGE_LOOP('',(#277621,#277622,#277623,#277624)); #51594=EDGE_LOOP('',(#277625,#277626,#277627,#277628)); #51595=EDGE_LOOP('',(#277629,#277630,#277631,#277632)); #51596=EDGE_LOOP('',(#277633,#277634,#277635,#277636)); #51597=EDGE_LOOP('',(#277637,#277638,#277639,#277640)); #51598=EDGE_LOOP('',(#277641,#277642,#277643,#277644)); #51599=EDGE_LOOP('',(#277645,#277646,#277647,#277648)); #51600=EDGE_LOOP('',(#277649,#277650,#277651,#277652)); #51601=EDGE_LOOP('',(#277653,#277654,#277655,#277656)); #51602=EDGE_LOOP('',(#277657,#277658,#277659,#277660)); #51603=EDGE_LOOP('',(#277661,#277662,#277663,#277664)); #51604=EDGE_LOOP('',(#277665,#277666,#277667,#277668)); #51605=EDGE_LOOP('',(#277669,#277670,#277671,#277672)); #51606=EDGE_LOOP('',(#277673,#277674,#277675,#277676)); #51607=EDGE_LOOP('',(#277677,#277678,#277679,#277680)); #51608=EDGE_LOOP('',(#277681,#277682,#277683,#277684)); #51609=EDGE_LOOP('',(#277685,#277686,#277687,#277688)); #51610=EDGE_LOOP('',(#277689,#277690,#277691,#277692)); #51611=EDGE_LOOP('',(#277693,#277694,#277695,#277696)); #51612=EDGE_LOOP('',(#277697,#277698,#277699,#277700)); #51613=EDGE_LOOP('',(#277701,#277702,#277703,#277704)); #51614=EDGE_LOOP('',(#277705,#277706,#277707,#277708)); #51615=EDGE_LOOP('',(#277709,#277710,#277711,#277712)); #51616=EDGE_LOOP('',(#277713,#277714,#277715,#277716)); #51617=EDGE_LOOP('',(#277717,#277718,#277719,#277720)); #51618=EDGE_LOOP('',(#277721,#277722,#277723,#277724)); #51619=EDGE_LOOP('',(#277725,#277726,#277727,#277728)); #51620=EDGE_LOOP('',(#277729,#277730,#277731,#277732)); #51621=EDGE_LOOP('',(#277733,#277734,#277735,#277736)); #51622=EDGE_LOOP('',(#277737,#277738,#277739,#277740)); #51623=EDGE_LOOP('',(#277741,#277742,#277743,#277744)); #51624=EDGE_LOOP('',(#277745,#277746,#277747,#277748)); #51625=EDGE_LOOP('',(#277749,#277750,#277751,#277752)); #51626=EDGE_LOOP('',(#277753,#277754,#277755,#277756)); #51627=EDGE_LOOP('',(#277757,#277758,#277759,#277760)); #51628=EDGE_LOOP('',(#277761,#277762,#277763,#277764)); #51629=EDGE_LOOP('',(#277765,#277766,#277767,#277768)); #51630=EDGE_LOOP('',(#277769,#277770,#277771,#277772)); #51631=EDGE_LOOP('',(#277773,#277774,#277775,#277776)); #51632=EDGE_LOOP('',(#277777,#277778,#277779,#277780)); #51633=EDGE_LOOP('',(#277781,#277782,#277783,#277784)); #51634=EDGE_LOOP('',(#277785,#277786,#277787,#277788)); #51635=EDGE_LOOP('',(#277789,#277790,#277791,#277792)); #51636=EDGE_LOOP('',(#277793,#277794,#277795,#277796)); #51637=EDGE_LOOP('',(#277797,#277798,#277799,#277800)); #51638=EDGE_LOOP('',(#277801,#277802,#277803,#277804)); #51639=EDGE_LOOP('',(#277805,#277806,#277807,#277808)); #51640=EDGE_LOOP('',(#277809,#277810,#277811,#277812)); #51641=EDGE_LOOP('',(#277813,#277814,#277815,#277816)); #51642=EDGE_LOOP('',(#277817,#277818,#277819,#277820)); #51643=EDGE_LOOP('',(#277821,#277822,#277823,#277824)); #51644=EDGE_LOOP('',(#277825,#277826,#277827,#277828)); #51645=EDGE_LOOP('',(#277829)); #51646=EDGE_LOOP('',(#277830,#277831,#277832,#277833)); #51647=EDGE_LOOP('',(#277834)); #51648=EDGE_LOOP('',(#277835,#277836,#277837,#277838)); #51649=EDGE_LOOP('',(#277839,#277840,#277841,#277842)); #51650=EDGE_LOOP('',(#277843,#277844,#277845,#277846)); #51651=EDGE_LOOP('',(#277847,#277848,#277849,#277850)); #51652=EDGE_LOOP('',(#277851,#277852,#277853,#277854)); #51653=EDGE_LOOP('',(#277855,#277856,#277857,#277858)); #51654=EDGE_LOOP('',(#277859,#277860,#277861,#277862)); #51655=EDGE_LOOP('',(#277863,#277864,#277865,#277866)); #51656=EDGE_LOOP('',(#277867,#277868,#277869,#277870)); #51657=EDGE_LOOP('',(#277871,#277872,#277873,#277874)); #51658=EDGE_LOOP('',(#277875,#277876,#277877,#277878)); #51659=EDGE_LOOP('',(#277879,#277880,#277881,#277882)); #51660=EDGE_LOOP('',(#277883,#277884,#277885,#277886)); #51661=EDGE_LOOP('',(#277887,#277888,#277889,#277890)); #51662=EDGE_LOOP('',(#277891,#277892,#277893,#277894)); #51663=EDGE_LOOP('',(#277895,#277896,#277897,#277898)); #51664=EDGE_LOOP('',(#277899,#277900,#277901,#277902)); #51665=EDGE_LOOP('',(#277903,#277904,#277905,#277906)); #51666=EDGE_LOOP('',(#277907)); #51667=EDGE_LOOP('',(#277908,#277909,#277910,#277911)); #51668=EDGE_LOOP('',(#277912)); #51669=EDGE_LOOP('',(#277913,#277914,#277915,#277916)); #51670=EDGE_LOOP('',(#277917,#277918,#277919,#277920)); #51671=EDGE_LOOP('',(#277921,#277922,#277923,#277924)); #51672=EDGE_LOOP('',(#277925,#277926,#277927,#277928)); #51673=EDGE_LOOP('',(#277929,#277930,#277931,#277932)); #51674=EDGE_LOOP('',(#277933,#277934,#277935,#277936)); #51675=EDGE_LOOP('',(#277937,#277938,#277939,#277940)); #51676=EDGE_LOOP('',(#277941,#277942,#277943,#277944)); #51677=EDGE_LOOP('',(#277945,#277946,#277947,#277948)); #51678=EDGE_LOOP('',(#277949,#277950,#277951,#277952)); #51679=EDGE_LOOP('',(#277953,#277954,#277955,#277956)); #51680=EDGE_LOOP('',(#277957,#277958,#277959,#277960)); #51681=EDGE_LOOP('',(#277961,#277962,#277963,#277964)); #51682=EDGE_LOOP('',(#277965,#277966,#277967,#277968)); #51683=EDGE_LOOP('',(#277969,#277970,#277971,#277972)); #51684=EDGE_LOOP('',(#277973,#277974,#277975,#277976)); #51685=EDGE_LOOP('',(#277977,#277978,#277979,#277980)); #51686=EDGE_LOOP('',(#277981,#277982,#277983,#277984)); #51687=EDGE_LOOP('',(#277985,#277986,#277987,#277988)); #51688=EDGE_LOOP('',(#277989,#277990,#277991,#277992)); #51689=EDGE_LOOP('',(#277993,#277994,#277995,#277996)); #51690=EDGE_LOOP('',(#277997,#277998,#277999,#278000)); #51691=EDGE_LOOP('',(#278001,#278002,#278003,#278004)); #51692=EDGE_LOOP('',(#278005,#278006,#278007,#278008)); #51693=EDGE_LOOP('',(#278009,#278010,#278011,#278012)); #51694=EDGE_LOOP('',(#278013,#278014,#278015,#278016)); #51695=EDGE_LOOP('',(#278017,#278018,#278019,#278020)); #51696=EDGE_LOOP('',(#278021,#278022,#278023,#278024)); #51697=EDGE_LOOP('',(#278025,#278026,#278027,#278028)); #51698=EDGE_LOOP('',(#278029,#278030,#278031,#278032)); #51699=EDGE_LOOP('',(#278033,#278034,#278035,#278036)); #51700=EDGE_LOOP('',(#278037,#278038,#278039,#278040)); #51701=EDGE_LOOP('',(#278041,#278042,#278043,#278044)); #51702=EDGE_LOOP('',(#278045,#278046,#278047,#278048)); #51703=EDGE_LOOP('',(#278049,#278050,#278051,#278052)); #51704=EDGE_LOOP('',(#278053,#278054,#278055,#278056)); #51705=EDGE_LOOP('',(#278057,#278058,#278059,#278060)); #51706=EDGE_LOOP('',(#278061,#278062,#278063,#278064)); #51707=EDGE_LOOP('',(#278065,#278066,#278067,#278068)); #51708=EDGE_LOOP('',(#278069,#278070,#278071,#278072)); #51709=EDGE_LOOP('',(#278073,#278074,#278075,#278076)); #51710=EDGE_LOOP('',(#278077,#278078,#278079,#278080)); #51711=EDGE_LOOP('',(#278081,#278082,#278083,#278084)); #51712=EDGE_LOOP('',(#278085,#278086,#278087,#278088)); #51713=EDGE_LOOP('',(#278089,#278090,#278091,#278092)); #51714=EDGE_LOOP('',(#278093,#278094,#278095,#278096)); #51715=EDGE_LOOP('',(#278097,#278098,#278099,#278100)); #51716=EDGE_LOOP('',(#278101,#278102,#278103,#278104)); #51717=EDGE_LOOP('',(#278105,#278106,#278107,#278108)); #51718=EDGE_LOOP('',(#278109,#278110,#278111,#278112)); #51719=EDGE_LOOP('',(#278113,#278114,#278115,#278116)); #51720=EDGE_LOOP('',(#278117,#278118,#278119,#278120)); #51721=EDGE_LOOP('',(#278121,#278122,#278123,#278124)); #51722=EDGE_LOOP('',(#278125,#278126,#278127,#278128)); #51723=EDGE_LOOP('',(#278129,#278130,#278131,#278132)); #51724=EDGE_LOOP('',(#278133,#278134,#278135,#278136)); #51725=EDGE_LOOP('',(#278137,#278138,#278139,#278140)); #51726=EDGE_LOOP('',(#278141,#278142,#278143,#278144)); #51727=EDGE_LOOP('',(#278145,#278146,#278147,#278148)); #51728=EDGE_LOOP('',(#278149,#278150,#278151,#278152)); #51729=EDGE_LOOP('',(#278153,#278154,#278155,#278156)); #51730=EDGE_LOOP('',(#278157,#278158,#278159,#278160)); #51731=EDGE_LOOP('',(#278161,#278162,#278163,#278164)); #51732=EDGE_LOOP('',(#278165,#278166,#278167,#278168)); #51733=EDGE_LOOP('',(#278169,#278170,#278171,#278172)); #51734=EDGE_LOOP('',(#278173,#278174,#278175,#278176)); #51735=EDGE_LOOP('',(#278177,#278178,#278179,#278180)); #51736=EDGE_LOOP('',(#278181,#278182,#278183,#278184)); #51737=EDGE_LOOP('',(#278185,#278186,#278187,#278188)); #51738=EDGE_LOOP('',(#278189,#278190,#278191,#278192)); #51739=EDGE_LOOP('',(#278193,#278194,#278195,#278196)); #51740=EDGE_LOOP('',(#278197,#278198,#278199,#278200)); #51741=EDGE_LOOP('',(#278201,#278202,#278203,#278204)); #51742=EDGE_LOOP('',(#278205,#278206,#278207,#278208)); #51743=EDGE_LOOP('',(#278209,#278210,#278211,#278212)); #51744=EDGE_LOOP('',(#278213,#278214,#278215,#278216)); #51745=EDGE_LOOP('',(#278217,#278218,#278219,#278220)); #51746=EDGE_LOOP('',(#278221,#278222,#278223,#278224)); #51747=EDGE_LOOP('',(#278225,#278226,#278227,#278228)); #51748=EDGE_LOOP('',(#278229,#278230,#278231,#278232)); #51749=EDGE_LOOP('',(#278233,#278234,#278235,#278236)); #51750=EDGE_LOOP('',(#278237,#278238,#278239,#278240)); #51751=EDGE_LOOP('',(#278241,#278242,#278243,#278244)); #51752=EDGE_LOOP('',(#278245,#278246,#278247,#278248)); #51753=EDGE_LOOP('',(#278249,#278250,#278251,#278252)); #51754=EDGE_LOOP('',(#278253,#278254,#278255,#278256,#278257,#278258,#278259, #278260,#278261,#278262,#278263,#278264,#278265,#278266,#278267,#278268, #278269,#278270)); #51755=EDGE_LOOP('',(#278271)); #51756=EDGE_LOOP('',(#278272,#278273,#278274,#278275,#278276,#278277,#278278, #278279,#278280,#278281,#278282,#278283,#278284,#278285,#278286,#278287, #278288,#278289)); #51757=EDGE_LOOP('',(#278290)); #51758=EDGE_LOOP('',(#278291,#278292,#278293,#278294)); #51759=EDGE_LOOP('',(#278295,#278296,#278297,#278298)); #51760=EDGE_LOOP('',(#278299,#278300,#278301,#278302)); #51761=EDGE_LOOP('',(#278303,#278304,#278305,#278306)); #51762=EDGE_LOOP('',(#278307,#278308,#278309,#278310)); #51763=EDGE_LOOP('',(#278311,#278312,#278313,#278314)); #51764=EDGE_LOOP('',(#278315)); #51765=EDGE_LOOP('',(#278316,#278317,#278318,#278319)); #51766=EDGE_LOOP('',(#278320)); #51767=EDGE_LOOP('',(#278321,#278322,#278323,#278324)); #51768=EDGE_LOOP('',(#278325,#278326,#278327,#278328)); #51769=EDGE_LOOP('',(#278329,#278330,#278331,#278332)); #51770=EDGE_LOOP('',(#278333,#278334,#278335,#278336)); #51771=EDGE_LOOP('',(#278337,#278338,#278339,#278340)); #51772=EDGE_LOOP('',(#278341,#278342,#278343,#278344)); #51773=EDGE_LOOP('',(#278345,#278346,#278347,#278348)); #51774=EDGE_LOOP('',(#278349,#278350,#278351,#278352)); #51775=EDGE_LOOP('',(#278353,#278354,#278355,#278356)); #51776=EDGE_LOOP('',(#278357,#278358,#278359,#278360)); #51777=EDGE_LOOP('',(#278361,#278362,#278363,#278364)); #51778=EDGE_LOOP('',(#278365,#278366,#278367,#278368)); #51779=EDGE_LOOP('',(#278369,#278370,#278371,#278372)); #51780=EDGE_LOOP('',(#278373,#278374,#278375,#278376)); #51781=EDGE_LOOP('',(#278377,#278378,#278379,#278380)); #51782=EDGE_LOOP('',(#278381,#278382,#278383,#278384)); #51783=EDGE_LOOP('',(#278385,#278386,#278387,#278388)); #51784=EDGE_LOOP('',(#278389,#278390,#278391,#278392)); #51785=EDGE_LOOP('',(#278393,#278394,#278395,#278396)); #51786=EDGE_LOOP('',(#278397,#278398,#278399,#278400)); #51787=EDGE_LOOP('',(#278401,#278402,#278403,#278404)); #51788=EDGE_LOOP('',(#278405,#278406,#278407,#278408)); #51789=EDGE_LOOP('',(#278409,#278410,#278411,#278412)); #51790=EDGE_LOOP('',(#278413,#278414,#278415,#278416)); #51791=EDGE_LOOP('',(#278417,#278418,#278419,#278420)); #51792=EDGE_LOOP('',(#278421,#278422,#278423,#278424)); #51793=EDGE_LOOP('',(#278425,#278426,#278427,#278428)); #51794=EDGE_LOOP('',(#278429,#278430,#278431,#278432)); #51795=EDGE_LOOP('',(#278433,#278434,#278435,#278436)); #51796=EDGE_LOOP('',(#278437,#278438,#278439,#278440)); #51797=EDGE_LOOP('',(#278441,#278442,#278443,#278444)); #51798=EDGE_LOOP('',(#278445,#278446,#278447,#278448)); #51799=EDGE_LOOP('',(#278449,#278450,#278451,#278452)); #51800=EDGE_LOOP('',(#278453,#278454,#278455,#278456)); #51801=EDGE_LOOP('',(#278457,#278458,#278459,#278460)); #51802=EDGE_LOOP('',(#278461,#278462,#278463,#278464)); #51803=EDGE_LOOP('',(#278465)); #51804=EDGE_LOOP('',(#278466,#278467,#278468,#278469)); #51805=EDGE_LOOP('',(#278470)); #51806=EDGE_LOOP('',(#278471,#278472,#278473,#278474)); #51807=EDGE_LOOP('',(#278475,#278476,#278477,#278478)); #51808=EDGE_LOOP('',(#278479,#278480,#278481,#278482)); #51809=EDGE_LOOP('',(#278483,#278484,#278485,#278486)); #51810=EDGE_LOOP('',(#278487,#278488,#278489,#278490)); #51811=EDGE_LOOP('',(#278491,#278492,#278493,#278494)); #51812=EDGE_LOOP('',(#278495)); #51813=EDGE_LOOP('',(#278496,#278497,#278498,#278499)); #51814=EDGE_LOOP('',(#278500)); #51815=EDGE_LOOP('',(#278501,#278502,#278503,#278504)); #51816=EDGE_LOOP('',(#278505,#278506,#278507,#278508)); #51817=EDGE_LOOP('',(#278509,#278510,#278511,#278512)); #51818=EDGE_LOOP('',(#278513,#278514,#278515,#278516)); #51819=EDGE_LOOP('',(#278517,#278518,#278519,#278520)); #51820=EDGE_LOOP('',(#278521,#278522,#278523,#278524)); #51821=EDGE_LOOP('',(#278525,#278526,#278527,#278528)); #51822=EDGE_LOOP('',(#278529,#278530,#278531,#278532)); #51823=EDGE_LOOP('',(#278533,#278534,#278535,#278536)); #51824=EDGE_LOOP('',(#278537,#278538,#278539,#278540)); #51825=EDGE_LOOP('',(#278541,#278542,#278543,#278544)); #51826=EDGE_LOOP('',(#278545,#278546,#278547,#278548)); #51827=EDGE_LOOP('',(#278549,#278550,#278551,#278552)); #51828=EDGE_LOOP('',(#278553,#278554,#278555,#278556)); #51829=EDGE_LOOP('',(#278557,#278558,#278559,#278560)); #51830=EDGE_LOOP('',(#278561,#278562,#278563,#278564)); #51831=EDGE_LOOP('',(#278565,#278566,#278567,#278568)); #51832=EDGE_LOOP('',(#278569,#278570,#278571,#278572)); #51833=EDGE_LOOP('',(#278573,#278574,#278575,#278576)); #51834=EDGE_LOOP('',(#278577,#278578,#278579,#278580)); #51835=EDGE_LOOP('',(#278581,#278582,#278583,#278584)); #51836=EDGE_LOOP('',(#278585,#278586,#278587,#278588)); #51837=EDGE_LOOP('',(#278589,#278590,#278591,#278592)); #51838=EDGE_LOOP('',(#278593,#278594,#278595,#278596)); #51839=EDGE_LOOP('',(#278597,#278598,#278599,#278600)); #51840=EDGE_LOOP('',(#278601,#278602,#278603,#278604)); #51841=EDGE_LOOP('',(#278605,#278606,#278607,#278608)); #51842=EDGE_LOOP('',(#278609,#278610,#278611,#278612)); #51843=EDGE_LOOP('',(#278613,#278614,#278615,#278616)); #51844=EDGE_LOOP('',(#278617,#278618,#278619,#278620)); #51845=EDGE_LOOP('',(#278621,#278622,#278623,#278624)); #51846=EDGE_LOOP('',(#278625,#278626,#278627,#278628)); #51847=EDGE_LOOP('',(#278629,#278630,#278631,#278632)); #51848=EDGE_LOOP('',(#278633,#278634,#278635,#278636)); #51849=EDGE_LOOP('',(#278637,#278638,#278639,#278640)); #51850=EDGE_LOOP('',(#278641,#278642,#278643,#278644)); #51851=EDGE_LOOP('',(#278645,#278646,#278647,#278648)); #51852=EDGE_LOOP('',(#278649,#278650,#278651,#278652)); #51853=EDGE_LOOP('',(#278653,#278654,#278655,#278656)); #51854=EDGE_LOOP('',(#278657,#278658,#278659,#278660)); #51855=EDGE_LOOP('',(#278661,#278662,#278663,#278664)); #51856=EDGE_LOOP('',(#278665,#278666,#278667,#278668)); #51857=EDGE_LOOP('',(#278669,#278670,#278671,#278672)); #51858=EDGE_LOOP('',(#278673,#278674,#278675,#278676)); #51859=EDGE_LOOP('',(#278677,#278678,#278679,#278680)); #51860=EDGE_LOOP('',(#278681,#278682,#278683,#278684)); #51861=EDGE_LOOP('',(#278685,#278686,#278687,#278688)); #51862=EDGE_LOOP('',(#278689,#278690,#278691,#278692)); #51863=EDGE_LOOP('',(#278693,#278694,#278695,#278696)); #51864=EDGE_LOOP('',(#278697,#278698,#278699,#278700)); #51865=EDGE_LOOP('',(#278701,#278702,#278703,#278704)); #51866=EDGE_LOOP('',(#278705,#278706,#278707,#278708)); #51867=EDGE_LOOP('',(#278709,#278710,#278711,#278712)); #51868=EDGE_LOOP('',(#278713,#278714,#278715,#278716)); #51869=EDGE_LOOP('',(#278717,#278718,#278719,#278720)); #51870=EDGE_LOOP('',(#278721,#278722,#278723,#278724)); #51871=EDGE_LOOP('',(#278725,#278726,#278727,#278728)); #51872=EDGE_LOOP('',(#278729,#278730,#278731,#278732)); #51873=EDGE_LOOP('',(#278733,#278734,#278735,#278736)); #51874=EDGE_LOOP('',(#278737,#278738,#278739,#278740)); #51875=EDGE_LOOP('',(#278741,#278742,#278743,#278744)); #51876=EDGE_LOOP('',(#278745,#278746,#278747,#278748)); #51877=EDGE_LOOP('',(#278749,#278750,#278751,#278752)); #51878=EDGE_LOOP('',(#278753,#278754,#278755,#278756)); #51879=EDGE_LOOP('',(#278757,#278758,#278759,#278760)); #51880=EDGE_LOOP('',(#278761,#278762,#278763,#278764)); #51881=EDGE_LOOP('',(#278765,#278766,#278767,#278768)); #51882=EDGE_LOOP('',(#278769,#278770,#278771,#278772)); #51883=EDGE_LOOP('',(#278773,#278774,#278775,#278776)); #51884=EDGE_LOOP('',(#278777,#278778,#278779,#278780)); #51885=EDGE_LOOP('',(#278781,#278782,#278783,#278784)); #51886=EDGE_LOOP('',(#278785,#278786,#278787,#278788)); #51887=EDGE_LOOP('',(#278789,#278790,#278791,#278792)); #51888=EDGE_LOOP('',(#278793,#278794,#278795,#278796)); #51889=EDGE_LOOP('',(#278797,#278798,#278799,#278800)); #51890=EDGE_LOOP('',(#278801,#278802,#278803,#278804)); #51891=EDGE_LOOP('',(#278805,#278806,#278807,#278808)); #51892=EDGE_LOOP('',(#278809)); #51893=EDGE_LOOP('',(#278810)); #51894=EDGE_LOOP('',(#278811)); #51895=EDGE_LOOP('',(#278812)); #51896=EDGE_LOOP('',(#278813)); #51897=EDGE_LOOP('',(#278814)); #51898=EDGE_LOOP('',(#278815,#278816,#278817,#278818)); #51899=EDGE_LOOP('',(#278819)); #51900=EDGE_LOOP('',(#278820)); #51901=EDGE_LOOP('',(#278821)); #51902=EDGE_LOOP('',(#278822)); #51903=EDGE_LOOP('',(#278823)); #51904=EDGE_LOOP('',(#278824)); #51905=EDGE_LOOP('',(#278825,#278826,#278827,#278828)); #51906=EDGE_LOOP('',(#278829,#278830,#278831,#278832)); #51907=EDGE_LOOP('',(#278833,#278834,#278835,#278836)); #51908=EDGE_LOOP('',(#278837,#278838,#278839,#278840)); #51909=EDGE_LOOP('',(#278841,#278842,#278843,#278844)); #51910=EDGE_LOOP('',(#278845,#278846,#278847,#278848)); #51911=EDGE_LOOP('',(#278849,#278850,#278851,#278852)); #51912=EDGE_LOOP('',(#278853,#278854,#278855,#278856)); #51913=EDGE_LOOP('',(#278857,#278858,#278859,#278860)); #51914=EDGE_LOOP('',(#278861,#278862,#278863,#278864)); #51915=EDGE_LOOP('',(#278865,#278866,#278867,#278868)); #51916=EDGE_LOOP('',(#278869,#278870,#278871,#278872)); #51917=EDGE_LOOP('',(#278873,#278874,#278875,#278876)); #51918=EDGE_LOOP('',(#278877,#278878,#278879,#278880)); #51919=EDGE_LOOP('',(#278881,#278882,#278883,#278884)); #51920=EDGE_LOOP('',(#278885,#278886,#278887,#278888)); #51921=EDGE_LOOP('',(#278889,#278890,#278891,#278892)); #51922=EDGE_LOOP('',(#278893,#278894,#278895,#278896)); #51923=EDGE_LOOP('',(#278897,#278898,#278899,#278900)); #51924=EDGE_LOOP('',(#278901,#278902,#278903,#278904)); #51925=EDGE_LOOP('',(#278905,#278906,#278907,#278908)); #51926=EDGE_LOOP('',(#278909,#278910,#278911,#278912)); #51927=EDGE_LOOP('',(#278913,#278914,#278915,#278916)); #51928=EDGE_LOOP('',(#278917,#278918,#278919,#278920)); #51929=EDGE_LOOP('',(#278921,#278922,#278923,#278924)); #51930=EDGE_LOOP('',(#278925,#278926,#278927,#278928)); #51931=EDGE_LOOP('',(#278929,#278930,#278931,#278932)); #51932=EDGE_LOOP('',(#278933,#278934,#278935,#278936)); #51933=EDGE_LOOP('',(#278937,#278938,#278939,#278940)); #51934=EDGE_LOOP('',(#278941,#278942,#278943,#278944)); #51935=EDGE_LOOP('',(#278945,#278946,#278947,#278948,#278949,#278950,#278951, #278952,#278953,#278954,#278955,#278956)); #51936=EDGE_LOOP('',(#278957)); #51937=EDGE_LOOP('',(#278958)); #51938=EDGE_LOOP('',(#278959,#278960,#278961,#278962)); #51939=EDGE_LOOP('',(#278963,#278964,#278965,#278966)); #51940=EDGE_LOOP('',(#278967,#278968,#278969,#278970,#278971,#278972,#278973, #278974,#278975,#278976,#278977,#278978)); #51941=EDGE_LOOP('',(#278979)); #51942=EDGE_LOOP('',(#278980)); #51943=EDGE_LOOP('',(#278981,#278982,#278983,#278984)); #51944=EDGE_LOOP('',(#278985,#278986,#278987,#278988)); #51945=EDGE_LOOP('',(#278989,#278990,#278991,#278992)); #51946=EDGE_LOOP('',(#278993,#278994,#278995,#278996)); #51947=EDGE_LOOP('',(#278997,#278998,#278999,#279000)); #51948=EDGE_LOOP('',(#279001,#279002,#279003,#279004)); #51949=EDGE_LOOP('',(#279005,#279006,#279007,#279008)); #51950=EDGE_LOOP('',(#279009,#279010,#279011,#279012)); #51951=EDGE_LOOP('',(#279013,#279014,#279015,#279016)); #51952=EDGE_LOOP('',(#279017,#279018,#279019,#279020)); #51953=EDGE_LOOP('',(#279021,#279022,#279023,#279024)); #51954=EDGE_LOOP('',(#279025,#279026,#279027,#279028)); #51955=EDGE_LOOP('',(#279029,#279030,#279031,#279032)); #51956=EDGE_LOOP('',(#279033,#279034,#279035,#279036)); #51957=EDGE_LOOP('',(#279037,#279038,#279039,#279040)); #51958=EDGE_LOOP('',(#279041,#279042,#279043,#279044)); #51959=EDGE_LOOP('',(#279045,#279046,#279047,#279048)); #51960=EDGE_LOOP('',(#279049,#279050,#279051,#279052)); #51961=EDGE_LOOP('',(#279053,#279054,#279055,#279056)); #51962=EDGE_LOOP('',(#279057,#279058,#279059,#279060)); #51963=EDGE_LOOP('',(#279061,#279062,#279063,#279064)); #51964=EDGE_LOOP('',(#279065,#279066,#279067,#279068)); #51965=EDGE_LOOP('',(#279069,#279070,#279071,#279072)); #51966=EDGE_LOOP('',(#279073,#279074,#279075,#279076)); #51967=EDGE_LOOP('',(#279077,#279078,#279079,#279080)); #51968=EDGE_LOOP('',(#279081,#279082,#279083,#279084)); #51969=EDGE_LOOP('',(#279085,#279086,#279087,#279088)); #51970=EDGE_LOOP('',(#279089,#279090,#279091,#279092)); #51971=EDGE_LOOP('',(#279093,#279094,#279095,#279096)); #51972=EDGE_LOOP('',(#279097,#279098,#279099,#279100)); #51973=EDGE_LOOP('',(#279101,#279102,#279103,#279104)); #51974=EDGE_LOOP('',(#279105,#279106,#279107,#279108)); #51975=EDGE_LOOP('',(#279109,#279110,#279111,#279112)); #51976=EDGE_LOOP('',(#279113,#279114,#279115,#279116)); #51977=EDGE_LOOP('',(#279117,#279118,#279119,#279120)); #51978=EDGE_LOOP('',(#279121,#279122,#279123,#279124)); #51979=EDGE_LOOP('',(#279125,#279126,#279127,#279128)); #51980=EDGE_LOOP('',(#279129,#279130,#279131,#279132)); #51981=EDGE_LOOP('',(#279133,#279134,#279135,#279136)); #51982=EDGE_LOOP('',(#279137,#279138,#279139,#279140)); #51983=EDGE_LOOP('',(#279141,#279142,#279143,#279144)); #51984=EDGE_LOOP('',(#279145,#279146,#279147,#279148)); #51985=EDGE_LOOP('',(#279149,#279150,#279151,#279152)); #51986=EDGE_LOOP('',(#279153,#279154,#279155,#279156)); #51987=EDGE_LOOP('',(#279157,#279158,#279159,#279160)); #51988=EDGE_LOOP('',(#279161,#279162,#279163,#279164)); #51989=EDGE_LOOP('',(#279165,#279166,#279167,#279168)); #51990=EDGE_LOOP('',(#279169,#279170,#279171,#279172)); #51991=EDGE_LOOP('',(#279173,#279174,#279175,#279176)); #51992=EDGE_LOOP('',(#279177,#279178,#279179,#279180)); #51993=EDGE_LOOP('',(#279181,#279182,#279183,#279184)); #51994=EDGE_LOOP('',(#279185,#279186,#279187,#279188)); #51995=EDGE_LOOP('',(#279189,#279190,#279191,#279192)); #51996=EDGE_LOOP('',(#279193,#279194,#279195,#279196)); #51997=EDGE_LOOP('',(#279197,#279198,#279199,#279200)); #51998=EDGE_LOOP('',(#279201,#279202,#279203,#279204)); #51999=EDGE_LOOP('',(#279205,#279206,#279207,#279208)); #52000=EDGE_LOOP('',(#279209,#279210,#279211,#279212)); #52001=EDGE_LOOP('',(#279213,#279214,#279215,#279216)); #52002=EDGE_LOOP('',(#279217,#279218,#279219,#279220)); #52003=EDGE_LOOP('',(#279221,#279222,#279223,#279224)); #52004=EDGE_LOOP('',(#279225,#279226,#279227,#279228)); #52005=EDGE_LOOP('',(#279229,#279230,#279231,#279232)); #52006=EDGE_LOOP('',(#279233,#279234,#279235,#279236)); #52007=EDGE_LOOP('',(#279237,#279238,#279239,#279240)); #52008=EDGE_LOOP('',(#279241,#279242,#279243,#279244)); #52009=EDGE_LOOP('',(#279245,#279246,#279247,#279248)); #52010=EDGE_LOOP('',(#279249,#279250,#279251,#279252)); #52011=EDGE_LOOP('',(#279253,#279254,#279255,#279256)); #52012=EDGE_LOOP('',(#279257,#279258,#279259,#279260)); #52013=EDGE_LOOP('',(#279261,#279262,#279263,#279264)); #52014=EDGE_LOOP('',(#279265,#279266,#279267,#279268)); #52015=EDGE_LOOP('',(#279269,#279270,#279271,#279272)); #52016=EDGE_LOOP('',(#279273,#279274,#279275,#279276)); #52017=EDGE_LOOP('',(#279277,#279278,#279279,#279280)); #52018=EDGE_LOOP('',(#279281,#279282,#279283,#279284)); #52019=EDGE_LOOP('',(#279285,#279286,#279287,#279288)); #52020=EDGE_LOOP('',(#279289,#279290,#279291,#279292)); #52021=EDGE_LOOP('',(#279293,#279294,#279295,#279296)); #52022=EDGE_LOOP('',(#279297,#279298,#279299,#279300)); #52023=EDGE_LOOP('',(#279301,#279302,#279303,#279304)); #52024=EDGE_LOOP('',(#279305,#279306,#279307,#279308)); #52025=EDGE_LOOP('',(#279309,#279310,#279311,#279312)); #52026=EDGE_LOOP('',(#279313,#279314,#279315,#279316)); #52027=EDGE_LOOP('',(#279317,#279318,#279319,#279320)); #52028=EDGE_LOOP('',(#279321,#279322,#279323,#279324)); #52029=EDGE_LOOP('',(#279325,#279326,#279327,#279328)); #52030=EDGE_LOOP('',(#279329,#279330,#279331,#279332)); #52031=EDGE_LOOP('',(#279333,#279334,#279335,#279336)); #52032=EDGE_LOOP('',(#279337,#279338,#279339,#279340)); #52033=EDGE_LOOP('',(#279341,#279342,#279343,#279344)); #52034=EDGE_LOOP('',(#279345,#279346,#279347,#279348)); #52035=EDGE_LOOP('',(#279349,#279350,#279351,#279352)); #52036=EDGE_LOOP('',(#279353,#279354,#279355,#279356)); #52037=EDGE_LOOP('',(#279357,#279358,#279359,#279360)); #52038=EDGE_LOOP('',(#279361,#279362,#279363,#279364)); #52039=EDGE_LOOP('',(#279365,#279366,#279367,#279368)); #52040=EDGE_LOOP('',(#279369,#279370,#279371,#279372)); #52041=EDGE_LOOP('',(#279373,#279374,#279375,#279376)); #52042=EDGE_LOOP('',(#279377,#279378,#279379,#279380)); #52043=EDGE_LOOP('',(#279381,#279382,#279383,#279384)); #52044=EDGE_LOOP('',(#279385,#279386,#279387,#279388)); #52045=EDGE_LOOP('',(#279389,#279390,#279391,#279392)); #52046=EDGE_LOOP('',(#279393,#279394,#279395,#279396)); #52047=EDGE_LOOP('',(#279397,#279398,#279399,#279400)); #52048=EDGE_LOOP('',(#279401,#279402,#279403,#279404)); #52049=EDGE_LOOP('',(#279405,#279406,#279407,#279408)); #52050=EDGE_LOOP('',(#279409,#279410,#279411,#279412)); #52051=EDGE_LOOP('',(#279413,#279414,#279415,#279416)); #52052=EDGE_LOOP('',(#279417,#279418,#279419,#279420)); #52053=EDGE_LOOP('',(#279421,#279422,#279423,#279424)); #52054=EDGE_LOOP('',(#279425,#279426,#279427,#279428)); #52055=EDGE_LOOP('',(#279429,#279430,#279431,#279432)); #52056=EDGE_LOOP('',(#279433,#279434,#279435,#279436)); #52057=EDGE_LOOP('',(#279437,#279438,#279439,#279440)); #52058=EDGE_LOOP('',(#279441,#279442,#279443,#279444)); #52059=EDGE_LOOP('',(#279445,#279446,#279447,#279448)); #52060=EDGE_LOOP('',(#279449,#279450,#279451,#279452)); #52061=EDGE_LOOP('',(#279453,#279454,#279455,#279456)); #52062=EDGE_LOOP('',(#279457,#279458,#279459,#279460)); #52063=EDGE_LOOP('',(#279461,#279462,#279463,#279464)); #52064=EDGE_LOOP('',(#279465,#279466,#279467,#279468)); #52065=EDGE_LOOP('',(#279469,#279470,#279471,#279472)); #52066=EDGE_LOOP('',(#279473,#279474,#279475,#279476)); #52067=EDGE_LOOP('',(#279477,#279478,#279479,#279480)); #52068=EDGE_LOOP('',(#279481,#279482,#279483,#279484)); #52069=EDGE_LOOP('',(#279485,#279486,#279487,#279488)); #52070=EDGE_LOOP('',(#279489,#279490,#279491,#279492)); #52071=EDGE_LOOP('',(#279493,#279494,#279495,#279496)); #52072=EDGE_LOOP('',(#279497,#279498,#279499,#279500)); #52073=EDGE_LOOP('',(#279501,#279502,#279503)); #52074=EDGE_LOOP('',(#279504)); #52075=EDGE_LOOP('',(#279505,#279506,#279507)); #52076=EDGE_LOOP('',(#279508)); #52077=EDGE_LOOP('',(#279509,#279510,#279511,#279512)); #52078=EDGE_LOOP('',(#279513,#279514,#279515,#279516)); #52079=EDGE_LOOP('',(#279517,#279518,#279519,#279520)); #52080=EDGE_LOOP('',(#279521,#279522,#279523,#279524)); #52081=EDGE_LOOP('',(#279525,#279526,#279527,#279528)); #52082=EDGE_LOOP('',(#279529,#279530,#279531,#279532)); #52083=EDGE_LOOP('',(#279533,#279534,#279535,#279536)); #52084=EDGE_LOOP('',(#279537,#279538,#279539,#279540)); #52085=EDGE_LOOP('',(#279541,#279542,#279543,#279544)); #52086=EDGE_LOOP('',(#279545,#279546,#279547,#279548)); #52087=EDGE_LOOP('',(#279549,#279550,#279551,#279552)); #52088=EDGE_LOOP('',(#279553,#279554,#279555,#279556)); #52089=EDGE_LOOP('',(#279557,#279558,#279559,#279560)); #52090=EDGE_LOOP('',(#279561,#279562,#279563,#279564)); #52091=EDGE_LOOP('',(#279565,#279566,#279567,#279568)); #52092=EDGE_LOOP('',(#279569,#279570,#279571,#279572)); #52093=EDGE_LOOP('',(#279573,#279574,#279575,#279576)); #52094=EDGE_LOOP('',(#279577,#279578,#279579,#279580)); #52095=EDGE_LOOP('',(#279581,#279582,#279583,#279584)); #52096=EDGE_LOOP('',(#279585)); #52097=EDGE_LOOP('',(#279586)); #52098=EDGE_LOOP('',(#279587,#279588,#279589,#279590)); #52099=EDGE_LOOP('',(#279591)); #52100=EDGE_LOOP('',(#279592)); #52101=EDGE_LOOP('',(#279593,#279594,#279595,#279596)); #52102=EDGE_LOOP('',(#279597,#279598,#279599,#279600)); #52103=EDGE_LOOP('',(#279601,#279602,#279603,#279604)); #52104=EDGE_LOOP('',(#279605,#279606,#279607,#279608)); #52105=EDGE_LOOP('',(#279609,#279610,#279611,#279612)); #52106=EDGE_LOOP('',(#279613,#279614,#279615,#279616)); #52107=EDGE_LOOP('',(#279617,#279618,#279619,#279620)); #52108=EDGE_LOOP('',(#279621,#279622,#279623,#279624)); #52109=EDGE_LOOP('',(#279625,#279626,#279627,#279628)); #52110=EDGE_LOOP('',(#279629,#279630,#279631,#279632)); #52111=EDGE_LOOP('',(#279633,#279634,#279635,#279636)); #52112=EDGE_LOOP('',(#279637,#279638,#279639,#279640)); #52113=EDGE_LOOP('',(#279641,#279642,#279643,#279644)); #52114=EDGE_LOOP('',(#279645)); #52115=EDGE_LOOP('',(#279646)); #52116=EDGE_LOOP('',(#279647,#279648,#279649,#279650)); #52117=EDGE_LOOP('',(#279651)); #52118=EDGE_LOOP('',(#279652)); #52119=EDGE_LOOP('',(#279653,#279654,#279655,#279656)); #52120=EDGE_LOOP('',(#279657,#279658,#279659,#279660)); #52121=EDGE_LOOP('',(#279661,#279662,#279663,#279664)); #52122=EDGE_LOOP('',(#279665,#279666,#279667,#279668)); #52123=EDGE_LOOP('',(#279669,#279670,#279671,#279672)); #52124=EDGE_LOOP('',(#279673,#279674,#279675,#279676)); #52125=EDGE_LOOP('',(#279677,#279678,#279679,#279680)); #52126=EDGE_LOOP('',(#279681,#279682,#279683,#279684)); #52127=EDGE_LOOP('',(#279685,#279686,#279687,#279688)); #52128=EDGE_LOOP('',(#279689,#279690,#279691,#279692)); #52129=EDGE_LOOP('',(#279693,#279694,#279695,#279696)); #52130=EDGE_LOOP('',(#279697,#279698,#279699,#279700)); #52131=EDGE_LOOP('',(#279701,#279702,#279703,#279704)); #52132=EDGE_LOOP('',(#279705)); #52133=EDGE_LOOP('',(#279706)); #52134=EDGE_LOOP('',(#279707,#279708,#279709,#279710)); #52135=EDGE_LOOP('',(#279711)); #52136=EDGE_LOOP('',(#279712)); #52137=EDGE_LOOP('',(#279713,#279714,#279715,#279716)); #52138=EDGE_LOOP('',(#279717,#279718,#279719,#279720)); #52139=EDGE_LOOP('',(#279721,#279722,#279723,#279724)); #52140=EDGE_LOOP('',(#279725,#279726,#279727,#279728)); #52141=EDGE_LOOP('',(#279729,#279730,#279731,#279732)); #52142=EDGE_LOOP('',(#279733,#279734,#279735,#279736)); #52143=EDGE_LOOP('',(#279737,#279738,#279739,#279740)); #52144=EDGE_LOOP('',(#279741,#279742,#279743,#279744)); #52145=EDGE_LOOP('',(#279745,#279746,#279747,#279748)); #52146=EDGE_LOOP('',(#279749,#279750,#279751,#279752)); #52147=EDGE_LOOP('',(#279753,#279754,#279755,#279756)); #52148=EDGE_LOOP('',(#279757,#279758,#279759,#279760)); #52149=EDGE_LOOP('',(#279761,#279762,#279763,#279764)); #52150=EDGE_LOOP('',(#279765)); #52151=EDGE_LOOP('',(#279766)); #52152=EDGE_LOOP('',(#279767,#279768,#279769,#279770)); #52153=EDGE_LOOP('',(#279771)); #52154=EDGE_LOOP('',(#279772)); #52155=EDGE_LOOP('',(#279773,#279774,#279775,#279776)); #52156=EDGE_LOOP('',(#279777,#279778,#279779,#279780)); #52157=EDGE_LOOP('',(#279781,#279782,#279783,#279784)); #52158=EDGE_LOOP('',(#279785,#279786,#279787,#279788)); #52159=EDGE_LOOP('',(#279789,#279790,#279791,#279792)); #52160=EDGE_LOOP('',(#279793,#279794,#279795,#279796)); #52161=EDGE_LOOP('',(#279797,#279798,#279799,#279800)); #52162=EDGE_LOOP('',(#279801,#279802,#279803,#279804)); #52163=EDGE_LOOP('',(#279805,#279806,#279807,#279808)); #52164=EDGE_LOOP('',(#279809,#279810,#279811,#279812)); #52165=EDGE_LOOP('',(#279813,#279814,#279815,#279816)); #52166=EDGE_LOOP('',(#279817,#279818,#279819,#279820)); #52167=EDGE_LOOP('',(#279821,#279822,#279823,#279824)); #52168=EDGE_LOOP('',(#279825,#279826,#279827,#279828)); #52169=EDGE_LOOP('',(#279829,#279830,#279831,#279832)); #52170=EDGE_LOOP('',(#279833,#279834,#279835,#279836)); #52171=EDGE_LOOP('',(#279837,#279838,#279839,#279840)); #52172=EDGE_LOOP('',(#279841,#279842,#279843,#279844)); #52173=EDGE_LOOP('',(#279845,#279846,#279847,#279848)); #52174=EDGE_LOOP('',(#279849,#279850,#279851,#279852)); #52175=EDGE_LOOP('',(#279853,#279854,#279855,#279856)); #52176=EDGE_LOOP('',(#279857,#279858,#279859,#279860)); #52177=EDGE_LOOP('',(#279861,#279862,#279863,#279864)); #52178=EDGE_LOOP('',(#279865,#279866,#279867,#279868)); #52179=EDGE_LOOP('',(#279869,#279870,#279871,#279872)); #52180=EDGE_LOOP('',(#279873,#279874,#279875,#279876)); #52181=EDGE_LOOP('',(#279877,#279878,#279879,#279880)); #52182=EDGE_LOOP('',(#279881,#279882,#279883,#279884)); #52183=EDGE_LOOP('',(#279885,#279886,#279887,#279888)); #52184=EDGE_LOOP('',(#279889,#279890,#279891,#279892)); #52185=EDGE_LOOP('',(#279893,#279894,#279895,#279896)); #52186=EDGE_LOOP('',(#279897,#279898,#279899,#279900)); #52187=EDGE_LOOP('',(#279901,#279902,#279903,#279904)); #52188=EDGE_LOOP('',(#279905,#279906,#279907,#279908)); #52189=EDGE_LOOP('',(#279909,#279910,#279911,#279912)); #52190=EDGE_LOOP('',(#279913,#279914,#279915,#279916)); #52191=EDGE_LOOP('',(#279917,#279918,#279919,#279920)); #52192=EDGE_LOOP('',(#279921,#279922,#279923,#279924)); #52193=EDGE_LOOP('',(#279925,#279926,#279927,#279928)); #52194=EDGE_LOOP('',(#279929,#279930,#279931,#279932)); #52195=EDGE_LOOP('',(#279933,#279934,#279935,#279936)); #52196=EDGE_LOOP('',(#279937,#279938,#279939,#279940)); #52197=EDGE_LOOP('',(#279941,#279942,#279943,#279944)); #52198=EDGE_LOOP('',(#279945,#279946,#279947,#279948)); #52199=EDGE_LOOP('',(#279949,#279950,#279951,#279952)); #52200=EDGE_LOOP('',(#279953,#279954,#279955,#279956)); #52201=EDGE_LOOP('',(#279957,#279958,#279959,#279960)); #52202=EDGE_LOOP('',(#279961,#279962,#279963,#279964)); #52203=EDGE_LOOP('',(#279965,#279966,#279967,#279968)); #52204=EDGE_LOOP('',(#279969,#279970,#279971,#279972)); #52205=EDGE_LOOP('',(#279973,#279974,#279975,#279976)); #52206=EDGE_LOOP('',(#279977,#279978,#279979,#279980)); #52207=EDGE_LOOP('',(#279981,#279982,#279983,#279984)); #52208=EDGE_LOOP('',(#279985,#279986,#279987,#279988)); #52209=EDGE_LOOP('',(#279989,#279990,#279991,#279992)); #52210=EDGE_LOOP('',(#279993,#279994,#279995,#279996)); #52211=EDGE_LOOP('',(#279997,#279998,#279999,#280000)); #52212=EDGE_LOOP('',(#280001,#280002,#280003,#280004)); #52213=EDGE_LOOP('',(#280005,#280006,#280007,#280008)); #52214=EDGE_LOOP('',(#280009,#280010,#280011,#280012)); #52215=EDGE_LOOP('',(#280013,#280014,#280015,#280016)); #52216=EDGE_LOOP('',(#280017,#280018,#280019,#280020)); #52217=EDGE_LOOP('',(#280021,#280022,#280023,#280024)); #52218=EDGE_LOOP('',(#280025,#280026,#280027,#280028)); #52219=EDGE_LOOP('',(#280029,#280030,#280031,#280032,#280033,#280034,#280035, #280036,#280037,#280038,#280039,#280040,#280041,#280042,#280043,#280044, #280045,#280046,#280047,#280048,#280049,#280050,#280051,#280052,#280053, #280054,#280055,#280056,#280057,#280058,#280059,#280060,#280061,#280062, #280063,#280064,#280065,#280066,#280067,#280068,#280069,#280070,#280071, #280072,#280073,#280074,#280075,#280076,#280077,#280078,#280079,#280080, #280081,#280082,#280083,#280084,#280085,#280086)); #52220=EDGE_LOOP('',(#280087,#280088,#280089,#280090,#280091,#280092,#280093, #280094,#280095,#280096,#280097,#280098,#280099,#280100,#280101,#280102, #280103,#280104,#280105,#280106,#280107,#280108,#280109,#280110,#280111, #280112,#280113,#280114,#280115,#280116,#280117,#280118,#280119,#280120, #280121,#280122,#280123,#280124,#280125,#280126,#280127,#280128,#280129, #280130,#280131,#280132,#280133,#280134,#280135,#280136,#280137,#280138, #280139,#280140,#280141,#280142,#280143,#280144)); #52221=EDGE_LOOP('',(#280145,#280146,#280147,#280148)); #52222=EDGE_LOOP('',(#280149,#280150,#280151,#280152)); #52223=EDGE_LOOP('',(#280153,#280154,#280155,#280156)); #52224=EDGE_LOOP('',(#280157,#280158,#280159,#280160)); #52225=EDGE_LOOP('',(#280161,#280162,#280163,#280164)); #52226=EDGE_LOOP('',(#280165,#280166,#280167,#280168)); #52227=EDGE_LOOP('',(#280169,#280170,#280171,#280172)); #52228=EDGE_LOOP('',(#280173,#280174,#280175,#280176)); #52229=EDGE_LOOP('',(#280177,#280178,#280179,#280180)); #52230=EDGE_LOOP('',(#280181,#280182,#280183,#280184)); #52231=EDGE_LOOP('',(#280185,#280186,#280187,#280188,#280189,#280190,#280191, #280192)); #52232=EDGE_LOOP('',(#280193)); #52233=EDGE_LOOP('',(#280194)); #52234=EDGE_LOOP('',(#280195,#280196,#280197,#280198,#280199,#280200,#280201, #280202)); #52235=EDGE_LOOP('',(#280203)); #52236=EDGE_LOOP('',(#280204)); #52237=EDGE_LOOP('',(#280205,#280206,#280207,#280208)); #52238=EDGE_LOOP('',(#280209,#280210,#280211,#280212)); #52239=EDGE_LOOP('',(#280213,#280214,#280215,#280216)); #52240=EDGE_LOOP('',(#280217,#280218,#280219,#280220)); #52241=EDGE_LOOP('',(#280221,#280222,#280223,#280224)); #52242=EDGE_LOOP('',(#280225,#280226,#280227,#280228)); #52243=EDGE_LOOP('',(#280229,#280230,#280231,#280232)); #52244=EDGE_LOOP('',(#280233,#280234,#280235,#280236)); #52245=EDGE_LOOP('',(#280237,#280238,#280239,#280240)); #52246=EDGE_LOOP('',(#280241,#280242,#280243,#280244)); #52247=EDGE_LOOP('',(#280245,#280246,#280247,#280248)); #52248=EDGE_LOOP('',(#280249,#280250,#280251,#280252,#280253,#280254,#280255, #280256,#280257,#280258)); #52249=EDGE_LOOP('',(#280259)); #52250=EDGE_LOOP('',(#280260,#280261,#280262,#280263,#280264,#280265,#280266, #280267,#280268,#280269)); #52251=EDGE_LOOP('',(#280270)); #52252=EDGE_LOOP('',(#280271,#280272,#280273,#280274)); #52253=EDGE_LOOP('',(#280275,#280276,#280277,#280278)); #52254=EDGE_LOOP('',(#280279,#280280,#280281,#280282)); #52255=EDGE_LOOP('',(#280283,#280284,#280285,#280286)); #52256=EDGE_LOOP('',(#280287,#280288,#280289,#280290)); #52257=EDGE_LOOP('',(#280291,#280292,#280293,#280294)); #52258=EDGE_LOOP('',(#280295,#280296,#280297,#280298)); #52259=EDGE_LOOP('',(#280299,#280300,#280301,#280302)); #52260=EDGE_LOOP('',(#280303,#280304,#280305,#280306)); #52261=EDGE_LOOP('',(#280307,#280308,#280309,#280310)); #52262=EDGE_LOOP('',(#280311,#280312,#280313,#280314)); #52263=EDGE_LOOP('',(#280315,#280316,#280317,#280318)); #52264=EDGE_LOOP('',(#280319,#280320,#280321,#280322)); #52265=EDGE_LOOP('',(#280323,#280324,#280325,#280326)); #52266=EDGE_LOOP('',(#280327,#280328,#280329,#280330,#280331,#280332,#280333, #280334,#280335,#280336,#280337,#280338,#280339)); #52267=EDGE_LOOP('',(#280340)); #52268=EDGE_LOOP('',(#280341,#280342,#280343,#280344,#280345,#280346,#280347, #280348,#280349,#280350,#280351,#280352,#280353)); #52269=EDGE_LOOP('',(#280354)); #52270=EDGE_LOOP('',(#280355,#280356,#280357,#280358)); #52271=EDGE_LOOP('',(#280359,#280360,#280361,#280362)); #52272=EDGE_LOOP('',(#280363,#280364,#280365,#280366)); #52273=EDGE_LOOP('',(#280367,#280368,#280369,#280370)); #52274=EDGE_LOOP('',(#280371,#280372,#280373,#280374)); #52275=EDGE_LOOP('',(#280375,#280376,#280377,#280378)); #52276=EDGE_LOOP('',(#280379,#280380,#280381,#280382)); #52277=EDGE_LOOP('',(#280383,#280384,#280385,#280386)); #52278=EDGE_LOOP('',(#280387,#280388,#280389,#280390)); #52279=EDGE_LOOP('',(#280391,#280392,#280393,#280394)); #52280=EDGE_LOOP('',(#280395,#280396,#280397,#280398)); #52281=EDGE_LOOP('',(#280399,#280400,#280401,#280402)); #52282=EDGE_LOOP('',(#280403,#280404,#280405,#280406)); #52283=EDGE_LOOP('',(#280407,#280408,#280409,#280410)); #52284=EDGE_LOOP('',(#280411,#280412,#280413,#280414)); #52285=EDGE_LOOP('',(#280415,#280416,#280417,#280418)); #52286=EDGE_LOOP('',(#280419,#280420,#280421,#280422)); #52287=EDGE_LOOP('',(#280423,#280424,#280425,#280426)); #52288=EDGE_LOOP('',(#280427,#280428,#280429,#280430)); #52289=EDGE_LOOP('',(#280431,#280432,#280433,#280434)); #52290=EDGE_LOOP('',(#280435,#280436,#280437,#280438)); #52291=EDGE_LOOP('',(#280439,#280440,#280441,#280442)); #52292=EDGE_LOOP('',(#280443,#280444,#280445,#280446)); #52293=EDGE_LOOP('',(#280447,#280448,#280449,#280450)); #52294=EDGE_LOOP('',(#280451,#280452,#280453,#280454)); #52295=EDGE_LOOP('',(#280455,#280456,#280457,#280458)); #52296=EDGE_LOOP('',(#280459,#280460,#280461,#280462)); #52297=EDGE_LOOP('',(#280463,#280464,#280465,#280466)); #52298=EDGE_LOOP('',(#280467,#280468,#280469,#280470)); #52299=EDGE_LOOP('',(#280471,#280472,#280473,#280474)); #52300=EDGE_LOOP('',(#280475,#280476,#280477,#280478)); #52301=EDGE_LOOP('',(#280479,#280480,#280481,#280482)); #52302=EDGE_LOOP('',(#280483,#280484,#280485,#280486)); #52303=EDGE_LOOP('',(#280487,#280488,#280489,#280490)); #52304=EDGE_LOOP('',(#280491,#280492,#280493,#280494)); #52305=EDGE_LOOP('',(#280495,#280496,#280497,#280498)); #52306=EDGE_LOOP('',(#280499,#280500,#280501,#280502)); #52307=EDGE_LOOP('',(#280503,#280504,#280505,#280506)); #52308=EDGE_LOOP('',(#280507,#280508,#280509,#280510)); #52309=EDGE_LOOP('',(#280511,#280512,#280513,#280514)); #52310=EDGE_LOOP('',(#280515,#280516,#280517,#280518)); #52311=EDGE_LOOP('',(#280519,#280520,#280521,#280522)); #52312=EDGE_LOOP('',(#280523,#280524,#280525,#280526)); #52313=EDGE_LOOP('',(#280527,#280528,#280529,#280530)); #52314=EDGE_LOOP('',(#280531,#280532,#280533,#280534)); #52315=EDGE_LOOP('',(#280535,#280536,#280537,#280538)); #52316=EDGE_LOOP('',(#280539,#280540,#280541,#280542,#280543,#280544,#280545, #280546,#280547,#280548,#280549,#280550,#280551,#280552,#280553,#280554, #280555,#280556,#280557,#280558,#280559,#280560,#280561,#280562,#280563, #280564,#280565,#280566,#280567,#280568,#280569,#280570,#280571,#280572, #280573,#280574,#280575,#280576,#280577,#280578,#280579,#280580,#280581, #280582)); #52317=EDGE_LOOP('',(#280583)); #52318=EDGE_LOOP('',(#280584)); #52319=EDGE_LOOP('',(#280585,#280586,#280587,#280588,#280589,#280590,#280591, #280592,#280593,#280594,#280595,#280596,#280597,#280598,#280599,#280600, #280601,#280602,#280603,#280604,#280605,#280606,#280607,#280608,#280609, #280610,#280611,#280612,#280613,#280614,#280615,#280616,#280617,#280618, #280619,#280620,#280621,#280622,#280623,#280624,#280625,#280626,#280627, #280628)); #52320=EDGE_LOOP('',(#280629)); #52321=EDGE_LOOP('',(#280630)); #52322=EDGE_LOOP('',(#280631,#280632,#280633,#280634)); #52323=EDGE_LOOP('',(#280635,#280636,#280637,#280638)); #52324=EDGE_LOOP('',(#280639,#280640,#280641,#280642)); #52325=EDGE_LOOP('',(#280643,#280644,#280645,#280646)); #52326=EDGE_LOOP('',(#280647,#280648,#280649,#280650)); #52327=EDGE_LOOP('',(#280651,#280652,#280653,#280654)); #52328=EDGE_LOOP('',(#280655,#280656,#280657,#280658)); #52329=EDGE_LOOP('',(#280659,#280660,#280661,#280662)); #52330=EDGE_LOOP('',(#280663,#280664,#280665,#280666)); #52331=EDGE_LOOP('',(#280667,#280668,#280669,#280670)); #52332=EDGE_LOOP('',(#280671,#280672,#280673,#280674)); #52333=EDGE_LOOP('',(#280675,#280676,#280677,#280678)); #52334=EDGE_LOOP('',(#280679,#280680,#280681,#280682)); #52335=EDGE_LOOP('',(#280683,#280684,#280685,#280686,#280687,#280688,#280689, #280690,#280691,#280692,#280693)); #52336=EDGE_LOOP('',(#280694)); #52337=EDGE_LOOP('',(#280695)); #52338=EDGE_LOOP('',(#280696,#280697,#280698,#280699,#280700,#280701,#280702, #280703,#280704,#280705,#280706)); #52339=EDGE_LOOP('',(#280707)); #52340=EDGE_LOOP('',(#280708)); #52341=EDGE_LOOP('',(#280709,#280710,#280711,#280712)); #52342=EDGE_LOOP('',(#280713,#280714,#280715,#280716)); #52343=EDGE_LOOP('',(#280717,#280718,#280719,#280720)); #52344=EDGE_LOOP('',(#280721,#280722,#280723,#280724)); #52345=EDGE_LOOP('',(#280725,#280726,#280727,#280728)); #52346=EDGE_LOOP('',(#280729,#280730,#280731,#280732)); #52347=EDGE_LOOP('',(#280733,#280734,#280735,#280736)); #52348=EDGE_LOOP('',(#280737,#280738,#280739,#280740)); #52349=EDGE_LOOP('',(#280741,#280742,#280743,#280744)); #52350=EDGE_LOOP('',(#280745,#280746,#280747,#280748)); #52351=EDGE_LOOP('',(#280749,#280750,#280751,#280752)); #52352=EDGE_LOOP('',(#280753,#280754,#280755,#280756)); #52353=EDGE_LOOP('',(#280757,#280758,#280759,#280760)); #52354=EDGE_LOOP('',(#280761,#280762,#280763,#280764)); #52355=EDGE_LOOP('',(#280765,#280766,#280767,#280768)); #52356=EDGE_LOOP('',(#280769,#280770,#280771,#280772)); #52357=EDGE_LOOP('',(#280773,#280774,#280775,#280776)); #52358=EDGE_LOOP('',(#280777,#280778,#280779,#280780)); #52359=EDGE_LOOP('',(#280781,#280782,#280783,#280784)); #52360=EDGE_LOOP('',(#280785,#280786,#280787,#280788)); #52361=EDGE_LOOP('',(#280789,#280790,#280791,#280792)); #52362=EDGE_LOOP('',(#280793,#280794,#280795,#280796)); #52363=EDGE_LOOP('',(#280797,#280798,#280799,#280800)); #52364=EDGE_LOOP('',(#280801,#280802,#280803,#280804)); #52365=EDGE_LOOP('',(#280805,#280806,#280807,#280808)); #52366=EDGE_LOOP('',(#280809,#280810,#280811,#280812,#280813,#280814,#280815, #280816,#280817,#280818,#280819,#280820,#280821,#280822,#280823,#280824, #280825,#280826,#280827,#280828,#280829,#280830,#280831,#280832)); #52367=EDGE_LOOP('',(#280833)); #52368=EDGE_LOOP('',(#280834,#280835,#280836,#280837,#280838,#280839,#280840, #280841,#280842,#280843,#280844,#280845,#280846,#280847,#280848,#280849, #280850,#280851,#280852,#280853,#280854,#280855,#280856,#280857)); #52369=EDGE_LOOP('',(#280858)); #52370=EDGE_LOOP('',(#280859,#280860,#280861,#280862)); #52371=EDGE_LOOP('',(#280863,#280864,#280865,#280866)); #52372=EDGE_LOOP('',(#280867,#280868,#280869,#280870)); #52373=EDGE_LOOP('',(#280871,#280872,#280873,#280874)); #52374=EDGE_LOOP('',(#280875,#280876,#280877,#280878)); #52375=EDGE_LOOP('',(#280879,#280880,#280881,#280882)); #52376=EDGE_LOOP('',(#280883,#280884,#280885,#280886)); #52377=EDGE_LOOP('',(#280887,#280888,#280889,#280890)); #52378=EDGE_LOOP('',(#280891,#280892,#280893,#280894)); #52379=EDGE_LOOP('',(#280895,#280896,#280897,#280898)); #52380=EDGE_LOOP('',(#280899,#280900,#280901,#280902)); #52381=EDGE_LOOP('',(#280903,#280904,#280905,#280906)); #52382=EDGE_LOOP('',(#280907,#280908,#280909,#280910)); #52383=EDGE_LOOP('',(#280911,#280912,#280913,#280914)); #52384=EDGE_LOOP('',(#280915,#280916,#280917,#280918)); #52385=EDGE_LOOP('',(#280919,#280920,#280921,#280922)); #52386=EDGE_LOOP('',(#280923,#280924,#280925,#280926)); #52387=EDGE_LOOP('',(#280927,#280928,#280929,#280930)); #52388=EDGE_LOOP('',(#280931,#280932,#280933,#280934)); #52389=EDGE_LOOP('',(#280935,#280936,#280937,#280938)); #52390=EDGE_LOOP('',(#280939,#280940,#280941,#280942)); #52391=EDGE_LOOP('',(#280943,#280944,#280945,#280946)); #52392=EDGE_LOOP('',(#280947,#280948,#280949,#280950)); #52393=EDGE_LOOP('',(#280951,#280952,#280953,#280954)); #52394=EDGE_LOOP('',(#280955,#280956,#280957,#280958)); #52395=EDGE_LOOP('',(#280959,#280960,#280961,#280962)); #52396=EDGE_LOOP('',(#280963,#280964,#280965,#280966)); #52397=EDGE_LOOP('',(#280967,#280968,#280969,#280970,#280971,#280972,#280973, #280974,#280975,#280976,#280977,#280978,#280979,#280980,#280981,#280982, #280983,#280984,#280985,#280986,#280987,#280988,#280989,#280990,#280991, #280992)); #52398=EDGE_LOOP('',(#280993)); #52399=EDGE_LOOP('',(#280994,#280995,#280996,#280997,#280998,#280999,#281000, #281001,#281002,#281003,#281004,#281005,#281006,#281007,#281008,#281009, #281010,#281011,#281012,#281013,#281014,#281015,#281016,#281017,#281018, #281019)); #52400=EDGE_LOOP('',(#281020)); #52401=EDGE_LOOP('',(#281021,#281022,#281023,#281024)); #52402=EDGE_LOOP('',(#281025,#281026,#281027,#281028)); #52403=EDGE_LOOP('',(#281029,#281030,#281031,#281032)); #52404=EDGE_LOOP('',(#281033,#281034,#281035,#281036)); #52405=EDGE_LOOP('',(#281037,#281038,#281039,#281040)); #52406=EDGE_LOOP('',(#281041,#281042,#281043,#281044)); #52407=EDGE_LOOP('',(#281045,#281046,#281047,#281048)); #52408=EDGE_LOOP('',(#281049,#281050,#281051,#281052)); #52409=EDGE_LOOP('',(#281053,#281054,#281055,#281056)); #52410=EDGE_LOOP('',(#281057,#281058,#281059,#281060)); #52411=EDGE_LOOP('',(#281061,#281062,#281063,#281064)); #52412=EDGE_LOOP('',(#281065,#281066,#281067,#281068)); #52413=EDGE_LOOP('',(#281069,#281070,#281071,#281072)); #52414=EDGE_LOOP('',(#281073,#281074,#281075,#281076)); #52415=EDGE_LOOP('',(#281077,#281078,#281079,#281080)); #52416=EDGE_LOOP('',(#281081,#281082,#281083,#281084)); #52417=EDGE_LOOP('',(#281085,#281086,#281087,#281088)); #52418=EDGE_LOOP('',(#281089,#281090,#281091,#281092)); #52419=EDGE_LOOP('',(#281093,#281094,#281095,#281096)); #52420=EDGE_LOOP('',(#281097,#281098,#281099,#281100)); #52421=EDGE_LOOP('',(#281101,#281102,#281103,#281104)); #52422=EDGE_LOOP('',(#281105,#281106,#281107,#281108)); #52423=EDGE_LOOP('',(#281109,#281110,#281111,#281112)); #52424=EDGE_LOOP('',(#281113,#281114,#281115,#281116)); #52425=EDGE_LOOP('',(#281117,#281118,#281119,#281120)); #52426=EDGE_LOOP('',(#281121,#281122,#281123,#281124)); #52427=EDGE_LOOP('',(#281125,#281126,#281127,#281128)); #52428=EDGE_LOOP('',(#281129,#281130,#281131,#281132)); #52429=EDGE_LOOP('',(#281133,#281134,#281135,#281136)); #52430=EDGE_LOOP('',(#281137,#281138,#281139,#281140)); #52431=EDGE_LOOP('',(#281141,#281142,#281143,#281144)); #52432=EDGE_LOOP('',(#281145,#281146,#281147,#281148)); #52433=EDGE_LOOP('',(#281149,#281150,#281151,#281152)); #52434=EDGE_LOOP('',(#281153,#281154,#281155,#281156)); #52435=EDGE_LOOP('',(#281157,#281158,#281159,#281160)); #52436=EDGE_LOOP('',(#281161,#281162,#281163,#281164)); #52437=EDGE_LOOP('',(#281165,#281166,#281167,#281168)); #52438=EDGE_LOOP('',(#281169,#281170,#281171,#281172)); #52439=EDGE_LOOP('',(#281173,#281174,#281175,#281176)); #52440=EDGE_LOOP('',(#281177,#281178,#281179,#281180)); #52441=EDGE_LOOP('',(#281181,#281182,#281183,#281184)); #52442=EDGE_LOOP('',(#281185,#281186,#281187,#281188)); #52443=EDGE_LOOP('',(#281189,#281190,#281191,#281192)); #52444=EDGE_LOOP('',(#281193,#281194,#281195,#281196)); #52445=EDGE_LOOP('',(#281197,#281198,#281199,#281200)); #52446=EDGE_LOOP('',(#281201,#281202,#281203,#281204)); #52447=EDGE_LOOP('',(#281205,#281206,#281207,#281208)); #52448=EDGE_LOOP('',(#281209,#281210,#281211,#281212)); #52449=EDGE_LOOP('',(#281213,#281214,#281215,#281216)); #52450=EDGE_LOOP('',(#281217,#281218,#281219,#281220)); #52451=EDGE_LOOP('',(#281221,#281222,#281223,#281224)); #52452=EDGE_LOOP('',(#281225,#281226,#281227,#281228)); #52453=EDGE_LOOP('',(#281229,#281230,#281231,#281232)); #52454=EDGE_LOOP('',(#281233,#281234,#281235,#281236)); #52455=EDGE_LOOP('',(#281237,#281238,#281239,#281240)); #52456=EDGE_LOOP('',(#281241,#281242,#281243,#281244)); #52457=EDGE_LOOP('',(#281245,#281246,#281247,#281248)); #52458=EDGE_LOOP('',(#281249,#281250,#281251,#281252)); #52459=EDGE_LOOP('',(#281253,#281254,#281255,#281256)); #52460=EDGE_LOOP('',(#281257,#281258,#281259,#281260)); #52461=EDGE_LOOP('',(#281261,#281262,#281263,#281264)); #52462=EDGE_LOOP('',(#281265,#281266,#281267,#281268)); #52463=EDGE_LOOP('',(#281269,#281270,#281271,#281272)); #52464=EDGE_LOOP('',(#281273,#281274,#281275,#281276,#281277,#281278,#281279, #281280,#281281,#281282,#281283,#281284,#281285,#281286,#281287,#281288, #281289,#281290,#281291,#281292,#281293,#281294,#281295,#281296,#281297, #281298,#281299,#281300,#281301,#281302,#281303,#281304,#281305,#281306, #281307,#281308,#281309,#281310,#281311,#281312,#281313,#281314,#281315, #281316,#281317,#281318,#281319,#281320,#281321,#281322,#281323,#281324, #281325,#281326,#281327,#281328,#281329,#281330,#281331,#281332,#281333)); #52465=EDGE_LOOP('',(#281334)); #52466=EDGE_LOOP('',(#281335)); #52467=EDGE_LOOP('',(#281336,#281337,#281338,#281339,#281340,#281341,#281342, #281343,#281344,#281345,#281346,#281347,#281348,#281349,#281350,#281351, #281352,#281353,#281354,#281355,#281356,#281357,#281358,#281359,#281360, #281361,#281362,#281363,#281364,#281365,#281366,#281367,#281368,#281369, #281370,#281371,#281372,#281373,#281374,#281375,#281376,#281377,#281378, #281379,#281380,#281381,#281382,#281383,#281384,#281385,#281386,#281387, #281388,#281389,#281390,#281391,#281392,#281393,#281394,#281395,#281396)); #52468=EDGE_LOOP('',(#281397)); #52469=EDGE_LOOP('',(#281398)); #52470=EDGE_LOOP('',(#281399,#281400,#281401,#281402)); #52471=EDGE_LOOP('',(#281403,#281404,#281405,#281406)); #52472=EDGE_LOOP('',(#281407,#281408,#281409,#281410)); #52473=EDGE_LOOP('',(#281411,#281412,#281413,#281414)); #52474=EDGE_LOOP('',(#281415,#281416,#281417,#281418)); #52475=EDGE_LOOP('',(#281419,#281420,#281421,#281422)); #52476=EDGE_LOOP('',(#281423,#281424,#281425,#281426)); #52477=EDGE_LOOP('',(#281427,#281428,#281429,#281430)); #52478=EDGE_LOOP('',(#281431,#281432,#281433,#281434)); #52479=EDGE_LOOP('',(#281435,#281436,#281437,#281438)); #52480=EDGE_LOOP('',(#281439,#281440,#281441,#281442,#281443,#281444,#281445, #281446)); #52481=EDGE_LOOP('',(#281447)); #52482=EDGE_LOOP('',(#281448)); #52483=EDGE_LOOP('',(#281449,#281450,#281451,#281452,#281453,#281454,#281455, #281456)); #52484=EDGE_LOOP('',(#281457)); #52485=EDGE_LOOP('',(#281458)); #52486=EDGE_LOOP('',(#281459,#281460,#281461,#281462)); #52487=EDGE_LOOP('',(#281463,#281464,#281465,#281466)); #52488=EDGE_LOOP('',(#281467,#281468,#281469,#281470)); #52489=EDGE_LOOP('',(#281471,#281472,#281473,#281474)); #52490=EDGE_LOOP('',(#281475,#281476,#281477,#281478)); #52491=EDGE_LOOP('',(#281479,#281480,#281481,#281482)); #52492=EDGE_LOOP('',(#281483,#281484,#281485,#281486)); #52493=EDGE_LOOP('',(#281487,#281488,#281489,#281490)); #52494=EDGE_LOOP('',(#281491,#281492,#281493,#281494)); #52495=EDGE_LOOP('',(#281495,#281496,#281497,#281498)); #52496=EDGE_LOOP('',(#281499,#281500,#281501,#281502,#281503,#281504,#281505, #281506)); #52497=EDGE_LOOP('',(#281507)); #52498=EDGE_LOOP('',(#281508)); #52499=EDGE_LOOP('',(#281509,#281510,#281511,#281512,#281513,#281514,#281515, #281516)); #52500=EDGE_LOOP('',(#281517)); #52501=EDGE_LOOP('',(#281518)); #52502=EDGE_LOOP('',(#281519,#281520,#281521,#281522)); #52503=EDGE_LOOP('',(#281523,#281524,#281525,#281526)); #52504=EDGE_LOOP('',(#281527,#281528,#281529,#281530)); #52505=EDGE_LOOP('',(#281531,#281532,#281533,#281534)); #52506=EDGE_LOOP('',(#281535,#281536,#281537,#281538)); #52507=EDGE_LOOP('',(#281539,#281540,#281541,#281542)); #52508=EDGE_LOOP('',(#281543,#281544,#281545,#281546)); #52509=EDGE_LOOP('',(#281547,#281548,#281549,#281550)); #52510=EDGE_LOOP('',(#281551,#281552,#281553,#281554)); #52511=EDGE_LOOP('',(#281555,#281556,#281557,#281558)); #52512=EDGE_LOOP('',(#281559,#281560,#281561,#281562,#281563,#281564,#281565, #281566)); #52513=EDGE_LOOP('',(#281567)); #52514=EDGE_LOOP('',(#281568)); #52515=EDGE_LOOP('',(#281569,#281570,#281571,#281572,#281573,#281574,#281575, #281576)); #52516=EDGE_LOOP('',(#281577)); #52517=EDGE_LOOP('',(#281578)); #52518=EDGE_LOOP('',(#281579,#281580,#281581,#281582)); #52519=EDGE_LOOP('',(#281583,#281584,#281585,#281586)); #52520=EDGE_LOOP('',(#281587,#281588,#281589,#281590)); #52521=EDGE_LOOP('',(#281591,#281592,#281593,#281594)); #52522=EDGE_LOOP('',(#281595,#281596,#281597,#281598)); #52523=EDGE_LOOP('',(#281599,#281600,#281601,#281602)); #52524=EDGE_LOOP('',(#281603,#281604,#281605,#281606)); #52525=EDGE_LOOP('',(#281607,#281608,#281609,#281610)); #52526=EDGE_LOOP('',(#281611,#281612,#281613,#281614)); #52527=EDGE_LOOP('',(#281615,#281616,#281617,#281618)); #52528=EDGE_LOOP('',(#281619,#281620,#281621,#281622)); #52529=EDGE_LOOP('',(#281623,#281624,#281625,#281626)); #52530=EDGE_LOOP('',(#281627,#281628,#281629,#281630)); #52531=EDGE_LOOP('',(#281631,#281632,#281633,#281634)); #52532=EDGE_LOOP('',(#281635,#281636,#281637,#281638)); #52533=EDGE_LOOP('',(#281639,#281640,#281641,#281642,#281643,#281644,#281645, #281646,#281647,#281648,#281649,#281650,#281651)); #52534=EDGE_LOOP('',(#281652)); #52535=EDGE_LOOP('',(#281653)); #52536=EDGE_LOOP('',(#281654,#281655,#281656,#281657,#281658,#281659,#281660, #281661,#281662,#281663,#281664,#281665,#281666)); #52537=EDGE_LOOP('',(#281667)); #52538=EDGE_LOOP('',(#281668)); #52539=EDGE_LOOP('',(#281669,#281670,#281671,#281672)); #52540=EDGE_LOOP('',(#281673,#281674,#281675,#281676)); #52541=EDGE_LOOP('',(#281677,#281678,#281679,#281680)); #52542=EDGE_LOOP('',(#281681,#281682,#281683,#281684)); #52543=EDGE_LOOP('',(#281685,#281686,#281687,#281688)); #52544=EDGE_LOOP('',(#281689,#281690,#281691,#281692)); #52545=EDGE_LOOP('',(#281693)); #52546=EDGE_LOOP('',(#281694,#281695,#281696,#281697)); #52547=EDGE_LOOP('',(#281698)); #52548=EDGE_LOOP('',(#281699,#281700,#281701,#281702)); #52549=EDGE_LOOP('',(#281703,#281704,#281705,#281706)); #52550=EDGE_LOOP('',(#281707,#281708,#281709,#281710)); #52551=EDGE_LOOP('',(#281711,#281712,#281713,#281714)); #52552=EDGE_LOOP('',(#281715,#281716,#281717,#281718)); #52553=EDGE_LOOP('',(#281719,#281720,#281721,#281722)); #52554=EDGE_LOOP('',(#281723)); #52555=EDGE_LOOP('',(#281724,#281725,#281726,#281727)); #52556=EDGE_LOOP('',(#281728)); #52557=EDGE_LOOP('',(#281729,#281730,#281731,#281732)); #52558=EDGE_LOOP('',(#281733,#281734,#281735,#281736)); #52559=EDGE_LOOP('',(#281737,#281738,#281739,#281740)); #52560=EDGE_LOOP('',(#281741,#281742,#281743,#281744)); #52561=EDGE_LOOP('',(#281745,#281746,#281747,#281748)); #52562=EDGE_LOOP('',(#281749,#281750,#281751,#281752)); #52563=EDGE_LOOP('',(#281753)); #52564=EDGE_LOOP('',(#281754,#281755,#281756,#281757)); #52565=EDGE_LOOP('',(#281758)); #52566=EDGE_LOOP('',(#281759,#281760,#281761,#281762)); #52567=EDGE_LOOP('',(#281763,#281764,#281765,#281766)); #52568=EDGE_LOOP('',(#281767,#281768,#281769,#281770)); #52569=EDGE_LOOP('',(#281771,#281772,#281773,#281774)); #52570=EDGE_LOOP('',(#281775,#281776,#281777,#281778)); #52571=EDGE_LOOP('',(#281779,#281780,#281781,#281782)); #52572=EDGE_LOOP('',(#281783,#281784,#281785,#281786)); #52573=EDGE_LOOP('',(#281787,#281788,#281789,#281790)); #52574=EDGE_LOOP('',(#281791,#281792,#281793,#281794)); #52575=EDGE_LOOP('',(#281795,#281796,#281797,#281798)); #52576=EDGE_LOOP('',(#281799,#281800,#281801,#281802)); #52577=EDGE_LOOP('',(#281803,#281804,#281805,#281806,#281807,#281808,#281809, #281810,#281811,#281812)); #52578=EDGE_LOOP('',(#281813)); #52579=EDGE_LOOP('',(#281814,#281815,#281816,#281817,#281818,#281819,#281820, #281821,#281822,#281823)); #52580=EDGE_LOOP('',(#281824)); #52581=EDGE_LOOP('',(#281825,#281826,#281827,#281828)); #52582=EDGE_LOOP('',(#281829,#281830,#281831,#281832)); #52583=EDGE_LOOP('',(#281833,#281834,#281835,#281836)); #52584=EDGE_LOOP('',(#281837,#281838,#281839,#281840)); #52585=EDGE_LOOP('',(#281841,#281842,#281843,#281844)); #52586=EDGE_LOOP('',(#281845,#281846,#281847,#281848)); #52587=EDGE_LOOP('',(#281849,#281850,#281851,#281852)); #52588=EDGE_LOOP('',(#281853,#281854,#281855,#281856)); #52589=EDGE_LOOP('',(#281857,#281858,#281859,#281860)); #52590=EDGE_LOOP('',(#281861,#281862,#281863,#281864)); #52591=EDGE_LOOP('',(#281865,#281866,#281867,#281868)); #52592=EDGE_LOOP('',(#281869,#281870,#281871,#281872)); #52593=EDGE_LOOP('',(#281873,#281874,#281875,#281876)); #52594=EDGE_LOOP('',(#281877,#281878,#281879,#281880)); #52595=EDGE_LOOP('',(#281881,#281882,#281883,#281884)); #52596=EDGE_LOOP('',(#281885,#281886,#281887,#281888)); #52597=EDGE_LOOP('',(#281889,#281890,#281891,#281892)); #52598=EDGE_LOOP('',(#281893,#281894,#281895,#281896)); #52599=EDGE_LOOP('',(#281897,#281898,#281899,#281900)); #52600=EDGE_LOOP('',(#281901,#281902,#281903,#281904)); #52601=EDGE_LOOP('',(#281905,#281906,#281907,#281908)); #52602=EDGE_LOOP('',(#281909,#281910,#281911,#281912)); #52603=EDGE_LOOP('',(#281913,#281914,#281915,#281916)); #52604=EDGE_LOOP('',(#281917,#281918,#281919,#281920)); #52605=EDGE_LOOP('',(#281921,#281922,#281923,#281924)); #52606=EDGE_LOOP('',(#281925,#281926,#281927,#281928)); #52607=EDGE_LOOP('',(#281929,#281930,#281931,#281932)); #52608=EDGE_LOOP('',(#281933,#281934,#281935,#281936)); #52609=EDGE_LOOP('',(#281937,#281938,#281939,#281940)); #52610=EDGE_LOOP('',(#281941,#281942,#281943,#281944)); #52611=EDGE_LOOP('',(#281945,#281946,#281947,#281948)); #52612=EDGE_LOOP('',(#281949,#281950,#281951,#281952)); #52613=EDGE_LOOP('',(#281953,#281954,#281955,#281956)); #52614=EDGE_LOOP('',(#281957,#281958,#281959,#281960)); #52615=EDGE_LOOP('',(#281961,#281962,#281963,#281964)); #52616=EDGE_LOOP('',(#281965,#281966,#281967,#281968)); #52617=EDGE_LOOP('',(#281969,#281970,#281971,#281972)); #52618=EDGE_LOOP('',(#281973,#281974,#281975,#281976)); #52619=EDGE_LOOP('',(#281977,#281978,#281979,#281980)); #52620=EDGE_LOOP('',(#281981,#281982,#281983,#281984)); #52621=EDGE_LOOP('',(#281985,#281986,#281987,#281988)); #52622=EDGE_LOOP('',(#281989,#281990,#281991,#281992)); #52623=EDGE_LOOP('',(#281993,#281994,#281995,#281996)); #52624=EDGE_LOOP('',(#281997,#281998,#281999,#282000)); #52625=EDGE_LOOP('',(#282001,#282002,#282003,#282004)); #52626=EDGE_LOOP('',(#282005,#282006,#282007,#282008)); #52627=EDGE_LOOP('',(#282009,#282010,#282011,#282012)); #52628=EDGE_LOOP('',(#282013,#282014,#282015,#282016)); #52629=EDGE_LOOP('',(#282017,#282018,#282019,#282020)); #52630=EDGE_LOOP('',(#282021,#282022,#282023,#282024)); #52631=EDGE_LOOP('',(#282025,#282026,#282027,#282028)); #52632=EDGE_LOOP('',(#282029,#282030,#282031,#282032)); #52633=EDGE_LOOP('',(#282033,#282034,#282035,#282036)); #52634=EDGE_LOOP('',(#282037,#282038,#282039,#282040)); #52635=EDGE_LOOP('',(#282041,#282042,#282043,#282044)); #52636=EDGE_LOOP('',(#282045,#282046,#282047,#282048)); #52637=EDGE_LOOP('',(#282049,#282050,#282051,#282052)); #52638=EDGE_LOOP('',(#282053,#282054,#282055,#282056)); #52639=EDGE_LOOP('',(#282057,#282058,#282059,#282060)); #52640=EDGE_LOOP('',(#282061,#282062,#282063,#282064)); #52641=EDGE_LOOP('',(#282065,#282066,#282067,#282068)); #52642=EDGE_LOOP('',(#282069,#282070,#282071,#282072)); #52643=EDGE_LOOP('',(#282073,#282074,#282075,#282076)); #52644=EDGE_LOOP('',(#282077,#282078,#282079,#282080,#282081,#282082,#282083, #282084,#282085,#282086,#282087,#282088,#282089,#282090,#282091,#282092, #282093,#282094,#282095,#282096,#282097,#282098,#282099,#282100,#282101, #282102,#282103,#282104,#282105,#282106,#282107,#282108,#282109,#282110, #282111,#282112,#282113,#282114,#282115,#282116,#282117,#282118,#282119, #282120,#282121,#282122,#282123,#282124,#282125,#282126,#282127,#282128, #282129,#282130,#282131,#282132,#282133,#282134,#282135,#282136,#282137)); #52645=EDGE_LOOP('',(#282138)); #52646=EDGE_LOOP('',(#282139)); #52647=EDGE_LOOP('',(#282140,#282141,#282142,#282143,#282144,#282145,#282146, #282147,#282148,#282149,#282150,#282151,#282152,#282153,#282154,#282155, #282156,#282157,#282158,#282159,#282160,#282161,#282162,#282163,#282164, #282165,#282166,#282167,#282168,#282169,#282170,#282171,#282172,#282173, #282174,#282175,#282176,#282177,#282178,#282179,#282180,#282181,#282182, #282183,#282184,#282185,#282186,#282187,#282188,#282189,#282190,#282191, #282192,#282193,#282194,#282195,#282196,#282197,#282198,#282199,#282200)); #52648=EDGE_LOOP('',(#282201)); #52649=EDGE_LOOP('',(#282202)); #52650=EDGE_LOOP('',(#282203,#282204,#282205,#282206)); #52651=EDGE_LOOP('',(#282207,#282208,#282209,#282210)); #52652=EDGE_LOOP('',(#282211,#282212,#282213,#282214)); #52653=EDGE_LOOP('',(#282215,#282216,#282217,#282218)); #52654=EDGE_LOOP('',(#282219,#282220,#282221,#282222)); #52655=EDGE_LOOP('',(#282223,#282224,#282225,#282226)); #52656=EDGE_LOOP('',(#282227,#282228,#282229,#282230)); #52657=EDGE_LOOP('',(#282231,#282232,#282233,#282234)); #52658=EDGE_LOOP('',(#282235,#282236,#282237,#282238)); #52659=EDGE_LOOP('',(#282239,#282240,#282241,#282242,#282243,#282244,#282245)); #52660=EDGE_LOOP('',(#282246)); #52661=EDGE_LOOP('',(#282247)); #52662=EDGE_LOOP('',(#282248,#282249,#282250,#282251,#282252,#282253,#282254)); #52663=EDGE_LOOP('',(#282255)); #52664=EDGE_LOOP('',(#282256)); #52665=EDGE_LOOP('',(#282257,#282258,#282259,#282260)); #52666=EDGE_LOOP('',(#282261,#282262,#282263,#282264)); #52667=EDGE_LOOP('',(#282265,#282266,#282267,#282268)); #52668=EDGE_LOOP('',(#282269,#282270,#282271,#282272)); #52669=EDGE_LOOP('',(#282273,#282274,#282275,#282276)); #52670=EDGE_LOOP('',(#282277,#282278,#282279,#282280)); #52671=EDGE_LOOP('',(#282281,#282282,#282283,#282284)); #52672=EDGE_LOOP('',(#282285,#282286,#282287,#282288)); #52673=EDGE_LOOP('',(#282289,#282290,#282291,#282292)); #52674=EDGE_LOOP('',(#282293,#282294,#282295,#282296)); #52675=EDGE_LOOP('',(#282297,#282298,#282299,#282300)); #52676=EDGE_LOOP('',(#282301,#282302,#282303,#282304,#282305,#282306,#282307, #282308,#282309,#282310)); #52677=EDGE_LOOP('',(#282311)); #52678=EDGE_LOOP('',(#282312,#282313,#282314,#282315,#282316,#282317,#282318, #282319,#282320,#282321)); #52679=EDGE_LOOP('',(#282322)); #52680=EDGE_LOOP('',(#282323,#282324,#282325,#282326)); #52681=EDGE_LOOP('',(#282327,#282328,#282329,#282330)); #52682=EDGE_LOOP('',(#282331,#282332,#282333,#282334)); #52683=EDGE_LOOP('',(#282335,#282336,#282337,#282338)); #52684=EDGE_LOOP('',(#282339,#282340,#282341,#282342)); #52685=EDGE_LOOP('',(#282343,#282344,#282345,#282346)); #52686=EDGE_LOOP('',(#282347,#282348,#282349,#282350)); #52687=EDGE_LOOP('',(#282351,#282352,#282353,#282354)); #52688=EDGE_LOOP('',(#282355,#282356,#282357,#282358)); #52689=EDGE_LOOP('',(#282359,#282360,#282361,#282362)); #52690=EDGE_LOOP('',(#282363,#282364,#282365,#282366)); #52691=EDGE_LOOP('',(#282367,#282368,#282369,#282370,#282371,#282372,#282373, #282374,#282375,#282376)); #52692=EDGE_LOOP('',(#282377)); #52693=EDGE_LOOP('',(#282378,#282379,#282380,#282381,#282382,#282383,#282384, #282385,#282386,#282387)); #52694=EDGE_LOOP('',(#282388)); #52695=EDGE_LOOP('',(#282389,#282390,#282391,#282392)); #52696=EDGE_LOOP('',(#282393,#282394,#282395,#282396)); #52697=EDGE_LOOP('',(#282397,#282398,#282399,#282400)); #52698=EDGE_LOOP('',(#282401,#282402,#282403,#282404)); #52699=EDGE_LOOP('',(#282405,#282406,#282407,#282408)); #52700=EDGE_LOOP('',(#282409,#282410,#282411,#282412)); #52701=EDGE_LOOP('',(#282413,#282414,#282415,#282416)); #52702=EDGE_LOOP('',(#282417,#282418,#282419,#282420)); #52703=EDGE_LOOP('',(#282421,#282422,#282423,#282424)); #52704=EDGE_LOOP('',(#282425,#282426,#282427,#282428)); #52705=EDGE_LOOP('',(#282429,#282430,#282431,#282432)); #52706=EDGE_LOOP('',(#282433,#282434,#282435,#282436)); #52707=EDGE_LOOP('',(#282437,#282438,#282439,#282440)); #52708=EDGE_LOOP('',(#282441,#282442,#282443,#282444)); #52709=EDGE_LOOP('',(#282445,#282446,#282447,#282448)); #52710=EDGE_LOOP('',(#282449,#282450,#282451,#282452)); #52711=EDGE_LOOP('',(#282453,#282454,#282455,#282456)); #52712=EDGE_LOOP('',(#282457,#282458,#282459,#282460)); #52713=EDGE_LOOP('',(#282461,#282462,#282463,#282464,#282465,#282466,#282467, #282468,#282469,#282470,#282471,#282472,#282473,#282474,#282475,#282476, #282477)); #52714=EDGE_LOOP('',(#282478)); #52715=EDGE_LOOP('',(#282479,#282480,#282481,#282482,#282483,#282484,#282485, #282486,#282487,#282488,#282489,#282490,#282491,#282492,#282493,#282494, #282495)); #52716=EDGE_LOOP('',(#282496)); #52717=EDGE_LOOP('',(#282497,#282498,#282499,#282500)); #52718=EDGE_LOOP('',(#282501,#282502,#282503,#282504)); #52719=EDGE_LOOP('',(#282505,#282506,#282507,#282508)); #52720=EDGE_LOOP('',(#282509,#282510,#282511,#282512)); #52721=EDGE_LOOP('',(#282513,#282514,#282515,#282516)); #52722=EDGE_LOOP('',(#282517,#282518,#282519,#282520)); #52723=EDGE_LOOP('',(#282521,#282522,#282523,#282524)); #52724=EDGE_LOOP('',(#282525,#282526,#282527,#282528)); #52725=EDGE_LOOP('',(#282529,#282530,#282531,#282532)); #52726=EDGE_LOOP('',(#282533,#282534,#282535,#282536)); #52727=EDGE_LOOP('',(#282537,#282538,#282539,#282540)); #52728=EDGE_LOOP('',(#282541,#282542,#282543,#282544)); #52729=EDGE_LOOP('',(#282545,#282546,#282547,#282548)); #52730=EDGE_LOOP('',(#282549,#282550,#282551,#282552)); #52731=EDGE_LOOP('',(#282553,#282554,#282555,#282556)); #52732=EDGE_LOOP('',(#282557,#282558,#282559,#282560)); #52733=EDGE_LOOP('',(#282561,#282562,#282563,#282564,#282565,#282566,#282567, #282568,#282569,#282570,#282571,#282572,#282573,#282574,#282575)); #52734=EDGE_LOOP('',(#282576)); #52735=EDGE_LOOP('',(#282577,#282578,#282579,#282580,#282581,#282582,#282583, #282584,#282585,#282586,#282587,#282588,#282589,#282590,#282591)); #52736=EDGE_LOOP('',(#282592)); #52737=EDGE_LOOP('',(#282593,#282594,#282595,#282596)); #52738=EDGE_LOOP('',(#282597,#282598,#282599,#282600)); #52739=EDGE_LOOP('',(#282601,#282602,#282603,#282604)); #52740=EDGE_LOOP('',(#282605,#282606,#282607,#282608)); #52741=EDGE_LOOP('',(#282609,#282610,#282611)); #52742=EDGE_LOOP('',(#282612)); #52743=EDGE_LOOP('',(#282613,#282614,#282615)); #52744=EDGE_LOOP('',(#282616)); #52745=EDGE_LOOP('',(#282617,#282618,#282619,#282620)); #52746=EDGE_LOOP('',(#282621,#282622,#282623,#282624)); #52747=EDGE_LOOP('',(#282625,#282626,#282627,#282628)); #52748=EDGE_LOOP('',(#282629,#282630,#282631,#282632)); #52749=EDGE_LOOP('',(#282633,#282634,#282635,#282636)); #52750=EDGE_LOOP('',(#282637,#282638,#282639,#282640)); #52751=EDGE_LOOP('',(#282641,#282642,#282643,#282644)); #52752=EDGE_LOOP('',(#282645,#282646,#282647,#282648)); #52753=EDGE_LOOP('',(#282649,#282650,#282651,#282652)); #52754=EDGE_LOOP('',(#282653,#282654,#282655,#282656)); #52755=EDGE_LOOP('',(#282657,#282658,#282659,#282660)); #52756=EDGE_LOOP('',(#282661,#282662,#282663,#282664)); #52757=EDGE_LOOP('',(#282665,#282666,#282667,#282668)); #52758=EDGE_LOOP('',(#282669,#282670,#282671,#282672)); #52759=EDGE_LOOP('',(#282673,#282674,#282675,#282676)); #52760=EDGE_LOOP('',(#282677,#282678,#282679,#282680)); #52761=EDGE_LOOP('',(#282681,#282682,#282683,#282684)); #52762=EDGE_LOOP('',(#282685,#282686,#282687,#282688)); #52763=EDGE_LOOP('',(#282689,#282690,#282691,#282692)); #52764=EDGE_LOOP('',(#282693,#282694,#282695,#282696)); #52765=EDGE_LOOP('',(#282697,#282698,#282699,#282700)); #52766=EDGE_LOOP('',(#282701,#282702,#282703,#282704)); #52767=EDGE_LOOP('',(#282705,#282706,#282707,#282708,#282709,#282710,#282711, #282712,#282713,#282714,#282715,#282716,#282717,#282718,#282719,#282720, #282721,#282722,#282723,#282724,#282725)); #52768=EDGE_LOOP('',(#282726)); #52769=EDGE_LOOP('',(#282727,#282728,#282729,#282730,#282731,#282732,#282733, #282734,#282735,#282736,#282737,#282738,#282739,#282740,#282741,#282742, #282743,#282744,#282745,#282746,#282747)); #52770=EDGE_LOOP('',(#282748)); #52771=EDGE_LOOP('',(#282749,#282750,#282751,#282752)); #52772=EDGE_LOOP('',(#282753,#282754,#282755,#282756)); #52773=EDGE_LOOP('',(#282757,#282758,#282759,#282760)); #52774=EDGE_LOOP('',(#282761,#282762,#282763,#282764)); #52775=EDGE_LOOP('',(#282765,#282766,#282767,#282768)); #52776=EDGE_LOOP('',(#282769,#282770,#282771,#282772)); #52777=EDGE_LOOP('',(#282773,#282774,#282775,#282776)); #52778=EDGE_LOOP('',(#282777,#282778,#282779,#282780)); #52779=EDGE_LOOP('',(#282781,#282782,#282783,#282784)); #52780=EDGE_LOOP('',(#282785,#282786,#282787,#282788)); #52781=EDGE_LOOP('',(#282789,#282790,#282791,#282792)); #52782=EDGE_LOOP('',(#282793,#282794,#282795,#282796)); #52783=EDGE_LOOP('',(#282797,#282798,#282799,#282800)); #52784=EDGE_LOOP('',(#282801,#282802,#282803,#282804)); #52785=EDGE_LOOP('',(#282805,#282806,#282807,#282808)); #52786=EDGE_LOOP('',(#282809,#282810,#282811,#282812)); #52787=EDGE_LOOP('',(#282813,#282814,#282815,#282816,#282817,#282818,#282819, #282820,#282821,#282822,#282823,#282824,#282825,#282826,#282827)); #52788=EDGE_LOOP('',(#282828)); #52789=EDGE_LOOP('',(#282829,#282830,#282831,#282832,#282833,#282834,#282835, #282836,#282837,#282838,#282839,#282840,#282841,#282842,#282843)); #52790=EDGE_LOOP('',(#282844)); #52791=EDGE_LOOP('',(#282845,#282846,#282847,#282848)); #52792=EDGE_LOOP('',(#282849,#282850,#282851,#282852)); #52793=EDGE_LOOP('',(#282853,#282854,#282855,#282856)); #52794=EDGE_LOOP('',(#282857,#282858,#282859,#282860)); #52795=EDGE_LOOP('',(#282861,#282862,#282863,#282864)); #52796=EDGE_LOOP('',(#282865,#282866,#282867,#282868)); #52797=EDGE_LOOP('',(#282869,#282870,#282871,#282872)); #52798=EDGE_LOOP('',(#282873,#282874,#282875,#282876)); #52799=EDGE_LOOP('',(#282877,#282878,#282879,#282880)); #52800=EDGE_LOOP('',(#282881,#282882,#282883,#282884)); #52801=EDGE_LOOP('',(#282885,#282886,#282887,#282888)); #52802=EDGE_LOOP('',(#282889,#282890,#282891,#282892)); #52803=EDGE_LOOP('',(#282893,#282894,#282895,#282896)); #52804=EDGE_LOOP('',(#282897,#282898,#282899,#282900)); #52805=EDGE_LOOP('',(#282901,#282902,#282903,#282904,#282905,#282906,#282907, #282908,#282909,#282910,#282911,#282912,#282913,#282914)); #52806=EDGE_LOOP('',(#282915,#282916,#282917,#282918,#282919,#282920,#282921, #282922,#282923,#282924,#282925,#282926,#282927,#282928)); #52807=EDGE_LOOP('',(#282929,#282930,#282931,#282932)); #52808=EDGE_LOOP('',(#282933,#282934,#282935,#282936)); #52809=EDGE_LOOP('',(#282937,#282938,#282939,#282940)); #52810=EDGE_LOOP('',(#282941,#282942,#282943,#282944)); #52811=EDGE_LOOP('',(#282945,#282946,#282947,#282948)); #52812=EDGE_LOOP('',(#282949,#282950,#282951,#282952)); #52813=EDGE_LOOP('',(#282953,#282954,#282955,#282956)); #52814=EDGE_LOOP('',(#282957,#282958,#282959,#282960)); #52815=EDGE_LOOP('',(#282961,#282962,#282963,#282964)); #52816=EDGE_LOOP('',(#282965,#282966,#282967,#282968)); #52817=EDGE_LOOP('',(#282969,#282970,#282971,#282972)); #52818=EDGE_LOOP('',(#282973,#282974,#282975,#282976)); #52819=EDGE_LOOP('',(#282977,#282978,#282979,#282980)); #52820=EDGE_LOOP('',(#282981,#282982,#282983,#282984)); #52821=EDGE_LOOP('',(#282985,#282986,#282987,#282988,#282989,#282990,#282991, #282992,#282993,#282994,#282995,#282996,#282997,#282998)); #52822=EDGE_LOOP('',(#282999,#283000,#283001,#283002,#283003,#283004,#283005, #283006,#283007,#283008,#283009,#283010,#283011,#283012)); #52823=EDGE_LOOP('',(#283013,#283014,#283015,#283016)); #52824=EDGE_LOOP('',(#283017,#283018,#283019,#283020)); #52825=EDGE_LOOP('',(#283021,#283022,#283023,#283024)); #52826=EDGE_LOOP('',(#283025,#283026,#283027,#283028)); #52827=EDGE_LOOP('',(#283029,#283030,#283031,#283032)); #52828=EDGE_LOOP('',(#283033,#283034,#283035,#283036)); #52829=EDGE_LOOP('',(#283037,#283038,#283039,#283040)); #52830=EDGE_LOOP('',(#283041,#283042,#283043,#283044)); #52831=EDGE_LOOP('',(#283045,#283046,#283047,#283048)); #52832=EDGE_LOOP('',(#283049,#283050,#283051,#283052)); #52833=EDGE_LOOP('',(#283053,#283054,#283055,#283056)); #52834=EDGE_LOOP('',(#283057,#283058,#283059,#283060)); #52835=EDGE_LOOP('',(#283061,#283062,#283063,#283064)); #52836=EDGE_LOOP('',(#283065,#283066,#283067,#283068)); #52837=EDGE_LOOP('',(#283069,#283070,#283071,#283072)); #52838=EDGE_LOOP('',(#283073,#283074,#283075,#283076)); #52839=EDGE_LOOP('',(#283077,#283078,#283079,#283080)); #52840=EDGE_LOOP('',(#283081,#283082,#283083,#283084)); #52841=EDGE_LOOP('',(#283085,#283086,#283087,#283088)); #52842=EDGE_LOOP('',(#283089,#283090,#283091,#283092)); #52843=EDGE_LOOP('',(#283093,#283094,#283095,#283096)); #52844=EDGE_LOOP('',(#283097,#283098,#283099,#283100)); #52845=EDGE_LOOP('',(#283101,#283102,#283103,#283104)); #52846=EDGE_LOOP('',(#283105,#283106,#283107,#283108)); #52847=EDGE_LOOP('',(#283109,#283110,#283111,#283112)); #52848=EDGE_LOOP('',(#283113,#283114,#283115,#283116)); #52849=EDGE_LOOP('',(#283117,#283118,#283119,#283120)); #52850=EDGE_LOOP('',(#283121,#283122,#283123,#283124)); #52851=EDGE_LOOP('',(#283125,#283126,#283127,#283128)); #52852=EDGE_LOOP('',(#283129,#283130,#283131,#283132)); #52853=EDGE_LOOP('',(#283133,#283134,#283135,#283136)); #52854=EDGE_LOOP('',(#283137,#283138,#283139,#283140)); #52855=EDGE_LOOP('',(#283141,#283142,#283143,#283144)); #52856=EDGE_LOOP('',(#283145,#283146,#283147,#283148)); #52857=EDGE_LOOP('',(#283149,#283150,#283151,#283152)); #52858=EDGE_LOOP('',(#283153,#283154,#283155,#283156)); #52859=EDGE_LOOP('',(#283157,#283158,#283159,#283160)); #52860=EDGE_LOOP('',(#283161,#283162,#283163,#283164)); #52861=EDGE_LOOP('',(#283165,#283166,#283167,#283168)); #52862=EDGE_LOOP('',(#283169,#283170,#283171,#283172)); #52863=EDGE_LOOP('',(#283173,#283174,#283175,#283176,#283177,#283178,#283179, #283180,#283181,#283182,#283183,#283184,#283185,#283186,#283187,#283188, #283189,#283190,#283191,#283192,#283193,#283194,#283195,#283196,#283197, #283198,#283199,#283200,#283201,#283202,#283203,#283204,#283205,#283206, #283207,#283208,#283209,#283210,#283211)); #52864=EDGE_LOOP('',(#283212)); #52865=EDGE_LOOP('',(#283213,#283214,#283215,#283216,#283217,#283218,#283219, #283220,#283221,#283222,#283223,#283224,#283225,#283226,#283227,#283228, #283229,#283230,#283231,#283232,#283233,#283234,#283235,#283236,#283237, #283238,#283239,#283240,#283241,#283242,#283243,#283244,#283245,#283246, #283247,#283248,#283249,#283250,#283251)); #52866=EDGE_LOOP('',(#283252)); #52867=EDGE_LOOP('',(#283253,#283254,#283255,#283256)); #52868=EDGE_LOOP('',(#283257,#283258,#283259,#283260)); #52869=EDGE_LOOP('',(#283261,#283262,#283263,#283264)); #52870=EDGE_LOOP('',(#283265,#283266,#283267,#283268)); #52871=EDGE_LOOP('',(#283269,#283270,#283271,#283272)); #52872=EDGE_LOOP('',(#283273,#283274,#283275,#283276)); #52873=EDGE_LOOP('',(#283277,#283278,#283279,#283280)); #52874=EDGE_LOOP('',(#283281,#283282,#283283,#283284)); #52875=EDGE_LOOP('',(#283285,#283286,#283287,#283288)); #52876=EDGE_LOOP('',(#283289,#283290,#283291,#283292,#283293,#283294,#283295)); #52877=EDGE_LOOP('',(#283296)); #52878=EDGE_LOOP('',(#283297)); #52879=EDGE_LOOP('',(#283298,#283299,#283300,#283301,#283302,#283303,#283304)); #52880=EDGE_LOOP('',(#283305)); #52881=EDGE_LOOP('',(#283306)); #52882=EDGE_LOOP('',(#283307,#283308,#283309,#283310)); #52883=EDGE_LOOP('',(#283311,#283312,#283313,#283314)); #52884=EDGE_LOOP('',(#283315,#283316,#283317,#283318)); #52885=EDGE_LOOP('',(#283319,#283320,#283321,#283322)); #52886=EDGE_LOOP('',(#283323,#283324,#283325,#283326)); #52887=EDGE_LOOP('',(#283327,#283328,#283329,#283330)); #52888=EDGE_LOOP('',(#283331)); #52889=EDGE_LOOP('',(#283332,#283333,#283334,#283335)); #52890=EDGE_LOOP('',(#283336)); #52891=EDGE_LOOP('',(#283337,#283338,#283339,#283340)); #52892=EDGE_LOOP('',(#283341,#283342,#283343,#283344)); #52893=EDGE_LOOP('',(#283345,#283346,#283347,#283348)); #52894=EDGE_LOOP('',(#283349,#283350,#283351,#283352)); #52895=EDGE_LOOP('',(#283353,#283354,#283355,#283356)); #52896=EDGE_LOOP('',(#283357,#283358,#283359,#283360)); #52897=EDGE_LOOP('',(#283361,#283362,#283363,#283364)); #52898=EDGE_LOOP('',(#283365,#283366,#283367,#283368)); #52899=EDGE_LOOP('',(#283369,#283370,#283371,#283372)); #52900=EDGE_LOOP('',(#283373,#283374,#283375,#283376)); #52901=EDGE_LOOP('',(#283377,#283378,#283379,#283380)); #52902=EDGE_LOOP('',(#283381,#283382,#283383,#283384)); #52903=EDGE_LOOP('',(#283385,#283386,#283387,#283388)); #52904=EDGE_LOOP('',(#283389,#283390,#283391,#283392)); #52905=EDGE_LOOP('',(#283393,#283394,#283395,#283396)); #52906=EDGE_LOOP('',(#283397,#283398,#283399,#283400)); #52907=EDGE_LOOP('',(#283401,#283402,#283403,#283404)); #52908=EDGE_LOOP('',(#283405,#283406,#283407,#283408)); #52909=EDGE_LOOP('',(#283409,#283410,#283411,#283412)); #52910=EDGE_LOOP('',(#283413,#283414,#283415,#283416)); #52911=EDGE_LOOP('',(#283417,#283418,#283419,#283420)); #52912=EDGE_LOOP('',(#283421,#283422,#283423,#283424)); #52913=EDGE_LOOP('',(#283425,#283426,#283427,#283428)); #52914=EDGE_LOOP('',(#283429,#283430,#283431,#283432)); #52915=EDGE_LOOP('',(#283433,#283434,#283435,#283436)); #52916=EDGE_LOOP('',(#283437,#283438,#283439,#283440,#283441,#283442,#283443, #283444,#283445,#283446,#283447,#283448,#283449,#283450,#283451,#283452, #283453,#283454,#283455,#283456,#283457,#283458,#283459)); #52917=EDGE_LOOP('',(#283460)); #52918=EDGE_LOOP('',(#283461)); #52919=EDGE_LOOP('',(#283462,#283463,#283464,#283465,#283466,#283467,#283468, #283469,#283470,#283471,#283472,#283473,#283474,#283475,#283476,#283477, #283478,#283479,#283480,#283481,#283482,#283483,#283484)); #52920=EDGE_LOOP('',(#283485)); #52921=EDGE_LOOP('',(#283486)); #52922=EDGE_LOOP('',(#283487,#283488,#283489,#283490)); #52923=EDGE_LOOP('',(#283491,#283492,#283493,#283494)); #52924=EDGE_LOOP('',(#283495,#283496,#283497,#283498)); #52925=EDGE_LOOP('',(#283499,#283500,#283501,#283502)); #52926=EDGE_LOOP('',(#283503,#283504,#283505,#283506)); #52927=EDGE_LOOP('',(#283507,#283508,#283509,#283510)); #52928=EDGE_LOOP('',(#283511,#283512,#283513,#283514)); #52929=EDGE_LOOP('',(#283515,#283516,#283517,#283518)); #52930=EDGE_LOOP('',(#283519,#283520,#283521,#283522)); #52931=EDGE_LOOP('',(#283523,#283524,#283525,#283526)); #52932=EDGE_LOOP('',(#283527,#283528,#283529,#283530,#283531,#283532,#283533, #283534)); #52933=EDGE_LOOP('',(#283535)); #52934=EDGE_LOOP('',(#283536)); #52935=EDGE_LOOP('',(#283537,#283538,#283539,#283540,#283541,#283542,#283543, #283544)); #52936=EDGE_LOOP('',(#283545)); #52937=EDGE_LOOP('',(#283546)); #52938=EDGE_LOOP('',(#283547,#283548,#283549,#283550)); #52939=EDGE_LOOP('',(#283551,#283552,#283553,#283554)); #52940=EDGE_LOOP('',(#283555,#283556,#283557,#283558)); #52941=EDGE_LOOP('',(#283559,#283560,#283561,#283562)); #52942=EDGE_LOOP('',(#283563,#283564,#283565,#283566)); #52943=EDGE_LOOP('',(#283567,#283568,#283569,#283570)); #52944=EDGE_LOOP('',(#283571)); #52945=EDGE_LOOP('',(#283572,#283573,#283574,#283575)); #52946=EDGE_LOOP('',(#283576)); #52947=EDGE_LOOP('',(#283577,#283578,#283579,#283580)); #52948=EDGE_LOOP('',(#283581,#283582,#283583,#283584)); #52949=EDGE_LOOP('',(#283585,#283586,#283587,#283588)); #52950=EDGE_LOOP('',(#283589,#283590,#283591,#283592)); #52951=EDGE_LOOP('',(#283593,#283594,#283595,#283596)); #52952=EDGE_LOOP('',(#283597,#283598,#283599,#283600)); #52953=EDGE_LOOP('',(#283601,#283602,#283603,#283604)); #52954=EDGE_LOOP('',(#283605,#283606,#283607,#283608)); #52955=EDGE_LOOP('',(#283609,#283610,#283611,#283612)); #52956=EDGE_LOOP('',(#283613,#283614,#283615,#283616)); #52957=EDGE_LOOP('',(#283617,#283618,#283619,#283620)); #52958=EDGE_LOOP('',(#283621,#283622,#283623,#283624)); #52959=EDGE_LOOP('',(#283625,#283626,#283627,#283628)); #52960=EDGE_LOOP('',(#283629,#283630,#283631,#283632)); #52961=EDGE_LOOP('',(#283633,#283634,#283635,#283636)); #52962=EDGE_LOOP('',(#283637,#283638,#283639,#283640)); #52963=EDGE_LOOP('',(#283641,#283642,#283643,#283644)); #52964=EDGE_LOOP('',(#283645,#283646,#283647,#283648)); #52965=EDGE_LOOP('',(#283649,#283650,#283651,#283652)); #52966=EDGE_LOOP('',(#283653,#283654,#283655,#283656)); #52967=EDGE_LOOP('',(#283657,#283658,#283659,#283660)); #52968=EDGE_LOOP('',(#283661,#283662,#283663,#283664)); #52969=EDGE_LOOP('',(#283665,#283666,#283667,#283668)); #52970=EDGE_LOOP('',(#283669,#283670,#283671,#283672)); #52971=EDGE_LOOP('',(#283673,#283674,#283675,#283676)); #52972=EDGE_LOOP('',(#283677,#283678,#283679,#283680)); #52973=EDGE_LOOP('',(#283681,#283682,#283683,#283684)); #52974=EDGE_LOOP('',(#283685,#283686,#283687,#283688)); #52975=EDGE_LOOP('',(#283689,#283690,#283691,#283692)); #52976=EDGE_LOOP('',(#283693,#283694,#283695,#283696)); #52977=EDGE_LOOP('',(#283697,#283698,#283699,#283700)); #52978=EDGE_LOOP('',(#283701,#283702,#283703,#283704)); #52979=EDGE_LOOP('',(#283705,#283706,#283707,#283708)); #52980=EDGE_LOOP('',(#283709,#283710,#283711,#283712)); #52981=EDGE_LOOP('',(#283713,#283714,#283715,#283716)); #52982=EDGE_LOOP('',(#283717,#283718,#283719,#283720)); #52983=EDGE_LOOP('',(#283721,#283722,#283723,#283724)); #52984=EDGE_LOOP('',(#283725,#283726,#283727,#283728)); #52985=EDGE_LOOP('',(#283729,#283730,#283731,#283732)); #52986=EDGE_LOOP('',(#283733,#283734,#283735,#283736)); #52987=EDGE_LOOP('',(#283737,#283738,#283739,#283740)); #52988=EDGE_LOOP('',(#283741,#283742,#283743,#283744)); #52989=EDGE_LOOP('',(#283745,#283746,#283747,#283748)); #52990=EDGE_LOOP('',(#283749,#283750,#283751,#283752)); #52991=EDGE_LOOP('',(#283753,#283754,#283755,#283756)); #52992=EDGE_LOOP('',(#283757,#283758,#283759,#283760)); #52993=EDGE_LOOP('',(#283761,#283762,#283763,#283764)); #52994=EDGE_LOOP('',(#283765,#283766,#283767,#283768)); #52995=EDGE_LOOP('',(#283769,#283770,#283771,#283772)); #52996=EDGE_LOOP('',(#283773,#283774,#283775,#283776)); #52997=EDGE_LOOP('',(#283777,#283778,#283779,#283780)); #52998=EDGE_LOOP('',(#283781,#283782,#283783,#283784)); #52999=EDGE_LOOP('',(#283785,#283786,#283787,#283788)); #53000=EDGE_LOOP('',(#283789,#283790,#283791,#283792)); #53001=EDGE_LOOP('',(#283793,#283794,#283795,#283796)); #53002=EDGE_LOOP('',(#283797,#283798,#283799,#283800)); #53003=EDGE_LOOP('',(#283801,#283802,#283803,#283804)); #53004=EDGE_LOOP('',(#283805,#283806,#283807,#283808)); #53005=EDGE_LOOP('',(#283809,#283810,#283811,#283812)); #53006=EDGE_LOOP('',(#283813,#283814,#283815,#283816)); #53007=EDGE_LOOP('',(#283817,#283818,#283819,#283820)); #53008=EDGE_LOOP('',(#283821,#283822,#283823,#283824)); #53009=EDGE_LOOP('',(#283825,#283826,#283827,#283828)); #53010=EDGE_LOOP('',(#283829,#283830,#283831,#283832)); #53011=EDGE_LOOP('',(#283833,#283834,#283835,#283836)); #53012=EDGE_LOOP('',(#283837,#283838,#283839,#283840)); #53013=EDGE_LOOP('',(#283841,#283842,#283843,#283844)); #53014=EDGE_LOOP('',(#283845,#283846,#283847,#283848)); #53015=EDGE_LOOP('',(#283849,#283850,#283851,#283852)); #53016=EDGE_LOOP('',(#283853,#283854,#283855,#283856)); #53017=EDGE_LOOP('',(#283857,#283858,#283859,#283860)); #53018=EDGE_LOOP('',(#283861,#283862,#283863,#283864)); #53019=EDGE_LOOP('',(#283865,#283866,#283867,#283868)); #53020=EDGE_LOOP('',(#283869,#283870,#283871,#283872)); #53021=EDGE_LOOP('',(#283873,#283874,#283875,#283876)); #53022=EDGE_LOOP('',(#283877,#283878,#283879,#283880)); #53023=EDGE_LOOP('',(#283881,#283882,#283883,#283884)); #53024=EDGE_LOOP('',(#283885,#283886,#283887,#283888)); #53025=EDGE_LOOP('',(#283889,#283890,#283891,#283892)); #53026=EDGE_LOOP('',(#283893,#283894,#283895,#283896)); #53027=EDGE_LOOP('',(#283897,#283898,#283899,#283900)); #53028=EDGE_LOOP('',(#283901,#283902,#283903,#283904)); #53029=EDGE_LOOP('',(#283905,#283906,#283907,#283908)); #53030=EDGE_LOOP('',(#283909,#283910,#283911,#283912)); #53031=EDGE_LOOP('',(#283913,#283914,#283915,#283916)); #53032=EDGE_LOOP('',(#283917,#283918,#283919,#283920)); #53033=EDGE_LOOP('',(#283921,#283922,#283923,#283924)); #53034=EDGE_LOOP('',(#283925,#283926,#283927,#283928)); #53035=EDGE_LOOP('',(#283929,#283930,#283931,#283932)); #53036=EDGE_LOOP('',(#283933,#283934,#283935,#283936)); #53037=EDGE_LOOP('',(#283937,#283938,#283939,#283940)); #53038=EDGE_LOOP('',(#283941,#283942,#283943,#283944)); #53039=EDGE_LOOP('',(#283945,#283946,#283947,#283948)); #53040=EDGE_LOOP('',(#283949,#283950,#283951,#283952)); #53041=EDGE_LOOP('',(#283953,#283954,#283955,#283956,#283957,#283958,#283959, #283960,#283961,#283962,#283963,#283964,#283965,#283966,#283967,#283968, #283969,#283970,#283971,#283972,#283973,#283974,#283975,#283976,#283977, #283978,#283979,#283980,#283981,#283982,#283983,#283984,#283985,#283986, #283987,#283988,#283989,#283990,#283991,#283992,#283993,#283994,#283995, #283996,#283997,#283998,#283999,#284000,#284001,#284002,#284003,#284004, #284005,#284006,#284007,#284008,#284009,#284010,#284011,#284012,#284013, #284014,#284015,#284016,#284017,#284018,#284019,#284020,#284021,#284022, #284023,#284024,#284025,#284026)); #53042=EDGE_LOOP('',(#284027)); #53043=EDGE_LOOP('',(#284028)); #53044=EDGE_LOOP('',(#284029)); #53045=EDGE_LOOP('',(#284030)); #53046=EDGE_LOOP('',(#284031,#284032,#284033,#284034,#284035,#284036,#284037, #284038,#284039,#284040,#284041,#284042,#284043,#284044,#284045,#284046)); #53047=EDGE_LOOP('',(#284047,#284048,#284049,#284050,#284051,#284052,#284053, #284054,#284055,#284056,#284057,#284058,#284059,#284060,#284061,#284062, #284063,#284064,#284065,#284066,#284067,#284068,#284069,#284070,#284071, #284072,#284073,#284074,#284075,#284076,#284077,#284078,#284079,#284080, #284081,#284082,#284083,#284084,#284085,#284086,#284087,#284088,#284089, #284090,#284091,#284092,#284093,#284094,#284095,#284096,#284097,#284098, #284099,#284100,#284101,#284102,#284103,#284104,#284105,#284106,#284107, #284108,#284109,#284110,#284111,#284112,#284113,#284114,#284115,#284116, #284117,#284118,#284119,#284120)); #53048=EDGE_LOOP('',(#284121)); #53049=EDGE_LOOP('',(#284122)); #53050=EDGE_LOOP('',(#284123)); #53051=EDGE_LOOP('',(#284124)); #53052=EDGE_LOOP('',(#284125,#284126,#284127,#284128,#284129,#284130,#284131, #284132,#284133,#284134,#284135,#284136,#284137,#284138,#284139,#284140)); #53053=EDGE_LOOP('',(#284141,#284142,#284143,#284144)); #53054=EDGE_LOOP('',(#284145,#284146,#284147,#284148)); #53055=EDGE_LOOP('',(#284149,#284150,#284151,#284152)); #53056=EDGE_LOOP('',(#284153,#284154,#284155,#284156)); #53057=EDGE_LOOP('',(#284157,#284158,#284159,#284160)); #53058=EDGE_LOOP('',(#284161,#284162,#284163,#284164)); #53059=EDGE_LOOP('',(#284165,#284166,#284167,#284168)); #53060=EDGE_LOOP('',(#284169,#284170,#284171,#284172)); #53061=EDGE_LOOP('',(#284173,#284174,#284175,#284176)); #53062=EDGE_LOOP('',(#284177,#284178,#284179,#284180)); #53063=EDGE_LOOP('',(#284181,#284182,#284183,#284184)); #53064=EDGE_LOOP('',(#284185,#284186,#284187,#284188)); #53065=EDGE_LOOP('',(#284189,#284190,#284191,#284192)); #53066=EDGE_LOOP('',(#284193,#284194,#284195,#284196)); #53067=EDGE_LOOP('',(#284197,#284198,#284199,#284200)); #53068=EDGE_LOOP('',(#284201,#284202,#284203,#284204)); #53069=EDGE_LOOP('',(#284205,#284206,#284207,#284208)); #53070=EDGE_LOOP('',(#284209,#284210,#284211,#284212)); #53071=EDGE_LOOP('',(#284213,#284214,#284215,#284216)); #53072=EDGE_LOOP('',(#284217,#284218,#284219,#284220)); #53073=EDGE_LOOP('',(#284221,#284222,#284223,#284224)); #53074=EDGE_LOOP('',(#284225,#284226,#284227,#284228)); #53075=EDGE_LOOP('',(#284229,#284230,#284231,#284232)); #53076=EDGE_LOOP('',(#284233,#284234,#284235,#284236)); #53077=EDGE_LOOP('',(#284237,#284238,#284239,#284240)); #53078=EDGE_LOOP('',(#284241,#284242,#284243,#284244)); #53079=EDGE_LOOP('',(#284245,#284246,#284247,#284248)); #53080=EDGE_LOOP('',(#284249,#284250,#284251,#284252)); #53081=EDGE_LOOP('',(#284253,#284254,#284255,#284256)); #53082=EDGE_LOOP('',(#284257,#284258,#284259,#284260)); #53083=EDGE_LOOP('',(#284261,#284262,#284263,#284264)); #53084=EDGE_LOOP('',(#284265,#284266,#284267,#284268)); #53085=EDGE_LOOP('',(#284269,#284270,#284271,#284272)); #53086=EDGE_LOOP('',(#284273,#284274,#284275,#284276)); #53087=EDGE_LOOP('',(#284277,#284278,#284279,#284280)); #53088=EDGE_LOOP('',(#284281,#284282,#284283,#284284)); #53089=EDGE_LOOP('',(#284285,#284286,#284287,#284288)); #53090=EDGE_LOOP('',(#284289,#284290,#284291,#284292)); #53091=EDGE_LOOP('',(#284293,#284294,#284295,#284296)); #53092=EDGE_LOOP('',(#284297,#284298,#284299,#284300)); #53093=EDGE_LOOP('',(#284301,#284302,#284303,#284304)); #53094=EDGE_LOOP('',(#284305,#284306,#284307,#284308)); #53095=EDGE_LOOP('',(#284309,#284310,#284311,#284312)); #53096=EDGE_LOOP('',(#284313,#284314,#284315,#284316)); #53097=EDGE_LOOP('',(#284317,#284318,#284319,#284320)); #53098=EDGE_LOOP('',(#284321,#284322,#284323,#284324)); #53099=EDGE_LOOP('',(#284325,#284326,#284327,#284328)); #53100=EDGE_LOOP('',(#284329,#284330,#284331,#284332)); #53101=EDGE_LOOP('',(#284333,#284334,#284335,#284336)); #53102=EDGE_LOOP('',(#284337,#284338,#284339,#284340,#284341,#284342,#284343, #284344,#284345,#284346,#284347,#284348,#284349,#284350,#284351,#284352, #284353,#284354,#284355,#284356,#284357,#284358,#284359,#284360,#284361, #284362,#284363,#284364,#284365,#284366,#284367,#284368,#284369,#284370, #284371,#284372,#284373,#284374,#284375,#284376,#284377,#284378,#284379, #284380,#284381,#284382,#284383,#284384)); #53103=EDGE_LOOP('',(#284385)); #53104=EDGE_LOOP('',(#284386,#284387,#284388,#284389,#284390,#284391,#284392, #284393,#284394,#284395,#284396,#284397,#284398,#284399,#284400,#284401, #284402,#284403,#284404,#284405,#284406,#284407,#284408,#284409,#284410, #284411,#284412,#284413,#284414,#284415,#284416,#284417,#284418,#284419, #284420,#284421,#284422,#284423,#284424,#284425,#284426,#284427,#284428, #284429,#284430,#284431,#284432,#284433)); #53105=EDGE_LOOP('',(#284434)); #53106=EDGE_LOOP('',(#284435,#284436,#284437,#284438)); #53107=EDGE_LOOP('',(#284439,#284440,#284441,#284442)); #53108=EDGE_LOOP('',(#284443,#284444,#284445,#284446)); #53109=EDGE_LOOP('',(#284447,#284448,#284449,#284450)); #53110=EDGE_LOOP('',(#284451,#284452,#284453,#284454)); #53111=EDGE_LOOP('',(#284455,#284456,#284457,#284458)); #53112=EDGE_LOOP('',(#284459,#284460,#284461,#284462)); #53113=EDGE_LOOP('',(#284463,#284464,#284465,#284466)); #53114=EDGE_LOOP('',(#284467,#284468,#284469,#284470)); #53115=EDGE_LOOP('',(#284471,#284472,#284473,#284474)); #53116=EDGE_LOOP('',(#284475,#284476,#284477,#284478)); #53117=EDGE_LOOP('',(#284479,#284480,#284481,#284482)); #53118=EDGE_LOOP('',(#284483,#284484,#284485,#284486)); #53119=EDGE_LOOP('',(#284487,#284488,#284489,#284490)); #53120=EDGE_LOOP('',(#284491,#284492,#284493,#284494)); #53121=EDGE_LOOP('',(#284495,#284496,#284497,#284498)); #53122=EDGE_LOOP('',(#284499,#284500,#284501,#284502)); #53123=EDGE_LOOP('',(#284503,#284504,#284505,#284506)); #53124=EDGE_LOOP('',(#284507,#284508,#284509,#284510)); #53125=EDGE_LOOP('',(#284511,#284512,#284513,#284514)); #53126=EDGE_LOOP('',(#284515,#284516,#284517,#284518)); #53127=EDGE_LOOP('',(#284519,#284520,#284521,#284522)); #53128=EDGE_LOOP('',(#284523,#284524,#284525,#284526)); #53129=EDGE_LOOP('',(#284527,#284528,#284529,#284530)); #53130=EDGE_LOOP('',(#284531,#284532,#284533,#284534)); #53131=EDGE_LOOP('',(#284535,#284536,#284537,#284538)); #53132=EDGE_LOOP('',(#284539,#284540,#284541,#284542)); #53133=EDGE_LOOP('',(#284543,#284544,#284545,#284546)); #53134=EDGE_LOOP('',(#284547,#284548,#284549,#284550)); #53135=EDGE_LOOP('',(#284551,#284552,#284553,#284554)); #53136=EDGE_LOOP('',(#284555,#284556,#284557,#284558)); #53137=EDGE_LOOP('',(#284559,#284560,#284561,#284562)); #53138=EDGE_LOOP('',(#284563,#284564,#284565,#284566)); #53139=EDGE_LOOP('',(#284567,#284568,#284569,#284570)); #53140=EDGE_LOOP('',(#284571,#284572,#284573,#284574)); #53141=EDGE_LOOP('',(#284575,#284576,#284577,#284578)); #53142=EDGE_LOOP('',(#284579,#284580,#284581,#284582)); #53143=EDGE_LOOP('',(#284583,#284584,#284585,#284586)); #53144=EDGE_LOOP('',(#284587,#284588,#284589,#284590)); #53145=EDGE_LOOP('',(#284591,#284592,#284593,#284594)); #53146=EDGE_LOOP('',(#284595,#284596,#284597,#284598)); #53147=EDGE_LOOP('',(#284599,#284600,#284601,#284602)); #53148=EDGE_LOOP('',(#284603,#284604,#284605,#284606)); #53149=EDGE_LOOP('',(#284607,#284608,#284609,#284610)); #53150=EDGE_LOOP('',(#284611,#284612,#284613,#284614)); #53151=EDGE_LOOP('',(#284615,#284616,#284617,#284618)); #53152=EDGE_LOOP('',(#284619,#284620,#284621,#284622)); #53153=EDGE_LOOP('',(#284623,#284624,#284625,#284626)); #53154=EDGE_LOOP('',(#284627,#284628,#284629,#284630)); #53155=EDGE_LOOP('',(#284631,#284632,#284633,#284634)); #53156=EDGE_LOOP('',(#284635,#284636,#284637,#284638)); #53157=EDGE_LOOP('',(#284639,#284640,#284641,#284642)); #53158=EDGE_LOOP('',(#284643,#284644,#284645,#284646)); #53159=EDGE_LOOP('',(#284647,#284648,#284649,#284650)); #53160=EDGE_LOOP('',(#284651,#284652,#284653,#284654)); #53161=EDGE_LOOP('',(#284655,#284656,#284657,#284658)); #53162=EDGE_LOOP('',(#284659,#284660,#284661,#284662)); #53163=EDGE_LOOP('',(#284663,#284664,#284665,#284666)); #53164=EDGE_LOOP('',(#284667,#284668,#284669,#284670)); #53165=EDGE_LOOP('',(#284671,#284672,#284673,#284674)); #53166=EDGE_LOOP('',(#284675,#284676,#284677,#284678)); #53167=EDGE_LOOP('',(#284679,#284680,#284681,#284682)); #53168=EDGE_LOOP('',(#284683,#284684,#284685,#284686)); #53169=EDGE_LOOP('',(#284687,#284688,#284689,#284690)); #53170=EDGE_LOOP('',(#284691,#284692,#284693,#284694)); #53171=EDGE_LOOP('',(#284695,#284696,#284697,#284698)); #53172=EDGE_LOOP('',(#284699,#284700,#284701,#284702)); #53173=EDGE_LOOP('',(#284703,#284704,#284705,#284706)); #53174=EDGE_LOOP('',(#284707,#284708,#284709,#284710)); #53175=EDGE_LOOP('',(#284711,#284712,#284713,#284714)); #53176=EDGE_LOOP('',(#284715,#284716,#284717,#284718)); #53177=EDGE_LOOP('',(#284719,#284720,#284721,#284722)); #53178=EDGE_LOOP('',(#284723,#284724,#284725,#284726)); #53179=EDGE_LOOP('',(#284727,#284728,#284729,#284730)); #53180=EDGE_LOOP('',(#284731,#284732,#284733,#284734)); #53181=EDGE_LOOP('',(#284735,#284736,#284737,#284738)); #53182=EDGE_LOOP('',(#284739,#284740,#284741,#284742)); #53183=EDGE_LOOP('',(#284743,#284744,#284745,#284746)); #53184=EDGE_LOOP('',(#284747,#284748,#284749,#284750)); #53185=EDGE_LOOP('',(#284751,#284752,#284753,#284754)); #53186=EDGE_LOOP('',(#284755,#284756,#284757,#284758)); #53187=EDGE_LOOP('',(#284759,#284760,#284761,#284762)); #53188=EDGE_LOOP('',(#284763,#284764,#284765,#284766)); #53189=EDGE_LOOP('',(#284767,#284768,#284769,#284770)); #53190=EDGE_LOOP('',(#284771,#284772,#284773,#284774)); #53191=EDGE_LOOP('',(#284775,#284776,#284777,#284778)); #53192=EDGE_LOOP('',(#284779,#284780,#284781,#284782)); #53193=EDGE_LOOP('',(#284783,#284784,#284785,#284786)); #53194=EDGE_LOOP('',(#284787,#284788,#284789,#284790)); #53195=EDGE_LOOP('',(#284791,#284792,#284793,#284794)); #53196=EDGE_LOOP('',(#284795,#284796,#284797,#284798)); #53197=EDGE_LOOP('',(#284799,#284800,#284801,#284802)); #53198=EDGE_LOOP('',(#284803,#284804,#284805,#284806)); #53199=EDGE_LOOP('',(#284807,#284808,#284809,#284810)); #53200=EDGE_LOOP('',(#284811,#284812,#284813,#284814)); #53201=EDGE_LOOP('',(#284815,#284816,#284817,#284818)); #53202=EDGE_LOOP('',(#284819,#284820,#284821,#284822)); #53203=EDGE_LOOP('',(#284823,#284824,#284825,#284826)); #53204=EDGE_LOOP('',(#284827,#284828,#284829,#284830)); #53205=EDGE_LOOP('',(#284831,#284832,#284833,#284834)); #53206=EDGE_LOOP('',(#284835,#284836,#284837,#284838)); #53207=EDGE_LOOP('',(#284839,#284840,#284841,#284842)); #53208=EDGE_LOOP('',(#284843,#284844,#284845,#284846)); #53209=EDGE_LOOP('',(#284847,#284848,#284849,#284850)); #53210=EDGE_LOOP('',(#284851,#284852,#284853,#284854)); #53211=EDGE_LOOP('',(#284855,#284856,#284857,#284858)); #53212=EDGE_LOOP('',(#284859,#284860,#284861,#284862)); #53213=EDGE_LOOP('',(#284863,#284864,#284865,#284866)); #53214=EDGE_LOOP('',(#284867,#284868,#284869,#284870)); #53215=EDGE_LOOP('',(#284871,#284872,#284873,#284874,#284875,#284876,#284877, #284878,#284879,#284880,#284881,#284882,#284883,#284884,#284885,#284886, #284887,#284888,#284889,#284890,#284891,#284892,#284893,#284894,#284895, #284896,#284897,#284898,#284899,#284900,#284901,#284902,#284903,#284904, #284905,#284906,#284907,#284908,#284909,#284910,#284911,#284912,#284913, #284914,#284915,#284916,#284917,#284918,#284919,#284920,#284921,#284922, #284923,#284924,#284925,#284926,#284927,#284928,#284929,#284930,#284931, #284932,#284933,#284934,#284935,#284936,#284937,#284938,#284939,#284940, #284941,#284942,#284943,#284944,#284945,#284946,#284947,#284948,#284949, #284950,#284951,#284952,#284953,#284954,#284955,#284956,#284957,#284958, #284959,#284960,#284961,#284962,#284963,#284964,#284965,#284966,#284967)); #53216=EDGE_LOOP('',(#284968)); #53217=EDGE_LOOP('',(#284969)); #53218=EDGE_LOOP('',(#284970)); #53219=EDGE_LOOP('',(#284971)); #53220=EDGE_LOOP('',(#284972)); #53221=EDGE_LOOP('',(#284973)); #53222=EDGE_LOOP('',(#284974)); #53223=EDGE_LOOP('',(#284975)); #53224=EDGE_LOOP('',(#284976)); #53225=EDGE_LOOP('',(#284977)); #53226=EDGE_LOOP('',(#284978)); #53227=EDGE_LOOP('',(#284979)); #53228=EDGE_LOOP('',(#284980,#284981,#284982,#284983,#284984,#284985,#284986, #284987,#284988,#284989,#284990,#284991,#284992,#284993,#284994,#284995, #284996,#284997,#284998,#284999,#285000,#285001,#285002,#285003,#285004, #285005,#285006,#285007,#285008,#285009,#285010,#285011,#285012,#285013, #285014,#285015,#285016,#285017,#285018,#285019,#285020,#285021,#285022, #285023,#285024,#285025,#285026,#285027,#285028,#285029,#285030,#285031, #285032,#285033,#285034,#285035,#285036,#285037,#285038,#285039,#285040, #285041,#285042,#285043,#285044,#285045,#285046,#285047,#285048,#285049, #285050,#285051,#285052,#285053,#285054,#285055,#285056,#285057,#285058, #285059,#285060,#285061,#285062,#285063,#285064,#285065,#285066,#285067, #285068,#285069,#285070,#285071,#285072,#285073,#285074,#285075,#285076)); #53229=EDGE_LOOP('',(#285077)); #53230=EDGE_LOOP('',(#285078)); #53231=EDGE_LOOP('',(#285079)); #53232=EDGE_LOOP('',(#285080)); #53233=EDGE_LOOP('',(#285081)); #53234=EDGE_LOOP('',(#285082)); #53235=EDGE_LOOP('',(#285083)); #53236=EDGE_LOOP('',(#285084)); #53237=EDGE_LOOP('',(#285085)); #53238=EDGE_LOOP('',(#285086)); #53239=EDGE_LOOP('',(#285087)); #53240=EDGE_LOOP('',(#285088)); #53241=EDGE_LOOP('',(#285089,#285090,#285091,#285092)); #53242=EDGE_LOOP('',(#285093,#285094,#285095,#285096)); #53243=EDGE_LOOP('',(#285097,#285098,#285099,#285100)); #53244=EDGE_LOOP('',(#285101,#285102,#285103,#285104)); #53245=EDGE_LOOP('',(#285105,#285106,#285107,#285108)); #53246=EDGE_LOOP('',(#285109,#285110,#285111,#285112)); #53247=EDGE_LOOP('',(#285113,#285114,#285115,#285116)); #53248=EDGE_LOOP('',(#285117,#285118,#285119,#285120)); #53249=EDGE_LOOP('',(#285121,#285122,#285123,#285124)); #53250=EDGE_LOOP('',(#285125,#285126,#285127,#285128)); #53251=EDGE_LOOP('',(#285129,#285130,#285131,#285132)); #53252=EDGE_LOOP('',(#285133,#285134,#285135,#285136)); #53253=EDGE_LOOP('',(#285137,#285138,#285139,#285140)); #53254=EDGE_LOOP('',(#285141,#285142,#285143,#285144)); #53255=EDGE_LOOP('',(#285145,#285146,#285147,#285148)); #53256=EDGE_LOOP('',(#285149,#285150,#285151,#285152)); #53257=EDGE_LOOP('',(#285153,#285154,#285155,#285156)); #53258=EDGE_LOOP('',(#285157,#285158,#285159,#285160)); #53259=EDGE_LOOP('',(#285161,#285162,#285163,#285164)); #53260=EDGE_LOOP('',(#285165,#285166,#285167,#285168)); #53261=EDGE_LOOP('',(#285169,#285170,#285171,#285172)); #53262=EDGE_LOOP('',(#285173,#285174,#285175,#285176,#285177,#285178,#285179, #285180,#285181,#285182,#285183,#285184,#285185,#285186,#285187,#285188, #285189,#285190,#285191,#285192,#285193)); #53263=EDGE_LOOP('',(#285194,#285195,#285196,#285197,#285198,#285199,#285200, #285201,#285202,#285203,#285204,#285205,#285206,#285207,#285208,#285209, #285210,#285211,#285212,#285213,#285214)); #53264=EDGE_LOOP('',(#285215,#285216,#285217,#285218)); #53265=EDGE_LOOP('',(#285219,#285220,#285221,#285222)); #53266=EDGE_LOOP('',(#285223,#285224,#285225,#285226)); #53267=EDGE_LOOP('',(#285227,#285228,#285229,#285230)); #53268=EDGE_LOOP('',(#285231,#285232,#285233,#285234)); #53269=EDGE_LOOP('',(#285235,#285236,#285237,#285238)); #53270=EDGE_LOOP('',(#285239,#285240,#285241,#285242)); #53271=EDGE_LOOP('',(#285243,#285244,#285245,#285246)); #53272=EDGE_LOOP('',(#285247,#285248,#285249,#285250)); #53273=EDGE_LOOP('',(#285251,#285252,#285253,#285254)); #53274=EDGE_LOOP('',(#285255,#285256,#285257,#285258)); #53275=EDGE_LOOP('',(#285259,#285260,#285261,#285262)); #53276=EDGE_LOOP('',(#285263,#285264,#285265,#285266)); #53277=EDGE_LOOP('',(#285267,#285268,#285269,#285270)); #53278=EDGE_LOOP('',(#285271,#285272,#285273,#285274)); #53279=EDGE_LOOP('',(#285275,#285276,#285277,#285278)); #53280=EDGE_LOOP('',(#285279,#285280,#285281,#285282)); #53281=EDGE_LOOP('',(#285283,#285284,#285285,#285286)); #53282=EDGE_LOOP('',(#285287,#285288,#285289,#285290)); #53283=EDGE_LOOP('',(#285291,#285292,#285293,#285294)); #53284=EDGE_LOOP('',(#285295,#285296,#285297,#285298,#285299,#285300,#285301, #285302,#285303,#285304,#285305,#285306,#285307,#285308,#285309,#285310, #285311,#285312,#285313,#285314)); #53285=EDGE_LOOP('',(#285315,#285316,#285317,#285318,#285319,#285320,#285321, #285322,#285323,#285324,#285325,#285326,#285327,#285328,#285329,#285330, #285331,#285332,#285333,#285334)); #53286=EDGE_LOOP('',(#285335,#285336,#285337,#285338)); #53287=EDGE_LOOP('',(#285339,#285340,#285341,#285342)); #53288=EDGE_LOOP('',(#285343,#285344,#285345,#285346)); #53289=EDGE_LOOP('',(#285347,#285348,#285349,#285350)); #53290=EDGE_LOOP('',(#285351,#285352,#285353,#285354)); #53291=EDGE_LOOP('',(#285355,#285356,#285357,#285358)); #53292=EDGE_LOOP('',(#285359,#285360,#285361,#285362)); #53293=EDGE_LOOP('',(#285363,#285364,#285365,#285366)); #53294=EDGE_LOOP('',(#285367,#285368,#285369,#285370)); #53295=EDGE_LOOP('',(#285371,#285372,#285373,#285374)); #53296=EDGE_LOOP('',(#285375,#285376,#285377,#285378)); #53297=EDGE_LOOP('',(#285379,#285380,#285381,#285382)); #53298=EDGE_LOOP('',(#285383,#285384,#285385,#285386)); #53299=EDGE_LOOP('',(#285387,#285388,#285389,#285390)); #53300=EDGE_LOOP('',(#285391,#285392,#285393,#285394)); #53301=EDGE_LOOP('',(#285395,#285396,#285397,#285398)); #53302=EDGE_LOOP('',(#285399,#285400,#285401,#285402)); #53303=EDGE_LOOP('',(#285403,#285404,#285405,#285406)); #53304=EDGE_LOOP('',(#285407,#285408,#285409,#285410)); #53305=EDGE_LOOP('',(#285411,#285412,#285413,#285414)); #53306=EDGE_LOOP('',(#285415,#285416,#285417,#285418)); #53307=EDGE_LOOP('',(#285419,#285420,#285421,#285422)); #53308=EDGE_LOOP('',(#285423,#285424,#285425,#285426)); #53309=EDGE_LOOP('',(#285427,#285428,#285429,#285430)); #53310=EDGE_LOOP('',(#285431,#285432,#285433,#285434,#285435,#285436,#285437, #285438,#285439,#285440,#285441,#285442,#285443,#285444,#285445,#285446, #285447,#285448,#285449,#285450,#285451,#285452,#285453,#285454)); #53311=EDGE_LOOP('',(#285455,#285456,#285457,#285458,#285459,#285460,#285461, #285462,#285463,#285464,#285465,#285466,#285467,#285468,#285469,#285470, #285471,#285472,#285473,#285474,#285475,#285476,#285477,#285478)); #53312=EDGE_LOOP('',(#285479,#285480,#285481,#285482)); #53313=EDGE_LOOP('',(#285483,#285484,#285485,#285486)); #53314=EDGE_LOOP('',(#285487,#285488,#285489,#285490)); #53315=EDGE_LOOP('',(#285491,#285492,#285493,#285494)); #53316=EDGE_LOOP('',(#285495,#285496,#285497,#285498)); #53317=EDGE_LOOP('',(#285499,#285500,#285501,#285502)); #53318=EDGE_LOOP('',(#285503,#285504,#285505,#285506)); #53319=EDGE_LOOP('',(#285507,#285508,#285509,#285510)); #53320=EDGE_LOOP('',(#285511,#285512,#285513,#285514)); #53321=EDGE_LOOP('',(#285515,#285516,#285517,#285518)); #53322=EDGE_LOOP('',(#285519,#285520,#285521,#285522)); #53323=EDGE_LOOP('',(#285523,#285524,#285525,#285526)); #53324=EDGE_LOOP('',(#285527,#285528,#285529,#285530)); #53325=EDGE_LOOP('',(#285531,#285532,#285533,#285534)); #53326=EDGE_LOOP('',(#285535,#285536,#285537,#285538)); #53327=EDGE_LOOP('',(#285539,#285540,#285541,#285542)); #53328=EDGE_LOOP('',(#285543,#285544,#285545,#285546)); #53329=EDGE_LOOP('',(#285547,#285548,#285549,#285550)); #53330=EDGE_LOOP('',(#285551,#285552,#285553,#285554)); #53331=EDGE_LOOP('',(#285555,#285556,#285557,#285558)); #53332=EDGE_LOOP('',(#285559,#285560,#285561,#285562)); #53333=EDGE_LOOP('',(#285563,#285564,#285565,#285566,#285567,#285568,#285569, #285570,#285571,#285572,#285573,#285574,#285575,#285576,#285577,#285578, #285579,#285580,#285581,#285582,#285583)); #53334=EDGE_LOOP('',(#285584,#285585,#285586,#285587,#285588,#285589,#285590, #285591,#285592,#285593,#285594,#285595,#285596,#285597,#285598,#285599, #285600,#285601,#285602,#285603,#285604)); #53335=EDGE_LOOP('',(#285605,#285606,#285607,#285608)); #53336=EDGE_LOOP('',(#285609,#285610,#285611,#285612)); #53337=EDGE_LOOP('',(#285613,#285614,#285615,#285616)); #53338=EDGE_LOOP('',(#285617,#285618,#285619,#285620)); #53339=EDGE_LOOP('',(#285621,#285622,#285623,#285624)); #53340=EDGE_LOOP('',(#285625,#285626,#285627,#285628)); #53341=EDGE_LOOP('',(#285629,#285630,#285631,#285632)); #53342=EDGE_LOOP('',(#285633,#285634,#285635,#285636)); #53343=EDGE_LOOP('',(#285637,#285638,#285639,#285640)); #53344=EDGE_LOOP('',(#285641,#285642,#285643,#285644)); #53345=EDGE_LOOP('',(#285645,#285646,#285647,#285648)); #53346=EDGE_LOOP('',(#285649,#285650,#285651,#285652)); #53347=EDGE_LOOP('',(#285653,#285654,#285655,#285656)); #53348=EDGE_LOOP('',(#285657,#285658,#285659,#285660)); #53349=EDGE_LOOP('',(#285661,#285662,#285663,#285664)); #53350=EDGE_LOOP('',(#285665,#285666,#285667,#285668)); #53351=EDGE_LOOP('',(#285669,#285670,#285671,#285672)); #53352=EDGE_LOOP('',(#285673,#285674,#285675,#285676)); #53353=EDGE_LOOP('',(#285677,#285678,#285679,#285680)); #53354=EDGE_LOOP('',(#285681,#285682,#285683,#285684)); #53355=EDGE_LOOP('',(#285685,#285686,#285687,#285688)); #53356=EDGE_LOOP('',(#285689,#285690,#285691,#285692)); #53357=EDGE_LOOP('',(#285693,#285694,#285695,#285696)); #53358=EDGE_LOOP('',(#285697,#285698,#285699,#285700)); #53359=EDGE_LOOP('',(#285701,#285702,#285703,#285704)); #53360=EDGE_LOOP('',(#285705,#285706,#285707,#285708)); #53361=EDGE_LOOP('',(#285709,#285710,#285711,#285712)); #53362=EDGE_LOOP('',(#285713,#285714,#285715,#285716)); #53363=EDGE_LOOP('',(#285717,#285718,#285719,#285720)); #53364=EDGE_LOOP('',(#285721,#285722,#285723,#285724)); #53365=EDGE_LOOP('',(#285725,#285726,#285727,#285728)); #53366=EDGE_LOOP('',(#285729,#285730,#285731,#285732)); #53367=EDGE_LOOP('',(#285733,#285734,#285735,#285736)); #53368=EDGE_LOOP('',(#285737,#285738,#285739,#285740)); #53369=EDGE_LOOP('',(#285741,#285742,#285743,#285744)); #53370=EDGE_LOOP('',(#285745,#285746,#285747,#285748,#285749,#285750,#285751, #285752,#285753,#285754,#285755,#285756,#285757,#285758,#285759,#285760, #285761,#285762,#285763,#285764,#285765,#285766,#285767,#285768,#285769, #285770,#285771,#285772,#285773,#285774,#285775,#285776,#285777,#285778, #285779)); #53371=EDGE_LOOP('',(#285780,#285781,#285782,#285783,#285784,#285785,#285786, #285787,#285788,#285789,#285790,#285791,#285792,#285793,#285794,#285795, #285796,#285797,#285798,#285799,#285800,#285801,#285802,#285803,#285804, #285805,#285806,#285807,#285808,#285809,#285810,#285811,#285812,#285813, #285814)); #53372=EDGE_LOOP('',(#285815,#285816,#285817,#285818)); #53373=EDGE_LOOP('',(#285819,#285820,#285821,#285822)); #53374=EDGE_LOOP('',(#285823,#285824,#285825,#285826)); #53375=EDGE_LOOP('',(#285827,#285828,#285829,#285830)); #53376=EDGE_LOOP('',(#285831,#285832,#285833,#285834)); #53377=EDGE_LOOP('',(#285835,#285836,#285837,#285838)); #53378=EDGE_LOOP('',(#285839,#285840,#285841,#285842)); #53379=EDGE_LOOP('',(#285843,#285844,#285845,#285846)); #53380=EDGE_LOOP('',(#285847,#285848,#285849,#285850)); #53381=EDGE_LOOP('',(#285851,#285852,#285853,#285854)); #53382=EDGE_LOOP('',(#285855,#285856,#285857,#285858)); #53383=EDGE_LOOP('',(#285859,#285860,#285861,#285862)); #53384=EDGE_LOOP('',(#285863,#285864,#285865,#285866)); #53385=EDGE_LOOP('',(#285867,#285868,#285869,#285870)); #53386=EDGE_LOOP('',(#285871,#285872,#285873,#285874)); #53387=EDGE_LOOP('',(#285875,#285876,#285877,#285878)); #53388=EDGE_LOOP('',(#285879,#285880,#285881,#285882)); #53389=EDGE_LOOP('',(#285883,#285884,#285885,#285886)); #53390=EDGE_LOOP('',(#285887,#285888,#285889,#285890)); #53391=EDGE_LOOP('',(#285891,#285892,#285893,#285894)); #53392=EDGE_LOOP('',(#285895,#285896,#285897,#285898)); #53393=EDGE_LOOP('',(#285899,#285900,#285901,#285902,#285903,#285904,#285905, #285906,#285907,#285908,#285909,#285910,#285911,#285912,#285913,#285914, #285915,#285916,#285917,#285918,#285919)); #53394=EDGE_LOOP('',(#285920,#285921,#285922,#285923,#285924,#285925,#285926, #285927,#285928,#285929,#285930,#285931,#285932,#285933,#285934,#285935, #285936,#285937,#285938,#285939,#285940)); #53395=EDGE_LOOP('',(#285941,#285942,#285943,#285944)); #53396=EDGE_LOOP('',(#285945,#285946,#285947,#285948)); #53397=EDGE_LOOP('',(#285949,#285950,#285951,#285952)); #53398=EDGE_LOOP('',(#285953,#285954,#285955,#285956)); #53399=EDGE_LOOP('',(#285957,#285958,#285959,#285960)); #53400=EDGE_LOOP('',(#285961,#285962,#285963,#285964)); #53401=EDGE_LOOP('',(#285965,#285966,#285967,#285968)); #53402=EDGE_LOOP('',(#285969,#285970,#285971,#285972)); #53403=EDGE_LOOP('',(#285973,#285974,#285975,#285976)); #53404=EDGE_LOOP('',(#285977,#285978,#285979,#285980)); #53405=EDGE_LOOP('',(#285981,#285982,#285983,#285984)); #53406=EDGE_LOOP('',(#285985,#285986,#285987,#285988)); #53407=EDGE_LOOP('',(#285989,#285990,#285991,#285992)); #53408=EDGE_LOOP('',(#285993,#285994,#285995,#285996)); #53409=EDGE_LOOP('',(#285997,#285998,#285999,#286000)); #53410=EDGE_LOOP('',(#286001,#286002,#286003,#286004)); #53411=EDGE_LOOP('',(#286005,#286006,#286007,#286008)); #53412=EDGE_LOOP('',(#286009,#286010,#286011,#286012)); #53413=EDGE_LOOP('',(#286013,#286014,#286015,#286016)); #53414=EDGE_LOOP('',(#286017,#286018,#286019,#286020)); #53415=EDGE_LOOP('',(#286021,#286022,#286023,#286024)); #53416=EDGE_LOOP('',(#286025,#286026,#286027,#286028)); #53417=EDGE_LOOP('',(#286029,#286030,#286031,#286032)); #53418=EDGE_LOOP('',(#286033,#286034,#286035,#286036)); #53419=EDGE_LOOP('',(#286037,#286038,#286039,#286040)); #53420=EDGE_LOOP('',(#286041,#286042,#286043,#286044)); #53421=EDGE_LOOP('',(#286045,#286046,#286047,#286048)); #53422=EDGE_LOOP('',(#286049,#286050,#286051,#286052)); #53423=EDGE_LOOP('',(#286053,#286054,#286055,#286056)); #53424=EDGE_LOOP('',(#286057,#286058,#286059,#286060)); #53425=EDGE_LOOP('',(#286061,#286062,#286063,#286064)); #53426=EDGE_LOOP('',(#286065,#286066,#286067,#286068,#286069,#286070,#286071, #286072,#286073,#286074,#286075,#286076,#286077,#286078,#286079,#286080, #286081,#286082,#286083,#286084,#286085,#286086,#286087,#286088,#286089, #286090,#286091,#286092,#286093,#286094,#286095)); #53427=EDGE_LOOP('',(#286096,#286097,#286098,#286099,#286100,#286101,#286102, #286103,#286104,#286105,#286106,#286107,#286108,#286109,#286110,#286111, #286112,#286113,#286114,#286115,#286116,#286117,#286118,#286119,#286120, #286121,#286122,#286123,#286124,#286125,#286126)); #53428=EDGE_LOOP('',(#286127,#286128,#286129,#286130)); #53429=EDGE_LOOP('',(#286131,#286132,#286133,#286134)); #53430=EDGE_LOOP('',(#286135,#286136,#286137,#286138)); #53431=EDGE_LOOP('',(#286139,#286140,#286141,#286142)); #53432=EDGE_LOOP('',(#286143,#286144,#286145,#286146)); #53433=EDGE_LOOP('',(#286147,#286148,#286149,#286150)); #53434=EDGE_LOOP('',(#286151,#286152,#286153,#286154)); #53435=EDGE_LOOP('',(#286155,#286156,#286157,#286158)); #53436=EDGE_LOOP('',(#286159,#286160,#286161,#286162)); #53437=EDGE_LOOP('',(#286163,#286164,#286165,#286166)); #53438=EDGE_LOOP('',(#286167,#286168,#286169,#286170)); #53439=EDGE_LOOP('',(#286171,#286172,#286173,#286174)); #53440=EDGE_LOOP('',(#286175,#286176,#286177,#286178)); #53441=EDGE_LOOP('',(#286179,#286180,#286181,#286182)); #53442=EDGE_LOOP('',(#286183,#286184,#286185,#286186)); #53443=EDGE_LOOP('',(#286187,#286188,#286189,#286190)); #53444=EDGE_LOOP('',(#286191,#286192,#286193,#286194)); #53445=EDGE_LOOP('',(#286195,#286196,#286197,#286198)); #53446=EDGE_LOOP('',(#286199,#286200,#286201,#286202)); #53447=EDGE_LOOP('',(#286203,#286204,#286205,#286206)); #53448=EDGE_LOOP('',(#286207,#286208,#286209,#286210)); #53449=EDGE_LOOP('',(#286211,#286212,#286213,#286214)); #53450=EDGE_LOOP('',(#286215,#286216,#286217,#286218,#286219,#286220,#286221, #286222,#286223,#286224,#286225,#286226,#286227,#286228,#286229,#286230, #286231,#286232,#286233,#286234,#286235,#286236)); #53451=EDGE_LOOP('',(#286237,#286238,#286239,#286240,#286241,#286242,#286243, #286244,#286245,#286246,#286247,#286248,#286249,#286250,#286251,#286252, #286253,#286254,#286255,#286256,#286257,#286258)); #53452=EDGE_LOOP('',(#286259,#286260,#286261,#286262)); #53453=EDGE_LOOP('',(#286263,#286264,#286265,#286266)); #53454=EDGE_LOOP('',(#286267,#286268,#286269,#286270)); #53455=EDGE_LOOP('',(#286271,#286272,#286273,#286274)); #53456=EDGE_LOOP('',(#286275,#286276,#286277,#286278)); #53457=EDGE_LOOP('',(#286279,#286280,#286281,#286282)); #53458=EDGE_LOOP('',(#286283,#286284,#286285,#286286)); #53459=EDGE_LOOP('',(#286287,#286288,#286289,#286290)); #53460=EDGE_LOOP('',(#286291,#286292,#286293,#286294)); #53461=EDGE_LOOP('',(#286295,#286296,#286297,#286298)); #53462=EDGE_LOOP('',(#286299,#286300,#286301,#286302)); #53463=EDGE_LOOP('',(#286303,#286304,#286305,#286306)); #53464=EDGE_LOOP('',(#286307,#286308,#286309,#286310)); #53465=EDGE_LOOP('',(#286311,#286312,#286313,#286314)); #53466=EDGE_LOOP('',(#286315,#286316,#286317,#286318)); #53467=EDGE_LOOP('',(#286319,#286320,#286321,#286322)); #53468=EDGE_LOOP('',(#286323,#286324,#286325,#286326)); #53469=EDGE_LOOP('',(#286327,#286328,#286329,#286330)); #53470=EDGE_LOOP('',(#286331,#286332,#286333,#286334)); #53471=EDGE_LOOP('',(#286335,#286336,#286337,#286338)); #53472=EDGE_LOOP('',(#286339,#286340,#286341,#286342,#286343,#286344,#286345, #286346,#286347,#286348,#286349,#286350,#286351,#286352,#286353,#286354, #286355,#286356,#286357,#286358)); #53473=EDGE_LOOP('',(#286359,#286360,#286361,#286362,#286363,#286364,#286365, #286366,#286367,#286368,#286369,#286370,#286371,#286372,#286373,#286374, #286375,#286376,#286377,#286378)); #53474=EDGE_LOOP('',(#286379,#286380,#286381,#286382)); #53475=EDGE_LOOP('',(#286383,#286384,#286385,#286386)); #53476=EDGE_LOOP('',(#286387,#286388,#286389,#286390)); #53477=EDGE_LOOP('',(#286391,#286392,#286393,#286394)); #53478=EDGE_LOOP('',(#286395,#286396,#286397,#286398)); #53479=EDGE_LOOP('',(#286399,#286400,#286401,#286402)); #53480=EDGE_LOOP('',(#286403,#286404,#286405,#286406)); #53481=EDGE_LOOP('',(#286407,#286408,#286409,#286410)); #53482=EDGE_LOOP('',(#286411,#286412,#286413,#286414)); #53483=EDGE_LOOP('',(#286415,#286416,#286417,#286418)); #53484=EDGE_LOOP('',(#286419,#286420,#286421,#286422)); #53485=EDGE_LOOP('',(#286423,#286424,#286425,#286426)); #53486=EDGE_LOOP('',(#286427,#286428,#286429,#286430)); #53487=EDGE_LOOP('',(#286431,#286432,#286433,#286434)); #53488=EDGE_LOOP('',(#286435,#286436,#286437,#286438)); #53489=EDGE_LOOP('',(#286439,#286440,#286441,#286442)); #53490=EDGE_LOOP('',(#286443,#286444,#286445,#286446)); #53491=EDGE_LOOP('',(#286447,#286448,#286449,#286450)); #53492=EDGE_LOOP('',(#286451,#286452,#286453,#286454)); #53493=EDGE_LOOP('',(#286455,#286456,#286457,#286458)); #53494=EDGE_LOOP('',(#286459,#286460,#286461,#286462)); #53495=EDGE_LOOP('',(#286463,#286464,#286465,#286466)); #53496=EDGE_LOOP('',(#286467,#286468,#286469,#286470)); #53497=EDGE_LOOP('',(#286471,#286472,#286473,#286474)); #53498=EDGE_LOOP('',(#286475,#286476,#286477,#286478)); #53499=EDGE_LOOP('',(#286479,#286480,#286481,#286482)); #53500=EDGE_LOOP('',(#286483,#286484,#286485,#286486)); #53501=EDGE_LOOP('',(#286487,#286488,#286489,#286490)); #53502=EDGE_LOOP('',(#286491,#286492,#286493,#286494)); #53503=EDGE_LOOP('',(#286495,#286496,#286497,#286498)); #53504=EDGE_LOOP('',(#286499,#286500,#286501,#286502)); #53505=EDGE_LOOP('',(#286503,#286504,#286505,#286506)); #53506=EDGE_LOOP('',(#286507,#286508,#286509,#286510)); #53507=EDGE_LOOP('',(#286511,#286512,#286513,#286514)); #53508=EDGE_LOOP('',(#286515,#286516,#286517,#286518)); #53509=EDGE_LOOP('',(#286519,#286520,#286521,#286522)); #53510=EDGE_LOOP('',(#286523,#286524,#286525,#286526)); #53511=EDGE_LOOP('',(#286527,#286528,#286529,#286530)); #53512=EDGE_LOOP('',(#286531,#286532,#286533,#286534)); #53513=EDGE_LOOP('',(#286535,#286536,#286537,#286538)); #53514=EDGE_LOOP('',(#286539,#286540,#286541,#286542)); #53515=EDGE_LOOP('',(#286543,#286544,#286545,#286546)); #53516=EDGE_LOOP('',(#286547,#286548,#286549,#286550)); #53517=EDGE_LOOP('',(#286551,#286552,#286553,#286554)); #53518=EDGE_LOOP('',(#286555,#286556,#286557,#286558)); #53519=EDGE_LOOP('',(#286559,#286560,#286561,#286562)); #53520=EDGE_LOOP('',(#286563,#286564,#286565,#286566)); #53521=EDGE_LOOP('',(#286567,#286568,#286569,#286570)); #53522=EDGE_LOOP('',(#286571,#286572,#286573,#286574)); #53523=EDGE_LOOP('',(#286575,#286576,#286577,#286578)); #53524=EDGE_LOOP('',(#286579,#286580,#286581,#286582)); #53525=EDGE_LOOP('',(#286583,#286584,#286585,#286586)); #53526=EDGE_LOOP('',(#286587,#286588,#286589,#286590)); #53527=EDGE_LOOP('',(#286591,#286592,#286593,#286594)); #53528=EDGE_LOOP('',(#286595,#286596,#286597,#286598)); #53529=EDGE_LOOP('',(#286599,#286600,#286601,#286602)); #53530=EDGE_LOOP('',(#286603,#286604,#286605,#286606)); #53531=EDGE_LOOP('',(#286607,#286608,#286609,#286610)); #53532=EDGE_LOOP('',(#286611,#286612,#286613,#286614)); #53533=EDGE_LOOP('',(#286615,#286616,#286617,#286618)); #53534=EDGE_LOOP('',(#286619,#286620,#286621,#286622)); #53535=EDGE_LOOP('',(#286623,#286624,#286625,#286626)); #53536=EDGE_LOOP('',(#286627,#286628,#286629,#286630)); #53537=EDGE_LOOP('',(#286631,#286632,#286633,#286634)); #53538=EDGE_LOOP('',(#286635,#286636,#286637,#286638)); #53539=EDGE_LOOP('',(#286639,#286640,#286641,#286642)); #53540=EDGE_LOOP('',(#286643,#286644,#286645,#286646)); #53541=EDGE_LOOP('',(#286647,#286648,#286649,#286650)); #53542=EDGE_LOOP('',(#286651,#286652,#286653,#286654)); #53543=EDGE_LOOP('',(#286655,#286656,#286657,#286658)); #53544=EDGE_LOOP('',(#286659,#286660,#286661,#286662)); #53545=EDGE_LOOP('',(#286663,#286664,#286665,#286666)); #53546=EDGE_LOOP('',(#286667,#286668,#286669,#286670)); #53547=EDGE_LOOP('',(#286671,#286672,#286673,#286674)); #53548=EDGE_LOOP('',(#286675,#286676,#286677,#286678)); #53549=EDGE_LOOP('',(#286679,#286680,#286681,#286682)); #53550=EDGE_LOOP('',(#286683,#286684,#286685,#286686)); #53551=EDGE_LOOP('',(#286687,#286688,#286689,#286690)); #53552=EDGE_LOOP('',(#286691,#286692,#286693,#286694)); #53553=EDGE_LOOP('',(#286695,#286696,#286697,#286698)); #53554=EDGE_LOOP('',(#286699,#286700,#286701,#286702)); #53555=EDGE_LOOP('',(#286703,#286704,#286705,#286706)); #53556=EDGE_LOOP('',(#286707,#286708,#286709,#286710)); #53557=EDGE_LOOP('',(#286711,#286712,#286713,#286714)); #53558=EDGE_LOOP('',(#286715,#286716,#286717,#286718)); #53559=EDGE_LOOP('',(#286719,#286720,#286721,#286722)); #53560=EDGE_LOOP('',(#286723,#286724,#286725,#286726)); #53561=EDGE_LOOP('',(#286727,#286728,#286729,#286730)); #53562=EDGE_LOOP('',(#286731,#286732,#286733,#286734)); #53563=EDGE_LOOP('',(#286735,#286736,#286737,#286738)); #53564=EDGE_LOOP('',(#286739,#286740,#286741,#286742)); #53565=EDGE_LOOP('',(#286743,#286744,#286745,#286746)); #53566=EDGE_LOOP('',(#286747,#286748,#286749,#286750)); #53567=EDGE_LOOP('',(#286751,#286752,#286753,#286754)); #53568=EDGE_LOOP('',(#286755,#286756,#286757,#286758)); #53569=EDGE_LOOP('',(#286759,#286760,#286761,#286762)); #53570=EDGE_LOOP('',(#286763,#286764,#286765,#286766)); #53571=EDGE_LOOP('',(#286767,#286768,#286769,#286770)); #53572=EDGE_LOOP('',(#286771,#286772,#286773,#286774)); #53573=EDGE_LOOP('',(#286775,#286776,#286777,#286778)); #53574=EDGE_LOOP('',(#286779,#286780,#286781,#286782)); #53575=EDGE_LOOP('',(#286783,#286784,#286785,#286786)); #53576=EDGE_LOOP('',(#286787,#286788,#286789,#286790)); #53577=EDGE_LOOP('',(#286791,#286792,#286793,#286794)); #53578=EDGE_LOOP('',(#286795,#286796,#286797,#286798)); #53579=EDGE_LOOP('',(#286799,#286800,#286801,#286802)); #53580=EDGE_LOOP('',(#286803,#286804,#286805,#286806)); #53581=EDGE_LOOP('',(#286807,#286808,#286809,#286810)); #53582=EDGE_LOOP('',(#286811,#286812,#286813,#286814)); #53583=EDGE_LOOP('',(#286815,#286816,#286817,#286818)); #53584=EDGE_LOOP('',(#286819,#286820,#286821,#286822)); #53585=EDGE_LOOP('',(#286823,#286824,#286825,#286826)); #53586=EDGE_LOOP('',(#286827,#286828,#286829,#286830)); #53587=EDGE_LOOP('',(#286831,#286832,#286833,#286834)); #53588=EDGE_LOOP('',(#286835,#286836,#286837,#286838)); #53589=EDGE_LOOP('',(#286839,#286840,#286841,#286842)); #53590=EDGE_LOOP('',(#286843,#286844,#286845,#286846)); #53591=EDGE_LOOP('',(#286847,#286848,#286849,#286850)); #53592=EDGE_LOOP('',(#286851,#286852,#286853,#286854)); #53593=EDGE_LOOP('',(#286855,#286856,#286857,#286858)); #53594=EDGE_LOOP('',(#286859,#286860,#286861,#286862)); #53595=EDGE_LOOP('',(#286863,#286864,#286865,#286866)); #53596=EDGE_LOOP('',(#286867,#286868,#286869,#286870)); #53597=EDGE_LOOP('',(#286871,#286872,#286873,#286874)); #53598=EDGE_LOOP('',(#286875,#286876,#286877,#286878)); #53599=EDGE_LOOP('',(#286879,#286880,#286881,#286882)); #53600=EDGE_LOOP('',(#286883,#286884,#286885,#286886)); #53601=EDGE_LOOP('',(#286887,#286888,#286889,#286890)); #53602=EDGE_LOOP('',(#286891,#286892,#286893,#286894)); #53603=EDGE_LOOP('',(#286895,#286896,#286897,#286898)); #53604=EDGE_LOOP('',(#286899,#286900,#286901,#286902)); #53605=EDGE_LOOP('',(#286903,#286904,#286905,#286906)); #53606=EDGE_LOOP('',(#286907,#286908,#286909,#286910)); #53607=EDGE_LOOP('',(#286911,#286912,#286913,#286914)); #53608=EDGE_LOOP('',(#286915,#286916,#286917,#286918)); #53609=EDGE_LOOP('',(#286919,#286920,#286921,#286922)); #53610=EDGE_LOOP('',(#286923,#286924,#286925,#286926)); #53611=EDGE_LOOP('',(#286927,#286928,#286929,#286930)); #53612=EDGE_LOOP('',(#286931,#286932,#286933,#286934)); #53613=EDGE_LOOP('',(#286935,#286936,#286937,#286938)); #53614=EDGE_LOOP('',(#286939,#286940,#286941,#286942)); #53615=EDGE_LOOP('',(#286943,#286944,#286945,#286946)); #53616=EDGE_LOOP('',(#286947,#286948,#286949,#286950)); #53617=EDGE_LOOP('',(#286951,#286952,#286953,#286954)); #53618=EDGE_LOOP('',(#286955,#286956,#286957,#286958)); #53619=EDGE_LOOP('',(#286959,#286960,#286961,#286962)); #53620=EDGE_LOOP('',(#286963,#286964,#286965,#286966)); #53621=EDGE_LOOP('',(#286967,#286968,#286969,#286970)); #53622=EDGE_LOOP('',(#286971,#286972,#286973,#286974)); #53623=EDGE_LOOP('',(#286975,#286976,#286977,#286978)); #53624=EDGE_LOOP('',(#286979,#286980,#286981,#286982)); #53625=EDGE_LOOP('',(#286983,#286984,#286985,#286986)); #53626=EDGE_LOOP('',(#286987,#286988,#286989,#286990)); #53627=EDGE_LOOP('',(#286991,#286992,#286993,#286994)); #53628=EDGE_LOOP('',(#286995,#286996,#286997,#286998)); #53629=EDGE_LOOP('',(#286999,#287000,#287001,#287002)); #53630=EDGE_LOOP('',(#287003,#287004,#287005,#287006)); #53631=EDGE_LOOP('',(#287007,#287008,#287009,#287010)); #53632=EDGE_LOOP('',(#287011,#287012,#287013,#287014)); #53633=EDGE_LOOP('',(#287015,#287016,#287017,#287018)); #53634=EDGE_LOOP('',(#287019,#287020,#287021,#287022)); #53635=EDGE_LOOP('',(#287023,#287024,#287025,#287026)); #53636=EDGE_LOOP('',(#287027,#287028,#287029,#287030)); #53637=EDGE_LOOP('',(#287031,#287032,#287033,#287034)); #53638=EDGE_LOOP('',(#287035,#287036,#287037,#287038)); #53639=EDGE_LOOP('',(#287039,#287040,#287041,#287042)); #53640=EDGE_LOOP('',(#287043,#287044,#287045,#287046)); #53641=EDGE_LOOP('',(#287047,#287048,#287049,#287050)); #53642=EDGE_LOOP('',(#287051,#287052,#287053,#287054)); #53643=EDGE_LOOP('',(#287055,#287056,#287057,#287058)); #53644=EDGE_LOOP('',(#287059,#287060,#287061,#287062)); #53645=EDGE_LOOP('',(#287063,#287064,#287065,#287066)); #53646=EDGE_LOOP('',(#287067,#287068,#287069,#287070)); #53647=EDGE_LOOP('',(#287071,#287072,#287073,#287074)); #53648=EDGE_LOOP('',(#287075,#287076,#287077,#287078)); #53649=EDGE_LOOP('',(#287079,#287080,#287081,#287082)); #53650=EDGE_LOOP('',(#287083,#287084,#287085,#287086)); #53651=EDGE_LOOP('',(#287087,#287088,#287089,#287090)); #53652=EDGE_LOOP('',(#287091,#287092,#287093,#287094)); #53653=EDGE_LOOP('',(#287095,#287096,#287097,#287098)); #53654=EDGE_LOOP('',(#287099,#287100,#287101,#287102)); #53655=EDGE_LOOP('',(#287103,#287104,#287105,#287106)); #53656=EDGE_LOOP('',(#287107,#287108,#287109,#287110)); #53657=EDGE_LOOP('',(#287111,#287112,#287113,#287114)); #53658=EDGE_LOOP('',(#287115,#287116,#287117,#287118)); #53659=EDGE_LOOP('',(#287119,#287120,#287121,#287122)); #53660=EDGE_LOOP('',(#287123,#287124,#287125,#287126)); #53661=EDGE_LOOP('',(#287127,#287128,#287129,#287130)); #53662=EDGE_LOOP('',(#287131,#287132,#287133,#287134)); #53663=EDGE_LOOP('',(#287135,#287136,#287137,#287138)); #53664=EDGE_LOOP('',(#287139,#287140,#287141,#287142)); #53665=EDGE_LOOP('',(#287143,#287144,#287145,#287146)); #53666=EDGE_LOOP('',(#287147,#287148,#287149,#287150)); #53667=EDGE_LOOP('',(#287151,#287152,#287153,#287154)); #53668=EDGE_LOOP('',(#287155,#287156,#287157,#287158)); #53669=EDGE_LOOP('',(#287159,#287160,#287161,#287162)); #53670=EDGE_LOOP('',(#287163,#287164,#287165,#287166)); #53671=EDGE_LOOP('',(#287167,#287168,#287169,#287170)); #53672=EDGE_LOOP('',(#287171,#287172,#287173,#287174)); #53673=EDGE_LOOP('',(#287175,#287176,#287177,#287178)); #53674=EDGE_LOOP('',(#287179,#287180,#287181,#287182)); #53675=EDGE_LOOP('',(#287183,#287184,#287185,#287186)); #53676=EDGE_LOOP('',(#287187,#287188,#287189,#287190)); #53677=EDGE_LOOP('',(#287191,#287192,#287193,#287194)); #53678=EDGE_LOOP('',(#287195,#287196,#287197,#287198)); #53679=EDGE_LOOP('',(#287199,#287200,#287201,#287202)); #53680=EDGE_LOOP('',(#287203,#287204,#287205,#287206)); #53681=EDGE_LOOP('',(#287207,#287208,#287209,#287210)); #53682=EDGE_LOOP('',(#287211,#287212,#287213,#287214)); #53683=EDGE_LOOP('',(#287215,#287216,#287217,#287218)); #53684=EDGE_LOOP('',(#287219,#287220,#287221,#287222)); #53685=EDGE_LOOP('',(#287223,#287224,#287225,#287226)); #53686=EDGE_LOOP('',(#287227,#287228,#287229,#287230)); #53687=EDGE_LOOP('',(#287231,#287232,#287233,#287234)); #53688=EDGE_LOOP('',(#287235,#287236,#287237,#287238)); #53689=EDGE_LOOP('',(#287239,#287240,#287241,#287242)); #53690=EDGE_LOOP('',(#287243,#287244,#287245,#287246)); #53691=EDGE_LOOP('',(#287247,#287248,#287249,#287250)); #53692=EDGE_LOOP('',(#287251,#287252,#287253,#287254)); #53693=EDGE_LOOP('',(#287255,#287256,#287257,#287258)); #53694=EDGE_LOOP('',(#287259,#287260,#287261,#287262)); #53695=EDGE_LOOP('',(#287263,#287264,#287265,#287266)); #53696=EDGE_LOOP('',(#287267,#287268,#287269,#287270)); #53697=EDGE_LOOP('',(#287271,#287272,#287273,#287274)); #53698=EDGE_LOOP('',(#287275,#287276,#287277,#287278)); #53699=EDGE_LOOP('',(#287279,#287280,#287281,#287282)); #53700=EDGE_LOOP('',(#287283,#287284,#287285,#287286)); #53701=EDGE_LOOP('',(#287287,#287288,#287289,#287290)); #53702=EDGE_LOOP('',(#287291,#287292,#287293,#287294)); #53703=EDGE_LOOP('',(#287295,#287296,#287297,#287298)); #53704=EDGE_LOOP('',(#287299,#287300,#287301,#287302)); #53705=EDGE_LOOP('',(#287303,#287304,#287305,#287306)); #53706=EDGE_LOOP('',(#287307,#287308,#287309,#287310)); #53707=EDGE_LOOP('',(#287311,#287312,#287313,#287314)); #53708=EDGE_LOOP('',(#287315,#287316,#287317,#287318)); #53709=EDGE_LOOP('',(#287319,#287320,#287321,#287322)); #53710=EDGE_LOOP('',(#287323,#287324,#287325,#287326)); #53711=EDGE_LOOP('',(#287327,#287328,#287329,#287330)); #53712=EDGE_LOOP('',(#287331,#287332,#287333,#287334)); #53713=EDGE_LOOP('',(#287335,#287336,#287337,#287338)); #53714=EDGE_LOOP('',(#287339,#287340,#287341,#287342)); #53715=EDGE_LOOP('',(#287343,#287344,#287345,#287346)); #53716=EDGE_LOOP('',(#287347,#287348,#287349,#287350)); #53717=EDGE_LOOP('',(#287351,#287352,#287353,#287354)); #53718=EDGE_LOOP('',(#287355,#287356,#287357,#287358)); #53719=EDGE_LOOP('',(#287359,#287360,#287361,#287362)); #53720=EDGE_LOOP('',(#287363,#287364,#287365,#287366)); #53721=EDGE_LOOP('',(#287367,#287368,#287369,#287370)); #53722=EDGE_LOOP('',(#287371,#287372,#287373,#287374)); #53723=EDGE_LOOP('',(#287375,#287376,#287377,#287378)); #53724=EDGE_LOOP('',(#287379,#287380,#287381,#287382)); #53725=EDGE_LOOP('',(#287383,#287384,#287385,#287386)); #53726=EDGE_LOOP('',(#287387,#287388,#287389,#287390)); #53727=EDGE_LOOP('',(#287391,#287392,#287393,#287394)); #53728=EDGE_LOOP('',(#287395,#287396,#287397,#287398)); #53729=EDGE_LOOP('',(#287399,#287400,#287401,#287402)); #53730=EDGE_LOOP('',(#287403,#287404,#287405,#287406)); #53731=EDGE_LOOP('',(#287407,#287408,#287409,#287410)); #53732=EDGE_LOOP('',(#287411,#287412,#287413,#287414)); #53733=EDGE_LOOP('',(#287415,#287416,#287417,#287418)); #53734=EDGE_LOOP('',(#287419,#287420,#287421,#287422)); #53735=EDGE_LOOP('',(#287423,#287424,#287425,#287426)); #53736=EDGE_LOOP('',(#287427,#287428,#287429,#287430)); #53737=EDGE_LOOP('',(#287431,#287432,#287433,#287434)); #53738=EDGE_LOOP('',(#287435,#287436,#287437,#287438)); #53739=EDGE_LOOP('',(#287439,#287440,#287441,#287442)); #53740=EDGE_LOOP('',(#287443,#287444,#287445,#287446)); #53741=EDGE_LOOP('',(#287447,#287448,#287449,#287450)); #53742=EDGE_LOOP('',(#287451,#287452,#287453,#287454)); #53743=EDGE_LOOP('',(#287455,#287456,#287457,#287458)); #53744=EDGE_LOOP('',(#287459,#287460,#287461,#287462)); #53745=EDGE_LOOP('',(#287463,#287464,#287465,#287466)); #53746=EDGE_LOOP('',(#287467,#287468,#287469,#287470)); #53747=EDGE_LOOP('',(#287471,#287472,#287473,#287474)); #53748=EDGE_LOOP('',(#287475,#287476,#287477,#287478)); #53749=EDGE_LOOP('',(#287479,#287480,#287481,#287482)); #53750=EDGE_LOOP('',(#287483,#287484,#287485,#287486)); #53751=EDGE_LOOP('',(#287487,#287488,#287489,#287490)); #53752=EDGE_LOOP('',(#287491,#287492,#287493,#287494)); #53753=EDGE_LOOP('',(#287495,#287496,#287497,#287498)); #53754=EDGE_LOOP('',(#287499,#287500,#287501,#287502)); #53755=EDGE_LOOP('',(#287503,#287504,#287505,#287506)); #53756=EDGE_LOOP('',(#287507,#287508,#287509,#287510)); #53757=EDGE_LOOP('',(#287511,#287512,#287513,#287514)); #53758=EDGE_LOOP('',(#287515,#287516,#287517,#287518)); #53759=EDGE_LOOP('',(#287519,#287520,#287521,#287522)); #53760=EDGE_LOOP('',(#287523,#287524,#287525,#287526)); #53761=EDGE_LOOP('',(#287527,#287528,#287529,#287530)); #53762=EDGE_LOOP('',(#287531,#287532,#287533,#287534)); #53763=EDGE_LOOP('',(#287535,#287536,#287537,#287538)); #53764=EDGE_LOOP('',(#287539,#287540,#287541,#287542)); #53765=EDGE_LOOP('',(#287543,#287544,#287545,#287546)); #53766=EDGE_LOOP('',(#287547,#287548,#287549,#287550)); #53767=EDGE_LOOP('',(#287551,#287552,#287553,#287554)); #53768=EDGE_LOOP('',(#287555,#287556,#287557,#287558)); #53769=EDGE_LOOP('',(#287559,#287560,#287561,#287562)); #53770=EDGE_LOOP('',(#287563,#287564,#287565,#287566)); #53771=EDGE_LOOP('',(#287567,#287568,#287569,#287570)); #53772=EDGE_LOOP('',(#287571,#287572,#287573,#287574)); #53773=EDGE_LOOP('',(#287575,#287576,#287577,#287578)); #53774=EDGE_LOOP('',(#287579,#287580,#287581,#287582)); #53775=EDGE_LOOP('',(#287583,#287584,#287585,#287586)); #53776=EDGE_LOOP('',(#287587,#287588,#287589,#287590)); #53777=EDGE_LOOP('',(#287591,#287592,#287593,#287594)); #53778=EDGE_LOOP('',(#287595,#287596,#287597,#287598)); #53779=EDGE_LOOP('',(#287599,#287600,#287601,#287602)); #53780=EDGE_LOOP('',(#287603,#287604,#287605,#287606)); #53781=EDGE_LOOP('',(#287607,#287608,#287609,#287610)); #53782=EDGE_LOOP('',(#287611,#287612,#287613,#287614)); #53783=EDGE_LOOP('',(#287615,#287616,#287617,#287618)); #53784=EDGE_LOOP('',(#287619,#287620,#287621,#287622)); #53785=EDGE_LOOP('',(#287623,#287624,#287625,#287626)); #53786=EDGE_LOOP('',(#287627,#287628,#287629,#287630)); #53787=EDGE_LOOP('',(#287631,#287632,#287633,#287634)); #53788=EDGE_LOOP('',(#287635,#287636,#287637,#287638)); #53789=EDGE_LOOP('',(#287639,#287640,#287641,#287642)); #53790=EDGE_LOOP('',(#287643,#287644,#287645,#287646)); #53791=EDGE_LOOP('',(#287647,#287648,#287649,#287650)); #53792=EDGE_LOOP('',(#287651,#287652,#287653,#287654)); #53793=EDGE_LOOP('',(#287655,#287656,#287657,#287658)); #53794=EDGE_LOOP('',(#287659,#287660,#287661,#287662)); #53795=EDGE_LOOP('',(#287663,#287664,#287665,#287666)); #53796=EDGE_LOOP('',(#287667,#287668,#287669,#287670)); #53797=EDGE_LOOP('',(#287671,#287672,#287673,#287674)); #53798=EDGE_LOOP('',(#287675,#287676,#287677,#287678)); #53799=EDGE_LOOP('',(#287679,#287680,#287681,#287682)); #53800=EDGE_LOOP('',(#287683,#287684,#287685,#287686)); #53801=EDGE_LOOP('',(#287687,#287688,#287689,#287690)); #53802=EDGE_LOOP('',(#287691,#287692,#287693,#287694)); #53803=EDGE_LOOP('',(#287695,#287696,#287697,#287698)); #53804=EDGE_LOOP('',(#287699,#287700,#287701,#287702)); #53805=EDGE_LOOP('',(#287703,#287704,#287705,#287706)); #53806=EDGE_LOOP('',(#287707,#287708,#287709,#287710)); #53807=EDGE_LOOP('',(#287711,#287712,#287713,#287714)); #53808=EDGE_LOOP('',(#287715,#287716,#287717,#287718)); #53809=EDGE_LOOP('',(#287719,#287720,#287721,#287722)); #53810=EDGE_LOOP('',(#287723,#287724,#287725,#287726)); #53811=EDGE_LOOP('',(#287727,#287728,#287729,#287730)); #53812=EDGE_LOOP('',(#287731,#287732,#287733,#287734)); #53813=EDGE_LOOP('',(#287735,#287736,#287737,#287738)); #53814=EDGE_LOOP('',(#287739,#287740,#287741,#287742)); #53815=EDGE_LOOP('',(#287743,#287744,#287745,#287746)); #53816=EDGE_LOOP('',(#287747,#287748,#287749,#287750)); #53817=EDGE_LOOP('',(#287751,#287752,#287753,#287754)); #53818=EDGE_LOOP('',(#287755,#287756,#287757,#287758)); #53819=EDGE_LOOP('',(#287759,#287760,#287761,#287762)); #53820=EDGE_LOOP('',(#287763,#287764,#287765,#287766)); #53821=EDGE_LOOP('',(#287767,#287768,#287769,#287770)); #53822=EDGE_LOOP('',(#287771,#287772,#287773,#287774)); #53823=EDGE_LOOP('',(#287775,#287776,#287777,#287778)); #53824=EDGE_LOOP('',(#287779,#287780,#287781,#287782)); #53825=EDGE_LOOP('',(#287783,#287784,#287785,#287786)); #53826=EDGE_LOOP('',(#287787,#287788,#287789,#287790)); #53827=EDGE_LOOP('',(#287791,#287792,#287793,#287794)); #53828=EDGE_LOOP('',(#287795,#287796,#287797,#287798)); #53829=EDGE_LOOP('',(#287799,#287800,#287801,#287802)); #53830=EDGE_LOOP('',(#287803,#287804,#287805,#287806)); #53831=EDGE_LOOP('',(#287807,#287808,#287809,#287810)); #53832=EDGE_LOOP('',(#287811,#287812,#287813,#287814)); #53833=EDGE_LOOP('',(#287815,#287816,#287817,#287818)); #53834=EDGE_LOOP('',(#287819,#287820,#287821,#287822)); #53835=EDGE_LOOP('',(#287823,#287824,#287825,#287826)); #53836=EDGE_LOOP('',(#287827,#287828,#287829,#287830)); #53837=EDGE_LOOP('',(#287831,#287832,#287833,#287834)); #53838=EDGE_LOOP('',(#287835,#287836,#287837,#287838)); #53839=EDGE_LOOP('',(#287839,#287840,#287841,#287842)); #53840=EDGE_LOOP('',(#287843,#287844,#287845,#287846)); #53841=EDGE_LOOP('',(#287847,#287848,#287849,#287850)); #53842=EDGE_LOOP('',(#287851,#287852,#287853,#287854)); #53843=EDGE_LOOP('',(#287855,#287856,#287857,#287858)); #53844=EDGE_LOOP('',(#287859,#287860,#287861,#287862)); #53845=EDGE_LOOP('',(#287863,#287864,#287865,#287866)); #53846=EDGE_LOOP('',(#287867,#287868,#287869,#287870)); #53847=EDGE_LOOP('',(#287871,#287872,#287873,#287874)); #53848=EDGE_LOOP('',(#287875,#287876,#287877,#287878)); #53849=EDGE_LOOP('',(#287879,#287880,#287881,#287882)); #53850=EDGE_LOOP('',(#287883,#287884,#287885,#287886)); #53851=EDGE_LOOP('',(#287887,#287888,#287889,#287890)); #53852=EDGE_LOOP('',(#287891,#287892,#287893,#287894)); #53853=EDGE_LOOP('',(#287895,#287896,#287897,#287898)); #53854=EDGE_LOOP('',(#287899,#287900,#287901,#287902)); #53855=EDGE_LOOP('',(#287903,#287904,#287905,#287906)); #53856=EDGE_LOOP('',(#287907,#287908,#287909,#287910)); #53857=EDGE_LOOP('',(#287911,#287912,#287913,#287914)); #53858=EDGE_LOOP('',(#287915,#287916,#287917,#287918)); #53859=EDGE_LOOP('',(#287919,#287920,#287921,#287922)); #53860=EDGE_LOOP('',(#287923,#287924,#287925,#287926)); #53861=EDGE_LOOP('',(#287927,#287928,#287929,#287930)); #53862=EDGE_LOOP('',(#287931,#287932,#287933,#287934)); #53863=EDGE_LOOP('',(#287935,#287936,#287937,#287938)); #53864=EDGE_LOOP('',(#287939,#287940,#287941,#287942)); #53865=EDGE_LOOP('',(#287943,#287944,#287945,#287946)); #53866=EDGE_LOOP('',(#287947,#287948,#287949,#287950)); #53867=EDGE_LOOP('',(#287951,#287952,#287953,#287954)); #53868=EDGE_LOOP('',(#287955,#287956,#287957,#287958)); #53869=EDGE_LOOP('',(#287959,#287960,#287961,#287962)); #53870=EDGE_LOOP('',(#287963,#287964,#287965,#287966)); #53871=EDGE_LOOP('',(#287967,#287968,#287969,#287970)); #53872=EDGE_LOOP('',(#287971,#287972,#287973,#287974)); #53873=EDGE_LOOP('',(#287975,#287976,#287977,#287978)); #53874=EDGE_LOOP('',(#287979,#287980,#287981,#287982)); #53875=EDGE_LOOP('',(#287983,#287984,#287985,#287986)); #53876=EDGE_LOOP('',(#287987,#287988,#287989,#287990)); #53877=EDGE_LOOP('',(#287991,#287992,#287993,#287994)); #53878=EDGE_LOOP('',(#287995,#287996,#287997,#287998)); #53879=EDGE_LOOP('',(#287999,#288000,#288001,#288002)); #53880=EDGE_LOOP('',(#288003,#288004,#288005,#288006)); #53881=EDGE_LOOP('',(#288007,#288008,#288009,#288010)); #53882=EDGE_LOOP('',(#288011,#288012,#288013,#288014)); #53883=EDGE_LOOP('',(#288015,#288016,#288017,#288018)); #53884=EDGE_LOOP('',(#288019,#288020,#288021,#288022)); #53885=EDGE_LOOP('',(#288023,#288024,#288025,#288026)); #53886=EDGE_LOOP('',(#288027,#288028,#288029,#288030)); #53887=EDGE_LOOP('',(#288031,#288032,#288033,#288034)); #53888=EDGE_LOOP('',(#288035,#288036,#288037,#288038)); #53889=EDGE_LOOP('',(#288039,#288040,#288041,#288042)); #53890=EDGE_LOOP('',(#288043,#288044,#288045,#288046)); #53891=EDGE_LOOP('',(#288047,#288048,#288049,#288050)); #53892=EDGE_LOOP('',(#288051,#288052,#288053,#288054)); #53893=EDGE_LOOP('',(#288055,#288056,#288057,#288058)); #53894=EDGE_LOOP('',(#288059,#288060,#288061,#288062)); #53895=EDGE_LOOP('',(#288063,#288064,#288065,#288066)); #53896=EDGE_LOOP('',(#288067,#288068,#288069,#288070)); #53897=EDGE_LOOP('',(#288071,#288072,#288073,#288074)); #53898=EDGE_LOOP('',(#288075,#288076,#288077,#288078)); #53899=EDGE_LOOP('',(#288079,#288080,#288081,#288082)); #53900=EDGE_LOOP('',(#288083,#288084,#288085,#288086)); #53901=EDGE_LOOP('',(#288087,#288088,#288089,#288090)); #53902=EDGE_LOOP('',(#288091,#288092,#288093,#288094)); #53903=EDGE_LOOP('',(#288095,#288096,#288097,#288098)); #53904=EDGE_LOOP('',(#288099,#288100,#288101,#288102)); #53905=EDGE_LOOP('',(#288103,#288104,#288105,#288106)); #53906=EDGE_LOOP('',(#288107,#288108,#288109,#288110)); #53907=EDGE_LOOP('',(#288111,#288112,#288113,#288114)); #53908=EDGE_LOOP('',(#288115,#288116,#288117,#288118)); #53909=EDGE_LOOP('',(#288119,#288120,#288121,#288122)); #53910=EDGE_LOOP('',(#288123,#288124,#288125,#288126)); #53911=EDGE_LOOP('',(#288127,#288128,#288129,#288130)); #53912=EDGE_LOOP('',(#288131,#288132,#288133,#288134)); #53913=EDGE_LOOP('',(#288135,#288136,#288137,#288138)); #53914=EDGE_LOOP('',(#288139,#288140,#288141,#288142)); #53915=EDGE_LOOP('',(#288143,#288144,#288145,#288146)); #53916=EDGE_LOOP('',(#288147,#288148,#288149,#288150)); #53917=EDGE_LOOP('',(#288151,#288152,#288153,#288154)); #53918=EDGE_LOOP('',(#288155,#288156,#288157,#288158)); #53919=EDGE_LOOP('',(#288159,#288160,#288161,#288162)); #53920=EDGE_LOOP('',(#288163,#288164,#288165,#288166)); #53921=EDGE_LOOP('',(#288167,#288168,#288169,#288170)); #53922=EDGE_LOOP('',(#288171,#288172,#288173,#288174)); #53923=EDGE_LOOP('',(#288175,#288176,#288177,#288178)); #53924=EDGE_LOOP('',(#288179,#288180,#288181,#288182)); #53925=EDGE_LOOP('',(#288183,#288184,#288185,#288186)); #53926=EDGE_LOOP('',(#288187,#288188,#288189,#288190)); #53927=EDGE_LOOP('',(#288191,#288192,#288193,#288194)); #53928=EDGE_LOOP('',(#288195,#288196,#288197,#288198)); #53929=EDGE_LOOP('',(#288199,#288200,#288201,#288202)); #53930=EDGE_LOOP('',(#288203,#288204,#288205,#288206)); #53931=EDGE_LOOP('',(#288207,#288208,#288209,#288210)); #53932=EDGE_LOOP('',(#288211,#288212,#288213,#288214)); #53933=EDGE_LOOP('',(#288215,#288216,#288217,#288218)); #53934=EDGE_LOOP('',(#288219,#288220,#288221,#288222)); #53935=EDGE_LOOP('',(#288223,#288224,#288225,#288226)); #53936=EDGE_LOOP('',(#288227,#288228,#288229,#288230)); #53937=EDGE_LOOP('',(#288231,#288232,#288233,#288234)); #53938=EDGE_LOOP('',(#288235,#288236,#288237,#288238)); #53939=EDGE_LOOP('',(#288239,#288240,#288241,#288242)); #53940=EDGE_LOOP('',(#288243,#288244,#288245,#288246)); #53941=EDGE_LOOP('',(#288247,#288248,#288249,#288250)); #53942=EDGE_LOOP('',(#288251,#288252,#288253,#288254)); #53943=EDGE_LOOP('',(#288255,#288256,#288257,#288258)); #53944=EDGE_LOOP('',(#288259,#288260,#288261,#288262)); #53945=EDGE_LOOP('',(#288263,#288264,#288265,#288266)); #53946=EDGE_LOOP('',(#288267,#288268,#288269,#288270)); #53947=EDGE_LOOP('',(#288271,#288272,#288273,#288274)); #53948=EDGE_LOOP('',(#288275,#288276,#288277,#288278)); #53949=EDGE_LOOP('',(#288279,#288280,#288281,#288282)); #53950=EDGE_LOOP('',(#288283,#288284,#288285,#288286)); #53951=EDGE_LOOP('',(#288287,#288288,#288289,#288290)); #53952=EDGE_LOOP('',(#288291,#288292,#288293,#288294)); #53953=EDGE_LOOP('',(#288295,#288296,#288297,#288298)); #53954=EDGE_LOOP('',(#288299,#288300,#288301,#288302)); #53955=EDGE_LOOP('',(#288303,#288304,#288305,#288306)); #53956=EDGE_LOOP('',(#288307,#288308,#288309,#288310)); #53957=EDGE_LOOP('',(#288311,#288312,#288313,#288314)); #53958=EDGE_LOOP('',(#288315,#288316,#288317,#288318)); #53959=EDGE_LOOP('',(#288319,#288320,#288321,#288322)); #53960=EDGE_LOOP('',(#288323,#288324,#288325,#288326)); #53961=EDGE_LOOP('',(#288327,#288328,#288329,#288330)); #53962=EDGE_LOOP('',(#288331,#288332,#288333,#288334)); #53963=EDGE_LOOP('',(#288335,#288336,#288337,#288338)); #53964=EDGE_LOOP('',(#288339,#288340,#288341,#288342)); #53965=EDGE_LOOP('',(#288343,#288344,#288345,#288346)); #53966=EDGE_LOOP('',(#288347,#288348,#288349,#288350)); #53967=EDGE_LOOP('',(#288351,#288352,#288353,#288354)); #53968=EDGE_LOOP('',(#288355,#288356,#288357,#288358)); #53969=EDGE_LOOP('',(#288359,#288360,#288361,#288362)); #53970=EDGE_LOOP('',(#288363,#288364,#288365,#288366)); #53971=EDGE_LOOP('',(#288367,#288368,#288369,#288370)); #53972=EDGE_LOOP('',(#288371,#288372,#288373,#288374)); #53973=EDGE_LOOP('',(#288375,#288376,#288377,#288378)); #53974=EDGE_LOOP('',(#288379,#288380,#288381,#288382)); #53975=EDGE_LOOP('',(#288383,#288384,#288385,#288386)); #53976=EDGE_LOOP('',(#288387,#288388,#288389,#288390)); #53977=EDGE_LOOP('',(#288391,#288392,#288393,#288394)); #53978=EDGE_LOOP('',(#288395,#288396,#288397,#288398)); #53979=EDGE_LOOP('',(#288399,#288400,#288401,#288402)); #53980=EDGE_LOOP('',(#288403,#288404,#288405,#288406)); #53981=EDGE_LOOP('',(#288407,#288408,#288409,#288410)); #53982=EDGE_LOOP('',(#288411,#288412,#288413,#288414)); #53983=EDGE_LOOP('',(#288415,#288416,#288417,#288418)); #53984=EDGE_LOOP('',(#288419,#288420,#288421,#288422)); #53985=EDGE_LOOP('',(#288423,#288424,#288425,#288426)); #53986=EDGE_LOOP('',(#288427,#288428,#288429,#288430)); #53987=EDGE_LOOP('',(#288431,#288432,#288433,#288434)); #53988=EDGE_LOOP('',(#288435,#288436,#288437,#288438)); #53989=EDGE_LOOP('',(#288439,#288440,#288441,#288442)); #53990=EDGE_LOOP('',(#288443,#288444,#288445,#288446)); #53991=EDGE_LOOP('',(#288447,#288448,#288449,#288450)); #53992=EDGE_LOOP('',(#288451,#288452,#288453,#288454)); #53993=EDGE_LOOP('',(#288455,#288456,#288457,#288458)); #53994=EDGE_LOOP('',(#288459,#288460,#288461,#288462)); #53995=EDGE_LOOP('',(#288463,#288464,#288465,#288466)); #53996=EDGE_LOOP('',(#288467,#288468,#288469,#288470)); #53997=EDGE_LOOP('',(#288471,#288472,#288473,#288474)); #53998=EDGE_LOOP('',(#288475,#288476,#288477,#288478)); #53999=EDGE_LOOP('',(#288479,#288480,#288481,#288482)); #54000=EDGE_LOOP('',(#288483,#288484,#288485,#288486)); #54001=EDGE_LOOP('',(#288487,#288488,#288489,#288490)); #54002=EDGE_LOOP('',(#288491,#288492,#288493,#288494)); #54003=EDGE_LOOP('',(#288495,#288496,#288497,#288498)); #54004=EDGE_LOOP('',(#288499,#288500,#288501,#288502)); #54005=EDGE_LOOP('',(#288503,#288504,#288505,#288506)); #54006=EDGE_LOOP('',(#288507,#288508,#288509,#288510)); #54007=EDGE_LOOP('',(#288511,#288512,#288513,#288514)); #54008=EDGE_LOOP('',(#288515,#288516,#288517,#288518)); #54009=EDGE_LOOP('',(#288519,#288520,#288521,#288522)); #54010=EDGE_LOOP('',(#288523,#288524,#288525,#288526)); #54011=EDGE_LOOP('',(#288527,#288528,#288529,#288530)); #54012=EDGE_LOOP('',(#288531,#288532,#288533,#288534)); #54013=EDGE_LOOP('',(#288535,#288536,#288537,#288538)); #54014=EDGE_LOOP('',(#288539,#288540,#288541,#288542)); #54015=EDGE_LOOP('',(#288543,#288544,#288545,#288546)); #54016=EDGE_LOOP('',(#288547,#288548,#288549,#288550)); #54017=EDGE_LOOP('',(#288551,#288552,#288553,#288554)); #54018=EDGE_LOOP('',(#288555,#288556,#288557,#288558)); #54019=EDGE_LOOP('',(#288559,#288560,#288561,#288562)); #54020=EDGE_LOOP('',(#288563,#288564,#288565,#288566)); #54021=EDGE_LOOP('',(#288567,#288568,#288569,#288570)); #54022=EDGE_LOOP('',(#288571,#288572,#288573,#288574)); #54023=EDGE_LOOP('',(#288575,#288576,#288577,#288578)); #54024=EDGE_LOOP('',(#288579,#288580,#288581,#288582)); #54025=EDGE_LOOP('',(#288583,#288584,#288585,#288586)); #54026=EDGE_LOOP('',(#288587,#288588,#288589,#288590)); #54027=EDGE_LOOP('',(#288591,#288592,#288593,#288594)); #54028=EDGE_LOOP('',(#288595,#288596,#288597,#288598)); #54029=EDGE_LOOP('',(#288599,#288600,#288601,#288602)); #54030=EDGE_LOOP('',(#288603,#288604,#288605,#288606)); #54031=EDGE_LOOP('',(#288607,#288608,#288609,#288610)); #54032=EDGE_LOOP('',(#288611,#288612,#288613,#288614)); #54033=EDGE_LOOP('',(#288615,#288616,#288617,#288618)); #54034=EDGE_LOOP('',(#288619,#288620,#288621,#288622)); #54035=EDGE_LOOP('',(#288623,#288624,#288625,#288626)); #54036=EDGE_LOOP('',(#288627,#288628,#288629,#288630)); #54037=EDGE_LOOP('',(#288631,#288632,#288633,#288634)); #54038=EDGE_LOOP('',(#288635,#288636,#288637,#288638)); #54039=EDGE_LOOP('',(#288639,#288640,#288641,#288642)); #54040=EDGE_LOOP('',(#288643,#288644,#288645,#288646)); #54041=EDGE_LOOP('',(#288647,#288648,#288649,#288650)); #54042=EDGE_LOOP('',(#288651,#288652,#288653,#288654)); #54043=EDGE_LOOP('',(#288655,#288656,#288657,#288658)); #54044=EDGE_LOOP('',(#288659,#288660,#288661,#288662)); #54045=EDGE_LOOP('',(#288663,#288664,#288665,#288666)); #54046=EDGE_LOOP('',(#288667,#288668,#288669,#288670)); #54047=EDGE_LOOP('',(#288671,#288672,#288673,#288674)); #54048=EDGE_LOOP('',(#288675,#288676,#288677,#288678)); #54049=EDGE_LOOP('',(#288679,#288680,#288681,#288682)); #54050=EDGE_LOOP('',(#288683,#288684,#288685,#288686)); #54051=EDGE_LOOP('',(#288687,#288688,#288689,#288690)); #54052=EDGE_LOOP('',(#288691,#288692,#288693,#288694)); #54053=EDGE_LOOP('',(#288695,#288696,#288697,#288698)); #54054=EDGE_LOOP('',(#288699,#288700,#288701,#288702)); #54055=EDGE_LOOP('',(#288703,#288704,#288705,#288706)); #54056=EDGE_LOOP('',(#288707,#288708,#288709,#288710)); #54057=EDGE_LOOP('',(#288711,#288712,#288713,#288714)); #54058=EDGE_LOOP('',(#288715,#288716,#288717,#288718)); #54059=EDGE_LOOP('',(#288719,#288720,#288721,#288722)); #54060=EDGE_LOOP('',(#288723,#288724,#288725,#288726)); #54061=EDGE_LOOP('',(#288727,#288728,#288729,#288730)); #54062=EDGE_LOOP('',(#288731,#288732,#288733,#288734)); #54063=EDGE_LOOP('',(#288735,#288736,#288737,#288738)); #54064=EDGE_LOOP('',(#288739,#288740,#288741,#288742)); #54065=EDGE_LOOP('',(#288743,#288744,#288745,#288746)); #54066=EDGE_LOOP('',(#288747,#288748,#288749,#288750)); #54067=EDGE_LOOP('',(#288751,#288752,#288753,#288754)); #54068=EDGE_LOOP('',(#288755,#288756,#288757,#288758)); #54069=EDGE_LOOP('',(#288759,#288760,#288761,#288762)); #54070=EDGE_LOOP('',(#288763,#288764,#288765,#288766)); #54071=EDGE_LOOP('',(#288767,#288768,#288769,#288770)); #54072=EDGE_LOOP('',(#288771,#288772,#288773,#288774)); #54073=EDGE_LOOP('',(#288775,#288776,#288777,#288778)); #54074=EDGE_LOOP('',(#288779,#288780,#288781,#288782)); #54075=EDGE_LOOP('',(#288783,#288784,#288785,#288786)); #54076=EDGE_LOOP('',(#288787,#288788,#288789,#288790)); #54077=EDGE_LOOP('',(#288791,#288792,#288793,#288794)); #54078=EDGE_LOOP('',(#288795,#288796,#288797,#288798)); #54079=EDGE_LOOP('',(#288799,#288800,#288801,#288802)); #54080=EDGE_LOOP('',(#288803,#288804,#288805,#288806)); #54081=EDGE_LOOP('',(#288807,#288808,#288809,#288810)); #54082=EDGE_LOOP('',(#288811,#288812,#288813,#288814)); #54083=EDGE_LOOP('',(#288815,#288816,#288817,#288818)); #54084=EDGE_LOOP('',(#288819,#288820,#288821,#288822)); #54085=EDGE_LOOP('',(#288823,#288824,#288825,#288826)); #54086=EDGE_LOOP('',(#288827,#288828,#288829,#288830)); #54087=EDGE_LOOP('',(#288831,#288832,#288833,#288834)); #54088=EDGE_LOOP('',(#288835,#288836,#288837,#288838)); #54089=EDGE_LOOP('',(#288839,#288840,#288841,#288842)); #54090=EDGE_LOOP('',(#288843,#288844,#288845,#288846)); #54091=EDGE_LOOP('',(#288847,#288848,#288849,#288850)); #54092=EDGE_LOOP('',(#288851,#288852,#288853,#288854)); #54093=EDGE_LOOP('',(#288855,#288856,#288857,#288858)); #54094=EDGE_LOOP('',(#288859,#288860,#288861,#288862)); #54095=EDGE_LOOP('',(#288863,#288864,#288865,#288866)); #54096=EDGE_LOOP('',(#288867,#288868,#288869,#288870)); #54097=EDGE_LOOP('',(#288871,#288872,#288873,#288874)); #54098=EDGE_LOOP('',(#288875,#288876,#288877,#288878)); #54099=EDGE_LOOP('',(#288879,#288880,#288881,#288882)); #54100=EDGE_LOOP('',(#288883,#288884,#288885,#288886)); #54101=EDGE_LOOP('',(#288887,#288888,#288889,#288890)); #54102=EDGE_LOOP('',(#288891,#288892,#288893,#288894)); #54103=EDGE_LOOP('',(#288895,#288896,#288897,#288898)); #54104=EDGE_LOOP('',(#288899,#288900,#288901,#288902)); #54105=EDGE_LOOP('',(#288903,#288904,#288905,#288906)); #54106=EDGE_LOOP('',(#288907,#288908,#288909,#288910)); #54107=EDGE_LOOP('',(#288911,#288912,#288913,#288914)); #54108=EDGE_LOOP('',(#288915,#288916,#288917,#288918)); #54109=EDGE_LOOP('',(#288919,#288920,#288921,#288922)); #54110=EDGE_LOOP('',(#288923,#288924,#288925,#288926)); #54111=EDGE_LOOP('',(#288927,#288928,#288929,#288930)); #54112=EDGE_LOOP('',(#288931,#288932,#288933,#288934)); #54113=EDGE_LOOP('',(#288935,#288936,#288937,#288938)); #54114=EDGE_LOOP('',(#288939,#288940,#288941,#288942)); #54115=EDGE_LOOP('',(#288943,#288944,#288945,#288946)); #54116=EDGE_LOOP('',(#288947,#288948,#288949,#288950)); #54117=EDGE_LOOP('',(#288951,#288952,#288953,#288954)); #54118=EDGE_LOOP('',(#288955,#288956,#288957,#288958)); #54119=EDGE_LOOP('',(#288959,#288960,#288961,#288962)); #54120=EDGE_LOOP('',(#288963,#288964,#288965,#288966)); #54121=EDGE_LOOP('',(#288967,#288968,#288969,#288970)); #54122=EDGE_LOOP('',(#288971,#288972,#288973,#288974)); #54123=EDGE_LOOP('',(#288975,#288976,#288977,#288978)); #54124=EDGE_LOOP('',(#288979,#288980,#288981,#288982)); #54125=EDGE_LOOP('',(#288983,#288984,#288985,#288986)); #54126=EDGE_LOOP('',(#288987,#288988,#288989,#288990)); #54127=EDGE_LOOP('',(#288991,#288992,#288993,#288994)); #54128=EDGE_LOOP('',(#288995,#288996,#288997,#288998)); #54129=EDGE_LOOP('',(#288999,#289000,#289001,#289002)); #54130=EDGE_LOOP('',(#289003,#289004,#289005,#289006)); #54131=EDGE_LOOP('',(#289007,#289008,#289009,#289010)); #54132=EDGE_LOOP('',(#289011,#289012,#289013,#289014)); #54133=EDGE_LOOP('',(#289015,#289016,#289017,#289018)); #54134=EDGE_LOOP('',(#289019,#289020,#289021,#289022)); #54135=EDGE_LOOP('',(#289023,#289024,#289025,#289026)); #54136=EDGE_LOOP('',(#289027,#289028,#289029,#289030)); #54137=EDGE_LOOP('',(#289031,#289032,#289033,#289034)); #54138=EDGE_LOOP('',(#289035,#289036,#289037,#289038)); #54139=EDGE_LOOP('',(#289039,#289040,#289041,#289042)); #54140=EDGE_LOOP('',(#289043,#289044,#289045,#289046)); #54141=EDGE_LOOP('',(#289047,#289048,#289049,#289050)); #54142=EDGE_LOOP('',(#289051,#289052,#289053,#289054)); #54143=EDGE_LOOP('',(#289055,#289056,#289057,#289058)); #54144=EDGE_LOOP('',(#289059,#289060,#289061,#289062)); #54145=EDGE_LOOP('',(#289063,#289064,#289065,#289066)); #54146=EDGE_LOOP('',(#289067,#289068,#289069,#289070)); #54147=EDGE_LOOP('',(#289071,#289072,#289073,#289074)); #54148=EDGE_LOOP('',(#289075,#289076,#289077,#289078)); #54149=EDGE_LOOP('',(#289079,#289080,#289081,#289082)); #54150=EDGE_LOOP('',(#289083,#289084,#289085,#289086)); #54151=EDGE_LOOP('',(#289087,#289088,#289089,#289090)); #54152=EDGE_LOOP('',(#289091,#289092,#289093,#289094)); #54153=EDGE_LOOP('',(#289095,#289096,#289097,#289098)); #54154=EDGE_LOOP('',(#289099,#289100,#289101,#289102)); #54155=EDGE_LOOP('',(#289103,#289104,#289105,#289106)); #54156=EDGE_LOOP('',(#289107,#289108,#289109,#289110)); #54157=EDGE_LOOP('',(#289111,#289112,#289113,#289114)); #54158=EDGE_LOOP('',(#289115,#289116,#289117,#289118)); #54159=EDGE_LOOP('',(#289119,#289120,#289121,#289122)); #54160=EDGE_LOOP('',(#289123,#289124,#289125,#289126)); #54161=EDGE_LOOP('',(#289127,#289128,#289129,#289130)); #54162=EDGE_LOOP('',(#289131,#289132,#289133,#289134)); #54163=EDGE_LOOP('',(#289135,#289136,#289137,#289138)); #54164=EDGE_LOOP('',(#289139,#289140,#289141,#289142)); #54165=EDGE_LOOP('',(#289143,#289144,#289145,#289146)); #54166=EDGE_LOOP('',(#289147,#289148,#289149,#289150)); #54167=EDGE_LOOP('',(#289151,#289152,#289153,#289154)); #54168=EDGE_LOOP('',(#289155,#289156,#289157,#289158)); #54169=EDGE_LOOP('',(#289159,#289160,#289161,#289162)); #54170=EDGE_LOOP('',(#289163,#289164,#289165,#289166)); #54171=EDGE_LOOP('',(#289167,#289168,#289169,#289170)); #54172=EDGE_LOOP('',(#289171,#289172,#289173,#289174)); #54173=EDGE_LOOP('',(#289175,#289176,#289177,#289178)); #54174=EDGE_LOOP('',(#289179,#289180,#289181,#289182)); #54175=EDGE_LOOP('',(#289183,#289184,#289185,#289186)); #54176=EDGE_LOOP('',(#289187,#289188,#289189,#289190)); #54177=EDGE_LOOP('',(#289191,#289192,#289193,#289194)); #54178=EDGE_LOOP('',(#289195,#289196,#289197,#289198)); #54179=EDGE_LOOP('',(#289199,#289200,#289201,#289202)); #54180=EDGE_LOOP('',(#289203,#289204,#289205,#289206)); #54181=EDGE_LOOP('',(#289207,#289208,#289209,#289210)); #54182=EDGE_LOOP('',(#289211,#289212,#289213,#289214)); #54183=EDGE_LOOP('',(#289215,#289216,#289217,#289218)); #54184=EDGE_LOOP('',(#289219,#289220,#289221,#289222)); #54185=EDGE_LOOP('',(#289223,#289224,#289225,#289226)); #54186=EDGE_LOOP('',(#289227,#289228,#289229,#289230)); #54187=EDGE_LOOP('',(#289231,#289232,#289233,#289234)); #54188=EDGE_LOOP('',(#289235,#289236,#289237,#289238)); #54189=EDGE_LOOP('',(#289239,#289240,#289241,#289242)); #54190=EDGE_LOOP('',(#289243,#289244,#289245,#289246)); #54191=EDGE_LOOP('',(#289247,#289248,#289249,#289250)); #54192=EDGE_LOOP('',(#289251,#289252,#289253,#289254)); #54193=EDGE_LOOP('',(#289255,#289256,#289257,#289258)); #54194=EDGE_LOOP('',(#289259,#289260,#289261,#289262)); #54195=EDGE_LOOP('',(#289263,#289264,#289265,#289266)); #54196=EDGE_LOOP('',(#289267,#289268,#289269,#289270)); #54197=EDGE_LOOP('',(#289271,#289272,#289273,#289274)); #54198=EDGE_LOOP('',(#289275,#289276,#289277,#289278)); #54199=EDGE_LOOP('',(#289279,#289280,#289281,#289282)); #54200=EDGE_LOOP('',(#289283,#289284,#289285,#289286)); #54201=EDGE_LOOP('',(#289287,#289288,#289289,#289290)); #54202=EDGE_LOOP('',(#289291,#289292,#289293,#289294)); #54203=EDGE_LOOP('',(#289295,#289296,#289297,#289298)); #54204=EDGE_LOOP('',(#289299,#289300,#289301,#289302)); #54205=EDGE_LOOP('',(#289303,#289304,#289305,#289306)); #54206=EDGE_LOOP('',(#289307,#289308,#289309,#289310)); #54207=EDGE_LOOP('',(#289311,#289312,#289313,#289314)); #54208=EDGE_LOOP('',(#289315,#289316,#289317,#289318)); #54209=EDGE_LOOP('',(#289319,#289320,#289321,#289322)); #54210=EDGE_LOOP('',(#289323,#289324,#289325,#289326)); #54211=EDGE_LOOP('',(#289327,#289328,#289329,#289330)); #54212=EDGE_LOOP('',(#289331,#289332,#289333,#289334)); #54213=EDGE_LOOP('',(#289335,#289336,#289337,#289338)); #54214=EDGE_LOOP('',(#289339,#289340,#289341,#289342)); #54215=EDGE_LOOP('',(#289343,#289344,#289345,#289346)); #54216=EDGE_LOOP('',(#289347,#289348,#289349,#289350)); #54217=EDGE_LOOP('',(#289351,#289352,#289353,#289354)); #54218=EDGE_LOOP('',(#289355,#289356,#289357,#289358)); #54219=EDGE_LOOP('',(#289359,#289360,#289361,#289362)); #54220=EDGE_LOOP('',(#289363,#289364,#289365,#289366)); #54221=EDGE_LOOP('',(#289367,#289368,#289369,#289370)); #54222=EDGE_LOOP('',(#289371,#289372,#289373,#289374)); #54223=EDGE_LOOP('',(#289375,#289376,#289377,#289378)); #54224=EDGE_LOOP('',(#289379,#289380,#289381,#289382)); #54225=EDGE_LOOP('',(#289383,#289384,#289385,#289386)); #54226=EDGE_LOOP('',(#289387,#289388,#289389,#289390)); #54227=EDGE_LOOP('',(#289391,#289392,#289393,#289394)); #54228=EDGE_LOOP('',(#289395,#289396,#289397,#289398)); #54229=EDGE_LOOP('',(#289399,#289400,#289401,#289402)); #54230=EDGE_LOOP('',(#289403,#289404,#289405,#289406)); #54231=EDGE_LOOP('',(#289407,#289408,#289409,#289410)); #54232=EDGE_LOOP('',(#289411,#289412,#289413,#289414)); #54233=EDGE_LOOP('',(#289415,#289416,#289417,#289418)); #54234=EDGE_LOOP('',(#289419,#289420,#289421,#289422)); #54235=EDGE_LOOP('',(#289423,#289424,#289425,#289426)); #54236=EDGE_LOOP('',(#289427,#289428,#289429,#289430)); #54237=EDGE_LOOP('',(#289431,#289432,#289433,#289434)); #54238=EDGE_LOOP('',(#289435,#289436,#289437,#289438)); #54239=EDGE_LOOP('',(#289439,#289440,#289441,#289442)); #54240=EDGE_LOOP('',(#289443,#289444,#289445,#289446)); #54241=EDGE_LOOP('',(#289447,#289448,#289449,#289450)); #54242=EDGE_LOOP('',(#289451,#289452,#289453,#289454)); #54243=EDGE_LOOP('',(#289455,#289456,#289457,#289458)); #54244=EDGE_LOOP('',(#289459,#289460,#289461,#289462)); #54245=EDGE_LOOP('',(#289463,#289464,#289465,#289466)); #54246=EDGE_LOOP('',(#289467,#289468,#289469,#289470)); #54247=EDGE_LOOP('',(#289471,#289472,#289473,#289474)); #54248=EDGE_LOOP('',(#289475,#289476,#289477,#289478)); #54249=EDGE_LOOP('',(#289479,#289480,#289481,#289482)); #54250=EDGE_LOOP('',(#289483,#289484,#289485,#289486)); #54251=EDGE_LOOP('',(#289487,#289488,#289489,#289490)); #54252=EDGE_LOOP('',(#289491,#289492,#289493,#289494)); #54253=EDGE_LOOP('',(#289495,#289496,#289497,#289498)); #54254=EDGE_LOOP('',(#289499,#289500,#289501,#289502)); #54255=EDGE_LOOP('',(#289503,#289504,#289505,#289506)); #54256=EDGE_LOOP('',(#289507,#289508,#289509,#289510)); #54257=EDGE_LOOP('',(#289511,#289512,#289513,#289514)); #54258=EDGE_LOOP('',(#289515,#289516,#289517,#289518)); #54259=EDGE_LOOP('',(#289519,#289520,#289521,#289522)); #54260=EDGE_LOOP('',(#289523,#289524,#289525,#289526)); #54261=EDGE_LOOP('',(#289527,#289528,#289529,#289530)); #54262=EDGE_LOOP('',(#289531,#289532,#289533,#289534)); #54263=EDGE_LOOP('',(#289535,#289536,#289537,#289538)); #54264=EDGE_LOOP('',(#289539,#289540,#289541,#289542)); #54265=EDGE_LOOP('',(#289543,#289544,#289545,#289546)); #54266=EDGE_LOOP('',(#289547,#289548,#289549,#289550)); #54267=EDGE_LOOP('',(#289551,#289552,#289553,#289554)); #54268=EDGE_LOOP('',(#289555,#289556,#289557,#289558)); #54269=EDGE_LOOP('',(#289559,#289560,#289561,#289562)); #54270=EDGE_LOOP('',(#289563,#289564,#289565,#289566)); #54271=EDGE_LOOP('',(#289567,#289568,#289569,#289570)); #54272=EDGE_LOOP('',(#289571,#289572,#289573,#289574)); #54273=EDGE_LOOP('',(#289575,#289576,#289577,#289578)); #54274=EDGE_LOOP('',(#289579,#289580,#289581,#289582)); #54275=EDGE_LOOP('',(#289583,#289584,#289585,#289586)); #54276=EDGE_LOOP('',(#289587,#289588,#289589,#289590)); #54277=EDGE_LOOP('',(#289591,#289592,#289593,#289594)); #54278=EDGE_LOOP('',(#289595,#289596,#289597,#289598)); #54279=EDGE_LOOP('',(#289599,#289600,#289601,#289602)); #54280=EDGE_LOOP('',(#289603,#289604,#289605,#289606)); #54281=EDGE_LOOP('',(#289607,#289608,#289609,#289610)); #54282=EDGE_LOOP('',(#289611,#289612,#289613,#289614)); #54283=EDGE_LOOP('',(#289615,#289616,#289617,#289618)); #54284=EDGE_LOOP('',(#289619,#289620,#289621,#289622)); #54285=EDGE_LOOP('',(#289623,#289624,#289625,#289626)); #54286=EDGE_LOOP('',(#289627,#289628,#289629,#289630)); #54287=EDGE_LOOP('',(#289631,#289632,#289633,#289634)); #54288=EDGE_LOOP('',(#289635,#289636,#289637,#289638)); #54289=EDGE_LOOP('',(#289639,#289640,#289641,#289642)); #54290=EDGE_LOOP('',(#289643,#289644,#289645,#289646)); #54291=EDGE_LOOP('',(#289647,#289648,#289649,#289650)); #54292=EDGE_LOOP('',(#289651,#289652,#289653,#289654)); #54293=EDGE_LOOP('',(#289655,#289656,#289657,#289658)); #54294=EDGE_LOOP('',(#289659,#289660,#289661,#289662)); #54295=EDGE_LOOP('',(#289663,#289664,#289665,#289666)); #54296=EDGE_LOOP('',(#289667,#289668,#289669,#289670)); #54297=EDGE_LOOP('',(#289671,#289672,#289673,#289674)); #54298=EDGE_LOOP('',(#289675,#289676,#289677,#289678)); #54299=EDGE_LOOP('',(#289679,#289680,#289681,#289682)); #54300=EDGE_LOOP('',(#289683,#289684,#289685,#289686)); #54301=EDGE_LOOP('',(#289687,#289688,#289689,#289690)); #54302=EDGE_LOOP('',(#289691,#289692,#289693,#289694)); #54303=EDGE_LOOP('',(#289695,#289696,#289697,#289698)); #54304=EDGE_LOOP('',(#289699,#289700,#289701,#289702)); #54305=EDGE_LOOP('',(#289703,#289704,#289705,#289706)); #54306=EDGE_LOOP('',(#289707,#289708,#289709,#289710)); #54307=EDGE_LOOP('',(#289711,#289712,#289713,#289714)); #54308=EDGE_LOOP('',(#289715,#289716,#289717,#289718)); #54309=EDGE_LOOP('',(#289719,#289720,#289721,#289722)); #54310=EDGE_LOOP('',(#289723,#289724,#289725,#289726)); #54311=EDGE_LOOP('',(#289727,#289728,#289729,#289730)); #54312=EDGE_LOOP('',(#289731,#289732,#289733,#289734)); #54313=EDGE_LOOP('',(#289735,#289736,#289737,#289738)); #54314=EDGE_LOOP('',(#289739,#289740,#289741,#289742)); #54315=EDGE_LOOP('',(#289743,#289744,#289745,#289746)); #54316=EDGE_LOOP('',(#289747,#289748,#289749,#289750)); #54317=EDGE_LOOP('',(#289751,#289752,#289753,#289754)); #54318=EDGE_LOOP('',(#289755,#289756,#289757,#289758)); #54319=EDGE_LOOP('',(#289759,#289760,#289761,#289762)); #54320=EDGE_LOOP('',(#289763,#289764,#289765,#289766)); #54321=EDGE_LOOP('',(#289767,#289768,#289769,#289770)); #54322=EDGE_LOOP('',(#289771,#289772,#289773,#289774)); #54323=EDGE_LOOP('',(#289775,#289776,#289777,#289778)); #54324=EDGE_LOOP('',(#289779,#289780,#289781,#289782)); #54325=EDGE_LOOP('',(#289783,#289784,#289785,#289786)); #54326=EDGE_LOOP('',(#289787,#289788,#289789,#289790)); #54327=EDGE_LOOP('',(#289791,#289792,#289793,#289794)); #54328=EDGE_LOOP('',(#289795,#289796,#289797,#289798)); #54329=EDGE_LOOP('',(#289799,#289800,#289801,#289802)); #54330=EDGE_LOOP('',(#289803,#289804,#289805,#289806)); #54331=EDGE_LOOP('',(#289807,#289808,#289809,#289810)); #54332=EDGE_LOOP('',(#289811,#289812,#289813,#289814)); #54333=EDGE_LOOP('',(#289815,#289816,#289817,#289818)); #54334=EDGE_LOOP('',(#289819,#289820,#289821,#289822)); #54335=EDGE_LOOP('',(#289823,#289824,#289825,#289826)); #54336=EDGE_LOOP('',(#289827,#289828,#289829,#289830)); #54337=EDGE_LOOP('',(#289831,#289832,#289833,#289834)); #54338=EDGE_LOOP('',(#289835,#289836,#289837,#289838)); #54339=EDGE_LOOP('',(#289839,#289840,#289841,#289842)); #54340=EDGE_LOOP('',(#289843,#289844,#289845,#289846)); #54341=EDGE_LOOP('',(#289847,#289848,#289849,#289850)); #54342=EDGE_LOOP('',(#289851,#289852,#289853,#289854)); #54343=EDGE_LOOP('',(#289855,#289856,#289857,#289858)); #54344=EDGE_LOOP('',(#289859,#289860,#289861,#289862)); #54345=EDGE_LOOP('',(#289863,#289864,#289865,#289866)); #54346=EDGE_LOOP('',(#289867,#289868,#289869,#289870)); #54347=EDGE_LOOP('',(#289871,#289872,#289873,#289874)); #54348=EDGE_LOOP('',(#289875,#289876,#289877,#289878)); #54349=EDGE_LOOP('',(#289879,#289880,#289881,#289882)); #54350=EDGE_LOOP('',(#289883,#289884,#289885,#289886)); #54351=EDGE_LOOP('',(#289887,#289888,#289889,#289890)); #54352=EDGE_LOOP('',(#289891,#289892,#289893,#289894)); #54353=EDGE_LOOP('',(#289895,#289896,#289897,#289898)); #54354=EDGE_LOOP('',(#289899,#289900,#289901,#289902)); #54355=EDGE_LOOP('',(#289903,#289904,#289905,#289906)); #54356=EDGE_LOOP('',(#289907,#289908,#289909,#289910)); #54357=EDGE_LOOP('',(#289911,#289912,#289913,#289914)); #54358=EDGE_LOOP('',(#289915,#289916,#289917,#289918)); #54359=EDGE_LOOP('',(#289919,#289920,#289921,#289922)); #54360=EDGE_LOOP('',(#289923,#289924,#289925,#289926)); #54361=EDGE_LOOP('',(#289927,#289928,#289929,#289930)); #54362=EDGE_LOOP('',(#289931,#289932,#289933,#289934)); #54363=EDGE_LOOP('',(#289935,#289936,#289937,#289938)); #54364=EDGE_LOOP('',(#289939,#289940,#289941,#289942)); #54365=EDGE_LOOP('',(#289943,#289944,#289945,#289946)); #54366=EDGE_LOOP('',(#289947,#289948,#289949,#289950)); #54367=EDGE_LOOP('',(#289951,#289952,#289953,#289954)); #54368=EDGE_LOOP('',(#289955,#289956,#289957,#289958)); #54369=EDGE_LOOP('',(#289959,#289960,#289961,#289962)); #54370=EDGE_LOOP('',(#289963,#289964,#289965,#289966)); #54371=EDGE_LOOP('',(#289967,#289968,#289969,#289970)); #54372=EDGE_LOOP('',(#289971,#289972,#289973,#289974)); #54373=EDGE_LOOP('',(#289975,#289976,#289977,#289978)); #54374=EDGE_LOOP('',(#289979,#289980,#289981,#289982)); #54375=EDGE_LOOP('',(#289983,#289984,#289985,#289986)); #54376=EDGE_LOOP('',(#289987,#289988,#289989,#289990)); #54377=EDGE_LOOP('',(#289991,#289992,#289993,#289994)); #54378=EDGE_LOOP('',(#289995,#289996,#289997,#289998)); #54379=EDGE_LOOP('',(#289999,#290000,#290001,#290002)); #54380=EDGE_LOOP('',(#290003,#290004,#290005,#290006)); #54381=EDGE_LOOP('',(#290007,#290008,#290009,#290010)); #54382=EDGE_LOOP('',(#290011,#290012,#290013,#290014)); #54383=EDGE_LOOP('',(#290015,#290016,#290017,#290018)); #54384=EDGE_LOOP('',(#290019,#290020,#290021,#290022)); #54385=EDGE_LOOP('',(#290023,#290024,#290025,#290026)); #54386=EDGE_LOOP('',(#290027,#290028,#290029,#290030)); #54387=EDGE_LOOP('',(#290031,#290032,#290033,#290034)); #54388=EDGE_LOOP('',(#290035,#290036,#290037,#290038)); #54389=EDGE_LOOP('',(#290039,#290040,#290041,#290042)); #54390=EDGE_LOOP('',(#290043,#290044,#290045,#290046)); #54391=EDGE_LOOP('',(#290047,#290048,#290049,#290050)); #54392=EDGE_LOOP('',(#290051,#290052,#290053,#290054)); #54393=EDGE_LOOP('',(#290055,#290056,#290057,#290058)); #54394=EDGE_LOOP('',(#290059,#290060,#290061,#290062)); #54395=EDGE_LOOP('',(#290063,#290064,#290065,#290066)); #54396=EDGE_LOOP('',(#290067,#290068,#290069,#290070)); #54397=EDGE_LOOP('',(#290071,#290072,#290073,#290074)); #54398=EDGE_LOOP('',(#290075,#290076,#290077,#290078)); #54399=EDGE_LOOP('',(#290079,#290080,#290081,#290082)); #54400=EDGE_LOOP('',(#290083,#290084,#290085,#290086)); #54401=EDGE_LOOP('',(#290087,#290088,#290089,#290090)); #54402=EDGE_LOOP('',(#290091,#290092,#290093,#290094)); #54403=EDGE_LOOP('',(#290095,#290096,#290097,#290098)); #54404=EDGE_LOOP('',(#290099,#290100,#290101,#290102)); #54405=EDGE_LOOP('',(#290103,#290104,#290105,#290106)); #54406=EDGE_LOOP('',(#290107,#290108,#290109,#290110)); #54407=EDGE_LOOP('',(#290111,#290112,#290113,#290114)); #54408=EDGE_LOOP('',(#290115,#290116,#290117,#290118)); #54409=EDGE_LOOP('',(#290119,#290120,#290121,#290122)); #54410=EDGE_LOOP('',(#290123,#290124,#290125,#290126)); #54411=EDGE_LOOP('',(#290127,#290128,#290129,#290130)); #54412=EDGE_LOOP('',(#290131,#290132,#290133,#290134)); #54413=EDGE_LOOP('',(#290135,#290136,#290137,#290138)); #54414=EDGE_LOOP('',(#290139,#290140,#290141,#290142)); #54415=EDGE_LOOP('',(#290143,#290144,#290145,#290146)); #54416=EDGE_LOOP('',(#290147,#290148,#290149,#290150)); #54417=EDGE_LOOP('',(#290151,#290152,#290153,#290154)); #54418=EDGE_LOOP('',(#290155,#290156,#290157,#290158)); #54419=EDGE_LOOP('',(#290159,#290160,#290161,#290162)); #54420=EDGE_LOOP('',(#290163,#290164,#290165,#290166)); #54421=EDGE_LOOP('',(#290167,#290168,#290169,#290170)); #54422=EDGE_LOOP('',(#290171,#290172,#290173,#290174)); #54423=EDGE_LOOP('',(#290175,#290176,#290177,#290178)); #54424=EDGE_LOOP('',(#290179,#290180,#290181,#290182)); #54425=EDGE_LOOP('',(#290183,#290184,#290185,#290186)); #54426=EDGE_LOOP('',(#290187,#290188,#290189,#290190)); #54427=EDGE_LOOP('',(#290191,#290192,#290193,#290194)); #54428=EDGE_LOOP('',(#290195,#290196,#290197,#290198)); #54429=EDGE_LOOP('',(#290199,#290200,#290201,#290202)); #54430=EDGE_LOOP('',(#290203,#290204,#290205,#290206)); #54431=EDGE_LOOP('',(#290207,#290208,#290209,#290210)); #54432=EDGE_LOOP('',(#290211,#290212,#290213,#290214)); #54433=EDGE_LOOP('',(#290215,#290216,#290217,#290218)); #54434=EDGE_LOOP('',(#290219,#290220,#290221,#290222)); #54435=EDGE_LOOP('',(#290223,#290224,#290225,#290226)); #54436=EDGE_LOOP('',(#290227,#290228,#290229,#290230)); #54437=EDGE_LOOP('',(#290231,#290232,#290233,#290234)); #54438=EDGE_LOOP('',(#290235,#290236,#290237,#290238)); #54439=EDGE_LOOP('',(#290239,#290240,#290241,#290242)); #54440=EDGE_LOOP('',(#290243,#290244,#290245,#290246)); #54441=EDGE_LOOP('',(#290247,#290248,#290249,#290250)); #54442=EDGE_LOOP('',(#290251,#290252,#290253,#290254)); #54443=EDGE_LOOP('',(#290255,#290256,#290257,#290258)); #54444=EDGE_LOOP('',(#290259,#290260,#290261,#290262)); #54445=EDGE_LOOP('',(#290263,#290264,#290265,#290266)); #54446=EDGE_LOOP('',(#290267,#290268,#290269,#290270)); #54447=EDGE_LOOP('',(#290271,#290272,#290273,#290274)); #54448=EDGE_LOOP('',(#290275,#290276,#290277,#290278)); #54449=EDGE_LOOP('',(#290279,#290280,#290281,#290282)); #54450=EDGE_LOOP('',(#290283,#290284,#290285,#290286)); #54451=EDGE_LOOP('',(#290287,#290288,#290289,#290290)); #54452=EDGE_LOOP('',(#290291,#290292,#290293,#290294)); #54453=EDGE_LOOP('',(#290295,#290296,#290297,#290298)); #54454=EDGE_LOOP('',(#290299,#290300,#290301,#290302)); #54455=EDGE_LOOP('',(#290303,#290304,#290305,#290306)); #54456=EDGE_LOOP('',(#290307,#290308,#290309,#290310)); #54457=EDGE_LOOP('',(#290311,#290312,#290313,#290314)); #54458=EDGE_LOOP('',(#290315,#290316,#290317,#290318)); #54459=EDGE_LOOP('',(#290319,#290320,#290321,#290322)); #54460=EDGE_LOOP('',(#290323,#290324,#290325,#290326)); #54461=EDGE_LOOP('',(#290327,#290328,#290329,#290330)); #54462=EDGE_LOOP('',(#290331,#290332,#290333,#290334)); #54463=EDGE_LOOP('',(#290335,#290336,#290337,#290338)); #54464=EDGE_LOOP('',(#290339,#290340,#290341,#290342)); #54465=EDGE_LOOP('',(#290343,#290344,#290345,#290346)); #54466=EDGE_LOOP('',(#290347,#290348,#290349,#290350)); #54467=EDGE_LOOP('',(#290351,#290352,#290353,#290354)); #54468=EDGE_LOOP('',(#290355,#290356,#290357,#290358)); #54469=EDGE_LOOP('',(#290359,#290360,#290361,#290362)); #54470=EDGE_LOOP('',(#290363,#290364,#290365,#290366)); #54471=EDGE_LOOP('',(#290367,#290368,#290369,#290370)); #54472=EDGE_LOOP('',(#290371,#290372,#290373,#290374)); #54473=EDGE_LOOP('',(#290375,#290376,#290377,#290378)); #54474=EDGE_LOOP('',(#290379,#290380,#290381,#290382)); #54475=EDGE_LOOP('',(#290383,#290384,#290385,#290386)); #54476=EDGE_LOOP('',(#290387,#290388,#290389,#290390)); #54477=EDGE_LOOP('',(#290391,#290392,#290393,#290394)); #54478=EDGE_LOOP('',(#290395,#290396,#290397,#290398)); #54479=EDGE_LOOP('',(#290399,#290400,#290401,#290402)); #54480=EDGE_LOOP('',(#290403,#290404,#290405,#290406)); #54481=EDGE_LOOP('',(#290407,#290408,#290409,#290410)); #54482=EDGE_LOOP('',(#290411,#290412,#290413,#290414)); #54483=EDGE_LOOP('',(#290415,#290416,#290417,#290418)); #54484=EDGE_LOOP('',(#290419,#290420,#290421,#290422)); #54485=EDGE_LOOP('',(#290423,#290424,#290425,#290426)); #54486=EDGE_LOOP('',(#290427,#290428,#290429,#290430)); #54487=EDGE_LOOP('',(#290431,#290432,#290433,#290434)); #54488=EDGE_LOOP('',(#290435,#290436,#290437,#290438)); #54489=EDGE_LOOP('',(#290439,#290440,#290441,#290442)); #54490=EDGE_LOOP('',(#290443,#290444,#290445,#290446)); #54491=EDGE_LOOP('',(#290447,#290448,#290449,#290450)); #54492=EDGE_LOOP('',(#290451,#290452,#290453,#290454)); #54493=EDGE_LOOP('',(#290455,#290456,#290457,#290458)); #54494=EDGE_LOOP('',(#290459,#290460,#290461,#290462)); #54495=EDGE_LOOP('',(#290463,#290464,#290465,#290466)); #54496=EDGE_LOOP('',(#290467,#290468,#290469,#290470)); #54497=EDGE_LOOP('',(#290471,#290472,#290473,#290474)); #54498=EDGE_LOOP('',(#290475,#290476,#290477,#290478)); #54499=EDGE_LOOP('',(#290479,#290480,#290481,#290482)); #54500=EDGE_LOOP('',(#290483,#290484,#290485,#290486)); #54501=EDGE_LOOP('',(#290487,#290488,#290489,#290490)); #54502=EDGE_LOOP('',(#290491,#290492,#290493,#290494)); #54503=EDGE_LOOP('',(#290495,#290496,#290497,#290498)); #54504=EDGE_LOOP('',(#290499,#290500,#290501,#290502)); #54505=EDGE_LOOP('',(#290503,#290504,#290505,#290506)); #54506=EDGE_LOOP('',(#290507,#290508,#290509,#290510)); #54507=EDGE_LOOP('',(#290511,#290512,#290513,#290514)); #54508=EDGE_LOOP('',(#290515,#290516,#290517,#290518)); #54509=EDGE_LOOP('',(#290519,#290520,#290521,#290522)); #54510=EDGE_LOOP('',(#290523,#290524,#290525,#290526)); #54511=EDGE_LOOP('',(#290527,#290528,#290529,#290530)); #54512=EDGE_LOOP('',(#290531,#290532,#290533,#290534)); #54513=EDGE_LOOP('',(#290535,#290536,#290537,#290538)); #54514=EDGE_LOOP('',(#290539,#290540,#290541,#290542)); #54515=EDGE_LOOP('',(#290543,#290544,#290545,#290546)); #54516=EDGE_LOOP('',(#290547,#290548,#290549,#290550)); #54517=EDGE_LOOP('',(#290551,#290552,#290553,#290554)); #54518=EDGE_LOOP('',(#290555,#290556,#290557,#290558)); #54519=EDGE_LOOP('',(#290559,#290560,#290561,#290562)); #54520=EDGE_LOOP('',(#290563,#290564,#290565,#290566)); #54521=EDGE_LOOP('',(#290567,#290568,#290569,#290570)); #54522=EDGE_LOOP('',(#290571,#290572,#290573,#290574)); #54523=EDGE_LOOP('',(#290575,#290576,#290577,#290578)); #54524=EDGE_LOOP('',(#290579,#290580,#290581,#290582)); #54525=EDGE_LOOP('',(#290583,#290584,#290585,#290586)); #54526=EDGE_LOOP('',(#290587,#290588,#290589,#290590)); #54527=EDGE_LOOP('',(#290591,#290592,#290593,#290594)); #54528=EDGE_LOOP('',(#290595,#290596,#290597,#290598)); #54529=EDGE_LOOP('',(#290599,#290600,#290601,#290602)); #54530=EDGE_LOOP('',(#290603,#290604,#290605,#290606)); #54531=EDGE_LOOP('',(#290607,#290608,#290609,#290610)); #54532=EDGE_LOOP('',(#290611,#290612,#290613,#290614)); #54533=EDGE_LOOP('',(#290615,#290616,#290617,#290618)); #54534=EDGE_LOOP('',(#290619,#290620,#290621,#290622)); #54535=EDGE_LOOP('',(#290623,#290624,#290625,#290626)); #54536=EDGE_LOOP('',(#290627,#290628,#290629,#290630)); #54537=EDGE_LOOP('',(#290631,#290632,#290633,#290634)); #54538=EDGE_LOOP('',(#290635,#290636,#290637,#290638)); #54539=EDGE_LOOP('',(#290639,#290640,#290641,#290642)); #54540=EDGE_LOOP('',(#290643,#290644,#290645,#290646)); #54541=EDGE_LOOP('',(#290647,#290648,#290649,#290650)); #54542=EDGE_LOOP('',(#290651,#290652,#290653,#290654)); #54543=EDGE_LOOP('',(#290655,#290656,#290657,#290658)); #54544=EDGE_LOOP('',(#290659,#290660,#290661,#290662)); #54545=EDGE_LOOP('',(#290663,#290664,#290665,#290666)); #54546=EDGE_LOOP('',(#290667,#290668,#290669,#290670)); #54547=EDGE_LOOP('',(#290671,#290672,#290673,#290674)); #54548=EDGE_LOOP('',(#290675,#290676,#290677,#290678)); #54549=EDGE_LOOP('',(#290679,#290680,#290681,#290682)); #54550=EDGE_LOOP('',(#290683,#290684,#290685,#290686)); #54551=EDGE_LOOP('',(#290687,#290688,#290689,#290690)); #54552=EDGE_LOOP('',(#290691,#290692,#290693,#290694)); #54553=EDGE_LOOP('',(#290695,#290696,#290697,#290698)); #54554=EDGE_LOOP('',(#290699,#290700,#290701,#290702)); #54555=EDGE_LOOP('',(#290703,#290704,#290705,#290706)); #54556=EDGE_LOOP('',(#290707,#290708,#290709,#290710)); #54557=EDGE_LOOP('',(#290711,#290712,#290713,#290714)); #54558=EDGE_LOOP('',(#290715,#290716,#290717,#290718)); #54559=EDGE_LOOP('',(#290719,#290720,#290721,#290722)); #54560=EDGE_LOOP('',(#290723,#290724,#290725,#290726)); #54561=EDGE_LOOP('',(#290727,#290728,#290729,#290730)); #54562=EDGE_LOOP('',(#290731,#290732,#290733,#290734)); #54563=EDGE_LOOP('',(#290735,#290736,#290737,#290738)); #54564=EDGE_LOOP('',(#290739,#290740,#290741,#290742)); #54565=EDGE_LOOP('',(#290743,#290744,#290745,#290746)); #54566=EDGE_LOOP('',(#290747,#290748,#290749,#290750)); #54567=EDGE_LOOP('',(#290751,#290752,#290753,#290754)); #54568=EDGE_LOOP('',(#290755,#290756,#290757,#290758)); #54569=EDGE_LOOP('',(#290759,#290760,#290761,#290762)); #54570=EDGE_LOOP('',(#290763,#290764,#290765,#290766)); #54571=EDGE_LOOP('',(#290767,#290768,#290769,#290770)); #54572=EDGE_LOOP('',(#290771,#290772,#290773,#290774)); #54573=EDGE_LOOP('',(#290775,#290776,#290777,#290778)); #54574=EDGE_LOOP('',(#290779,#290780,#290781,#290782)); #54575=EDGE_LOOP('',(#290783,#290784,#290785,#290786)); #54576=EDGE_LOOP('',(#290787,#290788,#290789,#290790)); #54577=EDGE_LOOP('',(#290791,#290792,#290793,#290794)); #54578=EDGE_LOOP('',(#290795,#290796,#290797,#290798)); #54579=EDGE_LOOP('',(#290799,#290800,#290801,#290802)); #54580=EDGE_LOOP('',(#290803,#290804,#290805,#290806)); #54581=EDGE_LOOP('',(#290807,#290808,#290809,#290810)); #54582=EDGE_LOOP('',(#290811,#290812,#290813,#290814)); #54583=EDGE_LOOP('',(#290815,#290816,#290817,#290818)); #54584=EDGE_LOOP('',(#290819,#290820,#290821,#290822)); #54585=EDGE_LOOP('',(#290823,#290824,#290825,#290826)); #54586=EDGE_LOOP('',(#290827,#290828,#290829,#290830)); #54587=EDGE_LOOP('',(#290831,#290832,#290833,#290834)); #54588=EDGE_LOOP('',(#290835,#290836,#290837,#290838)); #54589=EDGE_LOOP('',(#290839,#290840,#290841,#290842)); #54590=EDGE_LOOP('',(#290843,#290844,#290845,#290846)); #54591=EDGE_LOOP('',(#290847,#290848,#290849,#290850)); #54592=EDGE_LOOP('',(#290851,#290852,#290853,#290854)); #54593=EDGE_LOOP('',(#290855,#290856,#290857,#290858)); #54594=EDGE_LOOP('',(#290859,#290860,#290861,#290862)); #54595=EDGE_LOOP('',(#290863,#290864,#290865,#290866)); #54596=EDGE_LOOP('',(#290867,#290868,#290869,#290870)); #54597=EDGE_LOOP('',(#290871,#290872,#290873,#290874)); #54598=EDGE_LOOP('',(#290875,#290876,#290877,#290878)); #54599=EDGE_LOOP('',(#290879,#290880,#290881,#290882)); #54600=EDGE_LOOP('',(#290883,#290884,#290885,#290886)); #54601=EDGE_LOOP('',(#290887,#290888,#290889,#290890)); #54602=EDGE_LOOP('',(#290891,#290892,#290893,#290894)); #54603=EDGE_LOOP('',(#290895,#290896,#290897,#290898)); #54604=EDGE_LOOP('',(#290899,#290900,#290901,#290902)); #54605=EDGE_LOOP('',(#290903,#290904,#290905,#290906)); #54606=EDGE_LOOP('',(#290907,#290908,#290909,#290910)); #54607=EDGE_LOOP('',(#290911,#290912,#290913,#290914)); #54608=EDGE_LOOP('',(#290915,#290916,#290917,#290918)); #54609=EDGE_LOOP('',(#290919,#290920,#290921,#290922)); #54610=EDGE_LOOP('',(#290923,#290924,#290925,#290926)); #54611=EDGE_LOOP('',(#290927,#290928,#290929,#290930)); #54612=EDGE_LOOP('',(#290931,#290932,#290933,#290934)); #54613=EDGE_LOOP('',(#290935,#290936,#290937,#290938)); #54614=EDGE_LOOP('',(#290939,#290940,#290941,#290942)); #54615=EDGE_LOOP('',(#290943,#290944,#290945,#290946)); #54616=EDGE_LOOP('',(#290947,#290948,#290949,#290950)); #54617=EDGE_LOOP('',(#290951,#290952,#290953,#290954)); #54618=EDGE_LOOP('',(#290955,#290956,#290957,#290958)); #54619=EDGE_LOOP('',(#290959,#290960,#290961,#290962)); #54620=EDGE_LOOP('',(#290963,#290964,#290965,#290966)); #54621=EDGE_LOOP('',(#290967,#290968,#290969,#290970)); #54622=EDGE_LOOP('',(#290971,#290972,#290973,#290974)); #54623=EDGE_LOOP('',(#290975,#290976,#290977,#290978)); #54624=EDGE_LOOP('',(#290979,#290980,#290981,#290982)); #54625=EDGE_LOOP('',(#290983,#290984,#290985,#290986)); #54626=EDGE_LOOP('',(#290987,#290988,#290989,#290990)); #54627=EDGE_LOOP('',(#290991,#290992,#290993,#290994)); #54628=EDGE_LOOP('',(#290995,#290996,#290997,#290998)); #54629=EDGE_LOOP('',(#290999,#291000,#291001,#291002)); #54630=EDGE_LOOP('',(#291003,#291004,#291005,#291006)); #54631=EDGE_LOOP('',(#291007,#291008,#291009,#291010)); #54632=EDGE_LOOP('',(#291011,#291012,#291013,#291014)); #54633=EDGE_LOOP('',(#291015,#291016,#291017,#291018)); #54634=EDGE_LOOP('',(#291019,#291020,#291021,#291022)); #54635=EDGE_LOOP('',(#291023,#291024,#291025,#291026)); #54636=EDGE_LOOP('',(#291027,#291028,#291029,#291030)); #54637=EDGE_LOOP('',(#291031,#291032,#291033,#291034)); #54638=EDGE_LOOP('',(#291035,#291036,#291037,#291038)); #54639=EDGE_LOOP('',(#291039,#291040,#291041,#291042)); #54640=EDGE_LOOP('',(#291043,#291044,#291045,#291046)); #54641=EDGE_LOOP('',(#291047,#291048,#291049,#291050)); #54642=EDGE_LOOP('',(#291051,#291052,#291053,#291054)); #54643=EDGE_LOOP('',(#291055,#291056,#291057,#291058)); #54644=EDGE_LOOP('',(#291059,#291060,#291061,#291062)); #54645=EDGE_LOOP('',(#291063,#291064,#291065,#291066)); #54646=EDGE_LOOP('',(#291067,#291068,#291069,#291070)); #54647=EDGE_LOOP('',(#291071,#291072,#291073,#291074)); #54648=EDGE_LOOP('',(#291075,#291076,#291077,#291078)); #54649=EDGE_LOOP('',(#291079,#291080,#291081,#291082)); #54650=EDGE_LOOP('',(#291083,#291084,#291085,#291086)); #54651=EDGE_LOOP('',(#291087,#291088,#291089,#291090)); #54652=EDGE_LOOP('',(#291091,#291092,#291093,#291094)); #54653=EDGE_LOOP('',(#291095,#291096,#291097,#291098)); #54654=EDGE_LOOP('',(#291099,#291100,#291101,#291102)); #54655=EDGE_LOOP('',(#291103,#291104,#291105,#291106)); #54656=EDGE_LOOP('',(#291107,#291108,#291109,#291110)); #54657=EDGE_LOOP('',(#291111,#291112,#291113,#291114)); #54658=EDGE_LOOP('',(#291115,#291116,#291117,#291118)); #54659=EDGE_LOOP('',(#291119,#291120,#291121,#291122)); #54660=EDGE_LOOP('',(#291123,#291124,#291125,#291126)); #54661=EDGE_LOOP('',(#291127,#291128,#291129,#291130)); #54662=EDGE_LOOP('',(#291131,#291132,#291133,#291134)); #54663=EDGE_LOOP('',(#291135,#291136,#291137,#291138)); #54664=EDGE_LOOP('',(#291139,#291140,#291141,#291142)); #54665=EDGE_LOOP('',(#291143,#291144,#291145,#291146)); #54666=EDGE_LOOP('',(#291147,#291148,#291149,#291150)); #54667=EDGE_LOOP('',(#291151,#291152,#291153,#291154)); #54668=EDGE_LOOP('',(#291155,#291156,#291157,#291158)); #54669=EDGE_LOOP('',(#291159,#291160,#291161,#291162)); #54670=EDGE_LOOP('',(#291163,#291164,#291165,#291166)); #54671=EDGE_LOOP('',(#291167,#291168,#291169,#291170)); #54672=EDGE_LOOP('',(#291171,#291172,#291173,#291174)); #54673=EDGE_LOOP('',(#291175,#291176,#291177,#291178)); #54674=EDGE_LOOP('',(#291179,#291180,#291181,#291182)); #54675=EDGE_LOOP('',(#291183,#291184,#291185,#291186)); #54676=EDGE_LOOP('',(#291187,#291188,#291189,#291190)); #54677=EDGE_LOOP('',(#291191,#291192,#291193,#291194)); #54678=EDGE_LOOP('',(#291195,#291196,#291197,#291198)); #54679=EDGE_LOOP('',(#291199,#291200,#291201,#291202)); #54680=EDGE_LOOP('',(#291203,#291204,#291205,#291206)); #54681=EDGE_LOOP('',(#291207,#291208,#291209,#291210)); #54682=EDGE_LOOP('',(#291211,#291212,#291213,#291214)); #54683=EDGE_LOOP('',(#291215,#291216,#291217,#291218)); #54684=EDGE_LOOP('',(#291219,#291220,#291221,#291222)); #54685=EDGE_LOOP('',(#291223,#291224,#291225,#291226)); #54686=EDGE_LOOP('',(#291227,#291228,#291229,#291230)); #54687=EDGE_LOOP('',(#291231,#291232,#291233,#291234)); #54688=EDGE_LOOP('',(#291235,#291236,#291237,#291238)); #54689=EDGE_LOOP('',(#291239,#291240,#291241,#291242)); #54690=EDGE_LOOP('',(#291243,#291244,#291245,#291246)); #54691=EDGE_LOOP('',(#291247,#291248,#291249,#291250)); #54692=EDGE_LOOP('',(#291251,#291252,#291253,#291254)); #54693=EDGE_LOOP('',(#291255,#291256,#291257,#291258)); #54694=EDGE_LOOP('',(#291259,#291260,#291261,#291262)); #54695=EDGE_LOOP('',(#291263,#291264,#291265,#291266)); #54696=EDGE_LOOP('',(#291267,#291268,#291269,#291270)); #54697=EDGE_LOOP('',(#291271,#291272,#291273,#291274)); #54698=EDGE_LOOP('',(#291275,#291276,#291277,#291278)); #54699=EDGE_LOOP('',(#291279,#291280,#291281,#291282)); #54700=EDGE_LOOP('',(#291283,#291284,#291285,#291286)); #54701=EDGE_LOOP('',(#291287,#291288,#291289,#291290)); #54702=EDGE_LOOP('',(#291291,#291292,#291293,#291294)); #54703=EDGE_LOOP('',(#291295,#291296,#291297,#291298)); #54704=EDGE_LOOP('',(#291299,#291300,#291301,#291302)); #54705=EDGE_LOOP('',(#291303,#291304,#291305,#291306)); #54706=EDGE_LOOP('',(#291307,#291308,#291309,#291310)); #54707=EDGE_LOOP('',(#291311,#291312,#291313,#291314)); #54708=EDGE_LOOP('',(#291315,#291316,#291317,#291318)); #54709=EDGE_LOOP('',(#291319,#291320,#291321,#291322)); #54710=EDGE_LOOP('',(#291323,#291324,#291325,#291326)); #54711=EDGE_LOOP('',(#291327,#291328,#291329,#291330)); #54712=EDGE_LOOP('',(#291331,#291332,#291333,#291334)); #54713=EDGE_LOOP('',(#291335,#291336,#291337,#291338)); #54714=EDGE_LOOP('',(#291339,#291340,#291341,#291342)); #54715=EDGE_LOOP('',(#291343,#291344,#291345,#291346)); #54716=EDGE_LOOP('',(#291347,#291348,#291349,#291350)); #54717=EDGE_LOOP('',(#291351,#291352,#291353,#291354)); #54718=EDGE_LOOP('',(#291355,#291356,#291357,#291358)); #54719=EDGE_LOOP('',(#291359,#291360,#291361,#291362)); #54720=EDGE_LOOP('',(#291363,#291364,#291365,#291366)); #54721=EDGE_LOOP('',(#291367,#291368,#291369,#291370)); #54722=EDGE_LOOP('',(#291371,#291372,#291373,#291374)); #54723=EDGE_LOOP('',(#291375,#291376,#291377,#291378)); #54724=EDGE_LOOP('',(#291379,#291380,#291381,#291382)); #54725=EDGE_LOOP('',(#291383,#291384,#291385,#291386)); #54726=EDGE_LOOP('',(#291387,#291388,#291389,#291390)); #54727=EDGE_LOOP('',(#291391,#291392,#291393,#291394)); #54728=EDGE_LOOP('',(#291395,#291396,#291397,#291398)); #54729=EDGE_LOOP('',(#291399,#291400,#291401,#291402)); #54730=EDGE_LOOP('',(#291403,#291404,#291405,#291406)); #54731=EDGE_LOOP('',(#291407,#291408,#291409,#291410)); #54732=EDGE_LOOP('',(#291411,#291412,#291413,#291414)); #54733=EDGE_LOOP('',(#291415,#291416,#291417,#291418)); #54734=EDGE_LOOP('',(#291419,#291420,#291421,#291422)); #54735=EDGE_LOOP('',(#291423,#291424,#291425,#291426)); #54736=EDGE_LOOP('',(#291427,#291428,#291429,#291430)); #54737=EDGE_LOOP('',(#291431,#291432,#291433,#291434)); #54738=EDGE_LOOP('',(#291435,#291436,#291437,#291438)); #54739=EDGE_LOOP('',(#291439,#291440,#291441,#291442)); #54740=EDGE_LOOP('',(#291443,#291444,#291445,#291446)); #54741=EDGE_LOOP('',(#291447,#291448,#291449,#291450)); #54742=EDGE_LOOP('',(#291451,#291452,#291453,#291454)); #54743=EDGE_LOOP('',(#291455,#291456,#291457,#291458)); #54744=EDGE_LOOP('',(#291459,#291460,#291461,#291462)); #54745=EDGE_LOOP('',(#291463,#291464,#291465,#291466)); #54746=EDGE_LOOP('',(#291467,#291468,#291469,#291470)); #54747=EDGE_LOOP('',(#291471,#291472,#291473,#291474)); #54748=EDGE_LOOP('',(#291475,#291476,#291477,#291478)); #54749=EDGE_LOOP('',(#291479,#291480,#291481,#291482)); #54750=EDGE_LOOP('',(#291483,#291484,#291485,#291486)); #54751=EDGE_LOOP('',(#291487,#291488,#291489,#291490)); #54752=EDGE_LOOP('',(#291491,#291492,#291493,#291494)); #54753=EDGE_LOOP('',(#291495,#291496,#291497,#291498)); #54754=EDGE_LOOP('',(#291499,#291500,#291501,#291502)); #54755=EDGE_LOOP('',(#291503,#291504,#291505,#291506)); #54756=EDGE_LOOP('',(#291507,#291508,#291509,#291510)); #54757=EDGE_LOOP('',(#291511,#291512,#291513,#291514)); #54758=EDGE_LOOP('',(#291515,#291516,#291517,#291518)); #54759=EDGE_LOOP('',(#291519,#291520,#291521,#291522)); #54760=EDGE_LOOP('',(#291523,#291524,#291525,#291526)); #54761=EDGE_LOOP('',(#291527,#291528,#291529,#291530)); #54762=EDGE_LOOP('',(#291531,#291532,#291533,#291534)); #54763=EDGE_LOOP('',(#291535,#291536,#291537,#291538)); #54764=EDGE_LOOP('',(#291539,#291540,#291541,#291542)); #54765=EDGE_LOOP('',(#291543,#291544,#291545,#291546)); #54766=EDGE_LOOP('',(#291547,#291548,#291549,#291550)); #54767=EDGE_LOOP('',(#291551,#291552,#291553,#291554)); #54768=EDGE_LOOP('',(#291555,#291556,#291557,#291558)); #54769=EDGE_LOOP('',(#291559,#291560,#291561,#291562)); #54770=EDGE_LOOP('',(#291563,#291564,#291565,#291566)); #54771=EDGE_LOOP('',(#291567,#291568,#291569,#291570)); #54772=EDGE_LOOP('',(#291571,#291572,#291573,#291574)); #54773=EDGE_LOOP('',(#291575,#291576,#291577,#291578)); #54774=EDGE_LOOP('',(#291579,#291580,#291581,#291582)); #54775=EDGE_LOOP('',(#291583,#291584,#291585,#291586)); #54776=EDGE_LOOP('',(#291587,#291588,#291589,#291590)); #54777=EDGE_LOOP('',(#291591,#291592,#291593,#291594)); #54778=EDGE_LOOP('',(#291595,#291596,#291597,#291598)); #54779=EDGE_LOOP('',(#291599,#291600,#291601,#291602)); #54780=EDGE_LOOP('',(#291603,#291604,#291605,#291606)); #54781=EDGE_LOOP('',(#291607,#291608,#291609,#291610)); #54782=EDGE_LOOP('',(#291611,#291612,#291613,#291614)); #54783=EDGE_LOOP('',(#291615,#291616,#291617,#291618)); #54784=EDGE_LOOP('',(#291619,#291620,#291621,#291622)); #54785=EDGE_LOOP('',(#291623,#291624,#291625,#291626)); #54786=EDGE_LOOP('',(#291627,#291628,#291629,#291630)); #54787=EDGE_LOOP('',(#291631,#291632,#291633,#291634)); #54788=EDGE_LOOP('',(#291635,#291636,#291637,#291638)); #54789=EDGE_LOOP('',(#291639,#291640,#291641,#291642)); #54790=EDGE_LOOP('',(#291643,#291644,#291645,#291646)); #54791=EDGE_LOOP('',(#291647,#291648,#291649,#291650)); #54792=EDGE_LOOP('',(#291651,#291652,#291653,#291654)); #54793=EDGE_LOOP('',(#291655,#291656,#291657,#291658)); #54794=EDGE_LOOP('',(#291659,#291660,#291661,#291662)); #54795=EDGE_LOOP('',(#291663,#291664,#291665,#291666)); #54796=EDGE_LOOP('',(#291667,#291668,#291669,#291670)); #54797=EDGE_LOOP('',(#291671,#291672,#291673,#291674)); #54798=EDGE_LOOP('',(#291675,#291676,#291677,#291678)); #54799=EDGE_LOOP('',(#291679,#291680,#291681,#291682)); #54800=EDGE_LOOP('',(#291683,#291684,#291685,#291686)); #54801=EDGE_LOOP('',(#291687,#291688,#291689,#291690)); #54802=EDGE_LOOP('',(#291691,#291692,#291693,#291694)); #54803=EDGE_LOOP('',(#291695,#291696,#291697,#291698)); #54804=EDGE_LOOP('',(#291699,#291700,#291701,#291702)); #54805=EDGE_LOOP('',(#291703,#291704,#291705,#291706)); #54806=EDGE_LOOP('',(#291707,#291708,#291709,#291710)); #54807=EDGE_LOOP('',(#291711,#291712,#291713,#291714)); #54808=EDGE_LOOP('',(#291715,#291716,#291717,#291718)); #54809=EDGE_LOOP('',(#291719,#291720,#291721,#291722)); #54810=EDGE_LOOP('',(#291723,#291724,#291725,#291726)); #54811=EDGE_LOOP('',(#291727,#291728,#291729,#291730)); #54812=EDGE_LOOP('',(#291731,#291732,#291733,#291734)); #54813=EDGE_LOOP('',(#291735,#291736,#291737,#291738)); #54814=EDGE_LOOP('',(#291739,#291740,#291741,#291742)); #54815=EDGE_LOOP('',(#291743,#291744,#291745,#291746)); #54816=EDGE_LOOP('',(#291747,#291748,#291749,#291750)); #54817=EDGE_LOOP('',(#291751,#291752,#291753,#291754)); #54818=EDGE_LOOP('',(#291755,#291756,#291757,#291758)); #54819=EDGE_LOOP('',(#291759,#291760,#291761,#291762)); #54820=EDGE_LOOP('',(#291763,#291764,#291765,#291766)); #54821=EDGE_LOOP('',(#291767,#291768,#291769,#291770)); #54822=EDGE_LOOP('',(#291771,#291772,#291773,#291774)); #54823=EDGE_LOOP('',(#291775,#291776,#291777,#291778)); #54824=EDGE_LOOP('',(#291779,#291780,#291781,#291782)); #54825=EDGE_LOOP('',(#291783,#291784,#291785,#291786)); #54826=EDGE_LOOP('',(#291787,#291788,#291789,#291790)); #54827=EDGE_LOOP('',(#291791,#291792,#291793,#291794)); #54828=EDGE_LOOP('',(#291795,#291796,#291797,#291798)); #54829=EDGE_LOOP('',(#291799,#291800,#291801,#291802)); #54830=EDGE_LOOP('',(#291803,#291804,#291805,#291806)); #54831=EDGE_LOOP('',(#291807,#291808,#291809,#291810)); #54832=EDGE_LOOP('',(#291811,#291812,#291813,#291814)); #54833=EDGE_LOOP('',(#291815,#291816,#291817,#291818)); #54834=EDGE_LOOP('',(#291819,#291820,#291821,#291822)); #54835=EDGE_LOOP('',(#291823,#291824,#291825,#291826)); #54836=EDGE_LOOP('',(#291827,#291828,#291829,#291830)); #54837=EDGE_LOOP('',(#291831,#291832,#291833,#291834)); #54838=EDGE_LOOP('',(#291835,#291836,#291837,#291838)); #54839=EDGE_LOOP('',(#291839,#291840,#291841,#291842)); #54840=EDGE_LOOP('',(#291843,#291844,#291845,#291846)); #54841=EDGE_LOOP('',(#291847,#291848,#291849,#291850)); #54842=EDGE_LOOP('',(#291851,#291852,#291853,#291854)); #54843=EDGE_LOOP('',(#291855,#291856,#291857,#291858)); #54844=EDGE_LOOP('',(#291859,#291860,#291861,#291862)); #54845=EDGE_LOOP('',(#291863,#291864,#291865,#291866)); #54846=EDGE_LOOP('',(#291867,#291868,#291869,#291870)); #54847=EDGE_LOOP('',(#291871,#291872,#291873,#291874)); #54848=EDGE_LOOP('',(#291875,#291876,#291877,#291878)); #54849=EDGE_LOOP('',(#291879,#291880,#291881,#291882)); #54850=EDGE_LOOP('',(#291883,#291884,#291885,#291886)); #54851=EDGE_LOOP('',(#291887,#291888,#291889,#291890)); #54852=EDGE_LOOP('',(#291891,#291892,#291893,#291894)); #54853=EDGE_LOOP('',(#291895,#291896,#291897,#291898)); #54854=EDGE_LOOP('',(#291899,#291900,#291901,#291902)); #54855=EDGE_LOOP('',(#291903,#291904,#291905,#291906)); #54856=EDGE_LOOP('',(#291907,#291908,#291909,#291910)); #54857=EDGE_LOOP('',(#291911,#291912,#291913,#291914)); #54858=EDGE_LOOP('',(#291915,#291916,#291917,#291918)); #54859=EDGE_LOOP('',(#291919,#291920,#291921,#291922)); #54860=EDGE_LOOP('',(#291923,#291924,#291925,#291926)); #54861=EDGE_LOOP('',(#291927,#291928,#291929,#291930)); #54862=EDGE_LOOP('',(#291931,#291932,#291933,#291934)); #54863=EDGE_LOOP('',(#291935,#291936,#291937,#291938)); #54864=EDGE_LOOP('',(#291939,#291940,#291941,#291942)); #54865=EDGE_LOOP('',(#291943,#291944,#291945,#291946)); #54866=EDGE_LOOP('',(#291947,#291948,#291949,#291950)); #54867=EDGE_LOOP('',(#291951,#291952,#291953,#291954)); #54868=EDGE_LOOP('',(#291955,#291956,#291957,#291958)); #54869=EDGE_LOOP('',(#291959,#291960,#291961,#291962)); #54870=EDGE_LOOP('',(#291963,#291964,#291965,#291966)); #54871=EDGE_LOOP('',(#291967,#291968,#291969,#291970)); #54872=EDGE_LOOP('',(#291971,#291972,#291973,#291974)); #54873=EDGE_LOOP('',(#291975,#291976,#291977,#291978)); #54874=EDGE_LOOP('',(#291979,#291980,#291981,#291982)); #54875=EDGE_LOOP('',(#291983,#291984,#291985,#291986)); #54876=EDGE_LOOP('',(#291987,#291988,#291989,#291990)); #54877=EDGE_LOOP('',(#291991,#291992,#291993,#291994)); #54878=EDGE_LOOP('',(#291995,#291996,#291997,#291998)); #54879=EDGE_LOOP('',(#291999,#292000,#292001,#292002)); #54880=EDGE_LOOP('',(#292003,#292004,#292005,#292006)); #54881=EDGE_LOOP('',(#292007,#292008,#292009,#292010)); #54882=EDGE_LOOP('',(#292011,#292012,#292013,#292014)); #54883=EDGE_LOOP('',(#292015,#292016,#292017,#292018)); #54884=EDGE_LOOP('',(#292019,#292020,#292021,#292022)); #54885=EDGE_LOOP('',(#292023,#292024,#292025,#292026)); #54886=EDGE_LOOP('',(#292027,#292028,#292029,#292030)); #54887=EDGE_LOOP('',(#292031,#292032,#292033,#292034)); #54888=EDGE_LOOP('',(#292035,#292036,#292037,#292038)); #54889=EDGE_LOOP('',(#292039,#292040,#292041,#292042)); #54890=EDGE_LOOP('',(#292043,#292044,#292045,#292046)); #54891=EDGE_LOOP('',(#292047,#292048,#292049,#292050)); #54892=EDGE_LOOP('',(#292051,#292052,#292053,#292054)); #54893=EDGE_LOOP('',(#292055,#292056,#292057,#292058)); #54894=EDGE_LOOP('',(#292059,#292060,#292061,#292062)); #54895=EDGE_LOOP('',(#292063,#292064,#292065,#292066)); #54896=EDGE_LOOP('',(#292067,#292068,#292069,#292070)); #54897=EDGE_LOOP('',(#292071,#292072,#292073,#292074)); #54898=EDGE_LOOP('',(#292075,#292076,#292077,#292078)); #54899=EDGE_LOOP('',(#292079,#292080,#292081,#292082)); #54900=EDGE_LOOP('',(#292083,#292084,#292085,#292086)); #54901=EDGE_LOOP('',(#292087,#292088,#292089,#292090)); #54902=EDGE_LOOP('',(#292091,#292092,#292093,#292094)); #54903=EDGE_LOOP('',(#292095,#292096,#292097,#292098)); #54904=EDGE_LOOP('',(#292099,#292100,#292101,#292102)); #54905=EDGE_LOOP('',(#292103,#292104,#292105,#292106)); #54906=EDGE_LOOP('',(#292107,#292108,#292109,#292110)); #54907=EDGE_LOOP('',(#292111,#292112,#292113,#292114)); #54908=EDGE_LOOP('',(#292115,#292116,#292117,#292118)); #54909=EDGE_LOOP('',(#292119,#292120,#292121,#292122)); #54910=EDGE_LOOP('',(#292123,#292124,#292125,#292126)); #54911=EDGE_LOOP('',(#292127,#292128,#292129,#292130)); #54912=EDGE_LOOP('',(#292131,#292132,#292133,#292134)); #54913=EDGE_LOOP('',(#292135,#292136,#292137,#292138)); #54914=EDGE_LOOP('',(#292139,#292140,#292141,#292142)); #54915=EDGE_LOOP('',(#292143,#292144,#292145,#292146)); #54916=EDGE_LOOP('',(#292147,#292148,#292149,#292150)); #54917=EDGE_LOOP('',(#292151,#292152,#292153,#292154)); #54918=EDGE_LOOP('',(#292155,#292156,#292157,#292158)); #54919=EDGE_LOOP('',(#292159,#292160,#292161,#292162)); #54920=EDGE_LOOP('',(#292163,#292164,#292165,#292166)); #54921=EDGE_LOOP('',(#292167,#292168,#292169,#292170)); #54922=EDGE_LOOP('',(#292171,#292172,#292173,#292174)); #54923=EDGE_LOOP('',(#292175,#292176,#292177,#292178)); #54924=EDGE_LOOP('',(#292179,#292180,#292181,#292182)); #54925=EDGE_LOOP('',(#292183,#292184,#292185,#292186)); #54926=EDGE_LOOP('',(#292187,#292188,#292189,#292190)); #54927=EDGE_LOOP('',(#292191,#292192,#292193,#292194)); #54928=EDGE_LOOP('',(#292195,#292196,#292197,#292198)); #54929=EDGE_LOOP('',(#292199,#292200,#292201,#292202)); #54930=EDGE_LOOP('',(#292203,#292204,#292205,#292206)); #54931=EDGE_LOOP('',(#292207,#292208,#292209,#292210)); #54932=EDGE_LOOP('',(#292211,#292212,#292213,#292214)); #54933=EDGE_LOOP('',(#292215,#292216,#292217,#292218)); #54934=EDGE_LOOP('',(#292219,#292220,#292221,#292222)); #54935=EDGE_LOOP('',(#292223,#292224,#292225,#292226)); #54936=EDGE_LOOP('',(#292227,#292228,#292229,#292230)); #54937=EDGE_LOOP('',(#292231,#292232,#292233,#292234)); #54938=EDGE_LOOP('',(#292235,#292236,#292237,#292238)); #54939=EDGE_LOOP('',(#292239,#292240,#292241,#292242)); #54940=EDGE_LOOP('',(#292243,#292244,#292245,#292246)); #54941=EDGE_LOOP('',(#292247,#292248,#292249,#292250)); #54942=EDGE_LOOP('',(#292251,#292252,#292253,#292254)); #54943=EDGE_LOOP('',(#292255,#292256,#292257,#292258)); #54944=EDGE_LOOP('',(#292259,#292260,#292261,#292262)); #54945=EDGE_LOOP('',(#292263,#292264,#292265,#292266)); #54946=EDGE_LOOP('',(#292267,#292268,#292269,#292270)); #54947=EDGE_LOOP('',(#292271,#292272,#292273,#292274)); #54948=EDGE_LOOP('',(#292275,#292276,#292277,#292278)); #54949=EDGE_LOOP('',(#292279,#292280,#292281,#292282)); #54950=EDGE_LOOP('',(#292283,#292284,#292285,#292286)); #54951=EDGE_LOOP('',(#292287,#292288,#292289,#292290)); #54952=EDGE_LOOP('',(#292291,#292292,#292293,#292294)); #54953=EDGE_LOOP('',(#292295,#292296,#292297,#292298)); #54954=EDGE_LOOP('',(#292299,#292300,#292301,#292302)); #54955=EDGE_LOOP('',(#292303,#292304,#292305,#292306)); #54956=EDGE_LOOP('',(#292307,#292308,#292309,#292310)); #54957=EDGE_LOOP('',(#292311,#292312,#292313,#292314)); #54958=EDGE_LOOP('',(#292315,#292316,#292317,#292318)); #54959=EDGE_LOOP('',(#292319,#292320,#292321,#292322)); #54960=EDGE_LOOP('',(#292323,#292324,#292325,#292326)); #54961=EDGE_LOOP('',(#292327,#292328,#292329,#292330)); #54962=EDGE_LOOP('',(#292331,#292332,#292333,#292334)); #54963=EDGE_LOOP('',(#292335,#292336,#292337,#292338)); #54964=EDGE_LOOP('',(#292339,#292340,#292341,#292342)); #54965=EDGE_LOOP('',(#292343,#292344,#292345,#292346)); #54966=EDGE_LOOP('',(#292347,#292348,#292349,#292350)); #54967=EDGE_LOOP('',(#292351,#292352,#292353,#292354)); #54968=EDGE_LOOP('',(#292355,#292356,#292357,#292358)); #54969=EDGE_LOOP('',(#292359,#292360,#292361,#292362)); #54970=EDGE_LOOP('',(#292363,#292364,#292365,#292366)); #54971=EDGE_LOOP('',(#292367,#292368,#292369,#292370)); #54972=EDGE_LOOP('',(#292371,#292372,#292373,#292374)); #54973=EDGE_LOOP('',(#292375,#292376,#292377,#292378)); #54974=EDGE_LOOP('',(#292379,#292380,#292381,#292382)); #54975=EDGE_LOOP('',(#292383,#292384,#292385,#292386)); #54976=EDGE_LOOP('',(#292387,#292388,#292389,#292390)); #54977=EDGE_LOOP('',(#292391,#292392,#292393,#292394)); #54978=EDGE_LOOP('',(#292395,#292396,#292397,#292398)); #54979=EDGE_LOOP('',(#292399,#292400,#292401,#292402)); #54980=EDGE_LOOP('',(#292403,#292404,#292405,#292406)); #54981=EDGE_LOOP('',(#292407,#292408,#292409,#292410)); #54982=EDGE_LOOP('',(#292411,#292412,#292413,#292414)); #54983=EDGE_LOOP('',(#292415,#292416,#292417,#292418)); #54984=EDGE_LOOP('',(#292419,#292420,#292421,#292422)); #54985=EDGE_LOOP('',(#292423,#292424,#292425,#292426)); #54986=EDGE_LOOP('',(#292427,#292428,#292429,#292430)); #54987=EDGE_LOOP('',(#292431,#292432,#292433,#292434)); #54988=EDGE_LOOP('',(#292435,#292436,#292437,#292438)); #54989=EDGE_LOOP('',(#292439,#292440,#292441,#292442)); #54990=EDGE_LOOP('',(#292443,#292444,#292445,#292446)); #54991=EDGE_LOOP('',(#292447,#292448,#292449,#292450)); #54992=EDGE_LOOP('',(#292451,#292452,#292453,#292454)); #54993=EDGE_LOOP('',(#292455,#292456,#292457,#292458)); #54994=EDGE_LOOP('',(#292459,#292460,#292461,#292462)); #54995=EDGE_LOOP('',(#292463,#292464,#292465,#292466)); #54996=EDGE_LOOP('',(#292467,#292468,#292469,#292470)); #54997=EDGE_LOOP('',(#292471,#292472,#292473,#292474)); #54998=EDGE_LOOP('',(#292475,#292476,#292477,#292478)); #54999=EDGE_LOOP('',(#292479,#292480,#292481,#292482)); #55000=EDGE_LOOP('',(#292483,#292484,#292485,#292486)); #55001=EDGE_LOOP('',(#292487,#292488,#292489,#292490)); #55002=EDGE_LOOP('',(#292491,#292492,#292493,#292494)); #55003=EDGE_LOOP('',(#292495,#292496,#292497,#292498)); #55004=EDGE_LOOP('',(#292499,#292500,#292501,#292502)); #55005=EDGE_LOOP('',(#292503,#292504,#292505,#292506)); #55006=EDGE_LOOP('',(#292507,#292508,#292509,#292510)); #55007=EDGE_LOOP('',(#292511,#292512,#292513,#292514)); #55008=EDGE_LOOP('',(#292515,#292516,#292517,#292518)); #55009=EDGE_LOOP('',(#292519,#292520,#292521,#292522)); #55010=EDGE_LOOP('',(#292523,#292524,#292525,#292526)); #55011=EDGE_LOOP('',(#292527,#292528,#292529,#292530)); #55012=EDGE_LOOP('',(#292531,#292532,#292533,#292534)); #55013=EDGE_LOOP('',(#292535,#292536,#292537,#292538)); #55014=EDGE_LOOP('',(#292539,#292540,#292541,#292542)); #55015=EDGE_LOOP('',(#292543,#292544,#292545,#292546)); #55016=EDGE_LOOP('',(#292547,#292548,#292549,#292550)); #55017=EDGE_LOOP('',(#292551,#292552,#292553,#292554)); #55018=EDGE_LOOP('',(#292555,#292556,#292557,#292558)); #55019=EDGE_LOOP('',(#292559,#292560,#292561,#292562)); #55020=EDGE_LOOP('',(#292563,#292564,#292565,#292566)); #55021=EDGE_LOOP('',(#292567,#292568,#292569,#292570)); #55022=EDGE_LOOP('',(#292571,#292572,#292573,#292574)); #55023=EDGE_LOOP('',(#292575,#292576,#292577,#292578)); #55024=EDGE_LOOP('',(#292579,#292580,#292581,#292582)); #55025=EDGE_LOOP('',(#292583,#292584,#292585,#292586)); #55026=EDGE_LOOP('',(#292587,#292588,#292589,#292590)); #55027=EDGE_LOOP('',(#292591,#292592,#292593,#292594)); #55028=EDGE_LOOP('',(#292595,#292596,#292597,#292598)); #55029=EDGE_LOOP('',(#292599,#292600,#292601,#292602)); #55030=EDGE_LOOP('',(#292603,#292604,#292605,#292606)); #55031=EDGE_LOOP('',(#292607,#292608,#292609,#292610)); #55032=EDGE_LOOP('',(#292611,#292612,#292613,#292614)); #55033=EDGE_LOOP('',(#292615,#292616,#292617,#292618)); #55034=EDGE_LOOP('',(#292619,#292620,#292621,#292622)); #55035=EDGE_LOOP('',(#292623,#292624,#292625,#292626)); #55036=EDGE_LOOP('',(#292627,#292628,#292629,#292630)); #55037=EDGE_LOOP('',(#292631,#292632,#292633,#292634)); #55038=EDGE_LOOP('',(#292635,#292636,#292637,#292638)); #55039=EDGE_LOOP('',(#292639,#292640,#292641,#292642)); #55040=EDGE_LOOP('',(#292643,#292644,#292645,#292646)); #55041=EDGE_LOOP('',(#292647,#292648,#292649,#292650)); #55042=EDGE_LOOP('',(#292651,#292652,#292653,#292654)); #55043=EDGE_LOOP('',(#292655,#292656,#292657,#292658)); #55044=EDGE_LOOP('',(#292659,#292660,#292661,#292662)); #55045=EDGE_LOOP('',(#292663,#292664,#292665,#292666)); #55046=EDGE_LOOP('',(#292667,#292668,#292669,#292670)); #55047=EDGE_LOOP('',(#292671,#292672,#292673,#292674)); #55048=EDGE_LOOP('',(#292675,#292676,#292677,#292678)); #55049=EDGE_LOOP('',(#292679,#292680,#292681,#292682)); #55050=EDGE_LOOP('',(#292683,#292684,#292685,#292686)); #55051=EDGE_LOOP('',(#292687,#292688,#292689,#292690)); #55052=EDGE_LOOP('',(#292691,#292692,#292693,#292694)); #55053=EDGE_LOOP('',(#292695,#292696,#292697,#292698)); #55054=EDGE_LOOP('',(#292699,#292700,#292701,#292702)); #55055=EDGE_LOOP('',(#292703,#292704,#292705,#292706)); #55056=EDGE_LOOP('',(#292707,#292708,#292709,#292710)); #55057=EDGE_LOOP('',(#292711,#292712,#292713,#292714)); #55058=EDGE_LOOP('',(#292715,#292716,#292717,#292718)); #55059=EDGE_LOOP('',(#292719,#292720,#292721,#292722)); #55060=EDGE_LOOP('',(#292723,#292724,#292725,#292726)); #55061=EDGE_LOOP('',(#292727,#292728,#292729,#292730)); #55062=EDGE_LOOP('',(#292731,#292732,#292733,#292734)); #55063=EDGE_LOOP('',(#292735,#292736,#292737,#292738)); #55064=EDGE_LOOP('',(#292739,#292740,#292741,#292742)); #55065=EDGE_LOOP('',(#292743,#292744,#292745,#292746)); #55066=EDGE_LOOP('',(#292747,#292748,#292749,#292750)); #55067=EDGE_LOOP('',(#292751,#292752,#292753,#292754)); #55068=EDGE_LOOP('',(#292755,#292756,#292757,#292758)); #55069=EDGE_LOOP('',(#292759,#292760,#292761,#292762)); #55070=EDGE_LOOP('',(#292763,#292764,#292765,#292766)); #55071=EDGE_LOOP('',(#292767,#292768,#292769,#292770)); #55072=EDGE_LOOP('',(#292771,#292772,#292773,#292774)); #55073=EDGE_LOOP('',(#292775,#292776,#292777,#292778)); #55074=EDGE_LOOP('',(#292779,#292780,#292781,#292782)); #55075=EDGE_LOOP('',(#292783,#292784,#292785,#292786)); #55076=EDGE_LOOP('',(#292787,#292788,#292789,#292790)); #55077=EDGE_LOOP('',(#292791,#292792,#292793,#292794)); #55078=EDGE_LOOP('',(#292795,#292796,#292797,#292798)); #55079=EDGE_LOOP('',(#292799,#292800,#292801,#292802)); #55080=EDGE_LOOP('',(#292803,#292804,#292805,#292806)); #55081=EDGE_LOOP('',(#292807,#292808,#292809,#292810)); #55082=EDGE_LOOP('',(#292811,#292812,#292813,#292814)); #55083=EDGE_LOOP('',(#292815,#292816,#292817,#292818)); #55084=EDGE_LOOP('',(#292819,#292820,#292821,#292822)); #55085=EDGE_LOOP('',(#292823,#292824,#292825,#292826)); #55086=EDGE_LOOP('',(#292827,#292828,#292829,#292830)); #55087=EDGE_LOOP('',(#292831,#292832,#292833,#292834)); #55088=EDGE_LOOP('',(#292835,#292836,#292837,#292838)); #55089=EDGE_LOOP('',(#292839,#292840,#292841,#292842)); #55090=EDGE_LOOP('',(#292843,#292844,#292845,#292846)); #55091=EDGE_LOOP('',(#292847,#292848,#292849,#292850)); #55092=EDGE_LOOP('',(#292851,#292852,#292853,#292854)); #55093=EDGE_LOOP('',(#292855,#292856,#292857,#292858)); #55094=EDGE_LOOP('',(#292859,#292860,#292861,#292862)); #55095=EDGE_LOOP('',(#292863,#292864,#292865,#292866)); #55096=EDGE_LOOP('',(#292867,#292868,#292869,#292870)); #55097=EDGE_LOOP('',(#292871,#292872,#292873,#292874)); #55098=EDGE_LOOP('',(#292875,#292876,#292877,#292878)); #55099=EDGE_LOOP('',(#292879,#292880,#292881,#292882)); #55100=EDGE_LOOP('',(#292883,#292884,#292885,#292886)); #55101=EDGE_LOOP('',(#292887,#292888,#292889,#292890)); #55102=EDGE_LOOP('',(#292891,#292892,#292893,#292894)); #55103=EDGE_LOOP('',(#292895,#292896,#292897,#292898)); #55104=EDGE_LOOP('',(#292899,#292900,#292901,#292902)); #55105=EDGE_LOOP('',(#292903,#292904,#292905,#292906)); #55106=EDGE_LOOP('',(#292907,#292908,#292909,#292910)); #55107=EDGE_LOOP('',(#292911,#292912,#292913,#292914)); #55108=EDGE_LOOP('',(#292915,#292916,#292917,#292918)); #55109=EDGE_LOOP('',(#292919,#292920,#292921,#292922)); #55110=EDGE_LOOP('',(#292923,#292924,#292925,#292926)); #55111=EDGE_LOOP('',(#292927,#292928,#292929,#292930)); #55112=EDGE_LOOP('',(#292931,#292932,#292933,#292934)); #55113=EDGE_LOOP('',(#292935,#292936,#292937,#292938)); #55114=EDGE_LOOP('',(#292939,#292940,#292941,#292942)); #55115=EDGE_LOOP('',(#292943,#292944,#292945,#292946)); #55116=EDGE_LOOP('',(#292947,#292948,#292949,#292950)); #55117=EDGE_LOOP('',(#292951,#292952,#292953,#292954)); #55118=EDGE_LOOP('',(#292955,#292956,#292957,#292958)); #55119=EDGE_LOOP('',(#292959,#292960,#292961,#292962)); #55120=EDGE_LOOP('',(#292963,#292964,#292965,#292966)); #55121=EDGE_LOOP('',(#292967,#292968,#292969,#292970)); #55122=EDGE_LOOP('',(#292971,#292972,#292973,#292974)); #55123=EDGE_LOOP('',(#292975,#292976,#292977,#292978)); #55124=EDGE_LOOP('',(#292979,#292980,#292981,#292982)); #55125=EDGE_LOOP('',(#292983,#292984,#292985,#292986)); #55126=EDGE_LOOP('',(#292987,#292988,#292989,#292990)); #55127=EDGE_LOOP('',(#292991,#292992,#292993,#292994)); #55128=EDGE_LOOP('',(#292995,#292996,#292997,#292998)); #55129=EDGE_LOOP('',(#292999,#293000,#293001,#293002)); #55130=EDGE_LOOP('',(#293003,#293004,#293005,#293006)); #55131=EDGE_LOOP('',(#293007,#293008,#293009,#293010)); #55132=EDGE_LOOP('',(#293011,#293012,#293013,#293014)); #55133=EDGE_LOOP('',(#293015,#293016,#293017,#293018)); #55134=EDGE_LOOP('',(#293019,#293020,#293021,#293022)); #55135=EDGE_LOOP('',(#293023,#293024,#293025,#293026)); #55136=EDGE_LOOP('',(#293027,#293028,#293029,#293030)); #55137=EDGE_LOOP('',(#293031,#293032,#293033,#293034)); #55138=EDGE_LOOP('',(#293035,#293036,#293037,#293038)); #55139=EDGE_LOOP('',(#293039,#293040,#293041,#293042)); #55140=EDGE_LOOP('',(#293043,#293044,#293045,#293046)); #55141=EDGE_LOOP('',(#293047,#293048,#293049,#293050)); #55142=EDGE_LOOP('',(#293051,#293052,#293053,#293054)); #55143=EDGE_LOOP('',(#293055,#293056,#293057,#293058)); #55144=EDGE_LOOP('',(#293059,#293060,#293061,#293062)); #55145=EDGE_LOOP('',(#293063,#293064,#293065,#293066)); #55146=EDGE_LOOP('',(#293067,#293068,#293069,#293070)); #55147=EDGE_LOOP('',(#293071,#293072,#293073,#293074)); #55148=EDGE_LOOP('',(#293075,#293076,#293077,#293078)); #55149=EDGE_LOOP('',(#293079,#293080,#293081,#293082)); #55150=EDGE_LOOP('',(#293083,#293084,#293085,#293086)); #55151=EDGE_LOOP('',(#293087,#293088,#293089,#293090)); #55152=EDGE_LOOP('',(#293091,#293092,#293093,#293094)); #55153=EDGE_LOOP('',(#293095,#293096,#293097,#293098)); #55154=EDGE_LOOP('',(#293099,#293100,#293101,#293102)); #55155=EDGE_LOOP('',(#293103,#293104,#293105,#293106,#293107,#293108,#293109, #293110,#293111,#293112,#293113,#293114,#293115,#293116,#293117,#293118, #293119,#293120,#293121,#293122,#293123,#293124,#293125,#293126,#293127, #293128,#293129,#293130,#293131,#293132,#293133,#293134,#293135,#293136, #293137,#293138,#293139,#293140,#293141,#293142,#293143,#293144,#293145, #293146,#293147,#293148,#293149,#293150,#293151,#293152,#293153,#293154, #293155,#293156,#293157,#293158,#293159,#293160,#293161,#293162,#293163, #293164,#293165,#293166,#293167,#293168,#293169,#293170,#293171,#293172, #293173,#293174,#293175,#293176,#293177,#293178,#293179,#293180,#293181, #293182,#293183,#293184,#293185,#293186,#293187,#293188,#293189,#293190, #293191,#293192,#293193,#293194,#293195,#293196,#293197,#293198,#293199, #293200,#293201,#293202,#293203,#293204,#293205,#293206,#293207,#293208, #293209,#293210,#293211,#293212,#293213,#293214,#293215,#293216,#293217, #293218,#293219,#293220,#293221,#293222)); #55156=EDGE_LOOP('',(#293223)); #55157=EDGE_LOOP('',(#293224)); #55158=EDGE_LOOP('',(#293225)); #55159=EDGE_LOOP('',(#293226)); #55160=EDGE_LOOP('',(#293227)); #55161=EDGE_LOOP('',(#293228)); #55162=EDGE_LOOP('',(#293229)); #55163=EDGE_LOOP('',(#293230)); #55164=EDGE_LOOP('',(#293231)); #55165=EDGE_LOOP('',(#293232)); #55166=EDGE_LOOP('',(#293233)); #55167=EDGE_LOOP('',(#293234)); #55168=EDGE_LOOP('',(#293235)); #55169=EDGE_LOOP('',(#293236)); #55170=EDGE_LOOP('',(#293237)); #55171=EDGE_LOOP('',(#293238)); #55172=EDGE_LOOP('',(#293239)); #55173=EDGE_LOOP('',(#293240)); #55174=EDGE_LOOP('',(#293241)); #55175=EDGE_LOOP('',(#293242)); #55176=EDGE_LOOP('',(#293243)); #55177=EDGE_LOOP('',(#293244)); #55178=EDGE_LOOP('',(#293245)); #55179=EDGE_LOOP('',(#293246)); #55180=EDGE_LOOP('',(#293247)); #55181=EDGE_LOOP('',(#293248)); #55182=EDGE_LOOP('',(#293249)); #55183=EDGE_LOOP('',(#293250)); #55184=EDGE_LOOP('',(#293251)); #55185=EDGE_LOOP('',(#293252)); #55186=EDGE_LOOP('',(#293253)); #55187=EDGE_LOOP('',(#293254)); #55188=EDGE_LOOP('',(#293255)); #55189=EDGE_LOOP('',(#293256)); #55190=EDGE_LOOP('',(#293257)); #55191=EDGE_LOOP('',(#293258)); #55192=EDGE_LOOP('',(#293259)); #55193=EDGE_LOOP('',(#293260)); #55194=EDGE_LOOP('',(#293261)); #55195=EDGE_LOOP('',(#293262)); #55196=EDGE_LOOP('',(#293263)); #55197=EDGE_LOOP('',(#293264)); #55198=EDGE_LOOP('',(#293265)); #55199=EDGE_LOOP('',(#293266)); #55200=EDGE_LOOP('',(#293267)); #55201=EDGE_LOOP('',(#293268)); #55202=EDGE_LOOP('',(#293269)); #55203=EDGE_LOOP('',(#293270)); #55204=EDGE_LOOP('',(#293271)); #55205=EDGE_LOOP('',(#293272)); #55206=EDGE_LOOP('',(#293273)); #55207=EDGE_LOOP('',(#293274)); #55208=EDGE_LOOP('',(#293275)); #55209=EDGE_LOOP('',(#293276)); #55210=EDGE_LOOP('',(#293277)); #55211=EDGE_LOOP('',(#293278)); #55212=EDGE_LOOP('',(#293279)); #55213=EDGE_LOOP('',(#293280)); #55214=EDGE_LOOP('',(#293281)); #55215=EDGE_LOOP('',(#293282)); #55216=EDGE_LOOP('',(#293283)); #55217=EDGE_LOOP('',(#293284)); #55218=EDGE_LOOP('',(#293285)); #55219=EDGE_LOOP('',(#293286)); #55220=EDGE_LOOP('',(#293287,#293288,#293289,#293290)); #55221=EDGE_LOOP('',(#293291,#293292,#293293,#293294)); #55222=EDGE_LOOP('',(#293295)); #55223=EDGE_LOOP('',(#293296)); #55224=EDGE_LOOP('',(#293297,#293298,#293299,#293300)); #55225=EDGE_LOOP('',(#293301)); #55226=EDGE_LOOP('',(#293302)); #55227=EDGE_LOOP('',(#293303)); #55228=EDGE_LOOP('',(#293304)); #55229=EDGE_LOOP('',(#293305)); #55230=EDGE_LOOP('',(#293306,#293307,#293308,#293309)); #55231=EDGE_LOOP('',(#293310)); #55232=EDGE_LOOP('',(#293311,#293312,#293313,#293314)); #55233=EDGE_LOOP('',(#293315,#293316,#293317,#293318)); #55234=EDGE_LOOP('',(#293319)); #55235=EDGE_LOOP('',(#293320)); #55236=EDGE_LOOP('',(#293321)); #55237=EDGE_LOOP('',(#293322)); #55238=EDGE_LOOP('',(#293323)); #55239=EDGE_LOOP('',(#293324)); #55240=EDGE_LOOP('',(#293325)); #55241=EDGE_LOOP('',(#293326)); #55242=EDGE_LOOP('',(#293327)); #55243=EDGE_LOOP('',(#293328)); #55244=EDGE_LOOP('',(#293329)); #55245=EDGE_LOOP('',(#293330)); #55246=EDGE_LOOP('',(#293331)); #55247=EDGE_LOOP('',(#293332)); #55248=EDGE_LOOP('',(#293333)); #55249=EDGE_LOOP('',(#293334)); #55250=EDGE_LOOP('',(#293335)); #55251=EDGE_LOOP('',(#293336)); #55252=EDGE_LOOP('',(#293337)); #55253=EDGE_LOOP('',(#293338)); #55254=EDGE_LOOP('',(#293339)); #55255=EDGE_LOOP('',(#293340)); #55256=EDGE_LOOP('',(#293341)); #55257=EDGE_LOOP('',(#293342)); #55258=EDGE_LOOP('',(#293343)); #55259=EDGE_LOOP('',(#293344)); #55260=EDGE_LOOP('',(#293345)); #55261=EDGE_LOOP('',(#293346)); #55262=EDGE_LOOP('',(#293347)); #55263=EDGE_LOOP('',(#293348)); #55264=EDGE_LOOP('',(#293349)); #55265=EDGE_LOOP('',(#293350)); #55266=EDGE_LOOP('',(#293351)); #55267=EDGE_LOOP('',(#293352)); #55268=EDGE_LOOP('',(#293353)); #55269=EDGE_LOOP('',(#293354)); #55270=EDGE_LOOP('',(#293355)); #55271=EDGE_LOOP('',(#293356)); #55272=EDGE_LOOP('',(#293357)); #55273=EDGE_LOOP('',(#293358)); #55274=EDGE_LOOP('',(#293359)); #55275=EDGE_LOOP('',(#293360)); #55276=EDGE_LOOP('',(#293361)); #55277=EDGE_LOOP('',(#293362)); #55278=EDGE_LOOP('',(#293363)); #55279=EDGE_LOOP('',(#293364)); #55280=EDGE_LOOP('',(#293365)); #55281=EDGE_LOOP('',(#293366)); #55282=EDGE_LOOP('',(#293367)); #55283=EDGE_LOOP('',(#293368)); #55284=EDGE_LOOP('',(#293369)); #55285=EDGE_LOOP('',(#293370)); #55286=EDGE_LOOP('',(#293371,#293372,#293373,#293374,#293375,#293376,#293377, #293378,#293379,#293380,#293381,#293382,#293383,#293384,#293385,#293386, #293387,#293388,#293389,#293390,#293391,#293392,#293393,#293394,#293395, #293396,#293397,#293398,#293399,#293400)); #55287=EDGE_LOOP('',(#293401,#293402,#293403,#293404,#293405,#293406,#293407, #293408,#293409,#293410,#293411,#293412,#293413,#293414,#293415,#293416, #293417,#293418,#293419,#293420,#293421,#293422,#293423,#293424,#293425, #293426,#293427,#293428,#293429,#293430)); #55288=EDGE_LOOP('',(#293431,#293432,#293433,#293434,#293435,#293436,#293437, #293438,#293439,#293440,#293441,#293442,#293443,#293444,#293445,#293446, #293447,#293448,#293449,#293450,#293451,#293452,#293453,#293454,#293455, #293456,#293457,#293458,#293459,#293460)); #55289=EDGE_LOOP('',(#293461,#293462,#293463,#293464,#293465,#293466,#293467, #293468,#293469,#293470,#293471,#293472,#293473,#293474,#293475,#293476, #293477,#293478,#293479,#293480,#293481,#293482,#293483,#293484,#293485, #293486,#293487,#293488,#293489,#293490,#293491,#293492,#293493,#293494, #293495,#293496,#293497,#293498,#293499,#293500,#293501,#293502,#293503, #293504,#293505,#293506,#293507,#293508,#293509,#293510,#293511,#293512, #293513,#293514,#293515,#293516,#293517,#293518,#293519,#293520,#293521, #293522,#293523,#293524,#293525,#293526,#293527,#293528,#293529,#293530, #293531,#293532,#293533,#293534,#293535,#293536,#293537,#293538,#293539, #293540,#293541,#293542,#293543,#293544,#293545,#293546,#293547,#293548, #293549,#293550,#293551,#293552,#293553,#293554,#293555,#293556,#293557, #293558,#293559,#293560,#293561,#293562,#293563,#293564,#293565,#293566, #293567,#293568,#293569,#293570,#293571,#293572,#293573,#293574,#293575, #293576,#293577,#293578,#293579,#293580,#293581,#293582,#293583,#293584, #293585,#293586,#293587,#293588,#293589,#293590,#293591,#293592,#293593, #293594,#293595,#293596,#293597,#293598,#293599,#293600,#293601,#293602, #293603,#293604,#293605,#293606,#293607,#293608,#293609,#293610,#293611, #293612,#293613,#293614,#293615,#293616,#293617,#293618,#293619,#293620, #293621,#293622,#293623,#293624,#293625,#293626,#293627,#293628,#293629, #293630,#293631,#293632,#293633,#293634,#293635,#293636,#293637,#293638, #293639,#293640,#293641,#293642,#293643,#293644,#293645,#293646,#293647, #293648,#293649,#293650,#293651,#293652,#293653,#293654,#293655,#293656, #293657,#293658,#293659,#293660,#293661,#293662,#293663,#293664,#293665, #293666,#293667,#293668,#293669,#293670,#293671,#293672,#293673,#293674, #293675,#293676,#293677,#293678,#293679,#293680,#293681,#293682,#293683, #293684,#293685,#293686,#293687,#293688,#293689,#293690,#293691,#293692, #293693,#293694,#293695,#293696,#293697,#293698,#293699,#293700,#293701, #293702,#293703,#293704,#293705,#293706,#293707,#293708,#293709,#293710, #293711)); #55290=EDGE_LOOP('',(#293712,#293713,#293714,#293715,#293716,#293717,#293718, #293719,#293720,#293721,#293722,#293723,#293724,#293725,#293726,#293727, #293728,#293729,#293730,#293731,#293732,#293733,#293734,#293735,#293736, #293737,#293738,#293739,#293740,#293741,#293742,#293743,#293744,#293745, #293746,#293747,#293748,#293749,#293750,#293751,#293752,#293753,#293754, #293755,#293756,#293757,#293758,#293759,#293760,#293761,#293762,#293763, #293764,#293765,#293766,#293767,#293768,#293769,#293770,#293771,#293772, #293773,#293774,#293775,#293776,#293777,#293778,#293779,#293780,#293781, #293782,#293783,#293784,#293785,#293786,#293787,#293788,#293789,#293790, #293791,#293792,#293793,#293794,#293795,#293796,#293797,#293798,#293799, #293800,#293801,#293802,#293803,#293804,#293805,#293806,#293807,#293808, #293809,#293810,#293811)); #55291=EDGE_LOOP('',(#293812,#293813,#293814,#293815,#293816,#293817,#293818, #293819,#293820,#293821,#293822,#293823,#293824,#293825,#293826,#293827, #293828,#293829,#293830,#293831,#293832,#293833,#293834,#293835,#293836, #293837,#293838,#293839,#293840,#293841,#293842,#293843,#293844,#293845, #293846,#293847,#293848,#293849,#293850,#293851,#293852,#293853,#293854, #293855,#293856,#293857,#293858,#293859,#293860,#293861,#293862,#293863, #293864,#293865,#293866,#293867,#293868,#293869,#293870,#293871,#293872, #293873,#293874,#293875,#293876,#293877,#293878,#293879,#293880,#293881, #293882,#293883,#293884,#293885,#293886,#293887,#293888,#293889,#293890, #293891,#293892,#293893,#293894,#293895,#293896,#293897,#293898,#293899, #293900,#293901,#293902,#293903,#293904,#293905,#293906,#293907,#293908, #293909,#293910,#293911,#293912,#293913,#293914,#293915,#293916,#293917, #293918,#293919,#293920,#293921,#293922,#293923,#293924,#293925,#293926, #293927,#293928,#293929,#293930,#293931,#293932,#293933,#293934,#293935, #293936,#293937,#293938,#293939,#293940,#293941,#293942,#293943,#293944, #293945,#293946,#293947,#293948,#293949,#293950,#293951,#293952,#293953, #293954,#293955,#293956,#293957,#293958,#293959,#293960,#293961,#293962, #293963,#293964,#293965,#293966,#293967,#293968,#293969,#293970,#293971, #293972,#293973,#293974,#293975,#293976,#293977,#293978,#293979,#293980, #293981,#293982,#293983,#293984,#293985,#293986,#293987,#293988,#293989, #293990,#293991,#293992,#293993,#293994,#293995,#293996,#293997,#293998, #293999,#294000,#294001,#294002,#294003,#294004,#294005,#294006,#294007, #294008,#294009,#294010,#294011,#294012,#294013,#294014,#294015,#294016, #294017,#294018,#294019,#294020,#294021,#294022,#294023,#294024,#294025, #294026,#294027,#294028,#294029,#294030,#294031,#294032,#294033,#294034, #294035,#294036,#294037,#294038,#294039,#294040,#294041,#294042,#294043, #294044,#294045,#294046,#294047,#294048,#294049,#294050,#294051,#294052, #294053,#294054,#294055,#294056,#294057,#294058,#294059,#294060,#294061, #294062,#294063,#294064,#294065,#294066,#294067,#294068,#294069,#294070, #294071,#294072,#294073,#294074,#294075,#294076,#294077,#294078,#294079, #294080,#294081,#294082,#294083,#294084,#294085,#294086,#294087,#294088, #294089,#294090,#294091,#294092,#294093,#294094,#294095,#294096,#294097, #294098,#294099,#294100,#294101,#294102,#294103,#294104,#294105,#294106, #294107,#294108,#294109,#294110,#294111,#294112,#294113,#294114,#294115, #294116,#294117,#294118,#294119,#294120,#294121,#294122,#294123,#294124, #294125,#294126,#294127,#294128,#294129,#294130,#294131,#294132,#294133, #294134,#294135,#294136,#294137,#294138,#294139,#294140,#294141,#294142, #294143,#294144,#294145,#294146,#294147,#294148,#294149,#294150,#294151, #294152,#294153,#294154,#294155,#294156,#294157,#294158,#294159,#294160, #294161,#294162,#294163,#294164,#294165,#294166,#294167,#294168,#294169, #294170,#294171,#294172,#294173,#294174,#294175,#294176,#294177,#294178, #294179,#294180,#294181,#294182,#294183,#294184,#294185,#294186,#294187, #294188,#294189,#294190,#294191,#294192,#294193,#294194,#294195,#294196, #294197,#294198,#294199,#294200,#294201,#294202,#294203,#294204,#294205, #294206,#294207,#294208,#294209,#294210,#294211,#294212,#294213,#294214, #294215,#294216,#294217,#294218,#294219,#294220,#294221,#294222,#294223, #294224,#294225,#294226,#294227,#294228,#294229,#294230,#294231,#294232, #294233,#294234,#294235,#294236,#294237,#294238,#294239,#294240,#294241, #294242,#294243,#294244,#294245,#294246,#294247,#294248,#294249,#294250, #294251,#294252,#294253,#294254,#294255,#294256,#294257,#294258,#294259, #294260,#294261,#294262,#294263,#294264,#294265,#294266,#294267,#294268, #294269,#294270,#294271,#294272,#294273,#294274,#294275,#294276,#294277, #294278,#294279,#294280,#294281,#294282,#294283,#294284,#294285,#294286, #294287,#294288,#294289,#294290,#294291,#294292,#294293,#294294,#294295, #294296,#294297,#294298,#294299,#294300,#294301,#294302,#294303,#294304, #294305,#294306,#294307,#294308,#294309,#294310,#294311,#294312,#294313, #294314,#294315,#294316,#294317,#294318,#294319,#294320,#294321,#294322, #294323,#294324,#294325,#294326,#294327,#294328,#294329,#294330,#294331, #294332,#294333,#294334,#294335,#294336,#294337,#294338,#294339,#294340, #294341,#294342,#294343,#294344,#294345,#294346,#294347,#294348,#294349, #294350,#294351,#294352,#294353,#294354,#294355,#294356,#294357,#294358, #294359,#294360,#294361,#294362,#294363,#294364,#294365,#294366,#294367, #294368,#294369,#294370,#294371,#294372,#294373,#294374,#294375,#294376, #294377,#294378,#294379,#294380,#294381,#294382,#294383,#294384,#294385, #294386,#294387,#294388,#294389,#294390,#294391,#294392,#294393,#294394, #294395,#294396,#294397,#294398,#294399,#294400,#294401,#294402,#294403, #294404,#294405,#294406,#294407,#294408,#294409,#294410,#294411,#294412, #294413,#294414,#294415,#294416,#294417,#294418,#294419,#294420,#294421, #294422,#294423,#294424,#294425,#294426,#294427,#294428,#294429,#294430, #294431,#294432,#294433,#294434,#294435,#294436,#294437,#294438,#294439, #294440,#294441,#294442,#294443,#294444,#294445,#294446,#294447,#294448, #294449,#294450,#294451,#294452,#294453,#294454,#294455,#294456,#294457)); #55292=EDGE_LOOP('',(#294458,#294459,#294460,#294461,#294462,#294463,#294464, #294465,#294466,#294467,#294468,#294469,#294470,#294471,#294472,#294473, #294474,#294475,#294476,#294477,#294478,#294479,#294480,#294481)); #55293=EDGE_LOOP('',(#294482,#294483,#294484,#294485,#294486,#294487,#294488, #294489,#294490,#294491,#294492,#294493,#294494,#294495,#294496,#294497, #294498,#294499,#294500,#294501,#294502,#294503,#294504,#294505,#294506, #294507,#294508,#294509,#294510,#294511,#294512,#294513,#294514,#294515, #294516,#294517,#294518,#294519)); #55294=EDGE_LOOP('',(#294520,#294521,#294522,#294523,#294524,#294525,#294526, #294527,#294528,#294529,#294530,#294531,#294532,#294533,#294534,#294535, #294536,#294537,#294538,#294539,#294540,#294541,#294542,#294543,#294544, #294545,#294546,#294547,#294548,#294549,#294550,#294551,#294552,#294553, #294554,#294555,#294556,#294557,#294558,#294559)); #55295=EDGE_LOOP('',(#294560,#294561,#294562,#294563,#294564,#294565,#294566, #294567,#294568,#294569,#294570,#294571,#294572,#294573,#294574,#294575, #294576,#294577,#294578,#294579,#294580,#294581,#294582,#294583,#294584, #294585,#294586,#294587,#294588,#294589,#294590,#294591,#294592,#294593)); #55296=EDGE_LOOP('',(#294594,#294595,#294596,#294597,#294598,#294599,#294600, #294601,#294602,#294603,#294604,#294605,#294606,#294607,#294608,#294609, #294610,#294611,#294612,#294613,#294614,#294615,#294616,#294617,#294618, #294619,#294620,#294621,#294622,#294623,#294624,#294625,#294626,#294627)); #55297=EDGE_LOOP('',(#294628,#294629,#294630,#294631,#294632,#294633,#294634, #294635)); #55298=EDGE_LOOP('',(#294636,#294637,#294638,#294639,#294640,#294641,#294642, #294643)); #55299=EDGE_LOOP('',(#294644,#294645,#294646,#294647,#294648,#294649,#294650, #294651)); #55300=EDGE_LOOP('',(#294652,#294653,#294654,#294655,#294656,#294657,#294658, #294659,#294660,#294661,#294662,#294663,#294664,#294665,#294666,#294667, #294668,#294669,#294670,#294671,#294672,#294673,#294674,#294675,#294676, #294677,#294678,#294679,#294680,#294681,#294682,#294683,#294684,#294685, #294686,#294687,#294688,#294689,#294690,#294691,#294692,#294693,#294694, #294695,#294696,#294697,#294698,#294699,#294700,#294701,#294702,#294703, #294704,#294705,#294706,#294707,#294708,#294709,#294710,#294711,#294712, #294713,#294714,#294715,#294716,#294717,#294718,#294719,#294720,#294721, #294722,#294723,#294724,#294725,#294726,#294727,#294728,#294729,#294730, #294731,#294732,#294733,#294734,#294735,#294736,#294737,#294738,#294739, #294740,#294741,#294742,#294743,#294744,#294745,#294746,#294747,#294748, #294749,#294750,#294751,#294752,#294753,#294754,#294755,#294756,#294757, #294758,#294759,#294760,#294761,#294762,#294763,#294764,#294765,#294766, #294767,#294768,#294769,#294770,#294771,#294772,#294773,#294774,#294775, #294776,#294777,#294778,#294779,#294780,#294781,#294782,#294783)); #55301=EDGE_LOOP('',(#294784,#294785,#294786,#294787,#294788,#294789,#294790, #294791,#294792,#294793,#294794,#294795,#294796,#294797,#294798,#294799, #294800,#294801,#294802,#294803,#294804,#294805,#294806,#294807,#294808, #294809,#294810,#294811,#294812,#294813,#294814,#294815,#294816,#294817, #294818,#294819,#294820,#294821,#294822,#294823,#294824,#294825,#294826, #294827,#294828,#294829,#294830,#294831,#294832,#294833,#294834,#294835, #294836,#294837,#294838,#294839,#294840,#294841,#294842,#294843,#294844, #294845,#294846,#294847,#294848,#294849,#294850,#294851,#294852,#294853, #294854,#294855,#294856,#294857,#294858,#294859,#294860,#294861,#294862, #294863,#294864,#294865,#294866,#294867,#294868,#294869,#294870,#294871, #294872,#294873,#294874,#294875,#294876,#294877,#294878,#294879,#294880, #294881,#294882,#294883,#294884,#294885,#294886,#294887,#294888,#294889, #294890,#294891,#294892,#294893,#294894,#294895,#294896,#294897,#294898, #294899,#294900,#294901,#294902,#294903)); #55302=EDGE_LOOP('',(#294904)); #55303=EDGE_LOOP('',(#294905)); #55304=EDGE_LOOP('',(#294906)); #55305=EDGE_LOOP('',(#294907)); #55306=EDGE_LOOP('',(#294908)); #55307=EDGE_LOOP('',(#294909)); #55308=EDGE_LOOP('',(#294910)); #55309=EDGE_LOOP('',(#294911)); #55310=EDGE_LOOP('',(#294912)); #55311=EDGE_LOOP('',(#294913)); #55312=EDGE_LOOP('',(#294914)); #55313=EDGE_LOOP('',(#294915)); #55314=EDGE_LOOP('',(#294916)); #55315=EDGE_LOOP('',(#294917)); #55316=EDGE_LOOP('',(#294918)); #55317=EDGE_LOOP('',(#294919)); #55318=EDGE_LOOP('',(#294920)); #55319=EDGE_LOOP('',(#294921)); #55320=EDGE_LOOP('',(#294922)); #55321=EDGE_LOOP('',(#294923)); #55322=EDGE_LOOP('',(#294924)); #55323=EDGE_LOOP('',(#294925)); #55324=EDGE_LOOP('',(#294926)); #55325=EDGE_LOOP('',(#294927)); #55326=EDGE_LOOP('',(#294928)); #55327=EDGE_LOOP('',(#294929)); #55328=EDGE_LOOP('',(#294930)); #55329=EDGE_LOOP('',(#294931)); #55330=EDGE_LOOP('',(#294932)); #55331=EDGE_LOOP('',(#294933)); #55332=EDGE_LOOP('',(#294934)); #55333=EDGE_LOOP('',(#294935)); #55334=EDGE_LOOP('',(#294936)); #55335=EDGE_LOOP('',(#294937)); #55336=EDGE_LOOP('',(#294938)); #55337=EDGE_LOOP('',(#294939)); #55338=EDGE_LOOP('',(#294940)); #55339=EDGE_LOOP('',(#294941)); #55340=EDGE_LOOP('',(#294942)); #55341=EDGE_LOOP('',(#294943)); #55342=EDGE_LOOP('',(#294944)); #55343=EDGE_LOOP('',(#294945)); #55344=EDGE_LOOP('',(#294946)); #55345=EDGE_LOOP('',(#294947)); #55346=EDGE_LOOP('',(#294948)); #55347=EDGE_LOOP('',(#294949)); #55348=EDGE_LOOP('',(#294950)); #55349=EDGE_LOOP('',(#294951)); #55350=EDGE_LOOP('',(#294952)); #55351=EDGE_LOOP('',(#294953)); #55352=EDGE_LOOP('',(#294954)); #55353=EDGE_LOOP('',(#294955)); #55354=EDGE_LOOP('',(#294956)); #55355=EDGE_LOOP('',(#294957)); #55356=EDGE_LOOP('',(#294958)); #55357=EDGE_LOOP('',(#294959)); #55358=EDGE_LOOP('',(#294960)); #55359=EDGE_LOOP('',(#294961)); #55360=EDGE_LOOP('',(#294962)); #55361=EDGE_LOOP('',(#294963)); #55362=EDGE_LOOP('',(#294964)); #55363=EDGE_LOOP('',(#294965)); #55364=EDGE_LOOP('',(#294966)); #55365=EDGE_LOOP('',(#294967)); #55366=EDGE_LOOP('',(#294968,#294969,#294970,#294971)); #55367=EDGE_LOOP('',(#294972,#294973,#294974,#294975)); #55368=EDGE_LOOP('',(#294976)); #55369=EDGE_LOOP('',(#294977)); #55370=EDGE_LOOP('',(#294978,#294979,#294980,#294981)); #55371=EDGE_LOOP('',(#294982)); #55372=EDGE_LOOP('',(#294983)); #55373=EDGE_LOOP('',(#294984)); #55374=EDGE_LOOP('',(#294985)); #55375=EDGE_LOOP('',(#294986)); #55376=EDGE_LOOP('',(#294987,#294988,#294989,#294990)); #55377=EDGE_LOOP('',(#294991)); #55378=EDGE_LOOP('',(#294992,#294993,#294994,#294995)); #55379=EDGE_LOOP('',(#294996,#294997,#294998,#294999)); #55380=EDGE_LOOP('',(#295000)); #55381=EDGE_LOOP('',(#295001)); #55382=EDGE_LOOP('',(#295002)); #55383=EDGE_LOOP('',(#295003)); #55384=EDGE_LOOP('',(#295004)); #55385=EDGE_LOOP('',(#295005)); #55386=EDGE_LOOP('',(#295006)); #55387=EDGE_LOOP('',(#295007)); #55388=EDGE_LOOP('',(#295008)); #55389=EDGE_LOOP('',(#295009)); #55390=EDGE_LOOP('',(#295010)); #55391=EDGE_LOOP('',(#295011)); #55392=EDGE_LOOP('',(#295012)); #55393=EDGE_LOOP('',(#295013)); #55394=EDGE_LOOP('',(#295014)); #55395=EDGE_LOOP('',(#295015)); #55396=EDGE_LOOP('',(#295016)); #55397=EDGE_LOOP('',(#295017)); #55398=EDGE_LOOP('',(#295018)); #55399=EDGE_LOOP('',(#295019)); #55400=EDGE_LOOP('',(#295020)); #55401=EDGE_LOOP('',(#295021)); #55402=EDGE_LOOP('',(#295022)); #55403=EDGE_LOOP('',(#295023)); #55404=EDGE_LOOP('',(#295024)); #55405=EDGE_LOOP('',(#295025)); #55406=EDGE_LOOP('',(#295026)); #55407=EDGE_LOOP('',(#295027)); #55408=EDGE_LOOP('',(#295028)); #55409=EDGE_LOOP('',(#295029)); #55410=EDGE_LOOP('',(#295030)); #55411=EDGE_LOOP('',(#295031)); #55412=EDGE_LOOP('',(#295032)); #55413=EDGE_LOOP('',(#295033)); #55414=EDGE_LOOP('',(#295034)); #55415=EDGE_LOOP('',(#295035)); #55416=EDGE_LOOP('',(#295036)); #55417=EDGE_LOOP('',(#295037)); #55418=EDGE_LOOP('',(#295038)); #55419=EDGE_LOOP('',(#295039)); #55420=EDGE_LOOP('',(#295040)); #55421=EDGE_LOOP('',(#295041)); #55422=EDGE_LOOP('',(#295042)); #55423=EDGE_LOOP('',(#295043)); #55424=EDGE_LOOP('',(#295044)); #55425=EDGE_LOOP('',(#295045)); #55426=EDGE_LOOP('',(#295046)); #55427=EDGE_LOOP('',(#295047)); #55428=EDGE_LOOP('',(#295048)); #55429=EDGE_LOOP('',(#295049)); #55430=EDGE_LOOP('',(#295050)); #55431=EDGE_LOOP('',(#295051)); #55432=EDGE_LOOP('',(#295052,#295053,#295054,#295055,#295056,#295057,#295058, #295059,#295060,#295061,#295062,#295063,#295064,#295065,#295066,#295067, #295068,#295069,#295070,#295071,#295072,#295073,#295074,#295075,#295076, #295077,#295078,#295079,#295080,#295081)); #55433=EDGE_LOOP('',(#295082,#295083,#295084,#295085,#295086,#295087,#295088, #295089,#295090,#295091,#295092,#295093,#295094,#295095,#295096,#295097, #295098,#295099,#295100,#295101,#295102,#295103,#295104,#295105,#295106, #295107,#295108,#295109,#295110,#295111)); #55434=EDGE_LOOP('',(#295112,#295113,#295114,#295115,#295116,#295117,#295118, #295119,#295120,#295121,#295122,#295123,#295124,#295125,#295126,#295127, #295128,#295129,#295130,#295131,#295132,#295133,#295134,#295135,#295136, #295137,#295138,#295139,#295140,#295141)); #55435=EDGE_LOOP('',(#295142,#295143,#295144,#295145,#295146,#295147,#295148, #295149,#295150,#295151,#295152,#295153,#295154,#295155,#295156,#295157, #295158,#295159,#295160,#295161,#295162,#295163,#295164,#295165,#295166, #295167,#295168,#295169,#295170,#295171,#295172,#295173,#295174,#295175, #295176,#295177,#295178,#295179,#295180,#295181,#295182,#295183,#295184, #295185,#295186,#295187,#295188,#295189,#295190,#295191,#295192,#295193, #295194,#295195,#295196,#295197,#295198,#295199,#295200,#295201,#295202, #295203,#295204,#295205,#295206,#295207,#295208,#295209,#295210,#295211, #295212,#295213,#295214,#295215,#295216,#295217,#295218,#295219,#295220, #295221,#295222,#295223,#295224,#295225,#295226,#295227,#295228,#295229, #295230,#295231,#295232,#295233,#295234,#295235,#295236,#295237,#295238, #295239,#295240,#295241,#295242,#295243,#295244,#295245,#295246,#295247, #295248,#295249,#295250,#295251,#295252,#295253,#295254,#295255,#295256, #295257,#295258,#295259,#295260,#295261,#295262,#295263,#295264,#295265, #295266,#295267,#295268,#295269,#295270,#295271,#295272,#295273,#295274, #295275,#295276,#295277,#295278,#295279,#295280,#295281,#295282,#295283, #295284,#295285,#295286,#295287,#295288,#295289,#295290,#295291,#295292, #295293,#295294,#295295,#295296,#295297,#295298,#295299,#295300,#295301, #295302,#295303,#295304,#295305,#295306,#295307,#295308,#295309,#295310, #295311,#295312,#295313,#295314,#295315,#295316,#295317,#295318,#295319, #295320,#295321,#295322,#295323,#295324,#295325,#295326,#295327,#295328, #295329,#295330,#295331,#295332,#295333,#295334,#295335,#295336,#295337, #295338,#295339,#295340,#295341,#295342,#295343,#295344,#295345,#295346, #295347,#295348,#295349,#295350,#295351,#295352,#295353,#295354,#295355, #295356,#295357,#295358,#295359,#295360,#295361,#295362,#295363,#295364, #295365,#295366,#295367,#295368,#295369,#295370,#295371,#295372,#295373, #295374,#295375,#295376,#295377,#295378,#295379,#295380,#295381,#295382, #295383,#295384,#295385,#295386,#295387,#295388,#295389,#295390,#295391, #295392)); #55436=EDGE_LOOP('',(#295393,#295394,#295395,#295396,#295397,#295398,#295399, #295400,#295401,#295402,#295403,#295404,#295405,#295406,#295407,#295408, #295409,#295410,#295411,#295412,#295413,#295414,#295415,#295416,#295417, #295418,#295419,#295420,#295421,#295422,#295423,#295424,#295425,#295426, #295427,#295428,#295429,#295430,#295431,#295432,#295433,#295434,#295435, #295436,#295437,#295438,#295439,#295440,#295441,#295442,#295443,#295444, #295445,#295446,#295447,#295448,#295449,#295450,#295451,#295452,#295453, #295454,#295455,#295456,#295457,#295458,#295459,#295460,#295461,#295462, #295463,#295464,#295465,#295466,#295467,#295468,#295469,#295470,#295471, #295472,#295473,#295474,#295475,#295476,#295477,#295478,#295479,#295480, #295481,#295482,#295483,#295484,#295485,#295486,#295487,#295488,#295489, #295490,#295491,#295492)); #55437=EDGE_LOOP('',(#295493,#295494,#295495,#295496,#295497,#295498,#295499, #295500,#295501,#295502,#295503,#295504,#295505,#295506,#295507,#295508, #295509,#295510,#295511,#295512,#295513,#295514,#295515,#295516,#295517, #295518,#295519,#295520,#295521,#295522,#295523,#295524,#295525,#295526, #295527,#295528,#295529,#295530,#295531,#295532,#295533,#295534,#295535, #295536,#295537,#295538,#295539,#295540,#295541,#295542,#295543,#295544, #295545,#295546,#295547,#295548,#295549,#295550,#295551,#295552,#295553, #295554,#295555,#295556,#295557,#295558,#295559,#295560,#295561,#295562, #295563,#295564,#295565,#295566,#295567,#295568,#295569,#295570,#295571, #295572,#295573,#295574,#295575,#295576,#295577,#295578,#295579,#295580, #295581,#295582,#295583,#295584,#295585,#295586,#295587,#295588,#295589, #295590,#295591,#295592,#295593,#295594,#295595,#295596,#295597,#295598, #295599,#295600,#295601,#295602,#295603,#295604,#295605,#295606,#295607, #295608,#295609,#295610,#295611,#295612,#295613,#295614,#295615,#295616, #295617,#295618,#295619,#295620,#295621,#295622,#295623,#295624,#295625, #295626,#295627,#295628,#295629,#295630,#295631,#295632,#295633,#295634, #295635,#295636,#295637,#295638,#295639,#295640,#295641,#295642,#295643, #295644,#295645,#295646,#295647,#295648,#295649,#295650,#295651,#295652, #295653,#295654,#295655,#295656,#295657,#295658,#295659,#295660,#295661, #295662,#295663,#295664,#295665,#295666,#295667,#295668,#295669,#295670, #295671,#295672,#295673,#295674,#295675,#295676,#295677,#295678,#295679, #295680,#295681,#295682,#295683,#295684,#295685,#295686,#295687,#295688, #295689,#295690,#295691,#295692,#295693,#295694,#295695,#295696,#295697, #295698,#295699,#295700,#295701,#295702,#295703,#295704,#295705,#295706, #295707,#295708,#295709,#295710,#295711,#295712,#295713,#295714,#295715, #295716,#295717,#295718,#295719,#295720,#295721,#295722,#295723,#295724, #295725,#295726,#295727,#295728,#295729,#295730,#295731,#295732,#295733, #295734,#295735,#295736,#295737,#295738,#295739,#295740,#295741,#295742, #295743,#295744,#295745,#295746,#295747,#295748,#295749,#295750,#295751, #295752,#295753,#295754,#295755,#295756,#295757,#295758,#295759,#295760, #295761,#295762,#295763,#295764,#295765,#295766,#295767,#295768,#295769, #295770,#295771,#295772,#295773,#295774,#295775,#295776,#295777,#295778, #295779,#295780,#295781,#295782,#295783,#295784,#295785,#295786,#295787, #295788,#295789,#295790,#295791,#295792,#295793,#295794,#295795,#295796, #295797,#295798,#295799,#295800,#295801,#295802,#295803,#295804,#295805, #295806,#295807,#295808,#295809,#295810,#295811,#295812,#295813,#295814, #295815,#295816,#295817,#295818,#295819,#295820,#295821,#295822,#295823, #295824,#295825,#295826,#295827,#295828,#295829,#295830,#295831,#295832, #295833,#295834,#295835,#295836,#295837,#295838,#295839,#295840,#295841, #295842,#295843,#295844,#295845,#295846,#295847,#295848,#295849,#295850, #295851,#295852,#295853,#295854,#295855,#295856,#295857,#295858,#295859, #295860,#295861,#295862,#295863,#295864,#295865,#295866,#295867,#295868, #295869,#295870,#295871,#295872,#295873,#295874,#295875,#295876,#295877, #295878,#295879,#295880,#295881,#295882,#295883,#295884,#295885,#295886, #295887,#295888,#295889,#295890,#295891,#295892,#295893,#295894,#295895, #295896,#295897,#295898,#295899,#295900,#295901,#295902,#295903,#295904, #295905,#295906,#295907,#295908,#295909,#295910,#295911,#295912,#295913, #295914,#295915,#295916,#295917,#295918,#295919,#295920,#295921,#295922, #295923,#295924,#295925,#295926,#295927,#295928,#295929,#295930,#295931, #295932,#295933,#295934,#295935,#295936,#295937,#295938,#295939,#295940, #295941,#295942,#295943,#295944,#295945,#295946,#295947,#295948,#295949, #295950,#295951,#295952,#295953,#295954,#295955,#295956,#295957,#295958, #295959,#295960,#295961,#295962,#295963,#295964,#295965,#295966,#295967, #295968,#295969,#295970,#295971,#295972,#295973,#295974,#295975,#295976, #295977,#295978,#295979,#295980,#295981,#295982,#295983,#295984,#295985, #295986,#295987,#295988,#295989,#295990,#295991,#295992,#295993,#295994, #295995,#295996,#295997,#295998,#295999,#296000,#296001,#296002,#296003, #296004,#296005,#296006,#296007,#296008,#296009,#296010,#296011,#296012, #296013,#296014,#296015,#296016,#296017,#296018,#296019,#296020,#296021, #296022,#296023,#296024,#296025,#296026,#296027,#296028,#296029,#296030, #296031,#296032,#296033,#296034,#296035,#296036,#296037,#296038,#296039, #296040,#296041,#296042,#296043,#296044,#296045,#296046,#296047,#296048, #296049,#296050,#296051,#296052,#296053,#296054,#296055,#296056,#296057, #296058,#296059,#296060,#296061,#296062,#296063,#296064,#296065,#296066, #296067,#296068,#296069,#296070,#296071,#296072,#296073,#296074,#296075, #296076,#296077,#296078,#296079,#296080,#296081,#296082,#296083,#296084, #296085,#296086,#296087,#296088,#296089,#296090,#296091,#296092,#296093, #296094,#296095,#296096,#296097,#296098,#296099,#296100,#296101,#296102, #296103,#296104,#296105,#296106,#296107,#296108,#296109,#296110,#296111, #296112,#296113,#296114,#296115,#296116,#296117,#296118,#296119,#296120, #296121,#296122,#296123,#296124,#296125,#296126,#296127,#296128,#296129, #296130,#296131,#296132,#296133,#296134,#296135,#296136,#296137,#296138)); #55438=EDGE_LOOP('',(#296139,#296140,#296141,#296142,#296143,#296144,#296145, #296146,#296147,#296148,#296149,#296150,#296151,#296152,#296153,#296154, #296155,#296156,#296157,#296158,#296159,#296160,#296161,#296162)); #55439=EDGE_LOOP('',(#296163,#296164,#296165,#296166,#296167,#296168,#296169, #296170,#296171,#296172,#296173,#296174,#296175,#296176,#296177,#296178, #296179,#296180,#296181,#296182,#296183,#296184,#296185,#296186,#296187, #296188,#296189,#296190,#296191,#296192,#296193,#296194,#296195,#296196, #296197,#296198,#296199,#296200)); #55440=EDGE_LOOP('',(#296201,#296202,#296203,#296204,#296205,#296206,#296207, #296208,#296209,#296210,#296211,#296212,#296213,#296214,#296215,#296216, #296217,#296218,#296219,#296220,#296221,#296222,#296223,#296224,#296225, #296226,#296227,#296228,#296229,#296230,#296231,#296232,#296233,#296234, #296235,#296236,#296237,#296238,#296239,#296240)); #55441=EDGE_LOOP('',(#296241,#296242,#296243,#296244,#296245,#296246,#296247, #296248,#296249,#296250,#296251,#296252,#296253,#296254,#296255,#296256, #296257,#296258,#296259,#296260,#296261,#296262,#296263,#296264,#296265, #296266,#296267,#296268,#296269,#296270,#296271,#296272,#296273,#296274)); #55442=EDGE_LOOP('',(#296275,#296276,#296277,#296278,#296279,#296280,#296281, #296282,#296283,#296284,#296285,#296286,#296287,#296288,#296289,#296290, #296291,#296292,#296293,#296294,#296295,#296296,#296297,#296298,#296299, #296300,#296301,#296302,#296303,#296304,#296305,#296306,#296307,#296308)); #55443=EDGE_LOOP('',(#296309,#296310,#296311,#296312,#296313,#296314,#296315, #296316)); #55444=EDGE_LOOP('',(#296317,#296318,#296319,#296320,#296321,#296322,#296323, #296324)); #55445=EDGE_LOOP('',(#296325,#296326,#296327,#296328,#296329,#296330,#296331, #296332)); #55446=EDGE_LOOP('',(#296333,#296334,#296335,#296336,#296337,#296338,#296339, #296340,#296341,#296342,#296343,#296344,#296345,#296346,#296347,#296348, #296349,#296350,#296351,#296352,#296353,#296354,#296355,#296356,#296357, #296358,#296359,#296360,#296361,#296362,#296363,#296364,#296365,#296366, #296367,#296368,#296369,#296370,#296371,#296372,#296373,#296374,#296375, #296376,#296377,#296378,#296379,#296380,#296381,#296382,#296383,#296384, #296385,#296386,#296387,#296388,#296389,#296390,#296391,#296392,#296393, #296394,#296395,#296396,#296397,#296398,#296399,#296400,#296401,#296402, #296403,#296404,#296405,#296406,#296407,#296408,#296409,#296410,#296411, #296412,#296413,#296414,#296415,#296416,#296417,#296418,#296419,#296420, #296421,#296422,#296423,#296424,#296425,#296426,#296427,#296428,#296429, #296430,#296431,#296432,#296433,#296434,#296435,#296436,#296437,#296438, #296439,#296440,#296441,#296442,#296443,#296444,#296445,#296446,#296447, #296448,#296449,#296450,#296451,#296452,#296453,#296454,#296455,#296456, #296457,#296458,#296459,#296460,#296461,#296462,#296463,#296464)); #55447=EDGE_LOOP('',(#296465,#296466,#296467,#296468)); #55448=EDGE_LOOP('',(#296469,#296470,#296471,#296472)); #55449=EDGE_LOOP('',(#296473,#296474,#296475,#296476)); #55450=EDGE_LOOP('',(#296477,#296478,#296479,#296480)); #55451=EDGE_LOOP('',(#296481,#296482,#296483,#296484)); #55452=EDGE_LOOP('',(#296485,#296486,#296487,#296488)); #55453=EDGE_LOOP('',(#296489,#296490,#296491,#296492)); #55454=EDGE_LOOP('',(#296493,#296494,#296495,#296496)); #55455=EDGE_LOOP('',(#296497,#296498,#296499,#296500)); #55456=EDGE_LOOP('',(#296501,#296502,#296503,#296504)); #55457=EDGE_LOOP('',(#296505,#296506,#296507,#296508)); #55458=EDGE_LOOP('',(#296509,#296510,#296511,#296512)); #55459=EDGE_LOOP('',(#296513,#296514,#296515,#296516)); #55460=EDGE_LOOP('',(#296517,#296518,#296519,#296520)); #55461=EDGE_LOOP('',(#296521,#296522,#296523,#296524)); #55462=EDGE_LOOP('',(#296525,#296526,#296527,#296528)); #55463=EDGE_LOOP('',(#296529,#296530,#296531,#296532)); #55464=EDGE_LOOP('',(#296533,#296534,#296535,#296536)); #55465=EDGE_LOOP('',(#296537,#296538,#296539,#296540)); #55466=EDGE_LOOP('',(#296541,#296542,#296543,#296544)); #55467=EDGE_LOOP('',(#296545,#296546,#296547,#296548)); #55468=EDGE_LOOP('',(#296549,#296550,#296551,#296552)); #55469=EDGE_LOOP('',(#296553,#296554,#296555,#296556)); #55470=EDGE_LOOP('',(#296557,#296558,#296559,#296560)); #55471=EDGE_LOOP('',(#296561,#296562,#296563,#296564)); #55472=EDGE_LOOP('',(#296565,#296566,#296567,#296568)); #55473=EDGE_LOOP('',(#296569,#296570,#296571,#296572)); #55474=EDGE_LOOP('',(#296573,#296574,#296575,#296576,#296577,#296578,#296579, #296580,#296581,#296582,#296583,#296584,#296585,#296586,#296587,#296588, #296589,#296590,#296591,#296592,#296593,#296594,#296595,#296596,#296597, #296598,#296599)); #55475=EDGE_LOOP('',(#296600,#296601,#296602,#296603,#296604,#296605,#296606, #296607,#296608,#296609,#296610,#296611,#296612,#296613,#296614,#296615, #296616,#296617,#296618,#296619,#296620,#296621,#296622,#296623,#296624, #296625,#296626)); #55476=EDGE_LOOP('',(#296627,#296628,#296629,#296630)); #55477=EDGE_LOOP('',(#296631,#296632,#296633,#296634)); #55478=EDGE_LOOP('',(#296635,#296636,#296637,#296638)); #55479=EDGE_LOOP('',(#296639,#296640,#296641,#296642)); #55480=EDGE_LOOP('',(#296643,#296644,#296645,#296646)); #55481=EDGE_LOOP('',(#296647,#296648,#296649,#296650)); #55482=EDGE_LOOP('',(#296651,#296652,#296653,#296654)); #55483=EDGE_LOOP('',(#296655,#296656,#296657,#296658)); #55484=EDGE_LOOP('',(#296659,#296660,#296661,#296662)); #55485=EDGE_LOOP('',(#296663,#296664,#296665,#296666)); #55486=EDGE_LOOP('',(#296667,#296668,#296669,#296670)); #55487=EDGE_LOOP('',(#296671,#296672,#296673,#296674)); #55488=EDGE_LOOP('',(#296675,#296676,#296677,#296678)); #55489=EDGE_LOOP('',(#296679,#296680,#296681,#296682)); #55490=EDGE_LOOP('',(#296683,#296684,#296685,#296686)); #55491=EDGE_LOOP('',(#296687,#296688,#296689,#296690)); #55492=EDGE_LOOP('',(#296691,#296692,#296693,#296694)); #55493=EDGE_LOOP('',(#296695,#296696,#296697,#296698)); #55494=EDGE_LOOP('',(#296699,#296700,#296701,#296702)); #55495=EDGE_LOOP('',(#296703,#296704,#296705,#296706)); #55496=EDGE_LOOP('',(#296707,#296708,#296709,#296710)); #55497=EDGE_LOOP('',(#296711,#296712,#296713,#296714,#296715,#296716,#296717, #296718,#296719,#296720,#296721,#296722,#296723,#296724,#296725,#296726, #296727,#296728,#296729,#296730,#296731)); #55498=EDGE_LOOP('',(#296732,#296733,#296734,#296735,#296736,#296737,#296738, #296739,#296740,#296741,#296742,#296743,#296744,#296745,#296746,#296747, #296748,#296749,#296750,#296751,#296752)); #55499=EDGE_LOOP('',(#296753,#296754,#296755,#296756)); #55500=EDGE_LOOP('',(#296757,#296758,#296759,#296760)); #55501=EDGE_LOOP('',(#296761,#296762,#296763,#296764)); #55502=EDGE_LOOP('',(#296765,#296766,#296767,#296768)); #55503=EDGE_LOOP('',(#296769,#296770,#296771,#296772)); #55504=EDGE_LOOP('',(#296773,#296774,#296775,#296776)); #55505=EDGE_LOOP('',(#296777,#296778,#296779,#296780)); #55506=EDGE_LOOP('',(#296781,#296782,#296783,#296784)); #55507=EDGE_LOOP('',(#296785,#296786,#296787,#296788)); #55508=EDGE_LOOP('',(#296789,#296790,#296791,#296792)); #55509=EDGE_LOOP('',(#296793,#296794,#296795,#296796)); #55510=EDGE_LOOP('',(#296797,#296798,#296799,#296800)); #55511=EDGE_LOOP('',(#296801,#296802,#296803,#296804)); #55512=EDGE_LOOP('',(#296805,#296806,#296807,#296808)); #55513=EDGE_LOOP('',(#296809,#296810,#296811,#296812)); #55514=EDGE_LOOP('',(#296813,#296814,#296815,#296816)); #55515=EDGE_LOOP('',(#296817,#296818,#296819,#296820)); #55516=EDGE_LOOP('',(#296821,#296822,#296823,#296824)); #55517=EDGE_LOOP('',(#296825,#296826,#296827,#296828)); #55518=EDGE_LOOP('',(#296829,#296830,#296831,#296832)); #55519=EDGE_LOOP('',(#296833,#296834,#296835,#296836,#296837,#296838,#296839, #296840,#296841,#296842,#296843,#296844,#296845,#296846,#296847,#296848, #296849,#296850,#296851,#296852)); #55520=EDGE_LOOP('',(#296853,#296854,#296855,#296856,#296857,#296858,#296859, #296860,#296861,#296862,#296863,#296864,#296865,#296866,#296867,#296868, #296869,#296870,#296871,#296872)); #55521=EDGE_LOOP('',(#296873,#296874,#296875,#296876)); #55522=EDGE_LOOP('',(#296877,#296878,#296879,#296880)); #55523=EDGE_LOOP('',(#296881,#296882,#296883,#296884)); #55524=EDGE_LOOP('',(#296885,#296886,#296887,#296888)); #55525=EDGE_LOOP('',(#296889,#296890,#296891,#296892)); #55526=EDGE_LOOP('',(#296893,#296894,#296895,#296896)); #55527=EDGE_LOOP('',(#296897,#296898,#296899,#296900)); #55528=EDGE_LOOP('',(#296901,#296902,#296903,#296904)); #55529=EDGE_LOOP('',(#296905,#296906,#296907,#296908)); #55530=EDGE_LOOP('',(#296909,#296910,#296911,#296912)); #55531=EDGE_LOOP('',(#296913,#296914,#296915,#296916)); #55532=EDGE_LOOP('',(#296917,#296918,#296919,#296920)); #55533=EDGE_LOOP('',(#296921,#296922,#296923,#296924)); #55534=EDGE_LOOP('',(#296925,#296926,#296927,#296928)); #55535=EDGE_LOOP('',(#296929,#296930,#296931,#296932)); #55536=EDGE_LOOP('',(#296933,#296934,#296935,#296936)); #55537=EDGE_LOOP('',(#296937,#296938,#296939,#296940)); #55538=EDGE_LOOP('',(#296941,#296942,#296943,#296944)); #55539=EDGE_LOOP('',(#296945,#296946,#296947,#296948)); #55540=EDGE_LOOP('',(#296949,#296950,#296951,#296952)); #55541=EDGE_LOOP('',(#296953,#296954,#296955,#296956)); #55542=EDGE_LOOP('',(#296957,#296958,#296959,#296960)); #55543=EDGE_LOOP('',(#296961,#296962,#296963,#296964)); #55544=EDGE_LOOP('',(#296965,#296966,#296967,#296968)); #55545=EDGE_LOOP('',(#296969,#296970,#296971,#296972)); #55546=EDGE_LOOP('',(#296973,#296974,#296975,#296976)); #55547=EDGE_LOOP('',(#296977,#296978,#296979,#296980)); #55548=EDGE_LOOP('',(#296981,#296982,#296983,#296984)); #55549=EDGE_LOOP('',(#296985,#296986,#296987,#296988)); #55550=EDGE_LOOP('',(#296989,#296990,#296991,#296992)); #55551=EDGE_LOOP('',(#296993,#296994,#296995,#296996)); #55552=EDGE_LOOP('',(#296997,#296998,#296999,#297000)); #55553=EDGE_LOOP('',(#297001,#297002,#297003,#297004)); #55554=EDGE_LOOP('',(#297005,#297006,#297007,#297008)); #55555=EDGE_LOOP('',(#297009,#297010,#297011,#297012)); #55556=EDGE_LOOP('',(#297013,#297014,#297015,#297016)); #55557=EDGE_LOOP('',(#297017,#297018,#297019,#297020)); #55558=EDGE_LOOP('',(#297021,#297022,#297023,#297024)); #55559=EDGE_LOOP('',(#297025,#297026,#297027,#297028)); #55560=EDGE_LOOP('',(#297029,#297030,#297031,#297032)); #55561=EDGE_LOOP('',(#297033,#297034,#297035,#297036)); #55562=EDGE_LOOP('',(#297037,#297038,#297039,#297040)); #55563=EDGE_LOOP('',(#297041,#297042,#297043,#297044)); #55564=EDGE_LOOP('',(#297045,#297046,#297047,#297048)); #55565=EDGE_LOOP('',(#297049,#297050,#297051,#297052)); #55566=EDGE_LOOP('',(#297053,#297054,#297055,#297056)); #55567=EDGE_LOOP('',(#297057,#297058,#297059,#297060)); #55568=EDGE_LOOP('',(#297061,#297062,#297063,#297064,#297065,#297066,#297067, #297068,#297069,#297070,#297071,#297072,#297073,#297074,#297075,#297076, #297077,#297078,#297079,#297080,#297081,#297082,#297083,#297084,#297085, #297086,#297087,#297088,#297089,#297090,#297091,#297092,#297093,#297094, #297095,#297096,#297097,#297098,#297099,#297100,#297101,#297102,#297103, #297104,#297105,#297106,#297107)); #55569=EDGE_LOOP('',(#297108,#297109,#297110,#297111,#297112,#297113,#297114, #297115,#297116,#297117,#297118,#297119,#297120,#297121,#297122,#297123, #297124,#297125,#297126,#297127,#297128,#297129,#297130,#297131,#297132, #297133,#297134,#297135,#297136,#297137,#297138,#297139,#297140,#297141, #297142,#297143,#297144,#297145,#297146,#297147,#297148,#297149,#297150, #297151,#297152,#297153,#297154)); #55570=EDGE_LOOP('',(#297155,#297156,#297157,#297158)); #55571=EDGE_LOOP('',(#297159,#297160,#297161,#297162)); #55572=EDGE_LOOP('',(#297163,#297164,#297165,#297166)); #55573=EDGE_LOOP('',(#297167,#297168,#297169,#297170)); #55574=EDGE_LOOP('',(#297171,#297172,#297173,#297174)); #55575=EDGE_LOOP('',(#297175,#297176,#297177,#297178)); #55576=EDGE_LOOP('',(#297179,#297180,#297181,#297182)); #55577=EDGE_LOOP('',(#297183,#297184,#297185,#297186)); #55578=EDGE_LOOP('',(#297187,#297188,#297189,#297190)); #55579=EDGE_LOOP('',(#297191,#297192,#297193,#297194)); #55580=EDGE_LOOP('',(#297195,#297196,#297197,#297198)); #55581=EDGE_LOOP('',(#297199,#297200,#297201,#297202)); #55582=EDGE_LOOP('',(#297203,#297204,#297205,#297206)); #55583=EDGE_LOOP('',(#297207,#297208,#297209,#297210)); #55584=EDGE_LOOP('',(#297211,#297212,#297213,#297214)); #55585=EDGE_LOOP('',(#297215,#297216,#297217,#297218)); #55586=EDGE_LOOP('',(#297219,#297220,#297221,#297222)); #55587=EDGE_LOOP('',(#297223,#297224,#297225,#297226)); #55588=EDGE_LOOP('',(#297227,#297228,#297229,#297230)); #55589=EDGE_LOOP('',(#297231,#297232,#297233,#297234)); #55590=EDGE_LOOP('',(#297235,#297236,#297237,#297238)); #55591=EDGE_LOOP('',(#297239,#297240,#297241,#297242)); #55592=EDGE_LOOP('',(#297243,#297244,#297245,#297246)); #55593=EDGE_LOOP('',(#297247,#297248,#297249,#297250)); #55594=EDGE_LOOP('',(#297251,#297252,#297253,#297254)); #55595=EDGE_LOOP('',(#297255,#297256,#297257,#297258)); #55596=EDGE_LOOP('',(#297259,#297260,#297261,#297262)); #55597=EDGE_LOOP('',(#297263,#297264,#297265,#297266)); #55598=EDGE_LOOP('',(#297267,#297268,#297269,#297270)); #55599=EDGE_LOOP('',(#297271,#297272,#297273,#297274)); #55600=EDGE_LOOP('',(#297275,#297276,#297277,#297278)); #55601=EDGE_LOOP('',(#297279,#297280,#297281,#297282)); #55602=EDGE_LOOP('',(#297283,#297284,#297285,#297286)); #55603=EDGE_LOOP('',(#297287,#297288,#297289,#297290)); #55604=EDGE_LOOP('',(#297291,#297292,#297293,#297294,#297295,#297296,#297297, #297298,#297299,#297300,#297301,#297302,#297303,#297304,#297305,#297306, #297307,#297308,#297309,#297310,#297311,#297312,#297313,#297314,#297315, #297316,#297317,#297318,#297319,#297320,#297321,#297322,#297323,#297324)); #55605=EDGE_LOOP('',(#297325,#297326,#297327,#297328,#297329,#297330,#297331, #297332,#297333,#297334,#297335,#297336,#297337,#297338,#297339,#297340, #297341,#297342,#297343,#297344,#297345,#297346,#297347,#297348,#297349, #297350,#297351,#297352,#297353,#297354,#297355,#297356,#297357,#297358)); #55606=EDGE_LOOP('',(#297359,#297360,#297361,#297362)); #55607=EDGE_LOOP('',(#297363,#297364,#297365,#297366)); #55608=EDGE_LOOP('',(#297367,#297368,#297369,#297370)); #55609=EDGE_LOOP('',(#297371,#297372,#297373,#297374)); #55610=EDGE_LOOP('',(#297375,#297376,#297377,#297378)); #55611=EDGE_LOOP('',(#297379,#297380,#297381,#297382)); #55612=EDGE_LOOP('',(#297383,#297384,#297385,#297386)); #55613=EDGE_LOOP('',(#297387,#297388,#297389,#297390)); #55614=EDGE_LOOP('',(#297391,#297392,#297393,#297394)); #55615=EDGE_LOOP('',(#297395,#297396,#297397,#297398)); #55616=EDGE_LOOP('',(#297399,#297400,#297401,#297402)); #55617=EDGE_LOOP('',(#297403,#297404,#297405,#297406)); #55618=EDGE_LOOP('',(#297407,#297408,#297409,#297410)); #55619=EDGE_LOOP('',(#297411,#297412,#297413,#297414)); #55620=EDGE_LOOP('',(#297415,#297416,#297417,#297418)); #55621=EDGE_LOOP('',(#297419,#297420,#297421,#297422)); #55622=EDGE_LOOP('',(#297423,#297424,#297425,#297426)); #55623=EDGE_LOOP('',(#297427,#297428,#297429,#297430)); #55624=EDGE_LOOP('',(#297431,#297432,#297433,#297434)); #55625=EDGE_LOOP('',(#297435,#297436,#297437,#297438)); #55626=EDGE_LOOP('',(#297439,#297440,#297441,#297442)); #55627=EDGE_LOOP('',(#297443,#297444,#297445,#297446)); #55628=EDGE_LOOP('',(#297447,#297448,#297449,#297450,#297451,#297452,#297453, #297454,#297455,#297456,#297457,#297458,#297459,#297460,#297461,#297462, #297463,#297464,#297465,#297466,#297467,#297468)); #55629=EDGE_LOOP('',(#297469,#297470,#297471,#297472,#297473,#297474,#297475, #297476,#297477,#297478,#297479,#297480,#297481,#297482,#297483,#297484, #297485,#297486,#297487,#297488,#297489,#297490)); #55630=EDGE_LOOP('',(#297491,#297492,#297493,#297494)); #55631=EDGE_LOOP('',(#297495,#297496,#297497,#297498)); #55632=EDGE_LOOP('',(#297499,#297500,#297501,#297502)); #55633=EDGE_LOOP('',(#297503,#297504,#297505,#297506)); #55634=EDGE_LOOP('',(#297507,#297508,#297509,#297510)); #55635=EDGE_LOOP('',(#297511,#297512,#297513,#297514)); #55636=EDGE_LOOP('',(#297515,#297516,#297517,#297518)); #55637=EDGE_LOOP('',(#297519,#297520,#297521,#297522)); #55638=EDGE_LOOP('',(#297523,#297524,#297525,#297526)); #55639=EDGE_LOOP('',(#297527,#297528,#297529,#297530)); #55640=EDGE_LOOP('',(#297531,#297532,#297533,#297534)); #55641=EDGE_LOOP('',(#297535,#297536,#297537,#297538)); #55642=EDGE_LOOP('',(#297539,#297540,#297541,#297542)); #55643=EDGE_LOOP('',(#297543,#297544,#297545,#297546)); #55644=EDGE_LOOP('',(#297547,#297548,#297549,#297550)); #55645=EDGE_LOOP('',(#297551,#297552,#297553,#297554)); #55646=EDGE_LOOP('',(#297555,#297556,#297557,#297558)); #55647=EDGE_LOOP('',(#297559,#297560,#297561,#297562)); #55648=EDGE_LOOP('',(#297563,#297564,#297565,#297566)); #55649=EDGE_LOOP('',(#297567,#297568,#297569,#297570)); #55650=EDGE_LOOP('',(#297571,#297572,#297573,#297574,#297575,#297576,#297577, #297578,#297579,#297580,#297581,#297582,#297583,#297584,#297585,#297586, #297587,#297588,#297589,#297590)); #55651=EDGE_LOOP('',(#297591,#297592,#297593,#297594,#297595,#297596,#297597, #297598,#297599,#297600,#297601,#297602,#297603,#297604,#297605,#297606, #297607,#297608,#297609,#297610)); #55652=EDGE_LOOP('',(#297611,#297612,#297613,#297614)); #55653=EDGE_LOOP('',(#297615,#297616,#297617,#297618)); #55654=EDGE_LOOP('',(#297619,#297620,#297621,#297622)); #55655=EDGE_LOOP('',(#297623,#297624,#297625,#297626)); #55656=EDGE_LOOP('',(#297627,#297628,#297629,#297630)); #55657=EDGE_LOOP('',(#297631,#297632,#297633,#297634)); #55658=EDGE_LOOP('',(#297635,#297636,#297637,#297638)); #55659=EDGE_LOOP('',(#297639,#297640,#297641,#297642)); #55660=EDGE_LOOP('',(#297643,#297644,#297645,#297646)); #55661=EDGE_LOOP('',(#297647,#297648,#297649,#297650)); #55662=EDGE_LOOP('',(#297651,#297652,#297653,#297654)); #55663=EDGE_LOOP('',(#297655,#297656,#297657,#297658)); #55664=EDGE_LOOP('',(#297659,#297660,#297661,#297662)); #55665=EDGE_LOOP('',(#297663,#297664,#297665,#297666)); #55666=EDGE_LOOP('',(#297667,#297668,#297669,#297670)); #55667=EDGE_LOOP('',(#297671,#297672,#297673,#297674)); #55668=EDGE_LOOP('',(#297675,#297676,#297677,#297678)); #55669=EDGE_LOOP('',(#297679,#297680,#297681,#297682)); #55670=EDGE_LOOP('',(#297683,#297684,#297685,#297686)); #55671=EDGE_LOOP('',(#297687,#297688,#297689,#297690)); #55672=EDGE_LOOP('',(#297691,#297692,#297693,#297694)); #55673=EDGE_LOOP('',(#297695,#297696,#297697,#297698)); #55674=EDGE_LOOP('',(#297699,#297700,#297701,#297702)); #55675=EDGE_LOOP('',(#297703,#297704,#297705,#297706)); #55676=EDGE_LOOP('',(#297707,#297708,#297709,#297710)); #55677=EDGE_LOOP('',(#297711,#297712,#297713,#297714)); #55678=EDGE_LOOP('',(#297715,#297716,#297717,#297718)); #55679=EDGE_LOOP('',(#297719,#297720,#297721,#297722)); #55680=EDGE_LOOP('',(#297723,#297724,#297725,#297726)); #55681=EDGE_LOOP('',(#297727,#297728,#297729,#297730)); #55682=EDGE_LOOP('',(#297731,#297732,#297733,#297734)); #55683=EDGE_LOOP('',(#297735,#297736,#297737,#297738)); #55684=EDGE_LOOP('',(#297739,#297740,#297741,#297742)); #55685=EDGE_LOOP('',(#297743,#297744,#297745,#297746)); #55686=EDGE_LOOP('',(#297747,#297748,#297749,#297750)); #55687=EDGE_LOOP('',(#297751,#297752,#297753,#297754)); #55688=EDGE_LOOP('',(#297755,#297756,#297757,#297758)); #55689=EDGE_LOOP('',(#297759,#297760,#297761,#297762)); #55690=EDGE_LOOP('',(#297763,#297764,#297765,#297766)); #55691=EDGE_LOOP('',(#297767,#297768,#297769,#297770)); #55692=EDGE_LOOP('',(#297771,#297772,#297773,#297774)); #55693=EDGE_LOOP('',(#297775,#297776,#297777,#297778)); #55694=EDGE_LOOP('',(#297779,#297780,#297781,#297782)); #55695=EDGE_LOOP('',(#297783,#297784,#297785,#297786)); #55696=EDGE_LOOP('',(#297787,#297788,#297789,#297790)); #55697=EDGE_LOOP('',(#297791,#297792,#297793,#297794)); #55698=EDGE_LOOP('',(#297795,#297796,#297797,#297798)); #55699=EDGE_LOOP('',(#297799,#297800,#297801,#297802)); #55700=EDGE_LOOP('',(#297803,#297804,#297805,#297806)); #55701=EDGE_LOOP('',(#297807,#297808,#297809,#297810)); #55702=EDGE_LOOP('',(#297811,#297812,#297813,#297814)); #55703=EDGE_LOOP('',(#297815,#297816,#297817,#297818)); #55704=EDGE_LOOP('',(#297819,#297820,#297821,#297822)); #55705=EDGE_LOOP('',(#297823,#297824,#297825,#297826)); #55706=EDGE_LOOP('',(#297827,#297828,#297829,#297830)); #55707=EDGE_LOOP('',(#297831,#297832,#297833,#297834)); #55708=EDGE_LOOP('',(#297835,#297836,#297837,#297838)); #55709=EDGE_LOOP('',(#297839,#297840,#297841,#297842)); #55710=EDGE_LOOP('',(#297843,#297844,#297845,#297846)); #55711=EDGE_LOOP('',(#297847,#297848,#297849,#297850)); #55712=EDGE_LOOP('',(#297851,#297852,#297853,#297854)); #55713=EDGE_LOOP('',(#297855,#297856,#297857,#297858)); #55714=EDGE_LOOP('',(#297859,#297860,#297861,#297862)); #55715=EDGE_LOOP('',(#297863,#297864,#297865,#297866)); #55716=EDGE_LOOP('',(#297867,#297868,#297869,#297870)); #55717=EDGE_LOOP('',(#297871,#297872,#297873,#297874)); #55718=EDGE_LOOP('',(#297875,#297876,#297877,#297878)); #55719=EDGE_LOOP('',(#297879,#297880,#297881,#297882)); #55720=EDGE_LOOP('',(#297883,#297884,#297885,#297886)); #55721=EDGE_LOOP('',(#297887,#297888,#297889,#297890)); #55722=EDGE_LOOP('',(#297891,#297892,#297893,#297894)); #55723=EDGE_LOOP('',(#297895,#297896,#297897,#297898)); #55724=EDGE_LOOP('',(#297899,#297900,#297901,#297902,#297903,#297904,#297905, #297906,#297907,#297908,#297909,#297910,#297911,#297912,#297913,#297914, #297915,#297916,#297917,#297918,#297919,#297920,#297921,#297922,#297923, #297924,#297925,#297926,#297927,#297928,#297929,#297930,#297931,#297932, #297933,#297934,#297935,#297936,#297937,#297938,#297939,#297940,#297941, #297942,#297943,#297944,#297945,#297946,#297947,#297948,#297949,#297950, #297951,#297952,#297953,#297954,#297955,#297956,#297957,#297958,#297959, #297960,#297961,#297962,#297963,#297964,#297965,#297966,#297967,#297968, #297969,#297970)); #55725=EDGE_LOOP('',(#297971,#297972,#297973,#297974,#297975,#297976,#297977, #297978,#297979,#297980,#297981,#297982,#297983,#297984,#297985,#297986, #297987,#297988,#297989,#297990,#297991,#297992,#297993,#297994,#297995, #297996,#297997,#297998,#297999,#298000,#298001,#298002,#298003,#298004, #298005,#298006,#298007,#298008,#298009,#298010,#298011,#298012,#298013, #298014,#298015,#298016,#298017,#298018,#298019,#298020,#298021,#298022, #298023,#298024,#298025,#298026,#298027,#298028,#298029,#298030,#298031, #298032,#298033,#298034,#298035,#298036,#298037,#298038,#298039,#298040, #298041,#298042)); #55726=EDGE_LOOP('',(#298043,#298044,#298045,#298046)); #55727=EDGE_LOOP('',(#298047,#298048,#298049,#298050)); #55728=EDGE_LOOP('',(#298051,#298052,#298053,#298054)); #55729=EDGE_LOOP('',(#298055,#298056,#298057,#298058)); #55730=EDGE_LOOP('',(#298059,#298060,#298061,#298062)); #55731=EDGE_LOOP('',(#298063,#298064,#298065,#298066)); #55732=EDGE_LOOP('',(#298067,#298068,#298069,#298070)); #55733=EDGE_LOOP('',(#298071,#298072,#298073,#298074)); #55734=EDGE_LOOP('',(#298075,#298076,#298077,#298078)); #55735=EDGE_LOOP('',(#298079,#298080,#298081,#298082)); #55736=EDGE_LOOP('',(#298083,#298084,#298085,#298086)); #55737=EDGE_LOOP('',(#298087,#298088,#298089,#298090)); #55738=EDGE_LOOP('',(#298091,#298092,#298093,#298094)); #55739=EDGE_LOOP('',(#298095,#298096,#298097,#298098)); #55740=EDGE_LOOP('',(#298099,#298100,#298101,#298102)); #55741=EDGE_LOOP('',(#298103,#298104,#298105,#298106)); #55742=EDGE_LOOP('',(#298107,#298108,#298109,#298110)); #55743=EDGE_LOOP('',(#298111,#298112,#298113,#298114)); #55744=EDGE_LOOP('',(#298115,#298116,#298117,#298118)); #55745=EDGE_LOOP('',(#298119,#298120,#298121,#298122)); #55746=EDGE_LOOP('',(#298123,#298124,#298125,#298126)); #55747=EDGE_LOOP('',(#298127,#298128,#298129,#298130)); #55748=EDGE_LOOP('',(#298131,#298132,#298133,#298134)); #55749=EDGE_LOOP('',(#298135,#298136,#298137,#298138)); #55750=EDGE_LOOP('',(#298139,#298140,#298141,#298142)); #55751=EDGE_LOOP('',(#298143,#298144,#298145,#298146)); #55752=EDGE_LOOP('',(#298147,#298148,#298149,#298150)); #55753=EDGE_LOOP('',(#298151,#298152,#298153,#298154)); #55754=EDGE_LOOP('',(#298155,#298156,#298157,#298158,#298159,#298160,#298161, #298162,#298163,#298164,#298165,#298166,#298167,#298168,#298169,#298170, #298171,#298172,#298173,#298174,#298175,#298176,#298177,#298178,#298179, #298180,#298181,#298182)); #55755=EDGE_LOOP('',(#298183,#298184,#298185,#298186,#298187,#298188,#298189, #298190,#298191,#298192,#298193,#298194,#298195,#298196,#298197,#298198, #298199,#298200,#298201,#298202,#298203,#298204,#298205,#298206,#298207, #298208,#298209,#298210)); #55756=EDGE_LOOP('',(#298211,#298212,#298213,#298214)); #55757=EDGE_LOOP('',(#298215,#298216,#298217,#298218)); #55758=EDGE_LOOP('',(#298219,#298220,#298221,#298222)); #55759=EDGE_LOOP('',(#298223,#298224,#298225,#298226)); #55760=EDGE_LOOP('',(#298227,#298228,#298229,#298230)); #55761=EDGE_LOOP('',(#298231,#298232,#298233,#298234)); #55762=EDGE_LOOP('',(#298235,#298236,#298237,#298238)); #55763=EDGE_LOOP('',(#298239,#298240,#298241,#298242)); #55764=EDGE_LOOP('',(#298243,#298244,#298245,#298246)); #55765=EDGE_LOOP('',(#298247,#298248,#298249,#298250)); #55766=EDGE_LOOP('',(#298251,#298252,#298253,#298254)); #55767=EDGE_LOOP('',(#298255,#298256,#298257,#298258)); #55768=EDGE_LOOP('',(#298259,#298260,#298261,#298262)); #55769=EDGE_LOOP('',(#298263,#298264,#298265,#298266)); #55770=EDGE_LOOP('',(#298267,#298268,#298269,#298270)); #55771=EDGE_LOOP('',(#298271,#298272,#298273,#298274)); #55772=EDGE_LOOP('',(#298275,#298276,#298277,#298278)); #55773=EDGE_LOOP('',(#298279,#298280,#298281,#298282)); #55774=EDGE_LOOP('',(#298283,#298284,#298285,#298286)); #55775=EDGE_LOOP('',(#298287,#298288,#298289,#298290)); #55776=EDGE_LOOP('',(#298291,#298292,#298293,#298294)); #55777=EDGE_LOOP('',(#298295,#298296,#298297,#298298)); #55778=EDGE_LOOP('',(#298299,#298300,#298301,#298302)); #55779=EDGE_LOOP('',(#298303,#298304,#298305,#298306)); #55780=EDGE_LOOP('',(#298307,#298308,#298309,#298310)); #55781=EDGE_LOOP('',(#298311,#298312,#298313,#298314)); #55782=EDGE_LOOP('',(#298315,#298316,#298317,#298318)); #55783=EDGE_LOOP('',(#298319,#298320,#298321,#298322)); #55784=EDGE_LOOP('',(#298323,#298324,#298325,#298326)); #55785=EDGE_LOOP('',(#298327,#298328,#298329,#298330)); #55786=EDGE_LOOP('',(#298331,#298332,#298333,#298334)); #55787=EDGE_LOOP('',(#298335,#298336,#298337,#298338)); #55788=EDGE_LOOP('',(#298339,#298340,#298341,#298342)); #55789=EDGE_LOOP('',(#298343,#298344,#298345,#298346)); #55790=EDGE_LOOP('',(#298347,#298348,#298349,#298350)); #55791=EDGE_LOOP('',(#298351,#298352,#298353,#298354)); #55792=EDGE_LOOP('',(#298355,#298356,#298357,#298358)); #55793=EDGE_LOOP('',(#298359,#298360,#298361,#298362)); #55794=EDGE_LOOP('',(#298363,#298364,#298365,#298366)); #55795=EDGE_LOOP('',(#298367,#298368,#298369,#298370)); #55796=EDGE_LOOP('',(#298371,#298372,#298373,#298374)); #55797=EDGE_LOOP('',(#298375,#298376,#298377,#298378)); #55798=EDGE_LOOP('',(#298379,#298380,#298381,#298382)); #55799=EDGE_LOOP('',(#298383,#298384,#298385,#298386)); #55800=EDGE_LOOP('',(#298387,#298388,#298389,#298390)); #55801=EDGE_LOOP('',(#298391,#298392,#298393,#298394)); #55802=EDGE_LOOP('',(#298395,#298396,#298397,#298398)); #55803=EDGE_LOOP('',(#298399,#298400,#298401,#298402)); #55804=EDGE_LOOP('',(#298403,#298404,#298405,#298406)); #55805=EDGE_LOOP('',(#298407,#298408,#298409,#298410)); #55806=EDGE_LOOP('',(#298411,#298412,#298413,#298414)); #55807=EDGE_LOOP('',(#298415,#298416,#298417,#298418)); #55808=EDGE_LOOP('',(#298419,#298420,#298421,#298422)); #55809=EDGE_LOOP('',(#298423,#298424,#298425,#298426)); #55810=EDGE_LOOP('',(#298427,#298428,#298429,#298430)); #55811=EDGE_LOOP('',(#298431,#298432,#298433,#298434)); #55812=EDGE_LOOP('',(#298435,#298436,#298437,#298438)); #55813=EDGE_LOOP('',(#298439,#298440,#298441,#298442)); #55814=EDGE_LOOP('',(#298443,#298444,#298445,#298446)); #55815=EDGE_LOOP('',(#298447,#298448,#298449,#298450)); #55816=EDGE_LOOP('',(#298451,#298452,#298453,#298454)); #55817=EDGE_LOOP('',(#298455,#298456,#298457,#298458)); #55818=EDGE_LOOP('',(#298459,#298460,#298461,#298462)); #55819=EDGE_LOOP('',(#298463,#298464,#298465,#298466)); #55820=EDGE_LOOP('',(#298467,#298468,#298469,#298470)); #55821=EDGE_LOOP('',(#298471,#298472,#298473,#298474)); #55822=EDGE_LOOP('',(#298475,#298476,#298477,#298478)); #55823=EDGE_LOOP('',(#298479,#298480,#298481,#298482)); #55824=EDGE_LOOP('',(#298483,#298484,#298485,#298486)); #55825=EDGE_LOOP('',(#298487,#298488,#298489,#298490)); #55826=EDGE_LOOP('',(#298491,#298492,#298493,#298494)); #55827=EDGE_LOOP('',(#298495,#298496,#298497,#298498)); #55828=EDGE_LOOP('',(#298499,#298500,#298501,#298502)); #55829=EDGE_LOOP('',(#298503,#298504,#298505,#298506)); #55830=EDGE_LOOP('',(#298507,#298508,#298509,#298510)); #55831=EDGE_LOOP('',(#298511,#298512,#298513,#298514)); #55832=EDGE_LOOP('',(#298515,#298516,#298517,#298518)); #55833=EDGE_LOOP('',(#298519,#298520,#298521,#298522)); #55834=EDGE_LOOP('',(#298523,#298524,#298525,#298526)); #55835=EDGE_LOOP('',(#298527,#298528,#298529,#298530)); #55836=EDGE_LOOP('',(#298531,#298532,#298533,#298534)); #55837=EDGE_LOOP('',(#298535,#298536,#298537,#298538)); #55838=EDGE_LOOP('',(#298539,#298540,#298541,#298542)); #55839=EDGE_LOOP('',(#298543,#298544,#298545,#298546)); #55840=EDGE_LOOP('',(#298547,#298548,#298549,#298550)); #55841=EDGE_LOOP('',(#298551,#298552,#298553,#298554)); #55842=EDGE_LOOP('',(#298555,#298556,#298557,#298558)); #55843=EDGE_LOOP('',(#298559,#298560,#298561,#298562)); #55844=EDGE_LOOP('',(#298563,#298564,#298565,#298566)); #55845=EDGE_LOOP('',(#298567,#298568,#298569,#298570)); #55846=EDGE_LOOP('',(#298571,#298572,#298573,#298574,#298575,#298576,#298577, #298578,#298579,#298580,#298581,#298582,#298583,#298584,#298585,#298586, #298587,#298588,#298589,#298590,#298591,#298592,#298593,#298594,#298595, #298596,#298597,#298598,#298599,#298600,#298601,#298602,#298603,#298604, #298605,#298606,#298607,#298608,#298609,#298610,#298611,#298612,#298613, #298614,#298615,#298616,#298617,#298618,#298619,#298620,#298621,#298622, #298623,#298624,#298625,#298626,#298627,#298628,#298629,#298630,#298631, #298632,#298633,#298634,#298635,#298636,#298637,#298638,#298639,#298640, #298641,#298642,#298643,#298644)); #55847=EDGE_LOOP('',(#298645)); #55848=EDGE_LOOP('',(#298646)); #55849=EDGE_LOOP('',(#298647)); #55850=EDGE_LOOP('',(#298648)); #55851=EDGE_LOOP('',(#298649)); #55852=EDGE_LOOP('',(#298650)); #55853=EDGE_LOOP('',(#298651)); #55854=EDGE_LOOP('',(#298652)); #55855=EDGE_LOOP('',(#298653)); #55856=EDGE_LOOP('',(#298654)); #55857=EDGE_LOOP('',(#298655)); #55858=EDGE_LOOP('',(#298656)); #55859=EDGE_LOOP('',(#298657)); #55860=EDGE_LOOP('',(#298658)); #55861=EDGE_LOOP('',(#298659)); #55862=EDGE_LOOP('',(#298660)); #55863=EDGE_LOOP('',(#298661,#298662,#298663,#298664,#298665,#298666,#298667, #298668,#298669,#298670,#298671,#298672,#298673,#298674,#298675,#298676, #298677,#298678,#298679,#298680,#298681,#298682,#298683,#298684,#298685, #298686,#298687,#298688,#298689,#298690,#298691,#298692,#298693,#298694, #298695,#298696,#298697,#298698,#298699,#298700,#298701,#298702,#298703, #298704,#298705,#298706,#298707,#298708,#298709,#298710,#298711,#298712, #298713,#298714,#298715,#298716,#298717,#298718,#298719,#298720,#298721, #298722,#298723,#298724,#298725,#298726,#298727,#298728,#298729,#298730, #298731,#298732,#298733,#298734)); #55864=EDGE_LOOP('',(#298735)); #55865=EDGE_LOOP('',(#298736)); #55866=EDGE_LOOP('',(#298737)); #55867=EDGE_LOOP('',(#298738)); #55868=EDGE_LOOP('',(#298739)); #55869=EDGE_LOOP('',(#298740)); #55870=EDGE_LOOP('',(#298741)); #55871=EDGE_LOOP('',(#298742)); #55872=EDGE_LOOP('',(#298743)); #55873=EDGE_LOOP('',(#298744)); #55874=EDGE_LOOP('',(#298745)); #55875=EDGE_LOOP('',(#298746)); #55876=EDGE_LOOP('',(#298747)); #55877=EDGE_LOOP('',(#298748)); #55878=EDGE_LOOP('',(#298749)); #55879=EDGE_LOOP('',(#298750)); #55880=EDGE_LOOP('',(#298751,#298752,#298753,#298754)); #55881=EDGE_LOOP('',(#298755,#298756,#298757,#298758)); #55882=EDGE_LOOP('',(#298759,#298760,#298761,#298762)); #55883=EDGE_LOOP('',(#298763,#298764,#298765,#298766)); #55884=EDGE_LOOP('',(#298767,#298768,#298769,#298770)); #55885=EDGE_LOOP('',(#298771,#298772,#298773,#298774)); #55886=EDGE_LOOP('',(#298775,#298776,#298777,#298778)); #55887=EDGE_LOOP('',(#298779,#298780,#298781,#298782)); #55888=EDGE_LOOP('',(#298783,#298784,#298785,#298786)); #55889=EDGE_LOOP('',(#298787,#298788,#298789,#298790)); #55890=EDGE_LOOP('',(#298791,#298792,#298793,#298794)); #55891=EDGE_LOOP('',(#298795,#298796,#298797,#298798)); #55892=EDGE_LOOP('',(#298799,#298800,#298801,#298802)); #55893=EDGE_LOOP('',(#298803,#298804,#298805,#298806)); #55894=EDGE_LOOP('',(#298807,#298808,#298809,#298810)); #55895=EDGE_LOOP('',(#298811,#298812,#298813,#298814)); #55896=EDGE_LOOP('',(#298815,#298816,#298817,#298818)); #55897=EDGE_LOOP('',(#298819,#298820,#298821,#298822)); #55898=EDGE_LOOP('',(#298823,#298824,#298825,#298826)); #55899=EDGE_LOOP('',(#298827,#298828,#298829,#298830)); #55900=EDGE_LOOP('',(#298831,#298832,#298833,#298834)); #55901=EDGE_LOOP('',(#298835,#298836,#298837,#298838)); #55902=EDGE_LOOP('',(#298839,#298840,#298841,#298842)); #55903=EDGE_LOOP('',(#298843,#298844,#298845,#298846)); #55904=EDGE_LOOP('',(#298847,#298848,#298849,#298850)); #55905=EDGE_LOOP('',(#298851,#298852,#298853,#298854)); #55906=EDGE_LOOP('',(#298855,#298856,#298857,#298858)); #55907=EDGE_LOOP('',(#298859,#298860,#298861,#298862)); #55908=EDGE_LOOP('',(#298863,#298864,#298865,#298866)); #55909=EDGE_LOOP('',(#298867,#298868,#298869,#298870)); #55910=EDGE_LOOP('',(#298871,#298872,#298873,#298874)); #55911=EDGE_LOOP('',(#298875,#298876,#298877,#298878)); #55912=EDGE_LOOP('',(#298879,#298880,#298881,#298882)); #55913=EDGE_LOOP('',(#298883,#298884,#298885,#298886)); #55914=EDGE_LOOP('',(#298887,#298888,#298889,#298890)); #55915=EDGE_LOOP('',(#298891,#298892,#298893,#298894)); #55916=EDGE_LOOP('',(#298895,#298896,#298897,#298898)); #55917=EDGE_LOOP('',(#298899,#298900,#298901,#298902)); #55918=EDGE_LOOP('',(#298903,#298904,#298905,#298906)); #55919=EDGE_LOOP('',(#298907,#298908,#298909,#298910)); #55920=EDGE_LOOP('',(#298911,#298912,#298913,#298914)); #55921=EDGE_LOOP('',(#298915,#298916,#298917,#298918)); #55922=EDGE_LOOP('',(#298919,#298920,#298921,#298922)); #55923=EDGE_LOOP('',(#298923,#298924,#298925,#298926)); #55924=EDGE_LOOP('',(#298927,#298928,#298929,#298930)); #55925=EDGE_LOOP('',(#298931,#298932,#298933,#298934)); #55926=EDGE_LOOP('',(#298935,#298936,#298937,#298938)); #55927=EDGE_LOOP('',(#298939,#298940,#298941,#298942)); #55928=EDGE_LOOP('',(#298943,#298944,#298945,#298946)); #55929=EDGE_LOOP('',(#298947,#298948,#298949,#298950)); #55930=EDGE_LOOP('',(#298951,#298952,#298953,#298954)); #55931=EDGE_LOOP('',(#298955,#298956,#298957,#298958)); #55932=EDGE_LOOP('',(#298959,#298960,#298961,#298962)); #55933=EDGE_LOOP('',(#298963,#298964,#298965,#298966)); #55934=EDGE_LOOP('',(#298967,#298968,#298969,#298970)); #55935=EDGE_LOOP('',(#298971,#298972,#298973,#298974)); #55936=EDGE_LOOP('',(#298975,#298976,#298977,#298978)); #55937=EDGE_LOOP('',(#298979,#298980,#298981,#298982)); #55938=EDGE_LOOP('',(#298983,#298984,#298985,#298986)); #55939=EDGE_LOOP('',(#298987,#298988,#298989,#298990)); #55940=EDGE_LOOP('',(#298991,#298992,#298993,#298994)); #55941=EDGE_LOOP('',(#298995,#298996,#298997,#298998)); #55942=EDGE_LOOP('',(#298999,#299000,#299001,#299002)); #55943=EDGE_LOOP('',(#299003,#299004,#299005,#299006)); #55944=EDGE_LOOP('',(#299007,#299008,#299009,#299010)); #55945=EDGE_LOOP('',(#299011,#299012,#299013,#299014)); #55946=EDGE_LOOP('',(#299015,#299016,#299017,#299018)); #55947=EDGE_LOOP('',(#299019,#299020,#299021,#299022)); #55948=EDGE_LOOP('',(#299023,#299024,#299025,#299026)); #55949=EDGE_LOOP('',(#299027,#299028,#299029,#299030)); #55950=EDGE_LOOP('',(#299031,#299032,#299033,#299034)); #55951=EDGE_LOOP('',(#299035,#299036,#299037,#299038)); #55952=EDGE_LOOP('',(#299039,#299040,#299041,#299042)); #55953=EDGE_LOOP('',(#299043,#299044,#299045,#299046)); #55954=EDGE_LOOP('',(#299047,#299048,#299049,#299050)); #55955=EDGE_LOOP('',(#299051,#299052,#299053,#299054)); #55956=EDGE_LOOP('',(#299055,#299056,#299057,#299058)); #55957=EDGE_LOOP('',(#299059,#299060,#299061,#299062)); #55958=EDGE_LOOP('',(#299063,#299064,#299065,#299066)); #55959=EDGE_LOOP('',(#299067,#299068,#299069,#299070)); #55960=EDGE_LOOP('',(#299071,#299072,#299073,#299074)); #55961=EDGE_LOOP('',(#299075,#299076,#299077,#299078)); #55962=EDGE_LOOP('',(#299079,#299080,#299081,#299082)); #55963=EDGE_LOOP('',(#299083,#299084,#299085,#299086)); #55964=EDGE_LOOP('',(#299087,#299088,#299089,#299090)); #55965=EDGE_LOOP('',(#299091,#299092,#299093,#299094)); #55966=EDGE_LOOP('',(#299095,#299096,#299097,#299098,#299099,#299100,#299101, #299102,#299103,#299104,#299105,#299106,#299107,#299108,#299109,#299110, #299111,#299112,#299113,#299114,#299115,#299116,#299117,#299118,#299119, #299120,#299121,#299122,#299123,#299124,#299125,#299126,#299127,#299128, #299129,#299130,#299131,#299132,#299133,#299134,#299135,#299136,#299137, #299138,#299139,#299140,#299141,#299142,#299143,#299144,#299145,#299146, #299147,#299148,#299149,#299150,#299151,#299152,#299153,#299154,#299155, #299156,#299157,#299158,#299159,#299160,#299161,#299162,#299163,#299164)); #55967=EDGE_LOOP('',(#299165)); #55968=EDGE_LOOP('',(#299166)); #55969=EDGE_LOOP('',(#299167)); #55970=EDGE_LOOP('',(#299168)); #55971=EDGE_LOOP('',(#299169)); #55972=EDGE_LOOP('',(#299170)); #55973=EDGE_LOOP('',(#299171)); #55974=EDGE_LOOP('',(#299172)); #55975=EDGE_LOOP('',(#299173)); #55976=EDGE_LOOP('',(#299174)); #55977=EDGE_LOOP('',(#299175)); #55978=EDGE_LOOP('',(#299176)); #55979=EDGE_LOOP('',(#299177)); #55980=EDGE_LOOP('',(#299178)); #55981=EDGE_LOOP('',(#299179)); #55982=EDGE_LOOP('',(#299180)); #55983=EDGE_LOOP('',(#299181,#299182,#299183,#299184,#299185,#299186,#299187, #299188,#299189,#299190,#299191,#299192,#299193,#299194,#299195,#299196, #299197,#299198,#299199,#299200,#299201,#299202,#299203,#299204,#299205, #299206,#299207,#299208,#299209,#299210,#299211,#299212,#299213,#299214, #299215,#299216,#299217,#299218,#299219,#299220,#299221,#299222,#299223, #299224,#299225,#299226,#299227,#299228,#299229,#299230,#299231,#299232, #299233,#299234,#299235,#299236,#299237,#299238,#299239,#299240,#299241, #299242,#299243,#299244,#299245,#299246,#299247,#299248,#299249,#299250)); #55984=EDGE_LOOP('',(#299251)); #55985=EDGE_LOOP('',(#299252)); #55986=EDGE_LOOP('',(#299253)); #55987=EDGE_LOOP('',(#299254)); #55988=EDGE_LOOP('',(#299255)); #55989=EDGE_LOOP('',(#299256)); #55990=EDGE_LOOP('',(#299257)); #55991=EDGE_LOOP('',(#299258)); #55992=EDGE_LOOP('',(#299259)); #55993=EDGE_LOOP('',(#299260)); #55994=EDGE_LOOP('',(#299261)); #55995=EDGE_LOOP('',(#299262)); #55996=EDGE_LOOP('',(#299263)); #55997=EDGE_LOOP('',(#299264)); #55998=EDGE_LOOP('',(#299265)); #55999=EDGE_LOOP('',(#299266)); #56000=EDGE_LOOP('',(#299267,#299268,#299269,#299270)); #56001=EDGE_LOOP('',(#299271,#299272,#299273,#299274)); #56002=EDGE_LOOP('',(#299275,#299276,#299277,#299278)); #56003=EDGE_LOOP('',(#299279,#299280,#299281,#299282)); #56004=EDGE_LOOP('',(#299283,#299284,#299285,#299286)); #56005=EDGE_LOOP('',(#299287,#299288,#299289,#299290)); #56006=EDGE_LOOP('',(#299291,#299292,#299293,#299294)); #56007=EDGE_LOOP('',(#299295,#299296,#299297,#299298)); #56008=EDGE_LOOP('',(#299299,#299300,#299301,#299302)); #56009=EDGE_LOOP('',(#299303,#299304,#299305,#299306)); #56010=EDGE_LOOP('',(#299307,#299308,#299309,#299310)); #56011=EDGE_LOOP('',(#299311,#299312,#299313,#299314)); #56012=EDGE_LOOP('',(#299315,#299316,#299317,#299318)); #56013=EDGE_LOOP('',(#299319,#299320,#299321,#299322)); #56014=EDGE_LOOP('',(#299323,#299324,#299325,#299326)); #56015=EDGE_LOOP('',(#299327,#299328,#299329,#299330)); #56016=EDGE_LOOP('',(#299331,#299332,#299333,#299334)); #56017=EDGE_LOOP('',(#299335,#299336,#299337,#299338)); #56018=EDGE_LOOP('',(#299339,#299340,#299341,#299342)); #56019=EDGE_LOOP('',(#299343,#299344,#299345,#299346)); #56020=EDGE_LOOP('',(#299347,#299348,#299349,#299350)); #56021=EDGE_LOOP('',(#299351,#299352,#299353,#299354)); #56022=EDGE_LOOP('',(#299355,#299356,#299357,#299358)); #56023=EDGE_LOOP('',(#299359,#299360,#299361,#299362)); #56024=EDGE_LOOP('',(#299363,#299364,#299365,#299366)); #56025=EDGE_LOOP('',(#299367,#299368,#299369,#299370)); #56026=EDGE_LOOP('',(#299371,#299372,#299373,#299374)); #56027=EDGE_LOOP('',(#299375,#299376,#299377,#299378)); #56028=EDGE_LOOP('',(#299379,#299380,#299381,#299382)); #56029=EDGE_LOOP('',(#299383,#299384,#299385,#299386)); #56030=EDGE_LOOP('',(#299387,#299388,#299389,#299390)); #56031=EDGE_LOOP('',(#299391,#299392,#299393,#299394)); #56032=EDGE_LOOP('',(#299395,#299396,#299397,#299398)); #56033=EDGE_LOOP('',(#299399,#299400,#299401,#299402)); #56034=EDGE_LOOP('',(#299403,#299404,#299405,#299406)); #56035=EDGE_LOOP('',(#299407,#299408,#299409,#299410)); #56036=EDGE_LOOP('',(#299411,#299412,#299413,#299414)); #56037=EDGE_LOOP('',(#299415,#299416,#299417,#299418)); #56038=EDGE_LOOP('',(#299419,#299420,#299421,#299422)); #56039=EDGE_LOOP('',(#299423,#299424,#299425,#299426)); #56040=EDGE_LOOP('',(#299427,#299428,#299429,#299430)); #56041=EDGE_LOOP('',(#299431,#299432,#299433,#299434)); #56042=EDGE_LOOP('',(#299435,#299436,#299437,#299438)); #56043=EDGE_LOOP('',(#299439,#299440,#299441,#299442)); #56044=EDGE_LOOP('',(#299443,#299444,#299445,#299446)); #56045=EDGE_LOOP('',(#299447,#299448,#299449,#299450)); #56046=EDGE_LOOP('',(#299451,#299452,#299453,#299454)); #56047=EDGE_LOOP('',(#299455,#299456,#299457,#299458)); #56048=EDGE_LOOP('',(#299459,#299460,#299461,#299462)); #56049=EDGE_LOOP('',(#299463,#299464,#299465,#299466)); #56050=EDGE_LOOP('',(#299467,#299468,#299469,#299470)); #56051=EDGE_LOOP('',(#299471,#299472,#299473,#299474)); #56052=EDGE_LOOP('',(#299475,#299476,#299477,#299478)); #56053=EDGE_LOOP('',(#299479,#299480,#299481,#299482)); #56054=EDGE_LOOP('',(#299483,#299484,#299485,#299486)); #56055=EDGE_LOOP('',(#299487,#299488,#299489,#299490)); #56056=EDGE_LOOP('',(#299491,#299492,#299493,#299494)); #56057=EDGE_LOOP('',(#299495,#299496,#299497,#299498)); #56058=EDGE_LOOP('',(#299499,#299500,#299501,#299502)); #56059=EDGE_LOOP('',(#299503,#299504,#299505,#299506)); #56060=EDGE_LOOP('',(#299507,#299508,#299509,#299510)); #56061=EDGE_LOOP('',(#299511,#299512,#299513,#299514)); #56062=EDGE_LOOP('',(#299515,#299516,#299517,#299518)); #56063=EDGE_LOOP('',(#299519,#299520,#299521,#299522)); #56064=EDGE_LOOP('',(#299523,#299524,#299525,#299526)); #56065=EDGE_LOOP('',(#299527,#299528,#299529,#299530)); #56066=EDGE_LOOP('',(#299531,#299532,#299533,#299534)); #56067=EDGE_LOOP('',(#299535,#299536,#299537,#299538)); #56068=EDGE_LOOP('',(#299539,#299540,#299541,#299542)); #56069=EDGE_LOOP('',(#299543,#299544,#299545,#299546)); #56070=EDGE_LOOP('',(#299547,#299548,#299549,#299550)); #56071=EDGE_LOOP('',(#299551,#299552,#299553,#299554)); #56072=EDGE_LOOP('',(#299555,#299556,#299557,#299558)); #56073=EDGE_LOOP('',(#299559,#299560,#299561,#299562)); #56074=EDGE_LOOP('',(#299563,#299564,#299565,#299566)); #56075=EDGE_LOOP('',(#299567,#299568,#299569,#299570,#299571,#299572,#299573, #299574,#299575,#299576,#299577,#299578,#299579,#299580,#299581,#299582, #299583,#299584,#299585,#299586,#299587,#299588,#299589,#299590,#299591, #299592,#299593,#299594,#299595,#299596,#299597,#299598,#299599,#299600, #299601,#299602,#299603,#299604,#299605,#299606,#299607,#299608,#299609, #299610,#299611)); #56076=EDGE_LOOP('',(#299612)); #56077=EDGE_LOOP('',(#299613)); #56078=EDGE_LOOP('',(#299614)); #56079=EDGE_LOOP('',(#299615)); #56080=EDGE_LOOP('',(#299616)); #56081=EDGE_LOOP('',(#299617)); #56082=EDGE_LOOP('',(#299618)); #56083=EDGE_LOOP('',(#299619)); #56084=EDGE_LOOP('',(#299620)); #56085=EDGE_LOOP('',(#299621)); #56086=EDGE_LOOP('',(#299622)); #56087=EDGE_LOOP('',(#299623)); #56088=EDGE_LOOP('',(#299624)); #56089=EDGE_LOOP('',(#299625)); #56090=EDGE_LOOP('',(#299626)); #56091=EDGE_LOOP('',(#299627)); #56092=EDGE_LOOP('',(#299628)); #56093=EDGE_LOOP('',(#299629)); #56094=EDGE_LOOP('',(#299630)); #56095=EDGE_LOOP('',(#299631)); #56096=EDGE_LOOP('',(#299632)); #56097=EDGE_LOOP('',(#299633)); #56098=EDGE_LOOP('',(#299634)); #56099=EDGE_LOOP('',(#299635)); #56100=EDGE_LOOP('',(#299636)); #56101=EDGE_LOOP('',(#299637)); #56102=EDGE_LOOP('',(#299638)); #56103=EDGE_LOOP('',(#299639)); #56104=EDGE_LOOP('',(#299640)); #56105=EDGE_LOOP('',(#299641)); #56106=EDGE_LOOP('',(#299642,#299643,#299644,#299645,#299646,#299647,#299648, #299649,#299650,#299651,#299652,#299653,#299654,#299655,#299656,#299657, #299658,#299659,#299660,#299661,#299662,#299663,#299664,#299665,#299666, #299667,#299668,#299669,#299670,#299671,#299672,#299673,#299674,#299675, #299676,#299677,#299678,#299679,#299680,#299681,#299682,#299683,#299684, #299685,#299686)); #56107=EDGE_LOOP('',(#299687)); #56108=EDGE_LOOP('',(#299688)); #56109=EDGE_LOOP('',(#299689)); #56110=EDGE_LOOP('',(#299690)); #56111=EDGE_LOOP('',(#299691)); #56112=EDGE_LOOP('',(#299692)); #56113=EDGE_LOOP('',(#299693)); #56114=EDGE_LOOP('',(#299694)); #56115=EDGE_LOOP('',(#299695)); #56116=EDGE_LOOP('',(#299696)); #56117=EDGE_LOOP('',(#299697)); #56118=EDGE_LOOP('',(#299698)); #56119=EDGE_LOOP('',(#299699)); #56120=EDGE_LOOP('',(#299700)); #56121=EDGE_LOOP('',(#299701)); #56122=EDGE_LOOP('',(#299702)); #56123=EDGE_LOOP('',(#299703)); #56124=EDGE_LOOP('',(#299704)); #56125=EDGE_LOOP('',(#299705)); #56126=EDGE_LOOP('',(#299706)); #56127=EDGE_LOOP('',(#299707)); #56128=EDGE_LOOP('',(#299708)); #56129=EDGE_LOOP('',(#299709)); #56130=EDGE_LOOP('',(#299710)); #56131=EDGE_LOOP('',(#299711)); #56132=EDGE_LOOP('',(#299712)); #56133=EDGE_LOOP('',(#299713)); #56134=EDGE_LOOP('',(#299714)); #56135=EDGE_LOOP('',(#299715)); #56136=EDGE_LOOP('',(#299716)); #56137=EDGE_LOOP('',(#299717,#299718,#299719,#299720)); #56138=EDGE_LOOP('',(#299721,#299722,#299723,#299724)); #56139=EDGE_LOOP('',(#299725,#299726,#299727,#299728)); #56140=EDGE_LOOP('',(#299729,#299730,#299731,#299732)); #56141=EDGE_LOOP('',(#299733,#299734,#299735,#299736)); #56142=EDGE_LOOP('',(#299737,#299738,#299739,#299740)); #56143=EDGE_LOOP('',(#299741,#299742,#299743,#299744)); #56144=EDGE_LOOP('',(#299745,#299746,#299747,#299748)); #56145=EDGE_LOOP('',(#299749,#299750,#299751,#299752)); #56146=EDGE_LOOP('',(#299753,#299754,#299755,#299756,#299757,#299758,#299759)); #56147=EDGE_LOOP('',(#299760)); #56148=EDGE_LOOP('',(#299761)); #56149=EDGE_LOOP('',(#299762,#299763,#299764,#299765,#299766,#299767,#299768)); #56150=EDGE_LOOP('',(#299769)); #56151=EDGE_LOOP('',(#299770)); #56152=EDGE_LOOP('',(#299771,#299772,#299773,#299774)); #56153=EDGE_LOOP('',(#299775,#299776,#299777,#299778)); #56154=EDGE_LOOP('',(#299779,#299780,#299781,#299782)); #56155=EDGE_LOOP('',(#299783,#299784,#299785,#299786)); #56156=EDGE_LOOP('',(#299787,#299788,#299789,#299790)); #56157=EDGE_LOOP('',(#299791,#299792,#299793,#299794)); #56158=EDGE_LOOP('',(#299795,#299796,#299797,#299798)); #56159=EDGE_LOOP('',(#299799,#299800,#299801,#299802)); #56160=EDGE_LOOP('',(#299803,#299804,#299805,#299806)); #56161=EDGE_LOOP('',(#299807,#299808,#299809,#299810)); #56162=EDGE_LOOP('',(#299811,#299812,#299813,#299814)); #56163=EDGE_LOOP('',(#299815,#299816,#299817,#299818)); #56164=EDGE_LOOP('',(#299819,#299820,#299821,#299822)); #56165=EDGE_LOOP('',(#299823,#299824,#299825,#299826)); #56166=EDGE_LOOP('',(#299827,#299828,#299829,#299830)); #56167=EDGE_LOOP('',(#299831,#299832,#299833,#299834)); #56168=EDGE_LOOP('',(#299835,#299836,#299837,#299838)); #56169=EDGE_LOOP('',(#299839,#299840,#299841,#299842)); #56170=EDGE_LOOP('',(#299843,#299844,#299845,#299846)); #56171=EDGE_LOOP('',(#299847,#299848,#299849,#299850)); #56172=EDGE_LOOP('',(#299851,#299852,#299853,#299854)); #56173=EDGE_LOOP('',(#299855,#299856,#299857,#299858)); #56174=EDGE_LOOP('',(#299859,#299860,#299861,#299862)); #56175=EDGE_LOOP('',(#299863,#299864,#299865,#299866)); #56176=EDGE_LOOP('',(#299867,#299868,#299869,#299870)); #56177=EDGE_LOOP('',(#299871,#299872,#299873,#299874)); #56178=EDGE_LOOP('',(#299875,#299876,#299877,#299878)); #56179=EDGE_LOOP('',(#299879,#299880,#299881,#299882)); #56180=EDGE_LOOP('',(#299883,#299884,#299885,#299886)); #56181=EDGE_LOOP('',(#299887,#299888,#299889,#299890)); #56182=EDGE_LOOP('',(#299891,#299892,#299893,#299894)); #56183=EDGE_LOOP('',(#299895,#299896,#299897,#299898)); #56184=EDGE_LOOP('',(#299899,#299900,#299901,#299902)); #56185=EDGE_LOOP('',(#299903,#299904,#299905,#299906)); #56186=EDGE_LOOP('',(#299907,#299908,#299909,#299910,#299911,#299912,#299913, #299914,#299915,#299916,#299917,#299918,#299919,#299920,#299921,#299922, #299923,#299924,#299925,#299926,#299927,#299928,#299929,#299930)); #56187=EDGE_LOOP('',(#299931)); #56188=EDGE_LOOP('',(#299932)); #56189=EDGE_LOOP('',(#299933)); #56190=EDGE_LOOP('',(#299934)); #56191=EDGE_LOOP('',(#299935)); #56192=EDGE_LOOP('',(#299936)); #56193=EDGE_LOOP('',(#299937)); #56194=EDGE_LOOP('',(#299938)); #56195=EDGE_LOOP('',(#299939)); #56196=EDGE_LOOP('',(#299940)); #56197=EDGE_LOOP('',(#299941,#299942,#299943,#299944,#299945,#299946,#299947, #299948,#299949,#299950,#299951,#299952,#299953,#299954,#299955,#299956, #299957,#299958,#299959,#299960,#299961,#299962,#299963,#299964)); #56198=EDGE_LOOP('',(#299965)); #56199=EDGE_LOOP('',(#299966)); #56200=EDGE_LOOP('',(#299967)); #56201=EDGE_LOOP('',(#299968)); #56202=EDGE_LOOP('',(#299969)); #56203=EDGE_LOOP('',(#299970)); #56204=EDGE_LOOP('',(#299971)); #56205=EDGE_LOOP('',(#299972)); #56206=EDGE_LOOP('',(#299973)); #56207=EDGE_LOOP('',(#299974)); #56208=EDGE_LOOP('',(#299975,#299976,#299977,#299978)); #56209=EDGE_LOOP('',(#299979,#299980,#299981,#299982)); #56210=EDGE_LOOP('',(#299983,#299984,#299985,#299986)); #56211=EDGE_LOOP('',(#299987,#299988,#299989,#299990)); #56212=EDGE_LOOP('',(#299991,#299992,#299993)); #56213=EDGE_LOOP('',(#299994)); #56214=EDGE_LOOP('',(#299995,#299996,#299997)); #56215=EDGE_LOOP('',(#299998)); #56216=EDGE_LOOP('',(#299999,#300000,#300001,#300002)); #56217=EDGE_LOOP('',(#300003,#300004,#300005,#300006)); #56218=EDGE_LOOP('',(#300007,#300008,#300009,#300010)); #56219=EDGE_LOOP('',(#300011,#300012,#300013,#300014)); #56220=EDGE_LOOP('',(#300015,#300016,#300017,#300018)); #56221=EDGE_LOOP('',(#300019,#300020,#300021,#300022)); #56222=EDGE_LOOP('',(#300023,#300024,#300025,#300026)); #56223=EDGE_LOOP('',(#300027,#300028,#300029,#300030)); #56224=EDGE_LOOP('',(#300031,#300032,#300033,#300034)); #56225=EDGE_LOOP('',(#300035,#300036,#300037,#300038)); #56226=EDGE_LOOP('',(#300039,#300040,#300041,#300042)); #56227=EDGE_LOOP('',(#300043,#300044,#300045,#300046)); #56228=EDGE_LOOP('',(#300047,#300048,#300049,#300050)); #56229=EDGE_LOOP('',(#300051,#300052,#300053,#300054)); #56230=EDGE_LOOP('',(#300055,#300056,#300057,#300058)); #56231=EDGE_LOOP('',(#300059,#300060,#300061,#300062)); #56232=EDGE_LOOP('',(#300063,#300064,#300065,#300066)); #56233=EDGE_LOOP('',(#300067,#300068,#300069,#300070)); #56234=EDGE_LOOP('',(#300071,#300072,#300073,#300074)); #56235=EDGE_LOOP('',(#300075,#300076,#300077,#300078)); #56236=EDGE_LOOP('',(#300079,#300080,#300081,#300082)); #56237=EDGE_LOOP('',(#300083,#300084,#300085,#300086)); #56238=EDGE_LOOP('',(#300087,#300088,#300089,#300090)); #56239=EDGE_LOOP('',(#300091,#300092,#300093,#300094)); #56240=EDGE_LOOP('',(#300095,#300096,#300097,#300098)); #56241=EDGE_LOOP('',(#300099,#300100,#300101,#300102)); #56242=EDGE_LOOP('',(#300103,#300104,#300105,#300106)); #56243=EDGE_LOOP('',(#300107,#300108,#300109,#300110)); #56244=EDGE_LOOP('',(#300111,#300112,#300113,#300114)); #56245=EDGE_LOOP('',(#300115,#300116,#300117,#300118)); #56246=EDGE_LOOP('',(#300119,#300120,#300121,#300122)); #56247=EDGE_LOOP('',(#300123,#300124,#300125,#300126)); #56248=EDGE_LOOP('',(#300127,#300128,#300129,#300130)); #56249=EDGE_LOOP('',(#300131,#300132,#300133,#300134)); #56250=EDGE_LOOP('',(#300135,#300136,#300137,#300138)); #56251=EDGE_LOOP('',(#300139,#300140,#300141,#300142)); #56252=EDGE_LOOP('',(#300143,#300144,#300145,#300146)); #56253=EDGE_LOOP('',(#300147,#300148,#300149,#300150)); #56254=EDGE_LOOP('',(#300151,#300152,#300153,#300154)); #56255=EDGE_LOOP('',(#300155,#300156,#300157,#300158)); #56256=EDGE_LOOP('',(#300159,#300160,#300161,#300162)); #56257=EDGE_LOOP('',(#300163,#300164,#300165,#300166)); #56258=EDGE_LOOP('',(#300167,#300168,#300169,#300170)); #56259=EDGE_LOOP('',(#300171,#300172,#300173,#300174)); #56260=EDGE_LOOP('',(#300175,#300176,#300177,#300178)); #56261=EDGE_LOOP('',(#300179,#300180,#300181,#300182)); #56262=EDGE_LOOP('',(#300183,#300184,#300185,#300186)); #56263=EDGE_LOOP('',(#300187,#300188,#300189,#300190)); #56264=EDGE_LOOP('',(#300191,#300192,#300193,#300194)); #56265=EDGE_LOOP('',(#300195,#300196,#300197,#300198)); #56266=EDGE_LOOP('',(#300199,#300200,#300201,#300202)); #56267=EDGE_LOOP('',(#300203,#300204,#300205,#300206)); #56268=EDGE_LOOP('',(#300207,#300208,#300209,#300210)); #56269=EDGE_LOOP('',(#300211,#300212,#300213,#300214)); #56270=EDGE_LOOP('',(#300215,#300216,#300217,#300218)); #56271=EDGE_LOOP('',(#300219,#300220,#300221,#300222)); #56272=EDGE_LOOP('',(#300223,#300224,#300225,#300226)); #56273=EDGE_LOOP('',(#300227,#300228,#300229,#300230)); #56274=EDGE_LOOP('',(#300231,#300232,#300233,#300234)); #56275=EDGE_LOOP('',(#300235,#300236,#300237,#300238)); #56276=EDGE_LOOP('',(#300239,#300240,#300241,#300242)); #56277=EDGE_LOOP('',(#300243,#300244,#300245,#300246)); #56278=EDGE_LOOP('',(#300247,#300248,#300249,#300250)); #56279=EDGE_LOOP('',(#300251,#300252,#300253,#300254)); #56280=EDGE_LOOP('',(#300255,#300256,#300257,#300258)); #56281=EDGE_LOOP('',(#300259,#300260,#300261,#300262)); #56282=EDGE_LOOP('',(#300263,#300264,#300265,#300266)); #56283=EDGE_LOOP('',(#300267,#300268,#300269,#300270)); #56284=EDGE_LOOP('',(#300271,#300272,#300273,#300274)); #56285=EDGE_LOOP('',(#300275,#300276,#300277,#300278)); #56286=EDGE_LOOP('',(#300279,#300280,#300281,#300282)); #56287=EDGE_LOOP('',(#300283,#300284,#300285,#300286)); #56288=EDGE_LOOP('',(#300287,#300288,#300289,#300290)); #56289=EDGE_LOOP('',(#300291,#300292,#300293,#300294)); #56290=EDGE_LOOP('',(#300295,#300296,#300297,#300298)); #56291=EDGE_LOOP('',(#300299,#300300,#300301,#300302,#300303,#300304,#300305, #300306,#300307,#300308,#300309,#300310,#300311,#300312,#300313,#300314, #300315,#300316,#300317,#300318,#300319,#300320,#300321,#300322,#300323, #300324,#300325,#300326,#300327,#300328,#300329,#300330,#300331,#300332, #300333,#300334,#300335,#300336,#300337,#300338,#300339,#300340,#300341, #300342,#300343)); #56292=EDGE_LOOP('',(#300344)); #56293=EDGE_LOOP('',(#300345)); #56294=EDGE_LOOP('',(#300346)); #56295=EDGE_LOOP('',(#300347)); #56296=EDGE_LOOP('',(#300348)); #56297=EDGE_LOOP('',(#300349)); #56298=EDGE_LOOP('',(#300350)); #56299=EDGE_LOOP('',(#300351)); #56300=EDGE_LOOP('',(#300352)); #56301=EDGE_LOOP('',(#300353)); #56302=EDGE_LOOP('',(#300354)); #56303=EDGE_LOOP('',(#300355)); #56304=EDGE_LOOP('',(#300356)); #56305=EDGE_LOOP('',(#300357)); #56306=EDGE_LOOP('',(#300358)); #56307=EDGE_LOOP('',(#300359)); #56308=EDGE_LOOP('',(#300360)); #56309=EDGE_LOOP('',(#300361)); #56310=EDGE_LOOP('',(#300362)); #56311=EDGE_LOOP('',(#300363)); #56312=EDGE_LOOP('',(#300364)); #56313=EDGE_LOOP('',(#300365)); #56314=EDGE_LOOP('',(#300366)); #56315=EDGE_LOOP('',(#300367)); #56316=EDGE_LOOP('',(#300368)); #56317=EDGE_LOOP('',(#300369)); #56318=EDGE_LOOP('',(#300370)); #56319=EDGE_LOOP('',(#300371)); #56320=EDGE_LOOP('',(#300372)); #56321=EDGE_LOOP('',(#300373)); #56322=EDGE_LOOP('',(#300374,#300375,#300376,#300377,#300378,#300379,#300380, #300381,#300382,#300383,#300384,#300385,#300386,#300387,#300388,#300389, #300390,#300391,#300392,#300393,#300394,#300395,#300396,#300397,#300398, #300399,#300400,#300401,#300402,#300403,#300404,#300405,#300406,#300407, #300408,#300409,#300410,#300411,#300412,#300413,#300414,#300415,#300416, #300417,#300418)); #56323=EDGE_LOOP('',(#300419)); #56324=EDGE_LOOP('',(#300420)); #56325=EDGE_LOOP('',(#300421)); #56326=EDGE_LOOP('',(#300422)); #56327=EDGE_LOOP('',(#300423)); #56328=EDGE_LOOP('',(#300424)); #56329=EDGE_LOOP('',(#300425)); #56330=EDGE_LOOP('',(#300426)); #56331=EDGE_LOOP('',(#300427)); #56332=EDGE_LOOP('',(#300428)); #56333=EDGE_LOOP('',(#300429)); #56334=EDGE_LOOP('',(#300430)); #56335=EDGE_LOOP('',(#300431)); #56336=EDGE_LOOP('',(#300432)); #56337=EDGE_LOOP('',(#300433)); #56338=EDGE_LOOP('',(#300434)); #56339=EDGE_LOOP('',(#300435)); #56340=EDGE_LOOP('',(#300436)); #56341=EDGE_LOOP('',(#300437)); #56342=EDGE_LOOP('',(#300438)); #56343=EDGE_LOOP('',(#300439)); #56344=EDGE_LOOP('',(#300440)); #56345=EDGE_LOOP('',(#300441)); #56346=EDGE_LOOP('',(#300442)); #56347=EDGE_LOOP('',(#300443)); #56348=EDGE_LOOP('',(#300444)); #56349=EDGE_LOOP('',(#300445)); #56350=EDGE_LOOP('',(#300446)); #56351=EDGE_LOOP('',(#300447)); #56352=EDGE_LOOP('',(#300448)); #56353=EDGE_LOOP('',(#300449,#300450,#300451,#300452)); #56354=EDGE_LOOP('',(#300453,#300454,#300455,#300456)); #56355=EDGE_LOOP('',(#300457,#300458,#300459,#300460)); #56356=EDGE_LOOP('',(#300461,#300462,#300463,#300464)); #56357=EDGE_LOOP('',(#300465,#300466,#300467,#300468)); #56358=EDGE_LOOP('',(#300469,#300470,#300471,#300472)); #56359=EDGE_LOOP('',(#300473,#300474,#300475,#300476)); #56360=EDGE_LOOP('',(#300477,#300478,#300479,#300480)); #56361=EDGE_LOOP('',(#300481,#300482,#300483,#300484)); #56362=EDGE_LOOP('',(#300485,#300486,#300487,#300488,#300489,#300490,#300491)); #56363=EDGE_LOOP('',(#300492)); #56364=EDGE_LOOP('',(#300493)); #56365=EDGE_LOOP('',(#300494,#300495,#300496,#300497,#300498,#300499,#300500)); #56366=EDGE_LOOP('',(#300501)); #56367=EDGE_LOOP('',(#300502)); #56368=EDGE_LOOP('',(#300503,#300504,#300505,#300506)); #56369=EDGE_LOOP('',(#300507,#300508,#300509,#300510)); #56370=EDGE_LOOP('',(#300511,#300512,#300513,#300514)); #56371=EDGE_LOOP('',(#300515,#300516,#300517,#300518)); #56372=EDGE_LOOP('',(#300519,#300520,#300521)); #56373=EDGE_LOOP('',(#300522)); #56374=EDGE_LOOP('',(#300523,#300524,#300525)); #56375=EDGE_LOOP('',(#300526)); #56376=EDGE_LOOP('',(#300527,#300528,#300529,#300530)); #56377=EDGE_LOOP('',(#300531,#300532,#300533,#300534)); #56378=EDGE_LOOP('',(#300535,#300536,#300537,#300538)); #56379=EDGE_LOOP('',(#300539,#300540,#300541,#300542)); #56380=EDGE_LOOP('',(#300543,#300544,#300545,#300546)); #56381=EDGE_LOOP('',(#300547,#300548,#300549,#300550)); #56382=EDGE_LOOP('',(#300551,#300552,#300553,#300554)); #56383=EDGE_LOOP('',(#300555,#300556,#300557,#300558)); #56384=EDGE_LOOP('',(#300559,#300560,#300561,#300562)); #56385=EDGE_LOOP('',(#300563,#300564,#300565,#300566)); #56386=EDGE_LOOP('',(#300567,#300568,#300569,#300570)); #56387=EDGE_LOOP('',(#300571,#300572,#300573,#300574)); #56388=EDGE_LOOP('',(#300575,#300576,#300577,#300578)); #56389=EDGE_LOOP('',(#300579,#300580,#300581,#300582)); #56390=EDGE_LOOP('',(#300583,#300584,#300585,#300586)); #56391=EDGE_LOOP('',(#300587,#300588,#300589,#300590)); #56392=EDGE_LOOP('',(#300591,#300592,#300593,#300594)); #56393=EDGE_LOOP('',(#300595,#300596,#300597,#300598)); #56394=EDGE_LOOP('',(#300599,#300600,#300601,#300602)); #56395=EDGE_LOOP('',(#300603,#300604,#300605,#300606)); #56396=EDGE_LOOP('',(#300607,#300608,#300609,#300610)); #56397=EDGE_LOOP('',(#300611,#300612,#300613,#300614)); #56398=EDGE_LOOP('',(#300615,#300616,#300617,#300618)); #56399=EDGE_LOOP('',(#300619,#300620,#300621,#300622)); #56400=EDGE_LOOP('',(#300623,#300624,#300625,#300626)); #56401=EDGE_LOOP('',(#300627,#300628,#300629,#300630)); #56402=EDGE_LOOP('',(#300631,#300632,#300633,#300634)); #56403=EDGE_LOOP('',(#300635,#300636,#300637,#300638)); #56404=EDGE_LOOP('',(#300639,#300640,#300641,#300642)); #56405=EDGE_LOOP('',(#300643,#300644,#300645,#300646)); #56406=EDGE_LOOP('',(#300647,#300648,#300649,#300650)); #56407=EDGE_LOOP('',(#300651,#300652,#300653,#300654)); #56408=EDGE_LOOP('',(#300655,#300656,#300657,#300658)); #56409=EDGE_LOOP('',(#300659,#300660,#300661,#300662)); #56410=EDGE_LOOP('',(#300663,#300664,#300665,#300666)); #56411=EDGE_LOOP('',(#300667,#300668,#300669,#300670)); #56412=EDGE_LOOP('',(#300671,#300672,#300673,#300674)); #56413=EDGE_LOOP('',(#300675,#300676,#300677,#300678)); #56414=EDGE_LOOP('',(#300679,#300680,#300681,#300682)); #56415=EDGE_LOOP('',(#300683,#300684,#300685,#300686)); #56416=EDGE_LOOP('',(#300687,#300688,#300689,#300690)); #56417=EDGE_LOOP('',(#300691,#300692,#300693,#300694)); #56418=EDGE_LOOP('',(#300695,#300696,#300697,#300698)); #56419=EDGE_LOOP('',(#300699,#300700,#300701,#300702)); #56420=EDGE_LOOP('',(#300703,#300704,#300705,#300706)); #56421=EDGE_LOOP('',(#300707,#300708,#300709,#300710)); #56422=EDGE_LOOP('',(#300711,#300712,#300713,#300714)); #56423=EDGE_LOOP('',(#300715,#300716,#300717,#300718)); #56424=EDGE_LOOP('',(#300719,#300720,#300721,#300722)); #56425=EDGE_LOOP('',(#300723,#300724,#300725,#300726)); #56426=EDGE_LOOP('',(#300727,#300728,#300729,#300730)); #56427=EDGE_LOOP('',(#300731,#300732,#300733,#300734)); #56428=EDGE_LOOP('',(#300735,#300736,#300737,#300738)); #56429=EDGE_LOOP('',(#300739,#300740,#300741,#300742)); #56430=EDGE_LOOP('',(#300743,#300744,#300745,#300746)); #56431=EDGE_LOOP('',(#300747,#300748,#300749,#300750)); #56432=EDGE_LOOP('',(#300751,#300752,#300753,#300754)); #56433=EDGE_LOOP('',(#300755,#300756,#300757,#300758)); #56434=EDGE_LOOP('',(#300759,#300760,#300761,#300762)); #56435=EDGE_LOOP('',(#300763,#300764,#300765,#300766)); #56436=EDGE_LOOP('',(#300767,#300768,#300769,#300770)); #56437=EDGE_LOOP('',(#300771,#300772,#300773,#300774)); #56438=EDGE_LOOP('',(#300775,#300776,#300777,#300778)); #56439=EDGE_LOOP('',(#300779,#300780,#300781,#300782)); #56440=EDGE_LOOP('',(#300783,#300784,#300785,#300786)); #56441=EDGE_LOOP('',(#300787,#300788,#300789,#300790)); #56442=EDGE_LOOP('',(#300791,#300792,#300793,#300794)); #56443=EDGE_LOOP('',(#300795,#300796,#300797,#300798)); #56444=EDGE_LOOP('',(#300799,#300800,#300801,#300802)); #56445=EDGE_LOOP('',(#300803,#300804,#300805,#300806)); #56446=EDGE_LOOP('',(#300807,#300808,#300809,#300810)); #56447=EDGE_LOOP('',(#300811,#300812,#300813,#300814)); #56448=EDGE_LOOP('',(#300815,#300816,#300817,#300818)); #56449=EDGE_LOOP('',(#300819,#300820,#300821,#300822)); #56450=EDGE_LOOP('',(#300823,#300824,#300825,#300826)); #56451=EDGE_LOOP('',(#300827,#300828,#300829,#300830)); #56452=EDGE_LOOP('',(#300831,#300832,#300833,#300834)); #56453=EDGE_LOOP('',(#300835,#300836,#300837,#300838)); #56454=EDGE_LOOP('',(#300839,#300840,#300841,#300842)); #56455=EDGE_LOOP('',(#300843,#300844,#300845,#300846)); #56456=EDGE_LOOP('',(#300847,#300848,#300849,#300850)); #56457=EDGE_LOOP('',(#300851,#300852,#300853,#300854)); #56458=EDGE_LOOP('',(#300855,#300856,#300857,#300858)); #56459=EDGE_LOOP('',(#300859,#300860,#300861,#300862)); #56460=EDGE_LOOP('',(#300863,#300864,#300865,#300866)); #56461=EDGE_LOOP('',(#300867,#300868,#300869,#300870)); #56462=EDGE_LOOP('',(#300871,#300872,#300873,#300874)); #56463=EDGE_LOOP('',(#300875,#300876,#300877,#300878)); #56464=EDGE_LOOP('',(#300879,#300880,#300881,#300882)); #56465=EDGE_LOOP('',(#300883,#300884,#300885,#300886)); #56466=EDGE_LOOP('',(#300887,#300888,#300889,#300890)); #56467=EDGE_LOOP('',(#300891,#300892,#300893,#300894)); #56468=EDGE_LOOP('',(#300895,#300896,#300897,#300898)); #56469=EDGE_LOOP('',(#300899,#300900,#300901,#300902)); #56470=EDGE_LOOP('',(#300903,#300904,#300905,#300906)); #56471=EDGE_LOOP('',(#300907,#300908,#300909,#300910)); #56472=EDGE_LOOP('',(#300911,#300912,#300913,#300914)); #56473=EDGE_LOOP('',(#300915,#300916,#300917,#300918)); #56474=EDGE_LOOP('',(#300919,#300920,#300921,#300922)); #56475=EDGE_LOOP('',(#300923,#300924,#300925,#300926)); #56476=EDGE_LOOP('',(#300927,#300928,#300929,#300930)); #56477=EDGE_LOOP('',(#300931,#300932,#300933,#300934)); #56478=EDGE_LOOP('',(#300935,#300936,#300937,#300938)); #56479=EDGE_LOOP('',(#300939,#300940,#300941,#300942)); #56480=EDGE_LOOP('',(#300943,#300944,#300945,#300946)); #56481=EDGE_LOOP('',(#300947,#300948,#300949,#300950)); #56482=EDGE_LOOP('',(#300951,#300952,#300953,#300954)); #56483=EDGE_LOOP('',(#300955,#300956,#300957,#300958)); #56484=EDGE_LOOP('',(#300959,#300960,#300961,#300962)); #56485=EDGE_LOOP('',(#300963,#300964,#300965,#300966)); #56486=EDGE_LOOP('',(#300967,#300968,#300969,#300970)); #56487=EDGE_LOOP('',(#300971,#300972,#300973,#300974)); #56488=EDGE_LOOP('',(#300975,#300976,#300977,#300978)); #56489=EDGE_LOOP('',(#300979,#300980,#300981,#300982)); #56490=EDGE_LOOP('',(#300983,#300984,#300985,#300986)); #56491=EDGE_LOOP('',(#300987,#300988,#300989,#300990)); #56492=EDGE_LOOP('',(#300991,#300992,#300993,#300994)); #56493=EDGE_LOOP('',(#300995,#300996,#300997,#300998)); #56494=EDGE_LOOP('',(#300999,#301000,#301001,#301002)); #56495=EDGE_LOOP('',(#301003,#301004,#301005,#301006)); #56496=EDGE_LOOP('',(#301007,#301008,#301009,#301010)); #56497=EDGE_LOOP('',(#301011,#301012,#301013,#301014)); #56498=EDGE_LOOP('',(#301015,#301016,#301017,#301018)); #56499=EDGE_LOOP('',(#301019,#301020,#301021,#301022)); #56500=EDGE_LOOP('',(#301023,#301024,#301025,#301026)); #56501=EDGE_LOOP('',(#301027,#301028,#301029,#301030)); #56502=EDGE_LOOP('',(#301031,#301032,#301033,#301034)); #56503=EDGE_LOOP('',(#301035,#301036,#301037,#301038)); #56504=EDGE_LOOP('',(#301039,#301040,#301041,#301042)); #56505=EDGE_LOOP('',(#301043,#301044,#301045,#301046)); #56506=EDGE_LOOP('',(#301047,#301048,#301049,#301050)); #56507=EDGE_LOOP('',(#301051,#301052,#301053,#301054)); #56508=EDGE_LOOP('',(#301055,#301056,#301057,#301058)); #56509=EDGE_LOOP('',(#301059,#301060,#301061,#301062)); #56510=EDGE_LOOP('',(#301063,#301064,#301065,#301066)); #56511=EDGE_LOOP('',(#301067,#301068,#301069,#301070)); #56512=EDGE_LOOP('',(#301071,#301072,#301073,#301074)); #56513=EDGE_LOOP('',(#301075,#301076,#301077,#301078)); #56514=EDGE_LOOP('',(#301079,#301080,#301081,#301082)); #56515=EDGE_LOOP('',(#301083,#301084,#301085,#301086)); #56516=EDGE_LOOP('',(#301087,#301088,#301089,#301090)); #56517=EDGE_LOOP('',(#301091,#301092,#301093,#301094)); #56518=EDGE_LOOP('',(#301095,#301096,#301097,#301098)); #56519=EDGE_LOOP('',(#301099,#301100,#301101,#301102)); #56520=EDGE_LOOP('',(#301103,#301104,#301105,#301106)); #56521=EDGE_LOOP('',(#301107,#301108,#301109,#301110)); #56522=EDGE_LOOP('',(#301111,#301112,#301113,#301114)); #56523=EDGE_LOOP('',(#301115,#301116,#301117,#301118)); #56524=EDGE_LOOP('',(#301119,#301120,#301121,#301122)); #56525=EDGE_LOOP('',(#301123,#301124,#301125,#301126)); #56526=EDGE_LOOP('',(#301127,#301128,#301129,#301130)); #56527=EDGE_LOOP('',(#301131,#301132,#301133,#301134)); #56528=EDGE_LOOP('',(#301135,#301136,#301137,#301138)); #56529=EDGE_LOOP('',(#301139,#301140,#301141,#301142)); #56530=EDGE_LOOP('',(#301143,#301144,#301145,#301146)); #56531=EDGE_LOOP('',(#301147,#301148,#301149,#301150)); #56532=EDGE_LOOP('',(#301151,#301152,#301153,#301154)); #56533=EDGE_LOOP('',(#301155,#301156,#301157,#301158)); #56534=EDGE_LOOP('',(#301159,#301160,#301161,#301162)); #56535=EDGE_LOOP('',(#301163,#301164,#301165,#301166)); #56536=EDGE_LOOP('',(#301167,#301168,#301169,#301170)); #56537=EDGE_LOOP('',(#301171,#301172,#301173,#301174)); #56538=EDGE_LOOP('',(#301175,#301176,#301177,#301178)); #56539=EDGE_LOOP('',(#301179,#301180,#301181,#301182)); #56540=EDGE_LOOP('',(#301183,#301184,#301185,#301186)); #56541=EDGE_LOOP('',(#301187,#301188,#301189,#301190)); #56542=EDGE_LOOP('',(#301191,#301192,#301193,#301194)); #56543=EDGE_LOOP('',(#301195,#301196,#301197,#301198)); #56544=EDGE_LOOP('',(#301199,#301200,#301201,#301202)); #56545=EDGE_LOOP('',(#301203,#301204,#301205,#301206)); #56546=EDGE_LOOP('',(#301207,#301208,#301209,#301210)); #56547=EDGE_LOOP('',(#301211,#301212,#301213,#301214)); #56548=EDGE_LOOP('',(#301215,#301216,#301217,#301218)); #56549=EDGE_LOOP('',(#301219,#301220,#301221,#301222)); #56550=EDGE_LOOP('',(#301223,#301224,#301225,#301226)); #56551=EDGE_LOOP('',(#301227,#301228,#301229,#301230)); #56552=EDGE_LOOP('',(#301231,#301232,#301233,#301234)); #56553=EDGE_LOOP('',(#301235,#301236,#301237,#301238)); #56554=EDGE_LOOP('',(#301239,#301240,#301241,#301242)); #56555=EDGE_LOOP('',(#301243,#301244,#301245,#301246)); #56556=EDGE_LOOP('',(#301247,#301248,#301249,#301250)); #56557=EDGE_LOOP('',(#301251,#301252,#301253,#301254)); #56558=EDGE_LOOP('',(#301255,#301256,#301257,#301258,#301259,#301260,#301261, #301262,#301263,#301264,#301265,#301266,#301267,#301268,#301269,#301270, #301271,#301272,#301273,#301274,#301275,#301276,#301277,#301278,#301279, #301280,#301281,#301282,#301283,#301284,#301285,#301286,#301287,#301288, #301289,#301290,#301291,#301292,#301293,#301294,#301295,#301296,#301297, #301298,#301299,#301300,#301301,#301302,#301303,#301304,#301305,#301306, #301307,#301308,#301309,#301310,#301311,#301312,#301313,#301314,#301315, #301316,#301317,#301318,#301319,#301320,#301321,#301322,#301323,#301324, #301325,#301326,#301327,#301328,#301329,#301330,#301331,#301332,#301333, #301334,#301335,#301336,#301337,#301338,#301339,#301340,#301341,#301342, #301343,#301344,#301345,#301346,#301347,#301348,#301349)); #56559=EDGE_LOOP('',(#301350)); #56560=EDGE_LOOP('',(#301351)); #56561=EDGE_LOOP('',(#301352)); #56562=EDGE_LOOP('',(#301353)); #56563=EDGE_LOOP('',(#301354)); #56564=EDGE_LOOP('',(#301355)); #56565=EDGE_LOOP('',(#301356)); #56566=EDGE_LOOP('',(#301357)); #56567=EDGE_LOOP('',(#301358)); #56568=EDGE_LOOP('',(#301359)); #56569=EDGE_LOOP('',(#301360)); #56570=EDGE_LOOP('',(#301361)); #56571=EDGE_LOOP('',(#301362)); #56572=EDGE_LOOP('',(#301363)); #56573=EDGE_LOOP('',(#301364)); #56574=EDGE_LOOP('',(#301365,#301366,#301367,#301368,#301369,#301370,#301371, #301372,#301373,#301374,#301375,#301376,#301377,#301378,#301379,#301380, #301381,#301382,#301383,#301384,#301385,#301386,#301387,#301388,#301389, #301390,#301391,#301392,#301393,#301394,#301395,#301396,#301397,#301398, #301399,#301400,#301401,#301402,#301403,#301404,#301405,#301406,#301407, #301408,#301409,#301410,#301411,#301412,#301413,#301414,#301415,#301416, #301417,#301418,#301419,#301420,#301421,#301422,#301423,#301424,#301425, #301426,#301427,#301428,#301429,#301430,#301431,#301432,#301433,#301434, #301435,#301436)); #56575=EDGE_LOOP('',(#301437,#301438,#301439,#301440,#301441,#301442,#301443, #301444,#301445,#301446,#301447,#301448,#301449,#301450,#301451,#301452, #301453,#301454,#301455,#301456,#301457,#301458,#301459,#301460,#301461, #301462,#301463,#301464,#301465,#301466,#301467,#301468,#301469,#301470, #301471,#301472,#301473,#301474,#301475,#301476,#301477,#301478,#301479, #301480,#301481,#301482,#301483,#301484,#301485,#301486,#301487,#301488, #301489,#301490,#301491,#301492,#301493,#301494,#301495,#301496,#301497, #301498,#301499,#301500,#301501,#301502,#301503,#301504,#301505,#301506, #301507,#301508,#301509,#301510,#301511,#301512,#301513,#301514,#301515, #301516,#301517,#301518,#301519,#301520,#301521,#301522,#301523,#301524, #301525,#301526,#301527,#301528,#301529,#301530,#301531)); #56576=EDGE_LOOP('',(#301532)); #56577=EDGE_LOOP('',(#301533)); #56578=EDGE_LOOP('',(#301534)); #56579=EDGE_LOOP('',(#301535)); #56580=EDGE_LOOP('',(#301536)); #56581=EDGE_LOOP('',(#301537)); #56582=EDGE_LOOP('',(#301538)); #56583=EDGE_LOOP('',(#301539)); #56584=EDGE_LOOP('',(#301540)); #56585=EDGE_LOOP('',(#301541)); #56586=EDGE_LOOP('',(#301542)); #56587=EDGE_LOOP('',(#301543)); #56588=EDGE_LOOP('',(#301544)); #56589=EDGE_LOOP('',(#301545)); #56590=EDGE_LOOP('',(#301546)); #56591=EDGE_LOOP('',(#301547,#301548,#301549,#301550,#301551,#301552,#301553, #301554,#301555,#301556,#301557,#301558,#301559,#301560,#301561,#301562, #301563,#301564,#301565,#301566,#301567,#301568,#301569,#301570,#301571, #301572,#301573,#301574,#301575,#301576,#301577,#301578,#301579,#301580, #301581,#301582,#301583,#301584,#301585,#301586,#301587,#301588,#301589, #301590,#301591,#301592,#301593,#301594,#301595,#301596,#301597,#301598, #301599,#301600,#301601,#301602,#301603,#301604,#301605,#301606,#301607, #301608,#301609,#301610,#301611,#301612,#301613,#301614,#301615,#301616, #301617,#301618)); #56592=EDGE_LOOP('',(#301619,#301620,#301621,#301622)); #56593=EDGE_LOOP('',(#301623,#301624,#301625,#301626)); #56594=EDGE_LOOP('',(#301627,#301628,#301629,#301630)); #56595=EDGE_LOOP('',(#301631,#301632,#301633,#301634)); #56596=EDGE_LOOP('',(#301635,#301636,#301637)); #56597=EDGE_LOOP('',(#301638)); #56598=EDGE_LOOP('',(#301639,#301640,#301641)); #56599=EDGE_LOOP('',(#301642)); #56600=EDGE_LOOP('',(#301643,#301644,#301645,#301646)); #56601=EDGE_LOOP('',(#301647,#301648,#301649,#301650)); #56602=EDGE_LOOP('',(#301651,#301652,#301653,#301654)); #56603=EDGE_LOOP('',(#301655,#301656,#301657,#301658)); #56604=EDGE_LOOP('',(#301659,#301660,#301661)); #56605=EDGE_LOOP('',(#301662)); #56606=EDGE_LOOP('',(#301663,#301664,#301665)); #56607=EDGE_LOOP('',(#301666)); #56608=EDGE_LOOP('',(#301667,#301668,#301669,#301670)); #56609=EDGE_LOOP('',(#301671,#301672,#301673,#301674)); #56610=EDGE_LOOP('',(#301675,#301676,#301677,#301678)); #56611=EDGE_LOOP('',(#301679,#301680,#301681,#301682)); #56612=EDGE_LOOP('',(#301683,#301684,#301685)); #56613=EDGE_LOOP('',(#301686)); #56614=EDGE_LOOP('',(#301687,#301688,#301689)); #56615=EDGE_LOOP('',(#301690)); #56616=EDGE_LOOP('',(#301691,#301692,#301693,#301694)); #56617=EDGE_LOOP('',(#301695,#301696,#301697,#301698)); #56618=EDGE_LOOP('',(#301699,#301700,#301701,#301702)); #56619=EDGE_LOOP('',(#301703,#301704,#301705,#301706)); #56620=EDGE_LOOP('',(#301707,#301708,#301709)); #56621=EDGE_LOOP('',(#301710)); #56622=EDGE_LOOP('',(#301711,#301712,#301713)); #56623=EDGE_LOOP('',(#301714)); #56624=EDGE_LOOP('',(#301715,#301716,#301717,#301718)); #56625=EDGE_LOOP('',(#301719,#301720,#301721,#301722)); #56626=EDGE_LOOP('',(#301723,#301724,#301725,#301726)); #56627=EDGE_LOOP('',(#301727,#301728,#301729,#301730)); #56628=EDGE_LOOP('',(#301731,#301732,#301733,#301734)); #56629=EDGE_LOOP('',(#301735,#301736,#301737,#301738)); #56630=EDGE_LOOP('',(#301739)); #56631=EDGE_LOOP('',(#301740,#301741,#301742,#301743)); #56632=EDGE_LOOP('',(#301744)); #56633=EDGE_LOOP('',(#301745,#301746,#301747,#301748)); #56634=EDGE_LOOP('',(#301749,#301750,#301751,#301752)); #56635=EDGE_LOOP('',(#301753,#301754,#301755,#301756)); #56636=EDGE_LOOP('',(#301757,#301758,#301759,#301760)); #56637=EDGE_LOOP('',(#301761,#301762,#301763)); #56638=EDGE_LOOP('',(#301764)); #56639=EDGE_LOOP('',(#301765,#301766,#301767)); #56640=EDGE_LOOP('',(#301768)); #56641=EDGE_LOOP('',(#301769,#301770,#301771,#301772)); #56642=EDGE_LOOP('',(#301773,#301774,#301775,#301776)); #56643=EDGE_LOOP('',(#301777,#301778,#301779,#301780)); #56644=EDGE_LOOP('',(#301781,#301782,#301783,#301784)); #56645=EDGE_LOOP('',(#301785,#301786,#301787)); #56646=EDGE_LOOP('',(#301788)); #56647=EDGE_LOOP('',(#301789,#301790,#301791)); #56648=EDGE_LOOP('',(#301792)); #56649=EDGE_LOOP('',(#301793,#301794,#301795,#301796)); #56650=EDGE_LOOP('',(#301797,#301798,#301799,#301800)); #56651=EDGE_LOOP('',(#301801,#301802,#301803,#301804)); #56652=EDGE_LOOP('',(#301805,#301806,#301807,#301808)); #56653=EDGE_LOOP('',(#301809,#301810,#301811)); #56654=EDGE_LOOP('',(#301812)); #56655=EDGE_LOOP('',(#301813,#301814,#301815)); #56656=EDGE_LOOP('',(#301816)); #56657=EDGE_LOOP('',(#301817,#301818,#301819,#301820)); #56658=EDGE_LOOP('',(#301821,#301822,#301823,#301824)); #56659=EDGE_LOOP('',(#301825,#301826,#301827,#301828)); #56660=EDGE_LOOP('',(#301829,#301830,#301831,#301832)); #56661=EDGE_LOOP('',(#301833,#301834,#301835)); #56662=EDGE_LOOP('',(#301836)); #56663=EDGE_LOOP('',(#301837,#301838,#301839)); #56664=EDGE_LOOP('',(#301840)); #56665=EDGE_LOOP('',(#301841,#301842,#301843,#301844)); #56666=EDGE_LOOP('',(#301845,#301846,#301847,#301848)); #56667=EDGE_LOOP('',(#301849,#301850,#301851,#301852)); #56668=EDGE_LOOP('',(#301853,#301854,#301855,#301856)); #56669=EDGE_LOOP('',(#301857,#301858,#301859,#301860)); #56670=EDGE_LOOP('',(#301861,#301862,#301863,#301864)); #56671=EDGE_LOOP('',(#301865,#301866,#301867,#301868)); #56672=EDGE_LOOP('',(#301869,#301870,#301871,#301872)); #56673=EDGE_LOOP('',(#301873,#301874,#301875,#301876)); #56674=EDGE_LOOP('',(#301877,#301878,#301879,#301880)); #56675=EDGE_LOOP('',(#301881,#301882,#301883,#301884)); #56676=EDGE_LOOP('',(#301885,#301886,#301887,#301888)); #56677=EDGE_LOOP('',(#301889,#301890,#301891,#301892)); #56678=EDGE_LOOP('',(#301893,#301894,#301895,#301896)); #56679=EDGE_LOOP('',(#301897,#301898,#301899,#301900)); #56680=EDGE_LOOP('',(#301901,#301902,#301903,#301904)); #56681=EDGE_LOOP('',(#301905,#301906,#301907,#301908)); #56682=EDGE_LOOP('',(#301909,#301910,#301911,#301912)); #56683=EDGE_LOOP('',(#301913,#301914,#301915,#301916)); #56684=EDGE_LOOP('',(#301917,#301918,#301919,#301920)); #56685=EDGE_LOOP('',(#301921,#301922,#301923,#301924)); #56686=EDGE_LOOP('',(#301925,#301926,#301927,#301928)); #56687=EDGE_LOOP('',(#301929,#301930,#301931,#301932)); #56688=EDGE_LOOP('',(#301933,#301934,#301935,#301936)); #56689=EDGE_LOOP('',(#301937,#301938,#301939,#301940)); #56690=EDGE_LOOP('',(#301941,#301942,#301943,#301944)); #56691=EDGE_LOOP('',(#301945,#301946,#301947,#301948)); #56692=EDGE_LOOP('',(#301949,#301950,#301951,#301952)); #56693=EDGE_LOOP('',(#301953,#301954,#301955,#301956)); #56694=EDGE_LOOP('',(#301957,#301958,#301959,#301960)); #56695=EDGE_LOOP('',(#301961,#301962,#301963,#301964)); #56696=EDGE_LOOP('',(#301965,#301966,#301967,#301968)); #56697=EDGE_LOOP('',(#301969,#301970,#301971,#301972)); #56698=EDGE_LOOP('',(#301973,#301974,#301975,#301976)); #56699=EDGE_LOOP('',(#301977,#301978,#301979,#301980)); #56700=EDGE_LOOP('',(#301981,#301982,#301983,#301984)); #56701=EDGE_LOOP('',(#301985,#301986,#301987,#301988)); #56702=EDGE_LOOP('',(#301989,#301990,#301991,#301992)); #56703=EDGE_LOOP('',(#301993,#301994,#301995,#301996)); #56704=EDGE_LOOP('',(#301997,#301998,#301999,#302000)); #56705=EDGE_LOOP('',(#302001,#302002,#302003,#302004)); #56706=EDGE_LOOP('',(#302005,#302006,#302007,#302008)); #56707=EDGE_LOOP('',(#302009,#302010,#302011,#302012)); #56708=EDGE_LOOP('',(#302013,#302014,#302015,#302016)); #56709=EDGE_LOOP('',(#302017,#302018,#302019,#302020)); #56710=EDGE_LOOP('',(#302021,#302022,#302023,#302024)); #56711=EDGE_LOOP('',(#302025,#302026,#302027,#302028)); #56712=EDGE_LOOP('',(#302029,#302030,#302031,#302032)); #56713=EDGE_LOOP('',(#302033,#302034,#302035,#302036)); #56714=EDGE_LOOP('',(#302037,#302038,#302039,#302040)); #56715=EDGE_LOOP('',(#302041,#302042,#302043,#302044)); #56716=EDGE_LOOP('',(#302045,#302046,#302047,#302048)); #56717=EDGE_LOOP('',(#302049,#302050,#302051,#302052)); #56718=EDGE_LOOP('',(#302053,#302054,#302055,#302056)); #56719=EDGE_LOOP('',(#302057,#302058,#302059,#302060)); #56720=EDGE_LOOP('',(#302061,#302062,#302063,#302064)); #56721=EDGE_LOOP('',(#302065,#302066,#302067,#302068)); #56722=EDGE_LOOP('',(#302069,#302070,#302071,#302072)); #56723=EDGE_LOOP('',(#302073,#302074,#302075,#302076)); #56724=EDGE_LOOP('',(#302077,#302078,#302079,#302080)); #56725=EDGE_LOOP('',(#302081,#302082,#302083,#302084,#302085,#302086,#302087, #302088,#302089,#302090,#302091,#302092,#302093,#302094,#302095,#302096, #302097,#302098,#302099,#302100,#302101,#302102,#302103,#302104,#302105, #302106,#302107,#302108,#302109,#302110,#302111,#302112,#302113,#302114, #302115,#302116,#302117,#302118,#302119,#302120,#302121,#302122,#302123, #302124,#302125,#302126,#302127,#302128,#302129,#302130,#302131,#302132, #302133,#302134,#302135,#302136)); #56726=EDGE_LOOP('',(#302137,#302138,#302139,#302140)); #56727=EDGE_LOOP('',(#302141,#302142,#302143,#302144,#302145,#302146,#302147, #302148,#302149,#302150,#302151,#302152,#302153,#302154,#302155,#302156, #302157,#302158,#302159,#302160,#302161,#302162,#302163,#302164,#302165, #302166,#302167,#302168,#302169,#302170,#302171,#302172,#302173,#302174, #302175,#302176,#302177,#302178,#302179,#302180,#302181,#302182,#302183, #302184,#302185,#302186,#302187,#302188,#302189,#302190,#302191,#302192, #302193,#302194,#302195,#302196)); #56728=EDGE_LOOP('',(#302197,#302198,#302199,#302200)); #56729=EDGE_LOOP('',(#302201,#302202,#302203,#302204)); #56730=EDGE_LOOP('',(#302205,#302206,#302207,#302208)); #56731=EDGE_LOOP('',(#302209,#302210,#302211,#302212)); #56732=EDGE_LOOP('',(#302213,#302214,#302215,#302216)); #56733=EDGE_LOOP('',(#302217,#302218,#302219,#302220)); #56734=EDGE_LOOP('',(#302221,#302222,#302223,#302224)); #56735=EDGE_LOOP('',(#302225,#302226,#302227,#302228)); #56736=EDGE_LOOP('',(#302229,#302230,#302231,#302232)); #56737=EDGE_LOOP('',(#302233,#302234,#302235,#302236)); #56738=EDGE_LOOP('',(#302237,#302238,#302239,#302240)); #56739=EDGE_LOOP('',(#302241,#302242,#302243,#302244)); #56740=EDGE_LOOP('',(#302245,#302246,#302247,#302248)); #56741=EDGE_LOOP('',(#302249,#302250,#302251,#302252)); #56742=EDGE_LOOP('',(#302253,#302254,#302255,#302256)); #56743=EDGE_LOOP('',(#302257,#302258,#302259,#302260)); #56744=EDGE_LOOP('',(#302261,#302262,#302263,#302264)); #56745=EDGE_LOOP('',(#302265,#302266,#302267,#302268)); #56746=EDGE_LOOP('',(#302269,#302270,#302271,#302272)); #56747=EDGE_LOOP('',(#302273,#302274,#302275,#302276)); #56748=EDGE_LOOP('',(#302277,#302278,#302279,#302280)); #56749=EDGE_LOOP('',(#302281,#302282,#302283,#302284)); #56750=EDGE_LOOP('',(#302285,#302286,#302287,#302288)); #56751=EDGE_LOOP('',(#302289,#302290,#302291,#302292)); #56752=EDGE_LOOP('',(#302293,#302294,#302295,#302296)); #56753=EDGE_LOOP('',(#302297,#302298,#302299,#302300)); #56754=EDGE_LOOP('',(#302301,#302302,#302303,#302304)); #56755=EDGE_LOOP('',(#302305,#302306,#302307,#302308)); #56756=EDGE_LOOP('',(#302309,#302310,#302311,#302312)); #56757=EDGE_LOOP('',(#302313,#302314,#302315,#302316)); #56758=EDGE_LOOP('',(#302317,#302318,#302319,#302320)); #56759=EDGE_LOOP('',(#302321,#302322,#302323,#302324)); #56760=EDGE_LOOP('',(#302325,#302326,#302327,#302328)); #56761=EDGE_LOOP('',(#302329,#302330,#302331,#302332)); #56762=EDGE_LOOP('',(#302333,#302334,#302335,#302336)); #56763=EDGE_LOOP('',(#302337,#302338,#302339,#302340)); #56764=EDGE_LOOP('',(#302341,#302342,#302343,#302344)); #56765=EDGE_LOOP('',(#302345,#302346,#302347,#302348)); #56766=EDGE_LOOP('',(#302349,#302350,#302351,#302352)); #56767=EDGE_LOOP('',(#302353,#302354,#302355,#302356)); #56768=EDGE_LOOP('',(#302357,#302358,#302359,#302360)); #56769=EDGE_LOOP('',(#302361,#302362,#302363,#302364)); #56770=EDGE_LOOP('',(#302365,#302366,#302367,#302368)); #56771=EDGE_LOOP('',(#302369,#302370,#302371,#302372)); #56772=EDGE_LOOP('',(#302373,#302374,#302375,#302376)); #56773=EDGE_LOOP('',(#302377,#302378,#302379,#302380)); #56774=EDGE_LOOP('',(#302381,#302382,#302383,#302384)); #56775=EDGE_LOOP('',(#302385,#302386,#302387,#302388)); #56776=EDGE_LOOP('',(#302389,#302390,#302391,#302392)); #56777=EDGE_LOOP('',(#302393,#302394,#302395,#302396)); #56778=EDGE_LOOP('',(#302397,#302398,#302399,#302400)); #56779=EDGE_LOOP('',(#302401,#302402,#302403,#302404)); #56780=EDGE_LOOP('',(#302405,#302406,#302407,#302408)); #56781=EDGE_LOOP('',(#302409,#302410,#302411,#302412)); #56782=EDGE_LOOP('',(#302413,#302414,#302415,#302416)); #56783=EDGE_LOOP('',(#302417,#302418,#302419,#302420)); #56784=EDGE_LOOP('',(#302421,#302422,#302423,#302424)); #56785=EDGE_LOOP('',(#302425,#302426,#302427,#302428)); #56786=EDGE_LOOP('',(#302429,#302430,#302431,#302432)); #56787=EDGE_LOOP('',(#302433,#302434,#302435,#302436)); #56788=EDGE_LOOP('',(#302437,#302438,#302439,#302440)); #56789=EDGE_LOOP('',(#302441,#302442,#302443,#302444)); #56790=EDGE_LOOP('',(#302445,#302446,#302447,#302448)); #56791=EDGE_LOOP('',(#302449,#302450,#302451,#302452)); #56792=EDGE_LOOP('',(#302453,#302454,#302455,#302456)); #56793=EDGE_LOOP('',(#302457,#302458,#302459,#302460)); #56794=EDGE_LOOP('',(#302461,#302462,#302463,#302464)); #56795=EDGE_LOOP('',(#302465,#302466,#302467,#302468)); #56796=EDGE_LOOP('',(#302469,#302470,#302471,#302472)); #56797=EDGE_LOOP('',(#302473,#302474,#302475,#302476)); #56798=EDGE_LOOP('',(#302477,#302478,#302479,#302480)); #56799=EDGE_LOOP('',(#302481,#302482,#302483,#302484)); #56800=EDGE_LOOP('',(#302485,#302486,#302487,#302488)); #56801=EDGE_LOOP('',(#302489,#302490,#302491,#302492)); #56802=EDGE_LOOP('',(#302493,#302494,#302495,#302496)); #56803=EDGE_LOOP('',(#302497,#302498,#302499,#302500)); #56804=EDGE_LOOP('',(#302501,#302502,#302503,#302504)); #56805=EDGE_LOOP('',(#302505,#302506,#302507,#302508,#302509,#302510,#302511, #302512,#302513,#302514,#302515,#302516,#302517,#302518,#302519,#302520, #302521,#302522,#302523,#302524,#302525,#302526,#302527,#302528,#302529, #302530,#302531,#302532,#302533,#302534,#302535,#302536,#302537,#302538, #302539,#302540,#302541,#302542,#302543,#302544,#302545,#302546,#302547, #302548,#302549,#302550,#302551,#302552,#302553,#302554,#302555,#302556, #302557,#302558,#302559,#302560,#302561,#302562,#302563,#302564,#302565, #302566,#302567,#302568,#302569,#302570,#302571,#302572,#302573,#302574, #302575,#302576)); #56806=EDGE_LOOP('',(#302577,#302578,#302579,#302580)); #56807=EDGE_LOOP('',(#302581,#302582,#302583,#302584,#302585,#302586,#302587, #302588,#302589,#302590,#302591,#302592,#302593,#302594,#302595,#302596, #302597,#302598,#302599,#302600,#302601,#302602,#302603,#302604,#302605, #302606,#302607,#302608,#302609,#302610,#302611,#302612,#302613,#302614, #302615,#302616,#302617,#302618,#302619,#302620,#302621,#302622,#302623, #302624,#302625,#302626,#302627,#302628,#302629,#302630,#302631,#302632, #302633,#302634,#302635,#302636,#302637,#302638,#302639,#302640,#302641, #302642,#302643,#302644,#302645,#302646,#302647,#302648,#302649,#302650, #302651,#302652)); #56808=EDGE_LOOP('',(#302653,#302654,#302655,#302656)); #56809=EDGE_LOOP('',(#302657,#302658,#302659,#302660)); #56810=EDGE_LOOP('',(#302661,#302662,#302663,#302664)); #56811=EDGE_LOOP('',(#302665,#302666,#302667,#302668)); #56812=EDGE_LOOP('',(#302669,#302670,#302671,#302672)); #56813=EDGE_LOOP('',(#302673,#302674,#302675,#302676)); #56814=EDGE_LOOP('',(#302677,#302678,#302679,#302680)); #56815=EDGE_LOOP('',(#302681,#302682,#302683,#302684)); #56816=EDGE_LOOP('',(#302685,#302686,#302687,#302688)); #56817=EDGE_LOOP('',(#302689,#302690,#302691,#302692)); #56818=EDGE_LOOP('',(#302693,#302694,#302695,#302696)); #56819=EDGE_LOOP('',(#302697,#302698,#302699,#302700)); #56820=EDGE_LOOP('',(#302701,#302702,#302703,#302704)); #56821=EDGE_LOOP('',(#302705,#302706,#302707,#302708)); #56822=EDGE_LOOP('',(#302709,#302710,#302711,#302712)); #56823=EDGE_LOOP('',(#302713,#302714,#302715,#302716)); #56824=EDGE_LOOP('',(#302717,#302718,#302719,#302720)); #56825=EDGE_LOOP('',(#302721,#302722,#302723,#302724)); #56826=EDGE_LOOP('',(#302725,#302726,#302727,#302728)); #56827=EDGE_LOOP('',(#302729,#302730,#302731,#302732)); #56828=EDGE_LOOP('',(#302733,#302734,#302735,#302736)); #56829=EDGE_LOOP('',(#302737,#302738,#302739,#302740)); #56830=EDGE_LOOP('',(#302741,#302742,#302743,#302744)); #56831=EDGE_LOOP('',(#302745,#302746,#302747,#302748)); #56832=EDGE_LOOP('',(#302749,#302750,#302751,#302752)); #56833=EDGE_LOOP('',(#302753,#302754,#302755,#302756)); #56834=EDGE_LOOP('',(#302757,#302758,#302759,#302760)); #56835=EDGE_LOOP('',(#302761,#302762,#302763,#302764)); #56836=EDGE_LOOP('',(#302765,#302766,#302767,#302768)); #56837=EDGE_LOOP('',(#302769,#302770,#302771,#302772)); #56838=EDGE_LOOP('',(#302773,#302774,#302775,#302776)); #56839=EDGE_LOOP('',(#302777,#302778,#302779,#302780)); #56840=EDGE_LOOP('',(#302781,#302782,#302783,#302784)); #56841=EDGE_LOOP('',(#302785,#302786,#302787,#302788)); #56842=EDGE_LOOP('',(#302789,#302790,#302791,#302792)); #56843=EDGE_LOOP('',(#302793,#302794,#302795,#302796)); #56844=EDGE_LOOP('',(#302797,#302798,#302799,#302800)); #56845=EDGE_LOOP('',(#302801,#302802,#302803,#302804)); #56846=EDGE_LOOP('',(#302805,#302806,#302807,#302808)); #56847=EDGE_LOOP('',(#302809,#302810,#302811,#302812)); #56848=EDGE_LOOP('',(#302813,#302814,#302815,#302816)); #56849=EDGE_LOOP('',(#302817,#302818,#302819,#302820)); #56850=EDGE_LOOP('',(#302821,#302822,#302823,#302824)); #56851=EDGE_LOOP('',(#302825,#302826,#302827,#302828)); #56852=EDGE_LOOP('',(#302829,#302830,#302831,#302832)); #56853=EDGE_LOOP('',(#302833,#302834,#302835,#302836)); #56854=EDGE_LOOP('',(#302837,#302838,#302839,#302840)); #56855=EDGE_LOOP('',(#302841,#302842,#302843,#302844)); #56856=EDGE_LOOP('',(#302845,#302846,#302847,#302848)); #56857=EDGE_LOOP('',(#302849,#302850,#302851,#302852)); #56858=EDGE_LOOP('',(#302853,#302854,#302855,#302856)); #56859=EDGE_LOOP('',(#302857,#302858,#302859,#302860)); #56860=EDGE_LOOP('',(#302861,#302862,#302863,#302864)); #56861=EDGE_LOOP('',(#302865,#302866,#302867,#302868)); #56862=EDGE_LOOP('',(#302869,#302870,#302871,#302872)); #56863=EDGE_LOOP('',(#302873,#302874,#302875,#302876)); #56864=EDGE_LOOP('',(#302877,#302878,#302879,#302880)); #56865=EDGE_LOOP('',(#302881,#302882,#302883,#302884)); #56866=EDGE_LOOP('',(#302885,#302886,#302887,#302888)); #56867=EDGE_LOOP('',(#302889,#302890,#302891,#302892)); #56868=EDGE_LOOP('',(#302893,#302894,#302895,#302896)); #56869=EDGE_LOOP('',(#302897,#302898,#302899,#302900,#302901,#302902,#302903, #302904,#302905,#302906,#302907,#302908,#302909,#302910,#302911,#302912, #302913,#302914,#302915,#302916,#302917,#302918,#302919,#302920,#302921, #302922,#302923,#302924,#302925,#302926,#302927,#302928,#302929,#302930, #302931,#302932,#302933,#302934,#302935,#302936,#302937,#302938,#302939, #302940,#302941,#302942,#302943,#302944,#302945,#302946,#302947,#302948, #302949,#302950,#302951,#302952)); #56870=EDGE_LOOP('',(#302953,#302954,#302955,#302956)); #56871=EDGE_LOOP('',(#302957,#302958,#302959,#302960,#302961,#302962,#302963, #302964,#302965,#302966,#302967,#302968,#302969,#302970,#302971,#302972, #302973,#302974,#302975,#302976,#302977,#302978,#302979,#302980,#302981, #302982,#302983,#302984,#302985,#302986,#302987,#302988,#302989,#302990, #302991,#302992,#302993,#302994,#302995,#302996,#302997,#302998,#302999, #303000,#303001,#303002,#303003,#303004,#303005,#303006,#303007,#303008, #303009,#303010,#303011,#303012)); #56872=EDGE_LOOP('',(#303013,#303014,#303015,#303016)); #56873=EDGE_LOOP('',(#303017,#303018,#303019,#303020)); #56874=EDGE_LOOP('',(#303021,#303022,#303023,#303024)); #56875=EDGE_LOOP('',(#303025,#303026,#303027,#303028)); #56876=EDGE_LOOP('',(#303029,#303030,#303031,#303032)); #56877=EDGE_LOOP('',(#303033,#303034,#303035,#303036)); #56878=EDGE_LOOP('',(#303037,#303038,#303039,#303040)); #56879=EDGE_LOOP('',(#303041)); #56880=EDGE_LOOP('',(#303042,#303043,#303044,#303045)); #56881=EDGE_LOOP('',(#303046)); #56882=EDGE_LOOP('',(#303047,#303048,#303049,#303050)); #56883=EDGE_LOOP('',(#303051,#303052,#303053,#303054)); #56884=EDGE_LOOP('',(#303055,#303056,#303057,#303058)); #56885=EDGE_LOOP('',(#303059,#303060,#303061,#303062)); #56886=EDGE_LOOP('',(#303063,#303064,#303065,#303066)); #56887=EDGE_LOOP('',(#303067,#303068,#303069,#303070)); #56888=EDGE_LOOP('',(#303071,#303072,#303073,#303074)); #56889=EDGE_LOOP('',(#303075,#303076,#303077,#303078)); #56890=EDGE_LOOP('',(#303079,#303080,#303081,#303082)); #56891=EDGE_LOOP('',(#303083,#303084,#303085,#303086)); #56892=EDGE_LOOP('',(#303087,#303088,#303089,#303090)); #56893=EDGE_LOOP('',(#303091,#303092,#303093,#303094)); #56894=EDGE_LOOP('',(#303095,#303096,#303097,#303098)); #56895=EDGE_LOOP('',(#303099,#303100,#303101,#303102)); #56896=EDGE_LOOP('',(#303103,#303104,#303105,#303106)); #56897=EDGE_LOOP('',(#303107,#303108,#303109,#303110)); #56898=EDGE_LOOP('',(#303111,#303112,#303113,#303114)); #56899=EDGE_LOOP('',(#303115,#303116,#303117,#303118)); #56900=EDGE_LOOP('',(#303119,#303120,#303121,#303122)); #56901=EDGE_LOOP('',(#303123,#303124,#303125,#303126)); #56902=EDGE_LOOP('',(#303127,#303128,#303129,#303130)); #56903=EDGE_LOOP('',(#303131,#303132,#303133,#303134)); #56904=EDGE_LOOP('',(#303135,#303136,#303137,#303138)); #56905=EDGE_LOOP('',(#303139,#303140,#303141,#303142)); #56906=EDGE_LOOP('',(#303143,#303144,#303145,#303146)); #56907=EDGE_LOOP('',(#303147,#303148,#303149,#303150)); #56908=EDGE_LOOP('',(#303151,#303152,#303153,#303154)); #56909=EDGE_LOOP('',(#303155,#303156,#303157,#303158)); #56910=EDGE_LOOP('',(#303159,#303160,#303161,#303162)); #56911=EDGE_LOOP('',(#303163,#303164,#303165,#303166)); #56912=EDGE_LOOP('',(#303167,#303168,#303169,#303170)); #56913=EDGE_LOOP('',(#303171,#303172,#303173,#303174)); #56914=EDGE_LOOP('',(#303175,#303176,#303177,#303178)); #56915=EDGE_LOOP('',(#303179,#303180,#303181,#303182)); #56916=EDGE_LOOP('',(#303183,#303184,#303185,#303186)); #56917=EDGE_LOOP('',(#303187,#303188,#303189,#303190)); #56918=EDGE_LOOP('',(#303191,#303192,#303193,#303194)); #56919=EDGE_LOOP('',(#303195,#303196,#303197,#303198)); #56920=EDGE_LOOP('',(#303199,#303200,#303201,#303202)); #56921=EDGE_LOOP('',(#303203,#303204,#303205,#303206)); #56922=EDGE_LOOP('',(#303207,#303208,#303209,#303210)); #56923=EDGE_LOOP('',(#303211,#303212,#303213,#303214)); #56924=EDGE_LOOP('',(#303215,#303216,#303217,#303218)); #56925=EDGE_LOOP('',(#303219,#303220,#303221,#303222)); #56926=EDGE_LOOP('',(#303223,#303224,#303225,#303226)); #56927=EDGE_LOOP('',(#303227,#303228,#303229,#303230)); #56928=EDGE_LOOP('',(#303231,#303232,#303233,#303234)); #56929=EDGE_LOOP('',(#303235,#303236,#303237,#303238)); #56930=EDGE_LOOP('',(#303239,#303240,#303241,#303242)); #56931=EDGE_LOOP('',(#303243,#303244,#303245,#303246)); #56932=EDGE_LOOP('',(#303247,#303248,#303249,#303250)); #56933=EDGE_LOOP('',(#303251,#303252,#303253,#303254)); #56934=EDGE_LOOP('',(#303255,#303256,#303257,#303258)); #56935=EDGE_LOOP('',(#303259,#303260,#303261,#303262)); #56936=EDGE_LOOP('',(#303263,#303264,#303265,#303266)); #56937=EDGE_LOOP('',(#303267,#303268,#303269,#303270)); #56938=EDGE_LOOP('',(#303271,#303272,#303273,#303274)); #56939=EDGE_LOOP('',(#303275,#303276,#303277,#303278)); #56940=EDGE_LOOP('',(#303279,#303280,#303281,#303282)); #56941=EDGE_LOOP('',(#303283,#303284,#303285,#303286)); #56942=EDGE_LOOP('',(#303287,#303288,#303289,#303290,#303291,#303292,#303293, #303294,#303295,#303296,#303297,#303298,#303299,#303300,#303301,#303302, #303303,#303304,#303305,#303306,#303307,#303308,#303309,#303310,#303311, #303312,#303313,#303314,#303315,#303316,#303317,#303318,#303319,#303320, #303321,#303322,#303323,#303324,#303325,#303326,#303327,#303328,#303329, #303330,#303331,#303332,#303333,#303334,#303335,#303336,#303337,#303338, #303339,#303340,#303341,#303342)); #56943=EDGE_LOOP('',(#303343,#303344,#303345,#303346)); #56944=EDGE_LOOP('',(#303347,#303348,#303349,#303350,#303351,#303352,#303353, #303354,#303355,#303356,#303357,#303358,#303359,#303360,#303361,#303362, #303363,#303364,#303365,#303366,#303367,#303368,#303369,#303370,#303371, #303372,#303373,#303374,#303375,#303376,#303377,#303378,#303379,#303380, #303381,#303382,#303383,#303384,#303385,#303386,#303387,#303388,#303389, #303390,#303391,#303392,#303393,#303394,#303395,#303396,#303397,#303398, #303399,#303400,#303401,#303402)); #56945=EDGE_LOOP('',(#303403,#303404,#303405,#303406)); #56946=EDGE_LOOP('',(#303407,#303408,#303409,#303410)); #56947=EDGE_LOOP('',(#303411,#303412,#303413,#303414)); #56948=EDGE_LOOP('',(#303415,#303416,#303417,#303418)); #56949=EDGE_LOOP('',(#303419,#303420,#303421,#303422)); #56950=EDGE_LOOP('',(#303423,#303424,#303425,#303426)); #56951=EDGE_LOOP('',(#303427,#303428,#303429,#303430)); #56952=EDGE_LOOP('',(#303431,#303432,#303433,#303434)); #56953=EDGE_LOOP('',(#303435,#303436,#303437,#303438)); #56954=EDGE_LOOP('',(#303439,#303440,#303441,#303442)); #56955=EDGE_LOOP('',(#303443,#303444,#303445,#303446)); #56956=EDGE_LOOP('',(#303447,#303448,#303449,#303450)); #56957=EDGE_LOOP('',(#303451,#303452,#303453,#303454)); #56958=EDGE_LOOP('',(#303455,#303456,#303457,#303458)); #56959=EDGE_LOOP('',(#303459,#303460,#303461,#303462)); #56960=EDGE_LOOP('',(#303463,#303464,#303465,#303466)); #56961=EDGE_LOOP('',(#303467,#303468,#303469,#303470)); #56962=EDGE_LOOP('',(#303471,#303472,#303473,#303474)); #56963=EDGE_LOOP('',(#303475,#303476,#303477,#303478)); #56964=EDGE_LOOP('',(#303479,#303480,#303481,#303482)); #56965=EDGE_LOOP('',(#303483,#303484,#303485,#303486)); #56966=EDGE_LOOP('',(#303487,#303488,#303489,#303490)); #56967=EDGE_LOOP('',(#303491,#303492,#303493,#303494)); #56968=EDGE_LOOP('',(#303495,#303496,#303497,#303498)); #56969=EDGE_LOOP('',(#303499,#303500,#303501,#303502)); #56970=EDGE_LOOP('',(#303503,#303504,#303505,#303506)); #56971=EDGE_LOOP('',(#303507,#303508,#303509,#303510)); #56972=EDGE_LOOP('',(#303511,#303512,#303513,#303514)); #56973=EDGE_LOOP('',(#303515,#303516,#303517,#303518)); #56974=EDGE_LOOP('',(#303519,#303520,#303521,#303522)); #56975=EDGE_LOOP('',(#303523,#303524,#303525,#303526)); #56976=EDGE_LOOP('',(#303527,#303528,#303529,#303530)); #56977=EDGE_LOOP('',(#303531,#303532,#303533,#303534)); #56978=EDGE_LOOP('',(#303535,#303536,#303537,#303538)); #56979=EDGE_LOOP('',(#303539,#303540,#303541,#303542)); #56980=EDGE_LOOP('',(#303543,#303544,#303545,#303546)); #56981=EDGE_LOOP('',(#303547,#303548,#303549,#303550)); #56982=EDGE_LOOP('',(#303551,#303552,#303553,#303554)); #56983=EDGE_LOOP('',(#303555,#303556,#303557,#303558)); #56984=EDGE_LOOP('',(#303559,#303560,#303561,#303562)); #56985=EDGE_LOOP('',(#303563,#303564,#303565,#303566)); #56986=EDGE_LOOP('',(#303567,#303568,#303569,#303570)); #56987=EDGE_LOOP('',(#303571,#303572,#303573,#303574)); #56988=EDGE_LOOP('',(#303575,#303576,#303577,#303578)); #56989=EDGE_LOOP('',(#303579,#303580,#303581,#303582)); #56990=EDGE_LOOP('',(#303583,#303584,#303585,#303586)); #56991=EDGE_LOOP('',(#303587,#303588,#303589,#303590)); #56992=EDGE_LOOP('',(#303591,#303592,#303593,#303594)); #56993=EDGE_LOOP('',(#303595,#303596,#303597,#303598)); #56994=EDGE_LOOP('',(#303599,#303600,#303601,#303602)); #56995=EDGE_LOOP('',(#303603,#303604,#303605,#303606)); #56996=EDGE_LOOP('',(#303607,#303608,#303609,#303610)); #56997=EDGE_LOOP('',(#303611,#303612,#303613,#303614)); #56998=EDGE_LOOP('',(#303615,#303616,#303617,#303618)); #56999=EDGE_LOOP('',(#303619,#303620,#303621,#303622)); #57000=EDGE_LOOP('',(#303623,#303624,#303625,#303626)); #57001=EDGE_LOOP('',(#303627,#303628,#303629,#303630)); #57002=EDGE_LOOP('',(#303631,#303632,#303633,#303634)); #57003=EDGE_LOOP('',(#303635,#303636,#303637,#303638)); #57004=EDGE_LOOP('',(#303639,#303640,#303641,#303642)); #57005=EDGE_LOOP('',(#303643,#303644,#303645,#303646)); #57006=EDGE_LOOP('',(#303647,#303648,#303649,#303650)); #57007=EDGE_LOOP('',(#303651,#303652,#303653,#303654)); #57008=EDGE_LOOP('',(#303655,#303656,#303657,#303658)); #57009=EDGE_LOOP('',(#303659,#303660,#303661,#303662)); #57010=EDGE_LOOP('',(#303663,#303664,#303665,#303666)); #57011=EDGE_LOOP('',(#303667,#303668,#303669,#303670)); #57012=EDGE_LOOP('',(#303671,#303672,#303673,#303674)); #57013=EDGE_LOOP('',(#303675,#303676,#303677,#303678)); #57014=EDGE_LOOP('',(#303679,#303680,#303681,#303682)); #57015=EDGE_LOOP('',(#303683,#303684,#303685,#303686)); #57016=EDGE_LOOP('',(#303687,#303688,#303689,#303690)); #57017=EDGE_LOOP('',(#303691,#303692,#303693,#303694)); #57018=EDGE_LOOP('',(#303695,#303696,#303697,#303698)); #57019=EDGE_LOOP('',(#303699,#303700,#303701,#303702)); #57020=EDGE_LOOP('',(#303703,#303704,#303705,#303706)); #57021=EDGE_LOOP('',(#303707,#303708,#303709,#303710)); #57022=EDGE_LOOP('',(#303711,#303712,#303713,#303714,#303715,#303716,#303717, #303718,#303719,#303720,#303721,#303722,#303723,#303724,#303725,#303726, #303727,#303728,#303729,#303730,#303731,#303732,#303733,#303734,#303735, #303736,#303737,#303738,#303739,#303740,#303741,#303742,#303743,#303744, #303745,#303746,#303747,#303748,#303749,#303750,#303751,#303752,#303753, #303754,#303755,#303756,#303757,#303758,#303759,#303760,#303761,#303762, #303763,#303764,#303765,#303766,#303767,#303768,#303769,#303770,#303771, #303772,#303773,#303774,#303775,#303776,#303777,#303778,#303779,#303780, #303781,#303782)); #57023=EDGE_LOOP('',(#303783,#303784,#303785,#303786)); #57024=EDGE_LOOP('',(#303787,#303788,#303789,#303790,#303791,#303792,#303793, #303794,#303795,#303796,#303797,#303798,#303799,#303800,#303801,#303802, #303803,#303804,#303805,#303806,#303807,#303808,#303809,#303810,#303811, #303812,#303813,#303814,#303815,#303816,#303817,#303818,#303819,#303820, #303821,#303822,#303823,#303824,#303825,#303826,#303827,#303828,#303829, #303830,#303831,#303832,#303833,#303834,#303835,#303836,#303837,#303838, #303839,#303840,#303841,#303842,#303843,#303844,#303845,#303846,#303847, #303848,#303849,#303850,#303851,#303852,#303853,#303854,#303855,#303856, #303857,#303858)); #57025=EDGE_LOOP('',(#303859,#303860,#303861,#303862)); #57026=EDGE_LOOP('',(#303863,#303864,#303865,#303866)); #57027=EDGE_LOOP('',(#303867,#303868,#303869,#303870)); #57028=EDGE_LOOP('',(#303871,#303872,#303873,#303874)); #57029=EDGE_LOOP('',(#303875,#303876,#303877,#303878)); #57030=EDGE_LOOP('',(#303879,#303880,#303881)); #57031=EDGE_LOOP('',(#303882)); #57032=EDGE_LOOP('',(#303883,#303884,#303885)); #57033=EDGE_LOOP('',(#303886)); #57034=EDGE_LOOP('',(#303887,#303888,#303889,#303890)); #57035=EDGE_LOOP('',(#303891,#303892,#303893,#303894)); #57036=EDGE_LOOP('',(#303895,#303896,#303897,#303898)); #57037=EDGE_LOOP('',(#303899,#303900,#303901,#303902)); #57038=EDGE_LOOP('',(#303903,#303904,#303905)); #57039=EDGE_LOOP('',(#303906)); #57040=EDGE_LOOP('',(#303907,#303908,#303909)); #57041=EDGE_LOOP('',(#303910)); #57042=EDGE_LOOP('',(#303911,#303912,#303913,#303914)); #57043=EDGE_LOOP('',(#303915,#303916,#303917,#303918)); #57044=EDGE_LOOP('',(#303919,#303920,#303921,#303922)); #57045=EDGE_LOOP('',(#303923,#303924,#303925,#303926)); #57046=EDGE_LOOP('',(#303927,#303928,#303929,#303930)); #57047=EDGE_LOOP('',(#303931,#303932,#303933,#303934)); #57048=EDGE_LOOP('',(#303935,#303936,#303937,#303938)); #57049=EDGE_LOOP('',(#303939,#303940,#303941,#303942)); #57050=EDGE_LOOP('',(#303943,#303944,#303945,#303946)); #57051=EDGE_LOOP('',(#303947,#303948,#303949,#303950)); #57052=EDGE_LOOP('',(#303951,#303952,#303953,#303954)); #57053=EDGE_LOOP('',(#303955,#303956,#303957,#303958)); #57054=EDGE_LOOP('',(#303959,#303960,#303961,#303962)); #57055=EDGE_LOOP('',(#303963,#303964,#303965,#303966)); #57056=EDGE_LOOP('',(#303967,#303968,#303969,#303970)); #57057=EDGE_LOOP('',(#303971,#303972,#303973,#303974)); #57058=EDGE_LOOP('',(#303975,#303976,#303977,#303978)); #57059=EDGE_LOOP('',(#303979,#303980,#303981,#303982)); #57060=EDGE_LOOP('',(#303983,#303984,#303985,#303986)); #57061=EDGE_LOOP('',(#303987,#303988,#303989,#303990)); #57062=EDGE_LOOP('',(#303991,#303992,#303993,#303994)); #57063=EDGE_LOOP('',(#303995,#303996,#303997,#303998)); #57064=EDGE_LOOP('',(#303999,#304000,#304001,#304002)); #57065=EDGE_LOOP('',(#304003,#304004,#304005,#304006)); #57066=EDGE_LOOP('',(#304007,#304008,#304009,#304010)); #57067=EDGE_LOOP('',(#304011,#304012,#304013,#304014)); #57068=EDGE_LOOP('',(#304015,#304016,#304017,#304018)); #57069=EDGE_LOOP('',(#304019,#304020,#304021,#304022)); #57070=EDGE_LOOP('',(#304023,#304024,#304025,#304026)); #57071=EDGE_LOOP('',(#304027,#304028,#304029,#304030)); #57072=EDGE_LOOP('',(#304031,#304032,#304033,#304034)); #57073=EDGE_LOOP('',(#304035,#304036,#304037,#304038)); #57074=EDGE_LOOP('',(#304039,#304040,#304041,#304042)); #57075=EDGE_LOOP('',(#304043,#304044,#304045,#304046)); #57076=EDGE_LOOP('',(#304047,#304048,#304049,#304050)); #57077=EDGE_LOOP('',(#304051,#304052,#304053,#304054)); #57078=EDGE_LOOP('',(#304055,#304056,#304057,#304058)); #57079=EDGE_LOOP('',(#304059,#304060,#304061,#304062)); #57080=EDGE_LOOP('',(#304063,#304064,#304065,#304066)); #57081=EDGE_LOOP('',(#304067,#304068,#304069,#304070)); #57082=EDGE_LOOP('',(#304071,#304072,#304073,#304074)); #57083=EDGE_LOOP('',(#304075,#304076,#304077,#304078)); #57084=EDGE_LOOP('',(#304079,#304080,#304081,#304082)); #57085=EDGE_LOOP('',(#304083,#304084,#304085,#304086)); #57086=EDGE_LOOP('',(#304087,#304088,#304089,#304090)); #57087=EDGE_LOOP('',(#304091,#304092,#304093,#304094)); #57088=EDGE_LOOP('',(#304095,#304096,#304097,#304098)); #57089=EDGE_LOOP('',(#304099,#304100,#304101,#304102)); #57090=EDGE_LOOP('',(#304103,#304104,#304105,#304106)); #57091=EDGE_LOOP('',(#304107,#304108,#304109,#304110)); #57092=EDGE_LOOP('',(#304111,#304112,#304113,#304114)); #57093=EDGE_LOOP('',(#304115,#304116,#304117,#304118)); #57094=EDGE_LOOP('',(#304119,#304120,#304121,#304122)); #57095=EDGE_LOOP('',(#304123,#304124,#304125,#304126)); #57096=EDGE_LOOP('',(#304127,#304128,#304129,#304130)); #57097=EDGE_LOOP('',(#304131,#304132,#304133,#304134)); #57098=EDGE_LOOP('',(#304135,#304136,#304137,#304138)); #57099=EDGE_LOOP('',(#304139,#304140,#304141,#304142)); #57100=EDGE_LOOP('',(#304143,#304144,#304145,#304146)); #57101=EDGE_LOOP('',(#304147,#304148,#304149,#304150)); #57102=EDGE_LOOP('',(#304151,#304152,#304153,#304154,#304155,#304156,#304157, #304158,#304159,#304160,#304161,#304162,#304163,#304164,#304165,#304166, #304167,#304168,#304169,#304170,#304171,#304172,#304173,#304174,#304175, #304176,#304177,#304178,#304179,#304180,#304181,#304182,#304183,#304184, #304185,#304186,#304187,#304188,#304189,#304190,#304191,#304192,#304193, #304194,#304195,#304196,#304197,#304198,#304199,#304200,#304201,#304202, #304203,#304204,#304205,#304206)); #57103=EDGE_LOOP('',(#304207,#304208,#304209,#304210)); #57104=EDGE_LOOP('',(#304211,#304212,#304213,#304214,#304215,#304216,#304217, #304218,#304219,#304220,#304221,#304222,#304223,#304224,#304225,#304226, #304227,#304228,#304229,#304230,#304231,#304232,#304233,#304234,#304235, #304236,#304237,#304238,#304239,#304240,#304241,#304242,#304243,#304244, #304245,#304246,#304247,#304248,#304249,#304250,#304251,#304252,#304253, #304254,#304255,#304256,#304257,#304258,#304259,#304260,#304261,#304262, #304263,#304264,#304265,#304266)); #57105=EDGE_LOOP('',(#304267,#304268,#304269,#304270)); #57106=EDGE_LOOP('',(#304271,#304272,#304273,#304274)); #57107=EDGE_LOOP('',(#304275,#304276,#304277,#304278)); #57108=EDGE_LOOP('',(#304279,#304280,#304281,#304282)); #57109=EDGE_LOOP('',(#304283,#304284,#304285,#304286)); #57110=EDGE_LOOP('',(#304287,#304288,#304289)); #57111=EDGE_LOOP('',(#304290)); #57112=EDGE_LOOP('',(#304291,#304292,#304293)); #57113=EDGE_LOOP('',(#304294)); #57114=EDGE_LOOP('',(#304295,#304296,#304297,#304298)); #57115=EDGE_LOOP('',(#304299,#304300,#304301,#304302)); #57116=EDGE_LOOP('',(#304303,#304304,#304305,#304306)); #57117=EDGE_LOOP('',(#304307,#304308,#304309,#304310)); #57118=EDGE_LOOP('',(#304311,#304312,#304313)); #57119=EDGE_LOOP('',(#304314)); #57120=EDGE_LOOP('',(#304315,#304316,#304317)); #57121=EDGE_LOOP('',(#304318)); #57122=EDGE_LOOP('',(#304319,#304320,#304321,#304322)); #57123=EDGE_LOOP('',(#304323,#304324,#304325,#304326)); #57124=EDGE_LOOP('',(#304327,#304328,#304329,#304330)); #57125=EDGE_LOOP('',(#304331,#304332,#304333,#304334)); #57126=EDGE_LOOP('',(#304335,#304336,#304337)); #57127=EDGE_LOOP('',(#304338)); #57128=EDGE_LOOP('',(#304339,#304340,#304341)); #57129=EDGE_LOOP('',(#304342)); #57130=EDGE_LOOP('',(#304343,#304344,#304345,#304346)); #57131=EDGE_LOOP('',(#304347,#304348,#304349,#304350)); #57132=EDGE_LOOP('',(#304351,#304352,#304353,#304354)); #57133=EDGE_LOOP('',(#304355,#304356,#304357,#304358)); #57134=EDGE_LOOP('',(#304359,#304360,#304361,#304362)); #57135=EDGE_LOOP('',(#304363,#304364,#304365,#304366)); #57136=EDGE_LOOP('',(#304367)); #57137=EDGE_LOOP('',(#304368,#304369,#304370,#304371)); #57138=EDGE_LOOP('',(#304372)); #57139=EDGE_LOOP('',(#304373,#304374,#304375,#304376)); #57140=EDGE_LOOP('',(#304377,#304378,#304379,#304380)); #57141=EDGE_LOOP('',(#304381,#304382,#304383,#304384)); #57142=EDGE_LOOP('',(#304385,#304386,#304387,#304388)); #57143=EDGE_LOOP('',(#304389,#304390,#304391)); #57144=EDGE_LOOP('',(#304392)); #57145=EDGE_LOOP('',(#304393,#304394,#304395)); #57146=EDGE_LOOP('',(#304396)); #57147=EDGE_LOOP('',(#304397,#304398,#304399,#304400)); #57148=EDGE_LOOP('',(#304401,#304402,#304403,#304404)); #57149=EDGE_LOOP('',(#304405,#304406,#304407,#304408)); #57150=EDGE_LOOP('',(#304409,#304410,#304411,#304412)); #57151=EDGE_LOOP('',(#304413,#304414,#304415,#304416)); #57152=EDGE_LOOP('',(#304417,#304418,#304419,#304420)); #57153=EDGE_LOOP('',(#304421,#304422,#304423,#304424)); #57154=EDGE_LOOP('',(#304425,#304426,#304427,#304428)); #57155=EDGE_LOOP('',(#304429,#304430,#304431,#304432)); #57156=EDGE_LOOP('',(#304433,#304434,#304435,#304436)); #57157=EDGE_LOOP('',(#304437,#304438,#304439,#304440)); #57158=EDGE_LOOP('',(#304441,#304442,#304443,#304444)); #57159=EDGE_LOOP('',(#304445,#304446,#304447,#304448)); #57160=EDGE_LOOP('',(#304449,#304450,#304451,#304452)); #57161=EDGE_LOOP('',(#304453,#304454,#304455,#304456)); #57162=EDGE_LOOP('',(#304457,#304458,#304459,#304460)); #57163=EDGE_LOOP('',(#304461,#304462,#304463,#304464)); #57164=EDGE_LOOP('',(#304465,#304466,#304467,#304468)); #57165=EDGE_LOOP('',(#304469,#304470,#304471,#304472)); #57166=EDGE_LOOP('',(#304473,#304474,#304475,#304476)); #57167=EDGE_LOOP('',(#304477,#304478,#304479,#304480)); #57168=EDGE_LOOP('',(#304481,#304482,#304483,#304484)); #57169=EDGE_LOOP('',(#304485,#304486,#304487,#304488)); #57170=EDGE_LOOP('',(#304489,#304490,#304491,#304492)); #57171=EDGE_LOOP('',(#304493,#304494,#304495,#304496)); #57172=EDGE_LOOP('',(#304497,#304498,#304499,#304500)); #57173=EDGE_LOOP('',(#304501,#304502,#304503,#304504)); #57174=EDGE_LOOP('',(#304505,#304506,#304507,#304508)); #57175=EDGE_LOOP('',(#304509,#304510,#304511,#304512)); #57176=EDGE_LOOP('',(#304513,#304514,#304515,#304516)); #57177=EDGE_LOOP('',(#304517,#304518,#304519,#304520)); #57178=EDGE_LOOP('',(#304521,#304522,#304523,#304524)); #57179=EDGE_LOOP('',(#304525,#304526,#304527,#304528)); #57180=EDGE_LOOP('',(#304529,#304530,#304531,#304532)); #57181=EDGE_LOOP('',(#304533,#304534,#304535,#304536)); #57182=EDGE_LOOP('',(#304537,#304538,#304539,#304540)); #57183=EDGE_LOOP('',(#304541,#304542,#304543,#304544)); #57184=EDGE_LOOP('',(#304545,#304546,#304547,#304548)); #57185=EDGE_LOOP('',(#304549,#304550,#304551,#304552)); #57186=EDGE_LOOP('',(#304553,#304554,#304555,#304556)); #57187=EDGE_LOOP('',(#304557,#304558,#304559,#304560)); #57188=EDGE_LOOP('',(#304561,#304562,#304563,#304564)); #57189=EDGE_LOOP('',(#304565,#304566,#304567,#304568)); #57190=EDGE_LOOP('',(#304569,#304570,#304571,#304572)); #57191=EDGE_LOOP('',(#304573,#304574,#304575,#304576)); #57192=EDGE_LOOP('',(#304577,#304578,#304579,#304580)); #57193=EDGE_LOOP('',(#304581,#304582,#304583,#304584)); #57194=EDGE_LOOP('',(#304585,#304586,#304587,#304588)); #57195=EDGE_LOOP('',(#304589,#304590,#304591,#304592)); #57196=EDGE_LOOP('',(#304593,#304594,#304595,#304596)); #57197=EDGE_LOOP('',(#304597,#304598,#304599,#304600)); #57198=EDGE_LOOP('',(#304601,#304602,#304603,#304604)); #57199=EDGE_LOOP('',(#304605,#304606,#304607,#304608)); #57200=EDGE_LOOP('',(#304609,#304610,#304611,#304612)); #57201=EDGE_LOOP('',(#304613,#304614,#304615,#304616)); #57202=EDGE_LOOP('',(#304617,#304618,#304619,#304620)); #57203=EDGE_LOOP('',(#304621,#304622,#304623,#304624)); #57204=EDGE_LOOP('',(#304625,#304626,#304627,#304628)); #57205=EDGE_LOOP('',(#304629,#304630,#304631,#304632)); #57206=EDGE_LOOP('',(#304633,#304634,#304635,#304636)); #57207=EDGE_LOOP('',(#304637,#304638,#304639,#304640)); #57208=EDGE_LOOP('',(#304641,#304642,#304643,#304644)); #57209=EDGE_LOOP('',(#304645,#304646,#304647,#304648)); #57210=EDGE_LOOP('',(#304649,#304650,#304651,#304652)); #57211=EDGE_LOOP('',(#304653,#304654,#304655,#304656)); #57212=EDGE_LOOP('',(#304657,#304658,#304659,#304660)); #57213=EDGE_LOOP('',(#304661,#304662,#304663,#304664)); #57214=EDGE_LOOP('',(#304665,#304666,#304667,#304668)); #57215=EDGE_LOOP('',(#304669,#304670,#304671,#304672)); #57216=EDGE_LOOP('',(#304673,#304674,#304675,#304676)); #57217=EDGE_LOOP('',(#304677,#304678,#304679,#304680)); #57218=EDGE_LOOP('',(#304681,#304682,#304683,#304684)); #57219=EDGE_LOOP('',(#304685,#304686,#304687,#304688)); #57220=EDGE_LOOP('',(#304689,#304690,#304691,#304692)); #57221=EDGE_LOOP('',(#304693,#304694,#304695,#304696)); #57222=EDGE_LOOP('',(#304697,#304698,#304699,#304700)); #57223=EDGE_LOOP('',(#304701,#304702,#304703,#304704)); #57224=EDGE_LOOP('',(#304705,#304706,#304707,#304708)); #57225=EDGE_LOOP('',(#304709,#304710,#304711,#304712)); #57226=EDGE_LOOP('',(#304713,#304714,#304715,#304716)); #57227=EDGE_LOOP('',(#304717,#304718,#304719,#304720)); #57228=EDGE_LOOP('',(#304721,#304722,#304723,#304724)); #57229=EDGE_LOOP('',(#304725,#304726,#304727,#304728)); #57230=EDGE_LOOP('',(#304729,#304730,#304731,#304732)); #57231=EDGE_LOOP('',(#304733,#304734,#304735,#304736)); #57232=EDGE_LOOP('',(#304737,#304738,#304739,#304740)); #57233=EDGE_LOOP('',(#304741,#304742,#304743,#304744)); #57234=EDGE_LOOP('',(#304745,#304746,#304747,#304748)); #57235=EDGE_LOOP('',(#304749,#304750,#304751,#304752)); #57236=EDGE_LOOP('',(#304753,#304754,#304755,#304756)); #57237=EDGE_LOOP('',(#304757,#304758,#304759,#304760)); #57238=EDGE_LOOP('',(#304761,#304762,#304763,#304764)); #57239=EDGE_LOOP('',(#304765,#304766,#304767,#304768)); #57240=EDGE_LOOP('',(#304769,#304770,#304771,#304772)); #57241=EDGE_LOOP('',(#304773,#304774,#304775,#304776)); #57242=EDGE_LOOP('',(#304777,#304778,#304779,#304780)); #57243=EDGE_LOOP('',(#304781,#304782,#304783,#304784)); #57244=EDGE_LOOP('',(#304785,#304786,#304787,#304788)); #57245=EDGE_LOOP('',(#304789,#304790,#304791,#304792)); #57246=EDGE_LOOP('',(#304793,#304794,#304795,#304796)); #57247=EDGE_LOOP('',(#304797,#304798,#304799,#304800)); #57248=EDGE_LOOP('',(#304801,#304802,#304803,#304804)); #57249=EDGE_LOOP('',(#304805,#304806,#304807,#304808)); #57250=EDGE_LOOP('',(#304809,#304810,#304811,#304812)); #57251=EDGE_LOOP('',(#304813,#304814,#304815,#304816)); #57252=EDGE_LOOP('',(#304817,#304818,#304819,#304820)); #57253=EDGE_LOOP('',(#304821,#304822,#304823,#304824)); #57254=EDGE_LOOP('',(#304825,#304826,#304827,#304828)); #57255=EDGE_LOOP('',(#304829,#304830,#304831,#304832)); #57256=EDGE_LOOP('',(#304833,#304834,#304835,#304836)); #57257=EDGE_LOOP('',(#304837,#304838,#304839,#304840)); #57258=EDGE_LOOP('',(#304841,#304842,#304843,#304844)); #57259=EDGE_LOOP('',(#304845,#304846,#304847,#304848)); #57260=EDGE_LOOP('',(#304849,#304850,#304851,#304852)); #57261=EDGE_LOOP('',(#304853,#304854,#304855,#304856)); #57262=EDGE_LOOP('',(#304857,#304858,#304859,#304860)); #57263=EDGE_LOOP('',(#304861,#304862,#304863,#304864)); #57264=EDGE_LOOP('',(#304865,#304866,#304867,#304868)); #57265=EDGE_LOOP('',(#304869,#304870,#304871,#304872)); #57266=EDGE_LOOP('',(#304873,#304874,#304875,#304876)); #57267=EDGE_LOOP('',(#304877,#304878,#304879,#304880)); #57268=EDGE_LOOP('',(#304881,#304882,#304883,#304884)); #57269=EDGE_LOOP('',(#304885,#304886,#304887,#304888)); #57270=EDGE_LOOP('',(#304889,#304890,#304891,#304892)); #57271=EDGE_LOOP('',(#304893,#304894,#304895,#304896)); #57272=EDGE_LOOP('',(#304897,#304898,#304899,#304900)); #57273=EDGE_LOOP('',(#304901,#304902,#304903,#304904)); #57274=EDGE_LOOP('',(#304905,#304906,#304907,#304908)); #57275=EDGE_LOOP('',(#304909,#304910,#304911,#304912)); #57276=EDGE_LOOP('',(#304913,#304914,#304915,#304916)); #57277=EDGE_LOOP('',(#304917,#304918,#304919,#304920)); #57278=EDGE_LOOP('',(#304921,#304922,#304923,#304924)); #57279=EDGE_LOOP('',(#304925,#304926,#304927,#304928)); #57280=EDGE_LOOP('',(#304929,#304930,#304931,#304932)); #57281=EDGE_LOOP('',(#304933,#304934,#304935,#304936)); #57282=EDGE_LOOP('',(#304937,#304938,#304939,#304940)); #57283=EDGE_LOOP('',(#304941,#304942,#304943,#304944)); #57284=EDGE_LOOP('',(#304945,#304946,#304947,#304948)); #57285=EDGE_LOOP('',(#304949,#304950,#304951,#304952)); #57286=EDGE_LOOP('',(#304953,#304954,#304955,#304956)); #57287=EDGE_LOOP('',(#304957,#304958,#304959,#304960)); #57288=EDGE_LOOP('',(#304961,#304962,#304963,#304964)); #57289=EDGE_LOOP('',(#304965,#304966,#304967,#304968)); #57290=EDGE_LOOP('',(#304969,#304970,#304971,#304972)); #57291=EDGE_LOOP('',(#304973,#304974,#304975,#304976)); #57292=EDGE_LOOP('',(#304977)); #57293=EDGE_LOOP('',(#304978)); #57294=EDGE_LOOP('',(#304979,#304980,#304981,#304982)); #57295=EDGE_LOOP('',(#304983)); #57296=EDGE_LOOP('',(#304984)); #57297=EDGE_LOOP('',(#304985,#304986,#304987,#304988)); #57298=EDGE_LOOP('',(#304989,#304990,#304991,#304992)); #57299=EDGE_LOOP('',(#304993,#304994,#304995,#304996)); #57300=EDGE_LOOP('',(#304997,#304998,#304999,#305000)); #57301=EDGE_LOOP('',(#305001,#305002,#305003,#305004)); #57302=EDGE_LOOP('',(#305005,#305006,#305007,#305008)); #57303=EDGE_LOOP('',(#305009,#305010,#305011,#305012)); #57304=EDGE_LOOP('',(#305013,#305014,#305015,#305016)); #57305=EDGE_LOOP('',(#305017,#305018,#305019,#305020)); #57306=EDGE_LOOP('',(#305021,#305022,#305023,#305024)); #57307=EDGE_LOOP('',(#305025,#305026,#305027,#305028)); #57308=EDGE_LOOP('',(#305029,#305030,#305031,#305032)); #57309=EDGE_LOOP('',(#305033,#305034,#305035,#305036)); #57310=EDGE_LOOP('',(#305037)); #57311=EDGE_LOOP('',(#305038)); #57312=EDGE_LOOP('',(#305039,#305040,#305041,#305042)); #57313=EDGE_LOOP('',(#305043)); #57314=EDGE_LOOP('',(#305044)); #57315=EDGE_LOOP('',(#305045,#305046,#305047,#305048)); #57316=EDGE_LOOP('',(#305049,#305050,#305051,#305052)); #57317=EDGE_LOOP('',(#305053,#305054,#305055,#305056)); #57318=EDGE_LOOP('',(#305057,#305058,#305059,#305060)); #57319=EDGE_LOOP('',(#305061,#305062,#305063,#305064)); #57320=EDGE_LOOP('',(#305065,#305066,#305067,#305068)); #57321=EDGE_LOOP('',(#305069,#305070,#305071,#305072)); #57322=EDGE_LOOP('',(#305073,#305074,#305075,#305076)); #57323=EDGE_LOOP('',(#305077,#305078,#305079,#305080)); #57324=EDGE_LOOP('',(#305081,#305082,#305083,#305084)); #57325=EDGE_LOOP('',(#305085,#305086,#305087,#305088)); #57326=EDGE_LOOP('',(#305089,#305090,#305091,#305092)); #57327=EDGE_LOOP('',(#305093,#305094,#305095,#305096)); #57328=EDGE_LOOP('',(#305097,#305098,#305099,#305100)); #57329=EDGE_LOOP('',(#305101,#305102,#305103,#305104)); #57330=EDGE_LOOP('',(#305105,#305106,#305107,#305108)); #57331=EDGE_LOOP('',(#305109,#305110,#305111,#305112)); #57332=EDGE_LOOP('',(#305113,#305114,#305115,#305116)); #57333=EDGE_LOOP('',(#305117,#305118,#305119,#305120)); #57334=EDGE_LOOP('',(#305121,#305122,#305123,#305124)); #57335=EDGE_LOOP('',(#305125,#305126,#305127,#305128)); #57336=EDGE_LOOP('',(#305129,#305130,#305131,#305132)); #57337=EDGE_LOOP('',(#305133,#305134,#305135,#305136)); #57338=EDGE_LOOP('',(#305137,#305138,#305139,#305140)); #57339=EDGE_LOOP('',(#305141,#305142,#305143,#305144)); #57340=EDGE_LOOP('',(#305145,#305146,#305147,#305148)); #57341=EDGE_LOOP('',(#305149,#305150,#305151,#305152)); #57342=EDGE_LOOP('',(#305153,#305154,#305155,#305156)); #57343=EDGE_LOOP('',(#305157,#305158,#305159,#305160)); #57344=EDGE_LOOP('',(#305161,#305162,#305163,#305164)); #57345=EDGE_LOOP('',(#305165,#305166,#305167,#305168)); #57346=EDGE_LOOP('',(#305169,#305170,#305171,#305172)); #57347=EDGE_LOOP('',(#305173,#305174,#305175,#305176)); #57348=EDGE_LOOP('',(#305177,#305178,#305179,#305180)); #57349=EDGE_LOOP('',(#305181,#305182,#305183,#305184)); #57350=EDGE_LOOP('',(#305185,#305186,#305187,#305188)); #57351=EDGE_LOOP('',(#305189,#305190,#305191,#305192)); #57352=EDGE_LOOP('',(#305193,#305194,#305195,#305196)); #57353=EDGE_LOOP('',(#305197,#305198,#305199,#305200)); #57354=EDGE_LOOP('',(#305201,#305202,#305203,#305204)); #57355=EDGE_LOOP('',(#305205,#305206,#305207,#305208)); #57356=EDGE_LOOP('',(#305209,#305210,#305211,#305212)); #57357=EDGE_LOOP('',(#305213,#305214,#305215,#305216)); #57358=EDGE_LOOP('',(#305217,#305218,#305219,#305220)); #57359=EDGE_LOOP('',(#305221,#305222,#305223,#305224)); #57360=EDGE_LOOP('',(#305225,#305226,#305227,#305228)); #57361=EDGE_LOOP('',(#305229,#305230,#305231,#305232)); #57362=EDGE_LOOP('',(#305233,#305234,#305235,#305236)); #57363=EDGE_LOOP('',(#305237,#305238,#305239,#305240)); #57364=EDGE_LOOP('',(#305241,#305242,#305243,#305244)); #57365=EDGE_LOOP('',(#305245,#305246,#305247,#305248)); #57366=EDGE_LOOP('',(#305249,#305250,#305251,#305252)); #57367=EDGE_LOOP('',(#305253,#305254,#305255,#305256)); #57368=EDGE_LOOP('',(#305257,#305258,#305259,#305260)); #57369=EDGE_LOOP('',(#305261,#305262,#305263,#305264)); #57370=EDGE_LOOP('',(#305265,#305266,#305267,#305268)); #57371=EDGE_LOOP('',(#305269,#305270,#305271,#305272)); #57372=EDGE_LOOP('',(#305273,#305274,#305275,#305276)); #57373=EDGE_LOOP('',(#305277,#305278,#305279,#305280)); #57374=EDGE_LOOP('',(#305281,#305282,#305283,#305284)); #57375=EDGE_LOOP('',(#305285,#305286,#305287,#305288)); #57376=EDGE_LOOP('',(#305289,#305290,#305291,#305292)); #57377=EDGE_LOOP('',(#305293,#305294,#305295,#305296)); #57378=EDGE_LOOP('',(#305297,#305298,#305299,#305300)); #57379=EDGE_LOOP('',(#305301,#305302,#305303,#305304)); #57380=EDGE_LOOP('',(#305305,#305306,#305307,#305308)); #57381=EDGE_LOOP('',(#305309,#305310,#305311,#305312)); #57382=EDGE_LOOP('',(#305313,#305314,#305315,#305316)); #57383=EDGE_LOOP('',(#305317,#305318,#305319,#305320)); #57384=EDGE_LOOP('',(#305321,#305322,#305323,#305324)); #57385=EDGE_LOOP('',(#305325,#305326,#305327,#305328)); #57386=EDGE_LOOP('',(#305329,#305330,#305331,#305332)); #57387=EDGE_LOOP('',(#305333,#305334,#305335,#305336)); #57388=EDGE_LOOP('',(#305337,#305338,#305339,#305340)); #57389=EDGE_LOOP('',(#305341,#305342,#305343,#305344)); #57390=EDGE_LOOP('',(#305345,#305346,#305347,#305348)); #57391=EDGE_LOOP('',(#305349,#305350,#305351,#305352)); #57392=EDGE_LOOP('',(#305353,#305354,#305355,#305356)); #57393=EDGE_LOOP('',(#305357,#305358,#305359,#305360)); #57394=EDGE_LOOP('',(#305361,#305362,#305363,#305364)); #57395=EDGE_LOOP('',(#305365,#305366,#305367,#305368)); #57396=EDGE_LOOP('',(#305369,#305370,#305371,#305372)); #57397=EDGE_LOOP('',(#305373,#305374,#305375,#305376)); #57398=EDGE_LOOP('',(#305377,#305378,#305379,#305380)); #57399=EDGE_LOOP('',(#305381,#305382,#305383,#305384)); #57400=EDGE_LOOP('',(#305385,#305386,#305387,#305388)); #57401=EDGE_LOOP('',(#305389,#305390,#305391,#305392)); #57402=EDGE_LOOP('',(#305393,#305394,#305395,#305396)); #57403=EDGE_LOOP('',(#305397,#305398,#305399,#305400)); #57404=EDGE_LOOP('',(#305401,#305402,#305403,#305404)); #57405=EDGE_LOOP('',(#305405,#305406,#305407,#305408)); #57406=EDGE_LOOP('',(#305409,#305410,#305411,#305412)); #57407=EDGE_LOOP('',(#305413,#305414,#305415,#305416)); #57408=EDGE_LOOP('',(#305417,#305418,#305419,#305420)); #57409=EDGE_LOOP('',(#305421,#305422,#305423,#305424)); #57410=EDGE_LOOP('',(#305425,#305426,#305427,#305428)); #57411=EDGE_LOOP('',(#305429,#305430,#305431,#305432)); #57412=EDGE_LOOP('',(#305433,#305434,#305435,#305436)); #57413=EDGE_LOOP('',(#305437,#305438,#305439,#305440)); #57414=EDGE_LOOP('',(#305441,#305442,#305443,#305444)); #57415=EDGE_LOOP('',(#305445,#305446,#305447,#305448)); #57416=EDGE_LOOP('',(#305449,#305450,#305451,#305452)); #57417=EDGE_LOOP('',(#305453)); #57418=EDGE_LOOP('',(#305454,#305455,#305456,#305457)); #57419=EDGE_LOOP('',(#305458)); #57420=EDGE_LOOP('',(#305459,#305460,#305461,#305462)); #57421=EDGE_LOOP('',(#305463,#305464,#305465,#305466)); #57422=EDGE_LOOP('',(#305467,#305468,#305469,#305470)); #57423=EDGE_LOOP('',(#305471,#305472,#305473,#305474)); #57424=EDGE_LOOP('',(#305475,#305476,#305477,#305478)); #57425=EDGE_LOOP('',(#305479,#305480,#305481,#305482)); #57426=EDGE_LOOP('',(#305483,#305484,#305485,#305486)); #57427=EDGE_LOOP('',(#305487,#305488,#305489,#305490)); #57428=EDGE_LOOP('',(#305491,#305492,#305493,#305494)); #57429=EDGE_LOOP('',(#305495,#305496,#305497,#305498)); #57430=EDGE_LOOP('',(#305499,#305500,#305501,#305502)); #57431=EDGE_LOOP('',(#305503,#305504,#305505,#305506)); #57432=EDGE_LOOP('',(#305507,#305508,#305509,#305510)); #57433=EDGE_LOOP('',(#305511,#305512,#305513,#305514)); #57434=EDGE_LOOP('',(#305515,#305516,#305517,#305518)); #57435=EDGE_LOOP('',(#305519,#305520,#305521,#305522)); #57436=EDGE_LOOP('',(#305523,#305524,#305525,#305526)); #57437=EDGE_LOOP('',(#305527,#305528,#305529,#305530)); #57438=EDGE_LOOP('',(#305531,#305532,#305533,#305534)); #57439=EDGE_LOOP('',(#305535,#305536,#305537,#305538)); #57440=EDGE_LOOP('',(#305539,#305540,#305541,#305542)); #57441=EDGE_LOOP('',(#305543,#305544,#305545,#305546)); #57442=EDGE_LOOP('',(#305547,#305548,#305549,#305550)); #57443=EDGE_LOOP('',(#305551,#305552,#305553,#305554)); #57444=EDGE_LOOP('',(#305555,#305556,#305557,#305558)); #57445=EDGE_LOOP('',(#305559,#305560,#305561,#305562)); #57446=EDGE_LOOP('',(#305563,#305564,#305565,#305566)); #57447=EDGE_LOOP('',(#305567,#305568,#305569,#305570)); #57448=EDGE_LOOP('',(#305571,#305572,#305573,#305574)); #57449=EDGE_LOOP('',(#305575,#305576,#305577,#305578)); #57450=EDGE_LOOP('',(#305579,#305580,#305581,#305582)); #57451=EDGE_LOOP('',(#305583,#305584,#305585,#305586)); #57452=EDGE_LOOP('',(#305587,#305588,#305589,#305590)); #57453=EDGE_LOOP('',(#305591,#305592,#305593,#305594)); #57454=EDGE_LOOP('',(#305595,#305596,#305597,#305598)); #57455=EDGE_LOOP('',(#305599,#305600,#305601,#305602)); #57456=EDGE_LOOP('',(#305603,#305604,#305605,#305606)); #57457=EDGE_LOOP('',(#305607,#305608,#305609,#305610)); #57458=EDGE_LOOP('',(#305611,#305612,#305613,#305614)); #57459=EDGE_LOOP('',(#305615,#305616,#305617,#305618)); #57460=EDGE_LOOP('',(#305619,#305620,#305621,#305622)); #57461=EDGE_LOOP('',(#305623,#305624,#305625,#305626)); #57462=EDGE_LOOP('',(#305627,#305628,#305629,#305630)); #57463=EDGE_LOOP('',(#305631,#305632,#305633,#305634)); #57464=EDGE_LOOP('',(#305635)); #57465=EDGE_LOOP('',(#305636)); #57466=EDGE_LOOP('',(#305637)); #57467=EDGE_LOOP('',(#305638)); #57468=EDGE_LOOP('',(#305639)); #57469=EDGE_LOOP('',(#305640)); #57470=EDGE_LOOP('',(#305641)); #57471=EDGE_LOOP('',(#305642)); #57472=EDGE_LOOP('',(#305643)); #57473=EDGE_LOOP('',(#305644)); #57474=EDGE_LOOP('',(#305645)); #57475=EDGE_LOOP('',(#305646)); #57476=EDGE_LOOP('',(#305647)); #57477=EDGE_LOOP('',(#305648)); #57478=EDGE_LOOP('',(#305649)); #57479=EDGE_LOOP('',(#305650,#305651,#305652,#305653)); #57480=EDGE_LOOP('',(#305654)); #57481=EDGE_LOOP('',(#305655)); #57482=EDGE_LOOP('',(#305656)); #57483=EDGE_LOOP('',(#305657)); #57484=EDGE_LOOP('',(#305658)); #57485=EDGE_LOOP('',(#305659)); #57486=EDGE_LOOP('',(#305660)); #57487=EDGE_LOOP('',(#305661)); #57488=EDGE_LOOP('',(#305662)); #57489=EDGE_LOOP('',(#305663)); #57490=EDGE_LOOP('',(#305664)); #57491=EDGE_LOOP('',(#305665)); #57492=EDGE_LOOP('',(#305666)); #57493=EDGE_LOOP('',(#305667)); #57494=EDGE_LOOP('',(#305668)); #57495=EDGE_LOOP('',(#305669,#305670,#305671,#305672)); #57496=EDGE_LOOP('',(#305673,#305674,#305675,#305676)); #57497=EDGE_LOOP('',(#305677,#305678,#305679,#305680)); #57498=EDGE_LOOP('',(#305681,#305682,#305683,#305684)); #57499=EDGE_LOOP('',(#305685,#305686,#305687,#305688)); #57500=EDGE_LOOP('',(#305689,#305690,#305691,#305692)); #57501=EDGE_LOOP('',(#305693,#305694,#305695,#305696)); #57502=EDGE_LOOP('',(#305697,#305698,#305699,#305700)); #57503=EDGE_LOOP('',(#305701,#305702,#305703,#305704)); #57504=EDGE_LOOP('',(#305705,#305706,#305707,#305708)); #57505=EDGE_LOOP('',(#305709,#305710,#305711,#305712)); #57506=EDGE_LOOP('',(#305713,#305714,#305715,#305716)); #57507=EDGE_LOOP('',(#305717,#305718,#305719,#305720)); #57508=EDGE_LOOP('',(#305721,#305722,#305723,#305724)); #57509=EDGE_LOOP('',(#305725,#305726,#305727,#305728)); #57510=EDGE_LOOP('',(#305729,#305730,#305731,#305732)); #57511=EDGE_LOOP('',(#305733,#305734,#305735,#305736)); #57512=EDGE_LOOP('',(#305737,#305738,#305739,#305740)); #57513=EDGE_LOOP('',(#305741,#305742,#305743,#305744)); #57514=EDGE_LOOP('',(#305745,#305746,#305747,#305748)); #57515=EDGE_LOOP('',(#305749,#305750,#305751,#305752)); #57516=EDGE_LOOP('',(#305753,#305754,#305755,#305756)); #57517=EDGE_LOOP('',(#305757,#305758,#305759,#305760)); #57518=EDGE_LOOP('',(#305761,#305762,#305763,#305764)); #57519=EDGE_LOOP('',(#305765)); #57520=EDGE_LOOP('',(#305766,#305767,#305768,#305769)); #57521=EDGE_LOOP('',(#305770)); #57522=EDGE_LOOP('',(#305771,#305772,#305773,#305774)); #57523=EDGE_LOOP('',(#305775,#305776,#305777,#305778)); #57524=EDGE_LOOP('',(#305779,#305780,#305781,#305782)); #57525=EDGE_LOOP('',(#305783,#305784,#305785,#305786)); #57526=EDGE_LOOP('',(#305787,#305788,#305789,#305790)); #57527=EDGE_LOOP('',(#305791,#305792,#305793,#305794)); #57528=EDGE_LOOP('',(#305795,#305796,#305797,#305798)); #57529=EDGE_LOOP('',(#305799,#305800,#305801,#305802)); #57530=EDGE_LOOP('',(#305803,#305804,#305805,#305806)); #57531=EDGE_LOOP('',(#305807,#305808,#305809,#305810)); #57532=EDGE_LOOP('',(#305811,#305812,#305813,#305814)); #57533=EDGE_LOOP('',(#305815,#305816,#305817,#305818)); #57534=EDGE_LOOP('',(#305819,#305820,#305821,#305822)); #57535=EDGE_LOOP('',(#305823,#305824,#305825,#305826)); #57536=EDGE_LOOP('',(#305827,#305828,#305829,#305830)); #57537=EDGE_LOOP('',(#305831,#305832,#305833,#305834)); #57538=EDGE_LOOP('',(#305835,#305836,#305837,#305838)); #57539=EDGE_LOOP('',(#305839,#305840,#305841,#305842)); #57540=EDGE_LOOP('',(#305843,#305844,#305845,#305846)); #57541=EDGE_LOOP('',(#305847,#305848,#305849,#305850)); #57542=EDGE_LOOP('',(#305851,#305852,#305853,#305854)); #57543=EDGE_LOOP('',(#305855,#305856,#305857,#305858)); #57544=EDGE_LOOP('',(#305859,#305860,#305861,#305862)); #57545=EDGE_LOOP('',(#305863,#305864,#305865,#305866)); #57546=EDGE_LOOP('',(#305867,#305868,#305869,#305870)); #57547=EDGE_LOOP('',(#305871,#305872,#305873,#305874)); #57548=EDGE_LOOP('',(#305875,#305876,#305877,#305878)); #57549=EDGE_LOOP('',(#305879,#305880,#305881,#305882)); #57550=EDGE_LOOP('',(#305883,#305884,#305885,#305886)); #57551=EDGE_LOOP('',(#305887,#305888,#305889,#305890)); #57552=EDGE_LOOP('',(#305891,#305892,#305893,#305894)); #57553=EDGE_LOOP('',(#305895,#305896,#305897,#305898)); #57554=EDGE_LOOP('',(#305899,#305900,#305901,#305902)); #57555=EDGE_LOOP('',(#305903,#305904,#305905,#305906)); #57556=EDGE_LOOP('',(#305907,#305908,#305909,#305910)); #57557=EDGE_LOOP('',(#305911,#305912,#305913,#305914)); #57558=EDGE_LOOP('',(#305915,#305916,#305917,#305918)); #57559=EDGE_LOOP('',(#305919,#305920,#305921,#305922)); #57560=EDGE_LOOP('',(#305923,#305924,#305925,#305926)); #57561=EDGE_LOOP('',(#305927,#305928,#305929,#305930)); #57562=EDGE_LOOP('',(#305931,#305932,#305933,#305934)); #57563=EDGE_LOOP('',(#305935,#305936,#305937,#305938)); #57564=EDGE_LOOP('',(#305939,#305940,#305941,#305942)); #57565=EDGE_LOOP('',(#305943,#305944,#305945,#305946)); #57566=EDGE_LOOP('',(#305947)); #57567=EDGE_LOOP('',(#305948)); #57568=EDGE_LOOP('',(#305949)); #57569=EDGE_LOOP('',(#305950)); #57570=EDGE_LOOP('',(#305951)); #57571=EDGE_LOOP('',(#305952)); #57572=EDGE_LOOP('',(#305953)); #57573=EDGE_LOOP('',(#305954)); #57574=EDGE_LOOP('',(#305955)); #57575=EDGE_LOOP('',(#305956)); #57576=EDGE_LOOP('',(#305957)); #57577=EDGE_LOOP('',(#305958)); #57578=EDGE_LOOP('',(#305959)); #57579=EDGE_LOOP('',(#305960)); #57580=EDGE_LOOP('',(#305961)); #57581=EDGE_LOOP('',(#305962,#305963,#305964,#305965)); #57582=EDGE_LOOP('',(#305966)); #57583=EDGE_LOOP('',(#305967)); #57584=EDGE_LOOP('',(#305968)); #57585=EDGE_LOOP('',(#305969)); #57586=EDGE_LOOP('',(#305970)); #57587=EDGE_LOOP('',(#305971)); #57588=EDGE_LOOP('',(#305972)); #57589=EDGE_LOOP('',(#305973)); #57590=EDGE_LOOP('',(#305974)); #57591=EDGE_LOOP('',(#305975)); #57592=EDGE_LOOP('',(#305976)); #57593=EDGE_LOOP('',(#305977)); #57594=EDGE_LOOP('',(#305978)); #57595=EDGE_LOOP('',(#305979)); #57596=EDGE_LOOP('',(#305980)); #57597=EDGE_LOOP('',(#305981,#305982,#305983,#305984)); #57598=EDGE_LOOP('',(#305985,#305986,#305987,#305988)); #57599=EDGE_LOOP('',(#305989,#305990,#305991,#305992)); #57600=EDGE_LOOP('',(#305993,#305994,#305995,#305996)); #57601=EDGE_LOOP('',(#305997,#305998,#305999,#306000)); #57602=EDGE_LOOP('',(#306001,#306002,#306003,#306004)); #57603=EDGE_LOOP('',(#306005,#306006,#306007,#306008)); #57604=EDGE_LOOP('',(#306009,#306010,#306011,#306012)); #57605=EDGE_LOOP('',(#306013,#306014,#306015,#306016)); #57606=EDGE_LOOP('',(#306017,#306018,#306019,#306020)); #57607=EDGE_LOOP('',(#306021,#306022,#306023,#306024)); #57608=EDGE_LOOP('',(#306025,#306026,#306027,#306028)); #57609=EDGE_LOOP('',(#306029,#306030,#306031,#306032)); #57610=EDGE_LOOP('',(#306033,#306034,#306035,#306036)); #57611=EDGE_LOOP('',(#306037,#306038,#306039,#306040)); #57612=EDGE_LOOP('',(#306041,#306042,#306043,#306044)); #57613=EDGE_LOOP('',(#306045,#306046,#306047,#306048)); #57614=EDGE_LOOP('',(#306049,#306050,#306051,#306052)); #57615=EDGE_LOOP('',(#306053,#306054,#306055,#306056)); #57616=EDGE_LOOP('',(#306057,#306058,#306059,#306060)); #57617=EDGE_LOOP('',(#306061,#306062,#306063,#306064)); #57618=EDGE_LOOP('',(#306065,#306066,#306067,#306068)); #57619=EDGE_LOOP('',(#306069,#306070,#306071,#306072)); #57620=EDGE_LOOP('',(#306073,#306074,#306075,#306076)); #57621=EDGE_LOOP('',(#306077)); #57622=EDGE_LOOP('',(#306078,#306079,#306080,#306081)); #57623=EDGE_LOOP('',(#306082)); #57624=EDGE_LOOP('',(#306083,#306084,#306085,#306086)); #57625=EDGE_LOOP('',(#306087,#306088,#306089,#306090)); #57626=EDGE_LOOP('',(#306091,#306092,#306093,#306094)); #57627=EDGE_LOOP('',(#306095,#306096,#306097,#306098)); #57628=EDGE_LOOP('',(#306099,#306100,#306101,#306102)); #57629=EDGE_LOOP('',(#306103,#306104,#306105,#306106)); #57630=EDGE_LOOP('',(#306107)); #57631=EDGE_LOOP('',(#306108,#306109,#306110,#306111)); #57632=EDGE_LOOP('',(#306112)); #57633=EDGE_LOOP('',(#306113,#306114,#306115,#306116)); #57634=EDGE_LOOP('',(#306117,#306118,#306119,#306120)); #57635=EDGE_LOOP('',(#306121,#306122,#306123,#306124)); #57636=EDGE_LOOP('',(#306125,#306126,#306127,#306128)); #57637=EDGE_LOOP('',(#306129,#306130,#306131,#306132)); #57638=EDGE_LOOP('',(#306133,#306134,#306135,#306136)); #57639=EDGE_LOOP('',(#306137)); #57640=EDGE_LOOP('',(#306138,#306139,#306140,#306141)); #57641=EDGE_LOOP('',(#306142)); #57642=EDGE_LOOP('',(#306143,#306144,#306145,#306146)); #57643=EDGE_LOOP('',(#306147,#306148,#306149,#306150)); #57644=EDGE_LOOP('',(#306151,#306152,#306153,#306154)); #57645=EDGE_LOOP('',(#306155,#306156,#306157,#306158)); #57646=EDGE_LOOP('',(#306159,#306160,#306161,#306162)); #57647=EDGE_LOOP('',(#306163,#306164,#306165,#306166)); #57648=EDGE_LOOP('',(#306167,#306168,#306169,#306170)); #57649=EDGE_LOOP('',(#306171,#306172,#306173,#306174)); #57650=EDGE_LOOP('',(#306175,#306176,#306177,#306178)); #57651=EDGE_LOOP('',(#306179,#306180,#306181,#306182)); #57652=EDGE_LOOP('',(#306183,#306184,#306185,#306186)); #57653=EDGE_LOOP('',(#306187,#306188,#306189,#306190)); #57654=EDGE_LOOP('',(#306191,#306192,#306193,#306194)); #57655=EDGE_LOOP('',(#306195,#306196,#306197,#306198)); #57656=EDGE_LOOP('',(#306199,#306200,#306201,#306202)); #57657=EDGE_LOOP('',(#306203,#306204,#306205,#306206)); #57658=EDGE_LOOP('',(#306207,#306208,#306209,#306210)); #57659=EDGE_LOOP('',(#306211,#306212,#306213,#306214)); #57660=EDGE_LOOP('',(#306215,#306216,#306217,#306218)); #57661=EDGE_LOOP('',(#306219,#306220,#306221,#306222)); #57662=EDGE_LOOP('',(#306223)); #57663=EDGE_LOOP('',(#306224)); #57664=EDGE_LOOP('',(#306225)); #57665=EDGE_LOOP('',(#306226)); #57666=EDGE_LOOP('',(#306227)); #57667=EDGE_LOOP('',(#306228)); #57668=EDGE_LOOP('',(#306229)); #57669=EDGE_LOOP('',(#306230)); #57670=EDGE_LOOP('',(#306231)); #57671=EDGE_LOOP('',(#306232)); #57672=EDGE_LOOP('',(#306233)); #57673=EDGE_LOOP('',(#306234)); #57674=EDGE_LOOP('',(#306235)); #57675=EDGE_LOOP('',(#306236)); #57676=EDGE_LOOP('',(#306237)); #57677=EDGE_LOOP('',(#306238,#306239,#306240,#306241)); #57678=EDGE_LOOP('',(#306242)); #57679=EDGE_LOOP('',(#306243)); #57680=EDGE_LOOP('',(#306244)); #57681=EDGE_LOOP('',(#306245)); #57682=EDGE_LOOP('',(#306246)); #57683=EDGE_LOOP('',(#306247)); #57684=EDGE_LOOP('',(#306248)); #57685=EDGE_LOOP('',(#306249)); #57686=EDGE_LOOP('',(#306250)); #57687=EDGE_LOOP('',(#306251)); #57688=EDGE_LOOP('',(#306252)); #57689=EDGE_LOOP('',(#306253)); #57690=EDGE_LOOP('',(#306254)); #57691=EDGE_LOOP('',(#306255)); #57692=EDGE_LOOP('',(#306256)); #57693=EDGE_LOOP('',(#306257,#306258,#306259,#306260)); #57694=EDGE_LOOP('',(#306261,#306262,#306263,#306264)); #57695=EDGE_LOOP('',(#306265,#306266,#306267,#306268)); #57696=EDGE_LOOP('',(#306269,#306270,#306271,#306272)); #57697=EDGE_LOOP('',(#306273,#306274,#306275,#306276)); #57698=EDGE_LOOP('',(#306277,#306278,#306279,#306280)); #57699=EDGE_LOOP('',(#306281,#306282,#306283,#306284)); #57700=EDGE_LOOP('',(#306285,#306286,#306287,#306288)); #57701=EDGE_LOOP('',(#306289,#306290,#306291,#306292)); #57702=EDGE_LOOP('',(#306293,#306294,#306295,#306296)); #57703=EDGE_LOOP('',(#306297,#306298,#306299,#306300)); #57704=EDGE_LOOP('',(#306301,#306302,#306303,#306304)); #57705=EDGE_LOOP('',(#306305,#306306,#306307,#306308)); #57706=EDGE_LOOP('',(#306309,#306310,#306311,#306312)); #57707=EDGE_LOOP('',(#306313,#306314,#306315,#306316)); #57708=EDGE_LOOP('',(#306317,#306318,#306319,#306320)); #57709=EDGE_LOOP('',(#306321,#306322,#306323,#306324)); #57710=EDGE_LOOP('',(#306325,#306326,#306327,#306328)); #57711=EDGE_LOOP('',(#306329,#306330,#306331,#306332)); #57712=EDGE_LOOP('',(#306333,#306334,#306335,#306336)); #57713=EDGE_LOOP('',(#306337,#306338,#306339,#306340)); #57714=EDGE_LOOP('',(#306341,#306342,#306343,#306344)); #57715=EDGE_LOOP('',(#306345,#306346,#306347,#306348)); #57716=EDGE_LOOP('',(#306349,#306350,#306351,#306352)); #57717=EDGE_LOOP('',(#306353,#306354,#306355,#306356)); #57718=EDGE_LOOP('',(#306357,#306358,#306359,#306360)); #57719=EDGE_LOOP('',(#306361,#306362,#306363,#306364)); #57720=EDGE_LOOP('',(#306365,#306366,#306367,#306368)); #57721=EDGE_LOOP('',(#306369,#306370,#306371,#306372)); #57722=EDGE_LOOP('',(#306373,#306374,#306375,#306376)); #57723=EDGE_LOOP('',(#306377,#306378,#306379,#306380)); #57724=EDGE_LOOP('',(#306381,#306382,#306383,#306384)); #57725=EDGE_LOOP('',(#306385,#306386,#306387,#306388)); #57726=EDGE_LOOP('',(#306389,#306390,#306391,#306392)); #57727=EDGE_LOOP('',(#306393,#306394,#306395,#306396)); #57728=EDGE_LOOP('',(#306397,#306398,#306399,#306400)); #57729=EDGE_LOOP('',(#306401,#306402,#306403,#306404)); #57730=EDGE_LOOP('',(#306405,#306406,#306407,#306408)); #57731=EDGE_LOOP('',(#306409,#306410,#306411,#306412)); #57732=EDGE_LOOP('',(#306413,#306414,#306415,#306416)); #57733=EDGE_LOOP('',(#306417,#306418,#306419,#306420)); #57734=EDGE_LOOP('',(#306421,#306422,#306423,#306424)); #57735=EDGE_LOOP('',(#306425,#306426,#306427,#306428)); #57736=EDGE_LOOP('',(#306429,#306430,#306431,#306432)); #57737=EDGE_LOOP('',(#306433,#306434,#306435,#306436)); #57738=EDGE_LOOP('',(#306437,#306438,#306439,#306440)); #57739=EDGE_LOOP('',(#306441,#306442,#306443,#306444)); #57740=EDGE_LOOP('',(#306445,#306446,#306447,#306448)); #57741=EDGE_LOOP('',(#306449,#306450,#306451,#306452)); #57742=EDGE_LOOP('',(#306453,#306454,#306455,#306456)); #57743=EDGE_LOOP('',(#306457,#306458,#306459,#306460)); #57744=EDGE_LOOP('',(#306461,#306462,#306463,#306464)); #57745=EDGE_LOOP('',(#306465,#306466,#306467,#306468)); #57746=EDGE_LOOP('',(#306469,#306470,#306471,#306472)); #57747=EDGE_LOOP('',(#306473,#306474,#306475,#306476)); #57748=EDGE_LOOP('',(#306477,#306478,#306479,#306480)); #57749=EDGE_LOOP('',(#306481,#306482,#306483,#306484)); #57750=EDGE_LOOP('',(#306485,#306486,#306487,#306488)); #57751=EDGE_LOOP('',(#306489,#306490,#306491,#306492)); #57752=EDGE_LOOP('',(#306493,#306494,#306495,#306496)); #57753=EDGE_LOOP('',(#306497,#306498,#306499,#306500)); #57754=EDGE_LOOP('',(#306501,#306502,#306503,#306504)); #57755=EDGE_LOOP('',(#306505,#306506,#306507,#306508)); #57756=EDGE_LOOP('',(#306509,#306510,#306511,#306512)); #57757=EDGE_LOOP('',(#306513,#306514,#306515,#306516)); #57758=EDGE_LOOP('',(#306517,#306518,#306519,#306520)); #57759=EDGE_LOOP('',(#306521,#306522,#306523,#306524)); #57760=EDGE_LOOP('',(#306525,#306526,#306527,#306528)); #57761=EDGE_LOOP('',(#306529,#306530,#306531,#306532)); #57762=EDGE_LOOP('',(#306533,#306534,#306535,#306536)); #57763=EDGE_LOOP('',(#306537,#306538,#306539,#306540)); #57764=EDGE_LOOP('',(#306541,#306542,#306543,#306544)); #57765=EDGE_LOOP('',(#306545,#306546,#306547,#306548)); #57766=EDGE_LOOP('',(#306549,#306550,#306551,#306552)); #57767=EDGE_LOOP('',(#306553,#306554,#306555,#306556)); #57768=EDGE_LOOP('',(#306557,#306558,#306559,#306560)); #57769=EDGE_LOOP('',(#306561,#306562,#306563,#306564)); #57770=EDGE_LOOP('',(#306565,#306566,#306567,#306568)); #57771=EDGE_LOOP('',(#306569,#306570,#306571,#306572)); #57772=EDGE_LOOP('',(#306573,#306574,#306575,#306576)); #57773=EDGE_LOOP('',(#306577,#306578,#306579,#306580)); #57774=EDGE_LOOP('',(#306581,#306582,#306583,#306584)); #57775=EDGE_LOOP('',(#306585,#306586,#306587,#306588)); #57776=EDGE_LOOP('',(#306589,#306590,#306591,#306592)); #57777=EDGE_LOOP('',(#306593,#306594,#306595,#306596)); #57778=EDGE_LOOP('',(#306597,#306598,#306599,#306600)); #57779=EDGE_LOOP('',(#306601,#306602,#306603,#306604)); #57780=EDGE_LOOP('',(#306605,#306606,#306607,#306608)); #57781=EDGE_LOOP('',(#306609,#306610,#306611,#306612)); #57782=EDGE_LOOP('',(#306613,#306614,#306615,#306616)); #57783=EDGE_LOOP('',(#306617,#306618,#306619,#306620)); #57784=EDGE_LOOP('',(#306621,#306622,#306623,#306624)); #57785=EDGE_LOOP('',(#306625,#306626,#306627,#306628)); #57786=EDGE_LOOP('',(#306629,#306630,#306631,#306632)); #57787=EDGE_LOOP('',(#306633,#306634,#306635,#306636)); #57788=EDGE_LOOP('',(#306637,#306638,#306639,#306640)); #57789=EDGE_LOOP('',(#306641,#306642,#306643,#306644)); #57790=EDGE_LOOP('',(#306645,#306646,#306647,#306648)); #57791=EDGE_LOOP('',(#306649,#306650,#306651,#306652)); #57792=EDGE_LOOP('',(#306653,#306654,#306655,#306656)); #57793=EDGE_LOOP('',(#306657,#306658,#306659,#306660)); #57794=EDGE_LOOP('',(#306661,#306662,#306663,#306664)); #57795=EDGE_LOOP('',(#306665,#306666,#306667,#306668)); #57796=EDGE_LOOP('',(#306669,#306670,#306671,#306672)); #57797=EDGE_LOOP('',(#306673,#306674,#306675,#306676)); #57798=EDGE_LOOP('',(#306677,#306678,#306679,#306680)); #57799=EDGE_LOOP('',(#306681,#306682,#306683,#306684)); #57800=EDGE_LOOP('',(#306685,#306686,#306687,#306688)); #57801=EDGE_LOOP('',(#306689,#306690,#306691,#306692)); #57802=EDGE_LOOP('',(#306693,#306694,#306695,#306696)); #57803=EDGE_LOOP('',(#306697,#306698,#306699,#306700)); #57804=EDGE_LOOP('',(#306701,#306702,#306703,#306704)); #57805=EDGE_LOOP('',(#306705,#306706,#306707,#306708)); #57806=EDGE_LOOP('',(#306709,#306710,#306711,#306712)); #57807=EDGE_LOOP('',(#306713,#306714,#306715,#306716)); #57808=EDGE_LOOP('',(#306717,#306718,#306719,#306720)); #57809=EDGE_LOOP('',(#306721,#306722,#306723,#306724)); #57810=EDGE_LOOP('',(#306725,#306726,#306727,#306728)); #57811=EDGE_LOOP('',(#306729,#306730,#306731,#306732)); #57812=EDGE_LOOP('',(#306733,#306734,#306735,#306736)); #57813=EDGE_LOOP('',(#306737,#306738,#306739,#306740)); #57814=EDGE_LOOP('',(#306741,#306742,#306743,#306744)); #57815=EDGE_LOOP('',(#306745,#306746,#306747,#306748)); #57816=EDGE_LOOP('',(#306749,#306750,#306751,#306752)); #57817=EDGE_LOOP('',(#306753,#306754,#306755,#306756)); #57818=EDGE_LOOP('',(#306757,#306758,#306759,#306760)); #57819=EDGE_LOOP('',(#306761,#306762,#306763,#306764)); #57820=EDGE_LOOP('',(#306765,#306766,#306767,#306768)); #57821=EDGE_LOOP('',(#306769,#306770,#306771,#306772)); #57822=EDGE_LOOP('',(#306773,#306774,#306775,#306776)); #57823=EDGE_LOOP('',(#306777,#306778,#306779,#306780)); #57824=EDGE_LOOP('',(#306781,#306782,#306783,#306784)); #57825=EDGE_LOOP('',(#306785,#306786,#306787,#306788)); #57826=EDGE_LOOP('',(#306789,#306790,#306791,#306792)); #57827=EDGE_LOOP('',(#306793,#306794,#306795,#306796)); #57828=EDGE_LOOP('',(#306797,#306798,#306799,#306800)); #57829=EDGE_LOOP('',(#306801,#306802,#306803,#306804)); #57830=EDGE_LOOP('',(#306805,#306806,#306807,#306808)); #57831=EDGE_LOOP('',(#306809,#306810,#306811,#306812)); #57832=EDGE_LOOP('',(#306813,#306814,#306815,#306816)); #57833=EDGE_LOOP('',(#306817)); #57834=EDGE_LOOP('',(#306818)); #57835=EDGE_LOOP('',(#306819)); #57836=EDGE_LOOP('',(#306820)); #57837=EDGE_LOOP('',(#306821)); #57838=EDGE_LOOP('',(#306822)); #57839=EDGE_LOOP('',(#306823)); #57840=EDGE_LOOP('',(#306824)); #57841=EDGE_LOOP('',(#306825)); #57842=EDGE_LOOP('',(#306826)); #57843=EDGE_LOOP('',(#306827)); #57844=EDGE_LOOP('',(#306828)); #57845=EDGE_LOOP('',(#306829)); #57846=EDGE_LOOP('',(#306830)); #57847=EDGE_LOOP('',(#306831)); #57848=EDGE_LOOP('',(#306832,#306833,#306834,#306835)); #57849=EDGE_LOOP('',(#306836)); #57850=EDGE_LOOP('',(#306837)); #57851=EDGE_LOOP('',(#306838)); #57852=EDGE_LOOP('',(#306839)); #57853=EDGE_LOOP('',(#306840)); #57854=EDGE_LOOP('',(#306841)); #57855=EDGE_LOOP('',(#306842)); #57856=EDGE_LOOP('',(#306843)); #57857=EDGE_LOOP('',(#306844)); #57858=EDGE_LOOP('',(#306845)); #57859=EDGE_LOOP('',(#306846)); #57860=EDGE_LOOP('',(#306847)); #57861=EDGE_LOOP('',(#306848)); #57862=EDGE_LOOP('',(#306849)); #57863=EDGE_LOOP('',(#306850)); #57864=EDGE_LOOP('',(#306851,#306852,#306853,#306854)); #57865=EDGE_LOOP('',(#306855,#306856,#306857,#306858)); #57866=EDGE_LOOP('',(#306859,#306860,#306861,#306862)); #57867=EDGE_LOOP('',(#306863,#306864,#306865,#306866)); #57868=EDGE_LOOP('',(#306867,#306868,#306869,#306870)); #57869=EDGE_LOOP('',(#306871,#306872,#306873,#306874)); #57870=EDGE_LOOP('',(#306875,#306876,#306877,#306878)); #57871=EDGE_LOOP('',(#306879,#306880,#306881,#306882)); #57872=EDGE_LOOP('',(#306883,#306884,#306885,#306886)); #57873=EDGE_LOOP('',(#306887,#306888,#306889,#306890)); #57874=EDGE_LOOP('',(#306891,#306892,#306893,#306894)); #57875=EDGE_LOOP('',(#306895,#306896,#306897,#306898)); #57876=EDGE_LOOP('',(#306899,#306900,#306901,#306902)); #57877=EDGE_LOOP('',(#306903,#306904,#306905,#306906)); #57878=EDGE_LOOP('',(#306907,#306908,#306909,#306910)); #57879=EDGE_LOOP('',(#306911,#306912,#306913,#306914)); #57880=EDGE_LOOP('',(#306915,#306916,#306917,#306918)); #57881=EDGE_LOOP('',(#306919,#306920,#306921,#306922)); #57882=EDGE_LOOP('',(#306923,#306924,#306925,#306926)); #57883=EDGE_LOOP('',(#306927,#306928,#306929,#306930)); #57884=EDGE_LOOP('',(#306931,#306932,#306933,#306934)); #57885=EDGE_LOOP('',(#306935,#306936,#306937,#306938)); #57886=EDGE_LOOP('',(#306939,#306940,#306941,#306942)); #57887=EDGE_LOOP('',(#306943,#306944,#306945,#306946)); #57888=EDGE_LOOP('',(#306947,#306948,#306949,#306950)); #57889=EDGE_LOOP('',(#306951,#306952,#306953,#306954)); #57890=EDGE_LOOP('',(#306955,#306956,#306957,#306958)); #57891=EDGE_LOOP('',(#306959,#306960,#306961,#306962)); #57892=EDGE_LOOP('',(#306963,#306964,#306965,#306966)); #57893=EDGE_LOOP('',(#306967,#306968,#306969,#306970)); #57894=EDGE_LOOP('',(#306971,#306972,#306973,#306974)); #57895=EDGE_LOOP('',(#306975,#306976,#306977,#306978)); #57896=EDGE_LOOP('',(#306979,#306980,#306981,#306982)); #57897=EDGE_LOOP('',(#306983,#306984,#306985,#306986)); #57898=EDGE_LOOP('',(#306987,#306988,#306989,#306990)); #57899=EDGE_LOOP('',(#306991,#306992,#306993,#306994)); #57900=EDGE_LOOP('',(#306995,#306996,#306997,#306998)); #57901=EDGE_LOOP('',(#306999,#307000,#307001,#307002)); #57902=EDGE_LOOP('',(#307003,#307004,#307005,#307006)); #57903=EDGE_LOOP('',(#307007,#307008,#307009,#307010)); #57904=EDGE_LOOP('',(#307011,#307012,#307013,#307014)); #57905=EDGE_LOOP('',(#307015,#307016,#307017,#307018)); #57906=EDGE_LOOP('',(#307019)); #57907=EDGE_LOOP('',(#307020,#307021,#307022,#307023)); #57908=EDGE_LOOP('',(#307024)); #57909=EDGE_LOOP('',(#307025,#307026,#307027,#307028)); #57910=EDGE_LOOP('',(#307029,#307030,#307031,#307032)); #57911=EDGE_LOOP('',(#307033,#307034,#307035,#307036)); #57912=EDGE_LOOP('',(#307037,#307038,#307039,#307040)); #57913=EDGE_LOOP('',(#307041,#307042,#307043,#307044)); #57914=EDGE_LOOP('',(#307045,#307046,#307047,#307048)); #57915=EDGE_LOOP('',(#307049,#307050,#307051,#307052)); #57916=EDGE_LOOP('',(#307053)); #57917=EDGE_LOOP('',(#307054)); #57918=EDGE_LOOP('',(#307055,#307056,#307057,#307058)); #57919=EDGE_LOOP('',(#307059)); #57920=EDGE_LOOP('',(#307060)); #57921=EDGE_LOOP('',(#307061,#307062,#307063,#307064)); #57922=EDGE_LOOP('',(#307065,#307066,#307067,#307068)); #57923=EDGE_LOOP('',(#307069,#307070,#307071,#307072)); #57924=EDGE_LOOP('',(#307073,#307074,#307075,#307076)); #57925=EDGE_LOOP('',(#307077,#307078,#307079,#307080)); #57926=EDGE_LOOP('',(#307081,#307082,#307083,#307084)); #57927=EDGE_LOOP('',(#307085,#307086,#307087,#307088)); #57928=EDGE_LOOP('',(#307089)); #57929=EDGE_LOOP('',(#307090)); #57930=EDGE_LOOP('',(#307091,#307092,#307093,#307094)); #57931=EDGE_LOOP('',(#307095)); #57932=EDGE_LOOP('',(#307096)); #57933=EDGE_LOOP('',(#307097,#307098,#307099,#307100)); #57934=EDGE_LOOP('',(#307101,#307102,#307103,#307104)); #57935=EDGE_LOOP('',(#307105,#307106,#307107,#307108)); #57936=EDGE_LOOP('',(#307109,#307110,#307111,#307112)); #57937=EDGE_LOOP('',(#307113,#307114,#307115,#307116)); #57938=EDGE_LOOP('',(#307117,#307118,#307119,#307120)); #57939=EDGE_LOOP('',(#307121)); #57940=EDGE_LOOP('',(#307122,#307123,#307124,#307125)); #57941=EDGE_LOOP('',(#307126)); #57942=EDGE_LOOP('',(#307127,#307128,#307129,#307130)); #57943=EDGE_LOOP('',(#307131,#307132,#307133,#307134)); #57944=EDGE_LOOP('',(#307135,#307136,#307137,#307138)); #57945=EDGE_LOOP('',(#307139,#307140,#307141,#307142)); #57946=EDGE_LOOP('',(#307143,#307144,#307145,#307146)); #57947=EDGE_LOOP('',(#307147,#307148,#307149,#307150)); #57948=EDGE_LOOP('',(#307151,#307152,#307153,#307154)); #57949=EDGE_LOOP('',(#307155,#307156,#307157,#307158)); #57950=EDGE_LOOP('',(#307159,#307160,#307161,#307162)); #57951=EDGE_LOOP('',(#307163,#307164,#307165,#307166)); #57952=EDGE_LOOP('',(#307167,#307168,#307169,#307170)); #57953=EDGE_LOOP('',(#307171,#307172,#307173,#307174)); #57954=EDGE_LOOP('',(#307175,#307176,#307177,#307178)); #57955=EDGE_LOOP('',(#307179,#307180,#307181,#307182)); #57956=EDGE_LOOP('',(#307183,#307184,#307185,#307186)); #57957=EDGE_LOOP('',(#307187,#307188,#307189,#307190)); #57958=EDGE_LOOP('',(#307191,#307192,#307193,#307194)); #57959=EDGE_LOOP('',(#307195,#307196,#307197,#307198)); #57960=EDGE_LOOP('',(#307199,#307200,#307201,#307202)); #57961=EDGE_LOOP('',(#307203,#307204,#307205,#307206)); #57962=EDGE_LOOP('',(#307207,#307208,#307209,#307210)); #57963=EDGE_LOOP('',(#307211,#307212,#307213,#307214)); #57964=EDGE_LOOP('',(#307215,#307216,#307217,#307218)); #57965=EDGE_LOOP('',(#307219,#307220,#307221,#307222)); #57966=EDGE_LOOP('',(#307223,#307224,#307225,#307226)); #57967=EDGE_LOOP('',(#307227,#307228,#307229,#307230)); #57968=EDGE_LOOP('',(#307231,#307232,#307233,#307234)); #57969=EDGE_LOOP('',(#307235,#307236,#307237,#307238)); #57970=EDGE_LOOP('',(#307239,#307240,#307241,#307242)); #57971=EDGE_LOOP('',(#307243,#307244,#307245,#307246)); #57972=EDGE_LOOP('',(#307247,#307248,#307249,#307250)); #57973=EDGE_LOOP('',(#307251,#307252,#307253,#307254)); #57974=EDGE_LOOP('',(#307255,#307256,#307257,#307258)); #57975=EDGE_LOOP('',(#307259,#307260,#307261,#307262)); #57976=EDGE_LOOP('',(#307263,#307264,#307265,#307266)); #57977=EDGE_LOOP('',(#307267,#307268,#307269,#307270)); #57978=EDGE_LOOP('',(#307271,#307272,#307273,#307274)); #57979=EDGE_LOOP('',(#307275,#307276,#307277,#307278)); #57980=EDGE_LOOP('',(#307279,#307280,#307281,#307282)); #57981=EDGE_LOOP('',(#307283,#307284,#307285,#307286)); #57982=EDGE_LOOP('',(#307287,#307288,#307289,#307290)); #57983=EDGE_LOOP('',(#307291,#307292,#307293,#307294)); #57984=EDGE_LOOP('',(#307295,#307296,#307297,#307298)); #57985=EDGE_LOOP('',(#307299,#307300,#307301,#307302)); #57986=EDGE_LOOP('',(#307303,#307304,#307305,#307306)); #57987=EDGE_LOOP('',(#307307,#307308,#307309,#307310)); #57988=EDGE_LOOP('',(#307311,#307312,#307313,#307314)); #57989=EDGE_LOOP('',(#307315,#307316,#307317,#307318)); #57990=EDGE_LOOP('',(#307319,#307320,#307321,#307322)); #57991=EDGE_LOOP('',(#307323,#307324,#307325,#307326)); #57992=EDGE_LOOP('',(#307327,#307328,#307329,#307330)); #57993=EDGE_LOOP('',(#307331,#307332,#307333,#307334)); #57994=EDGE_LOOP('',(#307335,#307336,#307337,#307338)); #57995=EDGE_LOOP('',(#307339,#307340,#307341,#307342)); #57996=EDGE_LOOP('',(#307343,#307344,#307345,#307346)); #57997=EDGE_LOOP('',(#307347,#307348,#307349,#307350)); #57998=EDGE_LOOP('',(#307351,#307352,#307353,#307354)); #57999=EDGE_LOOP('',(#307355,#307356,#307357,#307358)); #58000=EDGE_LOOP('',(#307359,#307360,#307361,#307362)); #58001=EDGE_LOOP('',(#307363,#307364,#307365,#307366)); #58002=EDGE_LOOP('',(#307367,#307368,#307369,#307370)); #58003=EDGE_LOOP('',(#307371,#307372,#307373,#307374)); #58004=EDGE_LOOP('',(#307375,#307376,#307377,#307378)); #58005=EDGE_LOOP('',(#307379,#307380,#307381,#307382)); #58006=EDGE_LOOP('',(#307383,#307384,#307385,#307386)); #58007=EDGE_LOOP('',(#307387,#307388,#307389,#307390)); #58008=EDGE_LOOP('',(#307391,#307392,#307393,#307394)); #58009=EDGE_LOOP('',(#307395,#307396,#307397,#307398)); #58010=EDGE_LOOP('',(#307399,#307400,#307401,#307402)); #58011=EDGE_LOOP('',(#307403,#307404,#307405,#307406)); #58012=EDGE_LOOP('',(#307407,#307408,#307409,#307410)); #58013=EDGE_LOOP('',(#307411,#307412,#307413,#307414)); #58014=EDGE_LOOP('',(#307415,#307416,#307417,#307418)); #58015=EDGE_LOOP('',(#307419,#307420,#307421,#307422)); #58016=EDGE_LOOP('',(#307423,#307424,#307425,#307426)); #58017=EDGE_LOOP('',(#307427,#307428,#307429,#307430)); #58018=EDGE_LOOP('',(#307431,#307432,#307433,#307434)); #58019=EDGE_LOOP('',(#307435,#307436,#307437,#307438)); #58020=EDGE_LOOP('',(#307439,#307440,#307441,#307442)); #58021=EDGE_LOOP('',(#307443,#307444,#307445,#307446)); #58022=EDGE_LOOP('',(#307447,#307448,#307449,#307450)); #58023=EDGE_LOOP('',(#307451,#307452,#307453,#307454)); #58024=EDGE_LOOP('',(#307455,#307456,#307457,#307458)); #58025=EDGE_LOOP('',(#307459,#307460,#307461,#307462)); #58026=EDGE_LOOP('',(#307463,#307464,#307465,#307466)); #58027=EDGE_LOOP('',(#307467,#307468,#307469,#307470)); #58028=EDGE_LOOP('',(#307471,#307472,#307473,#307474)); #58029=EDGE_LOOP('',(#307475,#307476,#307477,#307478)); #58030=EDGE_LOOP('',(#307479,#307480,#307481,#307482)); #58031=EDGE_LOOP('',(#307483,#307484,#307485,#307486)); #58032=EDGE_LOOP('',(#307487,#307488,#307489,#307490)); #58033=EDGE_LOOP('',(#307491,#307492,#307493,#307494)); #58034=EDGE_LOOP('',(#307495,#307496,#307497,#307498)); #58035=EDGE_LOOP('',(#307499,#307500,#307501,#307502)); #58036=EDGE_LOOP('',(#307503,#307504,#307505,#307506)); #58037=EDGE_LOOP('',(#307507,#307508,#307509,#307510)); #58038=EDGE_LOOP('',(#307511,#307512,#307513,#307514)); #58039=EDGE_LOOP('',(#307515,#307516,#307517,#307518)); #58040=EDGE_LOOP('',(#307519,#307520,#307521,#307522)); #58041=EDGE_LOOP('',(#307523,#307524,#307525,#307526)); #58042=EDGE_LOOP('',(#307527,#307528,#307529,#307530)); #58043=EDGE_LOOP('',(#307531,#307532,#307533,#307534)); #58044=EDGE_LOOP('',(#307535,#307536,#307537,#307538)); #58045=EDGE_LOOP('',(#307539,#307540,#307541,#307542)); #58046=EDGE_LOOP('',(#307543,#307544,#307545,#307546)); #58047=EDGE_LOOP('',(#307547,#307548,#307549,#307550)); #58048=EDGE_LOOP('',(#307551,#307552,#307553,#307554)); #58049=EDGE_LOOP('',(#307555,#307556,#307557,#307558)); #58050=EDGE_LOOP('',(#307559,#307560,#307561,#307562)); #58051=EDGE_LOOP('',(#307563,#307564,#307565,#307566)); #58052=EDGE_LOOP('',(#307567,#307568,#307569,#307570)); #58053=EDGE_LOOP('',(#307571,#307572,#307573,#307574)); #58054=EDGE_LOOP('',(#307575,#307576,#307577,#307578)); #58055=EDGE_LOOP('',(#307579,#307580,#307581,#307582)); #58056=EDGE_LOOP('',(#307583,#307584,#307585,#307586)); #58057=EDGE_LOOP('',(#307587,#307588,#307589,#307590)); #58058=EDGE_LOOP('',(#307591,#307592,#307593,#307594)); #58059=EDGE_LOOP('',(#307595,#307596,#307597,#307598)); #58060=EDGE_LOOP('',(#307599,#307600,#307601,#307602)); #58061=EDGE_LOOP('',(#307603,#307604,#307605,#307606)); #58062=EDGE_LOOP('',(#307607,#307608,#307609,#307610)); #58063=EDGE_LOOP('',(#307611,#307612,#307613,#307614)); #58064=EDGE_LOOP('',(#307615,#307616,#307617,#307618)); #58065=EDGE_LOOP('',(#307619,#307620,#307621,#307622)); #58066=EDGE_LOOP('',(#307623,#307624,#307625,#307626)); #58067=EDGE_LOOP('',(#307627,#307628,#307629,#307630)); #58068=EDGE_LOOP('',(#307631,#307632,#307633,#307634)); #58069=EDGE_LOOP('',(#307635,#307636,#307637,#307638)); #58070=EDGE_LOOP('',(#307639,#307640,#307641,#307642)); #58071=EDGE_LOOP('',(#307643,#307644,#307645,#307646)); #58072=EDGE_LOOP('',(#307647,#307648,#307649,#307650)); #58073=EDGE_LOOP('',(#307651,#307652,#307653,#307654)); #58074=EDGE_LOOP('',(#307655,#307656,#307657,#307658)); #58075=EDGE_LOOP('',(#307659,#307660,#307661,#307662)); #58076=EDGE_LOOP('',(#307663,#307664,#307665,#307666)); #58077=EDGE_LOOP('',(#307667,#307668,#307669,#307670)); #58078=EDGE_LOOP('',(#307671,#307672,#307673,#307674)); #58079=EDGE_LOOP('',(#307675,#307676,#307677,#307678)); #58080=EDGE_LOOP('',(#307679,#307680,#307681,#307682)); #58081=EDGE_LOOP('',(#307683,#307684,#307685,#307686)); #58082=EDGE_LOOP('',(#307687,#307688,#307689,#307690)); #58083=EDGE_LOOP('',(#307691,#307692,#307693,#307694)); #58084=EDGE_LOOP('',(#307695,#307696,#307697,#307698)); #58085=EDGE_LOOP('',(#307699,#307700,#307701,#307702)); #58086=EDGE_LOOP('',(#307703,#307704,#307705,#307706)); #58087=EDGE_LOOP('',(#307707,#307708,#307709,#307710)); #58088=EDGE_LOOP('',(#307711,#307712,#307713,#307714)); #58089=EDGE_LOOP('',(#307715,#307716,#307717,#307718)); #58090=EDGE_LOOP('',(#307719,#307720,#307721,#307722)); #58091=EDGE_LOOP('',(#307723,#307724,#307725,#307726)); #58092=EDGE_LOOP('',(#307727,#307728,#307729,#307730)); #58093=EDGE_LOOP('',(#307731,#307732,#307733,#307734)); #58094=EDGE_LOOP('',(#307735,#307736,#307737,#307738)); #58095=EDGE_LOOP('',(#307739,#307740,#307741,#307742)); #58096=EDGE_LOOP('',(#307743,#307744,#307745,#307746)); #58097=EDGE_LOOP('',(#307747,#307748,#307749,#307750)); #58098=EDGE_LOOP('',(#307751,#307752,#307753,#307754)); #58099=EDGE_LOOP('',(#307755,#307756,#307757,#307758)); #58100=EDGE_LOOP('',(#307759,#307760,#307761,#307762)); #58101=EDGE_LOOP('',(#307763,#307764,#307765,#307766)); #58102=EDGE_LOOP('',(#307767,#307768,#307769,#307770)); #58103=EDGE_LOOP('',(#307771,#307772,#307773,#307774)); #58104=EDGE_LOOP('',(#307775,#307776,#307777,#307778)); #58105=EDGE_LOOP('',(#307779,#307780,#307781,#307782)); #58106=EDGE_LOOP('',(#307783,#307784,#307785,#307786)); #58107=EDGE_LOOP('',(#307787,#307788,#307789,#307790)); #58108=EDGE_LOOP('',(#307791,#307792,#307793,#307794)); #58109=EDGE_LOOP('',(#307795,#307796,#307797,#307798)); #58110=EDGE_LOOP('',(#307799,#307800,#307801,#307802)); #58111=EDGE_LOOP('',(#307803,#307804,#307805,#307806)); #58112=EDGE_LOOP('',(#307807,#307808,#307809,#307810)); #58113=EDGE_LOOP('',(#307811,#307812,#307813,#307814)); #58114=EDGE_LOOP('',(#307815,#307816,#307817,#307818)); #58115=EDGE_LOOP('',(#307819,#307820,#307821,#307822)); #58116=EDGE_LOOP('',(#307823,#307824,#307825,#307826)); #58117=EDGE_LOOP('',(#307827,#307828,#307829,#307830)); #58118=EDGE_LOOP('',(#307831,#307832,#307833,#307834)); #58119=EDGE_LOOP('',(#307835,#307836,#307837,#307838)); #58120=EDGE_LOOP('',(#307839,#307840,#307841,#307842)); #58121=EDGE_LOOP('',(#307843,#307844,#307845,#307846)); #58122=EDGE_LOOP('',(#307847,#307848,#307849,#307850)); #58123=EDGE_LOOP('',(#307851,#307852,#307853,#307854)); #58124=EDGE_LOOP('',(#307855,#307856,#307857,#307858)); #58125=EDGE_LOOP('',(#307859,#307860,#307861,#307862)); #58126=EDGE_LOOP('',(#307863,#307864,#307865,#307866)); #58127=EDGE_LOOP('',(#307867,#307868,#307869,#307870)); #58128=EDGE_LOOP('',(#307871,#307872,#307873,#307874)); #58129=EDGE_LOOP('',(#307875,#307876,#307877,#307878)); #58130=EDGE_LOOP('',(#307879,#307880,#307881,#307882)); #58131=EDGE_LOOP('',(#307883,#307884,#307885,#307886)); #58132=EDGE_LOOP('',(#307887,#307888,#307889,#307890)); #58133=EDGE_LOOP('',(#307891,#307892,#307893,#307894)); #58134=EDGE_LOOP('',(#307895,#307896,#307897,#307898)); #58135=EDGE_LOOP('',(#307899,#307900,#307901,#307902)); #58136=EDGE_LOOP('',(#307903,#307904,#307905,#307906)); #58137=EDGE_LOOP('',(#307907,#307908,#307909,#307910)); #58138=EDGE_LOOP('',(#307911,#307912,#307913,#307914)); #58139=EDGE_LOOP('',(#307915,#307916,#307917,#307918)); #58140=EDGE_LOOP('',(#307919,#307920,#307921,#307922)); #58141=EDGE_LOOP('',(#307923,#307924,#307925,#307926)); #58142=EDGE_LOOP('',(#307927,#307928,#307929,#307930)); #58143=EDGE_LOOP('',(#307931,#307932,#307933,#307934)); #58144=EDGE_LOOP('',(#307935,#307936,#307937,#307938)); #58145=EDGE_LOOP('',(#307939,#307940,#307941,#307942)); #58146=EDGE_LOOP('',(#307943,#307944,#307945,#307946)); #58147=EDGE_LOOP('',(#307947,#307948,#307949,#307950)); #58148=EDGE_LOOP('',(#307951,#307952,#307953,#307954)); #58149=EDGE_LOOP('',(#307955,#307956,#307957,#307958)); #58150=EDGE_LOOP('',(#307959,#307960,#307961,#307962)); #58151=EDGE_LOOP('',(#307963,#307964,#307965,#307966)); #58152=EDGE_LOOP('',(#307967,#307968,#307969,#307970)); #58153=EDGE_LOOP('',(#307971,#307972,#307973,#307974)); #58154=EDGE_LOOP('',(#307975,#307976,#307977,#307978)); #58155=EDGE_LOOP('',(#307979,#307980,#307981,#307982)); #58156=EDGE_LOOP('',(#307983,#307984,#307985,#307986)); #58157=EDGE_LOOP('',(#307987,#307988,#307989,#307990)); #58158=EDGE_LOOP('',(#307991,#307992,#307993,#307994)); #58159=EDGE_LOOP('',(#307995,#307996,#307997,#307998)); #58160=EDGE_LOOP('',(#307999,#308000,#308001,#308002)); #58161=EDGE_LOOP('',(#308003,#308004,#308005,#308006)); #58162=EDGE_LOOP('',(#308007,#308008,#308009,#308010)); #58163=EDGE_LOOP('',(#308011,#308012,#308013,#308014)); #58164=EDGE_LOOP('',(#308015,#308016,#308017,#308018)); #58165=EDGE_LOOP('',(#308019,#308020,#308021,#308022)); #58166=EDGE_LOOP('',(#308023,#308024,#308025,#308026)); #58167=EDGE_LOOP('',(#308027,#308028,#308029,#308030)); #58168=EDGE_LOOP('',(#308031,#308032,#308033,#308034)); #58169=EDGE_LOOP('',(#308035,#308036,#308037,#308038)); #58170=EDGE_LOOP('',(#308039,#308040,#308041,#308042)); #58171=EDGE_LOOP('',(#308043,#308044,#308045,#308046)); #58172=EDGE_LOOP('',(#308047,#308048,#308049,#308050)); #58173=EDGE_LOOP('',(#308051,#308052,#308053,#308054)); #58174=EDGE_LOOP('',(#308055,#308056,#308057,#308058)); #58175=EDGE_LOOP('',(#308059,#308060,#308061,#308062)); #58176=EDGE_LOOP('',(#308063,#308064,#308065,#308066)); #58177=EDGE_LOOP('',(#308067,#308068,#308069,#308070)); #58178=EDGE_LOOP('',(#308071,#308072,#308073,#308074)); #58179=EDGE_LOOP('',(#308075,#308076,#308077,#308078)); #58180=EDGE_LOOP('',(#308079,#308080,#308081,#308082)); #58181=EDGE_LOOP('',(#308083,#308084,#308085,#308086)); #58182=EDGE_LOOP('',(#308087,#308088,#308089,#308090)); #58183=EDGE_LOOP('',(#308091,#308092,#308093,#308094)); #58184=EDGE_LOOP('',(#308095,#308096,#308097,#308098)); #58185=EDGE_LOOP('',(#308099,#308100,#308101,#308102)); #58186=EDGE_LOOP('',(#308103,#308104,#308105,#308106)); #58187=EDGE_LOOP('',(#308107,#308108,#308109,#308110)); #58188=EDGE_LOOP('',(#308111,#308112,#308113,#308114)); #58189=EDGE_LOOP('',(#308115,#308116,#308117,#308118)); #58190=EDGE_LOOP('',(#308119,#308120,#308121,#308122)); #58191=EDGE_LOOP('',(#308123,#308124,#308125,#308126)); #58192=EDGE_LOOP('',(#308127,#308128,#308129,#308130)); #58193=EDGE_LOOP('',(#308131,#308132,#308133,#308134)); #58194=EDGE_LOOP('',(#308135,#308136,#308137,#308138)); #58195=EDGE_LOOP('',(#308139,#308140,#308141,#308142)); #58196=EDGE_LOOP('',(#308143,#308144,#308145,#308146)); #58197=EDGE_LOOP('',(#308147,#308148,#308149,#308150)); #58198=EDGE_LOOP('',(#308151,#308152,#308153,#308154)); #58199=EDGE_LOOP('',(#308155,#308156,#308157,#308158)); #58200=EDGE_LOOP('',(#308159,#308160,#308161,#308162)); #58201=EDGE_LOOP('',(#308163,#308164,#308165,#308166)); #58202=EDGE_LOOP('',(#308167,#308168,#308169,#308170)); #58203=EDGE_LOOP('',(#308171,#308172,#308173,#308174)); #58204=EDGE_LOOP('',(#308175,#308176,#308177,#308178)); #58205=EDGE_LOOP('',(#308179,#308180,#308181,#308182)); #58206=EDGE_LOOP('',(#308183,#308184,#308185,#308186)); #58207=EDGE_LOOP('',(#308187,#308188,#308189,#308190)); #58208=EDGE_LOOP('',(#308191,#308192,#308193,#308194)); #58209=EDGE_LOOP('',(#308195,#308196,#308197,#308198)); #58210=EDGE_LOOP('',(#308199,#308200,#308201,#308202)); #58211=EDGE_LOOP('',(#308203,#308204,#308205,#308206)); #58212=EDGE_LOOP('',(#308207,#308208,#308209,#308210)); #58213=EDGE_LOOP('',(#308211,#308212,#308213,#308214)); #58214=EDGE_LOOP('',(#308215,#308216,#308217,#308218)); #58215=EDGE_LOOP('',(#308219,#308220,#308221,#308222)); #58216=EDGE_LOOP('',(#308223,#308224,#308225,#308226)); #58217=EDGE_LOOP('',(#308227,#308228,#308229,#308230)); #58218=EDGE_LOOP('',(#308231,#308232,#308233,#308234)); #58219=EDGE_LOOP('',(#308235,#308236,#308237,#308238)); #58220=EDGE_LOOP('',(#308239,#308240,#308241,#308242)); #58221=EDGE_LOOP('',(#308243,#308244,#308245,#308246)); #58222=EDGE_LOOP('',(#308247,#308248,#308249,#308250)); #58223=EDGE_LOOP('',(#308251,#308252,#308253,#308254)); #58224=EDGE_LOOP('',(#308255,#308256,#308257,#308258)); #58225=EDGE_LOOP('',(#308259,#308260,#308261,#308262)); #58226=EDGE_LOOP('',(#308263,#308264,#308265,#308266)); #58227=EDGE_LOOP('',(#308267,#308268,#308269,#308270)); #58228=EDGE_LOOP('',(#308271,#308272,#308273,#308274)); #58229=EDGE_LOOP('',(#308275,#308276,#308277,#308278)); #58230=EDGE_LOOP('',(#308279,#308280,#308281,#308282)); #58231=EDGE_LOOP('',(#308283,#308284,#308285,#308286)); #58232=EDGE_LOOP('',(#308287,#308288,#308289,#308290)); #58233=EDGE_LOOP('',(#308291,#308292,#308293,#308294)); #58234=EDGE_LOOP('',(#308295,#308296,#308297,#308298)); #58235=EDGE_LOOP('',(#308299,#308300,#308301,#308302)); #58236=EDGE_LOOP('',(#308303,#308304,#308305,#308306)); #58237=EDGE_LOOP('',(#308307,#308308,#308309,#308310)); #58238=EDGE_LOOP('',(#308311,#308312,#308313,#308314)); #58239=EDGE_LOOP('',(#308315,#308316,#308317,#308318)); #58240=EDGE_LOOP('',(#308319,#308320,#308321,#308322)); #58241=EDGE_LOOP('',(#308323,#308324,#308325,#308326)); #58242=EDGE_LOOP('',(#308327,#308328,#308329,#308330)); #58243=EDGE_LOOP('',(#308331,#308332,#308333,#308334)); #58244=EDGE_LOOP('',(#308335,#308336,#308337,#308338)); #58245=EDGE_LOOP('',(#308339,#308340,#308341,#308342)); #58246=EDGE_LOOP('',(#308343,#308344,#308345,#308346)); #58247=EDGE_LOOP('',(#308347,#308348,#308349,#308350)); #58248=EDGE_LOOP('',(#308351,#308352,#308353,#308354)); #58249=EDGE_LOOP('',(#308355,#308356,#308357,#308358)); #58250=EDGE_LOOP('',(#308359,#308360,#308361,#308362)); #58251=EDGE_LOOP('',(#308363,#308364,#308365,#308366)); #58252=EDGE_LOOP('',(#308367,#308368,#308369,#308370)); #58253=EDGE_LOOP('',(#308371,#308372,#308373,#308374)); #58254=EDGE_LOOP('',(#308375,#308376,#308377,#308378)); #58255=EDGE_LOOP('',(#308379,#308380,#308381,#308382)); #58256=EDGE_LOOP('',(#308383,#308384,#308385,#308386)); #58257=EDGE_LOOP('',(#308387,#308388,#308389,#308390)); #58258=EDGE_LOOP('',(#308391,#308392,#308393,#308394)); #58259=EDGE_LOOP('',(#308395,#308396,#308397,#308398)); #58260=EDGE_LOOP('',(#308399,#308400,#308401,#308402)); #58261=EDGE_LOOP('',(#308403,#308404,#308405,#308406)); #58262=EDGE_LOOP('',(#308407,#308408,#308409,#308410)); #58263=EDGE_LOOP('',(#308411,#308412,#308413,#308414)); #58264=EDGE_LOOP('',(#308415,#308416,#308417,#308418)); #58265=EDGE_LOOP('',(#308419,#308420,#308421,#308422)); #58266=EDGE_LOOP('',(#308423,#308424,#308425,#308426)); #58267=EDGE_LOOP('',(#308427,#308428,#308429,#308430)); #58268=EDGE_LOOP('',(#308431,#308432,#308433,#308434)); #58269=EDGE_LOOP('',(#308435,#308436,#308437,#308438)); #58270=EDGE_LOOP('',(#308439,#308440,#308441,#308442)); #58271=EDGE_LOOP('',(#308443,#308444,#308445,#308446)); #58272=EDGE_LOOP('',(#308447,#308448,#308449,#308450)); #58273=EDGE_LOOP('',(#308451,#308452,#308453,#308454)); #58274=EDGE_LOOP('',(#308455,#308456,#308457,#308458)); #58275=EDGE_LOOP('',(#308459,#308460,#308461,#308462)); #58276=EDGE_LOOP('',(#308463,#308464,#308465,#308466)); #58277=EDGE_LOOP('',(#308467,#308468,#308469,#308470)); #58278=EDGE_LOOP('',(#308471)); #58279=EDGE_LOOP('',(#308472)); #58280=EDGE_LOOP('',(#308473)); #58281=EDGE_LOOP('',(#308474)); #58282=EDGE_LOOP('',(#308475)); #58283=EDGE_LOOP('',(#308476)); #58284=EDGE_LOOP('',(#308477)); #58285=EDGE_LOOP('',(#308478)); #58286=EDGE_LOOP('',(#308479)); #58287=EDGE_LOOP('',(#308480)); #58288=EDGE_LOOP('',(#308481)); #58289=EDGE_LOOP('',(#308482)); #58290=EDGE_LOOP('',(#308483)); #58291=EDGE_LOOP('',(#308484)); #58292=EDGE_LOOP('',(#308485)); #58293=EDGE_LOOP('',(#308486)); #58294=EDGE_LOOP('',(#308487)); #58295=EDGE_LOOP('',(#308488)); #58296=EDGE_LOOP('',(#308489)); #58297=EDGE_LOOP('',(#308490)); #58298=EDGE_LOOP('',(#308491)); #58299=EDGE_LOOP('',(#308492)); #58300=EDGE_LOOP('',(#308493)); #58301=EDGE_LOOP('',(#308494)); #58302=EDGE_LOOP('',(#308495)); #58303=EDGE_LOOP('',(#308496)); #58304=EDGE_LOOP('',(#308497)); #58305=EDGE_LOOP('',(#308498)); #58306=EDGE_LOOP('',(#308499)); #58307=EDGE_LOOP('',(#308500)); #58308=EDGE_LOOP('',(#308501)); #58309=EDGE_LOOP('',(#308502)); #58310=EDGE_LOOP('',(#308503)); #58311=EDGE_LOOP('',(#308504)); #58312=EDGE_LOOP('',(#308505)); #58313=EDGE_LOOP('',(#308506)); #58314=EDGE_LOOP('',(#308507)); #58315=EDGE_LOOP('',(#308508)); #58316=EDGE_LOOP('',(#308509)); #58317=EDGE_LOOP('',(#308510)); #58318=EDGE_LOOP('',(#308511)); #58319=EDGE_LOOP('',(#308512)); #58320=EDGE_LOOP('',(#308513)); #58321=EDGE_LOOP('',(#308514)); #58322=EDGE_LOOP('',(#308515)); #58323=EDGE_LOOP('',(#308516)); #58324=EDGE_LOOP('',(#308517)); #58325=EDGE_LOOP('',(#308518)); #58326=EDGE_LOOP('',(#308519)); #58327=EDGE_LOOP('',(#308520)); #58328=EDGE_LOOP('',(#308521)); #58329=EDGE_LOOP('',(#308522)); #58330=EDGE_LOOP('',(#308523)); #58331=EDGE_LOOP('',(#308524)); #58332=EDGE_LOOP('',(#308525)); #58333=EDGE_LOOP('',(#308526)); #58334=EDGE_LOOP('',(#308527)); #58335=EDGE_LOOP('',(#308528)); #58336=EDGE_LOOP('',(#308529)); #58337=EDGE_LOOP('',(#308530)); #58338=EDGE_LOOP('',(#308531)); #58339=EDGE_LOOP('',(#308532)); #58340=EDGE_LOOP('',(#308533)); #58341=EDGE_LOOP('',(#308534)); #58342=EDGE_LOOP('',(#308535)); #58343=EDGE_LOOP('',(#308536)); #58344=EDGE_LOOP('',(#308537)); #58345=EDGE_LOOP('',(#308538)); #58346=EDGE_LOOP('',(#308539)); #58347=EDGE_LOOP('',(#308540)); #58348=EDGE_LOOP('',(#308541)); #58349=EDGE_LOOP('',(#308542)); #58350=EDGE_LOOP('',(#308543)); #58351=EDGE_LOOP('',(#308544)); #58352=EDGE_LOOP('',(#308545)); #58353=EDGE_LOOP('',(#308546)); #58354=EDGE_LOOP('',(#308547)); #58355=EDGE_LOOP('',(#308548)); #58356=EDGE_LOOP('',(#308549)); #58357=EDGE_LOOP('',(#308550)); #58358=EDGE_LOOP('',(#308551)); #58359=EDGE_LOOP('',(#308552)); #58360=EDGE_LOOP('',(#308553)); #58361=EDGE_LOOP('',(#308554)); #58362=EDGE_LOOP('',(#308555)); #58363=EDGE_LOOP('',(#308556)); #58364=EDGE_LOOP('',(#308557)); #58365=EDGE_LOOP('',(#308558)); #58366=EDGE_LOOP('',(#308559)); #58367=EDGE_LOOP('',(#308560)); #58368=EDGE_LOOP('',(#308561)); #58369=EDGE_LOOP('',(#308562)); #58370=EDGE_LOOP('',(#308563)); #58371=EDGE_LOOP('',(#308564)); #58372=EDGE_LOOP('',(#308565)); #58373=EDGE_LOOP('',(#308566)); #58374=EDGE_LOOP('',(#308567)); #58375=EDGE_LOOP('',(#308568)); #58376=EDGE_LOOP('',(#308569)); #58377=EDGE_LOOP('',(#308570)); #58378=EDGE_LOOP('',(#308571)); #58379=EDGE_LOOP('',(#308572)); #58380=EDGE_LOOP('',(#308573)); #58381=EDGE_LOOP('',(#308574)); #58382=EDGE_LOOP('',(#308575)); #58383=EDGE_LOOP('',(#308576)); #58384=EDGE_LOOP('',(#308577)); #58385=EDGE_LOOP('',(#308578)); #58386=EDGE_LOOP('',(#308579)); #58387=EDGE_LOOP('',(#308580)); #58388=EDGE_LOOP('',(#308581)); #58389=EDGE_LOOP('',(#308582)); #58390=EDGE_LOOP('',(#308583)); #58391=EDGE_LOOP('',(#308584)); #58392=EDGE_LOOP('',(#308585)); #58393=EDGE_LOOP('',(#308586)); #58394=EDGE_LOOP('',(#308587)); #58395=EDGE_LOOP('',(#308588)); #58396=EDGE_LOOP('',(#308589)); #58397=EDGE_LOOP('',(#308590)); #58398=EDGE_LOOP('',(#308591)); #58399=EDGE_LOOP('',(#308592)); #58400=EDGE_LOOP('',(#308593)); #58401=EDGE_LOOP('',(#308594)); #58402=EDGE_LOOP('',(#308595)); #58403=EDGE_LOOP('',(#308596)); #58404=EDGE_LOOP('',(#308597)); #58405=EDGE_LOOP('',(#308598)); #58406=EDGE_LOOP('',(#308599)); #58407=EDGE_LOOP('',(#308600)); #58408=EDGE_LOOP('',(#308601)); #58409=EDGE_LOOP('',(#308602)); #58410=EDGE_LOOP('',(#308603)); #58411=EDGE_LOOP('',(#308604)); #58412=EDGE_LOOP('',(#308605)); #58413=EDGE_LOOP('',(#308606)); #58414=EDGE_LOOP('',(#308607)); #58415=EDGE_LOOP('',(#308608)); #58416=EDGE_LOOP('',(#308609)); #58417=EDGE_LOOP('',(#308610)); #58418=EDGE_LOOP('',(#308611)); #58419=EDGE_LOOP('',(#308612)); #58420=EDGE_LOOP('',(#308613)); #58421=EDGE_LOOP('',(#308614)); #58422=EDGE_LOOP('',(#308615)); #58423=EDGE_LOOP('',(#308616)); #58424=EDGE_LOOP('',(#308617)); #58425=EDGE_LOOP('',(#308618)); #58426=EDGE_LOOP('',(#308619)); #58427=EDGE_LOOP('',(#308620)); #58428=EDGE_LOOP('',(#308621)); #58429=EDGE_LOOP('',(#308622)); #58430=EDGE_LOOP('',(#308623)); #58431=EDGE_LOOP('',(#308624)); #58432=EDGE_LOOP('',(#308625)); #58433=EDGE_LOOP('',(#308626)); #58434=EDGE_LOOP('',(#308627)); #58435=EDGE_LOOP('',(#308628)); #58436=EDGE_LOOP('',(#308629)); #58437=EDGE_LOOP('',(#308630)); #58438=EDGE_LOOP('',(#308631)); #58439=EDGE_LOOP('',(#308632)); #58440=EDGE_LOOP('',(#308633)); #58441=EDGE_LOOP('',(#308634)); #58442=EDGE_LOOP('',(#308635,#308636,#308637,#308638)); #58443=EDGE_LOOP('',(#308639)); #58444=EDGE_LOOP('',(#308640,#308641,#308642,#308643)); #58445=EDGE_LOOP('',(#308644)); #58446=EDGE_LOOP('',(#308645)); #58447=EDGE_LOOP('',(#308646)); #58448=EDGE_LOOP('',(#308647,#308648,#308649,#308650)); #58449=EDGE_LOOP('',(#308651)); #58450=EDGE_LOOP('',(#308652)); #58451=EDGE_LOOP('',(#308653)); #58452=EDGE_LOOP('',(#308654)); #58453=EDGE_LOOP('',(#308655)); #58454=EDGE_LOOP('',(#308656)); #58455=EDGE_LOOP('',(#308657)); #58456=EDGE_LOOP('',(#308658)); #58457=EDGE_LOOP('',(#308659)); #58458=EDGE_LOOP('',(#308660)); #58459=EDGE_LOOP('',(#308661,#308662,#308663,#308664)); #58460=EDGE_LOOP('',(#308665)); #58461=EDGE_LOOP('',(#308666,#308667,#308668,#308669)); #58462=EDGE_LOOP('',(#308670)); #58463=EDGE_LOOP('',(#308671,#308672,#308673,#308674)); #58464=EDGE_LOOP('',(#308675)); #58465=EDGE_LOOP('',(#308676)); #58466=EDGE_LOOP('',(#308677)); #58467=EDGE_LOOP('',(#308678)); #58468=EDGE_LOOP('',(#308679)); #58469=EDGE_LOOP('',(#308680)); #58470=EDGE_LOOP('',(#308681)); #58471=EDGE_LOOP('',(#308682)); #58472=EDGE_LOOP('',(#308683)); #58473=EDGE_LOOP('',(#308684)); #58474=EDGE_LOOP('',(#308685)); #58475=EDGE_LOOP('',(#308686)); #58476=EDGE_LOOP('',(#308687)); #58477=EDGE_LOOP('',(#308688)); #58478=EDGE_LOOP('',(#308689)); #58479=EDGE_LOOP('',(#308690)); #58480=EDGE_LOOP('',(#308691)); #58481=EDGE_LOOP('',(#308692)); #58482=EDGE_LOOP('',(#308693)); #58483=EDGE_LOOP('',(#308694)); #58484=EDGE_LOOP('',(#308695)); #58485=EDGE_LOOP('',(#308696)); #58486=EDGE_LOOP('',(#308697)); #58487=EDGE_LOOP('',(#308698)); #58488=EDGE_LOOP('',(#308699)); #58489=EDGE_LOOP('',(#308700)); #58490=EDGE_LOOP('',(#308701)); #58491=EDGE_LOOP('',(#308702)); #58492=EDGE_LOOP('',(#308703)); #58493=EDGE_LOOP('',(#308704)); #58494=EDGE_LOOP('',(#308705)); #58495=EDGE_LOOP('',(#308706)); #58496=EDGE_LOOP('',(#308707)); #58497=EDGE_LOOP('',(#308708)); #58498=EDGE_LOOP('',(#308709)); #58499=EDGE_LOOP('',(#308710)); #58500=EDGE_LOOP('',(#308711)); #58501=EDGE_LOOP('',(#308712)); #58502=EDGE_LOOP('',(#308713)); #58503=EDGE_LOOP('',(#308714)); #58504=EDGE_LOOP('',(#308715)); #58505=EDGE_LOOP('',(#308716)); #58506=EDGE_LOOP('',(#308717)); #58507=EDGE_LOOP('',(#308718)); #58508=EDGE_LOOP('',(#308719)); #58509=EDGE_LOOP('',(#308720)); #58510=EDGE_LOOP('',(#308721)); #58511=EDGE_LOOP('',(#308722)); #58512=EDGE_LOOP('',(#308723)); #58513=EDGE_LOOP('',(#308724)); #58514=EDGE_LOOP('',(#308725)); #58515=EDGE_LOOP('',(#308726)); #58516=EDGE_LOOP('',(#308727)); #58517=EDGE_LOOP('',(#308728)); #58518=EDGE_LOOP('',(#308729)); #58519=EDGE_LOOP('',(#308730)); #58520=EDGE_LOOP('',(#308731)); #58521=EDGE_LOOP('',(#308732)); #58522=EDGE_LOOP('',(#308733)); #58523=EDGE_LOOP('',(#308734)); #58524=EDGE_LOOP('',(#308735)); #58525=EDGE_LOOP('',(#308736)); #58526=EDGE_LOOP('',(#308737)); #58527=EDGE_LOOP('',(#308738)); #58528=EDGE_LOOP('',(#308739)); #58529=EDGE_LOOP('',(#308740)); #58530=EDGE_LOOP('',(#308741)); #58531=EDGE_LOOP('',(#308742)); #58532=EDGE_LOOP('',(#308743)); #58533=EDGE_LOOP('',(#308744)); #58534=EDGE_LOOP('',(#308745)); #58535=EDGE_LOOP('',(#308746)); #58536=EDGE_LOOP('',(#308747)); #58537=EDGE_LOOP('',(#308748)); #58538=EDGE_LOOP('',(#308749)); #58539=EDGE_LOOP('',(#308750)); #58540=EDGE_LOOP('',(#308751)); #58541=EDGE_LOOP('',(#308752)); #58542=EDGE_LOOP('',(#308753)); #58543=EDGE_LOOP('',(#308754)); #58544=EDGE_LOOP('',(#308755)); #58545=EDGE_LOOP('',(#308756)); #58546=EDGE_LOOP('',(#308757)); #58547=EDGE_LOOP('',(#308758)); #58548=EDGE_LOOP('',(#308759)); #58549=EDGE_LOOP('',(#308760)); #58550=EDGE_LOOP('',(#308761)); #58551=EDGE_LOOP('',(#308762)); #58552=EDGE_LOOP('',(#308763)); #58553=EDGE_LOOP('',(#308764)); #58554=EDGE_LOOP('',(#308765)); #58555=EDGE_LOOP('',(#308766)); #58556=EDGE_LOOP('',(#308767)); #58557=EDGE_LOOP('',(#308768)); #58558=EDGE_LOOP('',(#308769)); #58559=EDGE_LOOP('',(#308770)); #58560=EDGE_LOOP('',(#308771)); #58561=EDGE_LOOP('',(#308772)); #58562=EDGE_LOOP('',(#308773)); #58563=EDGE_LOOP('',(#308774)); #58564=EDGE_LOOP('',(#308775)); #58565=EDGE_LOOP('',(#308776)); #58566=EDGE_LOOP('',(#308777)); #58567=EDGE_LOOP('',(#308778)); #58568=EDGE_LOOP('',(#308779)); #58569=EDGE_LOOP('',(#308780)); #58570=EDGE_LOOP('',(#308781)); #58571=EDGE_LOOP('',(#308782)); #58572=EDGE_LOOP('',(#308783)); #58573=EDGE_LOOP('',(#308784)); #58574=EDGE_LOOP('',(#308785)); #58575=EDGE_LOOP('',(#308786)); #58576=EDGE_LOOP('',(#308787)); #58577=EDGE_LOOP('',(#308788)); #58578=EDGE_LOOP('',(#308789)); #58579=EDGE_LOOP('',(#308790)); #58580=EDGE_LOOP('',(#308791)); #58581=EDGE_LOOP('',(#308792)); #58582=EDGE_LOOP('',(#308793)); #58583=EDGE_LOOP('',(#308794)); #58584=EDGE_LOOP('',(#308795)); #58585=EDGE_LOOP('',(#308796)); #58586=EDGE_LOOP('',(#308797)); #58587=EDGE_LOOP('',(#308798)); #58588=EDGE_LOOP('',(#308799)); #58589=EDGE_LOOP('',(#308800)); #58590=EDGE_LOOP('',(#308801)); #58591=EDGE_LOOP('',(#308802)); #58592=EDGE_LOOP('',(#308803)); #58593=EDGE_LOOP('',(#308804)); #58594=EDGE_LOOP('',(#308805)); #58595=EDGE_LOOP('',(#308806)); #58596=EDGE_LOOP('',(#308807)); #58597=EDGE_LOOP('',(#308808)); #58598=EDGE_LOOP('',(#308809)); #58599=EDGE_LOOP('',(#308810)); #58600=EDGE_LOOP('',(#308811)); #58601=EDGE_LOOP('',(#308812)); #58602=EDGE_LOOP('',(#308813)); #58603=EDGE_LOOP('',(#308814)); #58604=EDGE_LOOP('',(#308815)); #58605=EDGE_LOOP('',(#308816)); #58606=EDGE_LOOP('',(#308817)); #58607=EDGE_LOOP('',(#308818)); #58608=EDGE_LOOP('',(#308819)); #58609=EDGE_LOOP('',(#308820)); #58610=EDGE_LOOP('',(#308821)); #58611=EDGE_LOOP('',(#308822)); #58612=EDGE_LOOP('',(#308823)); #58613=EDGE_LOOP('',(#308824)); #58614=EDGE_LOOP('',(#308825)); #58615=EDGE_LOOP('',(#308826)); #58616=EDGE_LOOP('',(#308827)); #58617=EDGE_LOOP('',(#308828)); #58618=EDGE_LOOP('',(#308829)); #58619=EDGE_LOOP('',(#308830)); #58620=EDGE_LOOP('',(#308831)); #58621=EDGE_LOOP('',(#308832)); #58622=EDGE_LOOP('',(#308833)); #58623=EDGE_LOOP('',(#308834)); #58624=EDGE_LOOP('',(#308835)); #58625=EDGE_LOOP('',(#308836)); #58626=EDGE_LOOP('',(#308837)); #58627=EDGE_LOOP('',(#308838)); #58628=EDGE_LOOP('',(#308839)); #58629=EDGE_LOOP('',(#308840)); #58630=EDGE_LOOP('',(#308841)); #58631=EDGE_LOOP('',(#308842)); #58632=EDGE_LOOP('',(#308843)); #58633=EDGE_LOOP('',(#308844)); #58634=EDGE_LOOP('',(#308845)); #58635=EDGE_LOOP('',(#308846)); #58636=EDGE_LOOP('',(#308847)); #58637=EDGE_LOOP('',(#308848)); #58638=EDGE_LOOP('',(#308849)); #58639=EDGE_LOOP('',(#308850)); #58640=EDGE_LOOP('',(#308851)); #58641=EDGE_LOOP('',(#308852)); #58642=EDGE_LOOP('',(#308853)); #58643=EDGE_LOOP('',(#308854)); #58644=EDGE_LOOP('',(#308855)); #58645=EDGE_LOOP('',(#308856)); #58646=EDGE_LOOP('',(#308857)); #58647=EDGE_LOOP('',(#308858)); #58648=EDGE_LOOP('',(#308859)); #58649=EDGE_LOOP('',(#308860)); #58650=EDGE_LOOP('',(#308861)); #58651=EDGE_LOOP('',(#308862)); #58652=EDGE_LOOP('',(#308863)); #58653=EDGE_LOOP('',(#308864)); #58654=EDGE_LOOP('',(#308865)); #58655=EDGE_LOOP('',(#308866)); #58656=EDGE_LOOP('',(#308867)); #58657=EDGE_LOOP('',(#308868)); #58658=EDGE_LOOP('',(#308869)); #58659=EDGE_LOOP('',(#308870)); #58660=EDGE_LOOP('',(#308871)); #58661=EDGE_LOOP('',(#308872)); #58662=EDGE_LOOP('',(#308873)); #58663=EDGE_LOOP('',(#308874)); #58664=EDGE_LOOP('',(#308875)); #58665=EDGE_LOOP('',(#308876)); #58666=EDGE_LOOP('',(#308877)); #58667=EDGE_LOOP('',(#308878)); #58668=EDGE_LOOP('',(#308879)); #58669=EDGE_LOOP('',(#308880)); #58670=EDGE_LOOP('',(#308881)); #58671=EDGE_LOOP('',(#308882)); #58672=EDGE_LOOP('',(#308883)); #58673=EDGE_LOOP('',(#308884)); #58674=EDGE_LOOP('',(#308885)); #58675=EDGE_LOOP('',(#308886)); #58676=EDGE_LOOP('',(#308887)); #58677=EDGE_LOOP('',(#308888)); #58678=EDGE_LOOP('',(#308889)); #58679=EDGE_LOOP('',(#308890)); #58680=EDGE_LOOP('',(#308891)); #58681=EDGE_LOOP('',(#308892)); #58682=EDGE_LOOP('',(#308893)); #58683=EDGE_LOOP('',(#308894)); #58684=EDGE_LOOP('',(#308895)); #58685=EDGE_LOOP('',(#308896)); #58686=EDGE_LOOP('',(#308897)); #58687=EDGE_LOOP('',(#308898)); #58688=EDGE_LOOP('',(#308899)); #58689=EDGE_LOOP('',(#308900)); #58690=EDGE_LOOP('',(#308901)); #58691=EDGE_LOOP('',(#308902)); #58692=EDGE_LOOP('',(#308903)); #58693=EDGE_LOOP('',(#308904)); #58694=EDGE_LOOP('',(#308905)); #58695=EDGE_LOOP('',(#308906)); #58696=EDGE_LOOP('',(#308907)); #58697=EDGE_LOOP('',(#308908)); #58698=EDGE_LOOP('',(#308909)); #58699=EDGE_LOOP('',(#308910)); #58700=EDGE_LOOP('',(#308911)); #58701=EDGE_LOOP('',(#308912)); #58702=EDGE_LOOP('',(#308913)); #58703=EDGE_LOOP('',(#308914)); #58704=EDGE_LOOP('',(#308915)); #58705=EDGE_LOOP('',(#308916)); #58706=EDGE_LOOP('',(#308917)); #58707=EDGE_LOOP('',(#308918)); #58708=EDGE_LOOP('',(#308919)); #58709=EDGE_LOOP('',(#308920)); #58710=EDGE_LOOP('',(#308921)); #58711=EDGE_LOOP('',(#308922)); #58712=EDGE_LOOP('',(#308923)); #58713=EDGE_LOOP('',(#308924)); #58714=EDGE_LOOP('',(#308925)); #58715=EDGE_LOOP('',(#308926)); #58716=EDGE_LOOP('',(#308927)); #58717=EDGE_LOOP('',(#308928)); #58718=EDGE_LOOP('',(#308929)); #58719=EDGE_LOOP('',(#308930)); #58720=EDGE_LOOP('',(#308931)); #58721=EDGE_LOOP('',(#308932)); #58722=EDGE_LOOP('',(#308933)); #58723=EDGE_LOOP('',(#308934)); #58724=EDGE_LOOP('',(#308935)); #58725=EDGE_LOOP('',(#308936)); #58726=EDGE_LOOP('',(#308937)); #58727=EDGE_LOOP('',(#308938)); #58728=EDGE_LOOP('',(#308939)); #58729=EDGE_LOOP('',(#308940)); #58730=EDGE_LOOP('',(#308941)); #58731=EDGE_LOOP('',(#308942)); #58732=EDGE_LOOP('',(#308943)); #58733=EDGE_LOOP('',(#308944)); #58734=EDGE_LOOP('',(#308945)); #58735=EDGE_LOOP('',(#308946)); #58736=EDGE_LOOP('',(#308947)); #58737=EDGE_LOOP('',(#308948)); #58738=EDGE_LOOP('',(#308949)); #58739=EDGE_LOOP('',(#308950)); #58740=EDGE_LOOP('',(#308951)); #58741=EDGE_LOOP('',(#308952)); #58742=EDGE_LOOP('',(#308953)); #58743=EDGE_LOOP('',(#308954)); #58744=EDGE_LOOP('',(#308955)); #58745=EDGE_LOOP('',(#308956)); #58746=EDGE_LOOP('',(#308957)); #58747=EDGE_LOOP('',(#308958)); #58748=EDGE_LOOP('',(#308959)); #58749=EDGE_LOOP('',(#308960)); #58750=EDGE_LOOP('',(#308961)); #58751=EDGE_LOOP('',(#308962)); #58752=EDGE_LOOP('',(#308963)); #58753=EDGE_LOOP('',(#308964)); #58754=EDGE_LOOP('',(#308965)); #58755=EDGE_LOOP('',(#308966)); #58756=EDGE_LOOP('',(#308967)); #58757=EDGE_LOOP('',(#308968)); #58758=EDGE_LOOP('',(#308969)); #58759=EDGE_LOOP('',(#308970)); #58760=EDGE_LOOP('',(#308971,#308972,#308973,#308974)); #58761=EDGE_LOOP('',(#308975)); #58762=EDGE_LOOP('',(#308976,#308977,#308978,#308979)); #58763=EDGE_LOOP('',(#308980)); #58764=EDGE_LOOP('',(#308981)); #58765=EDGE_LOOP('',(#308982)); #58766=EDGE_LOOP('',(#308983,#308984,#308985,#308986)); #58767=EDGE_LOOP('',(#308987)); #58768=EDGE_LOOP('',(#308988)); #58769=EDGE_LOOP('',(#308989)); #58770=EDGE_LOOP('',(#308990)); #58771=EDGE_LOOP('',(#308991)); #58772=EDGE_LOOP('',(#308992)); #58773=EDGE_LOOP('',(#308993)); #58774=EDGE_LOOP('',(#308994)); #58775=EDGE_LOOP('',(#308995)); #58776=EDGE_LOOP('',(#308996)); #58777=EDGE_LOOP('',(#308997,#308998,#308999,#309000)); #58778=EDGE_LOOP('',(#309001)); #58779=EDGE_LOOP('',(#309002,#309003,#309004,#309005)); #58780=EDGE_LOOP('',(#309006)); #58781=EDGE_LOOP('',(#309007,#309008,#309009,#309010)); #58782=EDGE_LOOP('',(#309011)); #58783=EDGE_LOOP('',(#309012)); #58784=EDGE_LOOP('',(#309013)); #58785=EDGE_LOOP('',(#309014)); #58786=EDGE_LOOP('',(#309015)); #58787=EDGE_LOOP('',(#309016)); #58788=EDGE_LOOP('',(#309017)); #58789=EDGE_LOOP('',(#309018)); #58790=EDGE_LOOP('',(#309019)); #58791=EDGE_LOOP('',(#309020)); #58792=EDGE_LOOP('',(#309021)); #58793=EDGE_LOOP('',(#309022)); #58794=EDGE_LOOP('',(#309023)); #58795=EDGE_LOOP('',(#309024)); #58796=EDGE_LOOP('',(#309025)); #58797=EDGE_LOOP('',(#309026)); #58798=EDGE_LOOP('',(#309027)); #58799=EDGE_LOOP('',(#309028)); #58800=EDGE_LOOP('',(#309029)); #58801=EDGE_LOOP('',(#309030)); #58802=EDGE_LOOP('',(#309031)); #58803=EDGE_LOOP('',(#309032)); #58804=EDGE_LOOP('',(#309033)); #58805=EDGE_LOOP('',(#309034)); #58806=EDGE_LOOP('',(#309035)); #58807=EDGE_LOOP('',(#309036)); #58808=EDGE_LOOP('',(#309037)); #58809=EDGE_LOOP('',(#309038)); #58810=EDGE_LOOP('',(#309039)); #58811=EDGE_LOOP('',(#309040)); #58812=EDGE_LOOP('',(#309041)); #58813=EDGE_LOOP('',(#309042)); #58814=EDGE_LOOP('',(#309043)); #58815=EDGE_LOOP('',(#309044)); #58816=EDGE_LOOP('',(#309045)); #58817=EDGE_LOOP('',(#309046)); #58818=EDGE_LOOP('',(#309047)); #58819=EDGE_LOOP('',(#309048)); #58820=EDGE_LOOP('',(#309049)); #58821=EDGE_LOOP('',(#309050)); #58822=EDGE_LOOP('',(#309051)); #58823=EDGE_LOOP('',(#309052)); #58824=EDGE_LOOP('',(#309053)); #58825=EDGE_LOOP('',(#309054)); #58826=EDGE_LOOP('',(#309055)); #58827=EDGE_LOOP('',(#309056)); #58828=EDGE_LOOP('',(#309057)); #58829=EDGE_LOOP('',(#309058)); #58830=EDGE_LOOP('',(#309059)); #58831=EDGE_LOOP('',(#309060)); #58832=EDGE_LOOP('',(#309061)); #58833=EDGE_LOOP('',(#309062)); #58834=EDGE_LOOP('',(#309063)); #58835=EDGE_LOOP('',(#309064)); #58836=EDGE_LOOP('',(#309065)); #58837=EDGE_LOOP('',(#309066)); #58838=EDGE_LOOP('',(#309067)); #58839=EDGE_LOOP('',(#309068)); #58840=EDGE_LOOP('',(#309069)); #58841=EDGE_LOOP('',(#309070)); #58842=EDGE_LOOP('',(#309071)); #58843=EDGE_LOOP('',(#309072)); #58844=EDGE_LOOP('',(#309073)); #58845=EDGE_LOOP('',(#309074)); #58846=EDGE_LOOP('',(#309075)); #58847=EDGE_LOOP('',(#309076)); #58848=EDGE_LOOP('',(#309077)); #58849=EDGE_LOOP('',(#309078)); #58850=EDGE_LOOP('',(#309079)); #58851=EDGE_LOOP('',(#309080)); #58852=EDGE_LOOP('',(#309081)); #58853=EDGE_LOOP('',(#309082)); #58854=EDGE_LOOP('',(#309083)); #58855=EDGE_LOOP('',(#309084)); #58856=EDGE_LOOP('',(#309085)); #58857=EDGE_LOOP('',(#309086)); #58858=EDGE_LOOP('',(#309087)); #58859=EDGE_LOOP('',(#309088)); #58860=EDGE_LOOP('',(#309089)); #58861=EDGE_LOOP('',(#309090)); #58862=EDGE_LOOP('',(#309091)); #58863=EDGE_LOOP('',(#309092)); #58864=EDGE_LOOP('',(#309093)); #58865=EDGE_LOOP('',(#309094)); #58866=EDGE_LOOP('',(#309095)); #58867=EDGE_LOOP('',(#309096)); #58868=EDGE_LOOP('',(#309097)); #58869=EDGE_LOOP('',(#309098)); #58870=EDGE_LOOP('',(#309099)); #58871=EDGE_LOOP('',(#309100)); #58872=EDGE_LOOP('',(#309101)); #58873=EDGE_LOOP('',(#309102)); #58874=EDGE_LOOP('',(#309103)); #58875=EDGE_LOOP('',(#309104)); #58876=EDGE_LOOP('',(#309105)); #58877=EDGE_LOOP('',(#309106)); #58878=EDGE_LOOP('',(#309107)); #58879=EDGE_LOOP('',(#309108)); #58880=EDGE_LOOP('',(#309109)); #58881=EDGE_LOOP('',(#309110)); #58882=EDGE_LOOP('',(#309111)); #58883=EDGE_LOOP('',(#309112)); #58884=EDGE_LOOP('',(#309113)); #58885=EDGE_LOOP('',(#309114)); #58886=EDGE_LOOP('',(#309115)); #58887=EDGE_LOOP('',(#309116)); #58888=EDGE_LOOP('',(#309117)); #58889=EDGE_LOOP('',(#309118)); #58890=EDGE_LOOP('',(#309119)); #58891=EDGE_LOOP('',(#309120)); #58892=EDGE_LOOP('',(#309121)); #58893=EDGE_LOOP('',(#309122)); #58894=EDGE_LOOP('',(#309123)); #58895=EDGE_LOOP('',(#309124)); #58896=EDGE_LOOP('',(#309125)); #58897=EDGE_LOOP('',(#309126)); #58898=EDGE_LOOP('',(#309127)); #58899=EDGE_LOOP('',(#309128)); #58900=EDGE_LOOP('',(#309129)); #58901=EDGE_LOOP('',(#309130)); #58902=EDGE_LOOP('',(#309131)); #58903=EDGE_LOOP('',(#309132)); #58904=EDGE_LOOP('',(#309133)); #58905=EDGE_LOOP('',(#309134)); #58906=EDGE_LOOP('',(#309135)); #58907=EDGE_LOOP('',(#309136)); #58908=EDGE_LOOP('',(#309137)); #58909=EDGE_LOOP('',(#309138)); #58910=EDGE_LOOP('',(#309139)); #58911=EDGE_LOOP('',(#309140)); #58912=EDGE_LOOP('',(#309141)); #58913=EDGE_LOOP('',(#309142)); #58914=EDGE_LOOP('',(#309143,#309144,#309145,#309146)); #58915=EDGE_LOOP('',(#309147,#309148,#309149,#309150)); #58916=EDGE_LOOP('',(#309151,#309152,#309153,#309154)); #58917=EDGE_LOOP('',(#309155,#309156,#309157,#309158)); #58918=EDGE_LOOP('',(#309159,#309160,#309161,#309162)); #58919=EDGE_LOOP('',(#309163,#309164,#309165,#309166)); #58920=EDGE_LOOP('',(#309167,#309168,#309169,#309170)); #58921=EDGE_LOOP('',(#309171,#309172,#309173,#309174)); #58922=EDGE_LOOP('',(#309175,#309176,#309177,#309178)); #58923=EDGE_LOOP('',(#309179,#309180,#309181,#309182)); #58924=EDGE_LOOP('',(#309183,#309184,#309185,#309186)); #58925=EDGE_LOOP('',(#309187,#309188,#309189,#309190)); #58926=EDGE_LOOP('',(#309191,#309192,#309193,#309194)); #58927=EDGE_LOOP('',(#309195,#309196,#309197,#309198)); #58928=EDGE_LOOP('',(#309199,#309200,#309201,#309202)); #58929=EDGE_LOOP('',(#309203,#309204,#309205,#309206)); #58930=EDGE_LOOP('',(#309207,#309208,#309209,#309210)); #58931=EDGE_LOOP('',(#309211,#309212,#309213,#309214)); #58932=EDGE_LOOP('',(#309215,#309216,#309217,#309218)); #58933=EDGE_LOOP('',(#309219,#309220,#309221,#309222)); #58934=EDGE_LOOP('',(#309223,#309224,#309225,#309226)); #58935=EDGE_LOOP('',(#309227,#309228,#309229,#309230)); #58936=EDGE_LOOP('',(#309231,#309232,#309233,#309234)); #58937=EDGE_LOOP('',(#309235,#309236,#309237,#309238)); #58938=EDGE_LOOP('',(#309239,#309240,#309241,#309242)); #58939=EDGE_LOOP('',(#309243,#309244,#309245,#309246)); #58940=EDGE_LOOP('',(#309247,#309248,#309249,#309250)); #58941=EDGE_LOOP('',(#309251,#309252,#309253,#309254)); #58942=EDGE_LOOP('',(#309255,#309256,#309257,#309258)); #58943=EDGE_LOOP('',(#309259,#309260,#309261,#309262)); #58944=EDGE_LOOP('',(#309263,#309264,#309265,#309266)); #58945=EDGE_LOOP('',(#309267,#309268,#309269,#309270)); #58946=EDGE_LOOP('',(#309271,#309272,#309273,#309274)); #58947=EDGE_LOOP('',(#309275,#309276,#309277,#309278)); #58948=EDGE_LOOP('',(#309279,#309280,#309281,#309282)); #58949=EDGE_LOOP('',(#309283,#309284,#309285,#309286)); #58950=EDGE_LOOP('',(#309287,#309288,#309289,#309290)); #58951=EDGE_LOOP('',(#309291,#309292,#309293,#309294)); #58952=EDGE_LOOP('',(#309295,#309296,#309297,#309298)); #58953=EDGE_LOOP('',(#309299,#309300,#309301,#309302)); #58954=EDGE_LOOP('',(#309303,#309304,#309305,#309306)); #58955=EDGE_LOOP('',(#309307,#309308,#309309,#309310)); #58956=EDGE_LOOP('',(#309311,#309312,#309313,#309314)); #58957=EDGE_LOOP('',(#309315,#309316,#309317,#309318)); #58958=EDGE_LOOP('',(#309319,#309320,#309321,#309322)); #58959=EDGE_LOOP('',(#309323,#309324,#309325,#309326)); #58960=EDGE_LOOP('',(#309327,#309328,#309329,#309330)); #58961=EDGE_LOOP('',(#309331,#309332,#309333,#309334)); #58962=EDGE_LOOP('',(#309335,#309336,#309337,#309338)); #58963=EDGE_LOOP('',(#309339,#309340,#309341,#309342)); #58964=EDGE_LOOP('',(#309343,#309344,#309345,#309346)); #58965=EDGE_LOOP('',(#309347,#309348,#309349,#309350)); #58966=EDGE_LOOP('',(#309351,#309352,#309353,#309354)); #58967=EDGE_LOOP('',(#309355,#309356,#309357,#309358)); #58968=EDGE_LOOP('',(#309359,#309360,#309361,#309362)); #58969=EDGE_LOOP('',(#309363,#309364,#309365,#309366)); #58970=EDGE_LOOP('',(#309367,#309368,#309369,#309370)); #58971=EDGE_LOOP('',(#309371,#309372,#309373,#309374)); #58972=EDGE_LOOP('',(#309375,#309376,#309377,#309378)); #58973=EDGE_LOOP('',(#309379,#309380,#309381,#309382)); #58974=EDGE_LOOP('',(#309383,#309384,#309385,#309386)); #58975=EDGE_LOOP('',(#309387,#309388,#309389,#309390)); #58976=EDGE_LOOP('',(#309391,#309392,#309393,#309394)); #58977=EDGE_LOOP('',(#309395,#309396,#309397,#309398)); #58978=EDGE_LOOP('',(#309399,#309400,#309401,#309402)); #58979=EDGE_LOOP('',(#309403,#309404,#309405,#309406)); #58980=EDGE_LOOP('',(#309407,#309408,#309409,#309410)); #58981=EDGE_LOOP('',(#309411,#309412,#309413,#309414)); #58982=EDGE_LOOP('',(#309415,#309416,#309417,#309418)); #58983=EDGE_LOOP('',(#309419,#309420,#309421,#309422)); #58984=EDGE_LOOP('',(#309423,#309424,#309425,#309426)); #58985=EDGE_LOOP('',(#309427,#309428,#309429,#309430)); #58986=EDGE_LOOP('',(#309431,#309432,#309433,#309434)); #58987=EDGE_LOOP('',(#309435,#309436,#309437,#309438)); #58988=EDGE_LOOP('',(#309439,#309440,#309441,#309442)); #58989=EDGE_LOOP('',(#309443,#309444,#309445,#309446)); #58990=EDGE_LOOP('',(#309447,#309448,#309449,#309450)); #58991=EDGE_LOOP('',(#309451,#309452,#309453,#309454)); #58992=EDGE_LOOP('',(#309455,#309456,#309457,#309458)); #58993=EDGE_LOOP('',(#309459,#309460,#309461,#309462)); #58994=EDGE_LOOP('',(#309463,#309464,#309465,#309466)); #58995=EDGE_LOOP('',(#309467,#309468,#309469,#309470)); #58996=EDGE_LOOP('',(#309471,#309472,#309473,#309474)); #58997=EDGE_LOOP('',(#309475,#309476,#309477,#309478)); #58998=EDGE_LOOP('',(#309479,#309480,#309481,#309482)); #58999=EDGE_LOOP('',(#309483,#309484,#309485,#309486)); #59000=EDGE_LOOP('',(#309487,#309488,#309489,#309490)); #59001=EDGE_LOOP('',(#309491,#309492,#309493,#309494)); #59002=EDGE_LOOP('',(#309495,#309496,#309497,#309498)); #59003=EDGE_LOOP('',(#309499,#309500,#309501,#309502)); #59004=EDGE_LOOP('',(#309503,#309504,#309505,#309506)); #59005=EDGE_LOOP('',(#309507,#309508,#309509,#309510)); #59006=EDGE_LOOP('',(#309511,#309512,#309513,#309514)); #59007=EDGE_LOOP('',(#309515,#309516,#309517,#309518)); #59008=EDGE_LOOP('',(#309519,#309520,#309521,#309522)); #59009=EDGE_LOOP('',(#309523,#309524,#309525,#309526)); #59010=EDGE_LOOP('',(#309527,#309528,#309529,#309530)); #59011=EDGE_LOOP('',(#309531,#309532,#309533,#309534)); #59012=EDGE_LOOP('',(#309535,#309536,#309537,#309538)); #59013=EDGE_LOOP('',(#309539,#309540,#309541,#309542)); #59014=EDGE_LOOP('',(#309543,#309544,#309545,#309546)); #59015=EDGE_LOOP('',(#309547,#309548,#309549,#309550)); #59016=EDGE_LOOP('',(#309551,#309552,#309553,#309554)); #59017=EDGE_LOOP('',(#309555,#309556,#309557,#309558)); #59018=EDGE_LOOP('',(#309559,#309560,#309561,#309562)); #59019=EDGE_LOOP('',(#309563,#309564,#309565,#309566)); #59020=EDGE_LOOP('',(#309567,#309568,#309569,#309570)); #59021=EDGE_LOOP('',(#309571,#309572,#309573,#309574)); #59022=EDGE_LOOP('',(#309575,#309576,#309577,#309578)); #59023=EDGE_LOOP('',(#309579,#309580,#309581,#309582)); #59024=EDGE_LOOP('',(#309583,#309584,#309585,#309586)); #59025=EDGE_LOOP('',(#309587,#309588,#309589,#309590)); #59026=EDGE_LOOP('',(#309591,#309592,#309593,#309594)); #59027=EDGE_LOOP('',(#309595,#309596,#309597,#309598)); #59028=EDGE_LOOP('',(#309599,#309600,#309601,#309602)); #59029=EDGE_LOOP('',(#309603,#309604,#309605,#309606)); #59030=EDGE_LOOP('',(#309607,#309608,#309609,#309610)); #59031=EDGE_LOOP('',(#309611,#309612,#309613,#309614)); #59032=EDGE_LOOP('',(#309615,#309616,#309617,#309618)); #59033=EDGE_LOOP('',(#309619,#309620,#309621,#309622)); #59034=EDGE_LOOP('',(#309623,#309624,#309625,#309626)); #59035=EDGE_LOOP('',(#309627,#309628,#309629,#309630)); #59036=EDGE_LOOP('',(#309631,#309632,#309633,#309634)); #59037=EDGE_LOOP('',(#309635,#309636,#309637,#309638)); #59038=EDGE_LOOP('',(#309639,#309640,#309641,#309642)); #59039=EDGE_LOOP('',(#309643,#309644,#309645,#309646)); #59040=EDGE_LOOP('',(#309647,#309648,#309649,#309650)); #59041=EDGE_LOOP('',(#309651,#309652,#309653,#309654)); #59042=EDGE_LOOP('',(#309655,#309656,#309657,#309658)); #59043=EDGE_LOOP('',(#309659,#309660,#309661,#309662)); #59044=EDGE_LOOP('',(#309663,#309664,#309665,#309666)); #59045=EDGE_LOOP('',(#309667,#309668,#309669,#309670)); #59046=EDGE_LOOP('',(#309671,#309672,#309673,#309674)); #59047=EDGE_LOOP('',(#309675,#309676,#309677,#309678)); #59048=EDGE_LOOP('',(#309679,#309680,#309681,#309682)); #59049=EDGE_LOOP('',(#309683,#309684,#309685,#309686)); #59050=EDGE_LOOP('',(#309687,#309688,#309689,#309690)); #59051=EDGE_LOOP('',(#309691,#309692,#309693,#309694)); #59052=EDGE_LOOP('',(#309695,#309696,#309697,#309698)); #59053=EDGE_LOOP('',(#309699,#309700,#309701,#309702)); #59054=EDGE_LOOP('',(#309703,#309704,#309705,#309706)); #59055=EDGE_LOOP('',(#309707,#309708,#309709,#309710)); #59056=EDGE_LOOP('',(#309711,#309712,#309713,#309714)); #59057=EDGE_LOOP('',(#309715,#309716,#309717,#309718)); #59058=EDGE_LOOP('',(#309719,#309720,#309721,#309722)); #59059=EDGE_LOOP('',(#309723,#309724,#309725,#309726)); #59060=EDGE_LOOP('',(#309727,#309728,#309729,#309730)); #59061=EDGE_LOOP('',(#309731,#309732,#309733,#309734)); #59062=EDGE_LOOP('',(#309735,#309736,#309737,#309738)); #59063=EDGE_LOOP('',(#309739,#309740,#309741,#309742)); #59064=EDGE_LOOP('',(#309743,#309744,#309745,#309746)); #59065=EDGE_LOOP('',(#309747,#309748,#309749,#309750)); #59066=EDGE_LOOP('',(#309751,#309752,#309753,#309754)); #59067=EDGE_LOOP('',(#309755,#309756,#309757,#309758)); #59068=EDGE_LOOP('',(#309759,#309760,#309761,#309762)); #59069=EDGE_LOOP('',(#309763,#309764,#309765,#309766)); #59070=EDGE_LOOP('',(#309767,#309768,#309769,#309770)); #59071=EDGE_LOOP('',(#309771,#309772,#309773,#309774)); #59072=EDGE_LOOP('',(#309775,#309776,#309777,#309778)); #59073=EDGE_LOOP('',(#309779,#309780,#309781,#309782)); #59074=EDGE_LOOP('',(#309783,#309784,#309785,#309786)); #59075=EDGE_LOOP('',(#309787,#309788,#309789,#309790)); #59076=EDGE_LOOP('',(#309791,#309792,#309793,#309794)); #59077=EDGE_LOOP('',(#309795,#309796,#309797,#309798)); #59078=EDGE_LOOP('',(#309799,#309800,#309801,#309802)); #59079=EDGE_LOOP('',(#309803,#309804,#309805,#309806)); #59080=EDGE_LOOP('',(#309807,#309808,#309809,#309810)); #59081=EDGE_LOOP('',(#309811,#309812,#309813,#309814)); #59082=EDGE_LOOP('',(#309815,#309816,#309817,#309818)); #59083=EDGE_LOOP('',(#309819,#309820,#309821,#309822)); #59084=EDGE_LOOP('',(#309823,#309824,#309825,#309826)); #59085=EDGE_LOOP('',(#309827,#309828,#309829,#309830)); #59086=EDGE_LOOP('',(#309831,#309832,#309833,#309834)); #59087=EDGE_LOOP('',(#309835,#309836,#309837,#309838)); #59088=EDGE_LOOP('',(#309839,#309840,#309841,#309842)); #59089=EDGE_LOOP('',(#309843,#309844,#309845,#309846)); #59090=EDGE_LOOP('',(#309847,#309848,#309849,#309850)); #59091=EDGE_LOOP('',(#309851,#309852,#309853,#309854)); #59092=EDGE_LOOP('',(#309855,#309856,#309857,#309858)); #59093=EDGE_LOOP('',(#309859,#309860,#309861,#309862)); #59094=EDGE_LOOP('',(#309863,#309864,#309865,#309866)); #59095=EDGE_LOOP('',(#309867,#309868,#309869,#309870)); #59096=EDGE_LOOP('',(#309871,#309872,#309873,#309874)); #59097=EDGE_LOOP('',(#309875,#309876,#309877,#309878)); #59098=EDGE_LOOP('',(#309879,#309880,#309881,#309882)); #59099=EDGE_LOOP('',(#309883,#309884,#309885,#309886)); #59100=EDGE_LOOP('',(#309887,#309888,#309889,#309890)); #59101=EDGE_LOOP('',(#309891,#309892,#309893,#309894)); #59102=EDGE_LOOP('',(#309895,#309896,#309897,#309898)); #59103=EDGE_LOOP('',(#309899,#309900,#309901,#309902)); #59104=EDGE_LOOP('',(#309903,#309904,#309905,#309906)); #59105=EDGE_LOOP('',(#309907,#309908,#309909,#309910)); #59106=EDGE_LOOP('',(#309911,#309912,#309913,#309914)); #59107=EDGE_LOOP('',(#309915,#309916,#309917,#309918)); #59108=EDGE_LOOP('',(#309919,#309920,#309921,#309922)); #59109=EDGE_LOOP('',(#309923,#309924,#309925,#309926)); #59110=EDGE_LOOP('',(#309927,#309928,#309929,#309930)); #59111=EDGE_LOOP('',(#309931,#309932,#309933,#309934)); #59112=EDGE_LOOP('',(#309935,#309936,#309937,#309938)); #59113=EDGE_LOOP('',(#309939,#309940,#309941,#309942)); #59114=EDGE_LOOP('',(#309943,#309944,#309945,#309946)); #59115=EDGE_LOOP('',(#309947,#309948,#309949,#309950)); #59116=EDGE_LOOP('',(#309951,#309952,#309953,#309954)); #59117=EDGE_LOOP('',(#309955,#309956,#309957,#309958)); #59118=EDGE_LOOP('',(#309959,#309960,#309961,#309962)); #59119=EDGE_LOOP('',(#309963,#309964,#309965,#309966)); #59120=EDGE_LOOP('',(#309967,#309968,#309969,#309970)); #59121=EDGE_LOOP('',(#309971,#309972,#309973,#309974)); #59122=EDGE_LOOP('',(#309975,#309976,#309977,#309978)); #59123=EDGE_LOOP('',(#309979,#309980,#309981,#309982)); #59124=EDGE_LOOP('',(#309983,#309984,#309985,#309986)); #59125=EDGE_LOOP('',(#309987,#309988,#309989,#309990)); #59126=EDGE_LOOP('',(#309991,#309992,#309993,#309994)); #59127=EDGE_LOOP('',(#309995,#309996,#309997,#309998)); #59128=EDGE_LOOP('',(#309999,#310000,#310001,#310002)); #59129=EDGE_LOOP('',(#310003,#310004,#310005,#310006)); #59130=EDGE_LOOP('',(#310007,#310008,#310009,#310010)); #59131=EDGE_LOOP('',(#310011,#310012,#310013,#310014)); #59132=EDGE_LOOP('',(#310015,#310016,#310017,#310018)); #59133=EDGE_LOOP('',(#310019,#310020,#310021,#310022)); #59134=EDGE_LOOP('',(#310023,#310024,#310025,#310026)); #59135=EDGE_LOOP('',(#310027,#310028,#310029,#310030)); #59136=EDGE_LOOP('',(#310031,#310032,#310033,#310034)); #59137=EDGE_LOOP('',(#310035,#310036,#310037,#310038)); #59138=EDGE_LOOP('',(#310039,#310040,#310041,#310042)); #59139=EDGE_LOOP('',(#310043,#310044,#310045,#310046)); #59140=EDGE_LOOP('',(#310047,#310048,#310049,#310050)); #59141=EDGE_LOOP('',(#310051,#310052,#310053,#310054)); #59142=EDGE_LOOP('',(#310055,#310056,#310057,#310058)); #59143=EDGE_LOOP('',(#310059,#310060,#310061,#310062)); #59144=EDGE_LOOP('',(#310063,#310064,#310065,#310066)); #59145=EDGE_LOOP('',(#310067,#310068,#310069,#310070)); #59146=EDGE_LOOP('',(#310071,#310072,#310073,#310074)); #59147=EDGE_LOOP('',(#310075,#310076,#310077,#310078)); #59148=EDGE_LOOP('',(#310079,#310080,#310081,#310082)); #59149=EDGE_LOOP('',(#310083,#310084,#310085,#310086)); #59150=EDGE_LOOP('',(#310087,#310088,#310089,#310090)); #59151=EDGE_LOOP('',(#310091,#310092,#310093,#310094)); #59152=EDGE_LOOP('',(#310095,#310096,#310097,#310098)); #59153=EDGE_LOOP('',(#310099,#310100,#310101,#310102)); #59154=EDGE_LOOP('',(#310103,#310104,#310105,#310106)); #59155=EDGE_LOOP('',(#310107,#310108,#310109,#310110)); #59156=EDGE_LOOP('',(#310111,#310112,#310113,#310114)); #59157=EDGE_LOOP('',(#310115,#310116,#310117,#310118)); #59158=EDGE_LOOP('',(#310119,#310120,#310121,#310122)); #59159=EDGE_LOOP('',(#310123,#310124,#310125,#310126)); #59160=EDGE_LOOP('',(#310127,#310128,#310129,#310130)); #59161=EDGE_LOOP('',(#310131,#310132,#310133,#310134)); #59162=EDGE_LOOP('',(#310135,#310136,#310137,#310138)); #59163=EDGE_LOOP('',(#310139,#310140,#310141,#310142)); #59164=EDGE_LOOP('',(#310143,#310144,#310145,#310146)); #59165=EDGE_LOOP('',(#310147,#310148,#310149,#310150)); #59166=EDGE_LOOP('',(#310151,#310152,#310153,#310154)); #59167=EDGE_LOOP('',(#310155,#310156,#310157,#310158)); #59168=EDGE_LOOP('',(#310159,#310160,#310161,#310162)); #59169=EDGE_LOOP('',(#310163,#310164,#310165,#310166)); #59170=EDGE_LOOP('',(#310167,#310168,#310169,#310170)); #59171=EDGE_LOOP('',(#310171,#310172,#310173,#310174)); #59172=EDGE_LOOP('',(#310175,#310176,#310177,#310178)); #59173=EDGE_LOOP('',(#310179,#310180,#310181,#310182)); #59174=EDGE_LOOP('',(#310183,#310184,#310185,#310186)); #59175=EDGE_LOOP('',(#310187,#310188,#310189,#310190)); #59176=EDGE_LOOP('',(#310191,#310192,#310193,#310194)); #59177=EDGE_LOOP('',(#310195,#310196,#310197,#310198)); #59178=EDGE_LOOP('',(#310199,#310200,#310201,#310202)); #59179=EDGE_LOOP('',(#310203,#310204,#310205,#310206)); #59180=EDGE_LOOP('',(#310207,#310208,#310209,#310210)); #59181=EDGE_LOOP('',(#310211,#310212,#310213,#310214)); #59182=EDGE_LOOP('',(#310215,#310216,#310217,#310218)); #59183=EDGE_LOOP('',(#310219,#310220,#310221,#310222)); #59184=EDGE_LOOP('',(#310223,#310224,#310225,#310226)); #59185=EDGE_LOOP('',(#310227,#310228,#310229,#310230)); #59186=EDGE_LOOP('',(#310231,#310232,#310233,#310234)); #59187=EDGE_LOOP('',(#310235,#310236,#310237,#310238)); #59188=EDGE_LOOP('',(#310239,#310240,#310241,#310242)); #59189=EDGE_LOOP('',(#310243,#310244,#310245,#310246)); #59190=EDGE_LOOP('',(#310247,#310248,#310249,#310250)); #59191=EDGE_LOOP('',(#310251,#310252,#310253,#310254)); #59192=EDGE_LOOP('',(#310255,#310256,#310257,#310258)); #59193=EDGE_LOOP('',(#310259,#310260,#310261,#310262)); #59194=EDGE_LOOP('',(#310263,#310264,#310265,#310266)); #59195=EDGE_LOOP('',(#310267,#310268,#310269,#310270)); #59196=EDGE_LOOP('',(#310271,#310272,#310273,#310274)); #59197=EDGE_LOOP('',(#310275,#310276,#310277,#310278)); #59198=EDGE_LOOP('',(#310279,#310280,#310281,#310282)); #59199=EDGE_LOOP('',(#310283,#310284,#310285,#310286)); #59200=EDGE_LOOP('',(#310287,#310288,#310289,#310290)); #59201=EDGE_LOOP('',(#310291,#310292,#310293,#310294)); #59202=EDGE_LOOP('',(#310295,#310296,#310297,#310298)); #59203=EDGE_LOOP('',(#310299,#310300,#310301,#310302)); #59204=EDGE_LOOP('',(#310303,#310304,#310305,#310306)); #59205=EDGE_LOOP('',(#310307,#310308,#310309,#310310)); #59206=EDGE_LOOP('',(#310311,#310312,#310313,#310314)); #59207=EDGE_LOOP('',(#310315,#310316,#310317,#310318)); #59208=EDGE_LOOP('',(#310319,#310320,#310321,#310322)); #59209=EDGE_LOOP('',(#310323,#310324,#310325,#310326)); #59210=EDGE_LOOP('',(#310327,#310328,#310329,#310330)); #59211=EDGE_LOOP('',(#310331,#310332,#310333,#310334)); #59212=EDGE_LOOP('',(#310335,#310336,#310337,#310338)); #59213=EDGE_LOOP('',(#310339,#310340,#310341,#310342)); #59214=EDGE_LOOP('',(#310343,#310344,#310345,#310346)); #59215=EDGE_LOOP('',(#310347,#310348,#310349,#310350)); #59216=EDGE_LOOP('',(#310351,#310352,#310353,#310354)); #59217=EDGE_LOOP('',(#310355,#310356,#310357,#310358)); #59218=EDGE_LOOP('',(#310359,#310360,#310361,#310362)); #59219=EDGE_LOOP('',(#310363,#310364,#310365,#310366)); #59220=EDGE_LOOP('',(#310367,#310368,#310369,#310370)); #59221=EDGE_LOOP('',(#310371,#310372,#310373,#310374)); #59222=EDGE_LOOP('',(#310375,#310376,#310377,#310378)); #59223=EDGE_LOOP('',(#310379,#310380,#310381,#310382)); #59224=EDGE_LOOP('',(#310383,#310384,#310385,#310386)); #59225=EDGE_LOOP('',(#310387,#310388,#310389,#310390)); #59226=EDGE_LOOP('',(#310391,#310392,#310393,#310394)); #59227=EDGE_LOOP('',(#310395,#310396,#310397,#310398)); #59228=EDGE_LOOP('',(#310399,#310400,#310401,#310402)); #59229=EDGE_LOOP('',(#310403,#310404,#310405,#310406)); #59230=EDGE_LOOP('',(#310407,#310408,#310409,#310410)); #59231=EDGE_LOOP('',(#310411,#310412,#310413,#310414)); #59232=EDGE_LOOP('',(#310415,#310416,#310417,#310418)); #59233=EDGE_LOOP('',(#310419,#310420,#310421,#310422)); #59234=EDGE_LOOP('',(#310423,#310424,#310425,#310426)); #59235=EDGE_LOOP('',(#310427,#310428,#310429,#310430)); #59236=EDGE_LOOP('',(#310431,#310432,#310433,#310434)); #59237=EDGE_LOOP('',(#310435,#310436,#310437,#310438)); #59238=EDGE_LOOP('',(#310439,#310440,#310441,#310442)); #59239=EDGE_LOOP('',(#310443,#310444,#310445,#310446)); #59240=EDGE_LOOP('',(#310447,#310448,#310449,#310450)); #59241=EDGE_LOOP('',(#310451,#310452,#310453,#310454)); #59242=EDGE_LOOP('',(#310455,#310456,#310457,#310458)); #59243=EDGE_LOOP('',(#310459,#310460,#310461,#310462)); #59244=EDGE_LOOP('',(#310463,#310464,#310465,#310466)); #59245=EDGE_LOOP('',(#310467,#310468,#310469,#310470)); #59246=EDGE_LOOP('',(#310471,#310472,#310473,#310474)); #59247=EDGE_LOOP('',(#310475,#310476,#310477,#310478)); #59248=EDGE_LOOP('',(#310479,#310480,#310481,#310482)); #59249=EDGE_LOOP('',(#310483,#310484,#310485,#310486)); #59250=EDGE_LOOP('',(#310487)); #59251=EDGE_LOOP('',(#310488)); #59252=EDGE_LOOP('',(#310489)); #59253=EDGE_LOOP('',(#310490)); #59254=EDGE_LOOP('',(#310491)); #59255=EDGE_LOOP('',(#310492)); #59256=EDGE_LOOP('',(#310493)); #59257=EDGE_LOOP('',(#310494)); #59258=EDGE_LOOP('',(#310495)); #59259=EDGE_LOOP('',(#310496)); #59260=EDGE_LOOP('',(#310497)); #59261=EDGE_LOOP('',(#310498)); #59262=EDGE_LOOP('',(#310499)); #59263=EDGE_LOOP('',(#310500)); #59264=EDGE_LOOP('',(#310501)); #59265=EDGE_LOOP('',(#310502)); #59266=EDGE_LOOP('',(#310503)); #59267=EDGE_LOOP('',(#310504)); #59268=EDGE_LOOP('',(#310505)); #59269=EDGE_LOOP('',(#310506)); #59270=EDGE_LOOP('',(#310507)); #59271=EDGE_LOOP('',(#310508)); #59272=EDGE_LOOP('',(#310509)); #59273=EDGE_LOOP('',(#310510)); #59274=EDGE_LOOP('',(#310511)); #59275=EDGE_LOOP('',(#310512)); #59276=EDGE_LOOP('',(#310513)); #59277=EDGE_LOOP('',(#310514)); #59278=EDGE_LOOP('',(#310515)); #59279=EDGE_LOOP('',(#310516)); #59280=EDGE_LOOP('',(#310517)); #59281=EDGE_LOOP('',(#310518)); #59282=EDGE_LOOP('',(#310519)); #59283=EDGE_LOOP('',(#310520)); #59284=EDGE_LOOP('',(#310521)); #59285=EDGE_LOOP('',(#310522)); #59286=EDGE_LOOP('',(#310523)); #59287=EDGE_LOOP('',(#310524)); #59288=EDGE_LOOP('',(#310525)); #59289=EDGE_LOOP('',(#310526)); #59290=EDGE_LOOP('',(#310527)); #59291=EDGE_LOOP('',(#310528)); #59292=EDGE_LOOP('',(#310529)); #59293=EDGE_LOOP('',(#310530)); #59294=EDGE_LOOP('',(#310531)); #59295=EDGE_LOOP('',(#310532)); #59296=EDGE_LOOP('',(#310533)); #59297=EDGE_LOOP('',(#310534)); #59298=EDGE_LOOP('',(#310535)); #59299=EDGE_LOOP('',(#310536)); #59300=EDGE_LOOP('',(#310537)); #59301=EDGE_LOOP('',(#310538)); #59302=EDGE_LOOP('',(#310539)); #59303=EDGE_LOOP('',(#310540)); #59304=EDGE_LOOP('',(#310541)); #59305=EDGE_LOOP('',(#310542)); #59306=EDGE_LOOP('',(#310543)); #59307=EDGE_LOOP('',(#310544)); #59308=EDGE_LOOP('',(#310545)); #59309=EDGE_LOOP('',(#310546)); #59310=EDGE_LOOP('',(#310547)); #59311=EDGE_LOOP('',(#310548)); #59312=EDGE_LOOP('',(#310549)); #59313=EDGE_LOOP('',(#310550)); #59314=EDGE_LOOP('',(#310551)); #59315=EDGE_LOOP('',(#310552)); #59316=EDGE_LOOP('',(#310553)); #59317=EDGE_LOOP('',(#310554)); #59318=EDGE_LOOP('',(#310555)); #59319=EDGE_LOOP('',(#310556)); #59320=EDGE_LOOP('',(#310557)); #59321=EDGE_LOOP('',(#310558)); #59322=EDGE_LOOP('',(#310559)); #59323=EDGE_LOOP('',(#310560)); #59324=EDGE_LOOP('',(#310561)); #59325=EDGE_LOOP('',(#310562)); #59326=EDGE_LOOP('',(#310563)); #59327=EDGE_LOOP('',(#310564)); #59328=EDGE_LOOP('',(#310565)); #59329=EDGE_LOOP('',(#310566)); #59330=EDGE_LOOP('',(#310567)); #59331=EDGE_LOOP('',(#310568)); #59332=EDGE_LOOP('',(#310569)); #59333=EDGE_LOOP('',(#310570)); #59334=EDGE_LOOP('',(#310571)); #59335=EDGE_LOOP('',(#310572)); #59336=EDGE_LOOP('',(#310573)); #59337=EDGE_LOOP('',(#310574)); #59338=EDGE_LOOP('',(#310575)); #59339=EDGE_LOOP('',(#310576)); #59340=EDGE_LOOP('',(#310577)); #59341=EDGE_LOOP('',(#310578)); #59342=EDGE_LOOP('',(#310579)); #59343=EDGE_LOOP('',(#310580)); #59344=EDGE_LOOP('',(#310581)); #59345=EDGE_LOOP('',(#310582)); #59346=EDGE_LOOP('',(#310583)); #59347=EDGE_LOOP('',(#310584)); #59348=EDGE_LOOP('',(#310585)); #59349=EDGE_LOOP('',(#310586)); #59350=EDGE_LOOP('',(#310587)); #59351=EDGE_LOOP('',(#310588)); #59352=EDGE_LOOP('',(#310589)); #59353=EDGE_LOOP('',(#310590)); #59354=EDGE_LOOP('',(#310591)); #59355=EDGE_LOOP('',(#310592)); #59356=EDGE_LOOP('',(#310593)); #59357=EDGE_LOOP('',(#310594)); #59358=EDGE_LOOP('',(#310595)); #59359=EDGE_LOOP('',(#310596)); #59360=EDGE_LOOP('',(#310597)); #59361=EDGE_LOOP('',(#310598)); #59362=EDGE_LOOP('',(#310599)); #59363=EDGE_LOOP('',(#310600)); #59364=EDGE_LOOP('',(#310601)); #59365=EDGE_LOOP('',(#310602)); #59366=EDGE_LOOP('',(#310603)); #59367=EDGE_LOOP('',(#310604)); #59368=EDGE_LOOP('',(#310605)); #59369=EDGE_LOOP('',(#310606)); #59370=EDGE_LOOP('',(#310607)); #59371=EDGE_LOOP('',(#310608)); #59372=EDGE_LOOP('',(#310609)); #59373=EDGE_LOOP('',(#310610)); #59374=EDGE_LOOP('',(#310611)); #59375=EDGE_LOOP('',(#310612)); #59376=EDGE_LOOP('',(#310613)); #59377=EDGE_LOOP('',(#310614)); #59378=EDGE_LOOP('',(#310615)); #59379=EDGE_LOOP('',(#310616)); #59380=EDGE_LOOP('',(#310617)); #59381=EDGE_LOOP('',(#310618)); #59382=EDGE_LOOP('',(#310619)); #59383=EDGE_LOOP('',(#310620)); #59384=EDGE_LOOP('',(#310621)); #59385=EDGE_LOOP('',(#310622)); #59386=EDGE_LOOP('',(#310623)); #59387=EDGE_LOOP('',(#310624)); #59388=EDGE_LOOP('',(#310625)); #59389=EDGE_LOOP('',(#310626)); #59390=EDGE_LOOP('',(#310627)); #59391=EDGE_LOOP('',(#310628)); #59392=EDGE_LOOP('',(#310629)); #59393=EDGE_LOOP('',(#310630)); #59394=EDGE_LOOP('',(#310631)); #59395=EDGE_LOOP('',(#310632)); #59396=EDGE_LOOP('',(#310633)); #59397=EDGE_LOOP('',(#310634)); #59398=EDGE_LOOP('',(#310635)); #59399=EDGE_LOOP('',(#310636)); #59400=EDGE_LOOP('',(#310637)); #59401=EDGE_LOOP('',(#310638)); #59402=EDGE_LOOP('',(#310639)); #59403=EDGE_LOOP('',(#310640)); #59404=EDGE_LOOP('',(#310641)); #59405=EDGE_LOOP('',(#310642)); #59406=EDGE_LOOP('',(#310643)); #59407=EDGE_LOOP('',(#310644)); #59408=EDGE_LOOP('',(#310645)); #59409=EDGE_LOOP('',(#310646)); #59410=EDGE_LOOP('',(#310647)); #59411=EDGE_LOOP('',(#310648)); #59412=EDGE_LOOP('',(#310649)); #59413=EDGE_LOOP('',(#310650)); #59414=EDGE_LOOP('',(#310651,#310652,#310653,#310654)); #59415=EDGE_LOOP('',(#310655)); #59416=EDGE_LOOP('',(#310656,#310657,#310658,#310659)); #59417=EDGE_LOOP('',(#310660)); #59418=EDGE_LOOP('',(#310661)); #59419=EDGE_LOOP('',(#310662)); #59420=EDGE_LOOP('',(#310663,#310664,#310665,#310666)); #59421=EDGE_LOOP('',(#310667)); #59422=EDGE_LOOP('',(#310668)); #59423=EDGE_LOOP('',(#310669)); #59424=EDGE_LOOP('',(#310670)); #59425=EDGE_LOOP('',(#310671)); #59426=EDGE_LOOP('',(#310672)); #59427=EDGE_LOOP('',(#310673)); #59428=EDGE_LOOP('',(#310674)); #59429=EDGE_LOOP('',(#310675)); #59430=EDGE_LOOP('',(#310676)); #59431=EDGE_LOOP('',(#310677,#310678,#310679,#310680)); #59432=EDGE_LOOP('',(#310681)); #59433=EDGE_LOOP('',(#310682,#310683,#310684,#310685)); #59434=EDGE_LOOP('',(#310686)); #59435=EDGE_LOOP('',(#310687,#310688,#310689,#310690)); #59436=EDGE_LOOP('',(#310691)); #59437=EDGE_LOOP('',(#310692)); #59438=EDGE_LOOP('',(#310693)); #59439=EDGE_LOOP('',(#310694)); #59440=EDGE_LOOP('',(#310695)); #59441=EDGE_LOOP('',(#310696)); #59442=EDGE_LOOP('',(#310697)); #59443=EDGE_LOOP('',(#310698)); #59444=EDGE_LOOP('',(#310699)); #59445=EDGE_LOOP('',(#310700)); #59446=EDGE_LOOP('',(#310701)); #59447=EDGE_LOOP('',(#310702)); #59448=EDGE_LOOP('',(#310703)); #59449=EDGE_LOOP('',(#310704)); #59450=EDGE_LOOP('',(#310705)); #59451=EDGE_LOOP('',(#310706)); #59452=EDGE_LOOP('',(#310707)); #59453=EDGE_LOOP('',(#310708)); #59454=EDGE_LOOP('',(#310709)); #59455=EDGE_LOOP('',(#310710)); #59456=EDGE_LOOP('',(#310711)); #59457=EDGE_LOOP('',(#310712)); #59458=EDGE_LOOP('',(#310713)); #59459=EDGE_LOOP('',(#310714)); #59460=EDGE_LOOP('',(#310715)); #59461=EDGE_LOOP('',(#310716)); #59462=EDGE_LOOP('',(#310717)); #59463=EDGE_LOOP('',(#310718)); #59464=EDGE_LOOP('',(#310719)); #59465=EDGE_LOOP('',(#310720)); #59466=EDGE_LOOP('',(#310721)); #59467=EDGE_LOOP('',(#310722)); #59468=EDGE_LOOP('',(#310723)); #59469=EDGE_LOOP('',(#310724)); #59470=EDGE_LOOP('',(#310725)); #59471=EDGE_LOOP('',(#310726)); #59472=EDGE_LOOP('',(#310727)); #59473=EDGE_LOOP('',(#310728)); #59474=EDGE_LOOP('',(#310729)); #59475=EDGE_LOOP('',(#310730)); #59476=EDGE_LOOP('',(#310731)); #59477=EDGE_LOOP('',(#310732)); #59478=EDGE_LOOP('',(#310733)); #59479=EDGE_LOOP('',(#310734)); #59480=EDGE_LOOP('',(#310735)); #59481=EDGE_LOOP('',(#310736)); #59482=EDGE_LOOP('',(#310737)); #59483=EDGE_LOOP('',(#310738)); #59484=EDGE_LOOP('',(#310739)); #59485=EDGE_LOOP('',(#310740)); #59486=EDGE_LOOP('',(#310741)); #59487=EDGE_LOOP('',(#310742)); #59488=EDGE_LOOP('',(#310743)); #59489=EDGE_LOOP('',(#310744)); #59490=EDGE_LOOP('',(#310745)); #59491=EDGE_LOOP('',(#310746)); #59492=EDGE_LOOP('',(#310747)); #59493=EDGE_LOOP('',(#310748)); #59494=EDGE_LOOP('',(#310749)); #59495=EDGE_LOOP('',(#310750)); #59496=EDGE_LOOP('',(#310751)); #59497=EDGE_LOOP('',(#310752)); #59498=EDGE_LOOP('',(#310753)); #59499=EDGE_LOOP('',(#310754)); #59500=EDGE_LOOP('',(#310755)); #59501=EDGE_LOOP('',(#310756)); #59502=EDGE_LOOP('',(#310757)); #59503=EDGE_LOOP('',(#310758)); #59504=EDGE_LOOP('',(#310759)); #59505=EDGE_LOOP('',(#310760)); #59506=EDGE_LOOP('',(#310761)); #59507=EDGE_LOOP('',(#310762)); #59508=EDGE_LOOP('',(#310763)); #59509=EDGE_LOOP('',(#310764)); #59510=EDGE_LOOP('',(#310765)); #59511=EDGE_LOOP('',(#310766)); #59512=EDGE_LOOP('',(#310767)); #59513=EDGE_LOOP('',(#310768)); #59514=EDGE_LOOP('',(#310769)); #59515=EDGE_LOOP('',(#310770)); #59516=EDGE_LOOP('',(#310771)); #59517=EDGE_LOOP('',(#310772)); #59518=EDGE_LOOP('',(#310773)); #59519=EDGE_LOOP('',(#310774)); #59520=EDGE_LOOP('',(#310775)); #59521=EDGE_LOOP('',(#310776)); #59522=EDGE_LOOP('',(#310777)); #59523=EDGE_LOOP('',(#310778)); #59524=EDGE_LOOP('',(#310779)); #59525=EDGE_LOOP('',(#310780)); #59526=EDGE_LOOP('',(#310781)); #59527=EDGE_LOOP('',(#310782)); #59528=EDGE_LOOP('',(#310783)); #59529=EDGE_LOOP('',(#310784)); #59530=EDGE_LOOP('',(#310785)); #59531=EDGE_LOOP('',(#310786)); #59532=EDGE_LOOP('',(#310787)); #59533=EDGE_LOOP('',(#310788)); #59534=EDGE_LOOP('',(#310789)); #59535=EDGE_LOOP('',(#310790)); #59536=EDGE_LOOP('',(#310791)); #59537=EDGE_LOOP('',(#310792)); #59538=EDGE_LOOP('',(#310793)); #59539=EDGE_LOOP('',(#310794)); #59540=EDGE_LOOP('',(#310795)); #59541=EDGE_LOOP('',(#310796)); #59542=EDGE_LOOP('',(#310797)); #59543=EDGE_LOOP('',(#310798)); #59544=EDGE_LOOP('',(#310799)); #59545=EDGE_LOOP('',(#310800)); #59546=EDGE_LOOP('',(#310801)); #59547=EDGE_LOOP('',(#310802)); #59548=EDGE_LOOP('',(#310803)); #59549=EDGE_LOOP('',(#310804)); #59550=EDGE_LOOP('',(#310805)); #59551=EDGE_LOOP('',(#310806)); #59552=EDGE_LOOP('',(#310807)); #59553=EDGE_LOOP('',(#310808)); #59554=EDGE_LOOP('',(#310809)); #59555=EDGE_LOOP('',(#310810)); #59556=EDGE_LOOP('',(#310811)); #59557=EDGE_LOOP('',(#310812)); #59558=EDGE_LOOP('',(#310813)); #59559=EDGE_LOOP('',(#310814)); #59560=EDGE_LOOP('',(#310815)); #59561=EDGE_LOOP('',(#310816)); #59562=EDGE_LOOP('',(#310817)); #59563=EDGE_LOOP('',(#310818)); #59564=EDGE_LOOP('',(#310819)); #59565=EDGE_LOOP('',(#310820)); #59566=EDGE_LOOP('',(#310821)); #59567=EDGE_LOOP('',(#310822)); #59568=EDGE_LOOP('',(#310823)); #59569=EDGE_LOOP('',(#310824)); #59570=EDGE_LOOP('',(#310825)); #59571=EDGE_LOOP('',(#310826)); #59572=EDGE_LOOP('',(#310827)); #59573=EDGE_LOOP('',(#310828)); #59574=EDGE_LOOP('',(#310829)); #59575=EDGE_LOOP('',(#310830)); #59576=EDGE_LOOP('',(#310831)); #59577=EDGE_LOOP('',(#310832)); #59578=EDGE_LOOP('',(#310833)); #59579=EDGE_LOOP('',(#310834)); #59580=EDGE_LOOP('',(#310835)); #59581=EDGE_LOOP('',(#310836)); #59582=EDGE_LOOP('',(#310837)); #59583=EDGE_LOOP('',(#310838)); #59584=EDGE_LOOP('',(#310839)); #59585=EDGE_LOOP('',(#310840)); #59586=EDGE_LOOP('',(#310841)); #59587=EDGE_LOOP('',(#310842)); #59588=EDGE_LOOP('',(#310843)); #59589=EDGE_LOOP('',(#310844)); #59590=EDGE_LOOP('',(#310845)); #59591=EDGE_LOOP('',(#310846)); #59592=EDGE_LOOP('',(#310847)); #59593=EDGE_LOOP('',(#310848)); #59594=EDGE_LOOP('',(#310849)); #59595=EDGE_LOOP('',(#310850)); #59596=EDGE_LOOP('',(#310851)); #59597=EDGE_LOOP('',(#310852)); #59598=EDGE_LOOP('',(#310853)); #59599=EDGE_LOOP('',(#310854)); #59600=EDGE_LOOP('',(#310855)); #59601=EDGE_LOOP('',(#310856)); #59602=EDGE_LOOP('',(#310857)); #59603=EDGE_LOOP('',(#310858)); #59604=EDGE_LOOP('',(#310859)); #59605=EDGE_LOOP('',(#310860)); #59606=EDGE_LOOP('',(#310861)); #59607=EDGE_LOOP('',(#310862)); #59608=EDGE_LOOP('',(#310863)); #59609=EDGE_LOOP('',(#310864)); #59610=EDGE_LOOP('',(#310865)); #59611=EDGE_LOOP('',(#310866)); #59612=EDGE_LOOP('',(#310867)); #59613=EDGE_LOOP('',(#310868)); #59614=EDGE_LOOP('',(#310869)); #59615=EDGE_LOOP('',(#310870)); #59616=EDGE_LOOP('',(#310871)); #59617=EDGE_LOOP('',(#310872)); #59618=EDGE_LOOP('',(#310873)); #59619=EDGE_LOOP('',(#310874)); #59620=EDGE_LOOP('',(#310875)); #59621=EDGE_LOOP('',(#310876)); #59622=EDGE_LOOP('',(#310877)); #59623=EDGE_LOOP('',(#310878)); #59624=EDGE_LOOP('',(#310879)); #59625=EDGE_LOOP('',(#310880)); #59626=EDGE_LOOP('',(#310881)); #59627=EDGE_LOOP('',(#310882)); #59628=EDGE_LOOP('',(#310883)); #59629=EDGE_LOOP('',(#310884)); #59630=EDGE_LOOP('',(#310885)); #59631=EDGE_LOOP('',(#310886)); #59632=EDGE_LOOP('',(#310887)); #59633=EDGE_LOOP('',(#310888)); #59634=EDGE_LOOP('',(#310889)); #59635=EDGE_LOOP('',(#310890)); #59636=EDGE_LOOP('',(#310891)); #59637=EDGE_LOOP('',(#310892)); #59638=EDGE_LOOP('',(#310893)); #59639=EDGE_LOOP('',(#310894)); #59640=EDGE_LOOP('',(#310895)); #59641=EDGE_LOOP('',(#310896)); #59642=EDGE_LOOP('',(#310897)); #59643=EDGE_LOOP('',(#310898)); #59644=EDGE_LOOP('',(#310899)); #59645=EDGE_LOOP('',(#310900)); #59646=EDGE_LOOP('',(#310901)); #59647=EDGE_LOOP('',(#310902)); #59648=EDGE_LOOP('',(#310903)); #59649=EDGE_LOOP('',(#310904)); #59650=EDGE_LOOP('',(#310905)); #59651=EDGE_LOOP('',(#310906)); #59652=EDGE_LOOP('',(#310907)); #59653=EDGE_LOOP('',(#310908)); #59654=EDGE_LOOP('',(#310909)); #59655=EDGE_LOOP('',(#310910)); #59656=EDGE_LOOP('',(#310911)); #59657=EDGE_LOOP('',(#310912)); #59658=EDGE_LOOP('',(#310913)); #59659=EDGE_LOOP('',(#310914)); #59660=EDGE_LOOP('',(#310915)); #59661=EDGE_LOOP('',(#310916)); #59662=EDGE_LOOP('',(#310917)); #59663=EDGE_LOOP('',(#310918)); #59664=EDGE_LOOP('',(#310919)); #59665=EDGE_LOOP('',(#310920)); #59666=EDGE_LOOP('',(#310921)); #59667=EDGE_LOOP('',(#310922)); #59668=EDGE_LOOP('',(#310923)); #59669=EDGE_LOOP('',(#310924)); #59670=EDGE_LOOP('',(#310925)); #59671=EDGE_LOOP('',(#310926)); #59672=EDGE_LOOP('',(#310927)); #59673=EDGE_LOOP('',(#310928)); #59674=EDGE_LOOP('',(#310929)); #59675=EDGE_LOOP('',(#310930)); #59676=EDGE_LOOP('',(#310931)); #59677=EDGE_LOOP('',(#310932)); #59678=EDGE_LOOP('',(#310933)); #59679=EDGE_LOOP('',(#310934)); #59680=EDGE_LOOP('',(#310935)); #59681=EDGE_LOOP('',(#310936)); #59682=EDGE_LOOP('',(#310937)); #59683=EDGE_LOOP('',(#310938)); #59684=EDGE_LOOP('',(#310939)); #59685=EDGE_LOOP('',(#310940)); #59686=EDGE_LOOP('',(#310941)); #59687=EDGE_LOOP('',(#310942)); #59688=EDGE_LOOP('',(#310943)); #59689=EDGE_LOOP('',(#310944)); #59690=EDGE_LOOP('',(#310945)); #59691=EDGE_LOOP('',(#310946)); #59692=EDGE_LOOP('',(#310947)); #59693=EDGE_LOOP('',(#310948)); #59694=EDGE_LOOP('',(#310949)); #59695=EDGE_LOOP('',(#310950)); #59696=EDGE_LOOP('',(#310951)); #59697=EDGE_LOOP('',(#310952)); #59698=EDGE_LOOP('',(#310953)); #59699=EDGE_LOOP('',(#310954)); #59700=EDGE_LOOP('',(#310955)); #59701=EDGE_LOOP('',(#310956)); #59702=EDGE_LOOP('',(#310957)); #59703=EDGE_LOOP('',(#310958)); #59704=EDGE_LOOP('',(#310959)); #59705=EDGE_LOOP('',(#310960)); #59706=EDGE_LOOP('',(#310961)); #59707=EDGE_LOOP('',(#310962)); #59708=EDGE_LOOP('',(#310963)); #59709=EDGE_LOOP('',(#310964)); #59710=EDGE_LOOP('',(#310965)); #59711=EDGE_LOOP('',(#310966)); #59712=EDGE_LOOP('',(#310967)); #59713=EDGE_LOOP('',(#310968)); #59714=EDGE_LOOP('',(#310969)); #59715=EDGE_LOOP('',(#310970)); #59716=EDGE_LOOP('',(#310971)); #59717=EDGE_LOOP('',(#310972)); #59718=EDGE_LOOP('',(#310973)); #59719=EDGE_LOOP('',(#310974)); #59720=EDGE_LOOP('',(#310975)); #59721=EDGE_LOOP('',(#310976)); #59722=EDGE_LOOP('',(#310977)); #59723=EDGE_LOOP('',(#310978)); #59724=EDGE_LOOP('',(#310979)); #59725=EDGE_LOOP('',(#310980)); #59726=EDGE_LOOP('',(#310981)); #59727=EDGE_LOOP('',(#310982)); #59728=EDGE_LOOP('',(#310983)); #59729=EDGE_LOOP('',(#310984)); #59730=EDGE_LOOP('',(#310985)); #59731=EDGE_LOOP('',(#310986)); #59732=EDGE_LOOP('',(#310987,#310988,#310989,#310990)); #59733=EDGE_LOOP('',(#310991)); #59734=EDGE_LOOP('',(#310992,#310993,#310994,#310995)); #59735=EDGE_LOOP('',(#310996)); #59736=EDGE_LOOP('',(#310997)); #59737=EDGE_LOOP('',(#310998)); #59738=EDGE_LOOP('',(#310999,#311000,#311001,#311002)); #59739=EDGE_LOOP('',(#311003)); #59740=EDGE_LOOP('',(#311004)); #59741=EDGE_LOOP('',(#311005)); #59742=EDGE_LOOP('',(#311006)); #59743=EDGE_LOOP('',(#311007)); #59744=EDGE_LOOP('',(#311008)); #59745=EDGE_LOOP('',(#311009)); #59746=EDGE_LOOP('',(#311010)); #59747=EDGE_LOOP('',(#311011)); #59748=EDGE_LOOP('',(#311012)); #59749=EDGE_LOOP('',(#311013,#311014,#311015,#311016)); #59750=EDGE_LOOP('',(#311017)); #59751=EDGE_LOOP('',(#311018,#311019,#311020,#311021)); #59752=EDGE_LOOP('',(#311022)); #59753=EDGE_LOOP('',(#311023,#311024,#311025,#311026)); #59754=EDGE_LOOP('',(#311027)); #59755=EDGE_LOOP('',(#311028)); #59756=EDGE_LOOP('',(#311029)); #59757=EDGE_LOOP('',(#311030)); #59758=EDGE_LOOP('',(#311031)); #59759=EDGE_LOOP('',(#311032)); #59760=EDGE_LOOP('',(#311033)); #59761=EDGE_LOOP('',(#311034)); #59762=EDGE_LOOP('',(#311035)); #59763=EDGE_LOOP('',(#311036)); #59764=EDGE_LOOP('',(#311037)); #59765=EDGE_LOOP('',(#311038)); #59766=EDGE_LOOP('',(#311039)); #59767=EDGE_LOOP('',(#311040)); #59768=EDGE_LOOP('',(#311041)); #59769=EDGE_LOOP('',(#311042)); #59770=EDGE_LOOP('',(#311043)); #59771=EDGE_LOOP('',(#311044)); #59772=EDGE_LOOP('',(#311045)); #59773=EDGE_LOOP('',(#311046)); #59774=EDGE_LOOP('',(#311047)); #59775=EDGE_LOOP('',(#311048)); #59776=EDGE_LOOP('',(#311049)); #59777=EDGE_LOOP('',(#311050)); #59778=EDGE_LOOP('',(#311051)); #59779=EDGE_LOOP('',(#311052)); #59780=EDGE_LOOP('',(#311053)); #59781=EDGE_LOOP('',(#311054)); #59782=EDGE_LOOP('',(#311055)); #59783=EDGE_LOOP('',(#311056)); #59784=EDGE_LOOP('',(#311057)); #59785=EDGE_LOOP('',(#311058)); #59786=EDGE_LOOP('',(#311059)); #59787=EDGE_LOOP('',(#311060)); #59788=EDGE_LOOP('',(#311061)); #59789=EDGE_LOOP('',(#311062)); #59790=EDGE_LOOP('',(#311063)); #59791=EDGE_LOOP('',(#311064)); #59792=EDGE_LOOP('',(#311065)); #59793=EDGE_LOOP('',(#311066)); #59794=EDGE_LOOP('',(#311067)); #59795=EDGE_LOOP('',(#311068)); #59796=EDGE_LOOP('',(#311069)); #59797=EDGE_LOOP('',(#311070)); #59798=EDGE_LOOP('',(#311071)); #59799=EDGE_LOOP('',(#311072)); #59800=EDGE_LOOP('',(#311073)); #59801=EDGE_LOOP('',(#311074)); #59802=EDGE_LOOP('',(#311075)); #59803=EDGE_LOOP('',(#311076)); #59804=EDGE_LOOP('',(#311077)); #59805=EDGE_LOOP('',(#311078)); #59806=EDGE_LOOP('',(#311079)); #59807=EDGE_LOOP('',(#311080)); #59808=EDGE_LOOP('',(#311081)); #59809=EDGE_LOOP('',(#311082)); #59810=EDGE_LOOP('',(#311083)); #59811=EDGE_LOOP('',(#311084)); #59812=EDGE_LOOP('',(#311085)); #59813=EDGE_LOOP('',(#311086)); #59814=EDGE_LOOP('',(#311087)); #59815=EDGE_LOOP('',(#311088)); #59816=EDGE_LOOP('',(#311089)); #59817=EDGE_LOOP('',(#311090)); #59818=EDGE_LOOP('',(#311091)); #59819=EDGE_LOOP('',(#311092)); #59820=EDGE_LOOP('',(#311093)); #59821=EDGE_LOOP('',(#311094)); #59822=EDGE_LOOP('',(#311095)); #59823=EDGE_LOOP('',(#311096)); #59824=EDGE_LOOP('',(#311097)); #59825=EDGE_LOOP('',(#311098)); #59826=EDGE_LOOP('',(#311099)); #59827=EDGE_LOOP('',(#311100)); #59828=EDGE_LOOP('',(#311101)); #59829=EDGE_LOOP('',(#311102)); #59830=EDGE_LOOP('',(#311103)); #59831=EDGE_LOOP('',(#311104)); #59832=EDGE_LOOP('',(#311105)); #59833=EDGE_LOOP('',(#311106)); #59834=EDGE_LOOP('',(#311107)); #59835=EDGE_LOOP('',(#311108)); #59836=EDGE_LOOP('',(#311109)); #59837=EDGE_LOOP('',(#311110)); #59838=EDGE_LOOP('',(#311111)); #59839=EDGE_LOOP('',(#311112)); #59840=EDGE_LOOP('',(#311113)); #59841=EDGE_LOOP('',(#311114)); #59842=EDGE_LOOP('',(#311115)); #59843=EDGE_LOOP('',(#311116)); #59844=EDGE_LOOP('',(#311117)); #59845=EDGE_LOOP('',(#311118)); #59846=EDGE_LOOP('',(#311119)); #59847=EDGE_LOOP('',(#311120)); #59848=EDGE_LOOP('',(#311121)); #59849=EDGE_LOOP('',(#311122)); #59850=EDGE_LOOP('',(#311123)); #59851=EDGE_LOOP('',(#311124)); #59852=EDGE_LOOP('',(#311125)); #59853=EDGE_LOOP('',(#311126)); #59854=EDGE_LOOP('',(#311127)); #59855=EDGE_LOOP('',(#311128)); #59856=EDGE_LOOP('',(#311129)); #59857=EDGE_LOOP('',(#311130)); #59858=EDGE_LOOP('',(#311131)); #59859=EDGE_LOOP('',(#311132)); #59860=EDGE_LOOP('',(#311133)); #59861=EDGE_LOOP('',(#311134)); #59862=EDGE_LOOP('',(#311135)); #59863=EDGE_LOOP('',(#311136)); #59864=EDGE_LOOP('',(#311137)); #59865=EDGE_LOOP('',(#311138)); #59866=EDGE_LOOP('',(#311139)); #59867=EDGE_LOOP('',(#311140)); #59868=EDGE_LOOP('',(#311141)); #59869=EDGE_LOOP('',(#311142)); #59870=EDGE_LOOP('',(#311143)); #59871=EDGE_LOOP('',(#311144)); #59872=EDGE_LOOP('',(#311145)); #59873=EDGE_LOOP('',(#311146)); #59874=EDGE_LOOP('',(#311147)); #59875=EDGE_LOOP('',(#311148)); #59876=EDGE_LOOP('',(#311149)); #59877=EDGE_LOOP('',(#311150)); #59878=EDGE_LOOP('',(#311151)); #59879=EDGE_LOOP('',(#311152)); #59880=EDGE_LOOP('',(#311153)); #59881=EDGE_LOOP('',(#311154)); #59882=EDGE_LOOP('',(#311155)); #59883=EDGE_LOOP('',(#311156)); #59884=EDGE_LOOP('',(#311157)); #59885=EDGE_LOOP('',(#311158)); #59886=EDGE_LOOP('',(#311159,#311160,#311161,#311162)); #59887=EDGE_LOOP('',(#311163,#311164,#311165,#311166)); #59888=EDGE_LOOP('',(#311167,#311168,#311169,#311170,#311171,#311172,#311173, #311174,#311175,#311176,#311177,#311178,#311179,#311180,#311181,#311182, #311183,#311184,#311185,#311186,#311187,#311188,#311189,#311190,#311191, #311192)); #59889=EDGE_LOOP('',(#311193,#311194,#311195,#311196)); #59890=EDGE_LOOP('',(#311197,#311198,#311199,#311200,#311201,#311202)); #59891=EDGE_LOOP('',(#311203,#311204,#311205,#311206,#311207,#311208,#311209, #311210)); #59892=EDGE_LOOP('',(#311211,#311212,#311213,#311214,#311215,#311216,#311217, #311218)); #59893=EDGE_LOOP('',(#311219,#311220,#311221,#311222)); #59894=EDGE_LOOP('',(#311223,#311224,#311225,#311226)); #59895=EDGE_LOOP('',(#311227,#311228,#311229,#311230)); #59896=EDGE_LOOP('',(#311231,#311232,#311233,#311234)); #59897=EDGE_LOOP('',(#311235,#311236,#311237,#311238)); #59898=EDGE_LOOP('',(#311239,#311240,#311241,#311242)); #59899=EDGE_LOOP('',(#311243,#311244,#311245,#311246)); #59900=EDGE_LOOP('',(#311247,#311248,#311249,#311250)); #59901=EDGE_LOOP('',(#311251,#311252,#311253,#311254)); #59902=EDGE_LOOP('',(#311255,#311256,#311257,#311258)); #59903=EDGE_LOOP('',(#311259,#311260,#311261,#311262)); #59904=EDGE_LOOP('',(#311263,#311264,#311265,#311266)); #59905=EDGE_LOOP('',(#311267,#311268,#311269,#311270)); #59906=EDGE_LOOP('',(#311271,#311272,#311273,#311274)); #59907=EDGE_LOOP('',(#311275,#311276,#311277,#311278)); #59908=EDGE_LOOP('',(#311279,#311280,#311281,#311282,#311283,#311284,#311285, #311286,#311287,#311288,#311289,#311290,#311291,#311292,#311293,#311294, #311295,#311296,#311297,#311298)); #59909=EDGE_LOOP('',(#311299,#311300,#311301,#311302)); #59910=EDGE_LOOP('',(#311303,#311304,#311305,#311306)); #59911=EDGE_LOOP('',(#311307,#311308,#311309,#311310)); #59912=EDGE_LOOP('',(#311311,#311312,#311313,#311314,#311315,#311316,#311317, #311318)); #59913=EDGE_LOOP('',(#311319,#311320,#311321,#311322)); #59914=EDGE_LOOP('',(#311323,#311324,#311325,#311326)); #59915=EDGE_LOOP('',(#311327,#311328,#311329,#311330)); #59916=EDGE_LOOP('',(#311331,#311332,#311333,#311334)); #59917=EDGE_LOOP('',(#311335,#311336,#311337,#311338)); #59918=EDGE_LOOP('',(#311339,#311340,#311341,#311342)); #59919=EDGE_LOOP('',(#311343,#311344,#311345,#311346)); #59920=EDGE_LOOP('',(#311347,#311348,#311349,#311350)); #59921=EDGE_LOOP('',(#311351,#311352,#311353,#311354)); #59922=EDGE_LOOP('',(#311355,#311356,#311357,#311358)); #59923=EDGE_LOOP('',(#311359,#311360,#311361,#311362)); #59924=EDGE_LOOP('',(#311363,#311364,#311365,#311366)); #59925=EDGE_LOOP('',(#311367,#311368,#311369,#311370)); #59926=EDGE_LOOP('',(#311371,#311372,#311373,#311374)); #59927=EDGE_LOOP('',(#311375,#311376,#311377,#311378)); #59928=EDGE_LOOP('',(#311379,#311380,#311381,#311382)); #59929=EDGE_LOOP('',(#311383,#311384,#311385,#311386)); #59930=EDGE_LOOP('',(#311387,#311388,#311389,#311390)); #59931=EDGE_LOOP('',(#311391,#311392,#311393,#311394)); #59932=EDGE_LOOP('',(#311395,#311396,#311397,#311398)); #59933=EDGE_LOOP('',(#311399,#311400,#311401,#311402)); #59934=EDGE_LOOP('',(#311403,#311404,#311405,#311406)); #59935=EDGE_LOOP('',(#311407,#311408,#311409,#311410)); #59936=EDGE_LOOP('',(#311411,#311412,#311413,#311414)); #59937=EDGE_LOOP('',(#311415,#311416,#311417,#311418)); #59938=EDGE_LOOP('',(#311419,#311420,#311421,#311422)); #59939=EDGE_LOOP('',(#311423,#311424,#311425,#311426)); #59940=EDGE_LOOP('',(#311427,#311428,#311429,#311430)); #59941=EDGE_LOOP('',(#311431,#311432,#311433,#311434)); #59942=EDGE_LOOP('',(#311435,#311436,#311437,#311438)); #59943=EDGE_LOOP('',(#311439,#311440,#311441,#311442)); #59944=EDGE_LOOP('',(#311443,#311444,#311445,#311446)); #59945=EDGE_LOOP('',(#311447,#311448,#311449,#311450)); #59946=EDGE_LOOP('',(#311451,#311452,#311453,#311454)); #59947=EDGE_LOOP('',(#311455,#311456,#311457,#311458)); #59948=EDGE_LOOP('',(#311459,#311460,#311461,#311462)); #59949=EDGE_LOOP('',(#311463,#311464,#311465,#311466)); #59950=EDGE_LOOP('',(#311467,#311468,#311469,#311470)); #59951=EDGE_LOOP('',(#311471,#311472,#311473,#311474)); #59952=EDGE_LOOP('',(#311475,#311476,#311477,#311478)); #59953=EDGE_LOOP('',(#311479,#311480,#311481,#311482)); #59954=EDGE_LOOP('',(#311483,#311484,#311485,#311486)); #59955=EDGE_LOOP('',(#311487,#311488,#311489,#311490)); #59956=EDGE_LOOP('',(#311491,#311492,#311493,#311494)); #59957=EDGE_LOOP('',(#311495,#311496,#311497,#311498)); #59958=EDGE_LOOP('',(#311499,#311500,#311501,#311502)); #59959=EDGE_LOOP('',(#311503,#311504,#311505,#311506)); #59960=EDGE_LOOP('',(#311507,#311508,#311509,#311510)); #59961=EDGE_LOOP('',(#311511,#311512,#311513,#311514)); #59962=EDGE_LOOP('',(#311515,#311516,#311517,#311518)); #59963=EDGE_LOOP('',(#311519,#311520,#311521,#311522)); #59964=EDGE_LOOP('',(#311523,#311524,#311525,#311526)); #59965=EDGE_LOOP('',(#311527,#311528,#311529,#311530)); #59966=EDGE_LOOP('',(#311531,#311532,#311533,#311534)); #59967=EDGE_LOOP('',(#311535,#311536,#311537,#311538)); #59968=EDGE_LOOP('',(#311539,#311540,#311541,#311542)); #59969=EDGE_LOOP('',(#311543,#311544,#311545,#311546)); #59970=EDGE_LOOP('',(#311547,#311548,#311549,#311550)); #59971=EDGE_LOOP('',(#311551,#311552,#311553,#311554)); #59972=EDGE_LOOP('',(#311555,#311556,#311557,#311558)); #59973=EDGE_LOOP('',(#311559,#311560,#311561,#311562)); #59974=EDGE_LOOP('',(#311563,#311564,#311565,#311566)); #59975=EDGE_LOOP('',(#311567,#311568,#311569,#311570)); #59976=EDGE_LOOP('',(#311571,#311572,#311573,#311574)); #59977=EDGE_LOOP('',(#311575,#311576,#311577,#311578)); #59978=EDGE_LOOP('',(#311579,#311580,#311581,#311582)); #59979=EDGE_LOOP('',(#311583,#311584,#311585,#311586)); #59980=EDGE_LOOP('',(#311587,#311588,#311589,#311590)); #59981=EDGE_LOOP('',(#311591,#311592,#311593,#311594)); #59982=EDGE_LOOP('',(#311595,#311596,#311597,#311598)); #59983=EDGE_LOOP('',(#311599,#311600,#311601,#311602)); #59984=EDGE_LOOP('',(#311603,#311604,#311605,#311606)); #59985=EDGE_LOOP('',(#311607,#311608,#311609,#311610)); #59986=EDGE_LOOP('',(#311611,#311612,#311613,#311614)); #59987=EDGE_LOOP('',(#311615,#311616,#311617,#311618)); #59988=EDGE_LOOP('',(#311619,#311620,#311621,#311622)); #59989=EDGE_LOOP('',(#311623,#311624,#311625,#311626)); #59990=EDGE_LOOP('',(#311627,#311628,#311629,#311630)); #59991=EDGE_LOOP('',(#311631,#311632,#311633,#311634)); #59992=EDGE_LOOP('',(#311635,#311636,#311637,#311638)); #59993=EDGE_LOOP('',(#311639,#311640,#311641,#311642)); #59994=EDGE_LOOP('',(#311643,#311644,#311645,#311646)); #59995=EDGE_LOOP('',(#311647,#311648,#311649,#311650)); #59996=EDGE_LOOP('',(#311651,#311652,#311653,#311654)); #59997=EDGE_LOOP('',(#311655,#311656,#311657,#311658)); #59998=EDGE_LOOP('',(#311659,#311660,#311661)); #59999=EDGE_LOOP('',(#311662,#311663,#311664)); #60000=EDGE_LOOP('',(#311665,#311666,#311667)); #60001=EDGE_LOOP('',(#311668,#311669,#311670)); #60002=EDGE_LOOP('',(#311671,#311672,#311673)); #60003=EDGE_LOOP('',(#311674,#311675,#311676,#311677)); #60004=EDGE_LOOP('',(#311678,#311679,#311680)); #60005=EDGE_LOOP('',(#311681,#311682,#311683)); #60006=EDGE_LOOP('',(#311684,#311685,#311686,#311687)); #60007=EDGE_LOOP('',(#311688,#311689,#311690,#311691)); #60008=EDGE_LOOP('',(#311692,#311693,#311694)); #60009=EDGE_LOOP('',(#311695,#311696,#311697,#311698)); #60010=EDGE_LOOP('',(#311699,#311700,#311701,#311702)); #60011=EDGE_LOOP('',(#311703,#311704,#311705,#311706)); #60012=EDGE_LOOP('',(#311707,#311708,#311709,#311710)); #60013=EDGE_LOOP('',(#311711,#311712,#311713,#311714)); #60014=EDGE_LOOP('',(#311715,#311716,#311717,#311718)); #60015=EDGE_LOOP('',(#311719,#311720,#311721,#311722)); #60016=EDGE_LOOP('',(#311723,#311724,#311725,#311726)); #60017=EDGE_LOOP('',(#311727,#311728,#311729,#311730)); #60018=EDGE_LOOP('',(#311731,#311732,#311733,#311734)); #60019=EDGE_LOOP('',(#311735,#311736,#311737,#311738)); #60020=EDGE_LOOP('',(#311739,#311740,#311741,#311742)); #60021=EDGE_LOOP('',(#311743,#311744,#311745,#311746)); #60022=EDGE_LOOP('',(#311747,#311748,#311749,#311750)); #60023=EDGE_LOOP('',(#311751,#311752,#311753,#311754)); #60024=EDGE_LOOP('',(#311755,#311756,#311757)); #60025=EDGE_LOOP('',(#311758,#311759,#311760)); #60026=EDGE_LOOP('',(#311761,#311762,#311763)); #60027=EDGE_LOOP('',(#311764,#311765,#311766)); #60028=EDGE_LOOP('',(#311767,#311768,#311769)); #60029=EDGE_LOOP('',(#311770,#311771,#311772,#311773)); #60030=EDGE_LOOP('',(#311774,#311775,#311776)); #60031=EDGE_LOOP('',(#311777,#311778,#311779)); #60032=EDGE_LOOP('',(#311780,#311781,#311782,#311783)); #60033=EDGE_LOOP('',(#311784,#311785,#311786,#311787)); #60034=EDGE_LOOP('',(#311788,#311789,#311790)); #60035=EDGE_LOOP('',(#311791,#311792,#311793,#311794)); #60036=EDGE_LOOP('',(#311795,#311796,#311797,#311798)); #60037=EDGE_LOOP('',(#311799,#311800,#311801,#311802)); #60038=EDGE_LOOP('',(#311803,#311804,#311805,#311806)); #60039=EDGE_LOOP('',(#311807,#311808,#311809,#311810)); #60040=EDGE_LOOP('',(#311811,#311812,#311813,#311814)); #60041=EDGE_LOOP('',(#311815,#311816,#311817,#311818)); #60042=EDGE_LOOP('',(#311819,#311820,#311821,#311822)); #60043=EDGE_LOOP('',(#311823,#311824,#311825,#311826)); #60044=EDGE_LOOP('',(#311827,#311828,#311829,#311830)); #60045=EDGE_LOOP('',(#311831,#311832,#311833,#311834)); #60046=EDGE_LOOP('',(#311835,#311836,#311837,#311838)); #60047=EDGE_LOOP('',(#311839,#311840,#311841,#311842)); #60048=EDGE_LOOP('',(#311843,#311844,#311845,#311846)); #60049=EDGE_LOOP('',(#311847,#311848,#311849,#311850)); #60050=EDGE_LOOP('',(#311851,#311852,#311853,#311854)); #60051=EDGE_LOOP('',(#311855,#311856,#311857,#311858)); #60052=EDGE_LOOP('',(#311859,#311860,#311861,#311862)); #60053=EDGE_LOOP('',(#311863,#311864,#311865,#311866)); #60054=EDGE_LOOP('',(#311867,#311868,#311869,#311870)); #60055=EDGE_LOOP('',(#311871,#311872,#311873,#311874)); #60056=EDGE_LOOP('',(#311875,#311876,#311877,#311878)); #60057=EDGE_LOOP('',(#311879,#311880,#311881,#311882)); #60058=EDGE_LOOP('',(#311883,#311884,#311885,#311886)); #60059=EDGE_LOOP('',(#311887,#311888,#311889,#311890)); #60060=EDGE_LOOP('',(#311891,#311892,#311893,#311894)); #60061=EDGE_LOOP('',(#311895,#311896,#311897,#311898)); #60062=EDGE_LOOP('',(#311899,#311900,#311901,#311902)); #60063=EDGE_LOOP('',(#311903,#311904,#311905,#311906)); #60064=EDGE_LOOP('',(#311907,#311908,#311909,#311910)); #60065=EDGE_LOOP('',(#311911,#311912,#311913,#311914)); #60066=EDGE_LOOP('',(#311915,#311916,#311917,#311918)); #60067=EDGE_LOOP('',(#311919,#311920,#311921,#311922)); #60068=EDGE_LOOP('',(#311923,#311924,#311925,#311926,#311927,#311928,#311929, #311930,#311931,#311932,#311933,#311934,#311935,#311936,#311937,#311938)); #60069=EDGE_LOOP('',(#311939,#311940,#311941,#311942)); #60070=EDGE_LOOP('',(#311943,#311944,#311945,#311946,#311947,#311948,#311949, #311950)); #60071=EDGE_LOOP('',(#311951,#311952,#311953,#311954,#311955,#311956,#311957, #311958)); #60072=EDGE_LOOP('',(#311959,#311960,#311961,#311962)); #60073=EDGE_LOOP('',(#311963,#311964,#311965,#311966)); #60074=EDGE_LOOP('',(#311967,#311968,#311969,#311970,#311971,#311972,#311973, #311974,#311975,#311976,#311977,#311978,#311979,#311980,#311981,#311982)); #60075=EDGE_LOOP('',(#311983,#311984,#311985,#311986)); #60076=EDGE_LOOP('',(#311987,#311988,#311989,#311990,#311991,#311992,#311993, #311994,#311995,#311996,#311997,#311998,#311999,#312000,#312001,#312002, #312003,#312004,#312005)); #60077=EDGE_LOOP('',(#312006,#312007,#312008,#312009,#312010)); #60078=EDGE_LOOP('',(#312011,#312012,#312013,#312014,#312015)); #60079=EDGE_LOOP('',(#312016,#312017,#312018,#312019,#312020,#312021,#312022, #312023)); #60080=EDGE_LOOP('',(#312024,#312025,#312026,#312027)); #60081=EDGE_LOOP('',(#312028,#312029,#312030,#312031)); #60082=EDGE_LOOP('',(#312032,#312033,#312034,#312035)); #60083=EDGE_LOOP('',(#312036,#312037,#312038,#312039)); #60084=EDGE_LOOP('',(#312040,#312041,#312042,#312043)); #60085=EDGE_LOOP('',(#312044,#312045,#312046,#312047)); #60086=EDGE_LOOP('',(#312048,#312049,#312050,#312051)); #60087=EDGE_LOOP('',(#312052,#312053,#312054,#312055)); #60088=EDGE_LOOP('',(#312056,#312057,#312058,#312059)); #60089=EDGE_LOOP('',(#312060,#312061,#312062,#312063)); #60090=EDGE_LOOP('',(#312064,#312065,#312066,#312067)); #60091=EDGE_LOOP('',(#312068,#312069,#312070,#312071)); #60092=EDGE_LOOP('',(#312072,#312073,#312074,#312075)); #60093=EDGE_LOOP('',(#312076,#312077,#312078,#312079)); #60094=EDGE_LOOP('',(#312080,#312081,#312082,#312083)); #60095=EDGE_LOOP('',(#312084,#312085,#312086,#312087)); #60096=EDGE_LOOP('',(#312088,#312089,#312090,#312091)); #60097=EDGE_LOOP('',(#312092,#312093,#312094,#312095)); #60098=EDGE_LOOP('',(#312096,#312097,#312098,#312099)); #60099=EDGE_LOOP('',(#312100,#312101,#312102,#312103)); #60100=EDGE_LOOP('',(#312104,#312105,#312106,#312107)); #60101=EDGE_LOOP('',(#312108,#312109,#312110,#312111)); #60102=EDGE_LOOP('',(#312112,#312113,#312114,#312115)); #60103=EDGE_LOOP('',(#312116,#312117,#312118,#312119)); #60104=EDGE_LOOP('',(#312120,#312121,#312122,#312123)); #60105=EDGE_LOOP('',(#312124,#312125,#312126,#312127)); #60106=EDGE_LOOP('',(#312128,#312129,#312130,#312131)); #60107=EDGE_LOOP('',(#312132,#312133,#312134,#312135)); #60108=EDGE_LOOP('',(#312136,#312137,#312138,#312139)); #60109=EDGE_LOOP('',(#312140,#312141,#312142,#312143)); #60110=EDGE_LOOP('',(#312144,#312145,#312146,#312147)); #60111=EDGE_LOOP('',(#312148,#312149,#312150,#312151)); #60112=EDGE_LOOP('',(#312152,#312153,#312154,#312155)); #60113=EDGE_LOOP('',(#312156,#312157,#312158,#312159)); #60114=EDGE_LOOP('',(#312160,#312161,#312162,#312163)); #60115=EDGE_LOOP('',(#312164,#312165,#312166,#312167)); #60116=EDGE_LOOP('',(#312168,#312169,#312170,#312171)); #60117=EDGE_LOOP('',(#312172,#312173,#312174,#312175)); #60118=EDGE_LOOP('',(#312176,#312177,#312178,#312179)); #60119=EDGE_LOOP('',(#312180,#312181,#312182,#312183)); #60120=EDGE_LOOP('',(#312184,#312185,#312186,#312187)); #60121=EDGE_LOOP('',(#312188,#312189,#312190,#312191)); #60122=EDGE_LOOP('',(#312192,#312193,#312194,#312195)); #60123=EDGE_LOOP('',(#312196,#312197,#312198,#312199)); #60124=EDGE_LOOP('',(#312200,#312201,#312202,#312203)); #60125=EDGE_LOOP('',(#312204,#312205,#312206,#312207)); #60126=EDGE_LOOP('',(#312208,#312209,#312210,#312211)); #60127=EDGE_LOOP('',(#312212,#312213,#312214,#312215)); #60128=EDGE_LOOP('',(#312216,#312217,#312218,#312219)); #60129=EDGE_LOOP('',(#312220,#312221,#312222,#312223)); #60130=EDGE_LOOP('',(#312224,#312225,#312226,#312227)); #60131=EDGE_LOOP('',(#312228,#312229,#312230,#312231)); #60132=EDGE_LOOP('',(#312232,#312233,#312234,#312235)); #60133=EDGE_LOOP('',(#312236,#312237,#312238,#312239)); #60134=EDGE_LOOP('',(#312240,#312241,#312242,#312243)); #60135=EDGE_LOOP('',(#312244,#312245,#312246,#312247)); #60136=EDGE_LOOP('',(#312248,#312249,#312250,#312251)); #60137=EDGE_LOOP('',(#312252,#312253,#312254,#312255)); #60138=EDGE_LOOP('',(#312256,#312257,#312258,#312259)); #60139=EDGE_LOOP('',(#312260,#312261,#312262,#312263)); #60140=EDGE_LOOP('',(#312264,#312265,#312266,#312267,#312268,#312269)); #60141=EDGE_LOOP('',(#312270,#312271,#312272,#312273,#312274,#312275)); #60142=EDGE_LOOP('',(#312276,#312277,#312278,#312279,#312280,#312281)); #60143=EDGE_LOOP('',(#312282,#312283,#312284,#312285,#312286,#312287,#312288, #312289,#312290,#312291,#312292,#312293,#312294,#312295,#312296,#312297, #312298,#312299,#312300,#312301,#312302,#312303,#312304,#312305,#312306, #312307,#312308,#312309,#312310,#312311,#312312,#312313,#312314,#312315, #312316,#312317,#312318,#312319,#312320,#312321,#312322,#312323,#312324, #312325,#312326,#312327,#312328,#312329,#312330,#312331,#312332,#312333, #312334,#312335,#312336,#312337,#312338,#312339,#312340,#312341,#312342, #312343,#312344,#312345,#312346,#312347,#312348,#312349,#312350,#312351, #312352,#312353,#312354,#312355,#312356,#312357)); #60144=EDGE_LOOP('',(#312358,#312359,#312360,#312361,#312362,#312363,#312364)); #60145=EDGE_LOOP('',(#312365,#312366,#312367,#312368,#312369,#312370,#312371)); #60146=EDGE_LOOP('',(#312372,#312373,#312374,#312375)); #60147=EDGE_LOOP('',(#312376,#312377,#312378,#312379)); #60148=EDGE_LOOP('',(#312380,#312381,#312382,#312383)); #60149=EDGE_LOOP('',(#312384,#312385,#312386,#312387,#312388,#312389,#312390, #312391,#312392,#312393,#312394,#312395,#312396,#312397)); #60150=EDGE_LOOP('',(#312398,#312399,#312400,#312401)); #60151=EDGE_LOOP('',(#312402,#312403,#312404,#312405)); #60152=EDGE_LOOP('',(#312406,#312407,#312408,#312409,#312410,#312411,#312412, #312413,#312414,#312415,#312416,#312417)); #60153=EDGE_LOOP('',(#312418,#312419,#312420,#312421)); #60154=EDGE_LOOP('',(#312422,#312423,#312424,#312425)); #60155=EDGE_LOOP('',(#312426,#312427,#312428,#312429,#312430,#312431,#312432, #312433,#312434,#312435,#312436,#312437)); #60156=EDGE_LOOP('',(#312438,#312439,#312440,#312441)); #60157=EDGE_LOOP('',(#312442,#312443,#312444,#312445)); #60158=EDGE_LOOP('',(#312446,#312447,#312448,#312449)); #60159=EDGE_LOOP('',(#312450,#312451,#312452,#312453)); #60160=EDGE_LOOP('',(#312454,#312455,#312456,#312457)); #60161=EDGE_LOOP('',(#312458,#312459,#312460,#312461,#312462,#312463)); #60162=EDGE_LOOP('',(#312464,#312465,#312466,#312467)); #60163=EDGE_LOOP('',(#312468,#312469,#312470,#312471)); #60164=EDGE_LOOP('',(#312472,#312473,#312474,#312475,#312476,#312477)); #60165=EDGE_LOOP('',(#312478,#312479,#312480,#312481,#312482,#312483,#312484, #312485,#312486,#312487,#312488,#312489,#312490,#312491)); #60166=EDGE_LOOP('',(#312492,#312493,#312494,#312495,#312496,#312497,#312498, #312499)); #60167=EDGE_LOOP('',(#312500,#312501,#312502,#312503)); #60168=EDGE_LOOP('',(#312504,#312505,#312506,#312507,#312508,#312509,#312510, #312511)); #60169=EDGE_LOOP('',(#312512,#312513,#312514,#312515,#312516,#312517,#312518, #312519,#312520,#312521,#312522,#312523)); #60170=EDGE_LOOP('',(#312524,#312525,#312526,#312527,#312528,#312529,#312530, #312531)); #60171=EDGE_LOOP('',(#312532,#312533,#312534,#312535)); #60172=EDGE_LOOP('',(#312536,#312537,#312538,#312539)); #60173=EDGE_LOOP('',(#312540,#312541,#312542,#312543)); #60174=EDGE_LOOP('',(#312544,#312545,#312546,#312547)); #60175=EDGE_LOOP('',(#312548,#312549,#312550,#312551)); #60176=EDGE_LOOP('',(#312552,#312553,#312554,#312555)); #60177=EDGE_LOOP('',(#312556,#312557,#312558,#312559)); #60178=EDGE_LOOP('',(#312560,#312561,#312562,#312563)); #60179=EDGE_LOOP('',(#312564,#312565,#312566,#312567)); #60180=EDGE_LOOP('',(#312568,#312569,#312570,#312571)); #60181=EDGE_LOOP('',(#312572,#312573,#312574,#312575,#312576,#312577,#312578, #312579,#312580,#312581,#312582,#312583)); #60182=EDGE_LOOP('',(#312584,#312585,#312586,#312587,#312588,#312589,#312590, #312591,#312592,#312593,#312594,#312595)); #60183=EDGE_LOOP('',(#312596,#312597,#312598,#312599)); #60184=EDGE_LOOP('',(#312600,#312601,#312602,#312603)); #60185=EDGE_LOOP('',(#312604,#312605,#312606,#312607)); #60186=EDGE_LOOP('',(#312608,#312609,#312610,#312611)); #60187=EDGE_LOOP('',(#312612,#312613,#312614,#312615)); #60188=EDGE_LOOP('',(#312616,#312617,#312618,#312619)); #60189=EDGE_LOOP('',(#312620,#312621,#312622,#312623)); #60190=EDGE_LOOP('',(#312624,#312625,#312626,#312627)); #60191=EDGE_LOOP('',(#312628,#312629,#312630,#312631)); #60192=EDGE_LOOP('',(#312632,#312633,#312634,#312635)); #60193=EDGE_LOOP('',(#312636,#312637,#312638,#312639)); #60194=EDGE_LOOP('',(#312640,#312641,#312642,#312643)); #60195=EDGE_LOOP('',(#312644,#312645,#312646,#312647)); #60196=EDGE_LOOP('',(#312648,#312649,#312650,#312651)); #60197=EDGE_LOOP('',(#312652,#312653,#312654,#312655)); #60198=EDGE_LOOP('',(#312656,#312657,#312658,#312659)); #60199=EDGE_LOOP('',(#312660,#312661,#312662,#312663,#312664,#312665)); #60200=EDGE_LOOP('',(#312666,#312667,#312668,#312669,#312670,#312671)); #60201=EDGE_LOOP('',(#312672,#312673,#312674,#312675,#312676)); #60202=EDGE_LOOP('',(#312677,#312678,#312679,#312680,#312681)); #60203=EDGE_LOOP('',(#312682,#312683,#312684,#312685)); #60204=EDGE_LOOP('',(#312686,#312687,#312688,#312689,#312690)); #60205=EDGE_LOOP('',(#312691,#312692,#312693,#312694,#312695)); #60206=EDGE_LOOP('',(#312696,#312697,#312698,#312699)); #60207=EDGE_LOOP('',(#312700,#312701,#312702,#312703,#312704)); #60208=EDGE_LOOP('',(#312705,#312706,#312707,#312708,#312709,#312710,#312711, #312712,#312713,#312714,#312715)); #60209=EDGE_LOOP('',(#312716,#312717,#312718,#312719)); #60210=EDGE_LOOP('',(#312720,#312721,#312722,#312723,#312724)); #60211=EDGE_LOOP('',(#312725,#312726,#312727,#312728,#312729,#312730,#312731, #312732)); #60212=EDGE_LOOP('',(#312733,#312734,#312735,#312736)); #60213=EDGE_LOOP('',(#312737,#312738,#312739,#312740)); #60214=EDGE_LOOP('',(#312741,#312742,#312743,#312744,#312745)); #60215=EDGE_LOOP('',(#312746,#312747,#312748,#312749,#312750,#312751,#312752, #312753,#312754,#312755,#312756)); #60216=EDGE_LOOP('',(#312757,#312758,#312759,#312760)); #60217=EDGE_LOOP('',(#312761,#312762,#312763,#312764,#312765)); #60218=EDGE_LOOP('',(#312766,#312767,#312768,#312769,#312770)); #60219=EDGE_LOOP('',(#312771,#312772,#312773,#312774,#312775)); #60220=EDGE_LOOP('',(#312776,#312777,#312778,#312779)); #60221=EDGE_LOOP('',(#312780,#312781,#312782,#312783)); #60222=EDGE_LOOP('',(#312784,#312785,#312786,#312787)); #60223=EDGE_LOOP('',(#312788,#312789,#312790,#312791,#312792,#312793)); #60224=EDGE_LOOP('',(#312794,#312795,#312796,#312797)); #60225=EDGE_LOOP('',(#312798,#312799,#312800,#312801)); #60226=EDGE_LOOP('',(#312802,#312803,#312804,#312805,#312806,#312807,#312808, #312809)); #60227=EDGE_LOOP('',(#312810)); #60228=EDGE_LOOP('',(#312811)); #60229=EDGE_LOOP('',(#312812,#312813,#312814,#312815)); #60230=EDGE_LOOP('',(#312816)); #60231=EDGE_LOOP('',(#312817,#312818,#312819,#312820)); #60232=EDGE_LOOP('',(#312821)); #60233=EDGE_LOOP('',(#312822,#312823,#312824,#312825)); #60234=EDGE_LOOP('',(#312826,#312827,#312828,#312829)); #60235=EDGE_LOOP('',(#312830,#312831,#312832,#312833)); #60236=EDGE_LOOP('',(#312834,#312835,#312836,#312837)); #60237=EDGE_LOOP('',(#312838,#312839,#312840,#312841,#312842,#312843,#312844, #312845)); #60238=EDGE_LOOP('',(#312846,#312847,#312848,#312849)); #60239=EDGE_LOOP('',(#312850,#312851,#312852,#312853)); #60240=EDGE_LOOP('',(#312854,#312855,#312856,#312857)); #60241=EDGE_LOOP('',(#312858,#312859,#312860,#312861)); #60242=EDGE_LOOP('',(#312862,#312863,#312864,#312865,#312866,#312867,#312868, #312869,#312870,#312871,#312872,#312873,#312874,#312875,#312876,#312877, #312878,#312879,#312880,#312881,#312882,#312883,#312884,#312885,#312886, #312887,#312888,#312889,#312890,#312891,#312892,#312893,#312894,#312895, #312896,#312897,#312898,#312899,#312900,#312901,#312902,#312903,#312904, #312905,#312906,#312907,#312908,#312909,#312910,#312911,#312912,#312913)); #60243=EDGE_LOOP('',(#312914,#312915,#312916,#312917)); #60244=EDGE_LOOP('',(#312918,#312919,#312920,#312921)); #60245=EDGE_LOOP('',(#312922,#312923,#312924,#312925)); #60246=EDGE_LOOP('',(#312926,#312927,#312928,#312929)); #60247=EDGE_LOOP('',(#312930,#312931,#312932,#312933)); #60248=EDGE_LOOP('',(#312934,#312935,#312936,#312937)); #60249=EDGE_LOOP('',(#312938,#312939,#312940,#312941)); #60250=EDGE_LOOP('',(#312942,#312943,#312944,#312945)); #60251=EDGE_LOOP('',(#312946,#312947,#312948,#312949)); #60252=EDGE_LOOP('',(#312950,#312951,#312952,#312953)); #60253=EDGE_LOOP('',(#312954,#312955,#312956,#312957)); #60254=EDGE_LOOP('',(#312958,#312959,#312960,#312961)); #60255=EDGE_LOOP('',(#312962,#312963,#312964,#312965)); #60256=EDGE_LOOP('',(#312966,#312967,#312968,#312969)); #60257=EDGE_LOOP('',(#312970,#312971,#312972,#312973)); #60258=EDGE_LOOP('',(#312974,#312975,#312976,#312977)); #60259=EDGE_LOOP('',(#312978,#312979,#312980,#312981)); #60260=EDGE_LOOP('',(#312982,#312983,#312984,#312985)); #60261=EDGE_LOOP('',(#312986,#312987,#312988,#312989)); #60262=EDGE_LOOP('',(#312990,#312991,#312992,#312993)); #60263=EDGE_LOOP('',(#312994,#312995,#312996,#312997)); #60264=EDGE_LOOP('',(#312998,#312999,#313000,#313001)); #60265=EDGE_LOOP('',(#313002,#313003,#313004,#313005)); #60266=EDGE_LOOP('',(#313006,#313007,#313008,#313009)); #60267=EDGE_LOOP('',(#313010,#313011,#313012,#313013,#313014,#313015)); #60268=EDGE_LOOP('',(#313016,#313017,#313018,#313019)); #60269=EDGE_LOOP('',(#313020,#313021,#313022,#313023,#313024,#313025,#313026, #313027)); #60270=EDGE_LOOP('',(#313028,#313029,#313030,#313031,#313032,#313033,#313034, #313035)); #60271=EDGE_LOOP('',(#313036,#313037,#313038,#313039)); #60272=EDGE_LOOP('',(#313040,#313041,#313042,#313043,#313044,#313045)); #60273=EDGE_LOOP('',(#313046,#313047,#313048,#313049,#313050,#313051,#313052, #313053)); #60274=EDGE_LOOP('',(#313054,#313055,#313056,#313057,#313058,#313059,#313060, #313061,#313062,#313063,#313064,#313065,#313066,#313067,#313068,#313069, #313070,#313071,#313072)); #60275=EDGE_LOOP('',(#313073,#313074,#313075,#313076)); #60276=EDGE_LOOP('',(#313077,#313078,#313079,#313080)); #60277=EDGE_LOOP('',(#313081,#313082,#313083,#313084,#313085)); #60278=EDGE_LOOP('',(#313086,#313087,#313088,#313089)); #60279=EDGE_LOOP('',(#313090,#313091,#313092,#313093)); #60280=EDGE_LOOP('',(#313094,#313095,#313096,#313097)); #60281=EDGE_LOOP('',(#313098,#313099,#313100,#313101,#313102)); #60282=EDGE_LOOP('',(#313103,#313104,#313105,#313106)); #60283=EDGE_LOOP('',(#313107,#313108,#313109,#313110)); #60284=EDGE_LOOP('',(#313111,#313112,#313113,#313114)); #60285=EDGE_LOOP('',(#313115,#313116,#313117,#313118)); #60286=EDGE_LOOP('',(#313119,#313120,#313121,#313122)); #60287=EDGE_LOOP('',(#313123,#313124,#313125,#313126)); #60288=EDGE_LOOP('',(#313127,#313128,#313129,#313130)); #60289=EDGE_LOOP('',(#313131,#313132,#313133,#313134)); #60290=EDGE_LOOP('',(#313135,#313136,#313137,#313138)); #60291=EDGE_LOOP('',(#313139,#313140,#313141,#313142)); #60292=EDGE_LOOP('',(#313143,#313144,#313145,#313146)); #60293=EDGE_LOOP('',(#313147,#313148,#313149,#313150)); #60294=EDGE_LOOP('',(#313151,#313152,#313153,#313154)); #60295=EDGE_LOOP('',(#313155,#313156,#313157,#313158)); #60296=EDGE_LOOP('',(#313159,#313160,#313161,#313162)); #60297=EDGE_LOOP('',(#313163,#313164,#313165,#313166)); #60298=EDGE_LOOP('',(#313167,#313168,#313169,#313170)); #60299=EDGE_LOOP('',(#313171,#313172,#313173,#313174)); #60300=EDGE_LOOP('',(#313175,#313176,#313177,#313178)); #60301=EDGE_LOOP('',(#313179,#313180,#313181,#313182)); #60302=EDGE_LOOP('',(#313183,#313184,#313185,#313186)); #60303=EDGE_LOOP('',(#313187,#313188,#313189,#313190)); #60304=EDGE_LOOP('',(#313191,#313192,#313193,#313194)); #60305=EDGE_LOOP('',(#313195,#313196,#313197,#313198)); #60306=EDGE_LOOP('',(#313199,#313200,#313201,#313202)); #60307=EDGE_LOOP('',(#313203,#313204,#313205,#313206)); #60308=EDGE_LOOP('',(#313207,#313208,#313209,#313210)); #60309=EDGE_LOOP('',(#313211,#313212,#313213,#313214)); #60310=EDGE_LOOP('',(#313215,#313216,#313217,#313218)); #60311=EDGE_LOOP('',(#313219,#313220,#313221,#313222)); #60312=EDGE_LOOP('',(#313223,#313224,#313225,#313226)); #60313=EDGE_LOOP('',(#313227,#313228,#313229,#313230,#313231,#313232,#313233, #313234,#313235,#313236,#313237,#313238,#313239,#313240,#313241,#313242, #313243,#313244,#313245,#313246,#313247,#313248,#313249,#313250,#313251, #313252,#313253,#313254,#313255,#313256,#313257,#313258,#313259,#313260)); #60314=EDGE_LOOP('',(#313261,#313262,#313263,#313264)); #60315=EDGE_LOOP('',(#313265,#313266,#313267,#313268)); #60316=EDGE_LOOP('',(#313269,#313270,#313271,#313272)); #60317=EDGE_LOOP('',(#313273,#313274,#313275,#313276)); #60318=EDGE_LOOP('',(#313277,#313278,#313279,#313280)); #60319=EDGE_LOOP('',(#313281,#313282,#313283,#313284)); #60320=EDGE_LOOP('',(#313285,#313286,#313287,#313288,#313289)); #60321=EDGE_LOOP('',(#313290,#313291,#313292,#313293)); #60322=EDGE_LOOP('',(#313294,#313295,#313296,#313297,#313298)); #60323=EDGE_LOOP('',(#313299,#313300,#313301,#313302)); #60324=EDGE_LOOP('',(#313303,#313304,#313305,#313306)); #60325=EDGE_LOOP('',(#313307,#313308,#313309,#313310,#313311,#313312,#313313, #313314,#313315,#313316,#313317,#313318)); #60326=EDGE_LOOP('',(#313319,#313320,#313321,#313322,#313323,#313324,#313325, #313326,#313327,#313328,#313329,#313330)); #60327=EDGE_LOOP('',(#313331,#313332,#313333,#313334)); #60328=EDGE_LOOP('',(#313335,#313336,#313337,#313338,#313339,#313340)); #60329=EDGE_LOOP('',(#313341,#313342,#313343,#313344)); #60330=EDGE_LOOP('',(#313345,#313346,#313347,#313348,#313349,#313350)); #60331=EDGE_LOOP('',(#313351,#313352,#313353,#313354,#313355,#313356,#313357, #313358)); #60332=EDGE_LOOP('',(#313359,#313360,#313361,#313362,#313363,#313364,#313365, #313366)); #60333=EDGE_LOOP('',(#313367,#313368,#313369,#313370)); #60334=EDGE_LOOP('',(#313371,#313372,#313373,#313374)); #60335=EDGE_LOOP('',(#313375,#313376,#313377,#313378)); #60336=EDGE_LOOP('',(#313379,#313380,#313381,#313382)); #60337=EDGE_LOOP('',(#313383,#313384,#313385,#313386)); #60338=EDGE_LOOP('',(#313387,#313388,#313389,#313390)); #60339=EDGE_LOOP('',(#313391,#313392,#313393,#313394)); #60340=EDGE_LOOP('',(#313395,#313396,#313397,#313398)); #60341=EDGE_LOOP('',(#313399,#313400,#313401,#313402)); #60342=EDGE_LOOP('',(#313403,#313404,#313405,#313406)); #60343=EDGE_LOOP('',(#313407,#313408,#313409,#313410)); #60344=EDGE_LOOP('',(#313411,#313412,#313413,#313414)); #60345=EDGE_LOOP('',(#313415,#313416,#313417,#313418,#313419,#313420,#313421, #313422,#313423,#313424,#313425,#313426)); #60346=EDGE_LOOP('',(#313427,#313428,#313429,#313430,#313431,#313432,#313433, #313434,#313435,#313436,#313437,#313438)); #60347=EDGE_LOOP('',(#313439,#313440,#313441,#313442)); #60348=EDGE_LOOP('',(#313443,#313444,#313445,#313446,#313447,#313448)); #60349=EDGE_LOOP('',(#313449,#313450,#313451,#313452)); #60350=EDGE_LOOP('',(#313453,#313454,#313455,#313456,#313457,#313458)); #60351=EDGE_LOOP('',(#313459,#313460,#313461,#313462,#313463,#313464,#313465, #313466)); #60352=EDGE_LOOP('',(#313467,#313468,#313469,#313470,#313471,#313472,#313473, #313474)); #60353=EDGE_LOOP('',(#313475,#313476,#313477,#313478)); #60354=EDGE_LOOP('',(#313479,#313480,#313481,#313482)); #60355=EDGE_LOOP('',(#313483,#313484,#313485,#313486)); #60356=EDGE_LOOP('',(#313487,#313488,#313489,#313490)); #60357=EDGE_LOOP('',(#313491,#313492,#313493,#313494)); #60358=EDGE_LOOP('',(#313495,#313496,#313497,#313498)); #60359=EDGE_LOOP('',(#313499,#313500,#313501,#313502)); #60360=EDGE_LOOP('',(#313503,#313504,#313505,#313506)); #60361=EDGE_LOOP('',(#313507,#313508,#313509,#313510)); #60362=EDGE_LOOP('',(#313511,#313512,#313513,#313514)); #60363=EDGE_LOOP('',(#313515,#313516,#313517,#313518)); #60364=EDGE_LOOP('',(#313519,#313520,#313521,#313522)); #60365=EDGE_LOOP('',(#313523,#313524,#313525,#313526,#313527,#313528,#313529, #313530,#313531,#313532,#313533,#313534)); #60366=EDGE_LOOP('',(#313535,#313536,#313537,#313538,#313539,#313540,#313541, #313542,#313543,#313544,#313545,#313546)); #60367=EDGE_LOOP('',(#313547,#313548,#313549,#313550)); #60368=EDGE_LOOP('',(#313551,#313552,#313553,#313554,#313555,#313556)); #60369=EDGE_LOOP('',(#313557,#313558,#313559,#313560)); #60370=EDGE_LOOP('',(#313561,#313562,#313563,#313564,#313565,#313566)); #60371=EDGE_LOOP('',(#313567,#313568,#313569,#313570,#313571,#313572,#313573, #313574)); #60372=EDGE_LOOP('',(#313575,#313576,#313577,#313578,#313579,#313580,#313581, #313582)); #60373=EDGE_LOOP('',(#313583,#313584,#313585,#313586)); #60374=EDGE_LOOP('',(#313587,#313588,#313589,#313590)); #60375=EDGE_LOOP('',(#313591,#313592,#313593,#313594)); #60376=EDGE_LOOP('',(#313595,#313596,#313597,#313598)); #60377=EDGE_LOOP('',(#313599,#313600,#313601,#313602)); #60378=EDGE_LOOP('',(#313603,#313604,#313605,#313606)); #60379=EDGE_LOOP('',(#313607,#313608,#313609,#313610)); #60380=EDGE_LOOP('',(#313611,#313612,#313613,#313614)); #60381=EDGE_LOOP('',(#313615,#313616,#313617,#313618)); #60382=EDGE_LOOP('',(#313619,#313620,#313621,#313622)); #60383=EDGE_LOOP('',(#313623,#313624,#313625,#313626)); #60384=EDGE_LOOP('',(#313627,#313628,#313629,#313630)); #60385=EDGE_LOOP('',(#313631,#313632,#313633,#313634,#313635,#313636,#313637, #313638,#313639,#313640,#313641,#313642)); #60386=EDGE_LOOP('',(#313643,#313644,#313645,#313646,#313647,#313648,#313649, #313650,#313651,#313652,#313653,#313654)); #60387=EDGE_LOOP('',(#313655,#313656,#313657,#313658)); #60388=EDGE_LOOP('',(#313659,#313660,#313661,#313662,#313663,#313664)); #60389=EDGE_LOOP('',(#313665,#313666,#313667,#313668)); #60390=EDGE_LOOP('',(#313669,#313670,#313671,#313672,#313673,#313674)); #60391=EDGE_LOOP('',(#313675,#313676,#313677,#313678,#313679,#313680,#313681, #313682)); #60392=EDGE_LOOP('',(#313683,#313684,#313685,#313686,#313687,#313688,#313689, #313690)); #60393=EDGE_LOOP('',(#313691,#313692,#313693,#313694)); #60394=EDGE_LOOP('',(#313695,#313696,#313697,#313698)); #60395=EDGE_LOOP('',(#313699,#313700,#313701,#313702)); #60396=EDGE_LOOP('',(#313703,#313704,#313705,#313706)); #60397=EDGE_LOOP('',(#313707,#313708,#313709,#313710)); #60398=EDGE_LOOP('',(#313711,#313712,#313713,#313714)); #60399=EDGE_LOOP('',(#313715,#313716,#313717,#313718)); #60400=EDGE_LOOP('',(#313719,#313720,#313721,#313722)); #60401=EDGE_LOOP('',(#313723,#313724,#313725,#313726)); #60402=EDGE_LOOP('',(#313727,#313728,#313729,#313730)); #60403=EDGE_LOOP('',(#313731,#313732,#313733,#313734)); #60404=EDGE_LOOP('',(#313735,#313736,#313737,#313738)); #60405=EDGE_LOOP('',(#313739,#313740,#313741,#313742,#313743,#313744,#313745, #313746,#313747,#313748,#313749,#313750)); #60406=EDGE_LOOP('',(#313751,#313752,#313753,#313754,#313755,#313756,#313757, #313758,#313759,#313760,#313761,#313762)); #60407=EDGE_LOOP('',(#313763,#313764,#313765,#313766)); #60408=EDGE_LOOP('',(#313767,#313768,#313769,#313770,#313771,#313772)); #60409=EDGE_LOOP('',(#313773,#313774,#313775,#313776)); #60410=EDGE_LOOP('',(#313777,#313778,#313779,#313780,#313781,#313782)); #60411=EDGE_LOOP('',(#313783,#313784,#313785,#313786,#313787,#313788,#313789, #313790)); #60412=EDGE_LOOP('',(#313791,#313792,#313793,#313794,#313795,#313796,#313797, #313798)); #60413=EDGE_LOOP('',(#313799,#313800,#313801,#313802)); #60414=EDGE_LOOP('',(#313803,#313804,#313805,#313806)); #60415=EDGE_LOOP('',(#313807,#313808,#313809,#313810)); #60416=EDGE_LOOP('',(#313811,#313812,#313813,#313814)); #60417=EDGE_LOOP('',(#313815,#313816,#313817,#313818)); #60418=EDGE_LOOP('',(#313819,#313820,#313821,#313822)); #60419=EDGE_LOOP('',(#313823,#313824,#313825,#313826)); #60420=EDGE_LOOP('',(#313827,#313828,#313829,#313830)); #60421=EDGE_LOOP('',(#313831,#313832,#313833,#313834)); #60422=EDGE_LOOP('',(#313835,#313836,#313837,#313838)); #60423=EDGE_LOOP('',(#313839,#313840,#313841,#313842)); #60424=EDGE_LOOP('',(#313843,#313844,#313845,#313846)); #60425=EDGE_LOOP('',(#313847,#313848,#313849,#313850,#313851,#313852,#313853, #313854,#313855,#313856,#313857,#313858)); #60426=EDGE_LOOP('',(#313859,#313860,#313861,#313862,#313863,#313864,#313865, #313866,#313867,#313868,#313869,#313870)); #60427=EDGE_LOOP('',(#313871,#313872,#313873,#313874)); #60428=EDGE_LOOP('',(#313875,#313876,#313877,#313878,#313879,#313880)); #60429=EDGE_LOOP('',(#313881,#313882,#313883,#313884)); #60430=EDGE_LOOP('',(#313885,#313886,#313887,#313888,#313889,#313890)); #60431=EDGE_LOOP('',(#313891,#313892,#313893,#313894,#313895,#313896,#313897, #313898)); #60432=EDGE_LOOP('',(#313899,#313900,#313901,#313902,#313903,#313904,#313905, #313906)); #60433=EDGE_LOOP('',(#313907,#313908,#313909,#313910)); #60434=EDGE_LOOP('',(#313911,#313912,#313913,#313914)); #60435=EDGE_LOOP('',(#313915,#313916,#313917,#313918)); #60436=EDGE_LOOP('',(#313919,#313920,#313921,#313922)); #60437=EDGE_LOOP('',(#313923,#313924,#313925,#313926)); #60438=EDGE_LOOP('',(#313927,#313928,#313929,#313930)); #60439=EDGE_LOOP('',(#313931,#313932,#313933,#313934)); #60440=EDGE_LOOP('',(#313935,#313936,#313937,#313938)); #60441=EDGE_LOOP('',(#313939,#313940,#313941,#313942)); #60442=EDGE_LOOP('',(#313943,#313944,#313945,#313946)); #60443=EDGE_LOOP('',(#313947,#313948,#313949,#313950)); #60444=EDGE_LOOP('',(#313951,#313952,#313953,#313954)); #60445=EDGE_LOOP('',(#313955,#313956,#313957,#313958,#313959,#313960,#313961, #313962,#313963,#313964,#313965,#313966)); #60446=EDGE_LOOP('',(#313967,#313968,#313969,#313970,#313971,#313972,#313973, #313974,#313975,#313976,#313977,#313978)); #60447=EDGE_LOOP('',(#313979,#313980,#313981,#313982)); #60448=EDGE_LOOP('',(#313983,#313984,#313985,#313986,#313987,#313988)); #60449=EDGE_LOOP('',(#313989,#313990,#313991,#313992)); #60450=EDGE_LOOP('',(#313993,#313994,#313995,#313996,#313997,#313998)); #60451=EDGE_LOOP('',(#313999,#314000,#314001,#314002,#314003,#314004,#314005, #314006)); #60452=EDGE_LOOP('',(#314007,#314008,#314009,#314010,#314011,#314012,#314013, #314014)); #60453=EDGE_LOOP('',(#314015,#314016,#314017,#314018)); #60454=EDGE_LOOP('',(#314019,#314020,#314021,#314022)); #60455=EDGE_LOOP('',(#314023,#314024,#314025,#314026)); #60456=EDGE_LOOP('',(#314027,#314028,#314029,#314030)); #60457=EDGE_LOOP('',(#314031,#314032,#314033,#314034)); #60458=EDGE_LOOP('',(#314035,#314036,#314037,#314038)); #60459=EDGE_LOOP('',(#314039,#314040,#314041,#314042)); #60460=EDGE_LOOP('',(#314043,#314044,#314045,#314046)); #60461=EDGE_LOOP('',(#314047,#314048,#314049,#314050)); #60462=EDGE_LOOP('',(#314051,#314052,#314053,#314054)); #60463=EDGE_LOOP('',(#314055,#314056,#314057,#314058)); #60464=EDGE_LOOP('',(#314059,#314060,#314061,#314062)); #60465=EDGE_LOOP('',(#314063,#314064,#314065,#314066,#314067,#314068,#314069, #314070,#314071,#314072,#314073,#314074)); #60466=EDGE_LOOP('',(#314075,#314076,#314077,#314078,#314079,#314080,#314081, #314082,#314083,#314084,#314085,#314086)); #60467=EDGE_LOOP('',(#314087,#314088,#314089,#314090)); #60468=EDGE_LOOP('',(#314091,#314092,#314093,#314094,#314095,#314096)); #60469=EDGE_LOOP('',(#314097,#314098,#314099,#314100)); #60470=EDGE_LOOP('',(#314101,#314102,#314103,#314104,#314105,#314106)); #60471=EDGE_LOOP('',(#314107,#314108,#314109,#314110,#314111,#314112,#314113, #314114)); #60472=EDGE_LOOP('',(#314115,#314116,#314117,#314118,#314119,#314120,#314121, #314122)); #60473=EDGE_LOOP('',(#314123,#314124,#314125,#314126)); #60474=EDGE_LOOP('',(#314127,#314128,#314129,#314130)); #60475=EDGE_LOOP('',(#314131,#314132,#314133,#314134)); #60476=EDGE_LOOP('',(#314135,#314136,#314137,#314138)); #60477=EDGE_LOOP('',(#314139,#314140,#314141,#314142)); #60478=EDGE_LOOP('',(#314143,#314144,#314145,#314146)); #60479=EDGE_LOOP('',(#314147,#314148,#314149,#314150)); #60480=EDGE_LOOP('',(#314151,#314152,#314153,#314154)); #60481=EDGE_LOOP('',(#314155,#314156,#314157,#314158)); #60482=EDGE_LOOP('',(#314159,#314160,#314161,#314162)); #60483=EDGE_LOOP('',(#314163,#314164,#314165,#314166)); #60484=EDGE_LOOP('',(#314167,#314168,#314169,#314170)); #60485=EDGE_LOOP('',(#314171,#314172,#314173,#314174,#314175,#314176,#314177, #314178,#314179,#314180,#314181,#314182)); #60486=EDGE_LOOP('',(#314183,#314184,#314185,#314186,#314187,#314188,#314189, #314190,#314191,#314192,#314193,#314194)); #60487=EDGE_LOOP('',(#314195,#314196,#314197,#314198)); #60488=EDGE_LOOP('',(#314199,#314200,#314201,#314202,#314203,#314204)); #60489=EDGE_LOOP('',(#314205,#314206,#314207,#314208)); #60490=EDGE_LOOP('',(#314209,#314210,#314211,#314212,#314213,#314214)); #60491=EDGE_LOOP('',(#314215,#314216,#314217,#314218,#314219,#314220,#314221, #314222)); #60492=EDGE_LOOP('',(#314223,#314224,#314225,#314226,#314227,#314228,#314229, #314230)); #60493=EDGE_LOOP('',(#314231,#314232,#314233,#314234)); #60494=EDGE_LOOP('',(#314235,#314236,#314237,#314238)); #60495=EDGE_LOOP('',(#314239,#314240,#314241,#314242)); #60496=EDGE_LOOP('',(#314243,#314244,#314245,#314246)); #60497=EDGE_LOOP('',(#314247,#314248,#314249,#314250)); #60498=EDGE_LOOP('',(#314251,#314252,#314253,#314254)); #60499=EDGE_LOOP('',(#314255,#314256,#314257,#314258)); #60500=EDGE_LOOP('',(#314259,#314260,#314261,#314262)); #60501=EDGE_LOOP('',(#314263,#314264,#314265,#314266)); #60502=EDGE_LOOP('',(#314267,#314268,#314269,#314270)); #60503=EDGE_LOOP('',(#314271,#314272,#314273,#314274)); #60504=EDGE_LOOP('',(#314275,#314276,#314277,#314278)); #60505=EDGE_LOOP('',(#314279,#314280,#314281,#314282,#314283,#314284,#314285, #314286,#314287,#314288,#314289,#314290)); #60506=EDGE_LOOP('',(#314291,#314292,#314293,#314294,#314295,#314296,#314297, #314298,#314299,#314300,#314301,#314302)); #60507=EDGE_LOOP('',(#314303,#314304,#314305,#314306)); #60508=EDGE_LOOP('',(#314307,#314308,#314309,#314310,#314311,#314312)); #60509=EDGE_LOOP('',(#314313,#314314,#314315,#314316)); #60510=EDGE_LOOP('',(#314317,#314318,#314319,#314320,#314321,#314322)); #60511=EDGE_LOOP('',(#314323,#314324,#314325,#314326,#314327,#314328,#314329, #314330)); #60512=EDGE_LOOP('',(#314331,#314332,#314333,#314334,#314335,#314336,#314337, #314338)); #60513=EDGE_LOOP('',(#314339,#314340,#314341,#314342)); #60514=EDGE_LOOP('',(#314343,#314344,#314345,#314346)); #60515=EDGE_LOOP('',(#314347,#314348,#314349,#314350)); #60516=EDGE_LOOP('',(#314351,#314352,#314353,#314354)); #60517=EDGE_LOOP('',(#314355,#314356,#314357,#314358)); #60518=EDGE_LOOP('',(#314359,#314360,#314361,#314362)); #60519=EDGE_LOOP('',(#314363,#314364,#314365,#314366)); #60520=EDGE_LOOP('',(#314367,#314368,#314369,#314370)); #60521=EDGE_LOOP('',(#314371,#314372,#314373,#314374)); #60522=EDGE_LOOP('',(#314375,#314376,#314377,#314378)); #60523=EDGE_LOOP('',(#314379,#314380,#314381,#314382)); #60524=EDGE_LOOP('',(#314383,#314384,#314385,#314386)); #60525=EDGE_LOOP('',(#314387,#314388,#314389,#314390,#314391,#314392,#314393, #314394,#314395,#314396,#314397,#314398)); #60526=EDGE_LOOP('',(#314399,#314400,#314401,#314402,#314403,#314404,#314405, #314406,#314407,#314408,#314409,#314410)); #60527=EDGE_LOOP('',(#314411,#314412,#314413,#314414)); #60528=EDGE_LOOP('',(#314415,#314416,#314417,#314418,#314419,#314420)); #60529=EDGE_LOOP('',(#314421,#314422,#314423,#314424)); #60530=EDGE_LOOP('',(#314425,#314426,#314427,#314428,#314429,#314430)); #60531=EDGE_LOOP('',(#314431,#314432,#314433,#314434,#314435,#314436,#314437, #314438)); #60532=EDGE_LOOP('',(#314439,#314440,#314441,#314442,#314443,#314444,#314445, #314446)); #60533=EDGE_LOOP('',(#314447,#314448,#314449,#314450)); #60534=EDGE_LOOP('',(#314451,#314452,#314453,#314454)); #60535=EDGE_LOOP('',(#314455,#314456,#314457,#314458)); #60536=EDGE_LOOP('',(#314459,#314460,#314461,#314462)); #60537=EDGE_LOOP('',(#314463,#314464,#314465,#314466)); #60538=EDGE_LOOP('',(#314467,#314468,#314469,#314470)); #60539=EDGE_LOOP('',(#314471,#314472,#314473,#314474)); #60540=EDGE_LOOP('',(#314475,#314476,#314477,#314478)); #60541=EDGE_LOOP('',(#314479,#314480,#314481,#314482)); #60542=EDGE_LOOP('',(#314483,#314484,#314485,#314486)); #60543=EDGE_LOOP('',(#314487,#314488,#314489,#314490)); #60544=EDGE_LOOP('',(#314491,#314492,#314493,#314494)); #60545=EDGE_LOOP('',(#314495,#314496,#314497,#314498,#314499,#314500,#314501, #314502,#314503,#314504,#314505,#314506)); #60546=EDGE_LOOP('',(#314507,#314508,#314509,#314510,#314511,#314512,#314513, #314514,#314515,#314516,#314517,#314518)); #60547=EDGE_LOOP('',(#314519,#314520,#314521,#314522)); #60548=EDGE_LOOP('',(#314523,#314524,#314525,#314526,#314527,#314528)); #60549=EDGE_LOOP('',(#314529,#314530,#314531,#314532)); #60550=EDGE_LOOP('',(#314533,#314534,#314535,#314536,#314537,#314538)); #60551=EDGE_LOOP('',(#314539,#314540,#314541,#314542,#314543,#314544,#314545, #314546)); #60552=EDGE_LOOP('',(#314547,#314548,#314549,#314550,#314551,#314552,#314553, #314554)); #60553=EDGE_LOOP('',(#314555,#314556,#314557,#314558)); #60554=EDGE_LOOP('',(#314559,#314560,#314561,#314562)); #60555=EDGE_LOOP('',(#314563,#314564,#314565,#314566)); #60556=EDGE_LOOP('',(#314567,#314568,#314569,#314570)); #60557=EDGE_LOOP('',(#314571,#314572,#314573,#314574)); #60558=EDGE_LOOP('',(#314575,#314576,#314577,#314578)); #60559=EDGE_LOOP('',(#314579,#314580,#314581,#314582)); #60560=EDGE_LOOP('',(#314583,#314584,#314585,#314586)); #60561=EDGE_LOOP('',(#314587,#314588,#314589,#314590)); #60562=EDGE_LOOP('',(#314591,#314592,#314593,#314594)); #60563=EDGE_LOOP('',(#314595,#314596,#314597,#314598)); #60564=EDGE_LOOP('',(#314599,#314600,#314601,#314602)); #60565=EDGE_LOOP('',(#314603,#314604,#314605,#314606,#314607,#314608,#314609, #314610,#314611,#314612,#314613,#314614)); #60566=EDGE_LOOP('',(#314615,#314616,#314617,#314618,#314619,#314620,#314621, #314622,#314623,#314624,#314625,#314626)); #60567=EDGE_LOOP('',(#314627,#314628,#314629,#314630)); #60568=EDGE_LOOP('',(#314631,#314632,#314633,#314634,#314635,#314636)); #60569=EDGE_LOOP('',(#314637,#314638,#314639,#314640)); #60570=EDGE_LOOP('',(#314641,#314642,#314643,#314644,#314645,#314646)); #60571=EDGE_LOOP('',(#314647,#314648,#314649,#314650,#314651,#314652,#314653, #314654)); #60572=EDGE_LOOP('',(#314655,#314656,#314657,#314658,#314659,#314660,#314661, #314662)); #60573=EDGE_LOOP('',(#314663,#314664,#314665,#314666)); #60574=EDGE_LOOP('',(#314667,#314668,#314669,#314670)); #60575=EDGE_LOOP('',(#314671,#314672,#314673,#314674)); #60576=EDGE_LOOP('',(#314675,#314676,#314677,#314678)); #60577=EDGE_LOOP('',(#314679,#314680,#314681,#314682)); #60578=EDGE_LOOP('',(#314683,#314684,#314685,#314686)); #60579=EDGE_LOOP('',(#314687,#314688,#314689,#314690)); #60580=EDGE_LOOP('',(#314691,#314692,#314693,#314694)); #60581=EDGE_LOOP('',(#314695,#314696,#314697,#314698)); #60582=EDGE_LOOP('',(#314699,#314700,#314701,#314702)); #60583=EDGE_LOOP('',(#314703,#314704,#314705,#314706)); #60584=EDGE_LOOP('',(#314707,#314708,#314709,#314710)); #60585=EDGE_LOOP('',(#314711,#314712,#314713,#314714,#314715,#314716,#314717, #314718,#314719,#314720,#314721,#314722)); #60586=EDGE_LOOP('',(#314723,#314724,#314725,#314726,#314727,#314728,#314729, #314730,#314731,#314732,#314733,#314734)); #60587=EDGE_LOOP('',(#314735,#314736,#314737,#314738)); #60588=EDGE_LOOP('',(#314739,#314740,#314741,#314742,#314743,#314744)); #60589=EDGE_LOOP('',(#314745,#314746,#314747,#314748)); #60590=EDGE_LOOP('',(#314749,#314750,#314751,#314752,#314753,#314754)); #60591=EDGE_LOOP('',(#314755,#314756,#314757,#314758,#314759,#314760,#314761, #314762)); #60592=EDGE_LOOP('',(#314763,#314764,#314765,#314766,#314767,#314768,#314769, #314770)); #60593=EDGE_LOOP('',(#314771,#314772,#314773,#314774)); #60594=EDGE_LOOP('',(#314775,#314776,#314777,#314778)); #60595=EDGE_LOOP('',(#314779,#314780,#314781,#314782)); #60596=EDGE_LOOP('',(#314783,#314784,#314785,#314786)); #60597=EDGE_LOOP('',(#314787,#314788,#314789,#314790)); #60598=EDGE_LOOP('',(#314791,#314792,#314793,#314794)); #60599=EDGE_LOOP('',(#314795,#314796,#314797,#314798)); #60600=EDGE_LOOP('',(#314799,#314800,#314801,#314802)); #60601=EDGE_LOOP('',(#314803,#314804,#314805,#314806)); #60602=EDGE_LOOP('',(#314807,#314808,#314809,#314810)); #60603=EDGE_LOOP('',(#314811,#314812,#314813,#314814)); #60604=EDGE_LOOP('',(#314815,#314816,#314817,#314818)); #60605=EDGE_LOOP('',(#314819,#314820,#314821,#314822)); #60606=EDGE_LOOP('',(#314823,#314824,#314825,#314826)); #60607=EDGE_LOOP('',(#314827,#314828,#314829,#314830)); #60608=EDGE_LOOP('',(#314831,#314832,#314833,#314834)); #60609=EDGE_LOOP('',(#314835,#314836,#314837,#314838)); #60610=EDGE_LOOP('',(#314839,#314840,#314841,#314842)); #60611=EDGE_LOOP('',(#314843,#314844,#314845,#314846)); #60612=EDGE_LOOP('',(#314847,#314848,#314849,#314850)); #60613=EDGE_LOOP('',(#314851,#314852,#314853,#314854)); #60614=EDGE_LOOP('',(#314855,#314856,#314857,#314858)); #60615=EDGE_LOOP('',(#314859,#314860,#314861,#314862)); #60616=EDGE_LOOP('',(#314863,#314864,#314865,#314866)); #60617=EDGE_LOOP('',(#314867,#314868,#314869,#314870)); #60618=EDGE_LOOP('',(#314871,#314872,#314873,#314874)); #60619=EDGE_LOOP('',(#314875,#314876,#314877,#314878)); #60620=EDGE_LOOP('',(#314879,#314880,#314881,#314882)); #60621=EDGE_LOOP('',(#314883,#314884,#314885,#314886)); #60622=EDGE_LOOP('',(#314887,#314888,#314889,#314890)); #60623=EDGE_LOOP('',(#314891,#314892,#314893,#314894)); #60624=EDGE_LOOP('',(#314895,#314896,#314897,#314898)); #60625=EDGE_LOOP('',(#314899,#314900,#314901,#314902)); #60626=EDGE_LOOP('',(#314903,#314904,#314905,#314906)); #60627=EDGE_LOOP('',(#314907,#314908,#314909,#314910)); #60628=EDGE_LOOP('',(#314911,#314912,#314913,#314914)); #60629=EDGE_LOOP('',(#314915,#314916,#314917,#314918)); #60630=EDGE_LOOP('',(#314919,#314920,#314921,#314922)); #60631=EDGE_LOOP('',(#314923,#314924,#314925,#314926)); #60632=EDGE_LOOP('',(#314927,#314928,#314929,#314930)); #60633=EDGE_LOOP('',(#314931,#314932,#314933,#314934)); #60634=EDGE_LOOP('',(#314935,#314936,#314937,#314938)); #60635=EDGE_LOOP('',(#314939,#314940,#314941,#314942)); #60636=EDGE_LOOP('',(#314943,#314944,#314945,#314946)); #60637=EDGE_LOOP('',(#314947,#314948,#314949,#314950)); #60638=EDGE_LOOP('',(#314951,#314952,#314953,#314954)); #60639=EDGE_LOOP('',(#314955,#314956,#314957,#314958)); #60640=EDGE_LOOP('',(#314959,#314960,#314961,#314962,#314963,#314964,#314965, #314966,#314967,#314968,#314969,#314970,#314971,#314972,#314973,#314974, #314975,#314976,#314977,#314978,#314979,#314980,#314981,#314982,#314983, #314984,#314985,#314986,#314987,#314988,#314989,#314990,#314991,#314992, #314993,#314994)); #60641=EDGE_LOOP('',(#314995,#314996,#314997,#314998,#314999,#315000,#315001, #315002,#315003,#315004,#315005,#315006,#315007,#315008,#315009,#315010, #315011,#315012,#315013,#315014,#315015,#315016,#315017,#315018,#315019, #315020,#315021,#315022,#315023,#315024,#315025,#315026,#315027,#315028, #315029,#315030)); #60642=EDGE_LOOP('',(#315031,#315032,#315033,#315034)); #60643=EDGE_LOOP('',(#315035,#315036,#315037,#315038)); #60644=EDGE_LOOP('',(#315039,#315040,#315041,#315042,#315043,#315044,#315045, #315046,#315047,#315048,#315049,#315050,#315051,#315052,#315053,#315054, #315055,#315056,#315057,#315058,#315059,#315060,#315061,#315062,#315063, #315064,#315065,#315066,#315067,#315068,#315069,#315070,#315071,#315072, #315073,#315074,#315075,#315076,#315077)); #60645=EDGE_LOOP('',(#315078,#315079,#315080,#315081,#315082,#315083,#315084, #315085)); #60646=EDGE_LOOP('',(#315086,#315087,#315088,#315089,#315090,#315091,#315092, #315093,#315094,#315095,#315096,#315097,#315098,#315099,#315100,#315101, #315102,#315103,#315104,#315105,#315106,#315107,#315108,#315109,#315110, #315111,#315112,#315113,#315114,#315115,#315116,#315117,#315118,#315119, #315120,#315121,#315122,#315123,#315124)); #60647=EDGE_LOOP('',(#315125,#315126,#315127,#315128,#315129,#315130,#315131, #315132)); #60648=EDGE_LOOP('',(#315133,#315134,#315135,#315136)); #60649=EDGE_LOOP('',(#315137,#315138,#315139,#315140,#315141)); #60650=EDGE_LOOP('',(#315142,#315143,#315144,#315145,#315146)); #60651=EDGE_LOOP('',(#315147,#315148,#315149,#315150,#315151)); #60652=EDGE_LOOP('',(#315152,#315153,#315154,#315155,#315156,#315157,#315158, #315159,#315160,#315161,#315162,#315163)); #60653=EDGE_LOOP('',(#315164,#315165,#315166,#315167,#315168,#315169,#315170, #315171)); #60654=EDGE_LOOP('',(#315172,#315173,#315174,#315175,#315176,#315177,#315178, #315179)); #60655=EDGE_LOOP('',(#315180,#315181,#315182,#315183,#315184,#315185,#315186, #315187,#315188,#315189,#315190,#315191,#315192,#315193,#315194,#315195, #315196,#315197,#315198,#315199,#315200,#315201,#315202,#315203,#315204, #315205,#315206,#315207,#315208,#315209,#315210,#315211,#315212,#315213, #315214,#315215,#315216,#315217,#315218,#315219,#315220,#315221)); #60656=EDGE_LOOP('',(#315222,#315223,#315224,#315225)); #60657=EDGE_LOOP('',(#315226,#315227,#315228,#315229,#315230,#315231,#315232, #315233)); #60658=EDGE_LOOP('',(#315234,#315235,#315236,#315237,#315238,#315239,#315240, #315241,#315242,#315243,#315244,#315245,#315246,#315247,#315248,#315249, #315250,#315251,#315252,#315253,#315254,#315255,#315256,#315257,#315258, #315259,#315260,#315261,#315262,#315263,#315264,#315265,#315266,#315267, #315268,#315269,#315270,#315271,#315272)); #60659=EDGE_LOOP('',(#315273,#315274,#315275,#315276,#315277,#315278,#315279, #315280)); #60660=EDGE_LOOP('',(#315281,#315282,#315283,#315284)); #60661=EDGE_LOOP('',(#315285,#315286,#315287,#315288)); #60662=EDGE_LOOP('',(#315289,#315290,#315291,#315292)); #60663=EDGE_LOOP('',(#315293,#315294,#315295,#315296)); #60664=EDGE_LOOP('',(#315297,#315298,#315299,#315300,#315301,#315302)); #60665=EDGE_LOOP('',(#315303,#315304,#315305,#315306,#315307,#315308,#315309)); #60666=EDGE_LOOP('',(#315310,#315311,#315312,#315313,#315314,#315315,#315316, #315317,#315318,#315319,#315320,#315321,#315322,#315323,#315324,#315325, #315326,#315327,#315328,#315329,#315330,#315331,#315332,#315333,#315334, #315335,#315336,#315337,#315338,#315339,#315340,#315341,#315342,#315343, #315344,#315345,#315346,#315347,#315348,#315349,#315350,#315351)); #60667=EDGE_LOOP('',(#315352,#315353,#315354,#315355)); #60668=EDGE_LOOP('',(#315356,#315357,#315358,#315359,#315360)); #60669=EDGE_LOOP('',(#315361,#315362,#315363,#315364)); #60670=EDGE_LOOP('',(#315365,#315366,#315367,#315368)); #60671=EDGE_LOOP('',(#315369,#315370,#315371,#315372)); #60672=EDGE_LOOP('',(#315373,#315374,#315375,#315376)); #60673=EDGE_LOOP('',(#315377,#315378,#315379,#315380,#315381,#315382,#315383, #315384,#315385,#315386,#315387,#315388,#315389,#315390,#315391,#315392, #315393,#315394,#315395,#315396,#315397,#315398,#315399,#315400,#315401, #315402,#315403,#315404,#315405,#315406,#315407,#315408,#315409,#315410, #315411,#315412,#315413,#315414,#315415)); #60674=EDGE_LOOP('',(#315416,#315417,#315418,#315419,#315420,#315421)); #60675=EDGE_LOOP('',(#315422,#315423,#315424,#315425,#315426,#315427)); #60676=EDGE_LOOP('',(#315428,#315429,#315430,#315431,#315432,#315433,#315434, #315435,#315436,#315437,#315438,#315439,#315440,#315441,#315442,#315443, #315444,#315445,#315446,#315447,#315448,#315449,#315450,#315451,#315452, #315453,#315454,#315455,#315456,#315457,#315458,#315459,#315460,#315461, #315462,#315463,#315464,#315465,#315466)); #60677=EDGE_LOOP('',(#315467,#315468,#315469,#315470,#315471,#315472,#315473, #315474)); #60678=EDGE_LOOP('',(#315475,#315476,#315477,#315478)); #60679=EDGE_LOOP('',(#315479,#315480,#315481,#315482,#315483,#315484)); #60680=EDGE_LOOP('',(#315485,#315486,#315487,#315488)); #60681=EDGE_LOOP('',(#315489,#315490,#315491,#315492,#315493,#315494)); #60682=EDGE_LOOP('',(#315495,#315496,#315497,#315498)); #60683=EDGE_LOOP('',(#315499,#315500,#315501,#315502)); #60684=EDGE_LOOP('',(#315503,#315504,#315505,#315506)); #60685=EDGE_LOOP('',(#315507,#315508,#315509,#315510,#315511)); #60686=EDGE_LOOP('',(#315512,#315513,#315514,#315515,#315516,#315517,#315518)); #60687=EDGE_LOOP('',(#315519,#315520,#315521,#315522)); #60688=EDGE_LOOP('',(#315523,#315524,#315525,#315526,#315527,#315528,#315529, #315530,#315531,#315532,#315533,#315534,#315535,#315536,#315537,#315538, #315539,#315540,#315541,#315542)); #60689=EDGE_LOOP('',(#315543,#315544,#315545,#315546)); #60690=EDGE_LOOP('',(#315547,#315548,#315549,#315550)); #60691=EDGE_LOOP('',(#315551,#315552,#315553,#315554,#315555,#315556)); #60692=EDGE_LOOP('',(#315557,#315558,#315559,#315560,#315561,#315562,#315563, #315564,#315565,#315566,#315567,#315568,#315569,#315570,#315571,#315572, #315573,#315574,#315575,#315576,#315577,#315578,#315579,#315580,#315581, #315582,#315583,#315584,#315585,#315586,#315587,#315588,#315589,#315590, #315591,#315592,#315593,#315594,#315595)); #60693=EDGE_LOOP('',(#315596,#315597,#315598,#315599,#315600,#315601)); #60694=EDGE_LOOP('',(#315602,#315603,#315604,#315605,#315606,#315607)); #60695=EDGE_LOOP('',(#315608,#315609,#315610,#315611,#315612,#315613,#315614, #315615,#315616,#315617,#315618,#315619)); #60696=EDGE_LOOP('',(#315620,#315621,#315622,#315623,#315624,#315625,#315626, #315627)); #60697=EDGE_LOOP('',(#315628,#315629,#315630,#315631,#315632,#315633,#315634, #315635)); #60698=EDGE_LOOP('',(#315636,#315637,#315638,#315639,#315640,#315641)); #60699=EDGE_LOOP('',(#315642,#315643,#315644,#315645,#315646,#315647)); #60700=EDGE_LOOP('',(#315648,#315649,#315650,#315651,#315652)); #60701=EDGE_LOOP('',(#315653,#315654,#315655,#315656,#315657)); #60702=EDGE_LOOP('',(#315658,#315659,#315660,#315661)); #60703=EDGE_LOOP('',(#315662,#315663,#315664,#315665,#315666,#315667)); #60704=EDGE_LOOP('',(#315668,#315669,#315670,#315671,#315672,#315673,#315674, #315675)); #60705=EDGE_LOOP('',(#315676,#315677,#315678,#315679)); #60706=EDGE_LOOP('',(#315680,#315681,#315682,#315683)); #60707=EDGE_LOOP('',(#315684,#315685,#315686,#315687)); #60708=EDGE_LOOP('',(#315688,#315689,#315690,#315691)); #60709=EDGE_LOOP('',(#315692,#315693,#315694,#315695)); #60710=EDGE_LOOP('',(#315696,#315697,#315698,#315699)); #60711=EDGE_LOOP('',(#315700,#315701,#315702,#315703)); #60712=EDGE_LOOP('',(#315704,#315705,#315706,#315707)); #60713=EDGE_LOOP('',(#315708,#315709,#315710,#315711)); #60714=EDGE_LOOP('',(#315712,#315713,#315714,#315715)); #60715=EDGE_LOOP('',(#315716,#315717,#315718,#315719,#315720,#315721)); #60716=EDGE_LOOP('',(#315722,#315723,#315724,#315725)); #60717=EDGE_LOOP('',(#315726,#315727,#315728,#315729)); #60718=EDGE_LOOP('',(#315730,#315731,#315732,#315733)); #60719=EDGE_LOOP('',(#315734,#315735,#315736,#315737,#315738)); #60720=EDGE_LOOP('',(#315739,#315740,#315741,#315742,#315743,#315744,#315745, #315746)); #60721=EDGE_LOOP('',(#315747,#315748,#315749,#315750,#315751,#315752)); #60722=EDGE_LOOP('',(#315753,#315754,#315755,#315756,#315757,#315758)); #60723=EDGE_LOOP('',(#315759,#315760,#315761,#315762)); #60724=EDGE_LOOP('',(#315763,#315764,#315765,#315766)); #60725=EDGE_LOOP('',(#315767,#315768,#315769,#315770)); #60726=EDGE_LOOP('',(#315771,#315772,#315773,#315774)); #60727=EDGE_LOOP('',(#315775,#315776,#315777,#315778)); #60728=EDGE_LOOP('',(#315779,#315780,#315781,#315782)); #60729=EDGE_LOOP('',(#315783,#315784,#315785,#315786)); #60730=EDGE_LOOP('',(#315787,#315788,#315789,#315790)); #60731=EDGE_LOOP('',(#315791,#315792,#315793,#315794,#315795,#315796,#315797, #315798)); #60732=EDGE_LOOP('',(#315799,#315800,#315801,#315802,#315803,#315804)); #60733=EDGE_LOOP('',(#315805,#315806,#315807,#315808,#315809,#315810)); #60734=EDGE_LOOP('',(#315811,#315812,#315813,#315814)); #60735=EDGE_LOOP('',(#315815,#315816,#315817,#315818)); #60736=EDGE_LOOP('',(#315819,#315820,#315821,#315822)); #60737=EDGE_LOOP('',(#315823,#315824,#315825,#315826)); #60738=EDGE_LOOP('',(#315827,#315828,#315829,#315830)); #60739=EDGE_LOOP('',(#315831,#315832,#315833,#315834)); #60740=EDGE_LOOP('',(#315835,#315836,#315837,#315838)); #60741=EDGE_LOOP('',(#315839,#315840,#315841,#315842)); #60742=EDGE_LOOP('',(#315843,#315844,#315845,#315846)); #60743=EDGE_LOOP('',(#315847,#315848,#315849,#315850)); #60744=EDGE_LOOP('',(#315851,#315852,#315853,#315854)); #60745=EDGE_LOOP('',(#315855,#315856,#315857,#315858)); #60746=EDGE_LOOP('',(#315859,#315860,#315861,#315862)); #60747=EDGE_LOOP('',(#315863,#315864,#315865,#315866)); #60748=EDGE_LOOP('',(#315867,#315868,#315869,#315870)); #60749=EDGE_LOOP('',(#315871,#315872,#315873,#315874)); #60750=EDGE_LOOP('',(#315875,#315876,#315877,#315878)); #60751=EDGE_LOOP('',(#315879,#315880,#315881,#315882)); #60752=EDGE_LOOP('',(#315883,#315884,#315885,#315886)); #60753=EDGE_LOOP('',(#315887,#315888,#315889,#315890)); #60754=EDGE_LOOP('',(#315891,#315892,#315893,#315894)); #60755=EDGE_LOOP('',(#315895,#315896,#315897,#315898)); #60756=EDGE_LOOP('',(#315899,#315900,#315901,#315902)); #60757=EDGE_LOOP('',(#315903,#315904,#315905,#315906,#315907)); #60758=EDGE_LOOP('',(#315908,#315909,#315910,#315911)); #60759=EDGE_LOOP('',(#315912,#315913,#315914,#315915)); #60760=EDGE_LOOP('',(#315916,#315917,#315918,#315919)); #60761=EDGE_LOOP('',(#315920,#315921,#315922,#315923,#315924)); #60762=EDGE_LOOP('',(#315925,#315926,#315927,#315928)); #60763=EDGE_LOOP('',(#315929,#315930,#315931,#315932)); #60764=EDGE_LOOP('',(#315933,#315934,#315935,#315936)); #60765=EDGE_LOOP('',(#315937,#315938,#315939,#315940)); #60766=EDGE_LOOP('',(#315941,#315942,#315943,#315944)); #60767=EDGE_LOOP('',(#315945,#315946,#315947,#315948)); #60768=EDGE_LOOP('',(#315949,#315950,#315951,#315952)); #60769=EDGE_LOOP('',(#315953,#315954,#315955,#315956)); #60770=EDGE_LOOP('',(#315957,#315958,#315959,#315960)); #60771=EDGE_LOOP('',(#315961,#315962,#315963,#315964)); #60772=EDGE_LOOP('',(#315965,#315966,#315967,#315968)); #60773=EDGE_LOOP('',(#315969,#315970,#315971,#315972)); #60774=EDGE_LOOP('',(#315973,#315974,#315975,#315976)); #60775=EDGE_LOOP('',(#315977,#315978,#315979,#315980)); #60776=EDGE_LOOP('',(#315981,#315982,#315983,#315984)); #60777=EDGE_LOOP('',(#315985,#315986,#315987,#315988)); #60778=EDGE_LOOP('',(#315989,#315990,#315991,#315992)); #60779=EDGE_LOOP('',(#315993,#315994,#315995,#315996)); #60780=EDGE_LOOP('',(#315997,#315998,#315999,#316000)); #60781=EDGE_LOOP('',(#316001,#316002,#316003,#316004)); #60782=EDGE_LOOP('',(#316005,#316006,#316007,#316008)); #60783=EDGE_LOOP('',(#316009,#316010,#316011,#316012)); #60784=EDGE_LOOP('',(#316013,#316014,#316015,#316016)); #60785=EDGE_LOOP('',(#316017,#316018,#316019,#316020)); #60786=EDGE_LOOP('',(#316021,#316022,#316023,#316024)); #60787=EDGE_LOOP('',(#316025,#316026,#316027,#316028)); #60788=EDGE_LOOP('',(#316029,#316030,#316031,#316032)); #60789=EDGE_LOOP('',(#316033,#316034,#316035,#316036)); #60790=EDGE_LOOP('',(#316037,#316038,#316039,#316040,#316041,#316042,#316043, #316044,#316045,#316046,#316047,#316048,#316049,#316050,#316051,#316052)); #60791=EDGE_LOOP('',(#316053,#316054,#316055,#316056,#316057,#316058,#316059, #316060,#316061,#316062,#316063,#316064,#316065,#316066,#316067,#316068)); #60792=EDGE_LOOP('',(#316069,#316070,#316071,#316072)); #60793=EDGE_LOOP('',(#316073,#316074,#316075,#316076)); #60794=EDGE_LOOP('',(#316077,#316078,#316079,#316080)); #60795=EDGE_LOOP('',(#316081,#316082,#316083,#316084)); #60796=EDGE_LOOP('',(#316085,#316086,#316087,#316088)); #60797=EDGE_LOOP('',(#316089,#316090,#316091,#316092)); #60798=EDGE_LOOP('',(#316093,#316094,#316095,#316096)); #60799=EDGE_LOOP('',(#316097,#316098,#316099,#316100)); #60800=EDGE_LOOP('',(#316101,#316102,#316103,#316104)); #60801=EDGE_LOOP('',(#316105,#316106,#316107,#316108)); #60802=EDGE_LOOP('',(#316109,#316110,#316111,#316112)); #60803=EDGE_LOOP('',(#316113,#316114,#316115,#316116)); #60804=EDGE_LOOP('',(#316117,#316118,#316119,#316120)); #60805=EDGE_LOOP('',(#316121,#316122,#316123,#316124)); #60806=EDGE_LOOP('',(#316125,#316126,#316127,#316128)); #60807=EDGE_LOOP('',(#316129,#316130,#316131,#316132)); #60808=EDGE_LOOP('',(#316133,#316134,#316135,#316136)); #60809=EDGE_LOOP('',(#316137,#316138,#316139,#316140)); #60810=EDGE_LOOP('',(#316141,#316142,#316143,#316144,#316145,#316146,#316147, #316148,#316149,#316150,#316151,#316152,#316153,#316154,#316155,#316156)); #60811=EDGE_LOOP('',(#316157,#316158,#316159,#316160,#316161,#316162,#316163, #316164,#316165,#316166,#316167,#316168,#316169,#316170,#316171,#316172)); #60812=EDGE_LOOP('',(#316173,#316174,#316175,#316176)); #60813=EDGE_LOOP('',(#316177,#316178,#316179,#316180)); #60814=EDGE_LOOP('',(#316181,#316182,#316183,#316184)); #60815=EDGE_LOOP('',(#316185,#316186,#316187,#316188)); #60816=EDGE_LOOP('',(#316189,#316190,#316191,#316192)); #60817=EDGE_LOOP('',(#316193,#316194,#316195,#316196)); #60818=EDGE_LOOP('',(#316197,#316198,#316199,#316200)); #60819=EDGE_LOOP('',(#316201,#316202,#316203,#316204)); #60820=EDGE_LOOP('',(#316205,#316206,#316207,#316208)); #60821=EDGE_LOOP('',(#316209,#316210,#316211,#316212)); #60822=EDGE_LOOP('',(#316213,#316214,#316215,#316216)); #60823=EDGE_LOOP('',(#316217,#316218,#316219,#316220)); #60824=EDGE_LOOP('',(#316221,#316222,#316223,#316224)); #60825=EDGE_LOOP('',(#316225,#316226,#316227,#316228)); #60826=EDGE_LOOP('',(#316229,#316230,#316231,#316232)); #60827=EDGE_LOOP('',(#316233,#316234,#316235,#316236)); #60828=EDGE_LOOP('',(#316237,#316238,#316239,#316240)); #60829=EDGE_LOOP('',(#316241,#316242,#316243,#316244)); #60830=EDGE_LOOP('',(#316245,#316246,#316247,#316248,#316249,#316250,#316251)); #60831=EDGE_LOOP('',(#316252,#316253,#316254,#316255,#316256)); #60832=EDGE_LOOP('',(#316257,#316258,#316259,#316260,#316261,#316262,#316263)); #60833=EDGE_LOOP('',(#316264,#316265,#316266,#316267,#316268,#316269)); #60834=EDGE_LOOP('',(#316270,#316271,#316272,#316273)); #60835=EDGE_LOOP('',(#316274,#316275,#316276,#316277)); #60836=EDGE_LOOP('',(#316278,#316279,#316280,#316281)); #60837=EDGE_LOOP('',(#316282,#316283,#316284,#316285)); #60838=EDGE_LOOP('',(#316286,#316287,#316288,#316289)); #60839=EDGE_LOOP('',(#316290,#316291,#316292,#316293)); #60840=EDGE_LOOP('',(#316294,#316295,#316296)); #60841=EDGE_LOOP('',(#316297,#316298,#316299,#316300)); #60842=EDGE_LOOP('',(#316301,#316302,#316303)); #60843=EDGE_LOOP('',(#316304,#316305,#316306,#316307)); #60844=EDGE_LOOP('',(#316308,#316309,#316310,#316311)); #60845=EDGE_LOOP('',(#316312,#316313,#316314,#316315)); #60846=EDGE_LOOP('',(#316316,#316317,#316318,#316319)); #60847=EDGE_LOOP('',(#316320,#316321,#316322,#316323)); #60848=EDGE_LOOP('',(#316324,#316325,#316326,#316327)); #60849=EDGE_LOOP('',(#316328,#316329,#316330,#316331)); #60850=EDGE_LOOP('',(#316332,#316333,#316334,#316335)); #60851=EDGE_LOOP('',(#316336,#316337,#316338,#316339)); #60852=EDGE_LOOP('',(#316340,#316341,#316342,#316343)); #60853=EDGE_LOOP('',(#316344,#316345,#316346,#316347)); #60854=EDGE_LOOP('',(#316348,#316349,#316350,#316351)); #60855=EDGE_LOOP('',(#316352,#316353,#316354,#316355)); #60856=EDGE_LOOP('',(#316356,#316357,#316358,#316359)); #60857=EDGE_LOOP('',(#316360,#316361,#316362,#316363)); #60858=EDGE_LOOP('',(#316364,#316365,#316366,#316367)); #60859=EDGE_LOOP('',(#316368,#316369,#316370,#316371)); #60860=EDGE_LOOP('',(#316372,#316373,#316374,#316375)); #60861=EDGE_LOOP('',(#316376,#316377,#316378,#316379)); #60862=EDGE_LOOP('',(#316380,#316381,#316382,#316383)); #60863=EDGE_LOOP('',(#316384,#316385,#316386,#316387)); #60864=EDGE_LOOP('',(#316388,#316389,#316390,#316391)); #60865=EDGE_LOOP('',(#316392,#316393,#316394,#316395)); #60866=EDGE_LOOP('',(#316396,#316397,#316398,#316399)); #60867=EDGE_LOOP('',(#316400,#316401,#316402,#316403)); #60868=EDGE_LOOP('',(#316404,#316405,#316406,#316407)); #60869=EDGE_LOOP('',(#316408,#316409,#316410,#316411)); #60870=EDGE_LOOP('',(#316412,#316413,#316414,#316415)); #60871=EDGE_LOOP('',(#316416,#316417,#316418,#316419)); #60872=EDGE_LOOP('',(#316420,#316421,#316422,#316423)); #60873=EDGE_LOOP('',(#316424,#316425,#316426,#316427)); #60874=EDGE_LOOP('',(#316428,#316429,#316430,#316431,#316432)); #60875=EDGE_LOOP('',(#316433,#316434,#316435,#316436)); #60876=EDGE_LOOP('',(#316437,#316438,#316439,#316440)); #60877=EDGE_LOOP('',(#316441,#316442,#316443,#316444)); #60878=EDGE_LOOP('',(#316445,#316446,#316447,#316448,#316449)); #60879=EDGE_LOOP('',(#316450,#316451,#316452,#316453)); #60880=EDGE_LOOP('',(#316454,#316455,#316456,#316457)); #60881=EDGE_LOOP('',(#316458,#316459,#316460,#316461)); #60882=EDGE_LOOP('',(#316462,#316463,#316464,#316465)); #60883=EDGE_LOOP('',(#316466,#316467,#316468,#316469)); #60884=EDGE_LOOP('',(#316470,#316471,#316472,#316473)); #60885=EDGE_LOOP('',(#316474,#316475,#316476,#316477)); #60886=EDGE_LOOP('',(#316478,#316479,#316480,#316481)); #60887=EDGE_LOOP('',(#316482,#316483,#316484,#316485,#316486,#316487,#316488, #316489,#316490,#316491,#316492,#316493,#316494,#316495,#316496,#316497)); #60888=EDGE_LOOP('',(#316498,#316499,#316500,#316501,#316502,#316503,#316504, #316505,#316506,#316507,#316508,#316509,#316510,#316511,#316512,#316513)); #60889=EDGE_LOOP('',(#316514,#316515,#316516,#316517)); #60890=EDGE_LOOP('',(#316518,#316519,#316520,#316521)); #60891=EDGE_LOOP('',(#316522,#316523,#316524,#316525)); #60892=EDGE_LOOP('',(#316526,#316527,#316528,#316529)); #60893=EDGE_LOOP('',(#316530,#316531,#316532,#316533)); #60894=EDGE_LOOP('',(#316534,#316535,#316536,#316537)); #60895=EDGE_LOOP('',(#316538,#316539,#316540,#316541)); #60896=EDGE_LOOP('',(#316542,#316543,#316544,#316545)); #60897=EDGE_LOOP('',(#316546,#316547,#316548,#316549)); #60898=EDGE_LOOP('',(#316550,#316551,#316552,#316553)); #60899=EDGE_LOOP('',(#316554,#316555,#316556,#316557)); #60900=EDGE_LOOP('',(#316558,#316559,#316560,#316561)); #60901=EDGE_LOOP('',(#316562,#316563,#316564,#316565)); #60902=EDGE_LOOP('',(#316566,#316567,#316568,#316569)); #60903=EDGE_LOOP('',(#316570,#316571,#316572,#316573)); #60904=EDGE_LOOP('',(#316574,#316575,#316576,#316577)); #60905=EDGE_LOOP('',(#316578,#316579,#316580,#316581)); #60906=EDGE_LOOP('',(#316582,#316583,#316584,#316585)); #60907=EDGE_LOOP('',(#316586,#316587,#316588,#316589,#316590,#316591,#316592, #316593,#316594,#316595,#316596,#316597,#316598,#316599,#316600,#316601)); #60908=EDGE_LOOP('',(#316602,#316603,#316604,#316605,#316606,#316607,#316608, #316609,#316610,#316611,#316612,#316613,#316614,#316615,#316616,#316617)); #60909=EDGE_LOOP('',(#316618,#316619,#316620,#316621)); #60910=EDGE_LOOP('',(#316622,#316623,#316624,#316625)); #60911=EDGE_LOOP('',(#316626,#316627,#316628,#316629)); #60912=EDGE_LOOP('',(#316630,#316631,#316632,#316633)); #60913=EDGE_LOOP('',(#316634,#316635,#316636,#316637)); #60914=EDGE_LOOP('',(#316638,#316639,#316640,#316641)); #60915=EDGE_LOOP('',(#316642,#316643,#316644,#316645)); #60916=EDGE_LOOP('',(#316646,#316647,#316648,#316649)); #60917=EDGE_LOOP('',(#316650,#316651,#316652,#316653)); #60918=EDGE_LOOP('',(#316654,#316655,#316656,#316657)); #60919=EDGE_LOOP('',(#316658,#316659,#316660,#316661)); #60920=EDGE_LOOP('',(#316662,#316663,#316664,#316665)); #60921=EDGE_LOOP('',(#316666,#316667,#316668,#316669)); #60922=EDGE_LOOP('',(#316670,#316671,#316672,#316673)); #60923=EDGE_LOOP('',(#316674,#316675,#316676,#316677)); #60924=EDGE_LOOP('',(#316678,#316679,#316680,#316681)); #60925=EDGE_LOOP('',(#316682,#316683,#316684,#316685)); #60926=EDGE_LOOP('',(#316686,#316687,#316688,#316689)); #60927=EDGE_LOOP('',(#316690,#316691,#316692,#316693,#316694)); #60928=EDGE_LOOP('',(#316695,#316696,#316697,#316698,#316699,#316700,#316701)); #60929=EDGE_LOOP('',(#316702,#316703,#316704,#316705,#316706,#316707,#316708)); #60930=EDGE_LOOP('',(#316709,#316710,#316711,#316712,#316713,#316714)); #60931=EDGE_LOOP('',(#316715,#316716,#316717,#316718)); #60932=EDGE_LOOP('',(#316719,#316720,#316721,#316722)); #60933=EDGE_LOOP('',(#316723,#316724,#316725,#316726)); #60934=EDGE_LOOP('',(#316727,#316728,#316729,#316730)); #60935=EDGE_LOOP('',(#316731,#316732,#316733,#316734)); #60936=EDGE_LOOP('',(#316735,#316736,#316737,#316738)); #60937=EDGE_LOOP('',(#316739,#316740,#316741)); #60938=EDGE_LOOP('',(#316742,#316743,#316744,#316745)); #60939=EDGE_LOOP('',(#316746,#316747,#316748)); #60940=EDGE_LOOP('',(#316749,#316750,#316751,#316752)); #60941=EDGE_LOOP('',(#316753,#316754,#316755,#316756)); #60942=EDGE_LOOP('',(#316757,#316758,#316759,#316760)); #60943=EDGE_LOOP('',(#316761,#316762,#316763,#316764)); #60944=EDGE_LOOP('',(#316765,#316766,#316767,#316768)); #60945=EDGE_LOOP('',(#316769,#316770,#316771,#316772)); #60946=EDGE_LOOP('',(#316773,#316774,#316775,#316776)); #60947=EDGE_LOOP('',(#316777,#316778,#316779,#316780)); #60948=EDGE_LOOP('',(#316781,#316782,#316783,#316784)); #60949=EDGE_LOOP('',(#316785,#316786,#316787,#316788)); #60950=EDGE_LOOP('',(#316789,#316790,#316791,#316792)); #60951=EDGE_LOOP('',(#316793,#316794,#316795,#316796)); #60952=EDGE_LOOP('',(#316797,#316798,#316799,#316800)); #60953=EDGE_LOOP('',(#316801,#316802,#316803,#316804)); #60954=EDGE_LOOP('',(#316805,#316806,#316807,#316808)); #60955=EDGE_LOOP('',(#316809,#316810,#316811,#316812)); #60956=EDGE_LOOP('',(#316813,#316814,#316815,#316816)); #60957=EDGE_LOOP('',(#316817,#316818,#316819,#316820)); #60958=EDGE_LOOP('',(#316821,#316822,#316823,#316824)); #60959=EDGE_LOOP('',(#316825,#316826,#316827,#316828)); #60960=EDGE_LOOP('',(#316829,#316830,#316831,#316832)); #60961=EDGE_LOOP('',(#316833,#316834,#316835,#316836)); #60962=EDGE_LOOP('',(#316837,#316838,#316839,#316840)); #60963=EDGE_LOOP('',(#316841,#316842,#316843,#316844)); #60964=EDGE_LOOP('',(#316845,#316846,#316847,#316848)); #60965=EDGE_LOOP('',(#316849,#316850,#316851,#316852)); #60966=EDGE_LOOP('',(#316853,#316854,#316855,#316856)); #60967=EDGE_LOOP('',(#316857,#316858,#316859,#316860)); #60968=EDGE_LOOP('',(#316861,#316862,#316863,#316864)); #60969=EDGE_LOOP('',(#316865,#316866,#316867,#316868)); #60970=EDGE_LOOP('',(#316869,#316870,#316871,#316872)); #60971=EDGE_LOOP('',(#316873,#316874,#316875,#316876)); #60972=EDGE_LOOP('',(#316877,#316878,#316879,#316880)); #60973=EDGE_LOOP('',(#316881,#316882,#316883,#316884,#316885)); #60974=EDGE_LOOP('',(#316886,#316887,#316888,#316889)); #60975=EDGE_LOOP('',(#316890,#316891,#316892,#316893,#316894,#316895,#316896)); #60976=EDGE_LOOP('',(#316897,#316898,#316899,#316900,#316901,#316902)); #60977=EDGE_LOOP('',(#316903,#316904,#316905,#316906,#316907,#316908,#316909, #316910,#316911,#316912,#316913,#316914)); #60978=EDGE_LOOP('',(#316915,#316916,#316917,#316918)); #60979=EDGE_LOOP('',(#316919,#316920,#316921,#316922,#316923,#316924)); #60980=EDGE_LOOP('',(#316925,#316926,#316927,#316928)); #60981=EDGE_LOOP('',(#316929,#316930,#316931,#316932,#316933,#316934,#316935, #316936,#316937,#316938,#316939,#316940)); #60982=EDGE_LOOP('',(#316941,#316942,#316943,#316944)); #60983=EDGE_LOOP('',(#316945,#316946,#316947,#316948,#316949,#316950,#316951, #316952,#316953,#316954,#316955,#316956)); #60984=EDGE_LOOP('',(#316957,#316958,#316959,#316960,#316961,#316962)); #60985=EDGE_LOOP('',(#316963,#316964,#316965,#316966)); #60986=EDGE_LOOP('',(#316967,#316968,#316969,#316970)); #60987=EDGE_LOOP('',(#316971,#316972,#316973,#316974,#316975,#316976)); #60988=EDGE_LOOP('',(#316977,#316978,#316979,#316980,#316981,#316982,#316983, #316984,#316985,#316986,#316987,#316988)); #60989=EDGE_LOOP('',(#316989,#316990,#316991,#316992,#316993,#316994,#316995)); #60990=EDGE_LOOP('',(#316996,#316997,#316998,#316999,#317000)); #60991=EDGE_LOOP('',(#317001,#317002,#317003,#317004,#317005,#317006)); #60992=EDGE_LOOP('',(#317007,#317008,#317009,#317010)); #60993=EDGE_LOOP('',(#317011,#317012,#317013,#317014)); #60994=EDGE_LOOP('',(#317015,#317016,#317017,#317018,#317019,#317020)); #60995=EDGE_LOOP('',(#317021,#317022,#317023,#317024)); #60996=EDGE_LOOP('',(#317025,#317026,#317027,#317028)); #60997=EDGE_LOOP('',(#317029,#317030,#317031,#317032)); #60998=EDGE_LOOP('',(#317033,#317034,#317035,#317036,#317037)); #60999=EDGE_LOOP('',(#317038,#317039,#317040,#317041,#317042,#317043)); #61000=EDGE_LOOP('',(#317044,#317045,#317046,#317047,#317048,#317049,#317050, #317051,#317052)); #61001=EDGE_LOOP('',(#317053,#317054,#317055,#317056)); #61002=EDGE_LOOP('',(#317057,#317058,#317059,#317060)); #61003=EDGE_LOOP('',(#317061,#317062,#317063,#317064)); #61004=EDGE_LOOP('',(#317065,#317066,#317067,#317068)); #61005=EDGE_LOOP('',(#317069,#317070,#317071,#317072)); #61006=EDGE_LOOP('',(#317073,#317074,#317075,#317076,#317077)); #61007=EDGE_LOOP('',(#317078,#317079,#317080,#317081)); #61008=EDGE_LOOP('',(#317082,#317083,#317084,#317085,#317086)); #61009=EDGE_LOOP('',(#317087,#317088,#317089,#317090)); #61010=EDGE_LOOP('',(#317091,#317092,#317093,#317094)); #61011=EDGE_LOOP('',(#317095,#317096,#317097,#317098)); #61012=EDGE_LOOP('',(#317099,#317100,#317101,#317102,#317103)); #61013=EDGE_LOOP('',(#317104,#317105,#317106,#317107)); #61014=EDGE_LOOP('',(#317108,#317109,#317110,#317111,#317112)); #61015=EDGE_LOOP('',(#317113,#317114,#317115,#317116)); #61016=EDGE_LOOP('',(#317117,#317118,#317119,#317120)); #61017=EDGE_LOOP('',(#317121,#317122,#317123,#317124)); #61018=EDGE_LOOP('',(#317125,#317126,#317127,#317128)); #61019=EDGE_LOOP('',(#317129,#317130,#317131,#317132)); #61020=EDGE_LOOP('',(#317133,#317134,#317135,#317136,#317137,#317138,#317139, #317140,#317141)); #61021=EDGE_LOOP('',(#317142,#317143,#317144,#317145,#317146,#317147)); #61022=EDGE_LOOP('',(#317148,#317149,#317150,#317151,#317152)); #61023=EDGE_LOOP('',(#317153,#317154,#317155,#317156)); #61024=EDGE_LOOP('',(#317157,#317158,#317159,#317160)); #61025=EDGE_LOOP('',(#317161,#317162,#317163,#317164)); #61026=EDGE_LOOP('',(#317165,#317166,#317167,#317168)); #61027=EDGE_LOOP('',(#317169,#317170,#317171,#317172)); #61028=EDGE_LOOP('',(#317173,#317174,#317175,#317176)); #61029=EDGE_LOOP('',(#317177,#317178,#317179,#317180,#317181,#317182)); #61030=EDGE_LOOP('',(#317183,#317184,#317185,#317186,#317187,#317188,#317189, #317190,#317191,#317192,#317193,#317194,#317195,#317196,#317197,#317198, #317199,#317200,#317201,#317202,#317203,#317204,#317205,#317206,#317207, #317208,#317209,#317210,#317211,#317212,#317213,#317214,#317215,#317216, #317217,#317218,#317219,#317220,#317221,#317222)); #61031=EDGE_LOOP('',(#317223,#317224,#317225,#317226,#317227)); #61032=EDGE_LOOP('',(#317228,#317229,#317230,#317231,#317232,#317233,#317234, #317235,#317236,#317237,#317238,#317239,#317240,#317241,#317242,#317243, #317244,#317245,#317246,#317247,#317248,#317249,#317250,#317251,#317252, #317253,#317254,#317255,#317256,#317257,#317258,#317259,#317260,#317261, #317262,#317263,#317264,#317265,#317266,#317267)); #61033=EDGE_LOOP('',(#317268,#317269,#317270,#317271,#317272)); #61034=EDGE_LOOP('',(#317273,#317274,#317275,#317276,#317277,#317278)); #61035=EDGE_LOOP('',(#317279,#317280,#317281,#317282,#317283)); #61036=EDGE_LOOP('',(#317284,#317285,#317286,#317287)); #61037=EDGE_LOOP('',(#317288,#317289,#317290,#317291,#317292)); #61038=EDGE_LOOP('',(#317293,#317294,#317295,#317296,#317297)); #61039=EDGE_LOOP('',(#317298,#317299,#317300,#317301,#317302,#317303,#317304)); #61040=EDGE_LOOP('',(#317305,#317306,#317307,#317308,#317309,#317310,#317311)); #61041=EDGE_LOOP('',(#317312,#317313,#317314,#317315,#317316)); #61042=EDGE_LOOP('',(#317317,#317318,#317319,#317320,#317321)); #61043=EDGE_LOOP('',(#317322,#317323,#317324,#317325)); #61044=EDGE_LOOP('',(#317326,#317327,#317328,#317329,#317330)); #61045=EDGE_LOOP('',(#317331,#317332,#317333,#317334,#317335,#317336,#317337)); #61046=EDGE_LOOP('',(#317338,#317339,#317340,#317341,#317342,#317343,#317344)); #61047=EDGE_LOOP('',(#317345,#317346,#317347,#317348)); #61048=EDGE_LOOP('',(#317349,#317350,#317351,#317352)); #61049=EDGE_LOOP('',(#317353,#317354,#317355,#317356)); #61050=EDGE_LOOP('',(#317357,#317358,#317359,#317360)); #61051=EDGE_LOOP('',(#317361,#317362,#317363,#317364)); #61052=EDGE_LOOP('',(#317365,#317366,#317367,#317368)); #61053=EDGE_LOOP('',(#317369,#317370,#317371,#317372)); #61054=EDGE_LOOP('',(#317373,#317374,#317375,#317376)); #61055=EDGE_LOOP('',(#317377,#317378,#317379,#317380)); #61056=EDGE_LOOP('',(#317381,#317382,#317383,#317384)); #61057=EDGE_LOOP('',(#317385,#317386,#317387,#317388)); #61058=EDGE_LOOP('',(#317389,#317390,#317391,#317392)); #61059=EDGE_LOOP('',(#317393,#317394,#317395,#317396)); #61060=EDGE_LOOP('',(#317397,#317398,#317399,#317400)); #61061=EDGE_LOOP('',(#317401,#317402,#317403,#317404)); #61062=EDGE_LOOP('',(#317405,#317406,#317407,#317408)); #61063=EDGE_LOOP('',(#317409,#317410,#317411,#317412)); #61064=EDGE_LOOP('',(#317413,#317414,#317415,#317416)); #61065=EDGE_LOOP('',(#317417,#317418,#317419,#317420)); #61066=EDGE_LOOP('',(#317421,#317422,#317423,#317424)); #61067=EDGE_LOOP('',(#317425,#317426,#317427,#317428)); #61068=EDGE_LOOP('',(#317429,#317430,#317431,#317432)); #61069=EDGE_LOOP('',(#317433,#317434,#317435,#317436)); #61070=EDGE_LOOP('',(#317437,#317438,#317439,#317440)); #61071=EDGE_LOOP('',(#317441,#317442,#317443,#317444)); #61072=EDGE_LOOP('',(#317445,#317446,#317447,#317448)); #61073=EDGE_LOOP('',(#317449,#317450,#317451,#317452)); #61074=EDGE_LOOP('',(#317453,#317454,#317455,#317456)); #61075=EDGE_LOOP('',(#317457,#317458,#317459,#317460)); #61076=EDGE_LOOP('',(#317461,#317462,#317463,#317464,#317465,#317466,#317467, #317468,#317469,#317470,#317471,#317472)); #61077=EDGE_LOOP('',(#317473,#317474,#317475,#317476,#317477,#317478,#317479, #317480,#317481,#317482,#317483,#317484)); #61078=EDGE_LOOP('',(#317485,#317486,#317487,#317488)); #61079=EDGE_LOOP('',(#317489,#317490,#317491,#317492,#317493,#317494)); #61080=EDGE_LOOP('',(#317495,#317496,#317497,#317498)); #61081=EDGE_LOOP('',(#317499,#317500,#317501,#317502,#317503,#317504)); #61082=EDGE_LOOP('',(#317505,#317506,#317507,#317508,#317509,#317510,#317511, #317512)); #61083=EDGE_LOOP('',(#317513,#317514,#317515,#317516,#317517,#317518,#317519, #317520)); #61084=EDGE_LOOP('',(#317521,#317522,#317523,#317524)); #61085=EDGE_LOOP('',(#317525,#317526,#317527,#317528)); #61086=EDGE_LOOP('',(#317529,#317530,#317531,#317532)); #61087=EDGE_LOOP('',(#317533,#317534,#317535,#317536)); #61088=EDGE_LOOP('',(#317537,#317538,#317539,#317540)); #61089=EDGE_LOOP('',(#317541,#317542,#317543,#317544)); #61090=EDGE_LOOP('',(#317545,#317546,#317547,#317548)); #61091=EDGE_LOOP('',(#317549,#317550,#317551,#317552)); #61092=EDGE_LOOP('',(#317553,#317554,#317555,#317556)); #61093=EDGE_LOOP('',(#317557,#317558,#317559,#317560)); #61094=EDGE_LOOP('',(#317561,#317562,#317563,#317564)); #61095=EDGE_LOOP('',(#317565,#317566,#317567,#317568,#317569,#317570,#317571, #317572,#317573,#317574,#317575,#317576)); #61096=EDGE_LOOP('',(#317577,#317578,#317579,#317580)); #61097=EDGE_LOOP('',(#317581,#317582,#317583,#317584)); #61098=EDGE_LOOP('',(#317585,#317586,#317587,#317588)); #61099=EDGE_LOOP('',(#317589,#317590,#317591,#317592)); #61100=EDGE_LOOP('',(#317593,#317594,#317595,#317596)); #61101=EDGE_LOOP('',(#317597,#317598,#317599,#317600)); #61102=EDGE_LOOP('',(#317601,#317602,#317603,#317604)); #61103=EDGE_LOOP('',(#317605,#317606,#317607,#317608)); #61104=EDGE_LOOP('',(#317609,#317610,#317611,#317612)); #61105=EDGE_LOOP('',(#317613,#317614,#317615,#317616,#317617,#317618)); #61106=EDGE_LOOP('',(#317619,#317620,#317621,#317622,#317623,#317624)); #61107=EDGE_LOOP('',(#317625,#317626,#317627,#317628)); #61108=EDGE_LOOP('',(#317629,#317630,#317631,#317632,#317633,#317634)); #61109=EDGE_LOOP('',(#317635,#317636,#317637,#317638,#317639,#317640)); #61110=EDGE_LOOP('',(#317641,#317642,#317643,#317644)); #61111=EDGE_LOOP('',(#317645,#317646,#317647,#317648,#317649,#317650,#317651, #317652)); #61112=EDGE_LOOP('',(#317653,#317654,#317655,#317656,#317657,#317658,#317659, #317660,#317661)); #61113=EDGE_LOOP('',(#317662,#317663,#317664,#317665,#317666,#317667,#317668, #317669,#317670)); #61114=EDGE_LOOP('',(#317671,#317672,#317673,#317674,#317675,#317676,#317677, #317678,#317679,#317680,#317681,#317682,#317683,#317684,#317685,#317686, #317687,#317688,#317689,#317690,#317691,#317692,#317693,#317694)); #61115=EDGE_LOOP('',(#317695,#317696,#317697,#317698)); #61116=EDGE_LOOP('',(#317699,#317700,#317701,#317702,#317703,#317704,#317705, #317706,#317707,#317708,#317709,#317710,#317711,#317712,#317713,#317714, #317715,#317716,#317717,#317718)); #61117=EDGE_LOOP('',(#317719,#317720,#317721,#317722,#317723,#317724,#317725)); #61118=EDGE_LOOP('',(#317726,#317727,#317728,#317729)); #61119=EDGE_LOOP('',(#317730,#317731,#317732,#317733,#317734,#317735,#317736)); #61120=EDGE_LOOP('',(#317737,#317738,#317739,#317740)); #61121=EDGE_LOOP('',(#317741,#317742,#317743,#317744)); #61122=EDGE_LOOP('',(#317745,#317746,#317747,#317748)); #61123=EDGE_LOOP('',(#317749,#317750,#317751,#317752)); #61124=EDGE_LOOP('',(#317753,#317754,#317755,#317756)); #61125=EDGE_LOOP('',(#317757,#317758,#317759,#317760)); #61126=EDGE_LOOP('',(#317761,#317762,#317763,#317764)); #61127=EDGE_LOOP('',(#317765,#317766,#317767,#317768)); #61128=EDGE_LOOP('',(#317769,#317770,#317771,#317772)); #61129=EDGE_LOOP('',(#317773,#317774,#317775,#317776)); #61130=EDGE_LOOP('',(#317777,#317778,#317779,#317780)); #61131=EDGE_LOOP('',(#317781,#317782,#317783,#317784)); #61132=EDGE_LOOP('',(#317785,#317786,#317787,#317788)); #61133=EDGE_LOOP('',(#317789,#317790,#317791,#317792)); #61134=EDGE_LOOP('',(#317793,#317794,#317795,#317796)); #61135=EDGE_LOOP('',(#317797,#317798,#317799,#317800)); #61136=EDGE_LOOP('',(#317801,#317802,#317803,#317804)); #61137=EDGE_LOOP('',(#317805,#317806,#317807,#317808)); #61138=EDGE_LOOP('',(#317809,#317810,#317811,#317812)); #61139=EDGE_LOOP('',(#317813,#317814,#317815,#317816)); #61140=EDGE_LOOP('',(#317817,#317818,#317819,#317820)); #61141=EDGE_LOOP('',(#317821,#317822,#317823,#317824)); #61142=EDGE_LOOP('',(#317825,#317826,#317827,#317828)); #61143=EDGE_LOOP('',(#317829,#317830,#317831,#317832)); #61144=EDGE_LOOP('',(#317833,#317834,#317835,#317836)); #61145=EDGE_LOOP('',(#317837,#317838,#317839,#317840)); #61146=EDGE_LOOP('',(#317841,#317842,#317843,#317844)); #61147=EDGE_LOOP('',(#317845,#317846,#317847,#317848)); #61148=EDGE_LOOP('',(#317849,#317850,#317851,#317852)); #61149=EDGE_LOOP('',(#317853,#317854,#317855,#317856)); #61150=EDGE_LOOP('',(#317857,#317858,#317859,#317860)); #61151=EDGE_LOOP('',(#317861,#317862,#317863,#317864)); #61152=EDGE_LOOP('',(#317865,#317866,#317867,#317868)); #61153=EDGE_LOOP('',(#317869,#317870,#317871,#317872)); #61154=EDGE_LOOP('',(#317873,#317874,#317875,#317876)); #61155=EDGE_LOOP('',(#317877,#317878,#317879,#317880)); #61156=EDGE_LOOP('',(#317881,#317882,#317883,#317884)); #61157=EDGE_LOOP('',(#317885,#317886,#317887,#317888)); #61158=EDGE_LOOP('',(#317889,#317890,#317891,#317892)); #61159=EDGE_LOOP('',(#317893,#317894,#317895,#317896)); #61160=EDGE_LOOP('',(#317897,#317898,#317899,#317900)); #61161=EDGE_LOOP('',(#317901,#317902,#317903,#317904)); #61162=EDGE_LOOP('',(#317905,#317906,#317907,#317908)); #61163=EDGE_LOOP('',(#317909,#317910,#317911,#317912)); #61164=EDGE_LOOP('',(#317913,#317914,#317915,#317916)); #61165=EDGE_LOOP('',(#317917,#317918,#317919,#317920)); #61166=EDGE_LOOP('',(#317921,#317922,#317923,#317924)); #61167=EDGE_LOOP('',(#317925,#317926,#317927,#317928)); #61168=EDGE_LOOP('',(#317929,#317930,#317931,#317932)); #61169=EDGE_LOOP('',(#317933,#317934,#317935,#317936)); #61170=EDGE_LOOP('',(#317937,#317938,#317939,#317940)); #61171=EDGE_LOOP('',(#317941,#317942,#317943,#317944,#317945)); #61172=EDGE_LOOP('',(#317946,#317947,#317948,#317949)); #61173=EDGE_LOOP('',(#317950,#317951,#317952,#317953,#317954)); #61174=EDGE_LOOP('',(#317955,#317956,#317957,#317958)); #61175=EDGE_LOOP('',(#317959,#317960,#317961,#317962)); #61176=EDGE_LOOP('',(#317963,#317964,#317965,#317966)); #61177=EDGE_LOOP('',(#317967,#317968,#317969,#317970)); #61178=EDGE_LOOP('',(#317971,#317972,#317973,#317974)); #61179=EDGE_LOOP('',(#317975,#317976,#317977,#317978)); #61180=EDGE_LOOP('',(#317979,#317980,#317981)); #61181=EDGE_LOOP('',(#317982,#317983,#317984)); #61182=EDGE_LOOP('',(#317985,#317986,#317987)); #61183=EDGE_LOOP('',(#317988,#317989,#317990)); #61184=EDGE_LOOP('',(#317991,#317992,#317993)); #61185=EDGE_LOOP('',(#317994,#317995,#317996,#317997)); #61186=EDGE_LOOP('',(#317998,#317999,#318000)); #61187=EDGE_LOOP('',(#318001,#318002,#318003)); #61188=EDGE_LOOP('',(#318004,#318005,#318006,#318007)); #61189=EDGE_LOOP('',(#318008,#318009,#318010,#318011)); #61190=EDGE_LOOP('',(#318012,#318013,#318014)); #61191=EDGE_LOOP('',(#318015,#318016,#318017,#318018)); #61192=EDGE_LOOP('',(#318019,#318020,#318021,#318022)); #61193=EDGE_LOOP('',(#318023,#318024,#318025,#318026)); #61194=EDGE_LOOP('',(#318027,#318028,#318029,#318030)); #61195=EDGE_LOOP('',(#318031,#318032,#318033,#318034)); #61196=EDGE_LOOP('',(#318035,#318036,#318037,#318038)); #61197=EDGE_LOOP('',(#318039,#318040,#318041,#318042)); #61198=EDGE_LOOP('',(#318043,#318044,#318045,#318046)); #61199=EDGE_LOOP('',(#318047,#318048,#318049,#318050)); #61200=EDGE_LOOP('',(#318051,#318052,#318053,#318054)); #61201=EDGE_LOOP('',(#318055,#318056,#318057,#318058)); #61202=EDGE_LOOP('',(#318059,#318060,#318061,#318062)); #61203=EDGE_LOOP('',(#318063,#318064,#318065,#318066)); #61204=EDGE_LOOP('',(#318067,#318068,#318069,#318070)); #61205=EDGE_LOOP('',(#318071,#318072,#318073,#318074)); #61206=EDGE_LOOP('',(#318075,#318076,#318077)); #61207=EDGE_LOOP('',(#318078,#318079,#318080)); #61208=EDGE_LOOP('',(#318081,#318082,#318083)); #61209=EDGE_LOOP('',(#318084,#318085,#318086)); #61210=EDGE_LOOP('',(#318087,#318088,#318089)); #61211=EDGE_LOOP('',(#318090,#318091,#318092,#318093)); #61212=EDGE_LOOP('',(#318094,#318095,#318096)); #61213=EDGE_LOOP('',(#318097,#318098,#318099)); #61214=EDGE_LOOP('',(#318100,#318101,#318102,#318103)); #61215=EDGE_LOOP('',(#318104,#318105,#318106,#318107)); #61216=EDGE_LOOP('',(#318108,#318109,#318110)); #61217=EDGE_LOOP('',(#318111,#318112,#318113,#318114)); #61218=EDGE_LOOP('',(#318115,#318116,#318117,#318118)); #61219=EDGE_LOOP('',(#318119,#318120,#318121,#318122)); #61220=EDGE_LOOP('',(#318123,#318124,#318125,#318126)); #61221=EDGE_LOOP('',(#318127,#318128,#318129,#318130)); #61222=EDGE_LOOP('',(#318131,#318132,#318133,#318134)); #61223=EDGE_LOOP('',(#318135,#318136,#318137,#318138)); #61224=EDGE_LOOP('',(#318139,#318140,#318141,#318142)); #61225=EDGE_LOOP('',(#318143,#318144,#318145,#318146)); #61226=EDGE_LOOP('',(#318147,#318148,#318149,#318150)); #61227=EDGE_LOOP('',(#318151,#318152,#318153,#318154)); #61228=EDGE_LOOP('',(#318155,#318156,#318157,#318158)); #61229=EDGE_LOOP('',(#318159,#318160,#318161,#318162)); #61230=EDGE_LOOP('',(#318163,#318164,#318165,#318166)); #61231=EDGE_LOOP('',(#318167,#318168,#318169,#318170)); #61232=EDGE_LOOP('',(#318171,#318172,#318173,#318174)); #61233=EDGE_LOOP('',(#318175,#318176,#318177,#318178)); #61234=EDGE_LOOP('',(#318179,#318180,#318181,#318182)); #61235=EDGE_LOOP('',(#318183,#318184,#318185,#318186)); #61236=EDGE_LOOP('',(#318187,#318188,#318189,#318190)); #61237=EDGE_LOOP('',(#318191,#318192,#318193,#318194)); #61238=EDGE_LOOP('',(#318195,#318196,#318197)); #61239=EDGE_LOOP('',(#318198,#318199,#318200)); #61240=EDGE_LOOP('',(#318201,#318202,#318203)); #61241=EDGE_LOOP('',(#318204,#318205,#318206)); #61242=EDGE_LOOP('',(#318207,#318208,#318209)); #61243=EDGE_LOOP('',(#318210,#318211,#318212,#318213)); #61244=EDGE_LOOP('',(#318214,#318215,#318216)); #61245=EDGE_LOOP('',(#318217,#318218,#318219)); #61246=EDGE_LOOP('',(#318220,#318221,#318222,#318223)); #61247=EDGE_LOOP('',(#318224,#318225,#318226,#318227)); #61248=EDGE_LOOP('',(#318228,#318229,#318230)); #61249=EDGE_LOOP('',(#318231,#318232,#318233,#318234)); #61250=EDGE_LOOP('',(#318235,#318236,#318237,#318238)); #61251=EDGE_LOOP('',(#318239,#318240,#318241,#318242)); #61252=EDGE_LOOP('',(#318243,#318244,#318245,#318246)); #61253=EDGE_LOOP('',(#318247,#318248,#318249,#318250)); #61254=EDGE_LOOP('',(#318251,#318252,#318253,#318254)); #61255=EDGE_LOOP('',(#318255,#318256,#318257,#318258)); #61256=EDGE_LOOP('',(#318259,#318260,#318261,#318262)); #61257=EDGE_LOOP('',(#318263,#318264,#318265,#318266)); #61258=EDGE_LOOP('',(#318267,#318268,#318269,#318270)); #61259=EDGE_LOOP('',(#318271,#318272,#318273,#318274)); #61260=EDGE_LOOP('',(#318275,#318276,#318277,#318278)); #61261=EDGE_LOOP('',(#318279,#318280,#318281,#318282)); #61262=EDGE_LOOP('',(#318283,#318284,#318285,#318286)); #61263=EDGE_LOOP('',(#318287,#318288,#318289,#318290)); #61264=EDGE_LOOP('',(#318291,#318292,#318293)); #61265=EDGE_LOOP('',(#318294,#318295,#318296)); #61266=EDGE_LOOP('',(#318297,#318298,#318299)); #61267=EDGE_LOOP('',(#318300,#318301,#318302)); #61268=EDGE_LOOP('',(#318303,#318304,#318305)); #61269=EDGE_LOOP('',(#318306,#318307,#318308,#318309)); #61270=EDGE_LOOP('',(#318310,#318311,#318312)); #61271=EDGE_LOOP('',(#318313,#318314,#318315)); #61272=EDGE_LOOP('',(#318316,#318317,#318318,#318319)); #61273=EDGE_LOOP('',(#318320,#318321,#318322,#318323)); #61274=EDGE_LOOP('',(#318324,#318325,#318326)); #61275=EDGE_LOOP('',(#318327,#318328,#318329,#318330)); #61276=EDGE_LOOP('',(#318331,#318332,#318333,#318334)); #61277=EDGE_LOOP('',(#318335,#318336,#318337,#318338)); #61278=EDGE_LOOP('',(#318339,#318340,#318341,#318342)); #61279=EDGE_LOOP('',(#318343,#318344,#318345,#318346)); #61280=EDGE_LOOP('',(#318347,#318348,#318349,#318350)); #61281=EDGE_LOOP('',(#318351,#318352,#318353,#318354)); #61282=EDGE_LOOP('',(#318355,#318356,#318357,#318358)); #61283=EDGE_LOOP('',(#318359,#318360,#318361,#318362)); #61284=EDGE_LOOP('',(#318363,#318364,#318365,#318366)); #61285=EDGE_LOOP('',(#318367,#318368,#318369,#318370)); #61286=EDGE_LOOP('',(#318371,#318372,#318373,#318374)); #61287=EDGE_LOOP('',(#318375,#318376,#318377,#318378)); #61288=EDGE_LOOP('',(#318379,#318380,#318381,#318382)); #61289=EDGE_LOOP('',(#318383,#318384,#318385,#318386)); #61290=EDGE_LOOP('',(#318387,#318388,#318389,#318390)); #61291=EDGE_LOOP('',(#318391,#318392,#318393,#318394)); #61292=EDGE_LOOP('',(#318395,#318396,#318397,#318398)); #61293=EDGE_LOOP('',(#318399,#318400,#318401,#318402)); #61294=EDGE_LOOP('',(#318403,#318404,#318405,#318406)); #61295=EDGE_LOOP('',(#318407,#318408,#318409,#318410)); #61296=EDGE_LOOP('',(#318411,#318412,#318413)); #61297=EDGE_LOOP('',(#318414,#318415,#318416)); #61298=EDGE_LOOP('',(#318417,#318418,#318419)); #61299=EDGE_LOOP('',(#318420,#318421,#318422)); #61300=EDGE_LOOP('',(#318423,#318424,#318425)); #61301=EDGE_LOOP('',(#318426,#318427,#318428,#318429)); #61302=EDGE_LOOP('',(#318430,#318431,#318432)); #61303=EDGE_LOOP('',(#318433,#318434,#318435)); #61304=EDGE_LOOP('',(#318436,#318437,#318438,#318439)); #61305=EDGE_LOOP('',(#318440,#318441,#318442,#318443)); #61306=EDGE_LOOP('',(#318444,#318445,#318446)); #61307=EDGE_LOOP('',(#318447,#318448,#318449,#318450)); #61308=EDGE_LOOP('',(#318451,#318452,#318453,#318454)); #61309=EDGE_LOOP('',(#318455,#318456,#318457,#318458)); #61310=EDGE_LOOP('',(#318459,#318460,#318461,#318462)); #61311=EDGE_LOOP('',(#318463,#318464,#318465,#318466)); #61312=EDGE_LOOP('',(#318467,#318468,#318469,#318470)); #61313=EDGE_LOOP('',(#318471,#318472,#318473,#318474)); #61314=EDGE_LOOP('',(#318475,#318476,#318477,#318478)); #61315=EDGE_LOOP('',(#318479,#318480,#318481,#318482)); #61316=EDGE_LOOP('',(#318483,#318484,#318485,#318486)); #61317=EDGE_LOOP('',(#318487,#318488,#318489,#318490)); #61318=EDGE_LOOP('',(#318491,#318492,#318493,#318494)); #61319=EDGE_LOOP('',(#318495,#318496,#318497,#318498)); #61320=EDGE_LOOP('',(#318499,#318500,#318501,#318502)); #61321=EDGE_LOOP('',(#318503,#318504,#318505,#318506)); #61322=EDGE_LOOP('',(#318507,#318508,#318509)); #61323=EDGE_LOOP('',(#318510,#318511,#318512)); #61324=EDGE_LOOP('',(#318513,#318514,#318515)); #61325=EDGE_LOOP('',(#318516,#318517,#318518)); #61326=EDGE_LOOP('',(#318519,#318520,#318521)); #61327=EDGE_LOOP('',(#318522,#318523,#318524,#318525)); #61328=EDGE_LOOP('',(#318526,#318527,#318528)); #61329=EDGE_LOOP('',(#318529,#318530,#318531)); #61330=EDGE_LOOP('',(#318532,#318533,#318534,#318535)); #61331=EDGE_LOOP('',(#318536,#318537,#318538,#318539)); #61332=EDGE_LOOP('',(#318540,#318541,#318542)); #61333=EDGE_LOOP('',(#318543,#318544,#318545,#318546)); #61334=EDGE_LOOP('',(#318547,#318548,#318549,#318550)); #61335=EDGE_LOOP('',(#318551,#318552,#318553,#318554)); #61336=EDGE_LOOP('',(#318555,#318556,#318557,#318558)); #61337=EDGE_LOOP('',(#318559,#318560,#318561,#318562)); #61338=EDGE_LOOP('',(#318563,#318564,#318565,#318566)); #61339=EDGE_LOOP('',(#318567,#318568,#318569,#318570)); #61340=EDGE_LOOP('',(#318571,#318572,#318573,#318574)); #61341=EDGE_LOOP('',(#318575,#318576,#318577,#318578)); #61342=EDGE_LOOP('',(#318579,#318580,#318581,#318582)); #61343=EDGE_LOOP('',(#318583,#318584,#318585,#318586)); #61344=EDGE_LOOP('',(#318587,#318588,#318589,#318590)); #61345=EDGE_LOOP('',(#318591,#318592,#318593,#318594)); #61346=EDGE_LOOP('',(#318595,#318596,#318597,#318598)); #61347=EDGE_LOOP('',(#318599,#318600,#318601,#318602)); #61348=EDGE_LOOP('',(#318603,#318604,#318605,#318606)); #61349=EDGE_LOOP('',(#318607,#318608,#318609,#318610)); #61350=EDGE_LOOP('',(#318611,#318612,#318613,#318614)); #61351=EDGE_LOOP('',(#318615,#318616,#318617,#318618)); #61352=EDGE_LOOP('',(#318619,#318620,#318621,#318622)); #61353=EDGE_LOOP('',(#318623,#318624,#318625,#318626)); #61354=EDGE_LOOP('',(#318627,#318628,#318629,#318630)); #61355=EDGE_LOOP('',(#318631)); #61356=EDGE_LOOP('',(#318632)); #61357=EDGE_LOOP('',(#318633,#318634,#318635,#318636)); #61358=EDGE_LOOP('',(#318637,#318638,#318639,#318640)); #61359=EDGE_LOOP('',(#318641,#318642,#318643,#318644)); #61360=EDGE_LOOP('',(#318645,#318646,#318647,#318648)); #61361=EDGE_LOOP('',(#318649,#318650,#318651,#318652)); #61362=EDGE_LOOP('',(#318653,#318654,#318655,#318656)); #61363=EDGE_LOOP('',(#318657,#318658,#318659,#318660)); #61364=EDGE_LOOP('',(#318661)); #61365=EDGE_LOOP('',(#318662)); #61366=EDGE_LOOP('',(#318663,#318664,#318665,#318666,#318667,#318668,#318669, #318670,#318671,#318672,#318673,#318674,#318675,#318676,#318677,#318678)); #61367=EDGE_LOOP('',(#318679,#318680,#318681,#318682)); #61368=EDGE_LOOP('',(#318683,#318684,#318685,#318686)); #61369=EDGE_LOOP('',(#318687,#318688,#318689,#318690)); #61370=EDGE_LOOP('',(#318691,#318692,#318693,#318694)); #61371=EDGE_LOOP('',(#318695,#318696,#318697,#318698)); #61372=EDGE_LOOP('',(#318699,#318700,#318701,#318702)); #61373=EDGE_LOOP('',(#318703,#318704,#318705,#318706)); #61374=EDGE_LOOP('',(#318707,#318708,#318709,#318710)); #61375=EDGE_LOOP('',(#318711,#318712,#318713,#318714)); #61376=EDGE_LOOP('',(#318715,#318716,#318717,#318718)); #61377=EDGE_LOOP('',(#318719,#318720,#318721,#318722)); #61378=EDGE_LOOP('',(#318723,#318724,#318725,#318726)); #61379=EDGE_LOOP('',(#318727,#318728,#318729,#318730)); #61380=EDGE_LOOP('',(#318731,#318732,#318733,#318734)); #61381=EDGE_LOOP('',(#318735,#318736,#318737,#318738)); #61382=EDGE_LOOP('',(#318739,#318740,#318741,#318742)); #61383=EDGE_LOOP('',(#318743,#318744,#318745,#318746,#318747,#318748,#318749, #318750,#318751,#318752,#318753,#318754,#318755,#318756,#318757,#318758)); #61384=EDGE_LOOP('',(#318759,#318760,#318761,#318762)); #61385=EDGE_LOOP('',(#318763)); #61386=EDGE_LOOP('',(#318764)); #61387=EDGE_LOOP('',(#318765,#318766,#318767,#318768)); #61388=EDGE_LOOP('',(#318769)); #61389=EDGE_LOOP('',(#318770)); #61390=EDGE_LOOP('',(#318771,#318772,#318773,#318774)); #61391=EDGE_LOOP('',(#318775,#318776,#318777,#318778)); #61392=EDGE_LOOP('',(#318779,#318780,#318781,#318782)); #61393=EDGE_LOOP('',(#318783,#318784,#318785,#318786)); #61394=EDGE_LOOP('',(#318787,#318788,#318789,#318790)); #61395=EDGE_LOOP('',(#318791,#318792,#318793,#318794)); #61396=EDGE_LOOP('',(#318795,#318796,#318797,#318798)); #61397=EDGE_LOOP('',(#318799,#318800,#318801,#318802)); #61398=EDGE_LOOP('',(#318803,#318804,#318805,#318806)); #61399=EDGE_LOOP('',(#318807,#318808,#318809,#318810)); #61400=EDGE_LOOP('',(#318811,#318812,#318813,#318814)); #61401=EDGE_LOOP('',(#318815,#318816,#318817,#318818)); #61402=EDGE_LOOP('',(#318819,#318820,#318821,#318822)); #61403=EDGE_LOOP('',(#318823,#318824,#318825,#318826)); #61404=EDGE_LOOP('',(#318827,#318828,#318829,#318830)); #61405=EDGE_LOOP('',(#318831,#318832,#318833,#318834)); #61406=EDGE_LOOP('',(#318835,#318836,#318837,#318838)); #61407=EDGE_LOOP('',(#318839,#318840,#318841,#318842)); #61408=EDGE_LOOP('',(#318843,#318844,#318845,#318846)); #61409=EDGE_LOOP('',(#318847,#318848,#318849,#318850)); #61410=EDGE_LOOP('',(#318851,#318852,#318853,#318854)); #61411=EDGE_LOOP('',(#318855,#318856,#318857,#318858)); #61412=EDGE_LOOP('',(#318859,#318860,#318861,#318862)); #61413=EDGE_LOOP('',(#318863,#318864,#318865,#318866)); #61414=EDGE_LOOP('',(#318867,#318868,#318869,#318870)); #61415=EDGE_LOOP('',(#318871,#318872,#318873,#318874)); #61416=EDGE_LOOP('',(#318875,#318876,#318877,#318878)); #61417=EDGE_LOOP('',(#318879,#318880,#318881,#318882)); #61418=EDGE_LOOP('',(#318883,#318884,#318885,#318886)); #61419=EDGE_LOOP('',(#318887,#318888,#318889,#318890)); #61420=EDGE_LOOP('',(#318891,#318892,#318893,#318894)); #61421=EDGE_LOOP('',(#318895,#318896,#318897,#318898)); #61422=EDGE_LOOP('',(#318899,#318900,#318901,#318902)); #61423=EDGE_LOOP('',(#318903,#318904,#318905,#318906)); #61424=EDGE_LOOP('',(#318907,#318908,#318909,#318910)); #61425=EDGE_LOOP('',(#318911,#318912,#318913,#318914)); #61426=EDGE_LOOP('',(#318915,#318916,#318917,#318918)); #61427=EDGE_LOOP('',(#318919,#318920,#318921,#318922)); #61428=EDGE_LOOP('',(#318923,#318924,#318925,#318926)); #61429=EDGE_LOOP('',(#318927,#318928,#318929,#318930)); #61430=EDGE_LOOP('',(#318931,#318932,#318933,#318934)); #61431=EDGE_LOOP('',(#318935,#318936,#318937,#318938)); #61432=EDGE_LOOP('',(#318939,#318940,#318941,#318942)); #61433=EDGE_LOOP('',(#318943,#318944,#318945,#318946)); #61434=EDGE_LOOP('',(#318947,#318948,#318949,#318950)); #61435=EDGE_LOOP('',(#318951,#318952,#318953,#318954)); #61436=EDGE_LOOP('',(#318955,#318956,#318957,#318958)); #61437=EDGE_LOOP('',(#318959,#318960,#318961,#318962)); #61438=EDGE_LOOP('',(#318963,#318964,#318965,#318966)); #61439=EDGE_LOOP('',(#318967,#318968,#318969,#318970)); #61440=EDGE_LOOP('',(#318971,#318972,#318973,#318974)); #61441=EDGE_LOOP('',(#318975,#318976,#318977,#318978)); #61442=EDGE_LOOP('',(#318979,#318980,#318981,#318982)); #61443=EDGE_LOOP('',(#318983,#318984,#318985,#318986)); #61444=EDGE_LOOP('',(#318987,#318988,#318989,#318990)); #61445=EDGE_LOOP('',(#318991)); #61446=EDGE_LOOP('',(#318992,#318993,#318994,#318995)); #61447=EDGE_LOOP('',(#318996,#318997,#318998,#318999)); #61448=EDGE_LOOP('',(#319000,#319001,#319002,#319003)); #61449=EDGE_LOOP('',(#319004,#319005,#319006,#319007)); #61450=EDGE_LOOP('',(#319008,#319009,#319010,#319011)); #61451=EDGE_LOOP('',(#319012,#319013,#319014,#319015)); #61452=EDGE_LOOP('',(#319016,#319017,#319018,#319019)); #61453=EDGE_LOOP('',(#319020)); #61454=EDGE_LOOP('',(#319021,#319022,#319023,#319024)); #61455=EDGE_LOOP('',(#319025,#319026,#319027,#319028)); #61456=EDGE_LOOP('',(#319029,#319030,#319031,#319032)); #61457=EDGE_LOOP('',(#319033,#319034,#319035,#319036)); #61458=EDGE_LOOP('',(#319037,#319038,#319039,#319040)); #61459=EDGE_LOOP('',(#319041,#319042,#319043,#319044)); #61460=EDGE_LOOP('',(#319045,#319046,#319047,#319048)); #61461=EDGE_LOOP('',(#319049,#319050,#319051,#319052)); #61462=EDGE_LOOP('',(#319053,#319054,#319055,#319056)); #61463=EDGE_LOOP('',(#319057,#319058,#319059,#319060)); #61464=EDGE_LOOP('',(#319061,#319062,#319063,#319064)); #61465=EDGE_LOOP('',(#319065,#319066,#319067,#319068)); #61466=EDGE_LOOP('',(#319069,#319070,#319071,#319072)); #61467=EDGE_LOOP('',(#319073,#319074,#319075,#319076)); #61468=EDGE_LOOP('',(#319077,#319078,#319079,#319080)); #61469=EDGE_LOOP('',(#319081,#319082,#319083,#319084,#319085,#319086)); #61470=EDGE_LOOP('',(#319087,#319088,#319089,#319090,#319091,#319092)); #61471=EDGE_LOOP('',(#319093,#319094,#319095,#319096)); #61472=EDGE_LOOP('',(#319097,#319098,#319099,#319100)); #61473=EDGE_LOOP('',(#319101,#319102,#319103,#319104)); #61474=EDGE_LOOP('',(#319105,#319106,#319107,#319108)); #61475=EDGE_LOOP('',(#319109,#319110,#319111,#319112)); #61476=EDGE_LOOP('',(#319113,#319114,#319115,#319116)); #61477=EDGE_LOOP('',(#319117,#319118,#319119,#319120,#319121,#319122)); #61478=EDGE_LOOP('',(#319123,#319124,#319125,#319126,#319127,#319128)); #61479=EDGE_LOOP('',(#319129,#319130,#319131,#319132)); #61480=EDGE_LOOP('',(#319133,#319134,#319135,#319136)); #61481=EDGE_LOOP('',(#319137,#319138,#319139,#319140)); #61482=EDGE_LOOP('',(#319141,#319142,#319143,#319144)); #61483=EDGE_LOOP('',(#319145,#319146,#319147,#319148)); #61484=EDGE_LOOP('',(#319149,#319150,#319151,#319152)); #61485=EDGE_LOOP('',(#319153,#319154,#319155,#319156,#319157,#319158)); #61486=EDGE_LOOP('',(#319159,#319160,#319161,#319162,#319163,#319164)); #61487=EDGE_LOOP('',(#319165,#319166,#319167,#319168)); #61488=EDGE_LOOP('',(#319169,#319170,#319171,#319172)); #61489=EDGE_LOOP('',(#319173,#319174,#319175,#319176)); #61490=EDGE_LOOP('',(#319177,#319178,#319179,#319180)); #61491=EDGE_LOOP('',(#319181,#319182,#319183,#319184)); #61492=EDGE_LOOP('',(#319185,#319186,#319187,#319188)); #61493=EDGE_LOOP('',(#319189,#319190,#319191,#319192,#319193,#319194)); #61494=EDGE_LOOP('',(#319195,#319196,#319197,#319198,#319199,#319200)); #61495=EDGE_LOOP('',(#319201,#319202,#319203,#319204)); #61496=EDGE_LOOP('',(#319205,#319206,#319207,#319208)); #61497=EDGE_LOOP('',(#319209,#319210,#319211,#319212)); #61498=EDGE_LOOP('',(#319213,#319214,#319215,#319216)); #61499=EDGE_LOOP('',(#319217,#319218,#319219,#319220)); #61500=EDGE_LOOP('',(#319221,#319222,#319223,#319224)); #61501=EDGE_LOOP('',(#319225,#319226,#319227,#319228,#319229,#319230)); #61502=EDGE_LOOP('',(#319231,#319232,#319233,#319234,#319235,#319236)); #61503=EDGE_LOOP('',(#319237,#319238,#319239,#319240)); #61504=EDGE_LOOP('',(#319241,#319242,#319243,#319244)); #61505=EDGE_LOOP('',(#319245,#319246,#319247,#319248)); #61506=EDGE_LOOP('',(#319249,#319250,#319251,#319252)); #61507=EDGE_LOOP('',(#319253,#319254,#319255,#319256)); #61508=EDGE_LOOP('',(#319257,#319258,#319259,#319260)); #61509=EDGE_LOOP('',(#319261,#319262,#319263,#319264,#319265,#319266)); #61510=EDGE_LOOP('',(#319267,#319268,#319269,#319270,#319271,#319272)); #61511=EDGE_LOOP('',(#319273,#319274,#319275,#319276)); #61512=EDGE_LOOP('',(#319277,#319278,#319279,#319280)); #61513=EDGE_LOOP('',(#319281,#319282,#319283,#319284)); #61514=EDGE_LOOP('',(#319285,#319286,#319287,#319288)); #61515=EDGE_LOOP('',(#319289,#319290,#319291,#319292)); #61516=EDGE_LOOP('',(#319293,#319294,#319295,#319296)); #61517=EDGE_LOOP('',(#319297,#319298,#319299,#319300,#319301,#319302)); #61518=EDGE_LOOP('',(#319303,#319304,#319305,#319306,#319307,#319308)); #61519=EDGE_LOOP('',(#319309,#319310,#319311,#319312)); #61520=EDGE_LOOP('',(#319313,#319314,#319315,#319316)); #61521=EDGE_LOOP('',(#319317,#319318,#319319,#319320)); #61522=EDGE_LOOP('',(#319321,#319322,#319323,#319324)); #61523=EDGE_LOOP('',(#319325,#319326,#319327,#319328)); #61524=EDGE_LOOP('',(#319329,#319330,#319331,#319332)); #61525=EDGE_LOOP('',(#319333,#319334,#319335,#319336,#319337,#319338)); #61526=EDGE_LOOP('',(#319339,#319340,#319341,#319342,#319343,#319344)); #61527=EDGE_LOOP('',(#319345,#319346,#319347,#319348)); #61528=EDGE_LOOP('',(#319349,#319350,#319351,#319352)); #61529=EDGE_LOOP('',(#319353,#319354,#319355,#319356)); #61530=EDGE_LOOP('',(#319357,#319358,#319359,#319360)); #61531=EDGE_LOOP('',(#319361,#319362,#319363,#319364)); #61532=EDGE_LOOP('',(#319365,#319366,#319367,#319368)); #61533=EDGE_LOOP('',(#319369,#319370,#319371,#319372)); #61534=EDGE_LOOP('',(#319373,#319374,#319375,#319376)); #61535=EDGE_LOOP('',(#319377,#319378,#319379,#319380)); #61536=EDGE_LOOP('',(#319381,#319382,#319383,#319384)); #61537=EDGE_LOOP('',(#319385,#319386,#319387,#319388)); #61538=EDGE_LOOP('',(#319389,#319390,#319391,#319392)); #61539=EDGE_LOOP('',(#319393,#319394,#319395,#319396)); #61540=EDGE_LOOP('',(#319397,#319398,#319399,#319400)); #61541=EDGE_LOOP('',(#319401,#319402,#319403,#319404)); #61542=EDGE_LOOP('',(#319405,#319406,#319407,#319408)); #61543=EDGE_LOOP('',(#319409,#319410,#319411,#319412)); #61544=EDGE_LOOP('',(#319413,#319414,#319415,#319416)); #61545=EDGE_LOOP('',(#319417,#319418,#319419,#319420)); #61546=EDGE_LOOP('',(#319421,#319422,#319423,#319424)); #61547=EDGE_LOOP('',(#319425,#319426,#319427,#319428)); #61548=EDGE_LOOP('',(#319429,#319430,#319431,#319432)); #61549=EDGE_LOOP('',(#319433,#319434,#319435,#319436)); #61550=EDGE_LOOP('',(#319437,#319438,#319439,#319440)); #61551=EDGE_LOOP('',(#319441,#319442,#319443,#319444)); #61552=EDGE_LOOP('',(#319445,#319446,#319447,#319448)); #61553=EDGE_LOOP('',(#319449,#319450,#319451,#319452)); #61554=EDGE_LOOP('',(#319453,#319454,#319455,#319456)); #61555=EDGE_LOOP('',(#319457,#319458,#319459,#319460)); #61556=EDGE_LOOP('',(#319461,#319462,#319463,#319464)); #61557=EDGE_LOOP('',(#319465,#319466,#319467,#319468)); #61558=EDGE_LOOP('',(#319469,#319470,#319471,#319472)); #61559=EDGE_LOOP('',(#319473,#319474,#319475,#319476)); #61560=EDGE_LOOP('',(#319477,#319478,#319479,#319480)); #61561=EDGE_LOOP('',(#319481,#319482,#319483,#319484)); #61562=EDGE_LOOP('',(#319485,#319486,#319487,#319488)); #61563=EDGE_LOOP('',(#319489,#319490,#319491,#319492)); #61564=EDGE_LOOP('',(#319493,#319494,#319495,#319496)); #61565=EDGE_LOOP('',(#319497,#319498,#319499,#319500)); #61566=EDGE_LOOP('',(#319501,#319502,#319503,#319504)); #61567=EDGE_LOOP('',(#319505,#319506,#319507,#319508)); #61568=EDGE_LOOP('',(#319509,#319510,#319511,#319512)); #61569=EDGE_LOOP('',(#319513,#319514,#319515,#319516)); #61570=EDGE_LOOP('',(#319517,#319518,#319519,#319520)); #61571=EDGE_LOOP('',(#319521,#319522,#319523,#319524)); #61572=EDGE_LOOP('',(#319525,#319526,#319527,#319528)); #61573=EDGE_LOOP('',(#319529,#319530,#319531,#319532)); #61574=EDGE_LOOP('',(#319533,#319534,#319535,#319536)); #61575=EDGE_LOOP('',(#319537,#319538,#319539,#319540)); #61576=EDGE_LOOP('',(#319541)); #61577=EDGE_LOOP('',(#319542,#319543,#319544,#319545)); #61578=EDGE_LOOP('',(#319546,#319547,#319548,#319549)); #61579=EDGE_LOOP('',(#319550,#319551,#319552,#319553)); #61580=EDGE_LOOP('',(#319554,#319555,#319556,#319557)); #61581=EDGE_LOOP('',(#319558,#319559,#319560,#319561)); #61582=EDGE_LOOP('',(#319562,#319563,#319564,#319565)); #61583=EDGE_LOOP('',(#319566,#319567,#319568,#319569)); #61584=EDGE_LOOP('',(#319570)); #61585=EDGE_LOOP('',(#319571,#319572,#319573,#319574)); #61586=EDGE_LOOP('',(#319575,#319576,#319577,#319578)); #61587=EDGE_LOOP('',(#319579,#319580,#319581,#319582)); #61588=EDGE_LOOP('',(#319583,#319584,#319585,#319586)); #61589=EDGE_LOOP('',(#319587,#319588,#319589,#319590)); #61590=EDGE_LOOP('',(#319591,#319592,#319593,#319594)); #61591=EDGE_LOOP('',(#319595,#319596,#319597,#319598)); #61592=EDGE_LOOP('',(#319599,#319600,#319601,#319602)); #61593=EDGE_LOOP('',(#319603,#319604,#319605,#319606)); #61594=EDGE_LOOP('',(#319607,#319608,#319609,#319610)); #61595=EDGE_LOOP('',(#319611,#319612,#319613,#319614)); #61596=EDGE_LOOP('',(#319615,#319616,#319617,#319618)); #61597=EDGE_LOOP('',(#319619,#319620,#319621,#319622)); #61598=EDGE_LOOP('',(#319623,#319624,#319625,#319626)); #61599=EDGE_LOOP('',(#319627,#319628,#319629,#319630)); #61600=EDGE_LOOP('',(#319631,#319632,#319633,#319634,#319635,#319636)); #61601=EDGE_LOOP('',(#319637,#319638,#319639,#319640,#319641,#319642)); #61602=EDGE_LOOP('',(#319643,#319644,#319645,#319646)); #61603=EDGE_LOOP('',(#319647,#319648,#319649,#319650)); #61604=EDGE_LOOP('',(#319651,#319652,#319653,#319654)); #61605=EDGE_LOOP('',(#319655,#319656,#319657,#319658)); #61606=EDGE_LOOP('',(#319659,#319660,#319661,#319662)); #61607=EDGE_LOOP('',(#319663,#319664,#319665,#319666)); #61608=EDGE_LOOP('',(#319667,#319668,#319669,#319670,#319671,#319672)); #61609=EDGE_LOOP('',(#319673,#319674,#319675,#319676,#319677,#319678)); #61610=EDGE_LOOP('',(#319679,#319680,#319681,#319682)); #61611=EDGE_LOOP('',(#319683,#319684,#319685,#319686)); #61612=EDGE_LOOP('',(#319687,#319688,#319689,#319690)); #61613=EDGE_LOOP('',(#319691,#319692,#319693,#319694)); #61614=EDGE_LOOP('',(#319695,#319696,#319697,#319698)); #61615=EDGE_LOOP('',(#319699,#319700,#319701,#319702)); #61616=EDGE_LOOP('',(#319703,#319704,#319705,#319706,#319707,#319708)); #61617=EDGE_LOOP('',(#319709,#319710,#319711,#319712,#319713,#319714)); #61618=EDGE_LOOP('',(#319715,#319716,#319717,#319718)); #61619=EDGE_LOOP('',(#319719,#319720,#319721,#319722)); #61620=EDGE_LOOP('',(#319723,#319724,#319725,#319726)); #61621=EDGE_LOOP('',(#319727,#319728,#319729,#319730)); #61622=EDGE_LOOP('',(#319731,#319732,#319733,#319734)); #61623=EDGE_LOOP('',(#319735,#319736,#319737,#319738)); #61624=EDGE_LOOP('',(#319739,#319740,#319741,#319742,#319743,#319744)); #61625=EDGE_LOOP('',(#319745,#319746,#319747,#319748,#319749,#319750)); #61626=EDGE_LOOP('',(#319751,#319752,#319753,#319754)); #61627=EDGE_LOOP('',(#319755,#319756,#319757,#319758)); #61628=EDGE_LOOP('',(#319759,#319760,#319761,#319762)); #61629=EDGE_LOOP('',(#319763,#319764,#319765,#319766)); #61630=EDGE_LOOP('',(#319767,#319768,#319769,#319770)); #61631=EDGE_LOOP('',(#319771,#319772,#319773,#319774)); #61632=EDGE_LOOP('',(#319775,#319776,#319777,#319778,#319779,#319780)); #61633=EDGE_LOOP('',(#319781,#319782,#319783,#319784,#319785,#319786)); #61634=EDGE_LOOP('',(#319787,#319788,#319789,#319790)); #61635=EDGE_LOOP('',(#319791,#319792,#319793,#319794)); #61636=EDGE_LOOP('',(#319795,#319796,#319797,#319798)); #61637=EDGE_LOOP('',(#319799,#319800,#319801,#319802)); #61638=EDGE_LOOP('',(#319803,#319804,#319805,#319806)); #61639=EDGE_LOOP('',(#319807,#319808,#319809,#319810)); #61640=EDGE_LOOP('',(#319811,#319812,#319813,#319814,#319815,#319816)); #61641=EDGE_LOOP('',(#319817,#319818,#319819,#319820,#319821,#319822)); #61642=EDGE_LOOP('',(#319823,#319824,#319825,#319826)); #61643=EDGE_LOOP('',(#319827,#319828,#319829,#319830)); #61644=EDGE_LOOP('',(#319831,#319832,#319833,#319834)); #61645=EDGE_LOOP('',(#319835,#319836,#319837,#319838)); #61646=EDGE_LOOP('',(#319839,#319840,#319841,#319842)); #61647=EDGE_LOOP('',(#319843,#319844,#319845,#319846)); #61648=EDGE_LOOP('',(#319847,#319848,#319849,#319850,#319851,#319852)); #61649=EDGE_LOOP('',(#319853,#319854,#319855,#319856,#319857,#319858)); #61650=EDGE_LOOP('',(#319859,#319860,#319861,#319862)); #61651=EDGE_LOOP('',(#319863,#319864,#319865,#319866)); #61652=EDGE_LOOP('',(#319867,#319868,#319869,#319870)); #61653=EDGE_LOOP('',(#319871,#319872,#319873,#319874)); #61654=EDGE_LOOP('',(#319875,#319876,#319877,#319878)); #61655=EDGE_LOOP('',(#319879,#319880,#319881,#319882)); #61656=EDGE_LOOP('',(#319883,#319884,#319885,#319886,#319887,#319888)); #61657=EDGE_LOOP('',(#319889,#319890,#319891,#319892,#319893,#319894)); #61658=EDGE_LOOP('',(#319895,#319896,#319897,#319898)); #61659=EDGE_LOOP('',(#319899,#319900,#319901,#319902)); #61660=EDGE_LOOP('',(#319903,#319904,#319905,#319906)); #61661=EDGE_LOOP('',(#319907,#319908,#319909,#319910)); #61662=EDGE_LOOP('',(#319911,#319912,#319913,#319914)); #61663=EDGE_LOOP('',(#319915,#319916,#319917,#319918)); #61664=EDGE_LOOP('',(#319919,#319920,#319921,#319922)); #61665=EDGE_LOOP('',(#319923,#319924,#319925,#319926)); #61666=EDGE_LOOP('',(#319927,#319928,#319929,#319930)); #61667=EDGE_LOOP('',(#319931,#319932,#319933,#319934)); #61668=EDGE_LOOP('',(#319935,#319936,#319937,#319938)); #61669=EDGE_LOOP('',(#319939,#319940,#319941,#319942)); #61670=EDGE_LOOP('',(#319943,#319944,#319945,#319946)); #61671=EDGE_LOOP('',(#319947,#319948,#319949,#319950)); #61672=EDGE_LOOP('',(#319951,#319952,#319953,#319954)); #61673=EDGE_LOOP('',(#319955,#319956,#319957,#319958)); #61674=EDGE_LOOP('',(#319959,#319960,#319961,#319962)); #61675=EDGE_LOOP('',(#319963,#319964,#319965,#319966)); #61676=EDGE_LOOP('',(#319967,#319968,#319969,#319970)); #61677=EDGE_LOOP('',(#319971,#319972,#319973,#319974)); #61678=EDGE_LOOP('',(#319975,#319976,#319977,#319978)); #61679=EDGE_LOOP('',(#319979,#319980,#319981,#319982)); #61680=EDGE_LOOP('',(#319983,#319984,#319985,#319986)); #61681=EDGE_LOOP('',(#319987,#319988,#319989,#319990)); #61682=EDGE_LOOP('',(#319991,#319992,#319993,#319994)); #61683=EDGE_LOOP('',(#319995,#319996,#319997,#319998)); #61684=EDGE_LOOP('',(#319999,#320000,#320001,#320002)); #61685=EDGE_LOOP('',(#320003,#320004,#320005,#320006)); #61686=EDGE_LOOP('',(#320007,#320008,#320009,#320010)); #61687=EDGE_LOOP('',(#320011,#320012,#320013,#320014)); #61688=EDGE_LOOP('',(#320015,#320016,#320017,#320018)); #61689=EDGE_LOOP('',(#320019,#320020,#320021,#320022)); #61690=EDGE_LOOP('',(#320023,#320024,#320025,#320026)); #61691=EDGE_LOOP('',(#320027,#320028,#320029,#320030)); #61692=EDGE_LOOP('',(#320031,#320032,#320033,#320034)); #61693=EDGE_LOOP('',(#320035,#320036,#320037,#320038)); #61694=EDGE_LOOP('',(#320039,#320040,#320041,#320042)); #61695=EDGE_LOOP('',(#320043,#320044,#320045,#320046)); #61696=EDGE_LOOP('',(#320047,#320048,#320049,#320050)); #61697=EDGE_LOOP('',(#320051,#320052,#320053,#320054)); #61698=EDGE_LOOP('',(#320055,#320056,#320057,#320058)); #61699=EDGE_LOOP('',(#320059,#320060,#320061,#320062)); #61700=EDGE_LOOP('',(#320063,#320064,#320065,#320066)); #61701=EDGE_LOOP('',(#320067,#320068,#320069,#320070)); #61702=EDGE_LOOP('',(#320071,#320072,#320073,#320074)); #61703=EDGE_LOOP('',(#320075,#320076,#320077,#320078)); #61704=EDGE_LOOP('',(#320079,#320080,#320081,#320082)); #61705=EDGE_LOOP('',(#320083,#320084,#320085,#320086)); #61706=EDGE_LOOP('',(#320087,#320088,#320089,#320090)); #61707=EDGE_LOOP('',(#320091,#320092,#320093,#320094)); #61708=EDGE_LOOP('',(#320095,#320096,#320097,#320098)); #61709=EDGE_LOOP('',(#320099,#320100,#320101,#320102)); #61710=EDGE_LOOP('',(#320103,#320104,#320105,#320106)); #61711=EDGE_LOOP('',(#320107,#320108,#320109,#320110)); #61712=EDGE_LOOP('',(#320111,#320112,#320113,#320114)); #61713=EDGE_LOOP('',(#320115,#320116,#320117,#320118)); #61714=EDGE_LOOP('',(#320119,#320120,#320121,#320122)); #61715=EDGE_LOOP('',(#320123,#320124,#320125,#320126)); #61716=EDGE_LOOP('',(#320127,#320128,#320129,#320130)); #61717=EDGE_LOOP('',(#320131,#320132,#320133,#320134)); #61718=EDGE_LOOP('',(#320135,#320136,#320137,#320138)); #61719=EDGE_LOOP('',(#320139,#320140,#320141,#320142)); #61720=EDGE_LOOP('',(#320143,#320144,#320145,#320146)); #61721=EDGE_LOOP('',(#320147,#320148,#320149,#320150)); #61722=EDGE_LOOP('',(#320151,#320152,#320153,#320154)); #61723=EDGE_LOOP('',(#320155,#320156,#320157,#320158)); #61724=EDGE_LOOP('',(#320159,#320160,#320161,#320162)); #61725=EDGE_LOOP('',(#320163,#320164,#320165,#320166)); #61726=EDGE_LOOP('',(#320167,#320168,#320169,#320170)); #61727=EDGE_LOOP('',(#320171,#320172,#320173,#320174)); #61728=EDGE_LOOP('',(#320175,#320176,#320177,#320178)); #61729=EDGE_LOOP('',(#320179,#320180,#320181,#320182)); #61730=EDGE_LOOP('',(#320183,#320184,#320185,#320186)); #61731=EDGE_LOOP('',(#320187,#320188,#320189,#320190)); #61732=EDGE_LOOP('',(#320191,#320192,#320193,#320194)); #61733=EDGE_LOOP('',(#320195,#320196,#320197,#320198)); #61734=EDGE_LOOP('',(#320199,#320200,#320201,#320202)); #61735=EDGE_LOOP('',(#320203,#320204,#320205,#320206)); #61736=EDGE_LOOP('',(#320207,#320208,#320209,#320210)); #61737=EDGE_LOOP('',(#320211,#320212,#320213,#320214)); #61738=EDGE_LOOP('',(#320215,#320216,#320217,#320218)); #61739=EDGE_LOOP('',(#320219,#320220,#320221,#320222)); #61740=EDGE_LOOP('',(#320223,#320224,#320225,#320226)); #61741=EDGE_LOOP('',(#320227,#320228,#320229,#320230)); #61742=EDGE_LOOP('',(#320231)); #61743=EDGE_LOOP('',(#320232)); #61744=EDGE_LOOP('',(#320233)); #61745=EDGE_LOOP('',(#320234)); #61746=EDGE_LOOP('',(#320235,#320236,#320237,#320238,#320239)); #61747=EDGE_LOOP('',(#320240,#320241,#320242,#320243)); #61748=EDGE_LOOP('',(#320244,#320245,#320246,#320247)); #61749=EDGE_LOOP('',(#320248,#320249,#320250,#320251)); #61750=EDGE_LOOP('',(#320252,#320253,#320254,#320255)); #61751=EDGE_LOOP('',(#320256,#320257,#320258,#320259,#320260)); #61752=EDGE_LOOP('',(#320261,#320262,#320263,#320264,#320265,#320266,#320267, #320268,#320269,#320270)); #61753=EDGE_LOOP('',(#320271,#320272,#320273,#320274,#320275,#320276,#320277, #320278,#320279,#320280)); #61754=EDGE_LOOP('',(#320281,#320282,#320283,#320284,#320285,#320286,#320287, #320288)); #61755=EDGE_LOOP('',(#320289,#320290,#320291,#320292,#320293,#320294,#320295, #320296)); #61756=EDGE_LOOP('',(#320297,#320298,#320299,#320300)); #61757=EDGE_LOOP('',(#320301,#320302,#320303,#320304)); #61758=EDGE_LOOP('',(#320305,#320306,#320307,#320308,#320309,#320310)); #61759=EDGE_LOOP('',(#320311,#320312,#320313,#320314)); #61760=EDGE_LOOP('',(#320315,#320316,#320317,#320318)); #61761=EDGE_LOOP('',(#320319,#320320,#320321,#320322)); #61762=EDGE_LOOP('',(#320323,#320324,#320325,#320326)); #61763=EDGE_LOOP('',(#320327,#320328,#320329,#320330)); #61764=EDGE_LOOP('',(#320331,#320332,#320333,#320334)); #61765=EDGE_LOOP('',(#320335,#320336,#320337,#320338)); #61766=EDGE_LOOP('',(#320339,#320340,#320341,#320342)); #61767=EDGE_LOOP('',(#320343,#320344,#320345,#320346)); #61768=EDGE_LOOP('',(#320347,#320348,#320349,#320350)); #61769=EDGE_LOOP('',(#320351,#320352,#320353,#320354)); #61770=EDGE_LOOP('',(#320355,#320356,#320357,#320358)); #61771=EDGE_LOOP('',(#320359,#320360,#320361,#320362)); #61772=EDGE_LOOP('',(#320363,#320364,#320365,#320366)); #61773=EDGE_LOOP('',(#320367,#320368,#320369)); #61774=EDGE_LOOP('',(#320370,#320371,#320372,#320373,#320374)); #61775=EDGE_LOOP('',(#320375,#320376,#320377,#320378)); #61776=EDGE_LOOP('',(#320379,#320380,#320381,#320382)); #61777=EDGE_LOOP('',(#320383,#320384,#320385,#320386)); #61778=EDGE_LOOP('',(#320387,#320388,#320389,#320390)); #61779=EDGE_LOOP('',(#320391,#320392,#320393,#320394)); #61780=EDGE_LOOP('',(#320395,#320396,#320397,#320398)); #61781=EDGE_LOOP('',(#320399,#320400,#320401,#320402)); #61782=EDGE_LOOP('',(#320403,#320404,#320405,#320406)); #61783=EDGE_LOOP('',(#320407,#320408,#320409,#320410)); #61784=EDGE_LOOP('',(#320411,#320412,#320413,#320414)); #61785=EDGE_LOOP('',(#320415,#320416,#320417,#320418)); #61786=EDGE_LOOP('',(#320419,#320420,#320421,#320422)); #61787=EDGE_LOOP('',(#320423,#320424,#320425,#320426)); #61788=EDGE_LOOP('',(#320427,#320428,#320429,#320430)); #61789=EDGE_LOOP('',(#320431,#320432,#320433,#320434)); #61790=EDGE_LOOP('',(#320435,#320436,#320437,#320438,#320439,#320440)); #61791=EDGE_LOOP('',(#320441,#320442,#320443,#320444,#320445,#320446,#320447, #320448,#320449,#320450,#320451,#320452,#320453)); #61792=EDGE_LOOP('',(#320454,#320455,#320456,#320457)); #61793=EDGE_LOOP('',(#320458,#320459,#320460,#320461)); #61794=EDGE_LOOP('',(#320462,#320463,#320464,#320465)); #61795=EDGE_LOOP('',(#320466,#320467,#320468,#320469)); #61796=EDGE_LOOP('',(#320470,#320471,#320472,#320473,#320474,#320475)); #61797=EDGE_LOOP('',(#320476,#320477,#320478,#320479)); #61798=EDGE_LOOP('',(#320480,#320481,#320482,#320483)); #61799=EDGE_LOOP('',(#320484,#320485,#320486,#320487)); #61800=EDGE_LOOP('',(#320488,#320489,#320490,#320491)); #61801=EDGE_LOOP('',(#320492,#320493,#320494,#320495)); #61802=EDGE_LOOP('',(#320496,#320497,#320498,#320499)); #61803=EDGE_LOOP('',(#320500,#320501,#320502,#320503)); #61804=EDGE_LOOP('',(#320504,#320505,#320506,#320507)); #61805=EDGE_LOOP('',(#320508,#320509,#320510,#320511)); #61806=EDGE_LOOP('',(#320512,#320513,#320514,#320515)); #61807=EDGE_LOOP('',(#320516,#320517,#320518,#320519)); #61808=EDGE_LOOP('',(#320520,#320521,#320522,#320523)); #61809=EDGE_LOOP('',(#320524,#320525,#320526,#320527)); #61810=EDGE_LOOP('',(#320528,#320529,#320530,#320531)); #61811=EDGE_LOOP('',(#320532,#320533,#320534,#320535)); #61812=EDGE_LOOP('',(#320536,#320537,#320538,#320539)); #61813=EDGE_LOOP('',(#320540,#320541,#320542,#320543,#320544)); #61814=EDGE_LOOP('',(#320545,#320546,#320547,#320548,#320549,#320550,#320551, #320552,#320553,#320554,#320555)); #61815=EDGE_LOOP('',(#320556,#320557,#320558,#320559)); #61816=EDGE_LOOP('',(#320560,#320561,#320562,#320563)); #61817=EDGE_LOOP('',(#320564,#320565,#320566,#320567)); #61818=EDGE_LOOP('',(#320568,#320569,#320570,#320571)); #61819=EDGE_LOOP('',(#320572,#320573,#320574,#320575)); #61820=EDGE_LOOP('',(#320576,#320577,#320578,#320579)); #61821=EDGE_LOOP('',(#320580,#320581,#320582,#320583,#320584)); #61822=EDGE_LOOP('',(#320585,#320586,#320587)); #61823=EDGE_LOOP('',(#320588,#320589,#320590)); #61824=EDGE_LOOP('',(#320591,#320592,#320593)); #61825=EDGE_LOOP('',(#320594,#320595,#320596)); #61826=EDGE_LOOP('',(#320597,#320598,#320599)); #61827=EDGE_LOOP('',(#320600,#320601,#320602)); #61828=EDGE_LOOP('',(#320603,#320604,#320605,#320606)); #61829=EDGE_LOOP('',(#320607,#320608,#320609,#320610)); #61830=EDGE_LOOP('',(#320611,#320612,#320613,#320614)); #61831=EDGE_LOOP('',(#320615,#320616,#320617,#320618)); #61832=EDGE_LOOP('',(#320619,#320620,#320621,#320622)); #61833=EDGE_LOOP('',(#320623,#320624,#320625,#320626)); #61834=EDGE_LOOP('',(#320627,#320628,#320629,#320630)); #61835=EDGE_LOOP('',(#320631,#320632,#320633,#320634)); #61836=EDGE_LOOP('',(#320635,#320636,#320637,#320638,#320639)); #61837=EDGE_LOOP('',(#320640,#320641,#320642,#320643)); #61838=EDGE_LOOP('',(#320644,#320645,#320646,#320647)); #61839=EDGE_LOOP('',(#320648,#320649,#320650,#320651)); #61840=EDGE_LOOP('',(#320652,#320653,#320654,#320655)); #61841=EDGE_LOOP('',(#320656,#320657,#320658,#320659)); #61842=EDGE_LOOP('',(#320660,#320661,#320662,#320663)); #61843=EDGE_LOOP('',(#320664,#320665,#320666,#320667,#320668,#320669,#320670, #320671,#320672,#320673,#320674)); #61844=EDGE_LOOP('',(#320675,#320676,#320677,#320678,#320679)); #61845=EDGE_LOOP('',(#320680,#320681,#320682,#320683)); #61846=EDGE_LOOP('',(#320684,#320685,#320686,#320687)); #61847=EDGE_LOOP('',(#320688,#320689,#320690,#320691)); #61848=EDGE_LOOP('',(#320692,#320693,#320694,#320695)); #61849=EDGE_LOOP('',(#320696,#320697,#320698,#320699)); #61850=EDGE_LOOP('',(#320700,#320701,#320702,#320703)); #61851=EDGE_LOOP('',(#320704,#320705,#320706,#320707)); #61852=EDGE_LOOP('',(#320708,#320709,#320710,#320711)); #61853=EDGE_LOOP('',(#320712,#320713,#320714)); #61854=EDGE_LOOP('',(#320715,#320716,#320717)); #61855=EDGE_LOOP('',(#320718,#320719,#320720)); #61856=EDGE_LOOP('',(#320721,#320722,#320723)); #61857=EDGE_LOOP('',(#320724,#320725,#320726)); #61858=EDGE_LOOP('',(#320727,#320728,#320729)); #61859=EDGE_LOOP('',(#320730,#320731,#320732)); #61860=EDGE_LOOP('',(#320733,#320734,#320735)); #61861=EDGE_LOOP('',(#320736,#320737,#320738,#320739,#320740,#320741,#320742, #320743,#320744,#320745,#320746,#320747,#320748,#320749,#320750,#320751, #320752,#320753,#320754,#320755,#320756,#320757,#320758,#320759,#320760, #320761,#320762,#320763,#320764,#320765,#320766,#320767,#320768,#320769, #320770,#320771,#320772,#320773,#320774,#320775,#320776,#320777,#320778, #320779,#320780,#320781,#320782,#320783,#320784,#320785,#320786,#320787, #320788,#320789,#320790,#320791,#320792,#320793,#320794,#320795,#320796, #320797,#320798,#320799,#320800,#320801,#320802,#320803)); #61862=EDGE_LOOP('',(#320804,#320805,#320806,#320807)); #61863=EDGE_LOOP('',(#320808,#320809,#320810,#320811)); #61864=EDGE_LOOP('',(#320812,#320813,#320814,#320815)); #61865=EDGE_LOOP('',(#320816,#320817,#320818,#320819)); #61866=EDGE_LOOP('',(#320820,#320821,#320822,#320823,#320824,#320825,#320826, #320827,#320828,#320829,#320830,#320831)); #61867=EDGE_LOOP('',(#320832,#320833,#320834,#320835,#320836,#320837,#320838, #320839)); #61868=EDGE_LOOP('',(#320840,#320841,#320842,#320843)); #61869=EDGE_LOOP('',(#320844,#320845,#320846,#320847)); #61870=EDGE_LOOP('',(#320848,#320849,#320850,#320851)); #61871=EDGE_LOOP('',(#320852,#320853,#320854,#320855)); #61872=EDGE_LOOP('',(#320856,#320857,#320858,#320859)); #61873=EDGE_LOOP('',(#320860,#320861,#320862,#320863)); #61874=EDGE_LOOP('',(#320864,#320865,#320866,#320867)); #61875=EDGE_LOOP('',(#320868,#320869,#320870,#320871)); #61876=EDGE_LOOP('',(#320872,#320873,#320874,#320875,#320876,#320877,#320878, #320879,#320880,#320881,#320882,#320883)); #61877=EDGE_LOOP('',(#320884,#320885,#320886,#320887)); #61878=EDGE_LOOP('',(#320888,#320889,#320890,#320891,#320892,#320893,#320894, #320895)); #61879=EDGE_LOOP('',(#320896,#320897,#320898,#320899,#320900,#320901,#320902, #320903,#320904,#320905,#320906,#320907)); #61880=EDGE_LOOP('',(#320908,#320909,#320910,#320911)); #61881=EDGE_LOOP('',(#320912,#320913,#320914,#320915,#320916,#320917,#320918, #320919,#320920,#320921,#320922,#320923)); #61882=EDGE_LOOP('',(#320924,#320925,#320926,#320927)); #61883=EDGE_LOOP('',(#320928,#320929,#320930,#320931)); #61884=EDGE_LOOP('',(#320932,#320933,#320934,#320935)); #61885=EDGE_LOOP('',(#320936,#320937,#320938,#320939)); #61886=EDGE_LOOP('',(#320940,#320941,#320942,#320943)); #61887=EDGE_LOOP('',(#320944,#320945,#320946,#320947)); #61888=EDGE_LOOP('',(#320948,#320949,#320950,#320951)); #61889=EDGE_LOOP('',(#320952,#320953,#320954,#320955)); #61890=EDGE_LOOP('',(#320956,#320957,#320958,#320959)); #61891=EDGE_LOOP('',(#320960,#320961,#320962,#320963)); #61892=EDGE_LOOP('',(#320964,#320965,#320966,#320967)); #61893=EDGE_LOOP('',(#320968,#320969,#320970,#320971)); #61894=EDGE_LOOP('',(#320972,#320973,#320974,#320975)); #61895=EDGE_LOOP('',(#320976,#320977,#320978,#320979)); #61896=EDGE_LOOP('',(#320980,#320981,#320982,#320983,#320984,#320985,#320986, #320987,#320988,#320989,#320990,#320991)); #61897=EDGE_LOOP('',(#320992,#320993,#320994,#320995,#320996,#320997,#320998, #320999)); #61898=EDGE_LOOP('',(#321000,#321001,#321002,#321003)); #61899=EDGE_LOOP('',(#321004,#321005,#321006,#321007,#321008,#321009,#321010, #321011,#321012,#321013,#321014,#321015)); #61900=EDGE_LOOP('',(#321016,#321017,#321018,#321019)); #61901=EDGE_LOOP('',(#321020,#321021,#321022,#321023)); #61902=EDGE_LOOP('',(#321024,#321025,#321026,#321027)); #61903=EDGE_LOOP('',(#321028,#321029,#321030,#321031)); #61904=EDGE_LOOP('',(#321032,#321033,#321034,#321035)); #61905=EDGE_LOOP('',(#321036,#321037,#321038,#321039,#321040,#321041,#321042, #321043)); #61906=EDGE_LOOP('',(#321044,#321045,#321046,#321047)); #61907=EDGE_LOOP('',(#321048,#321049,#321050,#321051)); #61908=EDGE_LOOP('',(#321052,#321053,#321054,#321055)); #61909=EDGE_LOOP('',(#321056,#321057,#321058,#321059)); #61910=EDGE_LOOP('',(#321060,#321061,#321062,#321063)); #61911=EDGE_LOOP('',(#321064,#321065,#321066,#321067)); #61912=EDGE_LOOP('',(#321068,#321069,#321070,#321071)); #61913=EDGE_LOOP('',(#321072,#321073,#321074,#321075,#321076,#321077,#321078, #321079,#321080,#321081,#321082,#321083)); #61914=EDGE_LOOP('',(#321084,#321085,#321086,#321087,#321088,#321089,#321090, #321091)); #61915=EDGE_LOOP('',(#321092,#321093,#321094,#321095)); #61916=EDGE_LOOP('',(#321096,#321097,#321098,#321099)); #61917=EDGE_LOOP('',(#321100,#321101,#321102,#321103)); #61918=EDGE_LOOP('',(#321104,#321105,#321106,#321107)); #61919=EDGE_LOOP('',(#321108,#321109,#321110,#321111)); #61920=EDGE_LOOP('',(#321112,#321113,#321114,#321115)); #61921=EDGE_LOOP('',(#321116,#321117,#321118,#321119)); #61922=EDGE_LOOP('',(#321120,#321121,#321122,#321123)); #61923=EDGE_LOOP('',(#321124,#321125,#321126,#321127,#321128,#321129,#321130, #321131,#321132,#321133,#321134,#321135)); #61924=EDGE_LOOP('',(#321136,#321137,#321138,#321139)); #61925=EDGE_LOOP('',(#321140,#321141,#321142,#321143,#321144,#321145,#321146, #321147)); #61926=EDGE_LOOP('',(#321148,#321149,#321150,#321151,#321152,#321153,#321154, #321155,#321156,#321157,#321158,#321159)); #61927=EDGE_LOOP('',(#321160,#321161,#321162,#321163)); #61928=EDGE_LOOP('',(#321164,#321165,#321166,#321167,#321168,#321169,#321170, #321171,#321172,#321173,#321174,#321175)); #61929=EDGE_LOOP('',(#321176,#321177,#321178,#321179)); #61930=EDGE_LOOP('',(#321180,#321181,#321182,#321183)); #61931=EDGE_LOOP('',(#321184,#321185,#321186,#321187)); #61932=EDGE_LOOP('',(#321188,#321189,#321190,#321191)); #61933=EDGE_LOOP('',(#321192,#321193,#321194,#321195)); #61934=EDGE_LOOP('',(#321196,#321197,#321198,#321199)); #61935=EDGE_LOOP('',(#321200,#321201,#321202,#321203)); #61936=EDGE_LOOP('',(#321204,#321205,#321206,#321207)); #61937=EDGE_LOOP('',(#321208,#321209,#321210,#321211)); #61938=EDGE_LOOP('',(#321212,#321213,#321214,#321215)); #61939=EDGE_LOOP('',(#321216,#321217,#321218,#321219,#321220,#321221,#321222)); #61940=EDGE_LOOP('',(#321223,#321224,#321225,#321226,#321227,#321228,#321229, #321230)); #61941=EDGE_LOOP('',(#321231,#321232,#321233,#321234,#321235,#321236,#321237)); #61942=EDGE_LOOP('',(#321238,#321239,#321240,#321241,#321242,#321243)); #61943=EDGE_LOOP('',(#321244,#321245,#321246,#321247,#321248,#321249,#321250, #321251,#321252,#321253,#321254,#321255,#321256,#321257,#321258,#321259)); #61944=EDGE_LOOP('',(#321260,#321261,#321262,#321263)); #61945=EDGE_LOOP('',(#321264,#321265,#321266,#321267)); #61946=EDGE_LOOP('',(#321268,#321269,#321270,#321271,#321272,#321273,#321274, #321275,#321276,#321277,#321278,#321279,#321280,#321281,#321282,#321283, #321284)); #61947=EDGE_LOOP('',(#321285,#321286,#321287,#321288)); #61948=EDGE_LOOP('',(#321289,#321290,#321291,#321292,#321293,#321294,#321295, #321296,#321297,#321298,#321299,#321300,#321301,#321302,#321303,#321304, #321305,#321306)); #61949=EDGE_LOOP('',(#321307,#321308,#321309,#321310)); #61950=EDGE_LOOP('',(#321311,#321312,#321313,#321314,#321315,#321316)); #61951=EDGE_LOOP('',(#321317,#321318,#321319,#321320)); #61952=EDGE_LOOP('',(#321321,#321322,#321323,#321324)); #61953=EDGE_LOOP('',(#321325,#321326,#321327,#321328)); #61954=EDGE_LOOP('',(#321329,#321330,#321331,#321332,#321333,#321334)); #61955=EDGE_LOOP('',(#321335,#321336,#321337,#321338)); #61956=EDGE_LOOP('',(#321339,#321340,#321341,#321342,#321343,#321344,#321345, #321346,#321347,#321348,#321349,#321350)); #61957=EDGE_LOOP('',(#321351,#321352,#321353,#321354,#321355)); #61958=EDGE_LOOP('',(#321356)); #61959=EDGE_LOOP('',(#321357,#321358,#321359,#321360)); #61960=EDGE_LOOP('',(#321361,#321362,#321363,#321364)); #61961=EDGE_LOOP('',(#321365,#321366,#321367,#321368)); #61962=EDGE_LOOP('',(#321369,#321370,#321371,#321372)); #61963=EDGE_LOOP('',(#321373,#321374,#321375,#321376)); #61964=EDGE_LOOP('',(#321377,#321378,#321379,#321380)); #61965=EDGE_LOOP('',(#321381,#321382,#321383,#321384)); #61966=EDGE_LOOP('',(#321385,#321386,#321387,#321388)); #61967=EDGE_LOOP('',(#321389,#321390,#321391,#321392,#321393,#321394,#321395)); #61968=EDGE_LOOP('',(#321396,#321397,#321398,#321399)); #61969=EDGE_LOOP('',(#321400,#321401,#321402,#321403)); #61970=EDGE_LOOP('',(#321404,#321405,#321406,#321407)); #61971=EDGE_LOOP('',(#321408,#321409,#321410,#321411)); #61972=EDGE_LOOP('',(#321412,#321413,#321414,#321415)); #61973=EDGE_LOOP('',(#321416,#321417,#321418,#321419)); #61974=EDGE_LOOP('',(#321420,#321421,#321422,#321423)); #61975=EDGE_LOOP('',(#321424,#321425,#321426,#321427)); #61976=EDGE_LOOP('',(#321428,#321429,#321430,#321431)); #61977=EDGE_LOOP('',(#321432,#321433,#321434,#321435)); #61978=EDGE_LOOP('',(#321436,#321437,#321438,#321439)); #61979=EDGE_LOOP('',(#321440,#321441,#321442,#321443,#321444,#321445,#321446, #321447,#321448,#321449,#321450,#321451,#321452,#321453,#321454,#321455, #321456,#321457,#321458,#321459,#321460,#321461)); #61980=EDGE_LOOP('',(#321462,#321463,#321464,#321465)); #61981=EDGE_LOOP('',(#321466,#321467,#321468,#321469)); #61982=EDGE_LOOP('',(#321470,#321471,#321472,#321473,#321474,#321475,#321476, #321477,#321478,#321479,#321480,#321481,#321482,#321483,#321484)); #61983=EDGE_LOOP('',(#321485,#321486,#321487,#321488)); #61984=EDGE_LOOP('',(#321489,#321490,#321491,#321492,#321493,#321494)); #61985=EDGE_LOOP('',(#321495,#321496,#321497,#321498,#321499,#321500,#321501, #321502,#321503,#321504)); #61986=EDGE_LOOP('',(#321505,#321506,#321507,#321508)); #61987=EDGE_LOOP('',(#321509,#321510,#321511,#321512,#321513,#321514,#321515, #321516,#321517,#321518)); #61988=EDGE_LOOP('',(#321519,#321520,#321521,#321522)); #61989=EDGE_LOOP('',(#321523,#321524,#321525,#321526)); #61990=EDGE_LOOP('',(#321527,#321528,#321529,#321530)); #61991=EDGE_LOOP('',(#321531,#321532,#321533,#321534)); #61992=EDGE_LOOP('',(#321535,#321536,#321537,#321538)); #61993=EDGE_LOOP('',(#321539,#321540,#321541,#321542)); #61994=EDGE_LOOP('',(#321543,#321544,#321545,#321546)); #61995=EDGE_LOOP('',(#321547,#321548,#321549,#321550,#321551)); #61996=EDGE_LOOP('',(#321552,#321553,#321554,#321555,#321556)); #61997=EDGE_LOOP('',(#321557,#321558,#321559,#321560)); #61998=EDGE_LOOP('',(#321561,#321562,#321563,#321564)); #61999=EDGE_LOOP('',(#321565,#321566,#321567,#321568)); #62000=EDGE_LOOP('',(#321569,#321570,#321571,#321572)); #62001=EDGE_LOOP('',(#321573,#321574,#321575,#321576)); #62002=EDGE_LOOP('',(#321577,#321578,#321579,#321580,#321581,#321582,#321583, #321584,#321585,#321586,#321587,#321588,#321589,#321590,#321591)); #62003=EDGE_LOOP('',(#321592,#321593,#321594,#321595)); #62004=EDGE_LOOP('',(#321596,#321597,#321598,#321599,#321600,#321601)); #62005=EDGE_LOOP('',(#321602,#321603,#321604,#321605)); #62006=EDGE_LOOP('',(#321606,#321607,#321608,#321609,#321610,#321611,#321612, #321613,#321614,#321615,#321616,#321617,#321618,#321619,#321620,#321621)); #62007=EDGE_LOOP('',(#321622,#321623,#321624,#321625,#321626,#321627,#321628)); #62008=EDGE_LOOP('',(#321629,#321630,#321631,#321632)); #62009=EDGE_LOOP('',(#321633,#321634,#321635,#321636,#321637,#321638)); #62010=EDGE_LOOP('',(#321639,#321640,#321641,#321642)); #62011=EDGE_LOOP('',(#321643,#321644,#321645,#321646)); #62012=EDGE_LOOP('',(#321647,#321648,#321649,#321650)); #62013=EDGE_LOOP('',(#321651,#321652,#321653,#321654)); #62014=EDGE_LOOP('',(#321655,#321656,#321657,#321658)); #62015=EDGE_LOOP('',(#321659,#321660,#321661,#321662)); #62016=EDGE_LOOP('',(#321663,#321664,#321665,#321666)); #62017=EDGE_LOOP('',(#321667,#321668,#321669,#321670,#321671,#321672,#321673, #321674,#321675,#321676,#321677,#321678,#321679,#321680,#321681,#321682)); #62018=EDGE_LOOP('',(#321683,#321684,#321685,#321686,#321687,#321688,#321689, #321690)); #62019=EDGE_LOOP('',(#321691,#321692,#321693,#321694)); #62020=EDGE_LOOP('',(#321695,#321696,#321697,#321698,#321699,#321700,#321701, #321702)); #62021=EDGE_LOOP('',(#321703,#321704,#321705,#321706,#321707,#321708,#321709, #321710,#321711,#321712)); #62022=EDGE_LOOP('',(#321713,#321714,#321715,#321716)); #62023=EDGE_LOOP('',(#321717,#321718,#321719,#321720,#321721,#321722)); #62024=EDGE_LOOP('',(#321723,#321724,#321725,#321726)); #62025=EDGE_LOOP('',(#321727,#321728,#321729,#321730)); #62026=EDGE_LOOP('',(#321731,#321732,#321733,#321734)); #62027=EDGE_LOOP('',(#321735,#321736,#321737,#321738,#321739,#321740,#321741, #321742,#321743,#321744,#321745,#321746,#321747,#321748,#321749,#321750, #321751,#321752,#321753,#321754,#321755,#321756,#321757,#321758,#321759, #321760,#321761,#321762,#321763,#321764,#321765,#321766,#321767,#321768, #321769,#321770,#321771,#321772,#321773,#321774,#321775,#321776,#321777, #321778,#321779,#321780,#321781,#321782,#321783,#321784)); #62028=EDGE_LOOP('',(#321785,#321786,#321787,#321788)); #62029=EDGE_LOOP('',(#321789,#321790,#321791,#321792)); #62030=EDGE_LOOP('',(#321793,#321794,#321795,#321796)); #62031=EDGE_LOOP('',(#321797,#321798,#321799,#321800,#321801,#321802)); #62032=EDGE_LOOP('',(#321803,#321804,#321805,#321806)); #62033=EDGE_LOOP('',(#321807,#321808,#321809,#321810,#321811,#321812,#321813, #321814,#321815,#321816,#321817,#321818,#321819)); #62034=EDGE_LOOP('',(#321820,#321821,#321822,#321823)); #62035=EDGE_LOOP('',(#321824,#321825,#321826,#321827)); #62036=EDGE_LOOP('',(#321828,#321829,#321830,#321831)); #62037=EDGE_LOOP('',(#321832,#321833,#321834,#321835)); #62038=EDGE_LOOP('',(#321836,#321837,#321838,#321839)); #62039=EDGE_LOOP('',(#321840,#321841,#321842,#321843)); #62040=EDGE_LOOP('',(#321844,#321845,#321846,#321847)); #62041=EDGE_LOOP('',(#321848,#321849,#321850,#321851)); #62042=EDGE_LOOP('',(#321852,#321853,#321854,#321855)); #62043=EDGE_LOOP('',(#321856,#321857,#321858,#321859)); #62044=EDGE_LOOP('',(#321860,#321861,#321862,#321863)); #62045=EDGE_LOOP('',(#321864,#321865,#321866,#321867)); #62046=EDGE_LOOP('',(#321868,#321869,#321870,#321871)); #62047=EDGE_LOOP('',(#321872,#321873,#321874,#321875)); #62048=EDGE_LOOP('',(#321876,#321877,#321878,#321879,#321880,#321881)); #62049=EDGE_LOOP('',(#321882,#321883,#321884,#321885)); #62050=EDGE_LOOP('',(#321886,#321887,#321888,#321889,#321890,#321891)); #62051=EDGE_LOOP('',(#321892,#321893,#321894,#321895)); #62052=EDGE_LOOP('',(#321896,#321897,#321898,#321899)); #62053=EDGE_LOOP('',(#321900,#321901,#321902,#321903)); #62054=EDGE_LOOP('',(#321904,#321905,#321906,#321907)); #62055=EDGE_LOOP('',(#321908,#321909,#321910,#321911)); #62056=EDGE_LOOP('',(#321912,#321913,#321914,#321915)); #62057=EDGE_LOOP('',(#321916,#321917,#321918,#321919)); #62058=EDGE_LOOP('',(#321920,#321921,#321922,#321923)); #62059=EDGE_LOOP('',(#321924,#321925,#321926,#321927)); #62060=EDGE_LOOP('',(#321928,#321929,#321930,#321931)); #62061=EDGE_LOOP('',(#321932,#321933,#321934,#321935)); #62062=EDGE_LOOP('',(#321936,#321937,#321938,#321939,#321940,#321941)); #62063=EDGE_LOOP('',(#321942,#321943,#321944,#321945)); #62064=EDGE_LOOP('',(#321946,#321947,#321948,#321949,#321950,#321951)); #62065=EDGE_LOOP('',(#321952,#321953,#321954,#321955)); #62066=EDGE_LOOP('',(#321956,#321957,#321958,#321959)); #62067=EDGE_LOOP('',(#321960,#321961,#321962,#321963)); #62068=EDGE_LOOP('',(#321964,#321965,#321966,#321967)); #62069=EDGE_LOOP('',(#321968,#321969,#321970,#321971)); #62070=EDGE_LOOP('',(#321972,#321973,#321974,#321975,#321976,#321977,#321978, #321979,#321980,#321981,#321982,#321983,#321984)); #62071=EDGE_LOOP('',(#321985,#321986,#321987,#321988)); #62072=EDGE_LOOP('',(#321989,#321990,#321991,#321992)); #62073=EDGE_LOOP('',(#321993,#321994,#321995,#321996,#321997,#321998,#321999, #322000,#322001)); #62074=EDGE_LOOP('',(#322002,#322003,#322004,#322005)); #62075=EDGE_LOOP('',(#322006,#322007,#322008,#322009)); #62076=EDGE_LOOP('',(#322010,#322011,#322012,#322013,#322014,#322015,#322016, #322017)); #62077=EDGE_LOOP('',(#322018,#322019,#322020,#322021)); #62078=EDGE_LOOP('',(#322022,#322023,#322024,#322025,#322026,#322027,#322028, #322029,#322030)); #62079=EDGE_LOOP('',(#322031,#322032,#322033,#322034)); #62080=EDGE_LOOP('',(#322035,#322036,#322037,#322038,#322039,#322040,#322041, #322042)); #62081=EDGE_LOOP('',(#322043,#322044,#322045,#322046)); #62082=EDGE_LOOP('',(#322047,#322048,#322049,#322050,#322051,#322052,#322053, #322054)); #62083=EDGE_LOOP('',(#322055,#322056,#322057,#322058,#322059,#322060,#322061, #322062,#322063)); #62084=EDGE_LOOP('',(#322064,#322065,#322066,#322067)); #62085=EDGE_LOOP('',(#322068,#322069,#322070,#322071)); #62086=EDGE_LOOP('',(#322072,#322073,#322074,#322075,#322076,#322077,#322078, #322079)); #62087=EDGE_LOOP('',(#322080,#322081,#322082,#322083,#322084,#322085,#322086, #322087,#322088)); #62088=EDGE_LOOP('',(#322089,#322090,#322091,#322092)); #62089=EDGE_LOOP('',(#322093,#322094,#322095,#322096)); #62090=EDGE_LOOP('',(#322097,#322098,#322099,#322100)); #62091=EDGE_LOOP('',(#322101,#322102,#322103,#322104)); #62092=EDGE_LOOP('',(#322105,#322106,#322107,#322108)); #62093=EDGE_LOOP('',(#322109,#322110,#322111,#322112)); #62094=EDGE_LOOP('',(#322113,#322114,#322115,#322116)); #62095=EDGE_LOOP('',(#322117,#322118,#322119,#322120)); #62096=EDGE_LOOP('',(#322121,#322122,#322123,#322124)); #62097=EDGE_LOOP('',(#322125,#322126,#322127,#322128)); #62098=EDGE_LOOP('',(#322129,#322130,#322131,#322132)); #62099=EDGE_LOOP('',(#322133,#322134,#322135,#322136)); #62100=EDGE_LOOP('',(#322137,#322138,#322139,#322140)); #62101=EDGE_LOOP('',(#322141,#322142,#322143,#322144)); #62102=EDGE_LOOP('',(#322145,#322146,#322147,#322148)); #62103=EDGE_LOOP('',(#322149,#322150,#322151,#322152)); #62104=EDGE_LOOP('',(#322153,#322154,#322155,#322156)); #62105=EDGE_LOOP('',(#322157,#322158,#322159,#322160)); #62106=EDGE_LOOP('',(#322161,#322162,#322163,#322164)); #62107=EDGE_LOOP('',(#322165,#322166,#322167,#322168)); #62108=EDGE_LOOP('',(#322169,#322170,#322171,#322172)); #62109=EDGE_LOOP('',(#322173,#322174,#322175,#322176)); #62110=EDGE_LOOP('',(#322177,#322178,#322179,#322180)); #62111=EDGE_LOOP('',(#322181,#322182,#322183,#322184)); #62112=EDGE_LOOP('',(#322185,#322186,#322187,#322188)); #62113=EDGE_LOOP('',(#322189,#322190,#322191,#322192)); #62114=EDGE_LOOP('',(#322193,#322194,#322195,#322196)); #62115=EDGE_LOOP('',(#322197,#322198,#322199,#322200,#322201,#322202)); #62116=EDGE_LOOP('',(#322203,#322204,#322205,#322206)); #62117=EDGE_LOOP('',(#322207,#322208,#322209,#322210)); #62118=EDGE_LOOP('',(#322211,#322212,#322213,#322214)); #62119=EDGE_LOOP('',(#322215,#322216,#322217,#322218)); #62120=EDGE_LOOP('',(#322219,#322220,#322221,#322222)); #62121=EDGE_LOOP('',(#322223,#322224,#322225,#322226)); #62122=EDGE_LOOP('',(#322227,#322228,#322229,#322230)); #62123=EDGE_LOOP('',(#322231,#322232,#322233,#322234,#322235,#322236)); #62124=EDGE_LOOP('',(#322237,#322238,#322239,#322240)); #62125=EDGE_LOOP('',(#322241,#322242,#322243,#322244)); #62126=EDGE_LOOP('',(#322245,#322246,#322247,#322248)); #62127=EDGE_LOOP('',(#322249,#322250,#322251,#322252)); #62128=EDGE_LOOP('',(#322253,#322254,#322255,#322256)); #62129=EDGE_LOOP('',(#322257,#322258,#322259,#322260)); #62130=EDGE_LOOP('',(#322261,#322262,#322263,#322264)); #62131=EDGE_LOOP('',(#322265,#322266,#322267,#322268)); #62132=EDGE_LOOP('',(#322269,#322270,#322271,#322272)); #62133=EDGE_LOOP('',(#322273,#322274,#322275,#322276)); #62134=EDGE_LOOP('',(#322277,#322278,#322279,#322280)); #62135=EDGE_LOOP('',(#322281,#322282,#322283,#322284)); #62136=EDGE_LOOP('',(#322285,#322286,#322287,#322288)); #62137=EDGE_LOOP('',(#322289,#322290,#322291,#322292)); #62138=EDGE_LOOP('',(#322293,#322294,#322295,#322296)); #62139=EDGE_LOOP('',(#322297,#322298,#322299,#322300)); #62140=EDGE_LOOP('',(#322301,#322302,#322303,#322304)); #62141=EDGE_LOOP('',(#322305,#322306,#322307,#322308)); #62142=EDGE_LOOP('',(#322309,#322310,#322311,#322312,#322313,#322314)); #62143=EDGE_LOOP('',(#322315,#322316,#322317,#322318)); #62144=EDGE_LOOP('',(#322319,#322320,#322321,#322322)); #62145=EDGE_LOOP('',(#322323,#322324,#322325,#322326)); #62146=EDGE_LOOP('',(#322327,#322328,#322329,#322330)); #62147=EDGE_LOOP('',(#322331,#322332,#322333,#322334)); #62148=EDGE_LOOP('',(#322335,#322336,#322337,#322338)); #62149=EDGE_LOOP('',(#322339,#322340,#322341,#322342,#322343,#322344)); #62150=EDGE_LOOP('',(#322345,#322346,#322347,#322348)); #62151=EDGE_LOOP('',(#322349,#322350,#322351,#322352)); #62152=EDGE_LOOP('',(#322353,#322354,#322355,#322356)); #62153=EDGE_LOOP('',(#322357,#322358,#322359,#322360)); #62154=EDGE_LOOP('',(#322361,#322362,#322363,#322364)); #62155=EDGE_LOOP('',(#322365,#322366,#322367,#322368)); #62156=EDGE_LOOP('',(#322369,#322370,#322371,#322372)); #62157=EDGE_LOOP('',(#322373,#322374,#322375,#322376)); #62158=EDGE_LOOP('',(#322377,#322378,#322379,#322380)); #62159=EDGE_LOOP('',(#322381,#322382,#322383,#322384)); #62160=EDGE_LOOP('',(#322385,#322386,#322387,#322388)); #62161=EDGE_LOOP('',(#322389,#322390,#322391,#322392)); #62162=EDGE_LOOP('',(#322393,#322394,#322395,#322396)); #62163=EDGE_LOOP('',(#322397,#322398,#322399,#322400)); #62164=EDGE_LOOP('',(#322401)); #62165=EDGE_LOOP('',(#322402,#322403,#322404,#322405)); #62166=EDGE_LOOP('',(#322406,#322407,#322408,#322409)); #62167=EDGE_LOOP('',(#322410,#322411,#322412,#322413)); #62168=EDGE_LOOP('',(#322414,#322415,#322416,#322417)); #62169=EDGE_LOOP('',(#322418,#322419,#322420,#322421)); #62170=EDGE_LOOP('',(#322422,#322423,#322424,#322425)); #62171=EDGE_LOOP('',(#322426,#322427,#322428,#322429)); #62172=EDGE_LOOP('',(#322430)); #62173=EDGE_LOOP('',(#322431,#322432,#322433,#322434)); #62174=EDGE_LOOP('',(#322435,#322436,#322437,#322438)); #62175=EDGE_LOOP('',(#322439,#322440,#322441,#322442)); #62176=EDGE_LOOP('',(#322443,#322444,#322445,#322446)); #62177=EDGE_LOOP('',(#322447,#322448,#322449,#322450)); #62178=EDGE_LOOP('',(#322451,#322452,#322453,#322454)); #62179=EDGE_LOOP('',(#322455,#322456,#322457,#322458)); #62180=EDGE_LOOP('',(#322459,#322460,#322461,#322462)); #62181=EDGE_LOOP('',(#322463,#322464,#322465,#322466)); #62182=EDGE_LOOP('',(#322467,#322468,#322469,#322470,#322471,#322472)); #62183=EDGE_LOOP('',(#322473,#322474,#322475,#322476,#322477,#322478)); #62184=EDGE_LOOP('',(#322479,#322480,#322481,#322482)); #62185=EDGE_LOOP('',(#322483,#322484,#322485,#322486)); #62186=EDGE_LOOP('',(#322487,#322488,#322489,#322490)); #62187=EDGE_LOOP('',(#322491,#322492,#322493,#322494)); #62188=EDGE_LOOP('',(#322495,#322496,#322497,#322498)); #62189=EDGE_LOOP('',(#322499,#322500,#322501,#322502)); #62190=EDGE_LOOP('',(#322503,#322504,#322505,#322506,#322507,#322508)); #62191=EDGE_LOOP('',(#322509,#322510,#322511,#322512,#322513,#322514)); #62192=EDGE_LOOP('',(#322515,#322516,#322517,#322518)); #62193=EDGE_LOOP('',(#322519,#322520,#322521,#322522)); #62194=EDGE_LOOP('',(#322523,#322524,#322525,#322526)); #62195=EDGE_LOOP('',(#322527,#322528,#322529,#322530)); #62196=EDGE_LOOP('',(#322531,#322532,#322533,#322534)); #62197=EDGE_LOOP('',(#322535,#322536,#322537,#322538)); #62198=EDGE_LOOP('',(#322539,#322540,#322541,#322542,#322543,#322544)); #62199=EDGE_LOOP('',(#322545,#322546,#322547,#322548,#322549,#322550)); #62200=EDGE_LOOP('',(#322551,#322552,#322553,#322554)); #62201=EDGE_LOOP('',(#322555,#322556,#322557,#322558)); #62202=EDGE_LOOP('',(#322559,#322560,#322561,#322562)); #62203=EDGE_LOOP('',(#322563,#322564,#322565,#322566)); #62204=EDGE_LOOP('',(#322567,#322568,#322569,#322570)); #62205=EDGE_LOOP('',(#322571,#322572,#322573,#322574)); #62206=EDGE_LOOP('',(#322575,#322576,#322577,#322578,#322579,#322580)); #62207=EDGE_LOOP('',(#322581,#322582,#322583,#322584,#322585,#322586)); #62208=EDGE_LOOP('',(#322587,#322588,#322589,#322590)); #62209=EDGE_LOOP('',(#322591,#322592,#322593,#322594)); #62210=EDGE_LOOP('',(#322595,#322596,#322597,#322598)); #62211=EDGE_LOOP('',(#322599,#322600,#322601,#322602)); #62212=EDGE_LOOP('',(#322603,#322604,#322605,#322606)); #62213=EDGE_LOOP('',(#322607,#322608,#322609,#322610)); #62214=EDGE_LOOP('',(#322611,#322612,#322613,#322614,#322615,#322616)); #62215=EDGE_LOOP('',(#322617,#322618,#322619,#322620,#322621,#322622)); #62216=EDGE_LOOP('',(#322623,#322624,#322625,#322626)); #62217=EDGE_LOOP('',(#322627,#322628,#322629,#322630)); #62218=EDGE_LOOP('',(#322631,#322632,#322633,#322634)); #62219=EDGE_LOOP('',(#322635,#322636,#322637,#322638)); #62220=EDGE_LOOP('',(#322639,#322640,#322641,#322642)); #62221=EDGE_LOOP('',(#322643,#322644,#322645,#322646)); #62222=EDGE_LOOP('',(#322647,#322648,#322649,#322650,#322651,#322652)); #62223=EDGE_LOOP('',(#322653,#322654,#322655,#322656,#322657,#322658)); #62224=EDGE_LOOP('',(#322659,#322660,#322661,#322662)); #62225=EDGE_LOOP('',(#322663,#322664,#322665,#322666)); #62226=EDGE_LOOP('',(#322667,#322668,#322669,#322670)); #62227=EDGE_LOOP('',(#322671,#322672,#322673,#322674)); #62228=EDGE_LOOP('',(#322675,#322676,#322677,#322678)); #62229=EDGE_LOOP('',(#322679,#322680,#322681,#322682)); #62230=EDGE_LOOP('',(#322683,#322684,#322685,#322686,#322687,#322688)); #62231=EDGE_LOOP('',(#322689,#322690,#322691,#322692,#322693,#322694)); #62232=EDGE_LOOP('',(#322695,#322696,#322697,#322698)); #62233=EDGE_LOOP('',(#322699,#322700,#322701,#322702)); #62234=EDGE_LOOP('',(#322703,#322704,#322705,#322706)); #62235=EDGE_LOOP('',(#322707,#322708,#322709,#322710)); #62236=EDGE_LOOP('',(#322711,#322712,#322713,#322714)); #62237=EDGE_LOOP('',(#322715,#322716,#322717,#322718)); #62238=EDGE_LOOP('',(#322719,#322720,#322721,#322722,#322723,#322724)); #62239=EDGE_LOOP('',(#322725,#322726,#322727,#322728,#322729,#322730)); #62240=EDGE_LOOP('',(#322731,#322732,#322733,#322734)); #62241=EDGE_LOOP('',(#322735,#322736,#322737,#322738)); #62242=EDGE_LOOP('',(#322739,#322740,#322741,#322742)); #62243=EDGE_LOOP('',(#322743,#322744,#322745,#322746)); #62244=EDGE_LOOP('',(#322747,#322748,#322749,#322750)); #62245=EDGE_LOOP('',(#322751,#322752,#322753,#322754)); #62246=EDGE_LOOP('',(#322755,#322756,#322757,#322758,#322759,#322760)); #62247=EDGE_LOOP('',(#322761,#322762,#322763,#322764,#322765,#322766)); #62248=EDGE_LOOP('',(#322767,#322768,#322769,#322770)); #62249=EDGE_LOOP('',(#322771,#322772,#322773,#322774)); #62250=EDGE_LOOP('',(#322775,#322776,#322777,#322778)); #62251=EDGE_LOOP('',(#322779,#322780,#322781,#322782)); #62252=EDGE_LOOP('',(#322783,#322784,#322785,#322786)); #62253=EDGE_LOOP('',(#322787,#322788,#322789,#322790)); #62254=EDGE_LOOP('',(#322791,#322792,#322793,#322794,#322795,#322796)); #62255=EDGE_LOOP('',(#322797,#322798,#322799,#322800,#322801,#322802)); #62256=EDGE_LOOP('',(#322803,#322804,#322805,#322806)); #62257=EDGE_LOOP('',(#322807,#322808,#322809,#322810)); #62258=EDGE_LOOP('',(#322811,#322812,#322813,#322814)); #62259=EDGE_LOOP('',(#322815,#322816,#322817,#322818)); #62260=EDGE_LOOP('',(#322819,#322820,#322821,#322822)); #62261=EDGE_LOOP('',(#322823,#322824,#322825,#322826)); #62262=EDGE_LOOP('',(#322827,#322828,#322829,#322830,#322831,#322832)); #62263=EDGE_LOOP('',(#322833,#322834,#322835,#322836,#322837,#322838)); #62264=EDGE_LOOP('',(#322839,#322840,#322841,#322842)); #62265=EDGE_LOOP('',(#322843,#322844,#322845,#322846)); #62266=EDGE_LOOP('',(#322847,#322848,#322849,#322850)); #62267=EDGE_LOOP('',(#322851,#322852,#322853,#322854)); #62268=EDGE_LOOP('',(#322855,#322856,#322857,#322858)); #62269=EDGE_LOOP('',(#322859,#322860,#322861,#322862)); #62270=EDGE_LOOP('',(#322863,#322864,#322865,#322866,#322867,#322868)); #62271=EDGE_LOOP('',(#322869,#322870,#322871,#322872,#322873,#322874)); #62272=EDGE_LOOP('',(#322875,#322876,#322877,#322878)); #62273=EDGE_LOOP('',(#322879,#322880,#322881,#322882)); #62274=EDGE_LOOP('',(#322883,#322884,#322885,#322886)); #62275=EDGE_LOOP('',(#322887,#322888,#322889,#322890)); #62276=EDGE_LOOP('',(#322891,#322892,#322893,#322894)); #62277=EDGE_LOOP('',(#322895,#322896,#322897,#322898)); #62278=EDGE_LOOP('',(#322899,#322900,#322901,#322902,#322903,#322904)); #62279=EDGE_LOOP('',(#322905,#322906,#322907,#322908,#322909,#322910)); #62280=EDGE_LOOP('',(#322911,#322912,#322913,#322914)); #62281=EDGE_LOOP('',(#322915,#322916,#322917,#322918)); #62282=EDGE_LOOP('',(#322919,#322920,#322921,#322922)); #62283=EDGE_LOOP('',(#322923,#322924,#322925,#322926)); #62284=EDGE_LOOP('',(#322927,#322928,#322929,#322930)); #62285=EDGE_LOOP('',(#322931,#322932,#322933,#322934)); #62286=EDGE_LOOP('',(#322935,#322936,#322937,#322938,#322939,#322940)); #62287=EDGE_LOOP('',(#322941,#322942,#322943,#322944,#322945,#322946)); #62288=EDGE_LOOP('',(#322947,#322948,#322949,#322950)); #62289=EDGE_LOOP('',(#322951,#322952,#322953,#322954)); #62290=EDGE_LOOP('',(#322955,#322956,#322957,#322958)); #62291=EDGE_LOOP('',(#322959,#322960,#322961,#322962)); #62292=EDGE_LOOP('',(#322963,#322964,#322965,#322966)); #62293=EDGE_LOOP('',(#322967,#322968,#322969,#322970)); #62294=EDGE_LOOP('',(#322971,#322972,#322973,#322974,#322975,#322976)); #62295=EDGE_LOOP('',(#322977,#322978,#322979,#322980,#322981,#322982)); #62296=EDGE_LOOP('',(#322983,#322984,#322985,#322986)); #62297=EDGE_LOOP('',(#322987,#322988,#322989,#322990)); #62298=EDGE_LOOP('',(#322991,#322992,#322993,#322994)); #62299=EDGE_LOOP('',(#322995,#322996,#322997,#322998)); #62300=EDGE_LOOP('',(#322999,#323000,#323001,#323002)); #62301=EDGE_LOOP('',(#323003,#323004,#323005,#323006)); #62302=EDGE_LOOP('',(#323007,#323008,#323009,#323010,#323011,#323012)); #62303=EDGE_LOOP('',(#323013,#323014,#323015,#323016,#323017,#323018)); #62304=EDGE_LOOP('',(#323019,#323020,#323021,#323022)); #62305=EDGE_LOOP('',(#323023,#323024,#323025,#323026)); #62306=EDGE_LOOP('',(#323027,#323028,#323029,#323030)); #62307=EDGE_LOOP('',(#323031,#323032,#323033,#323034)); #62308=EDGE_LOOP('',(#323035,#323036,#323037,#323038)); #62309=EDGE_LOOP('',(#323039,#323040,#323041,#323042)); #62310=EDGE_LOOP('',(#323043,#323044,#323045,#323046,#323047,#323048)); #62311=EDGE_LOOP('',(#323049,#323050,#323051,#323052,#323053,#323054)); #62312=EDGE_LOOP('',(#323055,#323056,#323057,#323058)); #62313=EDGE_LOOP('',(#323059,#323060,#323061,#323062)); #62314=EDGE_LOOP('',(#323063,#323064,#323065,#323066)); #62315=EDGE_LOOP('',(#323067,#323068,#323069,#323070)); #62316=EDGE_LOOP('',(#323071,#323072,#323073,#323074)); #62317=EDGE_LOOP('',(#323075,#323076,#323077,#323078)); #62318=EDGE_LOOP('',(#323079,#323080,#323081,#323082,#323083,#323084)); #62319=EDGE_LOOP('',(#323085,#323086,#323087,#323088,#323089,#323090)); #62320=EDGE_LOOP('',(#323091,#323092,#323093,#323094)); #62321=EDGE_LOOP('',(#323095,#323096,#323097,#323098)); #62322=EDGE_LOOP('',(#323099,#323100,#323101,#323102)); #62323=EDGE_LOOP('',(#323103,#323104,#323105,#323106)); #62324=EDGE_LOOP('',(#323107,#323108,#323109,#323110)); #62325=EDGE_LOOP('',(#323111,#323112,#323113,#323114)); #62326=EDGE_LOOP('',(#323115,#323116,#323117,#323118,#323119,#323120)); #62327=EDGE_LOOP('',(#323121,#323122,#323123,#323124,#323125,#323126)); #62328=EDGE_LOOP('',(#323127,#323128,#323129,#323130)); #62329=EDGE_LOOP('',(#323131,#323132,#323133,#323134)); #62330=EDGE_LOOP('',(#323135,#323136,#323137,#323138)); #62331=EDGE_LOOP('',(#323139,#323140,#323141,#323142)); #62332=EDGE_LOOP('',(#323143,#323144,#323145,#323146)); #62333=EDGE_LOOP('',(#323147,#323148,#323149,#323150)); #62334=EDGE_LOOP('',(#323151,#323152,#323153,#323154,#323155,#323156)); #62335=EDGE_LOOP('',(#323157,#323158,#323159,#323160,#323161,#323162)); #62336=EDGE_LOOP('',(#323163,#323164,#323165,#323166)); #62337=EDGE_LOOP('',(#323167,#323168,#323169,#323170)); #62338=EDGE_LOOP('',(#323171,#323172,#323173,#323174)); #62339=EDGE_LOOP('',(#323175,#323176,#323177,#323178)); #62340=EDGE_LOOP('',(#323179,#323180,#323181,#323182)); #62341=EDGE_LOOP('',(#323183,#323184,#323185,#323186)); #62342=EDGE_LOOP('',(#323187,#323188,#323189,#323190,#323191,#323192)); #62343=EDGE_LOOP('',(#323193,#323194,#323195,#323196,#323197,#323198)); #62344=EDGE_LOOP('',(#323199,#323200,#323201,#323202)); #62345=EDGE_LOOP('',(#323203,#323204,#323205,#323206)); #62346=EDGE_LOOP('',(#323207,#323208,#323209,#323210)); #62347=EDGE_LOOP('',(#323211,#323212,#323213,#323214)); #62348=EDGE_LOOP('',(#323215,#323216,#323217,#323218)); #62349=EDGE_LOOP('',(#323219,#323220,#323221,#323222)); #62350=EDGE_LOOP('',(#323223,#323224,#323225,#323226,#323227,#323228)); #62351=EDGE_LOOP('',(#323229,#323230,#323231,#323232,#323233,#323234)); #62352=EDGE_LOOP('',(#323235,#323236,#323237,#323238)); #62353=EDGE_LOOP('',(#323239,#323240,#323241,#323242)); #62354=EDGE_LOOP('',(#323243,#323244,#323245,#323246)); #62355=EDGE_LOOP('',(#323247,#323248,#323249,#323250)); #62356=EDGE_LOOP('',(#323251,#323252,#323253,#323254)); #62357=EDGE_LOOP('',(#323255,#323256,#323257,#323258)); #62358=EDGE_LOOP('',(#323259,#323260,#323261,#323262,#323263,#323264)); #62359=EDGE_LOOP('',(#323265,#323266,#323267,#323268,#323269,#323270)); #62360=EDGE_LOOP('',(#323271,#323272,#323273,#323274)); #62361=EDGE_LOOP('',(#323275,#323276,#323277,#323278)); #62362=EDGE_LOOP('',(#323279,#323280,#323281,#323282)); #62363=EDGE_LOOP('',(#323283,#323284,#323285,#323286)); #62364=EDGE_LOOP('',(#323287,#323288,#323289,#323290)); #62365=EDGE_LOOP('',(#323291,#323292,#323293,#323294)); #62366=EDGE_LOOP('',(#323295,#323296,#323297,#323298,#323299,#323300)); #62367=EDGE_LOOP('',(#323301,#323302,#323303,#323304,#323305,#323306)); #62368=EDGE_LOOP('',(#323307,#323308,#323309,#323310)); #62369=EDGE_LOOP('',(#323311,#323312,#323313,#323314)); #62370=EDGE_LOOP('',(#323315,#323316,#323317,#323318)); #62371=EDGE_LOOP('',(#323319,#323320,#323321,#323322)); #62372=EDGE_LOOP('',(#323323,#323324,#323325,#323326)); #62373=EDGE_LOOP('',(#323327,#323328,#323329,#323330)); #62374=EDGE_LOOP('',(#323331,#323332,#323333,#323334,#323335,#323336)); #62375=EDGE_LOOP('',(#323337,#323338,#323339,#323340,#323341,#323342)); #62376=EDGE_LOOP('',(#323343,#323344,#323345,#323346)); #62377=EDGE_LOOP('',(#323347,#323348,#323349,#323350)); #62378=EDGE_LOOP('',(#323351,#323352,#323353,#323354)); #62379=EDGE_LOOP('',(#323355,#323356,#323357,#323358)); #62380=EDGE_LOOP('',(#323359,#323360,#323361,#323362)); #62381=EDGE_LOOP('',(#323363,#323364,#323365,#323366)); #62382=EDGE_LOOP('',(#323367,#323368,#323369,#323370,#323371,#323372)); #62383=EDGE_LOOP('',(#323373,#323374,#323375,#323376,#323377,#323378)); #62384=EDGE_LOOP('',(#323379,#323380,#323381,#323382)); #62385=EDGE_LOOP('',(#323383,#323384,#323385,#323386)); #62386=EDGE_LOOP('',(#323387,#323388,#323389,#323390)); #62387=EDGE_LOOP('',(#323391,#323392,#323393,#323394)); #62388=EDGE_LOOP('',(#323395,#323396,#323397,#323398)); #62389=EDGE_LOOP('',(#323399,#323400,#323401,#323402)); #62390=EDGE_LOOP('',(#323403,#323404,#323405,#323406,#323407,#323408)); #62391=EDGE_LOOP('',(#323409,#323410,#323411,#323412,#323413,#323414)); #62392=EDGE_LOOP('',(#323415,#323416,#323417,#323418)); #62393=EDGE_LOOP('',(#323419,#323420,#323421,#323422)); #62394=EDGE_LOOP('',(#323423,#323424,#323425,#323426)); #62395=EDGE_LOOP('',(#323427,#323428,#323429,#323430)); #62396=EDGE_LOOP('',(#323431,#323432,#323433,#323434)); #62397=EDGE_LOOP('',(#323435,#323436,#323437,#323438)); #62398=EDGE_LOOP('',(#323439,#323440,#323441,#323442,#323443,#323444)); #62399=EDGE_LOOP('',(#323445,#323446,#323447,#323448,#323449,#323450)); #62400=EDGE_LOOP('',(#323451,#323452,#323453,#323454)); #62401=EDGE_LOOP('',(#323455,#323456,#323457,#323458)); #62402=EDGE_LOOP('',(#323459,#323460,#323461,#323462)); #62403=EDGE_LOOP('',(#323463,#323464,#323465,#323466)); #62404=EDGE_LOOP('',(#323467,#323468,#323469,#323470)); #62405=EDGE_LOOP('',(#323471,#323472,#323473,#323474)); #62406=EDGE_LOOP('',(#323475,#323476,#323477,#323478,#323479,#323480)); #62407=EDGE_LOOP('',(#323481,#323482,#323483,#323484,#323485,#323486)); #62408=EDGE_LOOP('',(#323487,#323488,#323489,#323490)); #62409=EDGE_LOOP('',(#323491,#323492,#323493,#323494)); #62410=EDGE_LOOP('',(#323495,#323496,#323497,#323498)); #62411=EDGE_LOOP('',(#323499,#323500,#323501,#323502)); #62412=EDGE_LOOP('',(#323503,#323504,#323505,#323506)); #62413=EDGE_LOOP('',(#323507,#323508,#323509,#323510)); #62414=EDGE_LOOP('',(#323511,#323512,#323513,#323514,#323515,#323516)); #62415=EDGE_LOOP('',(#323517,#323518,#323519,#323520,#323521,#323522)); #62416=EDGE_LOOP('',(#323523,#323524,#323525,#323526)); #62417=EDGE_LOOP('',(#323527,#323528,#323529,#323530)); #62418=EDGE_LOOP('',(#323531,#323532,#323533,#323534)); #62419=EDGE_LOOP('',(#323535,#323536,#323537,#323538)); #62420=EDGE_LOOP('',(#323539,#323540,#323541,#323542)); #62421=EDGE_LOOP('',(#323543,#323544,#323545,#323546)); #62422=EDGE_LOOP('',(#323547,#323548,#323549,#323550,#323551,#323552)); #62423=EDGE_LOOP('',(#323553,#323554,#323555,#323556,#323557,#323558)); #62424=EDGE_LOOP('',(#323559,#323560,#323561,#323562)); #62425=EDGE_LOOP('',(#323563,#323564,#323565,#323566)); #62426=EDGE_LOOP('',(#323567,#323568,#323569,#323570)); #62427=EDGE_LOOP('',(#323571,#323572,#323573,#323574)); #62428=EDGE_LOOP('',(#323575,#323576,#323577,#323578)); #62429=EDGE_LOOP('',(#323579,#323580,#323581,#323582)); #62430=EDGE_LOOP('',(#323583,#323584,#323585,#323586,#323587,#323588)); #62431=EDGE_LOOP('',(#323589,#323590,#323591,#323592,#323593,#323594)); #62432=EDGE_LOOP('',(#323595,#323596,#323597,#323598)); #62433=EDGE_LOOP('',(#323599,#323600,#323601,#323602)); #62434=EDGE_LOOP('',(#323603,#323604,#323605,#323606)); #62435=EDGE_LOOP('',(#323607,#323608,#323609,#323610)); #62436=EDGE_LOOP('',(#323611,#323612,#323613,#323614)); #62437=EDGE_LOOP('',(#323615,#323616,#323617,#323618)); #62438=EDGE_LOOP('',(#323619,#323620,#323621,#323622,#323623,#323624)); #62439=EDGE_LOOP('',(#323625,#323626,#323627,#323628,#323629,#323630)); #62440=EDGE_LOOP('',(#323631,#323632,#323633,#323634)); #62441=EDGE_LOOP('',(#323635,#323636,#323637,#323638)); #62442=EDGE_LOOP('',(#323639,#323640,#323641,#323642)); #62443=EDGE_LOOP('',(#323643,#323644,#323645,#323646)); #62444=EDGE_LOOP('',(#323647,#323648,#323649,#323650)); #62445=EDGE_LOOP('',(#323651,#323652,#323653,#323654)); #62446=EDGE_LOOP('',(#323655,#323656,#323657,#323658,#323659,#323660)); #62447=EDGE_LOOP('',(#323661,#323662,#323663,#323664,#323665,#323666)); #62448=EDGE_LOOP('',(#323667,#323668,#323669,#323670)); #62449=EDGE_LOOP('',(#323671,#323672,#323673,#323674)); #62450=EDGE_LOOP('',(#323675,#323676,#323677,#323678)); #62451=EDGE_LOOP('',(#323679,#323680,#323681,#323682)); #62452=EDGE_LOOP('',(#323683,#323684,#323685,#323686)); #62453=EDGE_LOOP('',(#323687,#323688,#323689,#323690)); #62454=EDGE_LOOP('',(#323691,#323692,#323693,#323694,#323695,#323696)); #62455=EDGE_LOOP('',(#323697,#323698,#323699,#323700,#323701,#323702)); #62456=EDGE_LOOP('',(#323703,#323704,#323705,#323706)); #62457=EDGE_LOOP('',(#323707,#323708,#323709,#323710)); #62458=EDGE_LOOP('',(#323711,#323712,#323713,#323714)); #62459=EDGE_LOOP('',(#323715,#323716,#323717,#323718)); #62460=EDGE_LOOP('',(#323719,#323720,#323721,#323722)); #62461=EDGE_LOOP('',(#323723,#323724,#323725,#323726)); #62462=EDGE_LOOP('',(#323727,#323728,#323729,#323730,#323731,#323732)); #62463=EDGE_LOOP('',(#323733,#323734,#323735,#323736,#323737,#323738)); #62464=EDGE_LOOP('',(#323739,#323740,#323741,#323742)); #62465=EDGE_LOOP('',(#323743,#323744,#323745,#323746)); #62466=EDGE_LOOP('',(#323747,#323748,#323749,#323750)); #62467=EDGE_LOOP('',(#323751,#323752,#323753,#323754)); #62468=EDGE_LOOP('',(#323755,#323756,#323757,#323758)); #62469=EDGE_LOOP('',(#323759,#323760,#323761,#323762)); #62470=EDGE_LOOP('',(#323763,#323764,#323765,#323766,#323767,#323768)); #62471=EDGE_LOOP('',(#323769,#323770,#323771,#323772,#323773,#323774)); #62472=EDGE_LOOP('',(#323775,#323776,#323777,#323778)); #62473=EDGE_LOOP('',(#323779,#323780,#323781,#323782)); #62474=EDGE_LOOP('',(#323783,#323784,#323785,#323786)); #62475=EDGE_LOOP('',(#323787,#323788,#323789,#323790)); #62476=EDGE_LOOP('',(#323791,#323792,#323793,#323794)); #62477=EDGE_LOOP('',(#323795,#323796,#323797,#323798)); #62478=EDGE_LOOP('',(#323799,#323800,#323801,#323802,#323803,#323804)); #62479=EDGE_LOOP('',(#323805,#323806,#323807,#323808,#323809,#323810)); #62480=EDGE_LOOP('',(#323811,#323812,#323813,#323814)); #62481=EDGE_LOOP('',(#323815,#323816,#323817,#323818)); #62482=EDGE_LOOP('',(#323819,#323820,#323821,#323822)); #62483=EDGE_LOOP('',(#323823,#323824,#323825,#323826)); #62484=EDGE_LOOP('',(#323827,#323828,#323829,#323830)); #62485=EDGE_LOOP('',(#323831,#323832,#323833,#323834)); #62486=EDGE_LOOP('',(#323835,#323836,#323837,#323838,#323839,#323840)); #62487=EDGE_LOOP('',(#323841,#323842,#323843,#323844,#323845,#323846)); #62488=EDGE_LOOP('',(#323847,#323848,#323849,#323850)); #62489=EDGE_LOOP('',(#323851,#323852,#323853,#323854)); #62490=EDGE_LOOP('',(#323855,#323856,#323857,#323858)); #62491=EDGE_LOOP('',(#323859,#323860,#323861,#323862)); #62492=EDGE_LOOP('',(#323863,#323864,#323865,#323866)); #62493=EDGE_LOOP('',(#323867,#323868,#323869,#323870)); #62494=EDGE_LOOP('',(#323871,#323872,#323873,#323874,#323875,#323876)); #62495=EDGE_LOOP('',(#323877,#323878,#323879,#323880,#323881,#323882)); #62496=EDGE_LOOP('',(#323883,#323884,#323885,#323886)); #62497=EDGE_LOOP('',(#323887,#323888,#323889,#323890)); #62498=EDGE_LOOP('',(#323891,#323892,#323893,#323894)); #62499=EDGE_LOOP('',(#323895,#323896,#323897,#323898)); #62500=EDGE_LOOP('',(#323899,#323900,#323901,#323902)); #62501=EDGE_LOOP('',(#323903,#323904,#323905,#323906)); #62502=EDGE_LOOP('',(#323907,#323908,#323909,#323910,#323911,#323912)); #62503=EDGE_LOOP('',(#323913,#323914,#323915,#323916,#323917,#323918)); #62504=EDGE_LOOP('',(#323919,#323920,#323921,#323922)); #62505=EDGE_LOOP('',(#323923,#323924,#323925,#323926)); #62506=EDGE_LOOP('',(#323927,#323928,#323929,#323930)); #62507=EDGE_LOOP('',(#323931,#323932,#323933,#323934)); #62508=EDGE_LOOP('',(#323935,#323936,#323937,#323938)); #62509=EDGE_LOOP('',(#323939,#323940,#323941,#323942)); #62510=EDGE_LOOP('',(#323943,#323944,#323945,#323946,#323947,#323948)); #62511=EDGE_LOOP('',(#323949,#323950,#323951,#323952,#323953,#323954)); #62512=EDGE_LOOP('',(#323955,#323956,#323957,#323958)); #62513=EDGE_LOOP('',(#323959,#323960,#323961,#323962)); #62514=EDGE_LOOP('',(#323963,#323964,#323965,#323966)); #62515=EDGE_LOOP('',(#323967,#323968,#323969,#323970)); #62516=EDGE_LOOP('',(#323971,#323972,#323973,#323974)); #62517=EDGE_LOOP('',(#323975,#323976,#323977,#323978)); #62518=EDGE_LOOP('',(#323979,#323980,#323981,#323982,#323983,#323984)); #62519=EDGE_LOOP('',(#323985,#323986,#323987,#323988,#323989,#323990)); #62520=EDGE_LOOP('',(#323991,#323992,#323993,#323994)); #62521=EDGE_LOOP('',(#323995,#323996,#323997,#323998)); #62522=EDGE_LOOP('',(#323999,#324000,#324001,#324002)); #62523=EDGE_LOOP('',(#324003,#324004,#324005,#324006)); #62524=EDGE_LOOP('',(#324007,#324008,#324009,#324010)); #62525=EDGE_LOOP('',(#324011,#324012,#324013,#324014)); #62526=EDGE_LOOP('',(#324015,#324016,#324017,#324018,#324019,#324020)); #62527=EDGE_LOOP('',(#324021,#324022,#324023,#324024,#324025,#324026)); #62528=EDGE_LOOP('',(#324027,#324028,#324029,#324030)); #62529=EDGE_LOOP('',(#324031,#324032,#324033,#324034)); #62530=EDGE_LOOP('',(#324035,#324036,#324037,#324038)); #62531=EDGE_LOOP('',(#324039,#324040,#324041,#324042)); #62532=EDGE_LOOP('',(#324043,#324044,#324045,#324046)); #62533=EDGE_LOOP('',(#324047,#324048,#324049,#324050)); #62534=EDGE_LOOP('',(#324051,#324052,#324053,#324054,#324055,#324056)); #62535=EDGE_LOOP('',(#324057,#324058,#324059,#324060,#324061,#324062)); #62536=EDGE_LOOP('',(#324063,#324064,#324065,#324066)); #62537=EDGE_LOOP('',(#324067,#324068,#324069,#324070)); #62538=EDGE_LOOP('',(#324071,#324072,#324073,#324074)); #62539=EDGE_LOOP('',(#324075,#324076,#324077,#324078)); #62540=EDGE_LOOP('',(#324079,#324080,#324081,#324082)); #62541=EDGE_LOOP('',(#324083,#324084,#324085,#324086)); #62542=EDGE_LOOP('',(#324087,#324088,#324089,#324090,#324091,#324092)); #62543=EDGE_LOOP('',(#324093,#324094,#324095,#324096,#324097,#324098)); #62544=EDGE_LOOP('',(#324099,#324100,#324101,#324102)); #62545=EDGE_LOOP('',(#324103,#324104,#324105,#324106)); #62546=EDGE_LOOP('',(#324107,#324108,#324109,#324110)); #62547=EDGE_LOOP('',(#324111,#324112,#324113,#324114)); #62548=EDGE_LOOP('',(#324115,#324116,#324117,#324118)); #62549=EDGE_LOOP('',(#324119,#324120,#324121,#324122)); #62550=EDGE_LOOP('',(#324123,#324124,#324125,#324126,#324127,#324128)); #62551=EDGE_LOOP('',(#324129,#324130,#324131,#324132,#324133,#324134)); #62552=EDGE_LOOP('',(#324135,#324136,#324137,#324138)); #62553=EDGE_LOOP('',(#324139,#324140,#324141,#324142)); #62554=EDGE_LOOP('',(#324143,#324144,#324145,#324146)); #62555=EDGE_LOOP('',(#324147,#324148,#324149,#324150)); #62556=EDGE_LOOP('',(#324151,#324152,#324153,#324154)); #62557=EDGE_LOOP('',(#324155,#324156,#324157,#324158)); #62558=EDGE_LOOP('',(#324159,#324160,#324161,#324162,#324163,#324164)); #62559=EDGE_LOOP('',(#324165,#324166,#324167,#324168,#324169,#324170)); #62560=EDGE_LOOP('',(#324171,#324172,#324173,#324174)); #62561=EDGE_LOOP('',(#324175,#324176,#324177,#324178)); #62562=EDGE_LOOP('',(#324179,#324180,#324181,#324182)); #62563=EDGE_LOOP('',(#324183,#324184,#324185,#324186)); #62564=EDGE_LOOP('',(#324187,#324188,#324189,#324190)); #62565=EDGE_LOOP('',(#324191,#324192,#324193,#324194)); #62566=EDGE_LOOP('',(#324195,#324196,#324197)); #62567=EDGE_LOOP('',(#324198,#324199,#324200)); #62568=EDGE_LOOP('',(#324201,#324202,#324203)); #62569=EDGE_LOOP('',(#324204,#324205,#324206)); #62570=EDGE_LOOP('',(#324207,#324208,#324209)); #62571=EDGE_LOOP('',(#324210,#324211,#324212,#324213)); #62572=EDGE_LOOP('',(#324214,#324215,#324216)); #62573=EDGE_LOOP('',(#324217,#324218,#324219)); #62574=EDGE_LOOP('',(#324220,#324221,#324222,#324223)); #62575=EDGE_LOOP('',(#324224,#324225,#324226,#324227)); #62576=EDGE_LOOP('',(#324228,#324229,#324230)); #62577=EDGE_LOOP('',(#324231,#324232,#324233,#324234)); #62578=EDGE_LOOP('',(#324235,#324236,#324237,#324238)); #62579=EDGE_LOOP('',(#324239,#324240,#324241,#324242)); #62580=EDGE_LOOP('',(#324243,#324244,#324245,#324246)); #62581=EDGE_LOOP('',(#324247,#324248,#324249,#324250)); #62582=EDGE_LOOP('',(#324251,#324252,#324253,#324254)); #62583=EDGE_LOOP('',(#324255,#324256,#324257,#324258)); #62584=EDGE_LOOP('',(#324259,#324260,#324261,#324262)); #62585=EDGE_LOOP('',(#324263,#324264,#324265,#324266)); #62586=EDGE_LOOP('',(#324267,#324268,#324269,#324270)); #62587=EDGE_LOOP('',(#324271,#324272,#324273,#324274)); #62588=EDGE_LOOP('',(#324275,#324276,#324277,#324278)); #62589=EDGE_LOOP('',(#324279,#324280,#324281,#324282)); #62590=EDGE_LOOP('',(#324283,#324284,#324285,#324286)); #62591=EDGE_LOOP('',(#324287,#324288,#324289,#324290)); #62592=EDGE_LOOP('',(#324291,#324292,#324293)); #62593=EDGE_LOOP('',(#324294,#324295,#324296)); #62594=EDGE_LOOP('',(#324297,#324298,#324299)); #62595=EDGE_LOOP('',(#324300,#324301,#324302)); #62596=EDGE_LOOP('',(#324303,#324304,#324305)); #62597=EDGE_LOOP('',(#324306,#324307,#324308,#324309)); #62598=EDGE_LOOP('',(#324310,#324311,#324312)); #62599=EDGE_LOOP('',(#324313,#324314,#324315)); #62600=EDGE_LOOP('',(#324316,#324317,#324318,#324319)); #62601=EDGE_LOOP('',(#324320,#324321,#324322,#324323)); #62602=EDGE_LOOP('',(#324324,#324325,#324326)); #62603=EDGE_LOOP('',(#324327,#324328,#324329,#324330)); #62604=EDGE_LOOP('',(#324331,#324332,#324333,#324334)); #62605=EDGE_LOOP('',(#324335,#324336,#324337,#324338)); #62606=EDGE_LOOP('',(#324339,#324340,#324341,#324342)); #62607=EDGE_LOOP('',(#324343,#324344,#324345,#324346)); #62608=EDGE_LOOP('',(#324347,#324348,#324349,#324350)); #62609=EDGE_LOOP('',(#324351,#324352,#324353,#324354)); #62610=EDGE_LOOP('',(#324355,#324356,#324357,#324358)); #62611=EDGE_LOOP('',(#324359,#324360,#324361,#324362)); #62612=EDGE_LOOP('',(#324363,#324364,#324365,#324366)); #62613=EDGE_LOOP('',(#324367,#324368,#324369,#324370)); #62614=EDGE_LOOP('',(#324371,#324372,#324373,#324374)); #62615=EDGE_LOOP('',(#324375,#324376,#324377,#324378)); #62616=EDGE_LOOP('',(#324379,#324380,#324381,#324382)); #62617=EDGE_LOOP('',(#324383,#324384,#324385,#324386)); #62618=EDGE_LOOP('',(#324387,#324388,#324389,#324390)); #62619=EDGE_LOOP('',(#324391,#324392,#324393,#324394)); #62620=EDGE_LOOP('',(#324395,#324396,#324397,#324398)); #62621=EDGE_LOOP('',(#324399,#324400,#324401,#324402)); #62622=EDGE_LOOP('',(#324403,#324404,#324405,#324406)); #62623=EDGE_LOOP('',(#324407,#324408,#324409,#324410)); #62624=EDGE_LOOP('',(#324411,#324412,#324413,#324414)); #62625=EDGE_LOOP('',(#324415,#324416,#324417,#324418)); #62626=EDGE_LOOP('',(#324419,#324420,#324421,#324422)); #62627=EDGE_LOOP('',(#324423,#324424,#324425,#324426)); #62628=EDGE_LOOP('',(#324427,#324428,#324429,#324430)); #62629=EDGE_LOOP('',(#324431,#324432,#324433,#324434)); #62630=EDGE_LOOP('',(#324435,#324436,#324437,#324438)); #62631=EDGE_LOOP('',(#324439,#324440,#324441,#324442)); #62632=EDGE_LOOP('',(#324443,#324444,#324445,#324446)); #62633=EDGE_LOOP('',(#324447,#324448,#324449,#324450)); #62634=EDGE_LOOP('',(#324451,#324452,#324453,#324454)); #62635=EDGE_LOOP('',(#324455,#324456,#324457,#324458)); #62636=EDGE_LOOP('',(#324459,#324460,#324461,#324462)); #62637=EDGE_LOOP('',(#324463,#324464,#324465,#324466)); #62638=EDGE_LOOP('',(#324467,#324468,#324469,#324470)); #62639=EDGE_LOOP('',(#324471,#324472,#324473,#324474)); #62640=EDGE_LOOP('',(#324475,#324476,#324477,#324478)); #62641=EDGE_LOOP('',(#324479,#324480,#324481,#324482)); #62642=EDGE_LOOP('',(#324483,#324484,#324485,#324486)); #62643=EDGE_LOOP('',(#324487,#324488,#324489,#324490)); #62644=EDGE_LOOP('',(#324491,#324492,#324493,#324494)); #62645=EDGE_LOOP('',(#324495,#324496,#324497,#324498)); #62646=EDGE_LOOP('',(#324499,#324500,#324501,#324502)); #62647=EDGE_LOOP('',(#324503,#324504,#324505,#324506)); #62648=EDGE_LOOP('',(#324507,#324508,#324509,#324510)); #62649=EDGE_LOOP('',(#324511,#324512,#324513,#324514)); #62650=EDGE_LOOP('',(#324515,#324516,#324517,#324518)); #62651=EDGE_LOOP('',(#324519,#324520,#324521,#324522)); #62652=EDGE_LOOP('',(#324523,#324524,#324525,#324526)); #62653=EDGE_LOOP('',(#324527,#324528,#324529,#324530)); #62654=EDGE_LOOP('',(#324531,#324532,#324533,#324534)); #62655=EDGE_LOOP('',(#324535,#324536,#324537,#324538)); #62656=EDGE_LOOP('',(#324539,#324540,#324541,#324542)); #62657=EDGE_LOOP('',(#324543,#324544,#324545,#324546)); #62658=EDGE_LOOP('',(#324547,#324548,#324549,#324550)); #62659=EDGE_LOOP('',(#324551,#324552,#324553,#324554)); #62660=EDGE_LOOP('',(#324555,#324556,#324557,#324558)); #62661=EDGE_LOOP('',(#324559,#324560,#324561,#324562)); #62662=EDGE_LOOP('',(#324563,#324564,#324565,#324566)); #62663=EDGE_LOOP('',(#324567,#324568,#324569,#324570)); #62664=EDGE_LOOP('',(#324571,#324572,#324573,#324574)); #62665=EDGE_LOOP('',(#324575)); #62666=EDGE_LOOP('',(#324576,#324577,#324578,#324579)); #62667=EDGE_LOOP('',(#324580,#324581,#324582,#324583)); #62668=EDGE_LOOP('',(#324584,#324585,#324586,#324587)); #62669=EDGE_LOOP('',(#324588,#324589,#324590,#324591)); #62670=EDGE_LOOP('',(#324592,#324593,#324594,#324595)); #62671=EDGE_LOOP('',(#324596,#324597,#324598,#324599)); #62672=EDGE_LOOP('',(#324600,#324601,#324602,#324603)); #62673=EDGE_LOOP('',(#324604)); #62674=EDGE_LOOP('',(#324605,#324606,#324607,#324608)); #62675=EDGE_LOOP('',(#324609,#324610,#324611,#324612)); #62676=EDGE_LOOP('',(#324613,#324614,#324615,#324616)); #62677=EDGE_LOOP('',(#324617,#324618,#324619,#324620)); #62678=EDGE_LOOP('',(#324621,#324622,#324623,#324624)); #62679=EDGE_LOOP('',(#324625,#324626,#324627,#324628)); #62680=EDGE_LOOP('',(#324629,#324630,#324631,#324632)); #62681=EDGE_LOOP('',(#324633,#324634,#324635,#324636,#324637,#324638,#324639, #324640,#324641,#324642,#324643,#324644)); #62682=EDGE_LOOP('',(#324645,#324646,#324647,#324648)); #62683=EDGE_LOOP('',(#324649,#324650,#324651,#324652)); #62684=EDGE_LOOP('',(#324653,#324654,#324655,#324656)); #62685=EDGE_LOOP('',(#324657,#324658,#324659,#324660)); #62686=EDGE_LOOP('',(#324661,#324662,#324663,#324664)); #62687=EDGE_LOOP('',(#324665,#324666,#324667,#324668)); #62688=EDGE_LOOP('',(#324669,#324670,#324671,#324672)); #62689=EDGE_LOOP('',(#324673,#324674,#324675,#324676)); #62690=EDGE_LOOP('',(#324677,#324678,#324679,#324680)); #62691=EDGE_LOOP('',(#324681,#324682,#324683,#324684)); #62692=EDGE_LOOP('',(#324685,#324686,#324687,#324688)); #62693=EDGE_LOOP('',(#324689,#324690,#324691,#324692)); #62694=EDGE_LOOP('',(#324693,#324694,#324695,#324696,#324697,#324698,#324699, #324700,#324701,#324702,#324703,#324704)); #62695=EDGE_LOOP('',(#324705,#324706,#324707,#324708,#324709,#324710,#324711, #324712,#324713,#324714,#324715,#324716)); #62696=EDGE_LOOP('',(#324717,#324718,#324719,#324720)); #62697=EDGE_LOOP('',(#324721,#324722,#324723,#324724)); #62698=EDGE_LOOP('',(#324725,#324726,#324727,#324728)); #62699=EDGE_LOOP('',(#324729,#324730,#324731,#324732)); #62700=EDGE_LOOP('',(#324733,#324734,#324735,#324736)); #62701=EDGE_LOOP('',(#324737,#324738,#324739,#324740)); #62702=EDGE_LOOP('',(#324741,#324742,#324743,#324744)); #62703=EDGE_LOOP('',(#324745,#324746,#324747,#324748)); #62704=EDGE_LOOP('',(#324749,#324750,#324751,#324752)); #62705=EDGE_LOOP('',(#324753,#324754,#324755,#324756)); #62706=EDGE_LOOP('',(#324757,#324758,#324759,#324760)); #62707=EDGE_LOOP('',(#324761,#324762,#324763,#324764)); #62708=EDGE_LOOP('',(#324765,#324766,#324767,#324768,#324769,#324770,#324771, #324772,#324773,#324774,#324775,#324776)); #62709=EDGE_LOOP('',(#324777,#324778,#324779,#324780,#324781,#324782,#324783, #324784,#324785,#324786,#324787,#324788)); #62710=EDGE_LOOP('',(#324789,#324790,#324791,#324792)); #62711=EDGE_LOOP('',(#324793,#324794,#324795,#324796)); #62712=EDGE_LOOP('',(#324797,#324798,#324799,#324800)); #62713=EDGE_LOOP('',(#324801,#324802,#324803,#324804)); #62714=EDGE_LOOP('',(#324805,#324806,#324807,#324808)); #62715=EDGE_LOOP('',(#324809,#324810,#324811,#324812)); #62716=EDGE_LOOP('',(#324813,#324814,#324815,#324816)); #62717=EDGE_LOOP('',(#324817,#324818,#324819,#324820)); #62718=EDGE_LOOP('',(#324821,#324822,#324823,#324824)); #62719=EDGE_LOOP('',(#324825,#324826,#324827,#324828)); #62720=EDGE_LOOP('',(#324829,#324830,#324831,#324832)); #62721=EDGE_LOOP('',(#324833,#324834,#324835,#324836)); #62722=EDGE_LOOP('',(#324837,#324838,#324839,#324840,#324841,#324842,#324843, #324844,#324845,#324846,#324847,#324848)); #62723=EDGE_LOOP('',(#324849,#324850,#324851,#324852,#324853,#324854,#324855, #324856,#324857,#324858,#324859,#324860)); #62724=EDGE_LOOP('',(#324861,#324862,#324863,#324864)); #62725=EDGE_LOOP('',(#324865,#324866,#324867,#324868)); #62726=EDGE_LOOP('',(#324869,#324870,#324871,#324872)); #62727=EDGE_LOOP('',(#324873,#324874,#324875,#324876)); #62728=EDGE_LOOP('',(#324877,#324878,#324879,#324880)); #62729=EDGE_LOOP('',(#324881,#324882,#324883,#324884)); #62730=EDGE_LOOP('',(#324885,#324886,#324887,#324888)); #62731=EDGE_LOOP('',(#324889,#324890,#324891,#324892)); #62732=EDGE_LOOP('',(#324893,#324894,#324895,#324896)); #62733=EDGE_LOOP('',(#324897,#324898,#324899,#324900)); #62734=EDGE_LOOP('',(#324901,#324902,#324903,#324904)); #62735=EDGE_LOOP('',(#324905,#324906,#324907,#324908)); #62736=EDGE_LOOP('',(#324909,#324910,#324911,#324912,#324913,#324914,#324915, #324916,#324917,#324918,#324919,#324920)); #62737=EDGE_LOOP('',(#324921,#324922,#324923,#324924,#324925,#324926,#324927, #324928,#324929,#324930,#324931,#324932)); #62738=EDGE_LOOP('',(#324933,#324934,#324935,#324936)); #62739=EDGE_LOOP('',(#324937,#324938,#324939,#324940)); #62740=EDGE_LOOP('',(#324941,#324942,#324943,#324944)); #62741=EDGE_LOOP('',(#324945,#324946,#324947,#324948)); #62742=EDGE_LOOP('',(#324949,#324950,#324951,#324952)); #62743=EDGE_LOOP('',(#324953,#324954,#324955,#324956)); #62744=EDGE_LOOP('',(#324957,#324958,#324959,#324960)); #62745=EDGE_LOOP('',(#324961,#324962,#324963,#324964)); #62746=EDGE_LOOP('',(#324965,#324966,#324967,#324968)); #62747=EDGE_LOOP('',(#324969,#324970,#324971,#324972)); #62748=EDGE_LOOP('',(#324973,#324974,#324975,#324976)); #62749=EDGE_LOOP('',(#324977,#324978,#324979,#324980)); #62750=EDGE_LOOP('',(#324981,#324982,#324983,#324984,#324985,#324986,#324987, #324988,#324989,#324990,#324991,#324992)); #62751=EDGE_LOOP('',(#324993,#324994,#324995,#324996,#324997,#324998,#324999, #325000,#325001,#325002,#325003,#325004)); #62752=EDGE_LOOP('',(#325005,#325006,#325007,#325008)); #62753=EDGE_LOOP('',(#325009,#325010,#325011,#325012)); #62754=EDGE_LOOP('',(#325013,#325014,#325015,#325016)); #62755=EDGE_LOOP('',(#325017,#325018,#325019,#325020)); #62756=EDGE_LOOP('',(#325021,#325022,#325023,#325024)); #62757=EDGE_LOOP('',(#325025,#325026,#325027,#325028)); #62758=EDGE_LOOP('',(#325029,#325030,#325031,#325032)); #62759=EDGE_LOOP('',(#325033,#325034,#325035,#325036)); #62760=EDGE_LOOP('',(#325037,#325038,#325039,#325040)); #62761=EDGE_LOOP('',(#325041,#325042,#325043,#325044)); #62762=EDGE_LOOP('',(#325045,#325046,#325047,#325048)); #62763=EDGE_LOOP('',(#325049,#325050,#325051,#325052)); #62764=EDGE_LOOP('',(#325053,#325054,#325055,#325056,#325057,#325058,#325059, #325060,#325061,#325062,#325063,#325064)); #62765=EDGE_LOOP('',(#325065,#325066,#325067,#325068,#325069,#325070,#325071, #325072,#325073,#325074,#325075,#325076)); #62766=EDGE_LOOP('',(#325077,#325078,#325079,#325080)); #62767=EDGE_LOOP('',(#325081,#325082,#325083,#325084)); #62768=EDGE_LOOP('',(#325085,#325086,#325087,#325088)); #62769=EDGE_LOOP('',(#325089,#325090,#325091,#325092)); #62770=EDGE_LOOP('',(#325093,#325094,#325095,#325096)); #62771=EDGE_LOOP('',(#325097,#325098,#325099,#325100)); #62772=EDGE_LOOP('',(#325101,#325102,#325103,#325104)); #62773=EDGE_LOOP('',(#325105,#325106,#325107,#325108)); #62774=EDGE_LOOP('',(#325109,#325110,#325111,#325112)); #62775=EDGE_LOOP('',(#325113,#325114,#325115,#325116)); #62776=EDGE_LOOP('',(#325117,#325118,#325119,#325120)); #62777=EDGE_LOOP('',(#325121,#325122,#325123,#325124)); #62778=EDGE_LOOP('',(#325125,#325126,#325127,#325128,#325129,#325130,#325131, #325132,#325133,#325134,#325135,#325136)); #62779=EDGE_LOOP('',(#325137,#325138,#325139,#325140,#325141,#325142,#325143, #325144,#325145,#325146,#325147,#325148)); #62780=EDGE_LOOP('',(#325149,#325150,#325151,#325152)); #62781=EDGE_LOOP('',(#325153,#325154,#325155,#325156)); #62782=EDGE_LOOP('',(#325157,#325158,#325159,#325160)); #62783=EDGE_LOOP('',(#325161,#325162,#325163,#325164)); #62784=EDGE_LOOP('',(#325165,#325166,#325167,#325168)); #62785=EDGE_LOOP('',(#325169,#325170,#325171,#325172)); #62786=EDGE_LOOP('',(#325173,#325174,#325175,#325176)); #62787=EDGE_LOOP('',(#325177,#325178,#325179,#325180)); #62788=EDGE_LOOP('',(#325181,#325182,#325183,#325184)); #62789=EDGE_LOOP('',(#325185,#325186,#325187,#325188)); #62790=EDGE_LOOP('',(#325189,#325190,#325191,#325192)); #62791=EDGE_LOOP('',(#325193,#325194,#325195,#325196)); #62792=EDGE_LOOP('',(#325197,#325198,#325199,#325200,#325201,#325202,#325203, #325204,#325205,#325206,#325207,#325208)); #62793=EDGE_LOOP('',(#325209,#325210,#325211,#325212)); #62794=EDGE_LOOP('',(#325213)); #62795=EDGE_LOOP('',(#325214,#325215,#325216,#325217)); #62796=EDGE_LOOP('',(#325218,#325219,#325220,#325221)); #62797=EDGE_LOOP('',(#325222,#325223,#325224,#325225)); #62798=EDGE_LOOP('',(#325226,#325227,#325228,#325229)); #62799=EDGE_LOOP('',(#325230,#325231,#325232,#325233)); #62800=EDGE_LOOP('',(#325234,#325235,#325236,#325237)); #62801=EDGE_LOOP('',(#325238,#325239,#325240,#325241)); #62802=EDGE_LOOP('',(#325242)); #62803=EDGE_LOOP('',(#325243,#325244,#325245,#325246)); #62804=EDGE_LOOP('',(#325247,#325248,#325249,#325250)); #62805=EDGE_LOOP('',(#325251,#325252,#325253,#325254)); #62806=EDGE_LOOP('',(#325255,#325256,#325257,#325258)); #62807=EDGE_LOOP('',(#325259,#325260,#325261,#325262)); #62808=EDGE_LOOP('',(#325263,#325264,#325265,#325266)); #62809=EDGE_LOOP('',(#325267,#325268,#325269,#325270)); #62810=EDGE_LOOP('',(#325271,#325272,#325273,#325274,#325275,#325276,#325277, #325278,#325279,#325280,#325281,#325282)); #62811=EDGE_LOOP('',(#325283,#325284,#325285,#325286)); #62812=EDGE_LOOP('',(#325287,#325288,#325289,#325290)); #62813=EDGE_LOOP('',(#325291,#325292,#325293,#325294)); #62814=EDGE_LOOP('',(#325295,#325296,#325297,#325298)); #62815=EDGE_LOOP('',(#325299,#325300,#325301,#325302)); #62816=EDGE_LOOP('',(#325303,#325304,#325305,#325306)); #62817=EDGE_LOOP('',(#325307,#325308,#325309,#325310)); #62818=EDGE_LOOP('',(#325311,#325312,#325313,#325314)); #62819=EDGE_LOOP('',(#325315,#325316,#325317,#325318)); #62820=EDGE_LOOP('',(#325319,#325320,#325321,#325322)); #62821=EDGE_LOOP('',(#325323,#325324,#325325,#325326)); #62822=EDGE_LOOP('',(#325327,#325328,#325329,#325330)); #62823=EDGE_LOOP('',(#325331,#325332,#325333,#325334,#325335,#325336,#325337, #325338,#325339,#325340,#325341,#325342)); #62824=EDGE_LOOP('',(#325343,#325344,#325345,#325346,#325347,#325348,#325349, #325350,#325351,#325352,#325353,#325354)); #62825=EDGE_LOOP('',(#325355,#325356,#325357,#325358)); #62826=EDGE_LOOP('',(#325359,#325360,#325361,#325362)); #62827=EDGE_LOOP('',(#325363,#325364,#325365,#325366)); #62828=EDGE_LOOP('',(#325367,#325368,#325369,#325370)); #62829=EDGE_LOOP('',(#325371,#325372,#325373,#325374)); #62830=EDGE_LOOP('',(#325375,#325376,#325377,#325378)); #62831=EDGE_LOOP('',(#325379,#325380,#325381,#325382)); #62832=EDGE_LOOP('',(#325383,#325384,#325385,#325386)); #62833=EDGE_LOOP('',(#325387,#325388,#325389,#325390)); #62834=EDGE_LOOP('',(#325391,#325392,#325393,#325394)); #62835=EDGE_LOOP('',(#325395,#325396,#325397,#325398)); #62836=EDGE_LOOP('',(#325399,#325400,#325401,#325402)); #62837=EDGE_LOOP('',(#325403,#325404,#325405,#325406,#325407,#325408,#325409, #325410,#325411,#325412,#325413,#325414)); #62838=EDGE_LOOP('',(#325415,#325416,#325417,#325418,#325419,#325420,#325421, #325422,#325423,#325424,#325425,#325426)); #62839=EDGE_LOOP('',(#325427,#325428,#325429,#325430)); #62840=EDGE_LOOP('',(#325431,#325432,#325433,#325434)); #62841=EDGE_LOOP('',(#325435,#325436,#325437,#325438)); #62842=EDGE_LOOP('',(#325439,#325440,#325441,#325442)); #62843=EDGE_LOOP('',(#325443,#325444,#325445,#325446)); #62844=EDGE_LOOP('',(#325447,#325448,#325449,#325450)); #62845=EDGE_LOOP('',(#325451,#325452,#325453,#325454)); #62846=EDGE_LOOP('',(#325455,#325456,#325457,#325458)); #62847=EDGE_LOOP('',(#325459,#325460,#325461,#325462)); #62848=EDGE_LOOP('',(#325463,#325464,#325465,#325466)); #62849=EDGE_LOOP('',(#325467,#325468,#325469,#325470)); #62850=EDGE_LOOP('',(#325471,#325472,#325473,#325474)); #62851=EDGE_LOOP('',(#325475,#325476,#325477,#325478,#325479,#325480,#325481, #325482,#325483,#325484,#325485,#325486)); #62852=EDGE_LOOP('',(#325487,#325488,#325489,#325490,#325491,#325492,#325493, #325494,#325495,#325496,#325497,#325498)); #62853=EDGE_LOOP('',(#325499,#325500,#325501,#325502)); #62854=EDGE_LOOP('',(#325503,#325504,#325505,#325506)); #62855=EDGE_LOOP('',(#325507,#325508,#325509,#325510)); #62856=EDGE_LOOP('',(#325511,#325512,#325513,#325514)); #62857=EDGE_LOOP('',(#325515,#325516,#325517,#325518)); #62858=EDGE_LOOP('',(#325519,#325520,#325521,#325522)); #62859=EDGE_LOOP('',(#325523,#325524,#325525,#325526)); #62860=EDGE_LOOP('',(#325527,#325528,#325529,#325530)); #62861=EDGE_LOOP('',(#325531,#325532,#325533,#325534)); #62862=EDGE_LOOP('',(#325535,#325536,#325537,#325538)); #62863=EDGE_LOOP('',(#325539,#325540,#325541,#325542)); #62864=EDGE_LOOP('',(#325543,#325544,#325545,#325546)); #62865=EDGE_LOOP('',(#325547,#325548,#325549,#325550,#325551,#325552,#325553, #325554,#325555,#325556,#325557,#325558)); #62866=EDGE_LOOP('',(#325559,#325560,#325561,#325562,#325563,#325564,#325565, #325566,#325567,#325568,#325569,#325570)); #62867=EDGE_LOOP('',(#325571,#325572,#325573,#325574)); #62868=EDGE_LOOP('',(#325575,#325576,#325577,#325578)); #62869=EDGE_LOOP('',(#325579,#325580,#325581,#325582)); #62870=EDGE_LOOP('',(#325583,#325584,#325585,#325586)); #62871=EDGE_LOOP('',(#325587,#325588,#325589,#325590)); #62872=EDGE_LOOP('',(#325591,#325592,#325593,#325594)); #62873=EDGE_LOOP('',(#325595,#325596,#325597,#325598)); #62874=EDGE_LOOP('',(#325599,#325600,#325601,#325602)); #62875=EDGE_LOOP('',(#325603,#325604,#325605,#325606)); #62876=EDGE_LOOP('',(#325607,#325608,#325609,#325610)); #62877=EDGE_LOOP('',(#325611,#325612,#325613,#325614)); #62878=EDGE_LOOP('',(#325615,#325616,#325617,#325618)); #62879=EDGE_LOOP('',(#325619,#325620,#325621,#325622,#325623,#325624,#325625, #325626,#325627,#325628,#325629,#325630)); #62880=EDGE_LOOP('',(#325631,#325632,#325633)); #62881=EDGE_LOOP('',(#325634,#325635,#325636)); #62882=EDGE_LOOP('',(#325637,#325638,#325639)); #62883=EDGE_LOOP('',(#325640,#325641,#325642)); #62884=EDGE_LOOP('',(#325643,#325644,#325645)); #62885=EDGE_LOOP('',(#325646,#325647,#325648,#325649)); #62886=EDGE_LOOP('',(#325650,#325651,#325652)); #62887=EDGE_LOOP('',(#325653,#325654,#325655)); #62888=EDGE_LOOP('',(#325656,#325657,#325658,#325659)); #62889=EDGE_LOOP('',(#325660,#325661,#325662,#325663)); #62890=EDGE_LOOP('',(#325664,#325665,#325666)); #62891=EDGE_LOOP('',(#325667,#325668,#325669,#325670)); #62892=EDGE_LOOP('',(#325671,#325672,#325673,#325674)); #62893=EDGE_LOOP('',(#325675,#325676,#325677,#325678)); #62894=EDGE_LOOP('',(#325679,#325680,#325681,#325682)); #62895=EDGE_LOOP('',(#325683,#325684,#325685,#325686)); #62896=EDGE_LOOP('',(#325687,#325688,#325689,#325690)); #62897=EDGE_LOOP('',(#325691,#325692,#325693,#325694)); #62898=EDGE_LOOP('',(#325695,#325696,#325697,#325698)); #62899=EDGE_LOOP('',(#325699,#325700,#325701,#325702)); #62900=EDGE_LOOP('',(#325703,#325704,#325705,#325706)); #62901=EDGE_LOOP('',(#325707,#325708,#325709,#325710)); #62902=EDGE_LOOP('',(#325711,#325712,#325713,#325714)); #62903=EDGE_LOOP('',(#325715,#325716,#325717,#325718)); #62904=EDGE_LOOP('',(#325719,#325720,#325721,#325722)); #62905=EDGE_LOOP('',(#325723,#325724,#325725,#325726)); #62906=EDGE_LOOP('',(#325727,#325728,#325729)); #62907=EDGE_LOOP('',(#325730,#325731,#325732)); #62908=EDGE_LOOP('',(#325733,#325734,#325735)); #62909=EDGE_LOOP('',(#325736,#325737,#325738)); #62910=EDGE_LOOP('',(#325739,#325740,#325741)); #62911=EDGE_LOOP('',(#325742,#325743,#325744,#325745)); #62912=EDGE_LOOP('',(#325746,#325747,#325748)); #62913=EDGE_LOOP('',(#325749,#325750,#325751)); #62914=EDGE_LOOP('',(#325752,#325753,#325754,#325755)); #62915=EDGE_LOOP('',(#325756,#325757,#325758,#325759)); #62916=EDGE_LOOP('',(#325760,#325761,#325762)); #62917=EDGE_LOOP('',(#325763,#325764,#325765,#325766)); #62918=EDGE_LOOP('',(#325767,#325768,#325769,#325770)); #62919=EDGE_LOOP('',(#325771,#325772,#325773,#325774)); #62920=EDGE_LOOP('',(#325775,#325776,#325777,#325778)); #62921=EDGE_LOOP('',(#325779,#325780,#325781,#325782)); #62922=EDGE_LOOP('',(#325783,#325784,#325785,#325786)); #62923=EDGE_LOOP('',(#325787,#325788,#325789,#325790)); #62924=EDGE_LOOP('',(#325791,#325792,#325793,#325794)); #62925=EDGE_LOOP('',(#325795,#325796,#325797,#325798)); #62926=EDGE_LOOP('',(#325799,#325800,#325801,#325802)); #62927=EDGE_LOOP('',(#325803,#325804,#325805,#325806)); #62928=EDGE_LOOP('',(#325807,#325808,#325809,#325810)); #62929=EDGE_LOOP('',(#325811,#325812,#325813,#325814)); #62930=EDGE_LOOP('',(#325815,#325816,#325817,#325818)); #62931=EDGE_LOOP('',(#325819,#325820,#325821,#325822)); #62932=EDGE_LOOP('',(#325823,#325824,#325825,#325826)); #62933=EDGE_LOOP('',(#325827,#325828,#325829,#325830)); #62934=EDGE_LOOP('',(#325831,#325832,#325833,#325834)); #62935=EDGE_LOOP('',(#325835,#325836,#325837,#325838)); #62936=EDGE_LOOP('',(#325839,#325840,#325841,#325842)); #62937=EDGE_LOOP('',(#325843,#325844,#325845,#325846)); #62938=EDGE_LOOP('',(#325847,#325848,#325849,#325850)); #62939=EDGE_LOOP('',(#325851,#325852,#325853,#325854)); #62940=EDGE_LOOP('',(#325855,#325856,#325857,#325858)); #62941=EDGE_LOOP('',(#325859,#325860,#325861,#325862)); #62942=EDGE_LOOP('',(#325863,#325864,#325865,#325866)); #62943=EDGE_LOOP('',(#325867,#325868,#325869,#325870)); #62944=EDGE_LOOP('',(#325871,#325872,#325873,#325874)); #62945=EDGE_LOOP('',(#325875,#325876,#325877,#325878)); #62946=EDGE_LOOP('',(#325879,#325880,#325881,#325882)); #62947=EDGE_LOOP('',(#325883,#325884,#325885,#325886)); #62948=EDGE_LOOP('',(#325887,#325888,#325889,#325890)); #62949=EDGE_LOOP('',(#325891,#325892,#325893,#325894)); #62950=EDGE_LOOP('',(#325895,#325896,#325897,#325898)); #62951=EDGE_LOOP('',(#325899,#325900,#325901,#325902)); #62952=EDGE_LOOP('',(#325903,#325904,#325905,#325906,#325907,#325908,#325909, #325910,#325911,#325912,#325913,#325914)); #62953=EDGE_LOOP('',(#325915)); #62954=EDGE_LOOP('',(#325916)); #62955=EDGE_LOOP('',(#325917,#325918,#325919,#325920,#325921,#325922,#325923, #325924,#325925,#325926,#325927,#325928)); #62956=EDGE_LOOP('',(#325929)); #62957=EDGE_LOOP('',(#325930)); #62958=EDGE_LOOP('',(#325931,#325932,#325933,#325934)); #62959=EDGE_LOOP('',(#325935,#325936,#325937,#325938)); #62960=EDGE_LOOP('',(#325939,#325940,#325941,#325942)); #62961=EDGE_LOOP('',(#325943,#325944,#325945,#325946)); #62962=EDGE_LOOP('',(#325947,#325948,#325949,#325950)); #62963=EDGE_LOOP('',(#325951,#325952,#325953,#325954)); #62964=EDGE_LOOP('',(#325955,#325956,#325957,#325958)); #62965=EDGE_LOOP('',(#325959,#325960,#325961,#325962)); #62966=EDGE_LOOP('',(#325963,#325964,#325965,#325966)); #62967=EDGE_LOOP('',(#325967,#325968,#325969,#325970)); #62968=EDGE_LOOP('',(#325971,#325972,#325973,#325974)); #62969=EDGE_LOOP('',(#325975,#325976,#325977,#325978)); #62970=EDGE_LOOP('',(#325979,#325980,#325981,#325982)); #62971=EDGE_LOOP('',(#325983,#325984,#325985,#325986)); #62972=EDGE_LOOP('',(#325987,#325988,#325989,#325990,#325991,#325992,#325993, #325994,#325995,#325996,#325997,#325998)); #62973=EDGE_LOOP('',(#325999)); #62974=EDGE_LOOP('',(#326000)); #62975=EDGE_LOOP('',(#326001,#326002,#326003,#326004,#326005,#326006,#326007, #326008,#326009,#326010,#326011,#326012)); #62976=EDGE_LOOP('',(#326013)); #62977=EDGE_LOOP('',(#326014)); #62978=EDGE_LOOP('',(#326015,#326016,#326017,#326018)); #62979=EDGE_LOOP('',(#326019,#326020,#326021,#326022)); #62980=EDGE_LOOP('',(#326023,#326024,#326025,#326026)); #62981=EDGE_LOOP('',(#326027,#326028,#326029,#326030)); #62982=EDGE_LOOP('',(#326031,#326032,#326033,#326034)); #62983=EDGE_LOOP('',(#326035,#326036,#326037,#326038)); #62984=EDGE_LOOP('',(#326039,#326040,#326041,#326042)); #62985=EDGE_LOOP('',(#326043,#326044,#326045,#326046)); #62986=EDGE_LOOP('',(#326047,#326048,#326049,#326050)); #62987=EDGE_LOOP('',(#326051,#326052,#326053,#326054)); #62988=EDGE_LOOP('',(#326055,#326056,#326057,#326058)); #62989=EDGE_LOOP('',(#326059,#326060,#326061,#326062)); #62990=EDGE_LOOP('',(#326063,#326064,#326065,#326066)); #62991=EDGE_LOOP('',(#326067,#326068,#326069,#326070)); #62992=EDGE_LOOP('',(#326071,#326072,#326073,#326074,#326075,#326076,#326077, #326078,#326079,#326080,#326081,#326082)); #62993=EDGE_LOOP('',(#326083)); #62994=EDGE_LOOP('',(#326084)); #62995=EDGE_LOOP('',(#326085,#326086,#326087,#326088,#326089,#326090,#326091, #326092,#326093,#326094,#326095,#326096)); #62996=EDGE_LOOP('',(#326097)); #62997=EDGE_LOOP('',(#326098)); #62998=EDGE_LOOP('',(#326099,#326100,#326101,#326102)); #62999=EDGE_LOOP('',(#326103,#326104,#326105,#326106)); #63000=EDGE_LOOP('',(#326107,#326108,#326109,#326110)); #63001=EDGE_LOOP('',(#326111,#326112,#326113,#326114)); #63002=EDGE_LOOP('',(#326115,#326116,#326117,#326118)); #63003=EDGE_LOOP('',(#326119,#326120,#326121,#326122)); #63004=EDGE_LOOP('',(#326123,#326124,#326125,#326126)); #63005=EDGE_LOOP('',(#326127,#326128,#326129,#326130)); #63006=EDGE_LOOP('',(#326131)); #63007=EDGE_LOOP('',(#326132,#326133,#326134,#326135,#326136)); #63008=EDGE_LOOP('',(#326137,#326138,#326139,#326140)); #63009=EDGE_LOOP('',(#326141,#326142,#326143,#326144)); #63010=EDGE_LOOP('',(#326145,#326146,#326147,#326148)); #63011=EDGE_LOOP('',(#326149,#326150,#326151,#326152)); #63012=EDGE_LOOP('',(#326153,#326154,#326155,#326156)); #63013=EDGE_LOOP('',(#326157)); #63014=EDGE_LOOP('',(#326158)); #63015=EDGE_LOOP('',(#326159,#326160,#326161,#326162)); #63016=EDGE_LOOP('',(#326163,#326164,#326165,#326166)); #63017=EDGE_LOOP('',(#326167,#326168,#326169,#326170)); #63018=EDGE_LOOP('',(#326171,#326172,#326173,#326174)); #63019=EDGE_LOOP('',(#326175,#326176,#326177,#326178)); #63020=EDGE_LOOP('',(#326179,#326180,#326181,#326182)); #63021=EDGE_LOOP('',(#326183,#326184,#326185,#326186,#326187)); #63022=EDGE_LOOP('',(#326188,#326189,#326190,#326191)); #63023=EDGE_LOOP('',(#326192,#326193,#326194,#326195)); #63024=EDGE_LOOP('',(#326196,#326197,#326198,#326199)); #63025=EDGE_LOOP('',(#326200,#326201,#326202,#326203)); #63026=EDGE_LOOP('',(#326204,#326205,#326206,#326207)); #63027=EDGE_LOOP('',(#326208,#326209,#326210,#326211)); #63028=EDGE_LOOP('',(#326212,#326213,#326214,#326215)); #63029=EDGE_LOOP('',(#326216,#326217,#326218,#326219)); #63030=EDGE_LOOP('',(#326220,#326221,#326222,#326223)); #63031=EDGE_LOOP('',(#326224,#326225,#326226,#326227)); #63032=EDGE_LOOP('',(#326228,#326229,#326230,#326231)); #63033=EDGE_LOOP('',(#326232,#326233,#326234,#326235)); #63034=EDGE_LOOP('',(#326236,#326237,#326238,#326239)); #63035=EDGE_LOOP('',(#326240,#326241,#326242,#326243)); #63036=EDGE_LOOP('',(#326244,#326245,#326246,#326247)); #63037=EDGE_LOOP('',(#326248,#326249,#326250,#326251)); #63038=EDGE_LOOP('',(#326252,#326253,#326254,#326255)); #63039=EDGE_LOOP('',(#326256)); #63040=EDGE_LOOP('',(#326257,#326258,#326259,#326260)); #63041=EDGE_LOOP('',(#326261,#326262,#326263,#326264,#326265,#326266)); #63042=EDGE_LOOP('',(#326267,#326268,#326269,#326270)); #63043=EDGE_LOOP('',(#326271,#326272,#326273,#326274,#326275,#326276)); #63044=EDGE_LOOP('',(#326277,#326278,#326279,#326280,#326281,#326282)); #63045=EDGE_LOOP('',(#326283,#326284,#326285,#326286)); #63046=EDGE_LOOP('',(#326287,#326288,#326289,#326290)); #63047=EDGE_LOOP('',(#326291,#326292,#326293,#326294)); #63048=EDGE_LOOP('',(#326295,#326296,#326297,#326298,#326299,#326300)); #63049=EDGE_LOOP('',(#326301,#326302,#326303)); #63050=EDGE_LOOP('',(#326304,#326305,#326306,#326307,#326308,#326309)); #63051=EDGE_LOOP('',(#326310,#326311,#326312)); #63052=EDGE_LOOP('',(#326313,#326314,#326315)); #63053=EDGE_LOOP('',(#326316,#326317,#326318)); #63054=EDGE_LOOP('',(#326319,#326320,#326321)); #63055=EDGE_LOOP('',(#326322,#326323,#326324)); #63056=EDGE_LOOP('',(#326325,#326326,#326327,#326328)); #63057=EDGE_LOOP('',(#326329,#326330,#326331,#326332,#326333,#326334,#326335, #326336,#326337,#326338,#326339,#326340)); #63058=EDGE_LOOP('',(#326341,#326342,#326343,#326344)); #63059=EDGE_LOOP('',(#326345,#326346,#326347,#326348)); #63060=EDGE_LOOP('',(#326349)); #63061=EDGE_LOOP('',(#326350,#326351,#326352,#326353)); #63062=EDGE_LOOP('',(#326354,#326355,#326356,#326357)); #63063=EDGE_LOOP('',(#326358,#326359,#326360,#326361)); #63064=EDGE_LOOP('',(#326362,#326363,#326364,#326365,#326366,#326367,#326368, #326369,#326370,#326371,#326372,#326373,#326374,#326375)); #63065=EDGE_LOOP('',(#326376,#326377,#326378,#326379)); #63066=EDGE_LOOP('',(#326380,#326381,#326382,#326383)); #63067=EDGE_LOOP('',(#326384,#326385,#326386,#326387,#326388,#326389,#326390, #326391,#326392,#326393,#326394,#326395,#326396,#326397)); #63068=EDGE_LOOP('',(#326398,#326399,#326400,#326401)); #63069=EDGE_LOOP('',(#326402,#326403,#326404,#326405)); #63070=EDGE_LOOP('',(#326406,#326407,#326408,#326409,#326410,#326411,#326412, #326413,#326414,#326415,#326416,#326417,#326418,#326419,#326420,#326421, #326422,#326423,#326424,#326425,#326426,#326427,#326428,#326429,#326430, #326431,#326432,#326433)); #63071=EDGE_LOOP('',(#326434,#326435,#326436,#326437,#326438,#326439)); #63072=EDGE_LOOP('',(#326440,#326441,#326442,#326443)); #63073=EDGE_LOOP('',(#326444,#326445,#326446,#326447,#326448)); #63074=EDGE_LOOP('',(#326449,#326450,#326451,#326452)); #63075=EDGE_LOOP('',(#326453,#326454,#326455,#326456,#326457)); #63076=EDGE_LOOP('',(#326458,#326459,#326460,#326461)); #63077=EDGE_LOOP('',(#326462)); #63078=EDGE_LOOP('',(#326463)); #63079=EDGE_LOOP('',(#326464,#326465,#326466,#326467)); #63080=EDGE_LOOP('',(#326468)); #63081=EDGE_LOOP('',(#326469)); #63082=EDGE_LOOP('',(#326470,#326471,#326472,#326473)); #63083=EDGE_LOOP('',(#326474,#326475,#326476,#326477,#326478,#326479)); #63084=EDGE_LOOP('',(#326480,#326481,#326482,#326483,#326484,#326485,#326486, #326487)); #63085=EDGE_LOOP('',(#326488,#326489)); #63086=EDGE_LOOP('',(#326490,#326491)); #63087=EDGE_LOOP('',(#326492,#326493,#326494,#326495)); #63088=EDGE_LOOP('',(#326496,#326497,#326498,#326499,#326500,#326501)); #63089=EDGE_LOOP('',(#326502,#326503,#326504,#326505)); #63090=EDGE_LOOP('',(#326506,#326507,#326508,#326509,#326510,#326511)); #63091=EDGE_LOOP('',(#326512,#326513)); #63092=EDGE_LOOP('',(#326514,#326515)); #63093=EDGE_LOOP('',(#326516,#326517,#326518,#326519,#326520,#326521,#326522)); #63094=EDGE_LOOP('',(#326523,#326524,#326525,#326526,#326527,#326528)); #63095=EDGE_LOOP('',(#326529,#326530,#326531,#326532)); #63096=EDGE_LOOP('',(#326533,#326534,#326535,#326536)); #63097=EDGE_LOOP('',(#326537,#326538,#326539,#326540,#326541,#326542,#326543, #326544)); #63098=EDGE_LOOP('',(#326545,#326546,#326547,#326548)); #63099=EDGE_LOOP('',(#326549,#326550,#326551,#326552)); #63100=EDGE_LOOP('',(#326553,#326554,#326555,#326556)); #63101=EDGE_LOOP('',(#326557,#326558,#326559,#326560)); #63102=EDGE_LOOP('',(#326561,#326562,#326563,#326564)); #63103=EDGE_LOOP('',(#326565,#326566,#326567,#326568)); #63104=EDGE_LOOP('',(#326569,#326570,#326571,#326572)); #63105=EDGE_LOOP('',(#326573,#326574,#326575,#326576)); #63106=EDGE_LOOP('',(#326577,#326578,#326579,#326580)); #63107=EDGE_LOOP('',(#326581,#326582,#326583,#326584)); #63108=EDGE_LOOP('',(#326585,#326586,#326587,#326588)); #63109=EDGE_LOOP('',(#326589,#326590,#326591,#326592)); #63110=EDGE_LOOP('',(#326593)); #63111=EDGE_LOOP('',(#326594,#326595)); #63112=EDGE_LOOP('',(#326596,#326597)); #63113=EDGE_LOOP('',(#326598)); #63114=EDGE_LOOP('',(#326599,#326600,#326601,#326602)); #63115=EDGE_LOOP('',(#326603,#326604,#326605,#326606)); #63116=EDGE_LOOP('',(#326607,#326608,#326609,#326610)); #63117=EDGE_LOOP('',(#326611,#326612,#326613,#326614)); #63118=EDGE_LOOP('',(#326615,#326616)); #63119=EDGE_LOOP('',(#326617,#326618,#326619,#326620)); #63120=EDGE_LOOP('',(#326621,#326622,#326623,#326624)); #63121=EDGE_LOOP('',(#326625)); #63122=EDGE_LOOP('',(#326626,#326627)); #63123=EDGE_LOOP('',(#326628,#326629)); #63124=EDGE_LOOP('',(#326630)); #63125=EDGE_LOOP('',(#326631,#326632,#326633,#326634)); #63126=EDGE_LOOP('',(#326635,#326636,#326637,#326638)); #63127=EDGE_LOOP('',(#326639,#326640,#326641,#326642)); #63128=EDGE_LOOP('',(#326643,#326644,#326645,#326646)); #63129=EDGE_LOOP('',(#326647,#326648,#326649,#326650)); #63130=EDGE_LOOP('',(#326651,#326652,#326653,#326654)); #63131=EDGE_LOOP('',(#326655,#326656,#326657,#326658)); #63132=EDGE_LOOP('',(#326659,#326660,#326661,#326662)); #63133=EDGE_LOOP('',(#326663,#326664,#326665,#326666)); #63134=EDGE_LOOP('',(#326667,#326668,#326669,#326670)); #63135=EDGE_LOOP('',(#326671,#326672,#326673,#326674)); #63136=EDGE_LOOP('',(#326675,#326676,#326677,#326678)); #63137=EDGE_LOOP('',(#326679,#326680,#326681,#326682)); #63138=EDGE_LOOP('',(#326683,#326684,#326685,#326686)); #63139=EDGE_LOOP('',(#326687,#326688,#326689,#326690)); #63140=EDGE_LOOP('',(#326691)); #63141=EDGE_LOOP('',(#326692)); #63142=EDGE_LOOP('',(#326693)); #63143=EDGE_LOOP('',(#326694)); #63144=EDGE_LOOP('',(#326695,#326696,#326697,#326698)); #63145=EDGE_LOOP('',(#326699,#326700,#326701,#326702)); #63146=EDGE_LOOP('',(#326703,#326704,#326705,#326706)); #63147=EDGE_LOOP('',(#326707,#326708,#326709,#326710)); #63148=EDGE_LOOP('',(#326711,#326712,#326713,#326714)); #63149=EDGE_LOOP('',(#326715,#326716,#326717,#326718)); #63150=EDGE_LOOP('',(#326719,#326720,#326721,#326722)); #63151=EDGE_LOOP('',(#326723,#326724,#326725,#326726)); #63152=EDGE_LOOP('',(#326727,#326728,#326729,#326730)); #63153=EDGE_LOOP('',(#326731,#326732,#326733,#326734)); #63154=EDGE_LOOP('',(#326735,#326736,#326737,#326738)); #63155=EDGE_LOOP('',(#326739,#326740,#326741,#326742,#326743,#326744)); #63156=EDGE_LOOP('',(#326745,#326746,#326747,#326748)); #63157=EDGE_LOOP('',(#326749,#326750,#326751,#326752)); #63158=EDGE_LOOP('',(#326753,#326754,#326755,#326756)); #63159=EDGE_LOOP('',(#326757,#326758,#326759,#326760)); #63160=EDGE_LOOP('',(#326761,#326762,#326763)); #63161=EDGE_LOOP('',(#326764,#326765,#326766,#326767,#326768)); #63162=EDGE_LOOP('',(#326769,#326770,#326771)); #63163=EDGE_LOOP('',(#326772,#326773,#326774)); #63164=EDGE_LOOP('',(#326775,#326776,#326777,#326778,#326779)); #63165=EDGE_LOOP('',(#326780,#326781,#326782)); #63166=EDGE_LOOP('',(#326783,#326784,#326785)); #63167=EDGE_LOOP('',(#326786,#326787,#326788)); #63168=EDGE_LOOP('',(#326789,#326790,#326791,#326792,#326793)); #63169=EDGE_LOOP('',(#326794,#326795,#326796)); #63170=EDGE_LOOP('',(#326797,#326798,#326799,#326800,#326801)); #63171=EDGE_LOOP('',(#326802,#326803,#326804)); #63172=EDGE_LOOP('',(#326805,#326806,#326807,#326808)); #63173=EDGE_LOOP('',(#326809,#326810,#326811,#326812)); #63174=EDGE_LOOP('',(#326813,#326814,#326815,#326816,#326817,#326818,#326819, #326820,#326821)); #63175=EDGE_LOOP('',(#326822,#326823,#326824,#326825)); #63176=EDGE_LOOP('',(#326826,#326827,#326828,#326829,#326830,#326831,#326832, #326833,#326834)); #63177=EDGE_LOOP('',(#326835,#326836,#326837,#326838)); #63178=EDGE_LOOP('',(#326839,#326840,#326841,#326842)); #63179=EDGE_LOOP('',(#326843,#326844,#326845,#326846)); #63180=EDGE_LOOP('',(#326847,#326848,#326849,#326850)); #63181=EDGE_LOOP('',(#326851,#326852,#326853,#326854,#326855,#326856,#326857, #326858,#326859)); #63182=EDGE_LOOP('',(#326860,#326861,#326862,#326863)); #63183=EDGE_LOOP('',(#326864,#326865,#326866,#326867,#326868,#326869,#326870, #326871,#326872)); #63184=EDGE_LOOP('',(#326873,#326874,#326875,#326876)); #63185=EDGE_LOOP('',(#326877,#326878,#326879,#326880)); #63186=EDGE_LOOP('',(#326881,#326882,#326883,#326884)); #63187=EDGE_LOOP('',(#326885,#326886,#326887,#326888,#326889,#326890)); #63188=EDGE_LOOP('',(#326891,#326892,#326893,#326894)); #63189=EDGE_LOOP('',(#326895,#326896,#326897,#326898,#326899,#326900)); #63190=EDGE_LOOP('',(#326901,#326902,#326903,#326904,#326905,#326906)); #63191=EDGE_LOOP('',(#326907,#326908,#326909,#326910,#326911,#326912)); #63192=EDGE_LOOP('',(#326913,#326914,#326915,#326916)); #63193=EDGE_LOOP('',(#326917,#326918,#326919,#326920)); #63194=EDGE_LOOP('',(#326921,#326922,#326923,#326924)); #63195=EDGE_LOOP('',(#326925,#326926,#326927,#326928,#326929,#326930)); #63196=EDGE_LOOP('',(#326931,#326932,#326933,#326934)); #63197=EDGE_LOOP('',(#326935,#326936,#326937,#326938)); #63198=EDGE_LOOP('',(#326939,#326940,#326941,#326942)); #63199=EDGE_LOOP('',(#326943,#326944,#326945,#326946,#326947,#326948,#326949)); #63200=EDGE_LOOP('',(#326950,#326951,#326952,#326953,#326954,#326955,#326956)); #63201=EDGE_LOOP('',(#326957,#326958,#326959,#326960,#326961,#326962,#326963)); #63202=EDGE_LOOP('',(#326964,#326965,#326966,#326967)); #63203=EDGE_LOOP('',(#326968,#326969,#326970,#326971,#326972,#326973,#326974, #326975,#326976,#326977,#326978,#326979)); #63204=EDGE_LOOP('',(#326980,#326981,#326982,#326983,#326984,#326985,#326986, #326987)); #63205=EDGE_LOOP('',(#326988,#326989,#326990,#326991,#326992,#326993,#326994, #326995)); #63206=EDGE_LOOP('',(#326996,#326997,#326998,#326999)); #63207=EDGE_LOOP('',(#327000,#327001,#327002,#327003,#327004,#327005,#327006, #327007)); #63208=EDGE_LOOP('',(#327008,#327009,#327010,#327011,#327012,#327013,#327014, #327015)); #63209=EDGE_LOOP('',(#327016,#327017,#327018,#327019)); #63210=EDGE_LOOP('',(#327020)); #63211=EDGE_LOOP('',(#327021)); #63212=EDGE_LOOP('',(#327022,#327023,#327024,#327025)); #63213=EDGE_LOOP('',(#327026,#327027,#327028,#327029,#327030,#327031,#327032, #327033,#327034,#327035,#327036,#327037)); #63214=EDGE_LOOP('',(#327038,#327039,#327040,#327041)); #63215=EDGE_LOOP('',(#327042)); #63216=EDGE_LOOP('',(#327043,#327044,#327045,#327046,#327047,#327048,#327049, #327050,#327051,#327052,#327053,#327054,#327055,#327056,#327057,#327058, #327059,#327060,#327061,#327062,#327063,#327064)); #63217=EDGE_LOOP('',(#327065,#327066,#327067,#327068)); #63218=EDGE_LOOP('',(#327069,#327070,#327071,#327072)); #63219=EDGE_LOOP('',(#327073,#327074,#327075,#327076)); #63220=EDGE_LOOP('',(#327077,#327078,#327079,#327080)); #63221=EDGE_LOOP('',(#327081,#327082,#327083,#327084)); #63222=EDGE_LOOP('',(#327085,#327086,#327087,#327088,#327089)); #63223=EDGE_LOOP('',(#327090,#327091,#327092,#327093)); #63224=EDGE_LOOP('',(#327094,#327095,#327096,#327097)); #63225=EDGE_LOOP('',(#327098,#327099,#327100,#327101,#327102)); #63226=EDGE_LOOP('',(#327103,#327104,#327105,#327106)); #63227=EDGE_LOOP('',(#327107,#327108,#327109,#327110,#327111)); #63228=EDGE_LOOP('',(#327112)); #63229=EDGE_LOOP('',(#327113,#327114,#327115,#327116)); #63230=EDGE_LOOP('',(#327117,#327118,#327119,#327120)); #63231=EDGE_LOOP('',(#327121,#327122,#327123,#327124)); #63232=EDGE_LOOP('',(#327125,#327126,#327127,#327128)); #63233=EDGE_LOOP('',(#327129,#327130,#327131,#327132)); #63234=EDGE_LOOP('',(#327133,#327134,#327135,#327136)); #63235=EDGE_LOOP('',(#327137,#327138,#327139,#327140)); #63236=EDGE_LOOP('',(#327141,#327142,#327143,#327144)); #63237=EDGE_LOOP('',(#327145,#327146,#327147,#327148)); #63238=EDGE_LOOP('',(#327149,#327150,#327151,#327152)); #63239=EDGE_LOOP('',(#327153,#327154,#327155,#327156)); #63240=EDGE_LOOP('',(#327157,#327158,#327159,#327160)); #63241=EDGE_LOOP('',(#327161,#327162,#327163,#327164)); #63242=EDGE_LOOP('',(#327165,#327166,#327167,#327168)); #63243=EDGE_LOOP('',(#327169,#327170,#327171,#327172)); #63244=EDGE_LOOP('',(#327173,#327174,#327175,#327176)); #63245=EDGE_LOOP('',(#327177,#327178,#327179,#327180)); #63246=EDGE_LOOP('',(#327181,#327182,#327183,#327184)); #63247=EDGE_LOOP('',(#327185,#327186,#327187,#327188)); #63248=EDGE_LOOP('',(#327189,#327190,#327191,#327192)); #63249=EDGE_LOOP('',(#327193,#327194,#327195,#327196)); #63250=EDGE_LOOP('',(#327197)); #63251=EDGE_LOOP('',(#327198,#327199,#327200,#327201)); #63252=EDGE_LOOP('',(#327202,#327203,#327204,#327205)); #63253=EDGE_LOOP('',(#327206,#327207,#327208,#327209)); #63254=EDGE_LOOP('',(#327210,#327211,#327212,#327213)); #63255=EDGE_LOOP('',(#327214)); #63256=EDGE_LOOP('',(#327215,#327216,#327217,#327218)); #63257=EDGE_LOOP('',(#327219,#327220,#327221,#327222)); #63258=EDGE_LOOP('',(#327223,#327224,#327225,#327226,#327227)); #63259=EDGE_LOOP('',(#327228,#327229,#327230,#327231)); #63260=EDGE_LOOP('',(#327232,#327233,#327234,#327235)); #63261=EDGE_LOOP('',(#327236,#327237,#327238,#327239)); #63262=EDGE_LOOP('',(#327240,#327241,#327242,#327243)); #63263=EDGE_LOOP('',(#327244,#327245,#327246,#327247)); #63264=EDGE_LOOP('',(#327248,#327249,#327250,#327251)); #63265=EDGE_LOOP('',(#327252,#327253,#327254,#327255,#327256,#327257,#327258, #327259)); #63266=EDGE_LOOP('',(#327260,#327261,#327262,#327263,#327264,#327265,#327266, #327267)); #63267=EDGE_LOOP('',(#327268,#327269,#327270,#327271)); #63268=EDGE_LOOP('',(#327272,#327273,#327274,#327275)); #63269=EDGE_LOOP('',(#327276,#327277,#327278,#327279)); #63270=EDGE_LOOP('',(#327280,#327281,#327282,#327283)); #63271=EDGE_LOOP('',(#327284,#327285,#327286,#327287,#327288)); #63272=EDGE_LOOP('',(#327289,#327290,#327291,#327292)); #63273=EDGE_LOOP('',(#327293,#327294,#327295,#327296)); #63274=EDGE_LOOP('',(#327297,#327298,#327299,#327300)); #63275=EDGE_LOOP('',(#327301,#327302,#327303,#327304)); #63276=EDGE_LOOP('',(#327305,#327306,#327307,#327308)); #63277=EDGE_LOOP('',(#327309)); #63278=EDGE_LOOP('',(#327310)); #63279=EDGE_LOOP('',(#327311,#327312,#327313,#327314,#327315,#327316,#327317, #327318,#327319,#327320,#327321,#327322)); #63280=EDGE_LOOP('',(#327323)); #63281=EDGE_LOOP('',(#327324)); #63282=EDGE_LOOP('',(#327325,#327326,#327327,#327328,#327329,#327330,#327331, #327332,#327333,#327334,#327335,#327336)); #63283=EDGE_LOOP('',(#327337,#327338,#327339,#327340)); #63284=EDGE_LOOP('',(#327341)); #63285=EDGE_LOOP('',(#327342)); #63286=EDGE_LOOP('',(#327343,#327344,#327345,#327346)); #63287=EDGE_LOOP('',(#327347)); #63288=EDGE_LOOP('',(#327348)); #63289=EDGE_LOOP('',(#327349,#327350,#327351,#327352)); #63290=EDGE_LOOP('',(#327353)); #63291=EDGE_LOOP('',(#327354)); #63292=EDGE_LOOP('',(#327355,#327356,#327357,#327358)); #63293=EDGE_LOOP('',(#327359)); #63294=EDGE_LOOP('',(#327360)); #63295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471273,#471274,#471275,#471276, #471277,#471278,#471279,#471280,#471281,#471282,#471283,#471284,#471285), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.8883939000508,0.996414816193581),.UNSPECIFIED.); #63296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471286,#471287,#471288,#471289, #471290,#471291,#471292,#471293,#471294,#471295,#471296,#471297,#471298), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.00739445631479943,0.2385928858777, 0.4289446816986,0.6192964775196,1.),.UNSPECIFIED.); #63297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471963,#471964,#471965,#471966, #471967,#471968,#471969,#471970,#471971,#471972,#471973,#471974,#471975, #471976,#471977,#471978,#471979,#471980),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313858,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#471996,#471997,#471998,#471999, #472000,#472001,#472002,#472003,#472004,#472005,#472006,#472007,#472008, #472009,#472010,#472011,#472012,#472013),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313858,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472025,#472026,#472027,#472028, #472029,#472030,#472031,#472032,#472033,#472034,#472035,#472036,#472037, #472038,#472039,#472040,#472041,#472042),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313858,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472054,#472055,#472056,#472057, #472058,#472059,#472060,#472061,#472062,#472063,#472064,#472065,#472066, #472067,#472068,#472069,#472070,#472071),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.16610592313857,1.22018881349844,1.3353978859043,1.39300242210723, 1.45060695831016,1.50821149451308,1.56581603071601,1.68102510312187,1.73510799348174), .UNSPECIFIED.); #63301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472083,#472084,#472085,#472086, #472087,#472088,#472089,#472090,#472091,#472092,#472093,#472094,#472095, #472096,#472097,#472098,#472099,#472100,#472101,#472102,#472103,#472104, #472105,#472106,#472107,#472108,#472109,#472110,#472111,#472112,#472113, #472114,#472115,#472116,#472117,#472118,#472119,#472120,#472121,#472122, #472123,#472124,#472125,#472126,#472127,#472128,#472129,#472130,#472131, #472132,#472133,#472134,#472135,#472136,#472137,#472138,#472139,#472140, #472141,#472142,#472143,#472144,#472145,#472146,#472147,#472148,#472149, #472150,#472151,#472152,#472153,#472154,#472155,#472156,#472157,#472158, #472159,#472160,#472161,#472162,#472163,#472164,#472165,#472166,#472167), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(-1.18944662955957,-1.17841351589617,-1.14311654334776,-1.1089139013234, -1.06673457352487,-1.01100313620076,-0.941429505589362,-0.89191148262341, -0.742626481418685,-0.59909816572471,-0.498015729806498,-0.400755914752929, -0.336971928232757,-0.32940326887806,-0.295969738299828,-0.281569612835512, -0.26314854249349,-0.24530411748401,-0.226511790565133,-0.199542397569217, -0.182391539953,-0.17559964993533,-0.159964435956142,-0.140160684325114, -0.115664654211275,-0.112073873431395,-0.0715468166063319,-0.0674727593274729, -9.99999312245592E-7),.UNSPECIFIED.); #63302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472169,#472170,#472171,#472172, #472173,#472174,#472175,#472176,#472177,#472178,#472179,#472180,#472181, #472182,#472183,#472184,#472185,#472186,#472187,#472188,#472189,#472190, #472191,#472192,#472193,#472194,#472195,#472196,#472197,#472198,#472199, #472200,#472201,#472202),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-0.451248307541344,-0.425672506266852,-0.398403189755013,-0.392113052183536, -0.381746982153047,-0.358514858393088,-0.299216615746318,-0.286258751046863, -0.254333538222986,-0.214654097664336,-0.188188197505162,-0.162942724514042, -0.12512076574489,-0.0980893374146249,-0.0819531086874336,-0.0554439597257436, -0.000253749369975508),.UNSPECIFIED.); #63303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472206,#472207,#472208,#472209, #472210,#472211,#472212,#472213,#472214,#472215,#472216,#472217,#472218, #472219,#472220,#472221,#472222,#472223,#472224,#472225,#472226,#472227, #472228,#472229,#472230,#472231,#472232,#472233,#472234,#472235,#472236, #472237,#472238,#472239,#472240,#472241,#472242,#472243,#472244,#472245, #472246,#472247,#472248,#472249,#472250,#472251,#472252,#472253,#472254, #472255,#472256,#472257,#472258,#472259,#472260,#472261,#472262,#472263, #472264,#472265,#472266,#472267,#472268,#472269,#472270,#472271,#472272, #472273,#472274,#472275,#472276,#472277,#472278,#472279,#472280,#472281, #472282,#472283,#472284),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.221075164203797,-0.220562316688968,-0.218905809443481, -0.217248573567898,-0.214977708202449,-0.212432893777885,-0.209438777637221, -0.206266267723944,-0.20058381309068,-0.199799757903405,-0.19717598949395, -0.194166734322457,-0.19071051965295,-0.188530833916306,-0.186124744596418, -0.182752460507185,-0.182486388616933,-0.177769467049944,-0.172453151581324, -0.161585930480588,-0.159812525469982,-0.139989228667693,-0.115491706621046, -0.111918026789179,-0.0715411502900044,-0.0674702562434401,-1.18352453715039E-6), .UNSPECIFIED.); #63304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472286,#472287,#472288,#472289, #472290,#472291,#472292,#472293,#472294,#472295,#472296,#472297,#472298, #472299,#472300,#472301,#472302,#472303,#472304,#472305,#472306,#472307, #472308,#472309,#472310,#472311,#472312,#472313,#472314,#472315,#472316, #472317,#472318,#472319),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-1.5868090212797,-1.48869470740629,-1.38408582462135,-1.35995602417861, -1.32019106528243,-1.23107488408619,-1.00363259550525,-0.953927929132102, -0.831482296780817,-0.679291109442473,-0.577767099063912,-0.480920802232935, -0.389879926659457,-0.211846269742634,-0.106023400576167,-0.0528750334367128, -0.000296567600877237),.UNSPECIFIED.); #63305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472325,#472326,#472327,#472328, #472329,#472330,#472331,#472332,#472333,#472334,#472335,#472336,#472337, #472338,#472339,#472340,#472341,#472342,#472343,#472344,#472345,#472346, #472347,#472348,#472349,#472350,#472351,#472352,#472353,#472354,#472355, #472356),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(1.18409281441367E-6, 0.0614676490384389,0.0799890197126197,0.112067198532316,0.128877050841597, 0.140591982220718,0.164997743263099,0.180327803738233,0.185686374860599, 0.194374668440044,0.20521256104046,0.219012437371062,0.232818290331824, 0.236832812837258,0.24270416832094,0.248417275149783),.UNSPECIFIED.); #63306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472358,#472359,#472360,#472361, #472362,#472363,#472364,#472365,#472366,#472367,#472368,#472369,#472370, #472371,#472372,#472373,#472374,#472375,#472376,#472377,#472378,#472379, #472380,#472381,#472382,#472383,#472384,#472385,#472386,#472387,#472388, #472389),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.410422127125876, -0.403241698977407,-0.393785800004473,-0.368980160673481,-0.350372411939767, -0.322502599589313,-0.297049814479772,-0.274764466521991,-0.255685997284002, -0.226396468279828,-0.207091693366686,-0.173134531871521,-0.139120626042456, -0.114241769639687,-0.0649552508118602,-6.70960817554857E-5), .UNSPECIFIED.); #63307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472402,#472403,#472404,#472405, #472406,#472407,#472408,#472409,#472410,#472411,#472412,#472413,#472414, #472415,#472416,#472417,#472418,#472419,#472420,#472421,#472422,#472423, #472424,#472425,#472426,#472427,#472428,#472429,#472430,#472431), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.346413214564531, -0.334888948478774,-0.323329381461381,-0.301797533624131,-0.267839266289238, -0.234317296374493,-0.209338503527842,-0.189728099987808,-0.178332019551644, -0.130020662904962,-0.121575450747554,-0.108415097656644,-0.0807420225153107, -0.0620268225444749,-1.0143494420029E-6),.UNSPECIFIED.); #63308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472433,#472434,#472435,#472436, #472437,#472438,#472439,#472440,#472441,#472442,#472443,#472444,#472445, #472446,#472447,#472448,#472449,#472450,#472451,#472452,#472453,#472454, #472455,#472456,#472457,#472458,#472459,#472460,#472461,#472462,#472463, #472464,#472465,#472466),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-0.506240619267008,-0.464374368441285,-0.42747858216243,-0.420102326990279, -0.397113197503837,-0.372222751397521,-0.357253328367493,-0.316656180029655, -0.306755675023514,-0.288273835025377,-0.260415212943332,-0.241526503329196, -0.208152728018166,-0.17551242366,-0.139943473520301,-0.066707493055924, -6.70961548861672E-5),.UNSPECIFIED.); #63309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472472,#472473,#472474,#472475, #472476,#472477,#472478,#472479,#472480,#472481,#472482,#472483,#472484, #472485,#472486,#472487,#472488,#472489,#472490,#472491,#472492,#472493, #472494,#472495,#472496,#472497,#472498,#472499,#472500,#472501), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-0.280779753463009, -0.269468432645853,-0.257843798784787,-0.24989259899404,-0.222563607287264, -0.195596722655911,-0.175428519274046,-0.15931174622177,-0.149576558637057, -0.112341267230706,-0.106449428171988,-0.0972699774639335,-0.0777572794247966, -0.0620940996161031,-1.01051563303223E-6),.UNSPECIFIED.); #63310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472503,#472504,#472505,#472506, #472507,#472508,#472509,#472510,#472511,#472512,#472513,#472514,#472515, #472516,#472517,#472518,#472519,#472520,#472521,#472522,#472523,#472524, #472525,#472526,#472527,#472528,#472529,#472530,#472531,#472532,#472533, #472534,#472535,#472536),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(-0.304797539970965,-0.303402226522012,-0.30156543095902,-0.296894874956125, -0.285068505573348,-0.267324179576848,-0.251252880559059,-0.239355870703453, -0.219212824597999,-0.194658927507437,-0.178316027999593,-0.162719686211358, -0.126391659601431,-0.100943189178566,-0.084085196792898,-0.0546144785208238, -6.6835684533286E-5),.UNSPECIFIED.); #63311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472728,#472729,#472730,#472731, #472732,#472733,#472734,#472735,#472736,#472737,#472738,#472739,#472740, #472741,#472742,#472743,#472744,#472745,#472746,#472747,#472748,#472749), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(9.99999933074937E-7,0.108068953215542, 0.588313950358427,0.852693626031617,0.971196555874703,1.30642996421909, 1.447533107457,1.46297927102131),.UNSPECIFIED.); #63312=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472751,#472752,#472753,#472754, #472755,#472756,#472757,#472758,#472759,#472760,#472761,#472762,#472763, #472764,#472765,#472766,#472767,#472768,#472769,#472770,#472771,#472772, #472773,#472774,#472775,#472776,#472777,#472778,#472779,#472780,#472781, #472782,#472783,#472784,#472785,#472786,#472787,#472788,#472789,#472790, #472791,#472792,#472793,#472794,#472795,#472796,#472797,#472798,#472799, #472800,#472801,#472802,#472803,#472804,#472805),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.00844264430906409,0.16666666666665, 0.176249809987656,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894439268197,0.505015181230048,0.586247042158645,0.6666666666667, 0.667829947415161,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.8329897257416,1.),.UNSPECIFIED.); #63313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472807,#472808,#472809,#472810, #472811,#472812,#472813,#472814,#472815,#472816,#472817,#472818,#472819, #472820,#472821,#472822,#472823,#472824,#472825,#472826,#472827,#472828, #472829,#472830,#472831,#472832,#472833,#472834,#472835,#472836,#472837, #472838,#472839,#472840,#472841,#472842,#472843,#472844,#472845,#472846, #472847,#472848,#472849,#472850,#472851,#472852,#472853,#472854,#472855, #472856,#472857,#472858,#472859,#472860,#472861,#472862,#472863,#472864, #472865,#472866,#472867,#472868,#472869,#472870,#472871,#472872,#472873, #472874,#472875,#472876,#472877,#472878,#472879,#472880,#472881,#472882, #472883,#472884,#472885,#472886,#472887,#472888,#472889,#472890,#472891, #472892,#472893,#472894),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0302976793470956,0.0447155286559447, 0.0877730326024978,0.132816244627546,0.1666666666667,0.168538834941062, 0.207008543689237,0.244996966775273,0.300878137553425,0.31890114397189, 0.3333333333333,0.354703036502041,0.390069857039255,0.433308213478768,0.458420719656683, 0.5,0.522325576699341,0.564506572035548,0.583059989503744,0.641592732676406, 0.6666666666667,0.698202448938565,0.764935149603697,0.804682902806052,0.8333333333333, 0.893815550741066,0.904598897247754,0.965552885177721,1.),.UNSPECIFIED.); #63314=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472896,#472897,#472898,#472899, #472900,#472901,#472902,#472903,#472904,#472905,#472906,#472907,#472908, #472909,#472910,#472911),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004688,0.2500000507046,0.3333334009409,0.375000076059, 0.4166667511772,0.500000101413,0.5833334516493,0.6666668018855,0.7500001521214, 0.7916668272395,0.8333335023576,0.9166668525939,1.),.UNSPECIFIED.); #63315=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472913,#472914,#472915,#472916, #472917,#472918,#472919,#472920,#472921,#472922,#472923,#472924,#472925, #472926,#472927,#472928,#472929,#472930,#472931),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998695,0.335323954081917,0.4999999999085,0.718986545205752, 0.931803444142628,1.),.UNSPECIFIED.); #63316=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472933,#472934,#472935,#472936, #472937),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992615,1.), .UNSPECIFIED.); #63317=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472939,#472940,#472941,#472942), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472944,#472945,#472946,#472947, #472948,#472949,#472950,#472951),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999871851,0.3749999888904,0.4999999905958,0.7499999940066,1.), .UNSPECIFIED.); #63319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#472953,#472954,#472955,#472956, #472957,#472958,#472959,#472960,#472961,#472962,#472963,#472964,#472965, #472966,#472967,#472968,#472969,#472970,#472971,#472972,#472973,#472974, #472975,#472976,#472977,#472978,#472979,#472980,#472981,#472982,#472983, #472984,#472985,#472986,#472987,#472988,#472989,#472990,#472991,#472992, #472993,#472994,#472995,#472996,#472997,#472998,#472999,#473000,#473001, #473002,#473003,#473004,#473005,#473006,#473007,#473008,#473009,#473010, #473011,#473012,#473013,#473014,#473015,#473016,#473017,#473018,#473019, #473020,#473021,#473022,#473023,#473024,#473025,#473026,#473027,#473028, #473029,#473030,#473031,#473032,#473033,#473034,#473035,#473036,#473037, #473038,#473039,#473040,#473041,#473042,#473043,#473044,#473045,#473046, #473047,#473048,#473049),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01587185918249,0.0411347537493673, 0.04761792816644,0.0818072184175334,0.1111100661317,0.15190304634908,0.1746022040969, 0.215045475333801,0.2380943420622,0.285090827308796,0.3015864800301,0.354072509242849, 0.3650786179953,0.38267900975915,0.431757348270119,0.435366209857529,0.440015999379497, 0.4920628939258,0.566450680525052,0.619047169859,0.6825393078242,0.7460314457894, 0.794498570694057,0.8095235837573,0.861554632472397,0.8730157217226,0.9047617907065, 0.91123327123473,0.936002343772063,0.9365078596878,0.972851945408493,1.), .UNSPECIFIED.); #63320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473051,#473052,#473053,#473054), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63321=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473055,#473056,#473057,#473058, #473059,#473060,#473061,#473062,#473063,#473064,#473065,#473066,#473067), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.24163601805408E-13,0.2420513574636, 0.679136641740564,0.9283611446192,1.),.UNSPECIFIED.); #63322=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473265,#473266,#473267,#473268, #473269,#473270),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00460854220134213,-0.00230265767590878, -7.57023377537157E-6),.UNSPECIFIED.); #63323=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473272,#473273,#473274,#473275, #473276,#473277,#473278,#473279),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145730543109E-6, 0.0234727050824797,0.0569978876515576,0.139691293520671),.UNSPECIFIED.); #63324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473281,#473282,#473283,#473284), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63325=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473286,#473287,#473288,#473289, #473290,#473291,#473292,#473293,#473294,#473295,#473296,#473297,#473298, #473299,#473300,#473301,#473302,#473303,#473304,#473305,#473306,#473307, #473308,#473309,#473310,#473311,#473312,#473313,#473314,#473315,#473316, #473317,#473318,#473319,#473320,#473321,#473322,#473323,#473324,#473325, #473326,#473327,#473328,#473329,#473330,#473331,#473332,#473333,#473334, #473335,#473336,#473337,#473338,#473339,#473340,#473341,#473342,#473343, #473344,#473345,#473346,#473347,#473348,#473349,#473350,#473351,#473352, #473353,#473354,#473355,#473356,#473357,#473358),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385207665209, 0.17233821116028,0.180057206501615,0.25,0.28125,0.3359375,0.350797254773884, 0.376953125,0.4384765625,0.5,0.514460734968106,0.580095062260059,0.594176315446553, 0.625,0.6328125,0.673189450011409,0.725740189568191,0.75,0.75293932032123, 0.8125,0.834225236819591,0.8359375,0.913060752077787,1.),.UNSPECIFIED.); #63326=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473360,#473361,#473362,#473363, #473364,#473365),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000669,0.7500000000505, 1.),.UNSPECIFIED.); #63327=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473367,#473368,#473369,#473370, #473371,#473372,#473373,#473374,#473375,#473376,#473377,#473378,#473379, #473380,#473381,#473382,#473383,#473384,#473385,#473386,#473387,#473388, #473389,#473390,#473391,#473392,#473393,#473394,#473395,#473396,#473397, #473398,#473399,#473400,#473401,#473402,#473403,#473404,#473405,#473406, #473407,#473408,#473409,#473410,#473411,#473412,#473413,#473414,#473415, #473416,#473417,#473418,#473419,#473420,#473421,#473422,#473423,#473424, #473425,#473426,#473427,#473428,#473429,#473430,#473431,#473432,#473433, #473434,#473435,#473436,#473437,#473438,#473439),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574549884, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040221629, 0.269351314391126,0.308907366667176,0.3333333333333,0.437207500849847,0.5, 0.520833333333337,0.539062500000008,0.570309548575403,0.655314522037234, 0.6666666666667,0.709783444086482,0.750000000000025,0.78155551103813,0.812500000000019, 0.824218750000018,0.853593437269224,0.926087578284404,0.98377221033203, 1.),.UNSPECIFIED.); #63328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473441,#473442,#473443,#473444), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473446,#473447,#473448,#473449, #473450,#473451,#473452,#473453,#473454,#473455,#473456,#473457,#473458), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999966,0.2499999999957, 0.3124999999952,0.3749999999953,0.4374999999949,0.4999999999943,0.6249999999936, 0.6874999999932,0.7499999999928,1.),.UNSPECIFIED.); #63330=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473460,#473461,#473462,#473463, #473464,#473465,#473466,#473467,#473468,#473469,#473470,#473471,#473472, #473473,#473474,#473475,#473476,#473477,#473478,#473479,#473480,#473481), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066195,0.2999999182911, 0.3999999299626,0.5999999533057,0.6999999649773,0.7999999766488,1.), .UNSPECIFIED.); #63331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473483,#473484,#473485,#473486, #473487,#473488,#473489,#473490,#473491,#473492,#473493,#473494,#473495, #473496,#473497,#473498,#473499,#473500,#473501,#473502,#473503,#473504, #473505,#473506,#473507,#473508,#473509,#473510,#473511,#473512,#473513, #473514,#473515,#473516,#473517,#473518,#473519,#473520,#473521,#473522, #473523,#473524,#473525,#473526,#473527,#473528,#473529,#473530,#473531), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(1.62633020083215E-5, 0.01108973708174,0.024101713426015,0.0311159819241007,0.06313764245884, 0.11518554783608,0.134703512352545,0.151781731304452,0.2713292639678,0.3233771693449, 0.375425074722,0.4795208854763,0.5836166962305,0.6877125069852,0.7918083177395, 0.8959041284937,1.),.UNSPECIFIED.); #63332=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473532,#473533,#473534,#473535, #473536,#473537),.UNSPECIFIED.,.F.,.F.,(4,2,4),(4.95607187675883E-6,0.458429644901406, 0.940035051686988),.UNSPECIFIED.); #63333=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473542,#473543,#473544,#473545, #473546,#473547),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240945078895295,-0.0119373664216889, -2.13027692418692E-6),.UNSPECIFIED.); #63334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473553,#473554,#473555,#473556, #473557,#473558,#473559,#473560,#473561,#473562,#473563,#473564,#473565, #473566,#473567,#473568,#473569,#473570),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0891531808056161,-0.0821111384361671,-0.0751148397295068, -0.0651997212949856,-0.0515621602993115,-0.0353084394322522,-0.0193434307801105, -0.00958822715433134,-2.51520563415441E-6),.UNSPECIFIED.); #63335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473573,#473574,#473575,#473576), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00739445631479943),.UNSPECIFIED.); #63336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473578,#473579,#473580,#473581, #473582,#473583,#473584,#473585,#473586,#473587),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.553292013896499,0.7281812961126,1.),.UNSPECIFIED.); #63337=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473589,#473590,#473591,#473592), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63338=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473594,#473595,#473596,#473597, #473598),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007397,1.), .UNSPECIFIED.); #63339=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473600,#473601,#473602,#473603, #473604,#473605),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000917,0.7500000001313, 1.),.UNSPECIFIED.); #63340=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473607,#473608,#473609,#473610, #473611,#473612,#473613,#473614,#473615,#473616,#473617,#473618,#473619, #473620,#473621,#473622,#473623,#473624,#473625,#473626,#473627,#473628, #473629,#473630,#473631,#473632,#473633,#473634,#473635,#473636,#473637, #473638,#473639,#473640,#473641,#473642,#473643,#473644,#473645,#473646), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740517, 0.1666664976416,0.2083331727597,0.2499998478775,0.3333331981139,0.4166665483503, 0.4999998985862,0.5833332488226,0.6249999239403,0.6666665990585,0.7499999492949, 0.8333332995308,1.),.UNSPECIFIED.); #63341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473648,#473649,#473650,#473651, #473652,#473653,#473654,#473655,#473656,#473657,#473658,#473659,#473660, #473661,#473662,#473663,#473664,#473665,#473666,#473667,#473668,#473669, #473670,#473671,#473672,#473673,#473674,#473675,#473676,#473677,#473678, #473679,#473680,#473681,#473682,#473683,#473684,#473685,#473686,#473687, #473688,#473689,#473690,#473691,#473692,#473693,#473694,#473695,#473696, #473697,#473698,#473699),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524449,0.06249999049073,0.1249999809832,0.1562499762294, 0.1874999714757,0.2499999619681,0.2812499572143,0.3124999524606,0.3749999429529, 0.4374999334455,0.4999999239378,0.5624999144304,0.624999904923,0.7499998859079, 0.8749998668928,0.988894391637085,1.),.UNSPECIFIED.); #63342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473701,#473702,#473703,#473704, #473705,#473706,#473707,#473708,#473709,#473710,#473711,#473712,#473713, #473714,#473715,#473716,#473717,#473718,#473719,#473720,#473721,#473722, #473723,#473724,#473725,#473726,#473727,#473728),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.11501771801227E-6,0.02120249705837,0.09649461266726, 0.2470788438852,0.3976630751029,0.5482473063209,0.6235394219297,0.6988315375386, 0.8494157687565,1.),.UNSPECIFIED.); #63343=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473732,#473733,#473734,#473735), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.999953582512983),.UNSPECIFIED.); #63344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473737,#473738,#473739,#473740), .UNSPECIFIED.,.F.,.F.,(4,4),(0.999942642368732,1.),.UNSPECIFIED.); #63345=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473745,#473746,#473747,#473748, #473749,#473750,#473751,#473752,#473753,#473754,#473755,#473756,#473757, #473758),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.09568293382974, 0.1913658118144,0.2870486897986,0.3827315677827,0.4784144457674,0.5740973237515, 0.6697802017357,0.8611459577045,0.9089873966966,0.9568288356892,0.999942642368732), .UNSPECIFIED.); #63346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473760,#473761,#473762,#473763, #473764,#473765,#473766,#473767,#473768,#473769,#473770,#473771,#473772), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.616322425782437, 0.6666666666667,1.),.UNSPECIFIED.); #63347=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473774,#473775,#473776,#473777, #473778,#473779,#473780,#473781,#473782,#473783,#473784,#473785,#473786, #473787),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000035, 0.3125000000034,0.3750000000032,0.437500000003,0.5000000000029,0.5625000000028, 0.6250000000027,0.6875000000025,0.7500000000024,0.8750000000021,1.), .UNSPECIFIED.); #63348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473789,#473790,#473791,#473792), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473794,#473795,#473796,#473797, #473798,#473799,#473800,#473801,#473802,#473803,#473804,#473805,#473806, #473807,#473808,#473809,#473810,#473811,#473812,#473813,#473814,#473815, #473816,#473817,#473818,#473819,#473820,#473821,#473822,#473823,#473824), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666657351,0.1333333331536, 0.1999999997337,0.2666666663138,0.399999999474,0.5333333326343,0.6666666657942, 0.7999999989545,0.9333333321148,1.),.UNSPECIFIED.); #63350=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473826,#473827,#473828,#473829, #473830,#473831),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999686,0.4999999999723, 1.),.UNSPECIFIED.); #63351=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473833,#473834,#473835,#473836, #473837,#473838,#473839,#473840,#473841,#473842,#473843,#473844), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.08333331567861,0.1666666506159, 0.3333333204904,0.4999999903649,0.5833333253022,0.6666666602395,0.7499999951768, 0.8333333301139,1.),.UNSPECIFIED.); #63352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473846,#473847,#473848,#473849), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63353=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473853,#473854,#473855,#473856, #473857,#473858,#473859,#473860,#473861,#473862,#473863,#473864,#473865), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.885801367412234,1.),.UNSPECIFIED.); #63354=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473867,#473868,#473869,#473870, #473871,#473872,#473873),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.5000000064727, 0.6250000075936,0.7500000087144,1.),.UNSPECIFIED.); #63355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473875,#473876,#473877,#473878, #473879),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000038077,1.), .UNSPECIFIED.); #63356=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473881,#473882,#473883,#473884, #473885),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000006648,1.), .UNSPECIFIED.); #63357=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473887,#473888,#473889,#473890, #473891,#473892,#473893,#473894,#473895,#473896,#473897,#473898,#473899, #473900,#473901,#473902,#473903,#473904,#473905,#473906,#473907,#473908, #473909,#473910,#473911,#473912,#473913,#473914,#473915,#473916,#473917, #473918,#473919,#473920,#473921,#473922,#473923),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.04545454527665,0.09090909074231,0.1818181816741, 0.2727272726054,0.419153376244488,0.4545454544685,0.5454545454003,0.636363636332, 0.7272727272636,0.8181818181952,0.987648729105022,1.),.UNSPECIFIED.); #63358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473925,#473926,#473927,#473928, #473929,#473930,#473931,#473932,#473933,#473934,#473935,#473936,#473937, #473938,#473939,#473940,#473941,#473942,#473943,#473944,#473945,#473946, #473947,#473948,#473949,#473950,#473951,#473952,#473953,#473954,#473955, #473956,#473957,#473958,#473959,#473960,#473961,#473962,#473963,#473964, #473965,#473966,#473967),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,4),(0.,0.06249999933323,0.1249999993688,0.1874999994047,0.2499999994403, 0.3124999994762,0.4374999995477,0.5624999996191,0.687499999691,0.7499999997265, 0.8124999997624,0.8437499997802,0.874999999798,0.9374999998339,1.), .UNSPECIFIED.); #63359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473969,#473970,#473971,#473972, #473973,#473974),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.3333333333333,0.6666666666667, 1.),.UNSPECIFIED.); #63360=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473976,#473977,#473978,#473979, #473980,#473981,#473982,#473983,#473984,#473985,#473986),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(3.25336056004882E-6,0.09859373688868,0.2488281140695, 0.3990624912502,0.5492968684309,0.6244140570213,0.6995312456117,0.8497656227924, 1.),.UNSPECIFIED.); #63361=B_SPLINE_CURVE_WITH_KNOTS('',3,(#473990,#473991,#473992,#473993, #473994,#473995,#473996,#473997,#473998,#473999,#474000,#474001), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.1133860658628,0.2267721316498, 0.3401581974367,0.4535442632238,0.5669303290109,0.7937024605849,0.8503954934784, 0.9070885263719,0.999999566786724),.UNSPECIFIED.); #63362=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474003,#474004,#474005,#474006, #474007,#474008,#474009,#474010,#474011,#474012,#474013,#474014,#474015, #474016),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.142857142871, 0.2142857143066,0.2857142857415,0.428571428612,0.5000000000477,0.5714285714826, 0.6428571429182,0.7142857143539,0.7857142857887,0.8571428572244,1.), .UNSPECIFIED.); #63363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474018,#474019,#474020,#474021, #474022,#474023,#474024,#474025,#474026,#474027,#474028,#474029,#474030), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000034,0.3125000000034, 0.3750000000028,0.5000000000024,0.5625000000022,0.625000000002,0.6875000000017, 0.7500000000014,0.8750000000009,1.),.UNSPECIFIED.); #63364=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474032,#474033,#474034,#474035, #474036,#474037,#474038,#474039,#474040,#474041,#474042,#474043,#474044, #474045,#474046,#474047,#474048,#474049,#474050,#474051,#474052,#474053, #474054,#474055,#474056,#474057,#474058,#474059,#474060,#474061,#474062), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06249999999394,0.124999999995, 0.1874999999954,0.2499999999964,0.3749999999979,0.4999999999993,0.6250000000008, 0.7500000000023,0.8750000000037,1.),.UNSPECIFIED.); #63365=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474064,#474065,#474066,#474067, #474068,#474069,#474070,#474071),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2,0.4,0.6,0.8,1.),.UNSPECIFIED.); #63366=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474073,#474074,#474075,#474076), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63367=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474080,#474081,#474082,#474083, #474084,#474085,#474086,#474087,#474088,#474089,#474090,#474091,#474092), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.890352041063747,1.),.UNSPECIFIED.); #63368=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474094,#474095,#474096,#474097, #474098,#474099,#474100,#474101,#474102,#474103,#474104,#474105,#474106, #474107,#474108,#474109),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.2500000059934, 0.5000000094042,0.6250000111096,0.7500000128149,1.),.UNSPECIFIED.); #63369=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474111,#474112,#474113,#474114), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474116,#474117,#474118,#474119, #474120),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007385,1.), .UNSPECIFIED.); #63371=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474122,#474123,#474124,#474125, #474126,#474127),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000915,0.7500000001305, 1.),.UNSPECIFIED.); #63372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474129,#474130,#474131,#474132, #474133,#474134,#474135,#474136,#474137,#474138,#474139,#474140,#474141, #474142,#474143,#474144,#474145,#474146,#474147,#474148,#474149,#474150, #474151,#474152,#474153,#474154,#474155,#474156,#474157,#474158,#474159, #474160,#474161,#474162,#474163,#474164,#474165,#474166,#474167,#474168), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740609, 0.1666664976424,0.2083331727605,0.2499998478786,0.3333331981145,0.4166665483507, 0.499999898587,0.5833332488228,0.624999923941,0.6666665990591,0.7499999492954, 0.8333332995312,1.),.UNSPECIFIED.); #63373=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474170,#474171,#474172,#474173, #474174,#474175,#474176,#474177,#474178,#474179,#474180,#474181,#474182, #474183,#474184,#474185,#474186,#474187,#474188,#474189,#474190,#474191, #474192,#474193,#474194,#474195,#474196,#474197,#474198,#474199,#474200, #474201,#474202,#474203,#474204,#474205,#474206,#474207,#474208,#474209, #474210,#474211,#474212,#474213,#474214,#474215,#474216,#474217,#474218, #474219,#474220,#474221),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524414,0.0624999904904,0.1249999809829,0.1562499762291, 0.1874999714754,0.2499999619679,0.2812499572141,0.3124999524604,0.3749999429529, 0.4374999334455,0.4999999239378,0.5624999144304,0.624999904923,0.7499998859078, 0.8749998668927,0.988894391650084,1.),.UNSPECIFIED.); #63374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474222,#474223,#474224,#474225, #474226,#474227,#474228,#474229,#474230,#474231,#474232,#474233,#474234, #474235,#474236,#474237,#474238,#474239,#474240,#474241,#474242,#474243, #474244,#474245,#474246,#474247,#474248,#474249),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.98122754296018E-6,0.02122582556194,0.09651614667044, 0.2470967888876,0.3976774311048,0.5482580733219,0.6235483944304,0.6988387155391, 0.8494193577562,1.),.UNSPECIFIED.); #63375=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474251,#474252,#474253,#474254, #474255,#474256,#474257,#474258,#474259,#474260,#474261,#474262,#474263, #474264),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0924253274479, 0.1848506009548,0.2772758744617,0.3697011479691,0.4621264214761,0.554551694983, 0.6469769684899,0.8318275155042,0.8780401522577,0.9242527890111,0.999999129792568), .UNSPECIFIED.); #63376=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474266,#474267,#474268,#474269, #474270,#474271,#474272,#474273,#474274,#474275,#474276,#474277,#474278), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.61632242561732, 0.6666666666667,1.),.UNSPECIFIED.); #63377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474280,#474281,#474282,#474283, #474284,#474285,#474286,#474287,#474288,#474289,#474290,#474291,#474292), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000041,0.3125000000038, 0.3750000000036,0.5000000000032,0.5625000000028,0.6250000000025,0.6875000000027, 0.7500000000024,0.8750000000018,1.),.UNSPECIFIED.); #63378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474294,#474295,#474296,#474297), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474299,#474300,#474301,#474302, #474303,#474304,#474305,#474306,#474307,#474308,#474309,#474310,#474311, #474312,#474313,#474314,#474315,#474316,#474317,#474318,#474319,#474320, #474321,#474322,#474323,#474324,#474325,#474326,#474327,#474328,#474329), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666656012,0.1333333331412, 0.1999999997223,0.2666666663033,0.3999999994655,0.5333333326276,0.6666666657898, 0.799999998952,0.9333333321142,1.),.UNSPECIFIED.); #63380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474331,#474332,#474333,#474334, #474335,#474336),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999559,0.4999999999458, 1.),.UNSPECIFIED.); #63381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474338,#474339,#474340,#474341, #474342,#474343,#474344,#474345,#474346,#474347,#474348,#474349), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.08333333304248,0.1666666664012, 0.3333333331189,0.4999999998364,0.5833333331952,0.6666666665539,0.7499999999127, 0.8333333332714,1.),.UNSPECIFIED.); #63382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474351,#474352,#474353,#474354), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474358,#474359,#474360,#474361, #474362,#474363,#474364,#474365,#474366,#474367,#474368,#474369,#474370), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.890455788256455,1.),.UNSPECIFIED.); #63384=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474372,#474373,#474374,#474375, #474376,#474377,#474378,#474379,#474380,#474381,#474382,#474383,#474384, #474385,#474386,#474387),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.2500000059926, 0.5000000094027,0.6250000111077,0.7500000128127,1.),.UNSPECIFIED.); #63385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474389,#474390,#474391,#474392), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474394,#474395,#474396,#474397, #474398),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007389,1.), .UNSPECIFIED.); #63387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474400,#474401,#474402,#474403, #474404,#474405),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000914,0.7500000001303, 1.),.UNSPECIFIED.); #63388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474407,#474408,#474409,#474410, #474411,#474412,#474413,#474414,#474415,#474416,#474417,#474418,#474419, #474420,#474421,#474422,#474423,#474424,#474425,#474426,#474427,#474428, #474429,#474430,#474431,#474432,#474433,#474434,#474435,#474436,#474437, #474438,#474439,#474440,#474441,#474442,#474443,#474444,#474445,#474446), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740402, 0.1666664976404,0.2083331727587,0.2499998478769,0.3333331981129,0.4166665483493, 0.4999998985853,0.5833332488217,0.6249999239399,0.6666665990581,0.7499999492941, 0.8333332995306,1.),.UNSPECIFIED.); #63389=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474448,#474449,#474450,#474451, #474452,#474453,#474454,#474455,#474456,#474457,#474458,#474459,#474460, #474461,#474462,#474463,#474464,#474465,#474466,#474467,#474468,#474469, #474470,#474471,#474472,#474473,#474474,#474475,#474476,#474477,#474478, #474479,#474480,#474481,#474482,#474483,#474484,#474485,#474486,#474487, #474488,#474489,#474490,#474491,#474492,#474493,#474494,#474495,#474496, #474497,#474498,#474499),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524437,0.06249999049056,0.1249999809829,0.1562499762291, 0.1874999714753,0.2499999619676,0.2812499572138,0.31249995246,0.3749999429524, 0.4374999334449,0.499999923937,0.5624999144295,0.624999904922,0.7499998859066, 0.8749998668912,0.988894391575352,1.),.UNSPECIFIED.); #63390=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474501,#474502,#474503,#474504, #474505,#474506,#474507,#474508,#474509,#474510,#474511,#474512,#474513, #474514,#474515,#474516,#474517,#474518,#474519,#474520,#474521,#474522, #474523,#474524,#474525,#474526,#474527,#474528),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.11550965678591E-6,0.02120249597432,0.09649461166645, 0.2470788430507,0.3976630744349,0.5482473058191,0.6235394215112,0.6988315372033, 0.8494157685876,1.),.UNSPECIFIED.); #63391=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474532,#474533,#474534,#474535, #474536,#474537,#474538,#474539,#474540,#474541,#474542,#474543,#474544, #474545),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0924253274464, 0.1848506009516,0.2772758744573,0.3697011479626,0.4621264214678,0.5545516949735, 0.6469769684788,0.8318275154897,0.8780401522423,0.9242527889949,0.999999129792433), .UNSPECIFIED.); #63392=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474547,#474548,#474549,#474550, #474551,#474552,#474553,#474554,#474555,#474556,#474557,#474558,#474559), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.616322425732465, 0.6666666666667,1.),.UNSPECIFIED.); #63393=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474561,#474562,#474563,#474564, #474565,#474566,#474567,#474568,#474569,#474570,#474571,#474572,#474573), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000039,0.3125000000037, 0.3750000000034,0.5000000000029,0.5625000000029,0.6250000000026,0.6875000000022, 0.7500000000019,0.8750000000013,1.),.UNSPECIFIED.); #63394=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474575,#474576,#474577,#474578), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63395=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474580,#474581,#474582,#474583, #474584,#474585,#474586,#474587,#474588,#474589,#474590,#474591,#474592, #474593,#474594,#474595,#474596,#474597,#474598,#474599,#474600,#474601, #474602,#474603,#474604,#474605,#474606,#474607,#474608,#474609,#474610), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666656312,0.1333333331441, 0.199999999725,0.2666666663059,0.3999999994677,0.5333333326296,0.6666666657916, 0.7999999989529,0.9333333321147,1.),.UNSPECIFIED.); #63396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474612,#474613,#474614,#474615, #474616,#474617),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999591,0.4999999999515, 1.),.UNSPECIFIED.); #63397=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474619,#474620,#474621,#474622, #474623,#474624,#474625,#474626,#474627,#474628,#474629,#474630), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.08333333263851,0.1666666660341, 0.3333333328251,0.4999999996162,0.5833333330118,0.6666666664074,0.7499999998029, 0.8333333331982,1.),.UNSPECIFIED.); #63398=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474632,#474633,#474634,#474635), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63399=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474639,#474640,#474641,#474642, #474643,#474644,#474645,#474646,#474647,#474648,#474649,#474650,#474651), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.6666666666667, 0.89032389052424,0.996214847600916),.UNSPECIFIED.); #63400=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474653,#474654,#474655,#474656, #474657,#474658,#474659,#474660,#474661,#474662,#474663,#474664,#474665, #474666,#474667,#474668),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.250000005994, 0.5000000094036,0.6250000111083,0.7500000128132,1.),.UNSPECIFIED.); #63401=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474670,#474671,#474672,#474673), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63402=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474675,#474676,#474677,#474678, #474679),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.5000000007375,1.), .UNSPECIFIED.); #63403=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474681,#474682,#474683,#474684, #474685,#474686),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000905,0.7500000001313, 1.),.UNSPECIFIED.); #63404=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474688,#474689,#474690,#474691, #474692,#474693,#474694,#474695,#474696,#474697,#474698,#474699,#474700, #474701,#474702,#474703,#474704,#474705,#474706,#474707,#474708,#474709, #474710,#474711,#474712,#474713,#474714,#474715,#474716,#474717,#474718, #474719,#474720,#474721,#474722,#474723,#474724,#474725,#474726,#474727), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.08333314740371, 0.1666664976402,0.2083331727584,0.2499998478766,0.3333331981126,0.4166665483491, 0.4999998985855,0.5833332488215,0.6249999239398,0.666666599058,0.7499999492945, 0.8333332995305,1.),.UNSPECIFIED.); #63405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474729,#474730,#474731,#474732, #474733,#474734,#474735,#474736,#474737,#474738,#474739,#474740,#474741, #474742,#474743,#474744,#474745,#474746,#474747,#474748,#474749,#474750, #474751,#474752,#474753,#474754,#474755,#474756,#474757,#474758,#474759, #474760,#474761,#474762,#474763,#474764,#474765,#474766,#474767,#474768, #474769,#474770,#474771,#474772,#474773,#474774,#474775,#474776,#474777, #474778,#474779,#474780),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,4),(0.,0.03124999524462,0.06249999049084,0.1249999809832,0.1562499762295, 0.1874999714757,0.2499999619681,0.2812499572143,0.3124999524605,0.3749999429529, 0.4374999334454,0.4999999239376,0.5624999144301,0.6249999049227,0.7499998859074, 0.8749998668921,0.98889439174644,1.),.UNSPECIFIED.); #63406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474782,#474783,#474784,#474785, #474786,#474787,#474788,#474789,#474790,#474791,#474792,#474793,#474794, #474795,#474796,#474797,#474798,#474799,#474800,#474801,#474802,#474803, #474804,#474805,#474806,#474807,#474808,#474809),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(1.98122401333764E-6,0.02122582448398,0.09651614567561, 0.2470967880588,0.3976774304418,0.5482580728249,0.6235483940165,0.6988387152081, 0.8494193575912,1.),.UNSPECIFIED.); #63407=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474813,#474814,#474815,#474816, #474817,#474818,#474819,#474820,#474821,#474822,#474823,#474824,#474825, #474826),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.09242507518508, 0.1848500964294,0.2772751176742,0.3697001389185,0.4621251601629,0.5545501814077, 0.646975202652,0.8318252451411,0.8780377557633,0.9242502663855,0.99999951692615), .UNSPECIFIED.); #63408=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474828,#474829,#474830,#474831, #474832,#474833,#474834,#474835,#474836,#474837,#474838,#474839,#474840), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.3333333333333,0.61632242571887, 0.6666666666667,1.),.UNSPECIFIED.); #63409=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474842,#474843,#474844,#474845, #474846,#474847,#474848,#474849,#474850,#474851,#474852,#474853,#474854, #474855),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.2500000000029, 0.3125000000027,0.3750000000024,0.4375000000022,0.500000000002,0.5625000000017, 0.6250000000014,0.6875000000011,0.7500000000013,0.8750000000008,1.), .UNSPECIFIED.); #63410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474857,#474858,#474859,#474860), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63411=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474862,#474863,#474864,#474865, #474866,#474867,#474868,#474869,#474870,#474871,#474872,#474873,#474874, #474875,#474876,#474877,#474878,#474879,#474880,#474881,#474882,#474883, #474884,#474885,#474886,#474887,#474888,#474889,#474890,#474891,#474892), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,4),(0.,0.06666666657248,0.1333333331527, 0.1999999997328,0.266666666313,0.3999999994733,0.5333333326337,0.6666666657941, 0.7999999989546,0.9333333321144,1.),.UNSPECIFIED.); #63412=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474894,#474895,#474896,#474897, #474898,#474899),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2499999999687,0.4999999999693, 1.),.UNSPECIFIED.); #63413=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474901,#474902,#474903,#474904, #474905,#474906,#474907,#474908,#474909,#474910,#474911,#474912), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.,0.0833333325973,0.1666666659965, 0.3333333327949,0.4999999995933,0.5833333329924,0.6666666663916,0.7499999997908, 0.8333333331902,1.),.UNSPECIFIED.); #63414=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474914,#474915,#474916,#474917), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474920,#474921,#474922,#474923, #474924,#474925,#474926,#474927,#474928,#474929),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,0.999822116189794), .UNSPECIFIED.); #63416=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474931,#474932,#474933,#474934, #474935,#474936,#474937,#474938,#474939,#474940),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.00180672256561646,0.3333333333333,0.6666666666667,0.99983194343095), .UNSPECIFIED.); #63417=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474942,#474943,#474944,#474945, #474946,#474947,#474948,#474949,#474950,#474951),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63418=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474954,#474955,#474956,#474957, #474958,#474959),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0221574981285547,-0.0112705005050058, -0.000213259697371171),.UNSPECIFIED.); #63419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#474960,#474961,#474962,#474963, #474964,#474965),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0649218379523003,-0.03349507876392, -8.63478216969749E-7),.UNSPECIFIED.); #63420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475165,#475166,#475167,#475168, #475169,#475170,#475171,#475172,#475173,#475174,#475175,#475176,#475177, #475178,#475179,#475180),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749879101291699, -0.0715089993749157,-0.0674507336673747,-0.0585128458552777,-0.0468023378332675, -0.0344122887165479,-0.0178257523654365,-9.84552899777058E-7), .UNSPECIFIED.); #63421=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475182,#475183,#475184,#475185, #475186,#475187,#475188,#475189),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.6014572670521E-6, 0.0234727050842805,0.0569978877420715,0.139691293667619),.UNSPECIFIED.); #63422=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475193,#475194,#475195,#475196, #475197,#475198,#475199,#475200,#475201,#475202,#475203,#475204,#475205, #475206,#475207,#475208,#475209,#475210,#475211,#475212,#475213,#475214, #475215,#475216,#475217,#475218,#475219,#475220,#475221,#475222,#475223, #475224,#475225,#475226,#475227,#475228,#475229,#475230,#475231,#475232, #475233,#475234,#475235,#475236,#475237,#475238,#475239,#475240,#475241, #475242,#475243,#475244,#475245,#475246,#475247,#475248,#475249,#475250, #475251,#475252,#475253,#475254,#475255,#475256,#475257,#475258,#475259, #475260,#475261,#475262,#475263,#475264,#475265,#475266,#475267,#475268, #475269,#475270,#475271,#475272,#475273,#475274,#475275,#475276,#475277, #475278,#475279,#475280,#475281,#475282,#475283,#475284,#475285,#475286, #475287,#475288,#475289),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01587185917994,0.0411347544968976, 0.04761792816397,0.081807217556536,0.1111100661294,0.151903045757652,0.1746022040948, 0.215045475487552,0.2380943420629,0.285090827233061,0.3015864800283,0.354072509340742, 0.3650786179937,0.382679009898812,0.431757348393222,0.43536620981905,0.440015999537524, 0.4920628939272,0.566450680473042,0.6190471698581,0.68253930782485,0.7460314457916, 0.794498570709781,0.809523583757,0.861554632411563,0.8730157217224,0.9047617907065, 0.911233271262865,0.936002343795303,0.9365078596879,0.97285194529277,1.), .UNSPECIFIED.); #63423=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475332,#475333,#475334,#475335, #475336,#475337,#475338),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188753, 1.),.UNSPECIFIED.); #63424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475339,#475340,#475341,#475342, #475343,#475344,#475345,#475346),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999871873,0.3749999888923,0.4999999905973,0.7499999940074,1.), .UNSPECIFIED.); #63425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475368,#475369,#475370,#475371, #475372,#475373,#475374),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626617, 1.),.UNSPECIFIED.); #63426=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475375,#475376,#475377,#475378), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475406,#475407,#475408,#475409, #475410),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992611,1.), .UNSPECIFIED.); #63428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475443,#475444,#475445,#475446, #475447,#475448,#475449,#475450,#475451,#475452,#475453,#475454,#475455, #475456,#475457,#475458,#475459,#475460,#475461),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998697,0.335323954092221,0.4999999999086,0.718986545195304, 0.931803444196195,1.),.UNSPECIFIED.); #63429=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475544,#475545,#475546,#475547, #475548,#475549,#475550,#475551,#475552,#475553,#475554,#475555,#475556, #475557,#475558,#475559),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004694,0.2500000507059,0.3333334009419,0.3750000760601, 0.4166667511783,0.5000001014147,0.5833334516507,0.6666668018871,0.7500001521231, 0.7916668272413,0.8333335023596,0.916666852596,1.),.UNSPECIFIED.); #63430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475656,#475657,#475658,#475659, #475660,#475661,#475662,#475663,#475664,#475665,#475666,#475667,#475668, #475669,#475670,#475671,#475672,#475673,#475674,#475675,#475676,#475677, #475678,#475679,#475680,#475681,#475682,#475683,#475684,#475685,#475686, #475687,#475688,#475689,#475690,#475691,#475692,#475693,#475694,#475695, #475696,#475697,#475698,#475699,#475700,#475701,#475702,#475703,#475704, #475705,#475706,#475707,#475708,#475709,#475710,#475711,#475712,#475713, #475714,#475715,#475716,#475717,#475718,#475719,#475720,#475721,#475722, #475723,#475724,#475725,#475726,#475727,#475728,#475729,#475730,#475731, #475732,#475733,#475734,#475735,#475736,#475737,#475738,#475739,#475740, #475741,#475742,#475743),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0302976793344796,0.0447155286833432, 0.0877730326519045,0.132816244623168,0.1666666666667,0.168538835023755, 0.207008543788402,0.244996966888439,0.30087813744896,0.318901144102788, 0.3333333333333,0.354703036638111,0.390069857179882,0.433308213595271,0.458420719800681, 0.5,0.522325576840987,0.564506572155943,0.583059989640312,0.641592732804224, 0.6666666666667,0.69820244905434,0.764935149539874,0.804682902888939,0.8333333333333, 0.893815550750975,0.904598897291804,0.965552885033231,1.),.UNSPECIFIED.); #63431=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475794,#475795,#475796,#475797, #475798,#475799),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240872923145929,-0.0119300649545126, -1.79198573640551E-6),.UNSPECIFIED.); #63432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475800,#475801,#475802,#475803, #475804,#475805,#475806,#475807,#475808,#475809,#475810,#475811,#475812, #475813,#475814,#475815,#475816,#475817,#475818,#475819,#475820,#475821, #475822,#475823,#475824,#475825,#475826,#475827,#475828,#475829,#475830, #475831,#475832,#475833,#475834,#475835,#475836,#475837,#475838,#475839, #475840,#475841,#475842,#475843,#475844,#475845,#475846,#475847,#475848, #475849,#475850,#475851,#475852,#475853,#475854),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.008442650057799,0.16666666666665, 0.176249812621691,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894440113247,0.505015181878777,0.586247043008349,0.6666666666667, 0.667829948423944,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.83298972648994,1.),.UNSPECIFIED.); #63433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475856,#475857,#475858,#475859, #475860,#475861,#475862,#475863,#475864,#475865,#475866,#475867,#475868, #475869,#475870,#475871,#475872,#475873,#475874),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.000404503981008376,0.109343951939465,0.700565871642565, 1.02144878337451,1.38172347360329,1.53350885382997,1.55012128511161), .UNSPECIFIED.); #63434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475877,#475878,#475879,#475880, #475881,#475882),.UNSPECIFIED.,.F.,.F.,(4,2,4),(4.94965476395984E-6,0.45842441583662, 0.939721562563328),.UNSPECIFIED.); #63435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#475883,#475884,#475885,#475886, #475887,#475888,#475889,#475890,#475891,#475892,#475893,#475894,#475895, #475896,#475897,#475898,#475899,#475900),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0891532444549194,-0.0821112026204022,-0.0751149044351218, -0.0651997852529808,-0.0515622264426908,-0.0353085072651199,-0.0193434996201007, -0.0095882607341625,-2.51275071444788E-6),.UNSPECIFIED.); #63436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476056,#476057,#476058,#476059, #476060,#476061,#476062,#476063,#476064,#476065,#476066,#476067,#476068, #476069,#476070,#476071,#476072,#476073,#476074,#476075,#476076,#476077, #476078,#476079,#476080,#476081,#476082,#476083,#476084,#476085,#476086, #476087,#476088,#476089,#476090,#476091,#476092,#476093,#476094,#476095, #476096,#476097,#476098,#476099,#476100,#476101,#476102,#476103,#476104), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(1.62368817129445E-5, 0.01108956961718,0.0241015481649275,0.0311158178508226,0.06313748380817, 0.115185397999302,0.134703365820977,0.151781587664943,0.2713291405727,0.3233770547637, 0.3754249689547,0.4795207973372,0.5836166257192,0.6877124541012,0.7918082824837, 0.8959041108657,1.),.UNSPECIFIED.); #63437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476217,#476218,#476219,#476220, #476221,#476222,#476223,#476224,#476225,#476226,#476227,#476228,#476229, #476230,#476231,#476232,#476233,#476234,#476235,#476236,#476237,#476238), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066206,0.2999999182928, 0.3999999299648,0.5999999533089,0.699999964981,0.7999999766532,1.), .UNSPECIFIED.); #63438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476384,#476385,#476386,#476387, #476388,#476389,#476390,#476391,#476392,#476393,#476394,#476395,#476396), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999987,0.2499999999981, 0.3124999999978,0.3749999999974,0.4374999999971,0.4999999999971,0.6249999999966, 0.6874999999963,0.7499999999961,1.),.UNSPECIFIED.); #63439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476444,#476445,#476446,#476447), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476592,#476593,#476594,#476595, #476596,#476597,#476598,#476599,#476600,#476601,#476602,#476603,#476604, #476605,#476606,#476607,#476608,#476609,#476610,#476611,#476612,#476613, #476614,#476615,#476616,#476617,#476618,#476619,#476620,#476621,#476622, #476623,#476624,#476625,#476626,#476627,#476628,#476629,#476630,#476631, #476632,#476633,#476634,#476635,#476636,#476637,#476638,#476639,#476640, #476641,#476642,#476643,#476644,#476645,#476646,#476647,#476648,#476649, #476650,#476651,#476652,#476653,#476654,#476655,#476656,#476657,#476658, #476659,#476660,#476661,#476662,#476663,#476664),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574275201, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040153219, 0.269351314273223,0.308907366567147,0.3333333333333,0.437207500730621,0.5, 0.520833333333337,0.539062500000008,0.570309548449096,0.655314521824432, 0.6666666666667,0.709783443969216,0.750000000000025,0.781555510932429,0.812500000000019, 0.824218750000018,0.853593437181402,0.926087578223937,0.983772210348231, 1.),.UNSPECIFIED.); #63441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476736,#476737,#476738,#476739, #476740,#476741),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000172,0.7500000000253, 1.),.UNSPECIFIED.); #63442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476875,#476876,#476877,#476878, #476879,#476880,#476881,#476882,#476883,#476884,#476885,#476886,#476887, #476888,#476889,#476890,#476891,#476892,#476893,#476894,#476895,#476896, #476897,#476898,#476899,#476900,#476901,#476902,#476903,#476904,#476905, #476906,#476907,#476908,#476909,#476910,#476911,#476912,#476913,#476914, #476915,#476916,#476917,#476918,#476919,#476920,#476921,#476922,#476923, #476924,#476925,#476926,#476927,#476928,#476929,#476930,#476931,#476932, #476933,#476934,#476935,#476936,#476937,#476938,#476939,#476940,#476941, #476942,#476943,#476944,#476945,#476946,#476947),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385027763463, 0.172338133299369,0.180057200512931,0.25,0.28125,0.3359375,0.350797253172881, 0.376953125,0.4384765625,0.5,0.514460730032459,0.580095061675121,0.594176310866606, 0.625,0.6328125,0.673189446816736,0.7257401896984,0.75,0.752939318593063, 0.8125,0.834225235982127,0.8359375,0.913060760094469,1.),.UNSPECIFIED.); #63443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#476993,#476994,#476995,#476996, #476997,#476998,#476999,#477000,#477001,#477002,#477003,#477004,#477005, #477006,#477007,#477008),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477206,#477207,#477208,#477209, #477210,#477211),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-1.60166280129366,-0.699730312185651, -8.69563215211144E-7),.UNSPECIFIED.); #63445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477212,#477213,#477214,#477215, #477216,#477217),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00461365480502548,-0.00230200176945638, -7.57023371614647E-6),.UNSPECIFIED.); #63446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477234,#477235,#477236,#477237), .UNSPECIFIED.,.F.,.F.,(4,4),(4.72707205957363E-5,1.),.UNSPECIFIED.); #63447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477429,#477430,#477431,#477432), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.886822097277709,-0.878670785943495), .UNSPECIFIED.); #63448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477436,#477437,#477438,#477439, #477440,#477441,#477442,#477443,#477444,#477445,#477446,#477447,#477448, #477449,#477450,#477451,#477452,#477453),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.25871524063622,1.30151760984985,1.41523129250643,1.47208813383472, 1.52894497516302,1.58580181649131,1.6426586578196,1.75637234047618,1.7991747096898), .UNSPECIFIED.); #63449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477463,#477464,#477465,#477466, #477467,#477468,#477469,#477470,#477471,#477472,#477473,#477474,#477475, #477476,#477477,#477478,#477479,#477480),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.2587152314421,1.30151760065577,1.41523128331236,1.47208812464065, 1.52894496596894,1.58580180729723,1.64265864862552,1.75637233128211,1.79917470049574), .UNSPECIFIED.); #63450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477486,#477487,#477488,#477489, #477490,#477491),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240945063530234,-0.0119373645923797, -2.13027681372107E-6),.UNSPECIFIED.); #63451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477492,#477493,#477494,#477495, #477496,#477497,#477498,#477499,#477500,#477501,#477502,#477503,#477504, #477505,#477506,#477507,#477508,#477509,#477510),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.000355536929055625,0.109239007926305,0.698394369202694, 1.01729284863206,1.37541004527472,1.52628649032523,1.54279944066934), .UNSPECIFIED.); #63452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477512,#477513,#477514,#477515, #477516,#477517),.UNSPECIFIED.,.F.,.F.,(4,2,4),(4.95916751610869E-6,0.458425682906475, 0.940051355630647),.UNSPECIFIED.); #63453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477518,#477519,#477520,#477521, #477522,#477523,#477524,#477525,#477526,#477527,#477528,#477529,#477530, #477531,#477532,#477533,#477534,#477535),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0891511137461326,-0.0821095698290758,-0.0751148889560737, -0.0651997549196119,-0.0515634950466975,-0.0353109496848965,-0.0193468210906148, -0.00958991806062734,-2.51638989751394E-6),.UNSPECIFIED.); #63454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477691,#477692,#477693,#477694, #477695,#477696,#477697,#477698,#477699,#477700,#477701,#477702,#477703, #477704,#477705,#477706,#477707,#477708,#477709,#477710,#477711,#477712, #477713,#477714,#477715,#477716,#477717,#477718,#477719,#477720,#477721, #477722,#477723,#477724,#477725,#477726,#477727,#477728,#477729,#477730, #477731,#477732,#477733,#477734,#477735,#477736,#477737,#477738,#477739), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(1.62760472729783E-5, 0.01108981793951,0.0241017932198625,0.0311160611444275,0.06313771906092, 0.115185620182465,0.134703583103044,0.151781800658551,0.2713293235471,0.3233772246685, 0.3754251257899,0.4795209280333,0.5836167302761,0.687712532519,0.7918083347623, 0.8959041370052,1.),.UNSPECIFIED.); #63455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477788,#477789,#477790,#477791, #477792,#477793,#477794,#477795,#477796,#477797,#477798,#477799,#477800, #477801,#477802,#477803),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477805,#477806,#477807,#477808, #477809,#477810,#477811,#477812,#477813,#477814,#477815,#477816,#477817, #477818,#477819,#477820),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.074987910135317, -0.0715089993812488,-0.0674507336733122,-0.0585128458598252,-0.046802337836259, -0.0344122887193513,-0.017825752370118,-9.8455302247058E-7), .UNSPECIFIED.); #63457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477821,#477822,#477823,#477824, #477825,#477826),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.022137423614112,-0.0112604410711366, -0.000213259767766074),.UNSPECIFIED.); #63458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#477828,#477829,#477830,#477831, #477832,#477833),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0648211098172093,-0.0334405595064563, -8.63479330481809E-7),.UNSPECIFIED.); #63459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478033,#478034,#478035,#478036, #478037,#478038,#478039,#478040),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145733064255E-6, 0.0234727050792467,0.0569978876570044,0.139691293299498),.UNSPECIFIED.); #63460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478044,#478045,#478046,#478047, #478048,#478049,#478050,#478051,#478052,#478053,#478054,#478055,#478056, #478057,#478058,#478059,#478060,#478061,#478062,#478063,#478064,#478065, #478066,#478067,#478068,#478069,#478070,#478071,#478072,#478073,#478074, #478075,#478076,#478077,#478078,#478079,#478080,#478081,#478082,#478083, #478084,#478085,#478086,#478087,#478088,#478089,#478090,#478091,#478092, #478093,#478094,#478095,#478096,#478097,#478098,#478099,#478100,#478101, #478102,#478103,#478104,#478105,#478106,#478107,#478108,#478109,#478110, #478111,#478112,#478113,#478114,#478115,#478116,#478117,#478118,#478119, #478120,#478121,#478122,#478123,#478124,#478125,#478126,#478127,#478128, #478129,#478130,#478131,#478132,#478133,#478134,#478135,#478136,#478137, #478138,#478139,#478140),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01587185918196,0.041134753934119, 0.04761792816328,0.0818072181748618,0.1111100661312,0.151903046124091,0.1746022040965, 0.2150454754056,0.2380943420618,0.285090827287814,0.3015864800271,0.354072509277168, 0.3650786179951,0.382679009802807,0.43175734831477,0.435366209845516,0.440015999423262, 0.4920628939257,0.566450680405583,0.6190471698589,0.6825393078242,0.7460314457895, 0.794498570681428,0.8095235837574,0.861554632526732,0.8730157217227,0.9047617907041, 0.911233271243614,0.936002343775734,0.936507859688,0.97285194541803,1.), .UNSPECIFIED.); #63461=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478183,#478184,#478185,#478186, #478187,#478188,#478189),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188794, 1.),.UNSPECIFIED.); #63462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478190,#478191,#478192,#478193, #478194,#478195,#478196,#478197),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999871868,0.3749999888917,0.4999999905964,0.749999994006,1.), .UNSPECIFIED.); #63463=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478219,#478220,#478221,#478222, #478223,#478224,#478225),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626611, 1.),.UNSPECIFIED.); #63464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478226,#478227,#478228,#478229), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478257,#478258,#478259,#478260, #478261),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992625,1.), .UNSPECIFIED.); #63466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478294,#478295,#478296,#478297, #478298,#478299,#478300,#478301,#478302,#478303,#478304,#478305,#478306, #478307,#478308,#478309,#478310,#478311,#478312),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998687,0.335323954094186,0.4999999999095,0.718986545185186, 0.931803444145823,1.),.UNSPECIFIED.); #63467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478395,#478396,#478397,#478398, #478399,#478400,#478401,#478402,#478403,#478404,#478405,#478406,#478407, #478408,#478409,#478410),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004695,0.2500000507055,0.333333400942,0.3750000760602, 0.4166667511785,0.5000001014145,0.5833334516509,0.6666668018874,0.7500001521234, 0.7916668272416,0.8333335023598,0.9166668525963,1.),.UNSPECIFIED.); #63468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478507,#478508,#478509,#478510, #478511,#478512,#478513,#478514,#478515,#478516,#478517,#478518,#478519, #478520,#478521,#478522,#478523,#478524,#478525,#478526,#478527,#478528, #478529,#478530,#478531,#478532,#478533,#478534,#478535,#478536,#478537, #478538,#478539,#478540,#478541,#478542,#478543,#478544,#478545,#478546, #478547,#478548,#478549,#478550,#478551,#478552,#478553,#478554,#478555, #478556,#478557,#478558,#478559,#478560,#478561,#478562,#478563,#478564, #478565,#478566,#478567,#478568,#478569,#478570,#478571,#478572,#478573, #478574,#478575,#478576,#478577,#478578,#478579,#478580,#478581,#478582, #478583,#478584,#478585,#478586,#478587,#478588,#478589,#478590,#478591, #478592,#478593,#478594),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.030297679338923,0.0447155286705169, 0.0877730326284002,0.13281624457097,0.1666666666667,0.168538834983774,0.20700854374022, 0.244996966833359,0.300878137473604,0.318901144039059,0.3333333333333,0.354703036571653, 0.390069857111072,0.433308213547496,0.458420719729965,0.5,0.522325576771045, 0.564506572090309,0.583059989573221,0.641592732741991,0.6666666666667,0.698202448998135, 0.764935149637825,0.804682902848372,0.8333333333333,0.893815550741867,0.904598897270264, 0.965552885082272,1.),.UNSPECIFIED.); #63469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478644,#478645,#478646,#478647, #478648,#478649,#478650,#478651,#478652,#478653,#478654,#478655,#478656, #478657,#478658,#478659,#478660,#478661,#478662,#478663,#478664,#478665, #478666,#478667,#478668,#478669,#478670,#478671,#478672,#478673,#478674, #478675,#478676,#478677,#478678,#478679,#478680,#478681,#478682,#478683, #478684,#478685,#478686,#478687,#478688,#478689,#478690,#478691,#478692, #478693,#478694,#478695,#478696,#478697,#478698),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.00844264935459199,0.16666666666665, 0.176249812267747,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894439965041,0.505015181781461,0.586247042889631,0.6666666666667, 0.667829948290893,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.832989726399805,1.),.UNSPECIFIED.); #63470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478889,#478890,#478891,#478892), .UNSPECIFIED.,.F.,.F.,(4,4),(4.72704549535261E-5,1.),.UNSPECIFIED.); #63471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#478893,#478894,#478895,#478896, #478897,#478898),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-1.60166279986794,-0.699730315874962, -8.69563948180385E-7),.UNSPECIFIED.); #63472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479095,#479096,#479097,#479098, #479099,#479100),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00461365475542814,-0.00230200184303684, -7.57023469100624E-6),.UNSPECIFIED.); #63473=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479102,#479103,#479104,#479105, #479106,#479107,#479108,#479109,#479110,#479111,#479112,#479113,#479114, #479115,#479116,#479117,#479118,#479119,#479120,#479121,#479122,#479123, #479124,#479125,#479126,#479127,#479128,#479129,#479130,#479131,#479132, #479133,#479134,#479135,#479136,#479137,#479138,#479139,#479140,#479141, #479142,#479143,#479144,#479145,#479146,#479147,#479148,#479149,#479150, #479151,#479152,#479153,#479154,#479155,#479156,#479157,#479158,#479159, #479160,#479161,#479162,#479163,#479164,#479165,#479166,#479167,#479168, #479169,#479170,#479171,#479172,#479173,#479174),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385224656084, 0.172338225875858,0.180057206335092,0.25,0.28125,0.3359375,0.350797253583005, 0.376953125,0.4384765625,0.5,0.514460733378512,0.580095061478402,0.594176314091672, 0.625,0.6328125,0.673189449047422,0.725740189199643,0.75,0.752939319741861, 0.8125,0.834225236507277,0.8359375,0.913060753733474,1.),.UNSPECIFIED.); #63474=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479176,#479177,#479178,#479179, #479180,#479181),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.500000000015,0.7500000000234, 1.),.UNSPECIFIED.); #63475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479183,#479184,#479185,#479186, #479187,#479188,#479189,#479190,#479191,#479192,#479193,#479194,#479195, #479196,#479197,#479198,#479199,#479200,#479201,#479202,#479203,#479204, #479205,#479206,#479207,#479208,#479209,#479210,#479211,#479212,#479213, #479214,#479215,#479216,#479217,#479218,#479219,#479220,#479221,#479222, #479223,#479224,#479225,#479226,#479227,#479228,#479229,#479230,#479231, #479232,#479233,#479234,#479235,#479236,#479237,#479238,#479239,#479240, #479241,#479242,#479243,#479244,#479245,#479246,#479247,#479248,#479249, #479250,#479251,#479252,#479253,#479254,#479255),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574411568, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040184412, 0.26935131430188,0.308907366613038,0.3333333333333,0.437207500784828,0.5, 0.520833333333337,0.539062500000008,0.570309548505057,0.655314521886369, 0.6666666666667,0.709783444018849,0.750000000000025,0.781555510976172,0.812500000000019, 0.824218750000018,0.853593437214871,0.926087578241472,0.983772210253225, 1.),.UNSPECIFIED.); #63476=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479257,#479258,#479259,#479260), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479262,#479263,#479264,#479265, #479266,#479267,#479268,#479269,#479270,#479271,#479272,#479273,#479274, #479275),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999978, 0.2499999999967,0.3124999999967,0.3749999999961,0.4374999999956,0.499999999995, 0.5624999999945,0.624999999994,0.6874999999935,0.7499999999931,1.), .UNSPECIFIED.); #63478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#479276,#479277,#479278,#479279, #479280,#479281,#479282,#479283,#479284,#479285,#479286,#479287,#479288, #479289,#479290,#479291,#479292,#479293,#479294,#479295,#479296,#479297), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066203,0.2999999182923, 0.3999999299642,0.5999999533082,0.6999999649801,0.7999999766522,1.), .UNSPECIFIED.); #63479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480017,#480018,#480019,#480020, #480021,#480022),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.024087085388461,-0.0119298581309506, -1.5837937015819E-6),.UNSPECIFIED.); #63480=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480025,#480026,#480027,#480028, #480029,#480030,#480031,#480032,#480033,#480034,#480035,#480036,#480037, #480038,#480039,#480040,#480041,#480042,#480043,#480044,#480045,#480046, #480047,#480048,#480049,#480050,#480051,#480052,#480053,#480054,#480055, #480056,#480057,#480058,#480059,#480060,#480061,#480062,#480063,#480064, #480065,#480066,#480067,#480068,#480069,#480070,#480071,#480072,#480073, #480074,#480075,#480076,#480077,#480078,#480079),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.00844264686126002,0.16666666666665, 0.17624981135524,0.249999999999975,0.291666666666638,0.312499999999969, 0.3333333333333,0.341894439926178,0.505015181735274,0.586247042704409,0.6666666666667, 0.667829947982479,0.708333333333363,0.726562500000027,0.743652343750026, 0.807739257812519,0.832989726122394,1.),.UNSPECIFIED.); #63481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480081,#480082,#480083,#480084, #480085,#480086,#480087,#480088,#480089,#480090,#480091,#480092,#480093, #480094,#480095,#480096,#480097,#480098,#480099),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.000355428968520973,0.109238645351036,0.69838504983618, 1.01727790108313,1.37538742919862,1.52626061265904,1.54277320611377), .UNSPECIFIED.); #63482=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480102,#480103,#480104,#480105, #480106,#480107),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.08844487748288E-6,0.458406727452467, 0.939998060065398),.UNSPECIFIED.); #63483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480108,#480109,#480110,#480111, #480112,#480113,#480114,#480115,#480116,#480117,#480118,#480119,#480120, #480121,#480122,#480123,#480124,#480125),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0892130437863786,-0.0821702779238301,-0.0751733837754679, -0.0652580182382059,-0.0516175890203223,-0.0353612325018986,-0.0193941287759442, -0.00961280199759661,-2.08828317016513E-6),.UNSPECIFIED.); #63484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480281,#480282,#480283,#480284, #480285,#480286,#480287,#480288,#480289,#480290,#480291,#480292,#480293, #480294,#480295,#480296,#480297,#480298,#480299,#480300,#480301,#480302, #480303,#480304,#480305,#480306,#480307,#480308,#480309,#480310,#480311, #480312,#480313,#480314,#480315,#480316,#480317,#480318,#480319,#480320, #480321,#480322,#480323,#480324,#480325,#480326,#480327,#480328,#480329), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01093889419352, 0.023952855312465,0.0309681937281463,0.0629947386693,0.115050583145225, 0.154092466502169,0.271218116573,0.32327396104875,0.3753298055245,0.4794414944761, 0.5835531834282,0.6876648723797,0.7917765613313,0.84383240580735,0.8958882502834, 1.),.UNSPECIFIED.); #63485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480442,#480443,#480444,#480445, #480446,#480447,#480448,#480449,#480450,#480451,#480452,#480453,#480454, #480455,#480456,#480457,#480458,#480459,#480460,#480461,#480462,#480463), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(0.,0.1999999066146,0.2999999182875, 0.3999999299603,0.5999999533061,0.6999999649788,0.7999999766517,1.), .UNSPECIFIED.); #63486=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480621,#480622,#480623,#480624, #480625,#480626,#480627,#480628,#480629,#480630,#480631,#480632,#480633, #480634),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999979, 0.2499999999976,0.3124999999975,0.3749999999973,0.4374999999972,0.4999999999971, 0.562499999997,0.6249999999968,0.6874999999966,0.7499999999965,1.), .UNSPECIFIED.); #63487=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480681,#480682,#480683,#480684), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480829,#480830,#480831,#480832, #480833,#480834,#480835,#480836,#480837,#480838,#480839,#480840,#480841, #480842,#480843,#480844,#480845,#480846,#480847,#480848,#480849,#480850, #480851,#480852,#480853,#480854,#480855,#480856,#480857,#480858,#480859, #480860,#480861,#480862,#480863,#480864,#480865,#480866,#480867,#480868, #480869,#480870,#480871,#480872,#480873,#480874,#480875,#480876,#480877, #480878,#480879,#480880,#480881,#480882,#480883,#480884,#480885,#480886, #480887,#480888,#480889,#480890,#480891,#480892,#480893,#480894,#480895, #480896,#480897,#480898,#480899,#480900,#480901),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0613275574403562, 0.083333333333325,0.114583333333322,0.128255208333321,0.184373040182063, 0.269351314289379,0.308907366609718,0.3333333333333,0.437207500781313,0.5, 0.520833333333337,0.539062500000008,0.570309548502029,0.655314521902041, 0.6666666666667,0.709783444017413,0.750000000000025,0.781555510975611,0.812500000000019, 0.824218750000018,0.853593437215788,0.926087578244877,0.983772210305828, 1.),.UNSPECIFIED.); #63489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#480971,#480972,#480973,#480974, #480975,#480976),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.5000000000277,0.7500000000314, 1.),.UNSPECIFIED.); #63490=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481110,#481111,#481112,#481113, #481114,#481115,#481116,#481117,#481118,#481119,#481120,#481121,#481122, #481123,#481124,#481125,#481126,#481127,#481128,#481129,#481130,#481131, #481132,#481133,#481134,#481135,#481136,#481137,#481138,#481139,#481140, #481141,#481142,#481143,#481144,#481145,#481146,#481147,#481148,#481149, #481150,#481151,#481152,#481153,#481154,#481155,#481156,#481157,#481158, #481159,#481160,#481161,#481162,#481163,#481164,#481165,#481166,#481167, #481168,#481169,#481170,#481171,#481172,#481173,#481174,#481175,#481176, #481177,#481178,#481179,#481180,#481181,#481182),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0912385328592193, 0.1723382665719,0.180057210189856,0.25,0.28125,0.3359375,0.350797255233015, 0.376953125,0.4384765625,0.5,0.514460737340533,0.580095062253417,0.594176317703488, 0.625,0.6328125,0.673189451576743,0.72574018932541,0.75,0.752939321140831, 0.8125,0.834225237201288,0.8359375,0.913060747776113,1.),.UNSPECIFIED.); #63491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481229,#481230,#481231,#481232, #481233,#481234,#481235,#481236,#481237,#481238,#481239,#481240,#481241, #481242,#481243,#481244),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481246,#481247,#481248,#481249, #481250,#481251,#481252,#481253,#481254,#481255,#481256,#481257,#481258, #481259,#481260,#481261),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749879159430442, -0.0715089748525961,-0.0674507135536448,-0.058512932832801,-0.0468023450022065, -0.0344122930757401,-0.0178257603342588,-9.8472622875323E-7), .UNSPECIFIED.); #63493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481262,#481263,#481264,#481265, #481266,#481267),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0221369693611736,-0.0112602436290069, -0.000213259650440419),.UNSPECIFIED.); #63494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481269,#481270,#481271,#481272, #481273,#481274),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0648414108064084,-0.033451983176257, -8.63579386195523E-7),.UNSPECIFIED.); #63495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481485,#481486,#481487,#481488, #481489,#481490,#481491,#481492),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145734931193E-6, 0.0234725701005073,0.0569978434834841,0.139690276061098),.UNSPECIFIED.); #63496=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481496,#481497,#481498,#481499, #481500,#481501,#481502,#481503,#481504,#481505,#481506,#481507,#481508, #481509,#481510,#481511,#481512,#481513,#481514,#481515,#481516,#481517, #481518,#481519,#481520,#481521,#481522,#481523,#481524,#481525,#481526, #481527,#481528,#481529,#481530,#481531,#481532,#481533,#481534,#481535, #481536,#481537,#481538,#481539,#481540,#481541,#481542,#481543,#481544, #481545,#481546,#481547,#481548,#481549,#481550,#481551,#481552,#481553, #481554,#481555,#481556,#481557,#481558,#481559,#481560,#481561,#481562, #481563,#481564,#481565,#481566,#481567,#481568,#481569,#481570,#481571, #481572,#481573,#481574,#481575,#481576,#481577,#481578,#481579,#481580, #481581,#481582,#481583,#481584,#481585,#481586,#481587,#481588,#481589, #481590,#481591,#481592,#481593,#481594,#481595),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0., 0.0158718590739,0.0411419900807999,0.04761792783952,0.0817997892912826, 0.1111100653734,0.151897873691097,0.1746022029073,0.215046688009412,0.2380943404438, 0.285089740344948,0.3015864779777,0.354073789128718,0.3650786155116,0.382672747058763, 0.431749286299136,0.435367736708157,0.440009459563743,0.4920628905794,0.566495185107351, 0.6190471656471,0.68253930318235,0.7460314407176,0.794692009726513,0.8095235782515, 0.86117631169064,0.8730157157853,0.9047617845536,0.91124874107879,0.936004607246549, 0.9365078533192,0.96553364914424,0.9682539220875,1.),.UNSPECIFIED.); #63497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481638,#481639,#481640,#481641, #481642,#481643,#481644),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188764, 1.),.UNSPECIFIED.); #63498=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481645,#481646,#481647,#481648, #481649,#481650,#481651,#481652),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0., 0.2499999652913,0.3749999706454,0.4999999759995,0.7499999867078,1.), .UNSPECIFIED.); #63499=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481674,#481675,#481676,#481677, #481678,#481679,#481680),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626611, 1.),.UNSPECIFIED.); #63500=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481681,#481682,#481683,#481684), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63501=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481712,#481713,#481714,#481715, #481716),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999992603,1.), .UNSPECIFIED.); #63502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481749,#481750,#481751,#481752, #481753,#481754,#481755,#481756,#481757,#481758,#481759,#481760,#481761, #481762,#481763,#481764,#481765,#481766,#481767),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(0.,0.2499999998687,0.335323954092744,0.4999999999083,0.718986545131188, 0.93180344410199,1.),.UNSPECIFIED.); #63503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481850,#481851,#481852,#481853, #481854,#481855,#481856,#481857,#481858,#481859,#481860,#481861,#481862, #481863,#481864,#481865),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(0.,0.1666667004692,0.2500000507051,0.3333334009415,0.3750000760597, 0.4166667511774,0.5000001014138,0.5833334516497,0.6666668018861,0.7500001521225, 0.7916668272403,0.8333335023584,0.9166668525948,1.),.UNSPECIFIED.); #63504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481961,#481962,#481963,#481964, #481965,#481966,#481967,#481968,#481969,#481970,#481971,#481972,#481973, #481974,#481975,#481976,#481977,#481978,#481979,#481980,#481981,#481982, #481983,#481984,#481985,#481986,#481987,#481988,#481989,#481990,#481991, #481992,#481993,#481994,#481995,#481996,#481997,#481998,#481999,#482000, #482001,#482002,#482003,#482004,#482005,#482006,#482007,#482008,#482009, #482010,#482011,#482012,#482013,#482014,#482015,#482016,#482017,#482018, #482019,#482020,#482021,#482022,#482023,#482024,#482025,#482026,#482027, #482028,#482029,#482030,#482031,#482032,#482033,#482034,#482035,#482036, #482037,#482038,#482039,#482040,#482041,#482042,#482043,#482044,#482045, #482046,#482047,#482048),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0302976793307781,0.0447155286914096, 0.087773032666164,0.132816244632621,0.1666666666667,0.168538835046201,0.20700854381436, 0.244996966917478,0.300878137472083,0.31890114413632,0.3333333333333,0.354703036673328, 0.390069857216527,0.433308213634721,0.458420719838261,0.5,0.522325576878218, 0.564506572192699,0.583059989676212,0.6415927328377,0.6666666666667,0.698202449084646, 0.764935149591463,0.804682902911073,0.8333333333333,0.893815550713026,0.904598897304211, 0.965552885096971,1.),.UNSPECIFIED.); #63505=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482239,#482240,#482241,#482242), .UNSPECIFIED.,.F.,.F.,(4,4),(5.36035501694853E-6,1.),.UNSPECIFIED.); #63506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482243,#482244,#482245,#482246, #482247,#482248,#482249,#482250,#482251,#482252,#482253,#482254,#482255), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(0.,0.2420448328063,0.641230265907147, 0.9283605279288,0.999999993157662),.UNSPECIFIED.); #63507=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482265,#482266,#482267,#482268, #482269,#482270,#482271,#482272,#482273,#482274,#482275,#482276,#482277, #482278,#482279,#482280,#482281,#482282),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.25871523144216,1.30151760065591,1.41523128331249,1.47208812464079, 1.52894496596908,1.58580180729737,1.64265864862566,1.75637233128224,1.79917470049587), .UNSPECIFIED.); #63508=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482292,#482293,#482294,#482295, #482296,#482297,#482298,#482299,#482300,#482301,#482302,#482303,#482304, #482305,#482306,#482307,#482308,#482309),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(1.25863334631657,1.30143571553017,1.41514939818675,1.47200623951504, 1.52886308084333,1.58571992217163,1.64257676349992,1.7562904461565,1.79909281537013), .UNSPECIFIED.); #63509=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482319,#482320,#482321,#482322, #482323,#482324),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0240839207037258,-0.0119358090195042, -2.91113711822146E-6),.UNSPECIFIED.); #63510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482326,#482327,#482328,#482329, #482330,#482331),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-1.05528981322903,-0.532988760546978, -1.42434544136982E-6),.UNSPECIFIED.); #63511=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482332,#482333,#482334,#482335, #482336,#482337),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.000355793189832008,0.36399777528439, 0.735613950655815),.UNSPECIFIED.); #63512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482339,#482340,#482341,#482342, #482343,#482344),.UNSPECIFIED.,.F.,.F.,(4,2,4),(3.54368560431762E-5,0.461018811424156, 0.972182374647957),.UNSPECIFIED.); #63513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482346,#482347,#482348,#482349, #482350,#482351),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.640227615617153,-0.310455483816023, -1.64866720478485E-6),.UNSPECIFIED.); #63514=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482352,#482353,#482354,#482355, #482356,#482357,#482358,#482359,#482360,#482361,#482362,#482363,#482364, #482365,#482366,#482367,#482368,#482369),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-0.0838086383406007,-0.0776017173923235,-0.0714299825994295, -0.0626524708896873,-0.0506708937806423,-0.0355459604605105,-0.0196624372688791, -0.00975406049663671,-1.64796711434165E-6),.UNSPECIFIED.); #63515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482503,#482504,#482505,#482506, #482507,#482508,#482509,#482510,#482511,#482512,#482513,#482514,#482515, #482516,#482517,#482518,#482519,#482520,#482521,#482522,#482523,#482524, #482525,#482526,#482527,#482528,#482529,#482530,#482531,#482532,#482533, #482534,#482535,#482536,#482537,#482538,#482539),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.01366808491461,0.046545815414535,0.07942354591446, 0.21093446791408,0.3424453899137,0.4739563119135,0.6054672339132,0.7369781559128, 0.8027336169126,0.8684890779124,0.9342445389562,1.),.UNSPECIFIED.); #63516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482696,#482697,#482698,#482699, #482700,#482701,#482702,#482703,#482704,#482705,#482706,#482707,#482708, #482709),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(0.,0.1428571427756, 0.2142857142113,0.2857142856461,0.3571428570818,0.4285714285174,0.4999999999523, 0.571428571388,0.7142857142585,0.7857142856934,0.857142857129,1.), .UNSPECIFIED.); #63517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#482855,#482856,#482857,#482858, #482859,#482860,#482861,#482862,#482863,#482864,#482865,#482866,#482867), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1249999999991,0.2499999999986, 0.3124999999983,0.374999999998,0.4374999999978,0.4999999999976,0.6249999999972, 0.6874999999966,0.7499999999966,1.),.UNSPECIFIED.); #63518=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483013,#483014,#483015,#483016, #483017,#483018,#483019,#483020,#483021,#483022,#483023,#483024,#483025, #483026,#483027,#483028,#483029,#483030,#483031,#483032,#483033,#483034, #483035,#483036,#483037,#483038,#483039,#483040,#483041,#483042,#483043, #483044,#483045,#483046,#483047,#483048,#483049,#483050,#483051,#483052), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.1249999999963, 0.187499999997,0.2499999999977,0.3749999999992,0.5000000000007,0.6250000000021, 0.7500000000036,0.8125000000046,0.875000000005,0.90625000000555,0.9375000000061, 0.96875000000305,1.),.UNSPECIFIED.); #63519=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483242,#483243,#483244,#483245, #483246,#483247,#483248,#483249,#483250,#483251,#483252,#483253,#483254, #483255,#483256,#483257,#483258,#483259,#483260,#483261,#483262,#483263, #483264,#483265,#483266,#483267,#483268,#483269,#483270,#483271,#483272, #483273,#483274,#483275,#483276,#483277,#483278,#483279,#483280,#483281, #483282,#483283,#483284,#483285,#483286,#483287,#483288,#483289,#483290, #483291,#483292,#483293,#483294,#483295,#483296,#483297,#483298,#483299, #483300,#483301,#483302,#483303,#483304,#483305,#483306,#483307,#483308, #483309,#483310,#483311,#483312,#483313,#483314,#483315,#483316,#483317, #483318,#483319,#483320,#483321,#483322,#483323,#483324,#483325,#483326, #483327,#483328,#483329,#483330,#483331,#483332,#483333,#483334,#483335, #483336,#483337,#483338,#483339,#483340,#483341,#483342,#483343,#483344, #483345,#483346,#483347,#483348,#483349,#483350,#483351,#483352,#483353), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0700459320078264,0.12826568950306,0.137737771885688, 0.1666666666667,0.20833333333335,0.239583333333338,0.267119710922978,0.312186107562913, 0.3333333333333,0.387690708178931,0.41666666666665,0.427083333333319,0.436197916666654, 0.444648566232333,0.472802902198867,0.5,0.501208915146675,0.537966596903345, 0.560385531910403,0.58333333333335,0.593750000000019,0.622387557050264, 0.630208333333359,0.64843750000003,0.6666666666667,0.70833333333335,0.723958333333344, 0.730794270833341,0.750656314410566,0.783405017999807,0.815887071237578, 0.8333333333333,0.874999999999975,0.878983775721602,0.890624999999978,0.976639617178804, 1.),.UNSPECIFIED.); #63520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483400,#483401,#483402,#483403, #483404,#483405,#483406,#483407,#483408,#483409,#483410,#483411,#483412, #483413,#483414,#483415),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.125, 0.5625,0.78125,0.890625,1.),.UNSPECIFIED.); #63521=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483417,#483418,#483419,#483420, #483421,#483422,#483423,#483424,#483425,#483426,#483427,#483428,#483429, #483430,#483431,#483432),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749742150027545, -0.0714965435754599,-0.0674396757296441,-0.058506831834082,-0.0467966253617656, -0.0344054050367082,-0.0178161590237871,-9.84725082864291E-7), .UNSPECIFIED.); #63522=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483433,#483434,#483435,#483436, #483437,#483438),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.000213259325174372,0.0112893435349885, 0.0221346200458919),.UNSPECIFIED.); #63523=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483440,#483441,#483442,#483443, #483444,#483445),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0649188420802199,-0.0334943454912077, -8.63576476162198E-7),.UNSPECIFIED.); #63524=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483656,#483657,#483658,#483659, #483660,#483661,#483662,#483663),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.60145771087699E-6, 0.023473239754457,0.0570004553882786,0.139699676944537),.UNSPECIFIED.); #63525=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483667,#483668,#483669,#483670, #483671,#483672,#483673,#483674,#483675,#483676,#483677,#483678,#483679, #483680,#483681,#483682,#483683,#483684,#483685,#483686,#483687,#483688, #483689,#483690,#483691,#483692,#483693,#483694,#483695,#483696,#483697, #483698,#483699,#483700,#483701,#483702,#483703,#483704,#483705,#483706, #483707,#483708,#483709,#483710,#483711,#483712,#483713,#483714,#483715, #483716,#483717,#483718,#483719,#483720,#483721,#483722,#483723,#483724, #483725,#483726,#483727,#483728,#483729,#483730,#483731,#483732,#483733, #483734,#483735,#483736,#483737,#483738,#483739,#483740,#483741,#483742, #483743,#483744,#483745,#483746,#483747,#483748,#483749,#483750,#483751, #483752,#483753,#483754,#483755,#483756,#483757,#483758,#483759,#483760, #483761,#483762,#483763,#483764,#483765,#483766),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0., 0.01587185919803,0.0411419935634417,0.04761792821266,0.0817997820206229, 0.1111100662446,0.151897856489425,0.1746022042738,0.215046682183031,0.2380943423031, 0.285089746575997,0.3015864803323,0.354073755543865,0.3650786183616,0.382672643387837, 0.431749184786446,0.435367627229648,0.440009338770891,0.4920628944227,0.566494986442396, 0.6190471704812,0.6825393085118,0.7460314465424,0.79469110400448,0.8095235845716, 0.861178066292746,0.8730157226009,0.9047617916155,0.911248416041307,0.936004347319492, 0.9365078606301,0.965570961500864,0.9682539296447,1.),.UNSPECIFIED.); #63526=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483804,#483805,#483806,#483807, #483808,#483809,#483810),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.2499999912856, 0.3749999924064,0.4999999935273,1.),.UNSPECIFIED.); #63527=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483811,#483812,#483813,#483814, #483815,#483816,#483817),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.500000000002783, 1.),.UNSPECIFIED.); #63528=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483844,#483845,#483846,#483847, #483848,#483849,#483850),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.4999999961923, 1.),.UNSPECIFIED.); #63529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483851,#483852,#483853,#483854, #483855,#483856,#483857),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.499999999999611, 1.),.UNSPECIFIED.); #63530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483884,#483885,#483886,#483887, #483888),.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.4999999993352,1.), .UNSPECIFIED.); #63531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#483957,#483958,#483959,#483960, #483961,#483962,#483963,#483964,#483965,#483966,#483967,#483968,#483969), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.,0.1818181818048,0.2727272727364, 0.363636363668,0.4545454545997,0.5454545455315,0.7272727273946,0.8181818183259, 0.9090909092577,0.9545454547233,1.),.UNSPECIFIED.); #63532=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484056,#484057,#484058,#484059, #484060,#484061,#484062,#484063,#484064,#484065,#484066,#484067,#484068, #484069,#484070,#484071,#484072,#484073,#484074,#484075,#484076,#484077, #484078,#484079,#484080,#484081,#484082,#484083,#484084,#484085,#484086, #484087,#484088,#484089,#484090,#484091,#484092,#484093,#484094,#484095, #484096,#484097,#484098,#484099,#484100,#484101,#484102,#484103,#484104, #484105,#484106,#484107,#484108,#484109,#484110,#484111,#484112,#484113, #484114,#484115,#484116,#484117,#484118,#484119,#484120,#484121,#484122), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4), (0.,0.0525997693122764,0.06250000016608,0.105405086079873,0.125000000202, 0.131816460888011,0.1562500002198,0.1875000002376,0.2500000002735,0.275502334771288, 0.312500000309,0.423836575169095,0.4375000003809,0.5625000004523,0.6875000005238, 0.712524622986363,0.7500000005597,0.8125000005953,0.859135215865851,0.8750000006312, 0.9375000006668,0.993103693333529,1.),.UNSPECIFIED.); #63533=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484180,#484181,#484182,#484183, #484184,#484185,#484186,#484187,#484188,#484189,#484190),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(0.,0.100000002023,0.2000000017991,0.4000000013492, 0.5000000011243,0.6000000009004,0.8000000004505,0.9000000002267,1.), .UNSPECIFIED.); #63534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484236,#484237,#484238,#484239, #484240,#484241,#484242,#484243,#484244,#484245,#484246,#484247,#484248, #484249,#484250,#484251,#484252,#484253,#484254,#484255,#484256,#484257, #484258,#484259,#484260,#484261,#484262,#484263,#484264,#484265,#484266, #484267,#484268,#484269,#484270,#484271,#484272,#484273,#484274,#484275, #484276,#484277,#484278,#484279,#484280,#484281,#484282,#484283,#484284), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0112677528671346, 0.16666666666665,0.178539983587111,0.249999999999975,0.291666666666638, 0.312499999999969,0.3333333333333,0.343615013678721,0.506251147091085,0.587284475256893, 0.6666666666667,0.708333333333363,0.726562500000027,0.743652343750026,0.833420770520487, 1.),.UNSPECIFIED.); #63535=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484475,#484476,#484477,#484478), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63536=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484479,#484480,#484481,#484482, #484483,#484484),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.2420573897527,0.9283617147705, 0.999999995523018),.UNSPECIFIED.); #63537=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484681,#484682,#484683,#484684, #484685,#484686),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.57023650980144E-6,0.00231049376221707, 0.00460807251405909),.UNSPECIFIED.); #63538=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484915,#484916,#484917,#484918, #484919,#484920),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00461638755007663,-0.00230139410942508, -7.57023451632045E-6),.UNSPECIFIED.); #63539=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484941,#484942,#484943,#484944, #484945,#484946),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0221362668131708,-0.011259823573297, -0.000213259841884719),.UNSPECIFIED.); #63540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#484947,#484948,#484949,#484950, #484951,#484952),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.0649209834473794,-0.0334952698638177, -8.6347864605707E-7),.UNSPECIFIED.); #63541=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485151,#485152,#485153,#485154, #485155,#485156,#485157,#485158,#485159,#485160,#485161,#485162,#485163, #485164,#485165,#485166),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-0.0749879099718641, -0.0715089993835439,-0.0674507336743388,-0.0585128458575492,-0.0468023378343577, -0.0344122887159148,-0.0178257523650595,-9.84552826904794E-7), .UNSPECIFIED.); #63542=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485209,#485210,#485211,#485212, #485213,#485214,#485215),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000001188836, 1.),.UNSPECIFIED.); #63543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#485236,#485237,#485238,#485239, #485240,#485241,#485242),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.5000006626663, 1.),.UNSPECIFIED.); #63544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486413,#486414,#486415,#486416, #486417,#486418,#486419,#486420,#486421,#486422),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63545=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486442,#486443,#486444,#486445, #486446,#486447,#486448,#486449,#486450,#486451),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63546=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486472,#486473,#486474,#486475, #486476,#486477,#486478,#486479,#486480,#486481,#486482,#486483,#486484, #486485,#486486,#486487,#486488,#486489),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714717,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486634,#486635,#486636,#486637, #486638,#486639,#486640,#486641,#486642,#486643),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486663,#486664,#486665,#486666, #486667,#486668,#486669,#486670,#486671,#486672),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486693,#486694,#486695,#486696, #486697,#486698,#486699,#486700,#486701,#486702,#486703,#486704,#486705, #486706,#486707,#486708,#486709,#486710),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714718,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63550=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486781,#486782,#486783,#486784, #486785,#486786,#486787,#486788,#486789,#486790),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63551=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486810,#486811,#486812,#486813, #486814,#486815,#486816,#486817,#486818,#486819),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63552=B_SPLINE_CURVE_WITH_KNOTS('',3,(#486840,#486841,#486842,#486843, #486844,#486845,#486846,#486847,#486848,#486849,#486850,#486851,#486852, #486853,#486854,#486855,#486856,#486857),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714718,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63553=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487002,#487003,#487004,#487005, #487006,#487007,#487008,#487009,#487010,#487011),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63554=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487031,#487032,#487033,#487034, #487035,#487036,#487037,#487038,#487039,#487040),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487061,#487062,#487063,#487064, #487065,#487066,#487067,#487068,#487069,#487070,#487071,#487072,#487073, #487074,#487075,#487076,#487077,#487078),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 2,2,2,2,4),(-1.69071792773124,-1.60640285714718,-1.46551300840291,-1.43079509055472, -1.39607717270653,-1.36135925485834,-1.32664133701014,-1.18575148826588, -1.10143641739818),.UNSPECIFIED.); #63556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487291,#487292,#487293,#487294, #487295,#487296),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.88397061780727E-5,0.0967212332410275, 0.183031704550148),.UNSPECIFIED.); #63557=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487301,#487302,#487303,#487304, #487305,#487306,#487307,#487308,#487309,#487310),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.149868312912163,-0.12888250333324,-0.111880374374519,-0.0770071103628092, -0.000111895772804885),.UNSPECIFIED.); #63558=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487315,#487316,#487317,#487318, #487319,#487320),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286497052002,-0.000714330538507329, -9.99999995162965E-7),.UNSPECIFIED.); #63559=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487321,#487322,#487323,#487324, #487325,#487326),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.99999913535915E-7,0.000714883812473468, 0.00133506755152595),.UNSPECIFIED.); #63560=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487544,#487545,#487546,#487547, #487548,#487549,#487550,#487551,#487552,#487553),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.340725399224807,-0.30170477428601,-0.263342035635523,-0.194592782248213, -8.04908210749744E-7),.UNSPECIFIED.); #63561=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487557,#487558,#487559,#487560, #487561,#487562),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.29228799543873E-6,0.224513557975012, 0.491265869437799),.UNSPECIFIED.); #63562=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487563,#487564,#487565,#487566, #487567,#487568),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98389860686901E-7,0.0396113904562758, 0.0797804723874375),.UNSPECIFIED.); #63563=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487648,#487649,#487650,#487651, #487652,#487653,#487654,#487655,#487656,#487657,#487658,#487659,#487660, #487661,#487662,#487663,#487664,#487665,#487666,#487667,#487668,#487669, #487670,#487671,#487672,#487673),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133325670168E-6,0.124326494671457,0.250302131173385,0.342709825126414, 0.404296336219859,0.562877249228976,0.678107513927906,0.800992273178055, 0.924826348373675,1.01473586417402,1.16607139351337,1.24902370358347,1.34383394228735), .UNSPECIFIED.); #63564=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487675,#487676,#487677,#487678, #487679,#487680),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486744831167E-6,0.317996328856053, 0.541902880779917),.UNSPECIFIED.); #63565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487681,#487682,#487683,#487684, #487685,#487686,#487687,#487688,#487689,#487690,#487691,#487692,#487693, #487694,#487695,#487696,#487697,#487698,#487699,#487700,#487701,#487702, #487703,#487704,#487705,#487706,#487707,#487708,#487709,#487710,#487711, #487712,#487713,#487714,#487715,#487716,#487717),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958029005375E-7,0.024957645076289,0.0476259852603142, 0.0623689014124192,0.0678930258120253,0.0734991969689689,0.0803836067780914, 0.0840418723961039,0.087878986669633,0.0927279417712619,0.0999511907317927, 0.107560549946989,0.112802849358333),.UNSPECIFIED.); #63566=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487723,#487724,#487725,#487726, #487727,#487728),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122752388,-0.0816969431543282, -1.00000455152682E-6),.UNSPECIFIED.); #63567=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487732,#487733,#487734,#487735, #487736,#487737,#487738,#487739,#487740,#487741,#487742,#487743,#487744, #487745,#487746,#487747,#487748,#487749,#487750,#487751,#487752,#487753, #487754,#487755,#487756,#487757,#487758,#487759,#487760,#487761,#487762, #487763,#487764,#487765,#487766,#487767,#487768),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958374786278E-7,0.0249576449304581,0.0476259849324057, 0.0623689009675615,0.0678930253243081,0.0734991964348801,0.0803836061821053, 0.0840418717659822,0.0878789860038496,0.092727941056945,0.0999511899190226, 0.107560548998681,0.112802848317105),.UNSPECIFIED.); #63568=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487770,#487771,#487772,#487773, #487774,#487775),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122782522,-0.081696943164994, -1.00000337435735E-6),.UNSPECIFIED.); #63569=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487779,#487780,#487781,#487782, #487783,#487784,#487785,#487786,#487787,#487788,#487789,#487790,#487791, #487792,#487793,#487794,#487795,#487796,#487797,#487798,#487799,#487800, #487801,#487802,#487803,#487804,#487805,#487806,#487807,#487808,#487809, #487810,#487811,#487812,#487813,#487814,#487815),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958571267127E-7,0.0249576451183695,0.047625985326206, 0.0623689014958568,0.0678930259019206,0.0734991970620437,0.0803836068698899, 0.0840418724855192,0.0878789867564977,0.092727941851066,0.0999511907866261, 0.107560549980464,0.112802849378597),.UNSPECIFIED.); #63570=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487817,#487818,#487819,#487820, #487821,#487822),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122847349,-0.0816969431788787, -1.00000455180438E-6),.UNSPECIFIED.); #63571=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487826,#487827,#487828,#487829, #487830,#487831,#487832,#487833,#487834,#487835,#487836,#487837,#487838, #487839,#487840,#487841,#487842,#487843,#487844,#487845,#487846,#487847, #487848,#487849,#487850,#487851,#487852,#487853,#487854,#487855,#487856, #487857,#487858,#487859,#487860,#487861,#487862),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958571347633E-7,0.0249576451233238,0.0476259853291613, 0.0623689014978732,0.0678930259036182,0.073499197063159,0.0803836068730427, 0.0840418724901455,0.0878789867623147,0.0927279418612962,0.0999511908278113, 0.107560550078739,0.112802849513412),.UNSPECIFIED.); #63572=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487864,#487865,#487866,#487867, #487868,#487869),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122851514,-0.0816969430898685, -1.00000455169336E-6),.UNSPECIFIED.); #63573=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487873,#487874,#487875,#487876, #487877,#487878,#487879,#487880,#487881,#487882,#487883,#487884,#487885, #487886,#487887,#487888,#487889,#487890,#487891,#487892,#487893,#487894, #487895,#487896,#487897,#487898,#487899,#487900,#487901,#487902,#487903, #487904,#487905,#487906,#487907,#487908,#487909),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,4),(9.99958060391915E-7,0.024957645048658,0.0476259851799427, 0.0623689012994405,0.067893025687057,0.0734991968274498,0.0803836066083383, 0.0840418722085556,0.0878789864633694,0.0927279415383012,0.0999511904333505, 0.107560549547212,0.112802848890637),.UNSPECIFIED.); #63574=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487910,#487911,#487912,#487913, #487914,#487915),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.166981122742235,-0.0816969430658354, -1.00000455205418E-6),.UNSPECIFIED.); #63575=B_SPLINE_CURVE_WITH_KNOTS('',3,(#487994,#487995,#487996,#487997, #487998,#487999),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286497724165,-0.00071433054491231, -9.999999976271E-7),.UNSPECIFIED.); #63576=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488001,#488002,#488003,#488004, #488005,#488006),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98388977814204E-7,0.0396118933613445, 0.0797804723348977),.UNSPECIFIED.); #63577=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488010,#488011,#488012,#488013, #488014,#488015,#488016,#488017,#488018,#488019,#488020,#488021,#488022, #488023,#488024,#488025,#488026,#488027,#488028,#488029,#488030,#488031, #488032,#488033,#488034,#488035),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133328356196E-6,0.124326494663034,0.250302131169166,0.342709825122018, 0.404296336214306,0.562877249226201,0.678107513912317,0.800992273170496, 0.924826348393094,1.01473586422922,1.16607139363982,1.24902370375826,1.34383394251799), .UNSPECIFIED.); #63578=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488036,#488037,#488038,#488039, #488040,#488041),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486728603849E-6,0.31799633217223, 0.541902889535499),.UNSPECIFIED.); #63579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488042,#488043,#488044,#488045, #488046,#488047),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.8839707080056E-5,0.0967212331981478, 0.183031704581424),.UNSPECIFIED.); #63580=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488052,#488053,#488054,#488055, #488056,#488057),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000058385352E-6,0.000711215921100825, 0.00133587481058085),.UNSPECIFIED.); #63581=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488167,#488168,#488169,#488170, #488171,#488172,#488173,#488174,#488175,#488176),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.149910672048903,-0.128871379680775,-0.111832129652754,-0.0768958626603209, -8.77976912660411E-5),.UNSPECIFIED.); #63582=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488322,#488323,#488324,#488325, #488326,#488327),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486794301637E-6,0.317996335363615, 0.541902906106495),.UNSPECIFIED.); #63583=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488329,#488330,#488331,#488332, #488333,#488334,#488335,#488336,#488337,#488338,#488339,#488340,#488341, #488342,#488343,#488344,#488345,#488346,#488347,#488348,#488349,#488350, #488351,#488352,#488353,#488354,#488355,#488356,#488357,#488358,#488359, #488360,#488361,#488362,#488363,#488364,#488365,#488366,#488367,#488368), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.55114305878843, -2.37652539311595,-2.16927144453081,-1.68920470403866,-1.50430068267058, -1.21698208667659,-1.13169973189183,-1.03178135950323,-0.976645687628213, -0.87895809560535,-0.786706590918705,-0.740449353978155,-0.649110602274367, -0.563411136399902,-0.489529738011301,-0.429852087849879,-0.329176971514736, -0.161999659016945,-0.08868226517651,-9.54869094016431E-7), .UNSPECIFIED.); #63584=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488374,#488375,#488376,#488377, #488378,#488379,#488380,#488381,#488382,#488383,#488384,#488385,#488386, #488387,#488388,#488389,#488390,#488391,#488392,#488393,#488394,#488395, #488396,#488397),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.21136432431145E-6, 0.0637554523504411,0.112662153790998,0.144126600855569,0.17574346036112, 0.217693276235116,0.247516809695816,0.28639286795573,0.363002401389254, 0.42528073386814,0.466314137388943,0.584010719195534),.UNSPECIFIED.); #63585=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488398,#488399,#488400,#488401, #488402,#488403,#488404,#488405,#488406,#488407),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(9.99999861195366E-7,0.244071336056042,0.335923496651626,0.386758913330207, 0.438420323020483),.UNSPECIFIED.); #63586=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488685,#488686,#488687,#488688, #488689,#488690),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.585353782251E-6,0.224513822047104, 0.491266128479738),.UNSPECIFIED.); #63587=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488756,#488757,#488758,#488759, #488760,#488761,#488762,#488763,#488764,#488765,#488766,#488767,#488768, #488769),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754826323026535,-0.511484436122254, -0.417953873512956,-0.31505178967492,-0.158441027112987,-0.0789041831167335, -1.59611126019476E-5),.UNSPECIFIED.); #63588=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488772,#488773,#488774,#488775, #488776,#488777,#488778,#488779,#488780,#488781,#488782,#488783,#488784, #488785,#488786,#488787,#488788,#488789,#488790,#488791,#488792,#488793, #488794,#488795,#488796,#488797),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51109827292543E-6,0.0544492641468723,0.104359714526039,0.189438070920114, 0.365240071557448,0.455841903874328,0.562918706106995,0.76087593892064, 0.818005063057009,0.909240872063722,1.03583826589378,1.10517596121533,1.17768940773309), .UNSPECIFIED.); #63589=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488801,#488802,#488803,#488804, #488805,#488806),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82597543694498E-7,0.0364522359852401, 0.0726014711842743),.UNSPECIFIED.); #63590=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488832,#488833,#488834,#488835, #488836,#488837,#488838,#488839,#488840,#488841),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63591=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488844,#488845,#488846,#488847, #488848,#488849),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00576575432103E-6,0.039894116362915, 0.0797869756480781),.UNSPECIFIED.); #63592=B_SPLINE_CURVE_WITH_KNOTS('',3,(#488851,#488852,#488853,#488854, #488855,#488856,#488857,#488858,#488859,#488860,#488861,#488862,#488863, #488864,#488865,#488866,#488867,#488868,#488869,#488870,#488871,#488872, #488873,#488874,#488875,#488876,#488877,#488878,#488879,#488880,#488881, #488882,#488883,#488884,#488885,#488886,#488887,#488888,#488889,#488890), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.55114305844394, -2.37652539149907,-2.16927144146502,-1.68920469743637,-1.50430067449647, -1.21698207813054,-1.13169972351668,-1.03178135144703,-0.976645679985392, -0.878958087647682,-0.786706583941863,-0.740449347496709,-0.649110596746215, -0.563411131845467,-0.489529734366145,-0.429852084852622,-0.329176969616351, -0.161999658233234,-0.0886822648155528,-9.54925303719989E-7), .UNSPECIFIED.); #63593=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489037,#489038,#489039,#489040, #489041,#489042),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.99999999976994E-7,0.00071119605310266, 0.00133592645330534),.UNSPECIFIED.); #63594=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489043,#489044,#489045,#489046, #489047,#489048,#489049,#489050,#489051,#489052),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.154093248757995,-0.130340281573839,-0.111358425563159,-0.0731355318992664, -8.77896494000998E-5),.UNSPECIFIED.); #63595=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489056,#489057,#489058,#489059, #489060,#489061,#489062,#489063,#489064,#489065,#489066,#489067,#489068, #489069,#489070,#489071,#489072,#489073,#489074,#489075,#489076,#489077, #489078,#489079),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.20599741494186E-6, 0.0637532286125708,0.112658776724378,0.144122187011948,0.175717379480603, 0.217638655363114,0.247439865391978,0.286297380221124,0.362904931551208, 0.425189278000048,0.466226414239173,0.583937374111007),.UNSPECIFIED.); #63596=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489080,#489081,#489082,#489083, #489084,#489085,#489086,#489087,#489088,#489089),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.438602554640018,-0.387322121129647,-0.336850099761114,-0.245403866950094, -1.00001190590065E-6),.UNSPECIFIED.); #63597=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489095,#489096,#489097,#489098, #489099,#489100),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286508391179,-0.000714330524532858, -9.99999999726548E-7),.UNSPECIFIED.); #63598=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489209,#489210,#489211,#489212, #489213,#489214),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.88396607946014E-5,0.0967284697188323, 0.183033096502431),.UNSPECIFIED.); #63599=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489292,#489293,#489294,#489295, #489296,#489297),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98818773656537E-7,0.0396139339138957, 0.0797806037285763),.UNSPECIFIED.); #63600=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489301,#489302,#489303,#489304, #489305,#489306,#489307,#489308,#489309,#489310,#489311,#489312,#489313, #489314,#489315,#489316,#489317,#489318,#489319,#489320,#489321,#489322, #489323,#489324,#489325,#489326),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133368477469E-6,0.124326491802658,0.250302123195135,0.342709813243732, 0.404296321580514,0.562877230429179,0.67810749210597,0.800992248123275, 0.924826320057933,1.01473583349142,1.1660713588575,1.24902366675424,1.34383390297581), .UNSPECIFIED.); #63601=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489327,#489328,#489329,#489330, #489331,#489332),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486800016297E-6,0.31799631722939, 0.541902872935549),.UNSPECIFIED.); #63602=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489479,#489480,#489481,#489482, #489483,#489484),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.9999999996544E-7,0.000714901005632632, 0.00133511557958725),.UNSPECIFIED.); #63603=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489485,#489486,#489487,#489488, #489489,#489490,#489491,#489492,#489493,#489494),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.153485949591461,-0.130126806669134,-0.111430860145677,-0.07368210796957, -0.000111879489050799),.UNSPECIFIED.); #63604=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489496,#489497,#489498,#489499, #489500,#489501,#489502,#489503,#489504,#489505,#489506,#489507,#489508, #489509,#489510,#489511,#489512,#489513,#489514,#489515,#489516,#489517, #489518,#489519,#489520,#489521,#489522,#489523,#489524,#489525,#489526, #489527,#489528,#489529,#489530,#489531,#489532,#489533,#489534,#489535), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.5511430357622, -2.3765253712152,-2.16927142395098,-1.68920468649525,-1.50430066635586, -1.21698207286035,-1.13169971883799,-1.0317813474181,-0.976645676129314, -0.878958084958184,-0.786706581427432,-0.740449345076659,-0.649110594533738, -0.563411129761381,-0.489529732337141,-0.429852082940733,-0.329176967911606, -0.16199965743304,-0.0886822644955031,-9.54924390672574E-7), .UNSPECIFIED.); #63605=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489539,#489540,#489541,#489542, #489543,#489544),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00244606605583635,-0.00122352959699388, -1.00000013830464E-6),.UNSPECIFIED.); #63606=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489546,#489547,#489548,#489549, #489550,#489551,#489552,#489553,#489554,#489555,#489556,#489557,#489558, #489559,#489560,#489561,#489562,#489563,#489564,#489565,#489566,#489567, #489568,#489569),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.35190136578219E-6, 0.0637494612741874,0.112654250808081,0.144116350231263,0.175652963258305, 0.217497004266329,0.24723690159816,0.286043229131167,0.362644875002894, 0.424945556969408,0.465992863760039,0.583743880698622),.UNSPECIFIED.); #63607=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489570,#489571,#489572,#489573, #489574,#489575,#489576,#489577,#489578,#489579),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.438786508450753,-0.388247715452167,-0.338486085525213,-0.24782500281998, -9.41971176615652E-7),.UNSPECIFIED.); #63608=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489585,#489586,#489587,#489588, #489589,#489590),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286495969435,-0.000714330535420454, -9.99999999877984E-7),.UNSPECIFIED.); #63609=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489699,#489700,#489701,#489702, #489703,#489704),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.8839705370024E-5,0.0967206954645026, 0.183031704607979),.UNSPECIFIED.); #63610=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489782,#489783,#489784,#489785, #489786,#489787),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98390338479334E-7,0.03961189338652, 0.0797804724467523),.UNSPECIFIED.); #63611=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489791,#489792,#489793,#489794, #489795,#489796,#489797,#489798,#489799,#489800,#489801,#489802,#489803, #489804,#489805,#489806,#489807,#489808,#489809,#489810,#489811,#489812, #489813,#489814,#489815,#489816),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133325164781E-6,0.124326494659932,0.250302131160248,0.342709825109324, 0.404296336199742,0.562877249215226,0.678107513909543,0.800992273139014, 0.924826348306734,1.01473586411752,1.16607139348528,1.24902370358381,1.34383394231834), .UNSPECIFIED.); #63612=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489817,#489818,#489819,#489820, #489821,#489822),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54486749600691E-6,0.317996350970678, 0.541902934124921),.UNSPECIFIED.); #63613=B_SPLINE_CURVE_WITH_KNOTS('',3,(#489968,#489969,#489970,#489971, #489972,#489973,#489974,#489975,#489976,#489977,#489978,#489979,#489980, #489981,#489982,#489983,#489984,#489985,#489986,#489987,#489988,#489989, #489990,#489991,#489992,#489993,#489994,#489995,#489996,#489997,#489998, #489999,#490000,#490001,#490002,#490003,#490004,#490005,#490006,#490007), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.55114304224206, -2.37652537703327,-2.16927142903294,-1.68920468982748,-1.50430066865667, -1.21698207401981,-1.13169971979427,-1.03178134812755,-0.9766456768174, -0.878958085131741,-0.786706581614163,-0.74044934526336,-0.649110594709423, -0.563411129961224,-0.489529732585249,-0.42985208319273,-0.329176968155416, -0.161999657525293,-0.0886822644827711,-9.54849255219159E-7), .UNSPECIFIED.); #63614=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490012,#490013,#490014,#490015, #490016,#490017,#490018,#490019,#490020,#490021,#490022,#490023,#490024, #490025,#490026,#490027,#490028,#490029,#490030,#490031,#490032,#490033, #490034,#490035),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(1.19763785160932E-6, 0.0637557086903777,0.112662434482616,0.144126965086802,0.175748622797972, 0.217704754159656,0.2475332201398,0.286413288091313,0.363022822232515,0.425299423239215, 0.466331743176437,0.584025093726398),.UNSPECIFIED.); #63615=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490038,#490039,#490040,#490041, #490042,#490043,#490044,#490045,#490046,#490047,#490048,#490049,#490050, #490051),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754829059091247,-0.511483780580143, -0.41795258957204,-0.315050823348097,-0.158440423302881,-0.0789038843231761, -1.56493796228522E-5),.UNSPECIFIED.); #63616=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490054,#490055,#490056,#490057, #490058,#490059),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82040829484848E-7,0.0364522484975181, 0.0726014706506),.UNSPECIFIED.); #63617=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490126,#490127,#490128,#490129, #490130,#490131,#490132,#490133,#490134,#490135,#490136,#490137,#490138, #490139,#490140,#490141,#490142,#490143,#490144,#490145,#490146,#490147, #490148,#490149,#490150,#490151),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51111067401232E-6,0.0544492641448018,0.10435971451813,0.189438070903667, 0.365240071547515,0.455841903866909,0.562918706106685,0.760875938977091, 0.818005063140303,0.909240872188253,1.03583826607229,1.10517596142652,1.17768940797244), .UNSPECIFIED.); #63618=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490154,#490155,#490156,#490157, #490158,#490159),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00576956073282E-6,0.0398941163637942, 0.0797869756490337),.UNSPECIFIED.); #63619=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490160,#490161,#490162,#490163, #490164,#490165,#490166,#490167,#490168,#490169,#490170,#490171,#490172, #490173,#490174,#490175,#490176,#490177,#490178,#490179,#490180,#490181, #490182,#490183,#490184,#490185,#490186,#490187,#490188,#490189,#490190, #490191,#490192,#490193,#490194,#490195,#490196,#490197,#490198,#490199), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.5511430566058, -2.376525390752,-2.169271441976,-1.689204701015,-1.50430067957501,-1.21698208364742, -1.13169972889759,-1.03178135660377,-0.976645684827373,-0.878958092785437, -0.786706588442463,-0.74044935169012,-0.649110600349491,-0.563411134835443, -0.48952973677529,-0.429852086856325,-0.329176970937083,-0.16199965881992, -0.0886822651207748,-9.5490520513053E-7),.UNSPECIFIED.); #63620=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490346,#490347,#490348,#490349, #490350,#490351),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.99999698229689E-7,0.000711266661689192, 0.0013359265583626),.UNSPECIFIED.); #63621=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490352,#490353,#490354,#490355, #490356,#490357,#490358,#490359,#490360,#490361),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.154093248478794,-0.130340281420285,-0.111358425484637,-0.0731355319316958, -8.7789649113798E-5),.UNSPECIFIED.); #63622=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490367,#490368,#490369,#490370, #490371,#490372,#490373,#490374,#490375,#490376,#490377,#490378,#490379, #490380,#490381,#490382,#490383,#490384,#490385,#490386,#490387,#490388, #490389,#490390),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(9.99996553844436E-7, 0.0646402814340353,0.113999251333662,0.14587386654083,0.182969458284412, 0.228801069302866,0.253051320404536,0.279746023916275,0.307805833836515, 0.357169143484902,0.408070891680777,0.457331530004583),.UNSPECIFIED.); #63623=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490391,#490392,#490393,#490394, #490395,#490396,#490397,#490398,#490399,#490400),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.438602557241892,-0.387322123850718,-0.336850102611191,-0.245403870066874, -1.00001702609191E-6),.UNSPECIFIED.); #63624=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490406,#490407,#490408,#490409, #490410,#490411),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00132286497310879,-0.000714330543735037, -9.99999995332426E-7),.UNSPECIFIED.); #63625=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490520,#490521,#490522,#490523, #490524,#490525),.UNSPECIFIED.,.F.,.F.,(4,2,4),(6.88397062568142E-5,0.0967212328056369, 0.183031704481225),.UNSPECIFIED.); #63626=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490603,#490604,#490605,#490606, #490607,#490608),.UNSPECIFIED.,.F.,.F.,(4,2,4),(9.98389019792492E-7,0.0396118933876061, 0.0797804723646097),.UNSPECIFIED.); #63627=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490611,#490612,#490613,#490614, #490615,#490616,#490617,#490618,#490619,#490620,#490621,#490622,#490623, #490624,#490625,#490626,#490627,#490628,#490629,#490630,#490631,#490632, #490633,#490634,#490635,#490636),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(1.44133368798227E-6,0.124326494668847,0.250302131163456,0.342709825106654, 0.404296336194126,0.56287724917791,0.678107513860226,0.800992273103894, 0.924826348290437,1.01473586409152,1.16607139343764,1.24902370351504,1.34383394223045), .UNSPECIFIED.); #63628=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490639,#490640,#490641,#490642, #490643,#490644,#490645,#490646,#490647,#490648,#490649,#490650,#490651, #490652,#490653,#490654,#490655,#490656,#490657,#490658,#490659,#490660, #490661,#490662,#490663,#490664),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51111853339982E-6,0.0544492641445579,0.104359714515681,0.18943807089796, 0.365240071537877,0.455841903855606,0.562918706090095,0.760875938936232, 0.818005063091163,0.909240872126833,1.03583826599593,1.10517596133973,1.17768940787763), .UNSPECIFIED.); #63629=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490665,#490666,#490667,#490668, #490669,#490670),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00577591082229E-6,0.0398941163642675, 0.0797869756500058),.UNSPECIFIED.); #63630=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490697,#490698,#490699,#490700, #490701,#490702),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82398146849402E-7,0.0364522367857326, 0.0726014707263279),.UNSPECIFIED.); #63631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490703,#490704,#490705,#490706, #490707,#490708,#490709,#490710,#490711,#490712),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63632=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490716,#490717,#490718,#490719, #490720,#490721,#490722,#490723,#490724,#490725,#490726,#490727,#490728, #490729),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754826546699371,-0.511484378799597, -0.417953764474126,-0.31505170761591,-0.158440976097712,-0.078904157940427, -1.59352745622288E-5),.UNSPECIFIED.); #63633=B_SPLINE_CURVE_WITH_KNOTS('',3,(#490796,#490797,#490798,#490799, #490800,#490801),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.56164938235357E-6,0.224513805693621, 0.491266108186637),.UNSPECIFIED.); #63634=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491039,#491040,#491041,#491042, #491043,#491044),.UNSPECIFIED.,.F.,.F.,(4,2,4),(3.34167336144128E-6,0.0364434225575556, 0.0725519503202257),.UNSPECIFIED.); #63635=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491046,#491047,#491048,#491049, #491050,#491051,#491052,#491053,#491054,#491055,#491056,#491057,#491058, #491059),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754848566906973,-0.511483767326402, -0.417948456683336,-0.315047228248601,-0.158437715501105,-0.0789026865062235, -1.58328492720041E-5),.UNSPECIFIED.); #63636=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491277,#491278,#491279,#491280, #491281,#491282),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.69538497541871E-6,0.224512761889011, 0.491265401074885),.UNSPECIFIED.); #63637=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491349,#491350,#491351,#491352, #491353,#491354,#491355,#491356,#491357,#491358,#491359,#491360,#491361, #491362,#491363,#491364,#491365,#491366,#491367,#491368,#491369,#491370, #491371,#491372,#491373,#491374),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51113077712791E-6,0.0544492641452999,0.104359714513723,0.189438070891558, 0.365240071519056,0.455841903827265,0.562918706053677,0.760875938857747, 0.818005062996658,0.909240872006885,1.03583826584062,1.10517596116559,1.17768940768589), .UNSPECIFIED.); #63638=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491399,#491400,#491401,#491402, #491403,#491404),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000001374913E-6,0.00421550931716374, 0.00836514095141087),.UNSPECIFIED.); #63639=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491405,#491406,#491407,#491408, #491409,#491410),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00577911726563E-6,0.03989411636465, 0.079786975650698),.UNSPECIFIED.); #63640=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491414,#491415,#491416,#491417, #491418,#491419,#491420,#491421,#491422,#491423),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63641=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491453,#491454,#491455,#491456, #491457,#491458,#491459,#491460,#491461,#491462,#491463,#491464,#491465, #491466),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.754831552172162,-0.511483502129553, -0.417951815653482,-0.31505020799217,-0.158440007331533,-0.0789036880239548, -1.5544838290165E-5),.UNSPECIFIED.); #63642=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491468,#491469,#491470,#491471, #491472,#491473),.UNSPECIFIED.,.F.,.F.,(4,2,4),(7.82443138117469E-7,0.0364522532511441, 0.0726014714131261),.UNSPECIFIED.); #63643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491540,#491541,#491542,#491543, #491544,#491545,#491546,#491547,#491548,#491549,#491550,#491551,#491552, #491553,#491554,#491555,#491556,#491557,#491558,#491559,#491560,#491561, #491562,#491563,#491564,#491565),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,4),(2.51109903207899E-6,0.0544492644098904,0.104359715135834,0.189438071913213, 0.365240073412959,0.455841905868289,0.562918708501454,0.760875942182123, 0.818005066148709,0.909240875010369,1.03583826716891,1.10517596217732,1.17768940886175), .UNSPECIFIED.); #63644=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491566,#491567,#491568,#491569, #491570,#491571),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.31294339399879E-6,0.215205572711389, 0.496585857003932),.UNSPECIFIED.); #63645=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491789,#491790,#491791,#491792, #491793,#491794),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00576563000867E-6,0.0398941163632427, 0.0797869756482202),.UNSPECIFIED.); #63646=B_SPLINE_CURVE_WITH_KNOTS('',3,(#491819,#491820,#491821,#491822, #491823,#491824,#491825,#491826,#491827,#491828),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.3333333333333,0.6666666666667,1.),.UNSPECIFIED.); #63647=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492891,#492892,#492893,#492894, #492895,#492896,#492897,#492898),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018851,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63648=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492937,#492938,#492939,#492940, #492941,#492942,#492943,#492944),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.7735236049646),.UNSPECIFIED.); #63649=B_SPLINE_CURVE_WITH_KNOTS('',3,(#492967,#492968,#492969,#492970, #492971,#492972,#492973,#492974),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018851,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63650=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493000,#493001,#493002,#493003, #493004,#493005,#493006,#493007),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63651=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493012,#493013,#493014,#493015, #493016,#493017,#493018,#493019),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63652=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493058,#493059,#493060,#493061, #493062,#493063,#493064,#493065),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63653=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493088,#493089,#493090,#493091, #493092,#493093,#493094,#493095),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.7735236049646, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63654=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493121,#493122,#493123,#493124, #493125,#493126,#493127,#493128),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63655=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493133,#493134,#493135,#493136, #493137,#493138,#493139,#493140),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496458, 1.87647369018851,1.97942377541243,2.06276191939166),.UNSPECIFIED.); #63656=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493179,#493180,#493181,#493182, #493183,#493184,#493185,#493186),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974068,1.7735236049646),.UNSPECIFIED.); #63657=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493209,#493210,#493211,#493212, #493213,#493214,#493215,#493216),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496458, 1.8764736901885,1.97942377541243,2.06276191939166),.UNSPECIFIED.); #63658=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493242,#493243,#493244,#493245, #493246,#493247,#493248,#493249),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.7735236049646),.UNSPECIFIED.); #63659=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493254,#493255,#493256,#493257, #493258,#493259,#493260,#493261),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.7735236049646, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63660=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493300,#493301,#493302,#493303, #493304,#493305,#493306,#493307),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.77352360496459),.UNSPECIFIED.); #63661=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493330,#493331,#493332,#493333, #493334,#493335,#493336,#493337),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.77352360496459, 1.87647369018852,1.97942377541244,2.06276191939166),.UNSPECIFIED.); #63662=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493363,#493364,#493365,#493366, #493367,#493368,#493369,#493370),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.48428529053753, 1.56762343451675,1.67057351974067,1.7735236049646),.UNSPECIFIED.); #63663=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493435,#493436,#493437,#493438, #493439,#493440,#493441,#493442,#493443,#493444,#493445,#493446,#493447, #493448),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(1.77222454237732,1.8411942966885, 1.92496128342615,2.0087282701638,2.09249525690145,2.1762622436391,2.24523199795029), .UNSPECIFIED.); #63664=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493459,#493460,#493461,#493462, #493463,#493464),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.55620703134976,2.61724702393643, 2.7321507810587),.UNSPECIFIED.); #63665=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493467,#493468,#493469,#493470, #493471,#493472),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.67176738951662,1.78667114663889, 1.84771113922556),.UNSPECIFIED.); #63666=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493476,#493477,#493478,#493479, #493480,#493481,#493482,#493483),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.273792737574378, 0.296103599025085,0.309562853526225,0.430696144036487,0.538370180045609, 0.569938930531725),.UNSPECIFIED.); #63667=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493485,#493486,#493487,#493488, #493489,#493490,#493491,#493492),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-2.7945637976506E-19, 0.107674036009122,0.215348072018243,0.242266581020524,0.269185090022804, 0.273792737574378),.UNSPECIFIED.); #63668=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493496,#493497,#493498,#493499, #493500,#493501,#493502,#493503,#493504),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376788674163999,-0.323022108027365,-0.269185090022804,-0.248996208271094, -0.215348072018243,-0.107674036009122,6.9177680047851E-45), .UNSPECIFIED.); #63669=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493508,#493509,#493510,#493511, #493512,#493513,#493514,#493515,#493516),.UNSPECIFIED.,.F.,.F.,(4,1,2,1, 1,4),(-0.753718252063852,-0.659503405388398,-0.565288558712944,-0.452230846970355, -0.395701991099061,-0.376786719602195),.UNSPECIFIED.); #63670=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493520,#493521,#493522,#493523, #493524,#493525,#493526,#493527,#493528,#493529,#493530,#493531,#493532), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-7.39757063764406E-16,0.107674036009121, 0.215348072018243,0.242266581020523,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.64604421605473, 0.753718252063852),.UNSPECIFIED.); #63671=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493535,#493536,#493537,#493538, #493539,#493540,#493541,#493542,#493543),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376786719602195,-0.339173135227766,-0.282644279356472,-0.254379851420825, -0.226115423485178,-0.113057711742589,8.6671334434807E-17), .UNSPECIFIED.); #63672=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493547,#493548,#493549,#493550, #493551,#493552,#493553,#493554,#493555),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608,-0.524910925544468, -0.484533162041047,-0.430696144036486,-0.376830853924267),.UNSPECIFIED.); #63673=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493559,#493560,#493561,#493562, #493563,#493564,#493565,#493566,#493567,#493568,#493569,#493570,#493571, #493572),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-4.52403380645698E-32, 0.107674036009122,0.161511054013683,0.215348072018244,0.323022108027365, 0.444155398537627,0.457614653038768,0.484533162041048,0.511451671043328, 0.538370180045609,0.646044216054731,0.753718252063852),.UNSPECIFIED.); #63674=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493575,#493576,#493577,#493578, #493579,#493580,#493581,#493582,#493583),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376830853924267,-0.323022108027365,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361641E-16), .UNSPECIFIED.); #63675=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493587,#493588,#493589,#493590, #493591,#493592,#493593,#493594),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.753718252063852, -0.64604421605473,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.376570482956629),.UNSPECIFIED.); #63676=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493598,#493599,#493600,#493601, #493602,#493603,#493604,#493605,#493606,#493607,#493608,#493609,#493610, #493611),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.92671461928697E-16, 0.107674036009121,0.215348072018243,0.242266581020524,0.269185090022804, 0.296103599025085,0.309562853526225,0.430696144036488,0.53837018004561, 0.592207198050171,0.646044216054732,0.753718252063854),.UNSPECIFIED.); #63677=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493614,#493615,#493616,#493617, #493618,#493619,#493620,#493621,#493622,#493623),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.376570482956629,-0.296103599025084,-0.269185090022804, -0.242266581020523,-0.228807326519383,-0.215348072018243,-0.107674036009121, 9.4122721514474E-16),.UNSPECIFIED.); #63678=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493627,#493628,#493629,#493630, #493631,#493632,#493633,#493634,#493635,#493636,#493637),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063853,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043329,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376788674164),.UNSPECIFIED.); #63679=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493641,#493642,#493643,#493644, #493645,#493646,#493647,#493648,#493649,#493650,#493651,#493652,#493653), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-9.42224513361644E-16,0.107674036009121, 0.215348072018243,0.242266581020524,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.53837018004561,0.646044216054732, 0.753718252063854),.UNSPECIFIED.); #63680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493656,#493657,#493658,#493659, #493660,#493661,#493662,#493663,#493664),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376788674164,-0.323022108027366,-0.269185090022805,-0.248996208271094, -0.215348072018244,-0.107674036009122,3.16168801885136E-18), .UNSPECIFIED.); #63681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493668,#493669,#493670,#493671, #493672,#493673,#493674,#493675,#493676),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.640660540321263,-0.527602828578674,-0.499338400643027, -0.47107397270738,-0.414545116836085,-0.376949926990435),.UNSPECIFIED.); #63682=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493680,#493681,#493682,#493683, #493684,#493685,#493686,#493687,#493688,#493689,#493690,#493691,#493692, #493693,#493694),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,2,4),(-8.90228586609956E-17, 0.0659503405388397,0.131900681077679,0.197851021616519,0.263801362155359, 0.329751702694199,0.395702043233039,0.461652383771879,0.527602724310718, 0.593553064849558,0.659503405388398,0.753718252063852),.UNSPECIFIED.); #63683=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493697,#493698,#493699,#493700, #493701,#493702,#493703,#493704,#493705),.UNSPECIFIED.,.F.,.F.,(4,1,1,2, 1,4),(-0.376949926990435,-0.358016260964791,-0.301487405093497,-0.188429693350908, -0.075371877340363,8.66713344348107E-17),.UNSPECIFIED.); #63684=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493709,#493710,#493711,#493712, #493713,#493714,#493715,#493716,#493717,#493718,#493719),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063853,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043329,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376788678842217),.UNSPECIFIED.); #63685=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493723,#493724,#493725,#493726, #493727,#493728,#493729,#493730,#493731,#493732,#493733,#493734,#493735, #493736,#493737),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,2,4),(-8.55553178926869E-16, 0.113057816010545,0.188429693350908,0.282644435758406,0.329751806962155, 0.423966549369653,0.471073920573402,0.518181291777151,0.5652886629809,0.659503405388398, 0.753718252063854),.UNSPECIFIED.); #63686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493740,#493741,#493742,#493743, #493744,#493745,#493746,#493747,#493748),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376788678842217,-0.323022108027365,-0.269185090022804,-0.248996208271094, -0.215348072018244,-0.107674036009122,6.11298813144299E-30), .UNSPECIFIED.); #63687=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493752,#493753,#493754,#493755, #493756,#493757,#493758,#493759),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,4),(-0.753718252063852, -0.640660436053307,-0.565288558712944,-0.471073816305446,-0.377059947908616), .UNSPECIFIED.); #63688=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493763,#493764,#493765,#493766, #493767,#493768,#493769,#493770,#493771,#493772,#493773,#493774,#493775), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-8.90228586610146E-17,0.107674036009122, 0.215348072018243,0.242266581020524,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #63689=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493778,#493779,#493780,#493781, #493782,#493783,#493784,#493785,#493786,#493787,#493788,#493789), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,2,4),(-0.377059947908616,-0.376859073897948, -0.353305388296073,-0.329751702694199,-0.28264433149045,-0.235536960286701, -0.188429589082952,-0.0942148466754539,9.42224513361682E-16), .UNSPECIFIED.); #63690=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493793,#493794,#493795,#493796, #493797,#493798,#493799,#493800,#493801,#493802,#493803),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608, -0.524910925544468,-0.511451671043328,-0.484533162041048,-0.471073907539907, -0.457614653038767,-0.377098933583968),.UNSPECIFIED.); #63691=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493807,#493808,#493809,#493810, #493811,#493812,#493813,#493814,#493815,#493816,#493817,#493818,#493819, #493820),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.42224513361644E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.296103599025084,0.309562853526224,0.430696144036486,0.538370180045608, 0.592207198050169,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63692=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493823,#493824,#493825,#493826, #493827,#493828,#493829,#493830),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.377098933583968, -0.323022108027365,-0.215348072018243,-0.161511054013682,-0.107674036009122, 8.69875032366961E-17),.UNSPECIFIED.); #63693=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493834,#493835,#493836,#493837, #493838,#493839,#493840,#493841,#493842,#493843,#493844),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608, -0.524910925544468,-0.511451671043328,-0.484533162041048,-0.471073907539907, -0.457614653038767,-0.37710815527095),.UNSPECIFIED.); #63694=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493848,#493849,#493850,#493851, #493852,#493853,#493854,#493855),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.37689651525304, 0.430696144036487,0.484533162041048,0.538370180045609,0.64604421605473, 0.753718252063852),.UNSPECIFIED.); #63695=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493865,#493866,#493867,#493868, #493869,#493870,#493871,#493872,#493873),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-7.39757063764407E-16,0.107674036009121,0.215348072018243,0.242266581020523, 0.269185090022804,0.323022108027365,0.37689651525304),.UNSPECIFIED.); #63696=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493876,#493877,#493878,#493879, #493880,#493881,#493882,#493883),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.37710815527095, -0.323022108027365,-0.215348072018243,-0.161511054013682,-0.107674036009122, 8.66713344348105E-17),.UNSPECIFIED.); #63697=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493887,#493888,#493889,#493890, #493891,#493892,#493893,#493894,#493895,#493896,#493897),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045609, -0.524910925544468,-0.511451671043328,-0.484533162041048,-0.471073907539907, -0.457614653038767,-0.377098933583967),.UNSPECIFIED.); #63698=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493901,#493902,#493903,#493904, #493905,#493906,#493907,#493908,#493909,#493910,#493911,#493912,#493913, #493914,#493915,#493916),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-8.55553178926864E-16,0.065950340538839,0.131900681077679,0.197851021616519, 0.263801362155359,0.329751702694199,0.362726872963619,0.395702043233039, 0.461652383771879,0.527602724310719,0.593553064849558,0.659503405388398, 0.753718252063854),.UNSPECIFIED.); #63699=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493919,#493920,#493921,#493922, #493923,#493924,#493925,#493926),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(-0.377098933583967, -0.323022108027365,-0.215348072018243,-0.161511054013683,-0.107674036009122, 4.7682920242965E-18),.UNSPECIFIED.); #63700=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493930,#493931,#493932,#493933, #493934,#493935,#493936,#493937,#493938,#493939,#493940),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043328,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376789688319131),.UNSPECIFIED.); #63701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493944,#493945,#493946,#493947, #493948,#493949,#493950,#493951,#493952,#493953,#493954,#493955,#493956, #493957),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-1.38777878078145E-17, 0.0659503405388399,0.13190068107768,0.263801362155359,0.329751702694199, 0.395702043233039,0.461652383771879,0.527602724310719,0.593553064849558, 0.659503405388398,0.753718252063853),.UNSPECIFIED.); #63702=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493960,#493961,#493962,#493963, #493964,#493965,#493966,#493967,#493968),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376789688319131,-0.323022108027365,-0.269185090022804,-0.248996208271094, -0.215348072018244,-0.107674036009122,1.2643413859963E-31), .UNSPECIFIED.); #63703=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493972,#493973,#493974,#493975, #493976,#493977,#493978,#493979,#493980),.UNSPECIFIED.,.F.,.F.,(4,1,2,1, 1,4),(-0.753718252063852,-0.659503405388398,-0.565288558712944,-0.452230846970355, -0.395701991099061,-0.376792166191715),.UNSPECIFIED.); #63704=B_SPLINE_CURVE_WITH_KNOTS('',3,(#493984,#493985,#493986,#493987, #493988,#493989,#493990,#493991,#493992,#493993,#493994,#493995,#493996, #493997),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(1.62299143742911E-16, 0.107674036009122,0.215348072018244,0.242266581020524,0.269185090022805, 0.296103599025085,0.309562853526225,0.430696144036487,0.538370180045609, 0.59220719805017,0.646044216054731,0.753718252063853),.UNSPECIFIED.); #63705=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494000,#494001,#494002,#494003, #494004,#494005,#494006,#494007,#494008),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376792166191715,-0.339173135227766,-0.282644279356472,-0.254379851420825, -0.226115423485178,-0.113057711742589,8.6671334434807E-17), .UNSPECIFIED.); #63706=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494012,#494013,#494014,#494015, #494016,#494017,#494018,#494019,#494020),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.640660540321263,-0.527602828578674,-0.499338400643027, -0.47107397270738,-0.414545116836085,-0.376949926990435),.UNSPECIFIED.); #63707=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494024,#494025,#494026,#494027, #494028,#494029,#494030,#494031,#494032,#494033,#494034,#494035,#494036), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-8.90228586609956E-17,0.107674036009122, 0.215348072018243,0.242266581020524,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #63708=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494039,#494040,#494041,#494042, #494043,#494044,#494045,#494046,#494047),.UNSPECIFIED.,.F.,.F.,(4,1,1,2, 1,4),(-0.376949926990435,-0.358016260964791,-0.301487405093497,-0.188429693350908, -0.075371877340363,8.66713344348075E-17),.UNSPECIFIED.); #63709=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494051,#494052,#494053,#494054, #494055,#494056,#494057,#494058,#494059),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.64604421605473,-0.538370180045608,-0.524910925544468, -0.484533162041047,-0.430696144036487,-0.376830853924268),.UNSPECIFIED.); #63710=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494063,#494064,#494065,#494066, #494067,#494068,#494069,#494070,#494071,#494072,#494073,#494074,#494075), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-9.39992480277463E-16,0.107674036009121, 0.215348072018243,0.242266581020523,0.269185090022804,0.323022108027365, 0.430696144036487,0.484533162041048,0.538370180045609,0.646044216054731, 0.753718252063852),.UNSPECIFIED.); #63711=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494078,#494079,#494080,#494081, #494082,#494083,#494084,#494085,#494086),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.376830853924268,-0.323022108027365,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361642E-16), .UNSPECIFIED.); #63712=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494090,#494091,#494092,#494093, #494094,#494095,#494096,#494097,#494098),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(-0.753718252063852,-0.687767911525013,-0.621817570986173,-0.555867230447333, -0.489916889908493,-0.423966549369653,-0.376556207780321),.UNSPECIFIED.); #63713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494102,#494103,#494104,#494105, #494106,#494107,#494108,#494109,#494110,#494111,#494112,#494113,#494114, #494115),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-7.39757063765003E-16, 0.107674036009121,0.215348072018243,0.242266581020524,0.269185090022804, 0.296103599025085,0.309562853526225,0.430696144036487,0.538370180045609, 0.59220719805017,0.646044216054732,0.753718252063854),.UNSPECIFIED.); #63714=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494118,#494119,#494120,#494121, #494122,#494123,#494124,#494125,#494126,#494127),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,2,4),(-0.376556207780321,-0.358016208830813,-0.292065868291973, -0.226115527753134,-0.160165187214294,-0.0942148466754539,9.45693960313659E-16), .UNSPECIFIED.); #63715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494130,#494131,#494132,#494133, #494134,#494135,#494136,#494137,#494138,#494139,#494140),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731,-0.60566645255131, -0.538370180045609,-0.511451671043328,-0.484533162041048,-0.464344280289338, -0.430696144036487,-0.376788674163999),.UNSPECIFIED.); #63716=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494143,#494144,#494145,#494146, #494147,#494148),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.569938930531725,0.59220719805017, 0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63717=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494184,#494185,#494186,#494187, #494188,#494189,#494190,#494191),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(1.50610004952817, 1.52904970141946,1.65664613525166,1.75593608588212),.UNSPECIFIED.); #63718=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494198,#494199,#494200,#494201, #494202,#494203,#494204,#494205),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.87257096123353, 0.971860911863991,1.0994573456962,1.12240699758749),.UNSPECIFIED.); #63719=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494373,#494374,#494375,#494376, #494377,#494378,#494379,#494380,#494381,#494382,#494383,#494384,#494385), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020523,-0.215348072018243,-0.107674036009121, 9.39992480277463E-16),.UNSPECIFIED.); #63720=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494446,#494447,#494448,#494449, #494450,#494451,#494452,#494453,#494454,#494455,#494456,#494457,#494458, #494459),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.42224513361642E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036487,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63721=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494464,#494465,#494466,#494467, #494468,#494469,#494470,#494471,#494472,#494473,#494474,#494475,#494476, #494477,#494478,#494479),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.7286385338222E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165588,0.621756568799849,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63722=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494550,#494551,#494552,#494553, #494554,#494555,#494556,#494557,#494558,#494559,#494560,#494561,#494562, #494563),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-1.76247905159244E-15, 0.113046631323365,0.226093262646733,0.254354920477574,0.282616578308416, 0.3391398939701,0.395663209631783,0.452186525293467,0.565233156616834,0.678279852287755, 0.753644316068368),.UNSPECIFIED.); #63723=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494564,#494565,#494566,#494567, #494568,#494569,#494570,#494571,#494572,#494573,#494574,#494575,#494576, #494577),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-0.753644316068368, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.226093262646733, -0.113046631323365,1.76247905159244E-15),.UNSPECIFIED.); #63724=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494647,#494648,#494649,#494650, #494651,#494652,#494653,#494654,#494655,#494656,#494657,#494658,#494659, #494660,#494661,#494662),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799849,-0.555812695165588, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.7286385338222E-16),.UNSPECIFIED.); #63725=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494669,#494670,#494671,#494672, #494673,#494674,#494675,#494676,#494677,#494678,#494679),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(-9.64175146780574E-16,0.107663473724052,0.215326947448105, 0.228784881663611,0.242242815879118,0.269158684310131,0.296074552741145, 0.309532486956651,0.37645847312895),.UNSPECIFIED.); #63726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494683,#494684,#494685,#494686, #494687,#494688,#494689,#494690,#494691),.UNSPECIFIED.,.F.,.F.,(4,1,1,2, 1,4),(-0.376908498880252,-0.357981106436584,-0.3014577907749,-0.188411159451533, -0.0942055797257675,-1.76247905159244E-15),.UNSPECIFIED.); #63727=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494696,#494697,#494698,#494699, #494700,#494701,#494702,#494703,#494704,#494705),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-0.753644316068368,-0.640597684745001,-0.527551053421634, -0.513420224506213,-0.499289395590793,-0.471027737759951,-0.414504422098267, -0.376908498880252),.UNSPECIFIED.); #63728=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494708,#494709,#494710,#494711, #494712,#494713,#494714,#494715),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.37645847312895, 0.430653894896211,0.538317368620264,0.59214910548229,0.645980842344317, 0.753644316068369),.UNSPECIFIED.); #63729=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494720,#494721,#494722,#494723, #494724,#494725,#494726,#494727,#494728,#494729,#494730,#494731,#494732, #494733,#494734,#494735),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-1.81315475125379E-15,0.107663473724051,0.148037276370571,0.215326947448104, 0.242242815879118,0.269158684310131,0.289345585633391,0.322990421172157, 0.43065389489621,0.484485631758237,0.504672533081497,0.538317368620264, 0.645980842344317,0.75364431606837),.UNSPECIFIED.); #63730=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494738,#494739,#494740,#494741, #494742,#494743,#494744,#494745,#494746,#494747,#494748,#494749,#494750), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369,-0.645980842344317, -0.538317368620264,-0.511401500189251,-0.484485631758238,-0.430653894896212, -0.322990421172159,-0.269158684310133,-0.215326947448107,-0.107663473724054, -1.76247905159243E-15),.UNSPECIFIED.); #63731=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494755,#494756,#494757,#494758, #494759,#494760,#494761,#494762,#494763,#494764,#494765,#494766,#494767, #494768),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-2.64109001901538E-15, 0.0659438736342578,0.131887747268518,0.263775494537039,0.329719368171299, 0.39566324180556,0.46160711543982,0.52755098907408,0.593494862708341,0.659438736342601, 0.753644316068369),.UNSPECIFIED.); #63732=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494771,#494772,#494773,#494774, #494775,#494776,#494777,#494778,#494779,#494780,#494781,#494782,#494783), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068368,-0.645980842344316, -0.538317368620264,-0.51140150018925,-0.484485631758237,-0.430653894896211, -0.322990421172159,-0.269158684310133,-0.215326947448106,-0.107663473724054, -1.76247905159244E-15),.UNSPECIFIED.); #63733=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494788,#494789,#494790,#494791, #494792,#494793,#494794,#494795,#494796,#494797,#494798,#494799,#494800, #494801,#494802),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,2,2,4),(-1.80827672160342E-15, 0.094205579725767,0.15308402683715,0.211962473948534,0.270840921059917, 0.329719368171301,0.447476262394068,0.565233156616835,0.659438736342602, 0.75364431606837),.UNSPECIFIED.); #63734=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494805,#494806,#494807,#494808, #494809,#494810,#494811,#494812,#494813,#494814,#494815,#494816,#494817), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369,-0.645980842344316, -0.538317368620264,-0.511401500189251,-0.484485631758238,-0.430653894896211, -0.322990421172159,-0.269158684310133,-0.215326947448107,-0.107663473724054, -1.76247905159244E-15),.UNSPECIFIED.); #63735=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494822,#494823,#494824,#494825, #494826,#494827,#494828,#494829,#494830,#494831,#494832,#494833,#494834, #494835),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-9.64175146780574E-16, 0.0659438736342593,0.131887747268519,0.26377549453704,0.3297193681713,0.39566324180556, 0.461607115439821,0.527550989074081,0.593494862708341,0.659438736342601, 0.753644316068369),.UNSPECIFIED.); #63736=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494838,#494839,#494840,#494841, #494842,#494843,#494844,#494845,#494846,#494847,#494848,#494849,#494850, #494851,#494852),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-0.753644316068368, -0.640597684745001,-0.527551053421634,-0.513420224506213,-0.499289395590793, -0.471027737759951,-0.414504422098267,-0.357981106436584,-0.3014577907749, -0.188411159451533,-0.0942055797257675,-1.76247905159244E-15), .UNSPECIFIED.); #63737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494857,#494858,#494859,#494860, #494861,#494862,#494863,#494864,#494865,#494866,#494867,#494868,#494869, #494870,#494871),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,2,1,1,1,1,4),(-2.5321805907428E-15, 0.094205579725767,0.169569995245715,0.244934410765664,0.320298826285612, 0.471027657325509,0.499289323199795,0.527550989074081,0.640597652571225, 0.682990151382654,0.753644316068369),.UNSPECIFIED.); #63738=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494874,#494875,#494876,#494877, #494878,#494879,#494880,#494881,#494882,#494883,#494884,#494885,#494886), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068368,-0.645980842344316, -0.538317368620263,-0.51140150018925,-0.484485631758237,-0.430653894896211, -0.322990421172158,-0.269158684310132,-0.215326947448105,-0.107663473724053, 7.93640295628326E-17),.UNSPECIFIED.); #63739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494891,#494892,#494893,#494894, #494895,#494896,#494897,#494898,#494899,#494900,#494901,#494902,#494903, #494904),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-1.00658421307692E-15, 0.113046631323366,0.226093262646733,0.254354920477575,0.282616578308417, 0.3391398939701,0.395663209631784,0.452186525293467,0.565233156616834,0.678279852287755, 0.753644316068369),.UNSPECIFIED.); #63740=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494907,#494908,#494909,#494910, #494911,#494912,#494913,#494914,#494915,#494916,#494917,#494918,#494919, #494920,#494921,#494922),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799848,-0.555812695165588, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, 1.47968221122463E-15),.UNSPECIFIED.); #63741=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494927,#494928,#494929,#494930, #494931,#494932,#494933,#494934,#494935,#494936,#494937,#494938,#494939, #494940),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-2.23340110464413E-15, 0.0659438736342581,0.131887747268518,0.263775494537039,0.3297193681713, 0.39566324180556,0.46160711543982,0.52755098907408,0.593494862708341,0.659438736342601, 0.753644316068369),.UNSPECIFIED.); #63742=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494943,#494944,#494945,#494946, #494947,#494948,#494949,#494950,#494951,#494952,#494953,#494954,#494955, #494956),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753644316068368, -0.645980842344316,-0.59214910548229,-0.538317368620264,-0.430653894896211, -0.296074552741146,-0.269158684310133,-0.24224281587912,-0.228784881663613, -0.215326947448106,-0.107663473724054,-1.74860126378462E-15), .UNSPECIFIED.); #63743=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494961,#494962,#494963,#494964, #494965,#494966,#494967,#494968,#494969,#494970,#494971,#494972,#494973, #494974,#494975,#494976),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-1.02046200088479E-15,0.107663473724052,0.148037276370572,0.215326947448105, 0.242242815879118,0.269158684310131,0.289345585633391,0.322990421172158, 0.43065389489621,0.484485631758237,0.504672533081497,0.538317368620263, 0.645980842344316,0.753644316068369),.UNSPECIFIED.); #63744=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494979,#494980,#494981,#494982, #494983,#494984,#494985,#494986,#494987,#494988,#494989,#494990,#494991, #494992,#494993,#494994),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.75364431606837,-0.687700442434109,-0.621756568799849,-0.555812695165589, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.4449758703877E-16),.UNSPECIFIED.); #63745=B_SPLINE_CURVE_WITH_KNOTS('',3,(#494999,#495000,#495001,#495002, #495003,#495004,#495005,#495006,#495007,#495008,#495009,#495010,#495011, #495012,#495013),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,2,2,4),(-1.84352976573045E-15, 0.094205579725767,0.15308402683715,0.211962473948534,0.270840921059917, 0.3297193681713,0.447476262394067,0.565233156616834,0.659438736342601,0.753644316068369), .UNSPECIFIED.); #63746=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495016,#495017,#495018,#495019, #495020,#495021,#495022,#495023,#495024,#495025,#495026,#495027,#495028, #495029,#495030),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-0.753644316068369, -0.640597684745002,-0.527551053421635,-0.513420224506214,-0.499289395590793, -0.471027737759951,-0.414504422098268,-0.357981106436584,-0.3014577907749, -0.188411159451533,-0.0942055797257666,8.15756624988073E-17), .UNSPECIFIED.); #63747=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495035,#495036,#495037,#495038, #495039,#495040,#495041,#495042,#495043,#495044,#495045,#495046,#495047), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,2,1,1,1,1,4),(-9.72826288461632E-16,0.113046663497143, 0.169569995245715,0.226093326994287,0.282616658742859,0.471027721673063, 0.518130487405614,0.565233253138165,0.659438784603267,0.753644316068369), .UNSPECIFIED.); #63748=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495050,#495051,#495052,#495053, #495054,#495055,#495056,#495057,#495058,#495059,#495060,#495061,#495062, #495063,#495064,#495065),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799849,-0.555812695165589, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.44082395692724E-16),.UNSPECIFIED.); #63749=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495070,#495071,#495072,#495073, #495074,#495075,#495076,#495077,#495078,#495079,#495080,#495081,#495082, #495083),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-3.24216126281558E-15, 0.0753644637806117,0.188411159451534,0.301457790774901,0.357981106436585, 0.414504422098268,0.471027737759952,0.499289395590794,0.527551053421636, 0.640597684745003,0.75364431606837),.UNSPECIFIED.); #63750=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495086,#495087,#495088,#495089, #495090,#495091,#495092,#495093,#495094,#495095,#495096,#495097,#495098, #495099),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,4),(-0.753644316068369, -0.687700442434109,-0.621756568799849,-0.489868821531328,-0.423924947897068, -0.357981074262808,-0.292037200628547,-0.226093326994287,-0.160149453360027, -0.0942055797257666,-8.42354134932531E-16),.UNSPECIFIED.); #63751=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495104,#495105,#495106,#495107, #495108,#495109,#495110,#495111,#495112,#495113,#495114,#495115,#495116, #495117,#495118),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-1.84352976573044E-15, 0.113046631323365,0.226093262646732,0.240224091562153,0.254354920477574, 0.282616578308416,0.3391398939701,0.395663209631783,0.452186525293467,0.565233156616834, 0.6594387363426,0.753644316068366),.UNSPECIFIED.); #63752=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495121,#495122,#495123,#495124, #495125,#495126,#495127,#495128,#495129,#495130,#495131,#495132,#495133, #495134),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.645980842344316,-0.538317368620263,-0.524859434404757,-0.51140150018925, -0.484485631758237,-0.457569763327224,-0.322990421172158,-0.215326947448105, -0.161495210586079,-0.107663473724053,1.76921497258808E-18), .UNSPECIFIED.); #63753=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495139,#495140,#495141,#495142, #495143,#495144,#495145,#495146,#495147,#495148,#495149,#495150,#495151, #495152,#495153),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,2,1,4),(-2.56455277009693E-15, 0.113046631323365,0.226093262646732,0.240224091562153,0.254354920477574, 0.282616578308416,0.3391398939701,0.395663209631783,0.452186525293467,0.565233156616834, 0.659438736342601,0.753644316068368),.UNSPECIFIED.); #63754=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495156,#495157,#495158,#495159, #495160,#495161,#495162,#495163,#495164,#495165,#495166,#495167,#495168, #495169,#495170,#495171),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,2, 4),(-0.753644316068369,-0.687700442434109,-0.621756568799849,-0.555812695165589, -0.489868821531328,-0.423924947897068,-0.390953011079938,-0.357981074262808, -0.292037200628547,-0.226093326994287,-0.160149453360027,-0.0942055797257666, -8.43311574220752E-16),.UNSPECIFIED.); #63755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495176,#495177,#495178,#495179, #495180,#495181,#495182,#495183,#495184,#495185,#495186,#495187), .UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,2,4),(-8.47448333532326E-16,0.0942055797257666, 0.207252211049134,0.320298842372501,0.489868789357551,0.546392105019235, 0.659438736342602,0.753644316068369),.UNSPECIFIED.); #63756=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495190,#495191,#495192,#495193, #495194,#495195,#495196,#495197,#495198,#495199,#495200,#495201,#495202), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369,-0.645980842344317, -0.538317368620264,-0.51140150018925,-0.484485631758237,-0.430653894896211, -0.322990421172158,-0.269158684310132,-0.215326947448105,-0.107663473724053, 1.43677851009475E-18),.UNSPECIFIED.); #63757=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495268,#495269,#495270,#495271, #495272,#495273,#495274,#495275,#495276,#495277,#495278,#495279,#495280, #495281),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063854, -0.646044216054732,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,7.39757063765003E-16), .UNSPECIFIED.); #63758=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495346,#495347,#495348,#495349, #495350,#495351,#495352,#495353,#495354,#495355,#495356,#495357,#495358, #495359,#495360),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,4),(-9.45693960313659E-16, 0.0942148466754539,0.160165187214294,0.226115527753134,0.292065868291973, 0.358016208830813,0.423966549369653,0.489916889908493,0.555867230447333, 0.621817570986173,0.687767911525013,0.753718252063852),.UNSPECIFIED.); #63759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495365,#495366,#495367,#495368, #495369,#495370,#495371,#495372,#495373,#495374,#495375,#495376,#495377), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(1.76247905159243E-15,0.107663473724054, 0.215326947448107,0.269158684310133,0.322990421172159,0.430653894896212, 0.484485631758238,0.511401500189251,0.538317368620264,0.645980842344317, 0.753644316068369),.UNSPECIFIED.); #63760=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495452,#495453,#495454,#495455, #495456,#495457,#495458,#495459,#495460,#495461,#495462,#495463,#495464, #495465,#495466,#495467),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-0.75364431606837,-0.645980842344317,-0.538317368620264,-0.504672533081497, -0.484485631758237,-0.43065389489621,-0.322990421172157,-0.289345585633391, -0.269158684310131,-0.242242815879118,-0.215326947448104,-0.148037276370571, -0.107663473724051,1.81315475125379E-15),.UNSPECIFIED.); #63761=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495590,#495591,#495592,#495593, #495594,#495595,#495596,#495597,#495598,#495599,#495600,#495601,#495602, #495603),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.64604421605473,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009122,2.7945637976506E-19), .UNSPECIFIED.); #63762=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495672,#495673,#495674,#495675, #495676,#495677,#495678,#495679,#495680,#495681,#495682,#495683,#495684, #495685,#495686,#495687),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-6.9177680047851E-45,0.107674036009122,0.215348072018243,0.248996208271094, 0.269185090022804,0.323022108027365,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043328,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063852),.UNSPECIFIED.); #63763=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495692,#495693,#495694,#495695, #495696,#495697,#495698,#495699,#495700,#495701,#495702,#495703,#495704), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-1.43677851009475E-18,0.107663473724053, 0.215326947448105,0.269158684310132,0.322990421172158,0.430653894896211, 0.484485631758237,0.51140150018925,0.538317368620264,0.645980842344317, 0.753644316068369),.UNSPECIFIED.); #63764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495763,#495764,#495765,#495766, #495767,#495768,#495769,#495770,#495771,#495772,#495773,#495774), .UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,2,4),(-0.753644316068369,-0.659438736342602, -0.546392105019235,-0.489868789357551,-0.320298842372501,-0.207252211049134, -0.0942055797257666,8.47448333532326E-16),.UNSPECIFIED.); #63765=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495893,#495894,#495895,#495896, #495897,#495898,#495899,#495900,#495901,#495902,#495903,#495904,#495905), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020523,-0.215348072018243,-0.107674036009121, 7.39757063764406E-16),.UNSPECIFIED.); #63766=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495966,#495967,#495968,#495969, #495970,#495971,#495972,#495973,#495974,#495975,#495976,#495977,#495978, #495979),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #63767=B_SPLINE_CURVE_WITH_KNOTS('',3,(#495984,#495985,#495986,#495987, #495988,#495989,#495990,#495991,#495992,#495993,#495994,#495995,#495996), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15,0.107663473724054, 0.215326947448106,0.269158684310133,0.322990421172159,0.430653894896211, 0.484485631758237,0.51140150018925,0.538317368620264,0.645980842344316, 0.753644316068368),.UNSPECIFIED.); #63768=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496063,#496064,#496065,#496066, #496067,#496068,#496069,#496070,#496071,#496072,#496073,#496074,#496075, #496076),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.329719368171299,-0.263775494537039,-0.131887747268518, -0.0659438736342578,2.64109001901538E-15),.UNSPECIFIED.); #63769=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496199,#496200,#496201,#496202, #496203,#496204,#496205,#496206,#496207,#496208,#496209,#496210,#496211, #496212),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.646044216054731,-0.538370180045609,-0.511451671043328,-0.484533162041048, -0.457614653038768,-0.444155398537627,-0.323022108027365,-0.215348072018244, -0.161511054013683,-0.107674036009122,4.52403380645698E-32), .UNSPECIFIED.); #63770=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496273,#496274,#496275,#496276, #496277,#496278,#496279,#496280,#496281,#496282,#496283,#496284,#496285, #496286),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.42224513361641E-16, 0.107674036009121,0.215348072018243,0.242266581020523,0.269185090022804, 0.323022108027365,0.430696144036486,0.484533162041047,0.524910925544468, 0.538370180045608,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496291,#496292,#496293,#496294, #496295,#496296,#496297,#496298,#496299,#496300,#496301,#496302,#496303, #496304,#496305,#496306),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.43311574220752E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165589,0.621756568799849,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63772=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496377,#496378,#496379,#496380, #496381,#496382,#496383,#496384,#496385,#496386,#496387,#496388,#496389, #496390,#496391),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(-0.753644316068368, -0.659438736342601,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.240224091562153, -0.226093262646732,-0.113046631323365,2.56455277009693E-15), .UNSPECIFIED.); #63773=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496526,#496527,#496528,#496529, #496530,#496531,#496532,#496533,#496534,#496535,#496536,#496537,#496538, #496539),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063854, -0.646044216054732,-0.592207198050171,-0.53837018004561,-0.430696144036488, -0.309562853526225,-0.296103599025085,-0.269185090022804,-0.242266581020524, -0.215348072018243,-0.107674036009121,9.92671461928697E-16), .UNSPECIFIED.); #63774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496600,#496601,#496602,#496603, #496604,#496605,#496606,#496607,#496608,#496609,#496610,#496611,#496612, #496613),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-9.4122721514474E-16, 0.107674036009121,0.215348072018243,0.228807326519383,0.242266581020523, 0.269185090022804,0.296103599025084,0.430696144036487,0.538370180045609, 0.59220719805017,0.64604421605473,0.753718252063852),.UNSPECIFIED.); #63775=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496618,#496619,#496620,#496621, #496622,#496623,#496624,#496625,#496626,#496627,#496628,#496629,#496630), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15,0.107663473724054, 0.215326947448107,0.269158684310133,0.322990421172159,0.430653894896211, 0.484485631758238,0.511401500189251,0.538317368620264,0.645980842344316, 0.753644316068369),.UNSPECIFIED.); #63776=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496701,#496702,#496703,#496704, #496705,#496706,#496707,#496708,#496709,#496710,#496711,#496712,#496713, #496714,#496715),.UNSPECIFIED.,.F.,.F.,(4,2,2,1,1,1,1,1,2,4),(-0.75364431606837, -0.659438736342602,-0.565233156616835,-0.447476262394068,-0.329719368171301, -0.270840921059917,-0.211962473948534,-0.15308402683715,-0.094205579725767, 1.80827672160342E-15),.UNSPECIFIED.); #63777=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496834,#496835,#496836,#496837, #496838,#496839,#496840,#496841,#496842,#496843,#496844,#496845,#496846), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063854,-0.646044216054732, -0.53837018004561,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020524,-0.215348072018243,-0.107674036009121, 9.42224513361644E-16),.UNSPECIFIED.); #63778=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496915,#496916,#496917,#496918, #496919,#496920,#496921,#496922,#496923,#496924,#496925,#496926,#496927, #496928,#496929,#496930),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-3.16168801885136E-18,0.107674036009122,0.215348072018244,0.248996208271094, 0.269185090022805,0.323022108027366,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043329,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063853),.UNSPECIFIED.); #63779=B_SPLINE_CURVE_WITH_KNOTS('',3,(#496935,#496936,#496937,#496938, #496939,#496940,#496941,#496942,#496943,#496944,#496945,#496946,#496947, #496948),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-1.76921497258808E-18, 0.107663473724053,0.161495210586079,0.215326947448105,0.322990421172158, 0.457569763327224,0.484485631758237,0.51140150018925,0.524859434404757, 0.538317368620263,0.645980842344316,0.753644316068369),.UNSPECIFIED.); #63780=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497019,#497020,#497021,#497022, #497023,#497024,#497025,#497026,#497027,#497028,#497029,#497030,#497031, #497032,#497033),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(-0.753644316068366, -0.6594387363426,-0.565233156616834,-0.452186525293467,-0.395663209631783, -0.3391398939701,-0.282616578308416,-0.254354920477574,-0.240224091562153, -0.226093262646732,-0.113046631323365,1.84352976573044E-15), .UNSPECIFIED.); #63781=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497164,#497165,#497166,#497167, #497168,#497169,#497170,#497171,#497172,#497173,#497174,#497175,#497176, #497177,#497178),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.659503405388398,-0.593553064849558,-0.527602724310718,-0.461652383771879, -0.395702043233039,-0.329751702694199,-0.263801362155359,-0.197851021616519, -0.131900681077679,-0.0659503405388397,8.90228586609956E-17), .UNSPECIFIED.); #63782=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497239,#497240,#497241,#497242, #497243,#497244,#497245,#497246,#497247,#497248,#497249,#497250,#497251, #497252),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-8.66713344348107E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #63783=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497257,#497258,#497259,#497260, #497261,#497262,#497263,#497264,#497265,#497266,#497267,#497268,#497269, #497270,#497271),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15, 0.0942055797257675,0.188411159451533,0.3014577907749,0.357981106436584, 0.414504422098267,0.471027737759951,0.499289395590793,0.513420224506213, 0.527551053421634,0.640597684745001,0.753644316068368),.UNSPECIFIED.); #63784=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497338,#497339,#497340,#497341, #497342,#497343,#497344,#497345,#497346,#497347,#497348,#497349,#497350, #497351),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.527550989074081,-0.461607115439821, -0.39566324180556,-0.3297193681713,-0.26377549453704,-0.131887747268519, -0.0659438736342593,9.64175146780574E-16),.UNSPECIFIED.); #63785=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497486,#497487,#497488,#497489, #497490,#497491,#497492,#497493,#497494,#497495,#497496,#497497,#497498, #497499,#497500),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,2,1,4),(-0.753718252063854, -0.659503405388398,-0.5652886629809,-0.518181291777151,-0.471073920573402, -0.423966549369653,-0.329751806962155,-0.282644435758406,-0.188429693350908, -0.113057816010545,8.55553178926869E-16),.UNSPECIFIED.); #63786=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497569,#497570,#497571,#497572, #497573,#497574,#497575,#497576,#497577,#497578,#497579,#497580,#497581, #497582,#497583,#497584),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-6.11298813144299E-30,0.107674036009122,0.215348072018244,0.248996208271094, 0.269185090022804,0.323022108027365,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043329,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063853),.UNSPECIFIED.); #63787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497589,#497590,#497591,#497592, #497593,#497594,#497595,#497596,#497597,#497598,#497599,#497600,#497601, #497602),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(8.42354134932531E-16, 0.0942055797257666,0.160149453360027,0.226093326994287,0.292037200628547, 0.357981074262808,0.423924947897068,0.489868821531328,0.621756568799849, 0.687700442434109,0.753644316068369),.UNSPECIFIED.); #63788=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497669,#497670,#497671,#497672, #497673,#497674,#497675,#497676,#497677,#497678,#497679,#497680,#497681, #497682),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-0.75364431606837, -0.640597684745003,-0.527551053421636,-0.499289395590794,-0.471027737759952, -0.414504422098268,-0.357981106436585,-0.301457790774901,-0.188411159451534, -0.0753644637806117,3.24216126281558E-15),.UNSPECIFIED.); #63789=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497805,#497806,#497807,#497808, #497809,#497810,#497811,#497812,#497813,#497814,#497815,#497816,#497817), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020524,-0.215348072018243,-0.107674036009122, 8.90228586610146E-17),.UNSPECIFIED.); #63790=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497886,#497887,#497888,#497889, #497890,#497891,#497892,#497893,#497894,#497895,#497896,#497897,#497898, #497899,#497900,#497901),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,2,1,4), (-9.42224513361682E-16,0.0942148466754539,0.188429589082952,0.235536960286701, 0.28264433149045,0.329751702694199,0.353305388296073,0.376859073897948, 0.471073816305446,0.565288558712944,0.640660436053307,0.753718252063852), .UNSPECIFIED.); #63791=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497906,#497907,#497908,#497909, #497910,#497911,#497912,#497913,#497914,#497915,#497916,#497917,#497918), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-7.93640295628326E-17,0.107663473724053, 0.215326947448105,0.269158684310132,0.322990421172158,0.430653894896211, 0.484485631758237,0.51140150018925,0.538317368620263,0.645980842344316, 0.753644316068368),.UNSPECIFIED.); #63792=B_SPLINE_CURVE_WITH_KNOTS('',3,(#497989,#497990,#497991,#497992, #497993,#497994,#497995,#497996,#497997,#497998,#497999,#498000,#498001, #498002,#498003),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,2,1,1,1,2,4),(-0.753644316068369, -0.682990151382654,-0.640597652571225,-0.527550989074081,-0.499289323199795, -0.471027657325509,-0.320298826285612,-0.244934410765664,-0.169569995245715, -0.094205579725767,2.5321805907428E-15),.UNSPECIFIED.); #63793=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498126,#498127,#498128,#498129, #498130,#498131,#498132,#498133,#498134,#498135,#498136,#498137,#498138, #498139),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852, -0.64604421605473,-0.592207198050169,-0.538370180045608,-0.430696144036486, -0.309562853526224,-0.296103599025084,-0.269185090022804,-0.242266581020523, -0.215348072018243,-0.107674036009121,9.42224513361644E-16), .UNSPECIFIED.); #63794=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498204,#498205,#498206,#498207, #498208,#498209,#498210,#498211,#498212,#498213,#498214,#498215,#498216, #498217,#498218),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-8.69875032366961E-17, 0.107674036009122,0.161511054013682,0.215348072018243,0.323022108027365, 0.457614653038767,0.471073907539907,0.484533162041048,0.511451671043328, 0.524910925544468,0.538370180045608,0.64604421605473,0.753718252063852), .UNSPECIFIED.); #63795=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498223,#498224,#498225,#498226, #498227,#498228,#498229,#498230,#498231,#498232,#498233,#498234,#498235, #498236,#498237,#498238),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.44082395692724E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165589,0.621756568799849,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63796=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498301,#498302,#498303,#498304, #498305,#498306,#498307,#498308,#498309,#498310,#498311,#498312,#498313), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,2,1,1,1,4),(-0.753644316068369,-0.659438784603267, -0.565233253138165,-0.518130487405614,-0.471027721673063,-0.282616658742859, -0.226093326994287,-0.169569995245715,-0.113046663497143,9.72826288461632E-16), .UNSPECIFIED.); #63797=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498444,#498445,#498446,#498447, #498448,#498449,#498450,#498451,#498452,#498453,#498454,#498455,#498456), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.64604421605473, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020523,-0.215348072018243,-0.107674036009121, 7.39757063764407E-16),.UNSPECIFIED.); #63798=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498521,#498522,#498523,#498524, #498525,#498526,#498527,#498528,#498529,#498530,#498531,#498532,#498533, #498534,#498535),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-8.66713344348105E-17, 0.107674036009122,0.161511054013682,0.215348072018243,0.323022108027365, 0.457614653038767,0.471073907539907,0.484533162041048,0.511451671043328, 0.524910925544468,0.538370180045608,0.64604421605473,0.753718252063852), .UNSPECIFIED.); #63799=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498540,#498541,#498542,#498543, #498544,#498545,#498546,#498547,#498548,#498549,#498550,#498551,#498552, #498553,#498554),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(1.76247905159244E-15, 0.0942055797257675,0.188411159451533,0.3014577907749,0.357981106436584, 0.414504422098267,0.471027737759951,0.499289395590793,0.513420224506213, 0.527551053421634,0.640597684745001,0.753644316068368),.UNSPECIFIED.); #63800=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498625,#498626,#498627,#498628, #498629,#498630,#498631,#498632,#498633,#498634,#498635,#498636,#498637, #498638,#498639),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.645980842344317,-0.59214910548229,-0.538317368620264,-0.430653894896211, -0.309532486956651,-0.296074552741145,-0.269158684310131,-0.242242815879118, -0.228784881663611,-0.215326947448105,-0.107663473724052,9.64175146780574E-16), .UNSPECIFIED.); #63801=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498778,#498779,#498780,#498781, #498782,#498783,#498784,#498785,#498786,#498787,#498788,#498789,#498790, #498791,#498792,#498793),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(-0.753718252063854,-0.659503405388398,-0.593553064849558,-0.527602724310719, -0.461652383771879,-0.395702043233039,-0.362726872963619,-0.329751702694199, -0.263801362155359,-0.197851021616519,-0.131900681077679,-0.065950340538839, 8.55553178926864E-16),.UNSPECIFIED.); #63802=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498858,#498859,#498860,#498861, #498862,#498863,#498864,#498865,#498866,#498867,#498868,#498869,#498870, #498871,#498872),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(-4.7682920242965E-18, 0.107674036009122,0.161511054013683,0.215348072018243,0.323022108027365, 0.457614653038767,0.471073907539907,0.484533162041048,0.511451671043328, 0.524910925544468,0.538370180045609,0.64604421605473,0.753718252063852), .UNSPECIFIED.); #63803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498877,#498878,#498879,#498880, #498881,#498882,#498883,#498884,#498885,#498886,#498887,#498888,#498889, #498890,#498891),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,1,4),(-8.15756624988073E-17, 0.0942055797257666,0.188411159451533,0.3014577907749,0.357981106436584, 0.414504422098268,0.471027737759951,0.499289395590793,0.513420224506214, 0.527551053421635,0.640597684745002,0.753644316068369),.UNSPECIFIED.); #63804=B_SPLINE_CURVE_WITH_KNOTS('',3,(#498962,#498963,#498964,#498965, #498966,#498967,#498968,#498969,#498970,#498971,#498972,#498973,#498974, #498975,#498976),.UNSPECIFIED.,.F.,.F.,(4,2,2,1,1,1,1,1,2,4),(-0.753644316068369, -0.659438736342601,-0.565233156616834,-0.447476262394067,-0.3297193681713, -0.270840921059917,-0.211962473948534,-0.15308402683715,-0.094205579725767, 1.84352976573045E-15),.UNSPECIFIED.); #63805=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499107,#499108,#499109,#499110, #499111,#499112,#499113,#499114,#499115,#499116,#499117,#499118,#499119, #499120),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753718252063853, -0.659503405388398,-0.593553064849558,-0.527602724310719,-0.461652383771879, -0.395702043233039,-0.329751702694199,-0.263801362155359,-0.13190068107768, -0.0659503405388399,1.38777878078145E-17),.UNSPECIFIED.); #63806=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499189,#499190,#499191,#499192, #499193,#499194,#499195,#499196,#499197,#499198,#499199,#499200,#499201, #499202,#499203,#499204),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-1.2643413859963E-31,0.107674036009122,0.215348072018244,0.248996208271094, 0.269185090022804,0.323022108027365,0.430696144036487,0.464344280289338, 0.484533162041048,0.511451671043328,0.538370180045609,0.60566645255131, 0.646044216054731,0.753718252063852),.UNSPECIFIED.); #63807=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499209,#499210,#499211,#499212, #499213,#499214,#499215,#499216,#499217,#499218,#499219,#499220,#499221, #499222,#499223,#499224),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(-1.47968221122463E-15,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165588,0.621756568799848,0.687700442434109, 0.753644316068369),.UNSPECIFIED.); #63808=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499291,#499292,#499293,#499294, #499295,#499296,#499297,#499298,#499299,#499300,#499301,#499302,#499303, #499304),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.678279852287755,-0.565233156616834,-0.452186525293467,-0.395663209631784, -0.3391398939701,-0.282616578308417,-0.254354920477575,-0.226093262646733, -0.113046631323366,1.00658421307692E-15),.UNSPECIFIED.); #63809=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499439,#499440,#499441,#499442, #499443,#499444,#499445,#499446,#499447,#499448,#499449,#499450,#499451, #499452),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(-0.753718252063853, -0.646044216054731,-0.59220719805017,-0.538370180045609,-0.430696144036487, -0.309562853526225,-0.296103599025085,-0.269185090022805,-0.242266581020524, -0.215348072018244,-0.107674036009122,-1.62299143742911E-16), .UNSPECIFIED.); #63810=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499513,#499514,#499515,#499516, #499517,#499518,#499519,#499520,#499521,#499522,#499523,#499524,#499525, #499526),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,2,1,4),(-8.6671334434807E-17, 0.113057711742589,0.226115423485178,0.254379851420825,0.282644279356472, 0.339173135227766,0.395701991099061,0.452230846970355,0.565288558712944, 0.659503405388398,0.753718252063852),.UNSPECIFIED.); #63811=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499531,#499532,#499533,#499534, #499535,#499536,#499537,#499538,#499539,#499540,#499541,#499542,#499543, #499544,#499545,#499546),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,1,1, 4),(8.4449758703877E-16,0.0942055797257666,0.160149453360027,0.226093326994287, 0.292037200628547,0.357981074262808,0.390953011079938,0.423924947897068, 0.489868821531328,0.555812695165589,0.621756568799849,0.687700442434109, 0.75364431606837),.UNSPECIFIED.); #63812=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499621,#499622,#499623,#499624, #499625,#499626,#499627,#499628,#499629,#499630,#499631,#499632,#499633, #499634,#499635,#499636),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1, 1,4),(-0.753644316068369,-0.645980842344316,-0.538317368620263,-0.504672533081497, -0.484485631758237,-0.43065389489621,-0.322990421172158,-0.289345585633391, -0.269158684310131,-0.242242815879118,-0.215326947448105,-0.148037276370572, -0.107663473724052,1.02046200088479E-15),.UNSPECIFIED.); #63813=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499767,#499768,#499769,#499770, #499771,#499772,#499773,#499774,#499775,#499776,#499777,#499778,#499779), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(-0.753718252063852,-0.646044216054731, -0.538370180045609,-0.484533162041048,-0.430696144036487,-0.323022108027365, -0.269185090022804,-0.242266581020524,-0.215348072018243,-0.107674036009122, 8.90228586609956E-17),.UNSPECIFIED.); #63814=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499840,#499841,#499842,#499843, #499844,#499845,#499846,#499847,#499848,#499849,#499850,#499851,#499852, #499853),.UNSPECIFIED.,.F.,.F.,(4,1,2,1,1,1,1,1,1,1,4),(-8.66713344348075E-17, 0.075371877340363,0.188429693350908,0.301487405093497,0.358016260964791, 0.414545116836085,0.47107397270738,0.499338400643027,0.527602828578674, 0.640660540321263,0.753718252063852),.UNSPECIFIED.); #63815=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499858,#499859,#499860,#499861, #499862,#499863,#499864,#499865,#499866,#499867,#499868,#499869,#499870, #499871),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,4),(1.74860126378462E-15, 0.107663473724054,0.215326947448106,0.228784881663613,0.24224281587912, 0.269158684310133,0.296074552741146,0.430653894896211,0.538317368620264, 0.59214910548229,0.645980842344316,0.753644316068368),.UNSPECIFIED.); #63816=B_SPLINE_CURVE_WITH_KNOTS('',3,(#499938,#499939,#499940,#499941, #499942,#499943,#499944,#499945,#499946,#499947,#499948,#499949,#499950, #499951),.UNSPECIFIED.,.F.,.F.,(4,2,1,1,1,1,1,1,1,1,4),(-0.753644316068369, -0.659438736342601,-0.593494862708341,-0.52755098907408,-0.46160711543982, -0.39566324180556,-0.3297193681713,-0.263775494537039,-0.131887747268518, -0.0659438736342581,2.23340110464413E-15),.UNSPECIFIED.); #63817=B_SPLINE_CURVE_WITH_KNOTS('',3,(#500696,#500697,#500698,#500699), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499817,0.),.UNSPECIFIED.); #63818=B_SPLINE_CURVE_WITH_KNOTS('',3,(#500715,#500716,#500717,#500718), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.25743098149969,0.),.UNSPECIFIED.); #63819=B_SPLINE_CURVE_WITH_KNOTS('',3,(#500831,#500832,#500833,#500834), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499366,0.),.UNSPECIFIED.); #63820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#500836,#500837,#500838,#500839), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499628,0.),.UNSPECIFIED.); #63821=B_SPLINE_CURVE_WITH_KNOTS('',3,(#500846,#500847,#500848,#500849), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.25743098149964,0.),.UNSPECIFIED.); #63822=B_SPLINE_CURVE_WITH_KNOTS('',3,(#500852,#500853,#500854,#500855), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.257430981499408,0.),.UNSPECIFIED.); #63823=B_SPLINE_CURVE_WITH_KNOTS('',3,(#578260,#578261,#578262,#578263), .UNSPECIFIED.,.F.,.F.,(4,4),(1.06702260507997E-6,0.000101001795100423), .UNSPECIFIED.); #63824=B_SPLINE_CURVE_WITH_KNOTS('',3,(#578271,#578272,#578273,#578274), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753205,0.00235131422638895), .UNSPECIFIED.); #63825=B_SPLINE_CURVE_WITH_KNOTS('',3,(#579175,#579176,#579177,#579178), .UNSPECIFIED.,.F.,.F.,(4,4),(1.0670226050798E-6,0.000101001795100423), .UNSPECIFIED.); #63826=B_SPLINE_CURVE_WITH_KNOTS('',3,(#579182,#579183,#579184,#579185), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753207,0.00235131422638896), .UNSPECIFIED.); #63827=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582081,#582082,#582083,#582084), .UNSPECIFIED.,.F.,.F.,(4,4),(0.090843957923958,0.0965117342259624), .UNSPECIFIED.); #63828=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582086,#582087,#582088,#582089, #582090,#582091,#582092,#582093,#582094,#582095),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0302734920705029,-0.0226725819531593,-0.0150716718358157, -0.00753583591790784,0.),.UNSPECIFIED.); #63829=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582105,#582106,#582107,#582108), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0906587598536056,0.0963237485294807), .UNSPECIFIED.); #63830=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582115,#582116,#582117,#582118, #582119,#582120,#582121,#582122,#582123,#582124),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.030215436934997,-0.0226426832108964,-0.0150699294867959, -0.00753496474339794,0.),.UNSPECIFIED.); #63831=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582181,#582182,#582183,#582184), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0906826250597903,-0.0850148487577868), .UNSPECIFIED.); #63832=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582188,#582189,#582190,#582191, #582192,#582193,#582194,#582195,#582196,#582197),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0611456666808443,-0.0536098307629364,-0.0460739948450286, -0.038473084727685,-0.0308721746103414),.UNSPECIFIED.); #63833=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582280,#582281,#582282,#582283, #582284,#582285),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0160943905565546,0.0227024018845947, 0.0302969331719855),.UNSPECIFIED.); #63834=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582315,#582316,#582317,#582318, #582319,#582320),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0306405833778503,0.0382351146652412, 0.0448431259932813),.UNSPECIFIED.); #63835=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582324,#582325,#582326,#582327), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0905657966754296,-0.0849008079995554), .UNSPECIFIED.); #63836=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582329,#582330,#582331,#582332, #582333,#582334,#582335,#582336,#582337,#582338),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.0607654366014233,-0.0532304718580254,-0.0456955071146274, -0.0381227533905268,-0.0305499996664263),.UNSPECIFIED.); #63837=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582751,#582752,#582753,#582754, #582755,#582756,#582757,#582758,#582759,#582760,#582761,#582762,#582763, #582764,#582765,#582766,#582767,#582768,#582769,#582770,#582771,#582772, #582773,#582774,#582775),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0138825997223093, -0.0123371925914751,-0.0107247969879002,-0.0082940681047829,-0.00742092718611614, -0.00508736075199776,-0.00392161367855842,-0.00115617391972303,0.), .UNSPECIFIED.); #63838=B_SPLINE_CURVE_WITH_KNOTS('',3,(#582776,#582777,#582778,#582779, #582780,#582781,#582782,#582783,#582784,#582785,#582786,#582787,#582788), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.63499979223499,2.01665435360103,2.20553751721391, 2.65216277841743,2.77438463303195),.UNSPECIFIED.); #63839=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583123,#583124,#583125,#583126, #583127,#583128,#583129,#583130,#583131,#583132,#583133,#583134,#583135, #583136,#583137,#583138,#583139,#583140,#583141,#583142,#583143,#583144, #583145,#583146,#583147),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0117998952326354, -0.00944933409284985,-0.0084666124559527,-0.00648313385745766,-0.0054922752567736, -0.00342621077794332,-0.00268406077943597,-0.00131356104766598,0.), .UNSPECIFIED.); #63840=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583148,#583149,#583150,#583151, #583152,#583153,#583154,#583155,#583156,#583157,#583158,#583159,#583160), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(3.50880067414764,3.9554259351556,4.07764778996566, 4.45930235126854,4.6481855149446),.UNSPECIFIED.); #63841=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583387,#583388,#583389,#583390), .UNSPECIFIED.,.F.,.F.,(4,4),(3.15895045879223,3.32903316875533), .UNSPECIFIED.); #63842=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583395,#583396,#583397,#583398, #583399,#583400,#583401,#583402,#583403,#583404,#583405,#583406,#583407), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199087496326509,-0.0131444985426879, -0.0100503004315679,-0.00271275973446163,0.),.UNSPECIFIED.); #63843=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583423,#583424,#583425,#583426, #583427,#583428,#583429,#583430,#583431,#583432,#583433,#583434,#583435, #583436,#583437,#583438,#583439,#583440,#583441,#583442,#583443,#583444, #583445,#583446,#583447,#583448,#583449,#583450),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(4.71238898038469,4.92398971651661,5.02942829103752, 5.23598706616021,5.37804069794945,5.49050236486356,5.73703556164237,5.89394203512973, 6.11218381448645,6.26582750197716),.UNSPECIFIED.); #63844=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583451,#583452,#583453,#583454, #583455,#583456,#583457,#583458,#583459,#583460,#583461,#583462,#583463, #583464,#583465,#583466,#583467,#583468,#583469),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(4.71238898038469,4.98361481070178,5.29836895048691,5.49058957321017, 5.81247748983411,6.10854993206319,6.26582750197716),.UNSPECIFIED.); #63845=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583531,#583532,#583533,#583534, #583535,#583536,#583537,#583538,#583539,#583540,#583541,#583542,#583543, #583544,#583545,#583546,#583547,#583548,#583549,#583550,#583551,#583552, #583553,#583554,#583555,#583556,#583557,#583558),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,4),(3.15895045879222,3.37732121541738,3.53396063825457, 3.78053851708835,3.93427559590577,4.14780232683088,4.28624532386015,4.49175026437121, 4.60475455826007,4.71238898038469),.UNSPECIFIED.); #63846=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583561,#583562,#583563,#583564, #583565,#583566,#583567,#583568,#583569,#583570,#583571,#583572,#583573, #583574,#583575,#583576,#583577,#583578,#583579),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(3.15895045879222,3.45205321740473,3.77240088007167,3.93418838755921, 4.2574278870067,4.53212365918579,4.71238898038469),.UNSPECIFIED.); #63847=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583600,#583601,#583602,#583603), .UNSPECIFIED.,.F.,.F.,(4,4),(6.09574479201405,6.26582750197715), .UNSPECIFIED.); #63848=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583605,#583606,#583607,#583608, #583609,#583610,#583611,#583612,#583613,#583614,#583615,#583616,#583617), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199213431103657,-0.0125791609788651, -0.00986468526123734,-0.00309615537692809,0.),.UNSPECIFIED.); #63849=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583912,#583913,#583914,#583915, #583916,#583917,#583918),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979, 3.3347765620758,3.48142956304391),.UNSPECIFIED.); #63850=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583919,#583920,#583921,#583922, #583923,#583924,#583925),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979, 3.41217448946248,3.48142956304391),.UNSPECIFIED.); #63851=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583934,#583935,#583936,#583937, #583938,#583939,#583940),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567, 2.99493965262168,3.14159265358979),.UNSPECIFIED.); #63852=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583941,#583942,#583943,#583944, #583945,#583946,#583947),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567, 3.07233758006169,3.14159265358979),.UNSPECIFIED.); #63853=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583958,#583959,#583960,#583961, #583962,#583963,#583964),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.193183908486014, 0.339836909454123),.UNSPECIFIED.); #63854=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583965,#583966,#583967,#583968, #583969,#583970,#583971),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.270581835895645, 0.339836909454123),.UNSPECIFIED.); #63855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583981,#583982,#583983,#583984, #583985,#583986,#583987),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568, 2.99493965262169,3.14159265358979),.UNSPECIFIED.); #63856=B_SPLINE_CURVE_WITH_KNOTS('',3,(#583990,#583991,#583992,#583993, #583994,#583995,#583996),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568, 3.07233758005598,3.14159265358979),.UNSPECIFIED.); #63857=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587065,#587066,#587067,#587068, #587069,#587070,#587071,#587072,#587073,#587074,#587075,#587076,#587077), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(16.423325737203,17.1941125422253,18.1493410167933, 19.1045694913614,20.0597844208577),.UNSPECIFIED.); #63858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587079,#587080,#587081,#587082, #587083,#587084,#587085,#587086),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-1.10260377238209, -1.02907377668168,-0.94053880202567,-0.909050430329933),.UNSPECIFIED.); #63859=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587088,#587089,#587090,#587091, #587092,#587093),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.193953105406528,-0.134570725646036, 0.),.UNSPECIFIED.); #63860=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587094,#587095,#587096,#587097, #587098,#587099,#587100,#587101,#587102,#587103,#587104,#587105,#587106, #587107,#587108,#587109,#587110,#587111,#587112),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,4),(-18.326034068565,-18.1669081116881,-17.2107550531783,-16.2546019946683, -15.2984489361584,-14.3422958776485,-13.5847584973979),.UNSPECIFIED.); #63861=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587117,#587118,#587119,#587120, #587121,#587122,#587123,#587124,#587125,#587126,#587127,#587128,#587129, #587130,#587131,#587132,#587133,#587134,#587135,#587136,#587137,#587138, #587139,#587140,#587141),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #63862=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587142,#587143,#587144,#587145, #587146,#587147,#587148,#587149,#587150,#587151,#587152,#587153,#587154, #587155,#587156,#587157,#587158,#587159,#587160,#587161,#587162,#587163, #587164,#587165,#587166),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-13.5847584973979, -13.3861428191386,-12.4299897606287,-11.4738367021188,-10.5176836436089, -9.56153058509902,-8.60537752658913,-7.64922446807922,-7.30157319021831), .UNSPECIFIED.); #63863=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587172,#587173,#587174,#587175, #587176,#587177,#587178),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-1.01838788303871, -0.956153058509904,0.),.UNSPECIFIED.); #63864=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587181,#587182,#587183,#587184, #587185,#587186,#587187,#587188,#587189,#587190,#587191,#587192,#587193), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.73375035229266,1.91045694913614,2.86568542370421, 3.82091389827228,3.85695512284377),.UNSPECIFIED.); #63865=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587199,#587200,#587201,#587202, #587203,#587204,#587205,#587206,#587207,#587208,#587209,#587210,#587211, #587212,#587213,#587214),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.955228474568069, 1.91045694913614,2.86568542370422,3.82091389827229,3.85695512284377), .UNSPECIFIED.); #63866=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587217,#587218,#587219,#587220, #587221,#587222,#587223,#587224,#587225,#587226,#587227,#587228,#587229, #587230,#587231,#587232,#587233,#587234,#587235,#587236,#587237,#587238), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.7337503522927,1.9123061170198, 2.86845917552971,3.82461223403961,4.78076529254951,5.73691835105941,6.69307140956931, 7.30157319021831),.UNSPECIFIED.); #63867=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587243,#587244,#587245,#587246, #587247,#587248,#587249,#587250,#587251,#587252,#587253,#587254,#587255, #587256,#587257,#587258,#587259,#587260,#587261,#587262,#587263,#587264), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284036, 5.73137084740843,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #63868=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587265,#587266,#587267,#587268, #587269,#587270,#587271,#587272,#587273,#587274,#587275,#587276,#587277, #587278,#587279,#587280,#587281,#587282,#587283,#587284,#587285,#587286, #587287,#587288,#587289),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(7.30157319021831, 7.64922446807922,8.60537752658913,9.56153058509902,10.5176836436089,11.4738367021188, 12.4299897606287,13.3861428191386,13.5847584973979),.UNSPECIFIED.); #63869=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587295,#587296,#587297,#587298), .UNSPECIFIED.,.F.,.F.,(4,4),(19.8679438045775,20.0792142287079), .UNSPECIFIED.); #63870=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587300,#587301,#587302,#587303, #587304,#587305,#587306,#587307),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.120788760900945, 0.244123460963905,0.263395160677697),.UNSPECIFIED.); #63871=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587309,#587310,#587311,#587312, #587313,#587314,#587315,#587316,#587317,#587318),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.714330004616733,0.731506752596738,0.844214192429642,0.923681920895519, 0.9896813946077),.UNSPECIFIED.); #63872=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587319,#587320,#587321,#587322, #587323,#587324,#587325,#587326,#587327,#587328),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(16.423325737203,17.1941125422261,18.1493410167952,18.3454638764152), .UNSPECIFIED.); #63873=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587425,#587426,#587427,#587428, #587429,#587430,#587431,#587432,#587433,#587434,#587435,#587436,#587437, #587438,#587439,#587440,#587441,#587442,#587443,#587444,#587445,#587446, #587447,#587448,#587449,#587450,#587451,#587452,#587453,#587454,#587455, #587456,#587457,#587458,#587459,#587460,#587461,#587462,#587463,#587464, #587465,#587466,#587467,#587468,#587469,#587470,#587471,#587472,#587473, #587474,#587475,#587476,#587477,#587478,#587479,#587480,#587481,#587482, #587483,#587484,#587485,#587486,#587487,#587488,#587489,#587490,#587491, #587492,#587493,#587494,#587495,#587496,#587497,#587498,#587499,#587500, #587501,#587502,#587503,#587504,#587505,#587506,#587507,#587508,#587509), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.103091360581963,0.12353428073543,0.134578001848704,0.14059948516615, 0.17373852796752,0.207594760688,0.241310213203669,0.264918696932889,0.271119592425879, 0.276176589219814,0.309418357784853,0.342202086312084,0.374412140473536, 0.388826053493338,0.401016625201178,0.405824115633092,0.430178083037429, 0.462555486949897,0.49525536630823,0.518632621851283,0.527880014447086, 0.534463170923819,0.566692278308528,0.595587561394558,0.606234487632263, 0.611965500619303,0.643645569778901,0.674638288858555,0.682273410652648), .UNSPECIFIED.); #63874=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587510,#587511,#587512,#587513, #587514,#587515,#587516,#587517,#587518,#587519,#587520,#587521,#587522, #587523,#587524,#587525,#587526,#587527,#587528,#587529,#587530,#587531), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-7.30157319021831,-6.69307140956931, -5.73691835105941,-4.78076529254951,-3.82461223403961,-2.86845917552971, -1.91230611701981,-1.01838788303871),.UNSPECIFIED.); #63875=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587532,#587533,#587534,#587535, #587536,#587537,#587538,#587539,#587540,#587541,#587542,#587543,#587544, #587545,#587546,#587547,#587548,#587549,#587550,#587551,#587552,#587553, #587554,#587555,#587556,#587557,#587558,#587559,#587560,#587561,#587562, #587563,#587564,#587565,#587566,#587567,#587568,#587569,#587570,#587571, #587572,#587573,#587574,#587575,#587576,#587577,#587578,#587579,#587580, #587581,#587582,#587583,#587584,#587585,#587586,#587587,#587588,#587589, #587590,#587591,#587592),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,4),(0.25659118800266,0.287146966510574,0.318269765381052, 0.349908841183501,0.361363942597864,0.393813696515609,0.426105706284872, 0.458634332276858,0.491162958268844,0.52406489710764,0.556735476898334, 0.567432371275957,0.599832374215096,0.619113594819169,0.651615475491493, 0.684698671585198,0.71819591801006,0.745408846044681,0.779281708961714, 0.813264997171785,0.840363122633563),.UNSPECIFIED.); #63876=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587593,#587594,#587595,#587596, #587597,#587598,#587599,#587600,#587601,#587602,#587603,#587604,#587605, #587606,#587607,#587608,#587609,#587610,#587611,#587612,#587613,#587614), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.5847584973979,14.3422958776485, 15.2984489361584,16.2546019946683,17.2107550531783,18.1669081116881,19.1230611701981, 19.8679438045775),.UNSPECIFIED.); #63877=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587711,#587712,#587713,#587714, #587715,#587716,#587717,#587718,#587719,#587720,#587721,#587722,#587723, #587724,#587725,#587726,#587727,#587728,#587729,#587730,#587731,#587732, #587733,#587734,#587735,#587736,#587737,#587738,#587739,#587740,#587741, #587742,#587743,#587744,#587745,#587746,#587747,#587748,#587749,#587750, #587751,#587752,#587753,#587754,#587755,#587756,#587757,#587758,#587759, #587760,#587761,#587762,#587763,#587764,#587765,#587766,#587767,#587768, #587769,#587770,#587771),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,4),(0.197553796660536,0.231535945415472,0.265751623228186, 0.274655636771517,0.308444752383093,0.342116173053136,0.375964136135479, 0.409812099217823,0.442702617064738,0.475226147789479,0.506859845705881, 0.524468042390475,0.542136072343072,0.574087491380715,0.606479798146206, 0.638840605688813,0.667738609061765,0.69962878623807,0.731351614450269, 0.762367513279535,0.770800592559279),.UNSPECIFIED.); #63878=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587772,#587773,#587774,#587775, #587776,#587777,#587778,#587779,#587780,#587781,#587782,#587783,#587784, #587785,#587786,#587787,#587788,#587789,#587790,#587791,#587792,#587793), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284035, 5.73137084740842,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #63879=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587794,#587795,#587796,#587797, #587798,#587799,#587800,#587801,#587802,#587803,#587804,#587805,#587806, #587807,#587808,#587809,#587810,#587811,#587812,#587813,#587814,#587815, #587816,#587817,#587818,#587819,#587820,#587821,#587822,#587823,#587824, #587825,#587826,#587827,#587828,#587829,#587830,#587831,#587832,#587833, #587834,#587835,#587836,#587837,#587838,#587839,#587840,#587841,#587842, #587843,#587844,#587845,#587846,#587847,#587848,#587849,#587850,#587851, #587852,#587853,#587854,#587855,#587856,#587857,#587858,#587859,#587860, #587861,#587862,#587863,#587864,#587865,#587866,#587867,#587868,#587869), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,4),(0.,0.0301373732564671,0.0610668880588267,0.0928256598317153,0.120088450493417, 0.124631792255546,0.156651233112959,0.189091471221816,0.221324586112613, 0.243725435865792,0.249416875078833,0.25414220410304,0.287132364135632, 0.31092798512533,0.344298822218878,0.373498304675732,0.380318516389182, 0.384567245666018,0.417236098396679,0.450566102436749,0.484227127072338, 0.507644015772681,0.513688798017609,0.519341590959976,0.553244536676608, 0.577894681873714),.UNSPECIFIED.); #63880=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587870,#587871,#587872,#587873, #587874,#587875,#587876,#587877,#587878,#587879,#587880,#587881,#587882, #587883,#587884,#587885,#587886,#587887,#587888,#587889,#587890,#587891, #587892,#587893,#587894),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #63881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587929,#587930,#587931,#587932, #587933,#587934,#587935,#587936,#587937,#587938,#587939,#587940,#587941, #587942,#587943,#587944,#587945,#587946,#587947,#587948),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.300084456988397,-0.267654491435324,-0.229623692312708, -0.193115170787391,-0.172761008811399,-0.156442444751442,-0.13877680642052, -0.112883262176551,-0.0668185444856736,0.),.UNSPECIFIED.); #63882=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587950,#587951,#587952,#587953, #587954,#587955,#587956,#587957,#587958,#587959,#587960,#587961,#587962, #587963,#587964,#587965,#587966,#587967,#587968,#587969,#587970,#587971), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.34266485231954,-0.28805159589279, -0.24412528512265,-0.206154433670557,-0.185979872193928,-0.171345643523288, -0.15671223186611,-0.136541366957192,-0.098578600694933,-0.0546613582875133, 0.),.UNSPECIFIED.); #63883=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587973,#587974,#587975,#587976, #587977,#587978,#587979,#587980,#587981,#587982,#587983,#587984,#587985, #587986,#587987,#587988,#587989,#587990,#587991,#587992),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.389463990025639,-0.302780077273856,-0.242988462880462, -0.209377779983388,-0.186449164765296,-0.165270641337249,-0.1388569459936, -0.0914849656312801,-0.0421337063287963,0.),.UNSPECIFIED.); #63884=B_SPLINE_CURVE_WITH_KNOTS('',3,(#587993,#587994,#587995,#587996), .UNSPECIFIED.,.F.,.F.,(4,4),(0.112447310412115,1.),.UNSPECIFIED.); #63885=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588026,#588027,#588028,#588029, #588030,#588031,#588032,#588033,#588034,#588035,#588036,#588037,#588038, #588039,#588040,#588041,#588042,#588043,#588044,#588045),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.300853426910385,-0.268618046728455,-0.230438459858207, -0.19372960793947,-0.173232577998753,-0.156788252288365,-0.138980999658642, -0.112887663251765,-0.0665435669691608,0.),.UNSPECIFIED.); #63886=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588047,#588048,#588049,#588050, #588051,#588052,#588053,#588054,#588055,#588056,#588057,#588058,#588059, #588060,#588061,#588062,#588063,#588064,#588065,#588066,#588067,#588068), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.343759576221542,-0.289445588928786, -0.245261084129695,-0.206997795303271,-0.186654698709415,-0.171893494133276, -0.157133109613568,-0.1367937208601,-0.0985385260853773,-0.0543630260458251, 0.),.UNSPECIFIED.); #63887=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588069,#588070,#588071,#588072, #588073,#588074,#588075,#588076,#588077,#588078,#588079,#588080,#588081, #588082,#588083,#588084,#588085,#588086,#588087,#588088),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,2,2,2,4),(-0.390217878420923,-0.303945003727842,-0.243828406555043, -0.209979561432347,-0.186881576414474,-0.16555315677337,-0.138970648841165, -0.0913683899369769,-0.0418548743430371,0.),.UNSPECIFIED.); #63888=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588121,#588122,#588123,#588124, #588125,#588126,#588127,#588128,#588129,#588130),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-4.09299088010945,-3.99717586531169,-2.99788189898377,-2.08103247056473), .UNSPECIFIED.); #63889=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588133,#588134,#588135,#588136, #588137,#588138,#588139),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.999293966327921, 1.12963424404508),.UNSPECIFIED.); #63890=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588144,#588145,#588146,#588147, #588148,#588149,#588150,#588151,#588152,#588153,#588154,#588155,#588156, #588157,#588158,#588159,#588160,#588161,#588162,#588163,#588164,#588165), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-10.376176187289,-9.99293966327924, -8.99364569695131,-7.99435173062339,-6.99505776429547,-5.99576379796754, -4.99646983163962,-4.09299088010945),.UNSPECIFIED.); #63891=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588166,#588167,#588168,#588169, #588170,#588171,#588172,#588173,#588174,#588175,#588176,#588177,#588178, #588179,#588180,#588181,#588182,#588183,#588184,#588185,#588186,#588187), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.12963424404508,1.99858793265585, 2.99788189898377,3.99717586531169,4.99646983163961,5.99576379796753,6.99505776429546, 7.41281955122468),.UNSPECIFIED.); #63892=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588192,#588193,#588194,#588195, #588196,#588197,#588198,#588199,#588200,#588201,#588202,#588203,#588204, #588205,#588206,#588207,#588208,#588209,#588210,#588211,#588212,#588213), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-16.6593614944686,-15.9887034612468, -14.9894094949189,-13.9901155285909,-12.990821562263,-11.9915275959351, -10.9922336296072,-10.376176187289),.UNSPECIFIED.); #63893=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588214,#588215,#588216,#588217, #588218,#588219,#588220,#588221,#588222,#588223,#588224,#588225,#588226, #588227,#588228,#588229,#588230,#588231,#588232,#588233,#588234,#588235), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(7.41281955122468,7.99435173062338, 8.9936456969513,9.99293966327923,10.9922336296072,11.9915275959351,12.990821562263, 13.6960048584043),.UNSPECIFIED.); #63894=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588240,#588241,#588242,#588243, #588244,#588245,#588246,#588247,#588248,#588249,#588250,#588251,#588252, #588253,#588254,#588255,#588256,#588257,#588258,#588259,#588260,#588261), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-22.9425468016482,-21.9844672592143, -20.9851732928864,-19.9858793265585,-18.9865853602305,-17.9872913939026, -16.9879974275747,-16.6593614944686),.UNSPECIFIED.); #63895=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588262,#588263,#588264,#588265, #588266,#588267,#588268,#588269,#588270,#588271,#588272,#588273,#588274, #588275,#588276,#588277,#588278,#588279,#588280,#588281,#588282,#588283), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.6960048584043,13.9901155285909, 14.9894094949188,15.9887034612468,16.9879974275747,17.9872913939026,18.9865853602305, 19.9791901655838),.UNSPECIFIED.); #63896=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588288,#588289,#588290,#588291, #588292,#588293,#588294,#588295,#588296,#588297,#588298,#588299,#588300, #588301,#588302,#588303,#588304,#588305,#588306,#588307,#588308,#588309, #588310,#588311,#588312),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-29.2257321088278, -28.9795250235098,-27.9802310571819,-26.9809370908539,-25.981643124526, -24.9823491581981,-23.9830551918702,-22.9837612255422,-22.9425468016482), .UNSPECIFIED.); #63897=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588313,#588314,#588315,#588316, #588317,#588318,#588319,#588320,#588321,#588322,#588323,#588324,#588325, #588326,#588327,#588328,#588329,#588330,#588331,#588332,#588333,#588334, #588335,#588336,#588337),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(19.9791901655838, 19.9858793265585,20.9851732928864,21.9844672592143,22.9837612255422,23.9830551918702, 24.9823491581981,25.981643124526,26.2623754727634),.UNSPECIFIED.); #63898=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588342,#588343,#588344,#588345, #588346,#588347,#588348,#588349,#588350,#588351,#588352,#588353,#588354, #588355,#588356,#588357,#588358,#588359,#588360,#588361,#588362,#588363), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-35.5089174160074,-34.9752888214773, -33.9759948551494,-32.9767008888215,-31.9774069224935,-30.9781129561656, -29.9788189898377,-29.2257321088278),.UNSPECIFIED.); #63899=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588364,#588365,#588366,#588367, #588368,#588369,#588370,#588371,#588372,#588373,#588374,#588375,#588376, #588377,#588378,#588379,#588380,#588381,#588382,#588383,#588384,#588385), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(26.2623754727634,26.9809370908539, 27.9802310571818,28.9795250235098,29.9788189898377,30.9781129561656,31.9774069224935, 32.545560779943),.UNSPECIFIED.); #63900=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588390,#588391,#588392,#588393, #588394,#588395,#588396,#588397,#588398,#588399,#588400,#588401,#588402, #588403,#588404,#588405,#588406,#588407,#588408,#588409,#588410,#588411), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-41.792102723187,-40.9710526194448, -39.9717586531169,-38.972464686789,-37.9731707204611,-36.9738767541332, -35.9745827878052,-35.5089174160074),.UNSPECIFIED.); #63901=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588412,#588413,#588414,#588415, #588416,#588417,#588418,#588419,#588420,#588421,#588422,#588423,#588424, #588425,#588426,#588427,#588428,#588429,#588430,#588431,#588432,#588433), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(32.545560779943,32.9767008888215, 33.9759948551494,34.9752888214773,35.9745827878052,36.9738767541332,37.9731707204611, 38.8287460871226),.UNSPECIFIED.); #63902=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588439,#588440,#588441,#588442), .UNSPECIFIED.,.F.,.F.,(4,4),(53.4070751110265,53.5028744357034), .UNSPECIFIED.); #63903=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588448,#588449,#588450,#588451, #588452,#588453,#588454,#588455,#588456,#588457),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(47.1238898038469,47.5895551756447,48.5888491419727,49.5881431083006, 50.5874370746285,51.5867310409564,52.5860250072843,53.4070751110265), .UNSPECIFIED.); #63904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588460,#588461,#588462,#588463, #588464,#588465,#588466,#588467,#588468),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(47.1238898038469,47.2676084035133,48.2669023698412,49.2661963361691, 50.265490302497,51.264784268825,52.2640782351529),.UNSPECIFIED.); #63905=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588473,#588474,#588475,#588476, #588477,#588478,#588479,#588480,#588481,#588482),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(40.8407044966673,41.5937913776772,42.5930853440051,43.592379310333, 44.591673276661,45.5909672429889,46.5902612093168,47.1238898038469), .UNSPECIFIED.); #63906=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588483,#588484,#588485,#588486, #588487,#588488,#588489,#588490,#588491,#588492),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(40.8407044966673,41.2718446055457,42.2711385718736,43.2704325382016, 44.2697265045295,45.2690204708574,46.2683144371853,47.1238898038469), .UNSPECIFIED.); #63907=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588497,#588498,#588499,#588500, #588501,#588502,#588503,#588504,#588505,#588506,#588507),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(34.5575191894877,34.5987336133817,35.5980275797097, 36.5973215460376,37.5966155123655,38.5959094786934,39.5952034450213,40.5944974113493, 40.8407044966673),.UNSPECIFIED.); #63908=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588508,#588509,#588510,#588511, #588512,#588513,#588514,#588515,#588516,#588517),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(34.5575191894877,35.2760808075782,36.2753747739061,37.274668740234, 38.273962706562,39.2732566728899,40.2725506392178,40.8407044966673), .UNSPECIFIED.); #63909=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588522,#588523,#588524,#588525, #588526,#588527,#588528,#588529,#588530,#588531),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(28.2743338823081,28.6029698154142,29.6022637817421,30.60155774807, 31.600851714398,32.6001456807259,33.5994396470538,34.5575191894877), .UNSPECIFIED.); #63910=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588532,#588533,#588534,#588535, #588536,#588537,#588538,#588539,#588540,#588541,#588542),.UNSPECIFIED., .F.,.F.,(4,1,1,1,1,1,1,1,4),(28.2743338823081,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 34.5575191894877),.UNSPECIFIED.); #63911=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588547,#588548,#588549,#588550, #588551,#588552,#588553,#588554,#588555,#588556),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(21.9911485751285,22.6072060174466,23.6064999837746,24.6057939501025, 25.6050879164304,26.6043818827583,27.6036758490863,28.2743338823081), .UNSPECIFIED.); #63912=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588557,#588558,#588559,#588560, #588561,#588562,#588563,#588564,#588565,#588566),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(21.9911485751285,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2743338823081), .UNSPECIFIED.); #63913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588571,#588572,#588573,#588574, #588575,#588576,#588577,#588578,#588579,#588580),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(15.7079632679489,16.6114422194791,17.610736185807,18.610030152135, 19.6093241184629,20.6086180847908,21.6079120511187,21.9911485751285), .UNSPECIFIED.); #63914=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588581,#588582,#588583,#588584, #588585,#588586,#588587,#588588,#588589,#588590),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(15.7079632679489,16.2894954473476,17.2887894136756,18.2880833800035, 19.2873773463314,20.2866713126593,21.2859652789873,21.9911485751285), .UNSPECIFIED.); #63915=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588663,#588664,#588665,#588666), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #63916=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588668,#588669,#588670,#588671), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #63917=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588683,#588684,#588685,#588686), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #63918=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588690,#588691,#588692,#588693), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #63919=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588739,#588740,#588741,#588742), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #63920=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588744,#588745,#588746,#588747), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #63921=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588759,#588760,#588761,#588762), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #63922=B_SPLINE_CURVE_WITH_KNOTS('',3,(#588766,#588767,#588768,#588769), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #63923=B_SPLINE_CURVE_WITH_KNOTS('',3,(#589589,#589590,#589591,#589592, #589593,#589594,#589595,#589596,#589597,#589598),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.000192671007650155,0.00590663818660522,0.0116206054170613), .UNSPECIFIED.); #63924=B_SPLINE_CURVE_WITH_KNOTS('',3,(#589635,#589636,#589637,#589638, #589639,#589640,#589641,#589642,#589643,#589644),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(0.,0.000192671007662196,0.00590663818697436,0.0116206054177875), .UNSPECIFIED.); #63925=B_SPLINE_CURVE_WITH_KNOTS('',3,(#589878,#589879,#589880,#589881, #589882,#589883,#589884,#589885,#589886,#589887,#589888,#589889,#589890, #589891,#589892,#589893,#589894,#589895,#589896,#589897,#589898,#589899, #589900,#589901,#589902,#589903,#589904,#589905,#589906,#589907,#589908, #589909,#589910,#589911,#589912,#589913,#589914,#589915,#589916,#589917, #589918,#589919,#589920,#589921,#589922,#589923,#589924,#589925,#589926, #589927,#589928,#589929,#589930,#589931,#589932,#589933,#589934,#589935, #589936,#589937,#589938,#589939,#589940,#589941,#589942,#589943,#589944, #589945,#589946,#589947,#589948,#589949,#589950,#589951,#589952,#589953, #589954,#589955,#589956,#589957,#589958,#589959,#589960,#589961,#589962, #589963,#589964,#589965,#589966,#589967,#589968),.UNSPECIFIED.,.F.,.F., (4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0285093330704206, 0.0614893831048949,0.0950750047347584,0.12865077711916,0.133607597639049, 0.166609849397579,0.199721859059318,0.23245881851531,0.248861614177143, 0.262790371463612,0.267668044157908,0.299912097392439,0.331796640601207, 0.363681183809974,0.383336417214561,0.39023019547543,0.394841117114028, 0.426027205279981,0.457950907618488,0.489737274614171,0.503703144947493, 0.510958182705072,0.51768804680144,0.549072301708232,0.581345455852015, 0.596265037378251,0.602159584265498,0.632654695668001,0.662301900872703, 0.678164918283363),.UNSPECIFIED.); #63926=B_SPLINE_CURVE_WITH_KNOTS('',3,(#589969,#589970,#589971,#589972, #589973,#589974,#589975,#589976,#589977,#589978,#589979,#589980,#589981), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(38.8287460871226,38.972464686789,39.9717586531169, 40.9710526194448,41.8879020478639),.UNSPECIFIED.); #63927=B_SPLINE_CURVE_WITH_KNOTS('',3,(#589983,#589984,#589985,#589986, #589987,#589988,#589989,#589990,#589991,#589992,#589993,#589994,#589995, #589996,#589997,#589998,#589999,#590000,#590001,#590002,#590003,#590004, #590005,#590006,#590007,#590008,#590009,#590010,#590011,#590012,#590013, #590014,#590015,#590016,#590017,#590018,#590019,#590020,#590021,#590022, #590023,#590024,#590025,#590026,#590027,#590028,#590029,#590030,#590031, #590032,#590033,#590034,#590035,#590036,#590037,#590038,#590039,#590040, #590041,#590042,#590043,#590044,#590045,#590046,#590047,#590048,#590049, #590050,#590051,#590052,#590053,#590054,#590055,#590056,#590057,#590058, #590059,#590060,#590061,#590062,#590063,#590064,#590065,#590066,#590067, #590068,#590069,#590070,#590071,#590072,#590073,#590074,#590075,#590076), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,4),(-0.678164918283374,-0.653019605872027,-0.623142040707636, -0.605244325234526,-0.598127537375138,-0.593289367946179,-0.562852118254754, -0.534592927615145,-0.522229531710317,-0.514794459358921,-0.509349180826916, -0.477783623631429,-0.445843812587846,-0.414246465123744,-0.394879497127279, -0.389944880814911,-0.357478284966471,-0.336778593137886,-0.305250982916031, -0.273129577641955,-0.264192482139836,-0.260014559496387,-0.227512739559339, -0.194619583036035,-0.161531774438317,-0.141340302633528,-0.133538604036132, -0.12864171610718,-0.0949838091748236,-0.0613860704948392,-0.0285745405382953, 0.),.UNSPECIFIED.); #63928=B_SPLINE_CURVE_WITH_KNOTS('',3,(#590077,#590078,#590079,#590080, #590081,#590082,#590083,#590084,#590085),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,4),(10.376176187289,11.293025615708,12.292319582036,13.2916135483639, 14.2909075146918,15.2902014810197,15.7079632679489),.UNSPECIFIED.); #63929=B_SPLINE_CURVE_WITH_KNOTS('',3,(#590089,#590090,#590091,#590092, #590093,#590094,#590095,#590096),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(11.6149723878395, 12.6142663541674,13.6135603204953,14.6128542868233,15.6121482531512,15.7079632679489), .UNSPECIFIED.); #63930=B_SPLINE_CURVE_WITH_KNOTS('',3,(#590286,#590287,#590288,#590289, #590290,#590291,#590292,#590293,#590294,#590295,#590296,#590297,#590298, #590299,#590300,#590301,#590302,#590303,#590304,#590305,#590306,#590307, #590308,#590309,#590310,#590311,#590312,#590313,#590314,#590315,#590316, #590317,#590318,#590319,#590320,#590321,#590322,#590323,#590324,#590325, #590326,#590327,#590328,#590329,#590330,#590331,#590332,#590333,#590334, #590335,#590336,#590337,#590338,#590339,#590340,#590341,#590342,#590343, #590344,#590345,#590346,#590347,#590348,#590349,#590350,#590351,#590352, #590353,#590354,#590355,#590356,#590357,#590358,#590359,#590360,#590361, #590362,#590363,#590364,#590365,#590366,#590367,#590368,#590369,#590370, #590371,#590372,#590373),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.025098827128634,0.0546812766780232, 0.0850098133584217,0.116981533109208,0.12254580657625,0.153533770230209, 0.185048406798586,0.216676050621239,0.232189490714173,0.238726187549451, 0.24499111810923,0.277196033452011,0.309061571811656,0.340783571364715, 0.361751698333449,0.366953417649479,0.37186672981132,0.403110689050352, 0.435139726370491,0.46776949712979,0.494477254251835,0.499492956769647, 0.532622234096667,0.565893225712488,0.580697252153649,0.586400060015005, 0.62004730522801,0.653133072678216,0.676273602864456),.UNSPECIFIED.); #63931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#590374,#590375,#590376,#590377, #590378,#590379,#590380,#590381,#590382,#590383,#590384,#590385,#590386, #590387,#590388,#590389,#590390,#590391,#590392,#590393,#590394,#590395, #590396,#590397,#590398,#590399,#590400,#590401,#590402,#590403,#590404, #590405,#590406,#590407,#590408,#590409,#590410,#590411,#590412,#590413, #590414,#590415,#590416,#590417,#590418,#590419,#590420,#590421,#590422, #590423,#590424,#590425,#590426,#590427,#590428,#590429,#590430,#590431, #590432,#590433,#590434,#590435,#590436,#590437,#590438,#590439,#590440, #590441,#590442,#590443,#590444,#590445,#590446,#590447,#590448,#590449, #590450,#590451,#590452,#590453,#590454,#590455,#590456,#590457,#590458, #590459,#590460,#590461,#590462,#590463,#590464,#590465,#590466,#590467, #590468,#590469,#590470),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.676273602864444,-0.647854836969252, -0.614569928831212,-0.595781536526981,-0.588261735721003,-0.581338516588787, -0.547915658510629,-0.518670657692241,-0.505875969834196,-0.497564081987036, -0.492497003294197,-0.459706691860455,-0.427052622346171,-0.395326402629319, -0.37498371496287,-0.369250427288735,-0.364284881756537,-0.332710863028501, -0.313955651963522,-0.282044806805112,-0.249865480036214,-0.243750075241434, -0.23880947729889,-0.207346137562904,-0.175721031330502,-0.144391818177301, -0.126342840905138,-0.121007380335594,-0.116401388569252,-0.0855654787951348, -0.0551238878059207,-0.0256441261431375,0.),.UNSPECIFIED.); #63932=B_SPLINE_CURVE_WITH_KNOTS('',3,(#590471,#590472,#590473,#590474, #590475,#590476,#590477,#590478,#590479,#590480),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-43.9689345184286,-42.9696405521007,-41.9703465857728,-41.792102723187), .UNSPECIFIED.); #63933=B_SPLINE_CURVE_WITH_KNOTS('',3,(#590932,#590933,#590934,#590935, #590936,#590937,#590938,#590939,#590940,#590941),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.0116206054177213,-0.00590663818694071,-0.000192671007661098, 0.),.UNSPECIFIED.); #63934=B_SPLINE_CURVE_WITH_KNOTS('',3,(#590959,#590960,#590961,#590962, #590963,#590964,#590965,#590966,#590967,#590968),.UNSPECIFIED.,.F.,.F., (4,3,3,4),(-0.0116206054171199,-0.00590663818663498,-0.000192671007651125, 0.),.UNSPECIFIED.); #63935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595678,#595679,#595680,#595681), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508806401,0.),.UNSPECIFIED.); #63936=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595684,#595685,#595686,#595687), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508804625,0.),.UNSPECIFIED.); #63937=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595698,#595699,#595700,#595701), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508803616,0.),.UNSPECIFIED.); #63938=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595710,#595711,#595712,#595713), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0637626508807323,0.),.UNSPECIFIED.); #63939=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595765,#595766,#595767,#595768, #595769,#595770,#595771,#595772,#595773,#595774),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.462156273074892,0.519794347094213,0.577432421113534,0.63543901192046, 0.655393194087472),.UNSPECIFIED.); #63940=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595781,#595782,#595783,#595784, #595785,#595786,#595787,#595788,#595789,#595790),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.193236921012582,-0.173282738845568,-0.115276148038642,-0.0576380740193211, 0.),.UNSPECIFIED.); #63941=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595797,#595798,#595799,#595800, #595801,#595802,#595803,#595804,#595805,#595806),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.057638074019321,0.115276148038642,0.173282738845568,0.231289329652495), .UNSPECIFIED.); #63942=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595813,#595814,#595815,#595816, #595817,#595818,#595819,#595820,#595821,#595822),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.230866943422397,0.288873534229323,0.346880125036249,0.404518199055571, 0.462156273074892),.UNSPECIFIED.); #63943=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595837,#595838,#595839,#595840, #595841,#595842,#595843,#595844,#595845,#595846),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.230887645360299,0.288917465059357,0.346947284758415,0.404585706432738, 0.462224128107061),.UNSPECIFIED.); #63944=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595861,#595862,#595863,#595864, #595865,#595866,#595867,#595868,#595869,#595870),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.057638421674323,0.115276843348646,0.173306663047704,0.231336482746762), .UNSPECIFIED.); #63945=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595875,#595876,#595877,#595878, #595879,#595880,#595881,#595882,#595883,#595884),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.231234638072765,-0.173254995063216,-0.115275352053668,-0.0576376760268339, 0.),.UNSPECIFIED.); #63946=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595897,#595898,#595899,#595900, #595901,#595902,#595903,#595904,#595905,#595906),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.462224128107061,0.519862549781384,0.577500971455707,0.635530791154766, 0.655496828047568),.UNSPECIFIED.); #63947=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595912,#595913,#595914,#595915, #595916,#595917,#595918,#595919,#595920,#595921),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.230842910831493,0.288822553841041,0.346802196850589,0.404439872877423, 0.462077548904257),.UNSPECIFIED.); #63948=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595935,#595936,#595937,#595938, #595939,#595940,#595941,#595942,#595943,#595944),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(-0.193272699940508,-0.173306663047704,-0.115276843348646,-0.057638421674323, 0.),.UNSPECIFIED.); #63949=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595948,#595949,#595950,#595951, #595952,#595953,#595954,#595955,#595956,#595957),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.462077549602101,0.519715225628935,0.577352901655769,0.635332544665317, 0.693312187674866),.UNSPECIFIED.); #63950=B_SPLINE_CURVE_WITH_KNOTS('',3,(#595968,#595969,#595970,#595971, #595972,#595973,#595974,#595975,#595976,#595977),.UNSPECIFIED.,.F.,.F., (4,2,2,2,4),(0.,0.0576376760268338,0.115275352053668,0.173254995063216, 0.231234638072764),.UNSPECIFIED.); #63951=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596214,#596215,#596216,#596217), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446109345536369,0.),.UNSPECIFIED.); #63952=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596218,#596219,#596220,#596221), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506443126,0.),.UNSPECIFIED.); #63953=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596231,#596232,#596233,#596234), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506443019,0.),.UNSPECIFIED.); #63954=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596236,#596237,#596238,#596239), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506442841,0.),.UNSPECIFIED.); #63955=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596244,#596245,#596246,#596247), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.044611550644272,0.),.UNSPECIFIED.); #63956=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596250,#596251,#596252,#596253), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446115506442848,0.),.UNSPECIFIED.); #63957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596264,#596265,#596266,#596267), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0446109345536115,0.),.UNSPECIFIED.); #63958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596268,#596269,#596270,#596271), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.044611550644273,0.),.UNSPECIFIED.); #63959=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596825,#596826,#596827,#596828), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63960=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596830,#596831,#596832,#596833, #596834,#596835),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63961=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596836,#596837,#596838,#596839), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63962=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596869,#596870,#596871,#596872, #596873,#596874),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596875,#596876,#596877,#596878), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63964=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596906,#596907,#596908,#596909, #596910,#596911),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63965=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596912,#596913,#596914,#596915), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63966=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596959,#596960,#596961,#596962, #596963,#596964,#596965,#596966,#596967,#596968),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.,-0.857142857142857,-0.714285714285714,-0.571428571428571, -0.428571428571429,-0.285714285714286,-0.142857142857143,0.), .UNSPECIFIED.); #63967=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596969,#596970,#596971,#596972), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63968=B_SPLINE_CURVE_WITH_KNOTS('',3,(#597000,#597001,#597002,#597003, #597004,#597005),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63969=B_SPLINE_CURVE_WITH_KNOTS('',3,(#597006,#597007,#597008,#597009), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63970=B_SPLINE_CURVE_WITH_KNOTS('',3,(#597033,#597034,#597035,#597036, #597037),.UNSPECIFIED.,.F.,.F.,(4,1,4),(-1.,-0.571428571428571,0.), .UNSPECIFIED.); #63971=B_SPLINE_CURVE_WITH_KNOTS('',3,(#597038,#597039,#597040,#597041), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63972=B_SPLINE_CURVE_WITH_KNOTS('',3,(#597069,#597070,#597071,#597072, #597073,#597074),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(-1.,-0.571428571428571, -0.285714285714286,0.),.UNSPECIFIED.); #63973=B_SPLINE_CURVE_WITH_KNOTS('',3,(#597075,#597076,#597077,#597078), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #63974=B_SPLINE_CURVE_WITH_KNOTS('',3,(#597120,#597121,#597122,#597123, #597124,#597125,#597126,#597127,#597128,#597129),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,4),(-1.,-0.857142857142857,-0.714285714285714,-0.571428571428571, -0.428571428571429,-0.285714285714286,-0.142857142857143,0.), .UNSPECIFIED.); #63975=CIRCLE('',#351363,15.); #63976=CIRCLE('',#351365,26.); #63977=CIRCLE('',#351366,26.); #63978=CIRCLE('',#351368,8274.58674997564); #63979=CIRCLE('',#351370,25.); #63980=CIRCLE('',#351375,0.6); #63981=CIRCLE('',#351376,5.); #63982=CIRCLE('',#351377,5.); #63983=CIRCLE('',#351378,5.); #63984=CIRCLE('',#351379,5.); #63985=CIRCLE('',#351380,0.6); #63986=CIRCLE('',#351381,0.4999999999999); #63987=CIRCLE('',#351382,0.75); #63988=CIRCLE('',#351383,26.); #63989=CIRCLE('',#351384,3.); #63990=CIRCLE('',#351385,26.); #63991=CIRCLE('',#351386,0.75); #63992=CIRCLE('',#351387,26.); #63993=CIRCLE('',#351388,26.); #63994=CIRCLE('',#351389,0.75); #63995=CIRCLE('',#351390,26.); #63996=CIRCLE('',#351391,3.); #63997=CIRCLE('',#351392,26.); #63998=CIRCLE('',#351393,0.75); #63999=CIRCLE('',#351394,26.); #64000=CIRCLE('',#351395,26.); #64001=CIRCLE('',#351396,0.75); #64002=CIRCLE('',#351397,26.); #64003=CIRCLE('',#351398,3.); #64004=CIRCLE('',#351399,26.); #64005=CIRCLE('',#351400,0.75); #64006=CIRCLE('',#351401,26.); #64007=CIRCLE('',#351402,26.); #64008=CIRCLE('',#351403,0.75); #64009=CIRCLE('',#351404,26.); #64010=CIRCLE('',#351405,3.); #64011=CIRCLE('',#351406,26.); #64012=CIRCLE('',#351407,0.75); #64013=CIRCLE('',#351408,26.); #64014=CIRCLE('',#351409,23.8); #64015=CIRCLE('',#351410,0.5); #64016=CIRCLE('',#351411,26.5); #64017=CIRCLE('',#351412,2.25); #64018=CIRCLE('',#351413,2.25); #64019=CIRCLE('',#351414,2.25); #64020=CIRCLE('',#351415,2.25); #64021=CIRCLE('',#351418,0.6); #64022=CIRCLE('',#351419,26.5); #64023=CIRCLE('',#351420,0.5); #64024=CIRCLE('',#351421,0.4999999999999); #64025=CIRCLE('',#351422,0.6); #64026=CIRCLE('',#351423,5.); #64027=CIRCLE('',#351424,5.); #64028=CIRCLE('',#351425,5.); #64029=CIRCLE('',#351426,5.); #64030=CIRCLE('',#351427,25.8); #64031=CIRCLE('',#351428,3.25); #64032=CIRCLE('',#351429,25.8); #64033=CIRCLE('',#351430,25.8); #64034=CIRCLE('',#351431,3.25); #64035=CIRCLE('',#351432,25.8); #64036=CIRCLE('',#351433,25.8); #64037=CIRCLE('',#351434,3.25); #64038=CIRCLE('',#351435,25.8); #64039=CIRCLE('',#351436,25.8); #64040=CIRCLE('',#351437,3.25); #64041=CIRCLE('',#351438,25.8); #64042=CIRCLE('',#351439,2.25); #64043=CIRCLE('',#351440,2.25); #64044=CIRCLE('',#351441,2.25); #64045=CIRCLE('',#351442,2.25); #64046=CIRCLE('',#351459,25.); #64047=CIRCLE('',#351460,25.); #64048=CIRCLE('',#351463,26.); #64049=CIRCLE('',#351465,26.); #64050=CIRCLE('',#351467,25.); #64051=CIRCLE('',#351469,25.); #64052=CIRCLE('',#351471,26.); #64053=CIRCLE('',#351473,26.); #64054=CIRCLE('',#351475,25.); #64055=CIRCLE('',#351477,25.); #64056=CIRCLE('',#351479,0.6); #64057=CIRCLE('',#351480,26.5); #64058=CIRCLE('',#351481,0.5); #64059=CIRCLE('',#351482,25.); #64060=CIRCLE('',#351483,0.75); #64061=CIRCLE('',#351484,25.); #64062=CIRCLE('',#351485,0.75); #64063=CIRCLE('',#351486,25.); #64064=CIRCLE('',#351487,25.); #64065=CIRCLE('',#351488,0.75); #64066=CIRCLE('',#351489,25.); #64067=CIRCLE('',#351490,0.75); #64068=CIRCLE('',#351491,25.); #64069=CIRCLE('',#351492,25.); #64070=CIRCLE('',#351493,0.75); #64071=CIRCLE('',#351494,25.); #64072=CIRCLE('',#351495,0.75); #64073=CIRCLE('',#351496,25.); #64074=CIRCLE('',#351497,25.); #64075=CIRCLE('',#351498,0.75); #64076=CIRCLE('',#351499,25.); #64077=CIRCLE('',#351500,0.75); #64078=CIRCLE('',#351501,0.4999999999999); #64079=CIRCLE('',#351502,0.6); #64080=CIRCLE('',#351503,5.); #64081=CIRCLE('',#351504,5.); #64082=CIRCLE('',#351505,5.); #64083=CIRCLE('',#351506,5.); #64084=CIRCLE('',#351507,2.25); #64085=CIRCLE('',#351508,2.25); #64086=CIRCLE('',#351509,2.25); #64087=CIRCLE('',#351510,2.25); #64088=CIRCLE('',#351513,0.6); #64089=CIRCLE('',#351514,5.); #64090=CIRCLE('',#351515,5.); #64091=CIRCLE('',#351516,5.); #64092=CIRCLE('',#351517,5.); #64093=CIRCLE('',#351518,0.6); #64094=CIRCLE('',#351519,0.4999999999999); #64095=CIRCLE('',#351520,0.5); #64096=CIRCLE('',#351521,26.5); #64097=CIRCLE('',#351522,25.8); #64098=CIRCLE('',#351523,3.25); #64099=CIRCLE('',#351524,25.8); #64100=CIRCLE('',#351525,25.8); #64101=CIRCLE('',#351526,3.25); #64102=CIRCLE('',#351527,25.8); #64103=CIRCLE('',#351528,25.8); #64104=CIRCLE('',#351529,3.25); #64105=CIRCLE('',#351530,25.8); #64106=CIRCLE('',#351531,25.8); #64107=CIRCLE('',#351532,3.25); #64108=CIRCLE('',#351533,25.8); #64109=CIRCLE('',#351534,2.25); #64110=CIRCLE('',#351535,2.25); #64111=CIRCLE('',#351536,2.25); #64112=CIRCLE('',#351537,2.25); #64113=CIRCLE('',#351556,23.8); #64114=CIRCLE('',#351560,23.8); #64115=CIRCLE('',#351564,23.8); #64116=CIRCLE('',#351568,23.8); #64117=CIRCLE('',#351572,23.8); #64118=CIRCLE('',#351573,23.8); #64119=CIRCLE('',#351574,23.8); #64120=CIRCLE('',#351575,23.8); #64121=CIRCLE('',#351576,23.8); #64122=CIRCLE('',#351577,23.8); #64123=CIRCLE('',#351578,23.8); #64124=CIRCLE('',#351579,23.8); #64125=CIRCLE('',#351580,23.8); #64126=CIRCLE('',#351581,23.8); #64127=CIRCLE('',#351582,23.8); #64128=CIRCLE('',#351584,15.); #64129=CIRCLE('',#351585,23.8); #64130=CIRCLE('',#351586,23.8); #64131=CIRCLE('',#351587,23.8017316309494); #64132=CIRCLE('',#351589,15.); #64133=CIRCLE('',#351590,15.); #64134=CIRCLE('',#351591,15.); #64135=CIRCLE('',#351592,15.); #64136=CIRCLE('',#351593,15.); #64137=CIRCLE('',#351594,15.); #64138=CIRCLE('',#351595,15.); #64139=CIRCLE('',#351596,15.); #64140=CIRCLE('',#351597,15.); #64141=CIRCLE('',#351598,15.); #64142=CIRCLE('',#351600,14.9975380046081); #64143=CIRCLE('',#351601,14.997850231959); #64144=CIRCLE('',#351604,0.749999999687631); #64145=CIRCLE('',#351605,0.749999999951105); #64146=CIRCLE('',#351606,0.749999999901561); #64147=CIRCLE('',#351607,0.750000000057949); #64148=CIRCLE('',#351608,0.751575061294876); #64149=CIRCLE('',#351610,23.80173163095); #64150=CIRCLE('',#351611,0.299999994023968); #64151=CIRCLE('',#351612,0.299999999963658); #64152=CIRCLE('',#351613,0.299999999989759); #64153=CIRCLE('',#351614,0.299999998508115); #64154=CIRCLE('',#351615,0.300760419722718); #64155=CIRCLE('',#351616,0.300004388282896); #64156=CIRCLE('',#351617,0.300000000008796); #64157=CIRCLE('',#351618,0.300002274750693); #64158=CIRCLE('',#351622,23.80173163095); #64159=CIRCLE('',#351624,0.299999994021721); #64160=CIRCLE('',#351625,0.300002423031197); #64161=CIRCLE('',#351628,0.74999999968167); #64162=CIRCLE('',#351629,0.749999999919731); #64163=CIRCLE('',#351630,0.749999999978287); #64164=CIRCLE('',#351631,0.749999999941456); #64165=CIRCLE('',#351632,0.751575061344609); #64166=CIRCLE('',#351633,0.300001573660073); #64167=CIRCLE('',#351634,0.300001802060316); #64168=CIRCLE('',#351635,0.299999998504003); #64169=CIRCLE('',#351636,0.30000301573158); #64170=CIRCLE('',#351637,0.300000000008315); #64171=CIRCLE('',#351638,0.751575061650349); #64172=CIRCLE('',#351640,23.80173163095); #64173=CIRCLE('',#351641,0.299999994200471); #64174=CIRCLE('',#351642,0.29999999996183); #64175=CIRCLE('',#351643,0.299999999991545); #64176=CIRCLE('',#351644,0.299999998507389); #64177=CIRCLE('',#351645,0.299999999988556); #64178=CIRCLE('',#351646,0.300000000007419); #64179=CIRCLE('',#351647,0.29999999971093); #64180=CIRCLE('',#351650,0.750000000296223); #64181=CIRCLE('',#351651,0.750000000156384); #64182=CIRCLE('',#351652,0.749999999925405); #64183=CIRCLE('',#351653,0.749999999975427); #64184=CIRCLE('',#351660,23.80173163095); #64185=CIRCLE('',#351662,0.299999995958574); #64186=CIRCLE('',#351663,0.299999999857502); #64187=CIRCLE('',#351664,0.299999999998298); #64188=CIRCLE('',#351665,0.299999999999744); #64189=CIRCLE('',#351666,0.299999999480561); #64190=CIRCLE('',#351669,0.750000000002418); #64191=CIRCLE('',#351670,0.749999999672738); #64192=CIRCLE('',#351671,0.749999995782822); #64193=CIRCLE('',#351672,0.749999987363109); #64194=CIRCLE('',#351673,0.751574787860958); #64195=CIRCLE('',#351688,0.749999999684897); #64196=CIRCLE('',#351689,0.750000000125916); #64197=CIRCLE('',#351690,0.750000000027281); #64198=CIRCLE('',#351691,0.749999999885336); #64199=CIRCLE('',#351692,0.751575061459689); #64200=CIRCLE('',#351695,0.300000000009518); #64201=CIRCLE('',#351696,0.30000056495512); #64202=CIRCLE('',#351697,0.299999998505104); #64203=CIRCLE('',#351698,0.300001672187247); #64204=CIRCLE('',#351699,0.300001885488578); #64205=CIRCLE('',#351700,0.299999994023838); #64206=CIRCLE('',#351709,26.); #64207=CIRCLE('',#351710,26.); #64208=CIRCLE('',#351713,25.); #64209=CIRCLE('',#351714,25.); #64210=CIRCLE('',#351717,26.); #64211=CIRCLE('',#351719,26.); #64212=CIRCLE('',#351721,25.); #64213=CIRCLE('',#351723,25.); #64214=CIRCLE('',#351728,8274.58674812772); #64215=CIRCLE('',#351730,26.); #64216=CIRCLE('',#351731,26.); #64217=CIRCLE('',#351733,25.); #64218=CIRCLE('',#351735,25.); #64219=CIRCLE('',#351737,26.); #64220=CIRCLE('',#351739,26.); #64221=CIRCLE('',#351741,25.); #64222=CIRCLE('',#351743,25.); #64223=CIRCLE('',#351749,8274.58674804184); #64224=CIRCLE('',#351750,25.); #64225=CIRCLE('',#351753,25.); #64226=CIRCLE('',#351754,25.); #64227=CIRCLE('',#351756,26.); #64228=CIRCLE('',#351758,26.); #64229=CIRCLE('',#351760,25.); #64230=CIRCLE('',#351763,26.); #64231=CIRCLE('',#351765,26.); #64232=CIRCLE('',#351767,25.); #64233=CIRCLE('',#351772,26.); #64234=CIRCLE('',#351773,26.); #64235=CIRCLE('',#351776,25.); #64236=CIRCLE('',#351777,25.); #64237=CIRCLE('',#351780,26.); #64238=CIRCLE('',#351782,26.); #64239=CIRCLE('',#351784,25.); #64240=CIRCLE('',#351786,25.); #64241=CIRCLE('',#351791,25.); #64242=CIRCLE('',#351794,25.); #64243=CIRCLE('',#351797,25.); #64244=CIRCLE('',#351801,25.); #64245=CIRCLE('',#351803,8274.5867500434); #64246=CIRCLE('',#351805,25.); #64247=CIRCLE('',#351806,25.); #64248=CIRCLE('',#351808,26.); #64249=CIRCLE('',#351810,26.); #64250=CIRCLE('',#351813,26.); #64251=CIRCLE('',#351815,26.); #64252=CIRCLE('',#351817,25.); #64253=CIRCLE('',#351819,25.); #64254=CIRCLE('',#351824,26.); #64255=CIRCLE('',#351825,26.); #64256=CIRCLE('',#351828,25.); #64257=CIRCLE('',#351829,25.); #64258=CIRCLE('',#351832,26.); #64259=CIRCLE('',#351834,26.); #64260=CIRCLE('',#351836,25.); #64261=CIRCLE('',#351838,25.); #64262=CIRCLE('',#351843,8274.58674844831); #64263=CIRCLE('',#351845,26.); #64264=CIRCLE('',#351846,26.); #64265=CIRCLE('',#351848,25.); #64266=CIRCLE('',#351850,25.); #64267=CIRCLE('',#351852,26.); #64268=CIRCLE('',#351854,26.); #64269=CIRCLE('',#351856,25.); #64270=CIRCLE('',#351858,25.); #64271=CIRCLE('',#351864,8274.58675249122); #64272=CIRCLE('',#351865,25.); #64273=CIRCLE('',#351868,25.); #64274=CIRCLE('',#351869,25.); #64275=CIRCLE('',#351871,26.); #64276=CIRCLE('',#351873,26.); #64277=CIRCLE('',#351875,25.); #64278=CIRCLE('',#351878,26.); #64279=CIRCLE('',#351880,26.); #64280=CIRCLE('',#351882,25.); #64281=CIRCLE('',#351887,26.); #64282=CIRCLE('',#351888,26.); #64283=CIRCLE('',#351891,25.); #64284=CIRCLE('',#351892,25.); #64285=CIRCLE('',#351895,26.); #64286=CIRCLE('',#351897,26.); #64287=CIRCLE('',#351899,25.); #64288=CIRCLE('',#351901,25.); #64289=CIRCLE('',#351906,8274.58668837927); #64290=CIRCLE('',#351908,26.); #64291=CIRCLE('',#351909,26.); #64292=CIRCLE('',#351911,25.); #64293=CIRCLE('',#351913,25.); #64294=CIRCLE('',#351915,26.); #64295=CIRCLE('',#351917,26.); #64296=CIRCLE('',#351919,25.); #64297=CIRCLE('',#351921,25.); #64298=CIRCLE('',#351926,25.); #64299=CIRCLE('',#351929,25.); #64300=CIRCLE('',#351932,25.); #64301=CIRCLE('',#351935,25.); #64302=CIRCLE('',#351945,25.); #64303=CIRCLE('',#351947,25.); #64304=CIRCLE('',#351949,26.); #64305=CIRCLE('',#351951,26.); #64306=CIRCLE('',#351953,25.); #64307=CIRCLE('',#351955,25.); #64308=CIRCLE('',#351957,14.4973816585503); #64309=CIRCLE('',#351958,14.2072779719); #64310=CIRCLE('',#351959,14.2072779719); #64311=CIRCLE('',#351961,14.49999999999); #64312=CIRCLE('',#351962,15.00000038415); #64313=CIRCLE('',#351964,11.76788809538); #64314=CIRCLE('',#351965,14.20727797188); #64315=CIRCLE('',#351966,14.20727797188); #64316=CIRCLE('',#351967,14.2072779719); #64317=CIRCLE('',#351968,14.20727797193); #64318=CIRCLE('',#351970,14.49738165858); #64319=CIRCLE('',#351971,14.5); #64320=CIRCLE('',#351972,15.00000038414); #64321=CIRCLE('',#351974,15.); #64322=CIRCLE('',#351977,14.4973816585751); #64323=CIRCLE('',#351978,14.49999999999); #64324=CIRCLE('',#351980,14.49738165863); #64325=CIRCLE('',#351981,14.5); #64326=CIRCLE('',#351983,15.); #64327=CIRCLE('',#351986,14.49738165858); #64328=CIRCLE('',#351987,14.5); #64329=CIRCLE('',#351990,15.00000038415); #64330=CIRCLE('',#351991,15.); #64331=CIRCLE('',#351993,15.); #64332=CIRCLE('',#351994,15.); #64333=CIRCLE('',#351995,15.); #64334=CIRCLE('',#351996,15.); #64335=CIRCLE('',#351997,15.); #64336=CIRCLE('',#351998,15.); #64337=CIRCLE('',#351999,15.); #64338=CIRCLE('',#352001,15.00000038415); #64339=CIRCLE('',#352008,15.00000038415); #64340=CIRCLE('',#352012,1.); #64341=CIRCLE('',#352013,10.85957319485); #64342=CIRCLE('',#352017,10.75); #64343=CIRCLE('',#352019,10.75); #64344=CIRCLE('',#352021,10.75); #64345=CIRCLE('',#352023,10.75); #64346=CIRCLE('',#352025,10.75); #64347=CIRCLE('',#352027,10.75); #64348=CIRCLE('',#352028,10.75); #64349=CIRCLE('',#352031,10.75); #64350=CIRCLE('',#352043,12.75); #64351=CIRCLE('',#352044,12.7499999999999); #64352=CIRCLE('',#352045,12.75); #64353=CIRCLE('',#352047,13.75); #64354=CIRCLE('',#352054,13.75); #64355=CIRCLE('',#352055,12.75); #64356=CIRCLE('',#352058,13.75); #64357=CIRCLE('',#352059,12.75); #64358=CIRCLE('',#352066,13.75); #64359=CIRCLE('',#352073,13.75); #64360=CIRCLE('',#352074,12.75); #64361=CIRCLE('',#352076,10.75); #64362=CIRCLE('',#352078,13.75); #64363=CIRCLE('',#352080,13.75); #64364=CIRCLE('',#352081,13.75); #64365=CIRCLE('',#352082,13.75); #64366=CIRCLE('',#352088,12.75); #64367=CIRCLE('',#352089,13.75); #64368=CIRCLE('',#352094,13.75); #64369=CIRCLE('',#352095,13.75); #64370=CIRCLE('',#352098,12.75); #64371=CIRCLE('',#352099,10.75); #64372=CIRCLE('',#352101,13.75); #64373=CIRCLE('',#352107,12.75); #64374=CIRCLE('',#352108,13.75); #64375=CIRCLE('',#352110,12.75); #64376=CIRCLE('',#352116,13.75); #64377=CIRCLE('',#352117,13.75); #64378=CIRCLE('',#352120,12.75); #64379=CIRCLE('',#352121,10.75); #64380=CIRCLE('',#352123,13.75); #64381=CIRCLE('',#352124,13.75); #64382=CIRCLE('',#352126,12.75); #64383=CIRCLE('',#352128,12.7499999999999); #64384=CIRCLE('',#352132,13.75); #64385=CIRCLE('',#352135,12.75); #64386=CIRCLE('',#352136,10.75); #64387=CIRCLE('',#352138,13.75); #64388=CIRCLE('',#352139,13.75); #64389=CIRCLE('',#352141,12.75); #64390=CIRCLE('',#352143,12.75); #64391=CIRCLE('',#352147,13.75); #64392=CIRCLE('',#352153,10.75); #64393=CIRCLE('',#352154,10.75); #64394=CIRCLE('',#352161,10.75); #64395=CIRCLE('',#352163,10.75); #64396=CIRCLE('',#352164,10.75); #64397=CIRCLE('',#352166,10.75); #64398=CIRCLE('',#352167,10.75); #64399=CIRCLE('',#352179,10.75); #64400=CIRCLE('',#352180,10.75); #64401=CIRCLE('',#352182,10.75); #64402=CIRCLE('',#352183,10.75); #64403=CIRCLE('',#352186,10.75); #64404=CIRCLE('',#352188,10.75); #64405=CIRCLE('',#352189,10.75); #64406=CIRCLE('',#352192,10.75); #64407=CIRCLE('',#352193,10.75); #64408=CIRCLE('',#352200,14.7500000149012); #64409=CIRCLE('',#352201,14.7500000149012); #64410=CIRCLE('',#352202,14.7500000149012); #64411=CIRCLE('',#352203,13.75); #64412=CIRCLE('',#352204,13.75); #64413=CIRCLE('',#352205,13.75); #64414=CIRCLE('',#352212,13.75); #64415=CIRCLE('',#352213,13.75); #64416=CIRCLE('',#352214,13.75); #64417=CIRCLE('',#352216,14.7500000149012); #64418=CIRCLE('',#352217,14.7500000149012); #64419=CIRCLE('',#352218,14.7500000149012); #64420=CIRCLE('',#352233,13.75); #64421=CIRCLE('',#352234,13.75); #64422=CIRCLE('',#352235,13.75); #64423=CIRCLE('',#352243,13.75); #64424=CIRCLE('',#352246,13.75); #64425=CIRCLE('',#352249,13.75); #64426=CIRCLE('',#352254,33.2842712474619); #64427=CIRCLE('',#352267,33.2842712474619); #64428=CIRCLE('',#352318,29.2842712474619); #64429=CIRCLE('',#352320,3.); #64430=CIRCLE('',#352321,2.); #64431=CIRCLE('',#352324,5.); #64432=CIRCLE('',#352325,4.); #64433=CIRCLE('',#352328,5.); #64434=CIRCLE('',#352329,4.); #64435=CIRCLE('',#352332,2.); #64436=CIRCLE('',#352333,3.); #64437=CIRCLE('',#352335,35.2842712474619); #64438=CIRCLE('',#352336,3.); #64439=CIRCLE('',#352337,3.); #64440=CIRCLE('',#352338,3.); #64441=CIRCLE('',#352339,3.); #64442=CIRCLE('',#352340,35.2842712474619); #64443=CIRCLE('',#352342,2.); #64444=CIRCLE('',#352345,2.); #64445=CIRCLE('',#352348,2.); #64446=CIRCLE('',#352351,2.); #64447=CIRCLE('',#352353,3.); #64448=CIRCLE('',#352354,2.); #64449=CIRCLE('',#352357,2.); #64450=CIRCLE('',#352358,3.); #64451=CIRCLE('',#352361,2.); #64452=CIRCLE('',#352362,3.); #64453=CIRCLE('',#352365,2.); #64454=CIRCLE('',#352366,3.); #64455=CIRCLE('',#352368,35.2842712474619); #64456=CIRCLE('',#352369,3.); #64457=CIRCLE('',#352370,4.); #64458=CIRCLE('',#352371,4.); #64459=CIRCLE('',#352372,3.); #64460=CIRCLE('',#352373,35.2842712474619); #64461=CIRCLE('',#352375,2.); #64462=CIRCLE('',#352378,5.); #64463=CIRCLE('',#352381,5.); #64464=CIRCLE('',#352384,2.); #64465=CIRCLE('',#352386,3.); #64466=CIRCLE('',#352387,2.); #64467=CIRCLE('',#352390,2.); #64468=CIRCLE('',#352391,3.); #64469=CIRCLE('',#352394,2.); #64470=CIRCLE('',#352395,3.); #64471=CIRCLE('',#352398,2.); #64472=CIRCLE('',#352399,3.); #64473=CIRCLE('',#352401,35.2842712474619); #64474=CIRCLE('',#352402,3.); #64475=CIRCLE('',#352403,4.); #64476=CIRCLE('',#352404,4.); #64477=CIRCLE('',#352405,3.); #64478=CIRCLE('',#352406,35.2842712474619); #64479=CIRCLE('',#352408,2.); #64480=CIRCLE('',#352411,5.); #64481=CIRCLE('',#352414,5.); #64482=CIRCLE('',#352417,2.); #64483=CIRCLE('',#352419,3.); #64484=CIRCLE('',#352420,2.); #64485=CIRCLE('',#352423,5.); #64486=CIRCLE('',#352424,4.); #64487=CIRCLE('',#352427,5.); #64488=CIRCLE('',#352428,4.); #64489=CIRCLE('',#352431,2.); #64490=CIRCLE('',#352432,3.); #64491=CIRCLE('',#352434,35.2842712474619); #64492=CIRCLE('',#352435,3.); #64493=CIRCLE('',#352436,3.); #64494=CIRCLE('',#352437,3.); #64495=CIRCLE('',#352438,3.); #64496=CIRCLE('',#352439,35.2842712474619); #64497=CIRCLE('',#352441,2.); #64498=CIRCLE('',#352444,2.); #64499=CIRCLE('',#352447,2.); #64500=CIRCLE('',#352450,2.); #64501=CIRCLE('',#352480,3.75); #64502=CIRCLE('',#352481,3.75); #64503=CIRCLE('',#352482,3.75); #64504=CIRCLE('',#352484,31.2842712474619); #64505=CIRCLE('',#352486,31.2842712474619); #64506=CIRCLE('',#352487,31.2842712474619); #64507=CIRCLE('',#352488,31.2842712474619); #64508=CIRCLE('',#352489,31.2842712474619); #64509=CIRCLE('',#352490,31.2842712474619); #64510=CIRCLE('',#352491,31.2842712474619); #64511=CIRCLE('',#352492,31.2842712474619); #64512=CIRCLE('',#352493,31.2842712474619); #64513=CIRCLE('',#352494,31.2842712474619); #64514=CIRCLE('',#352495,31.2842712474619); #64515=CIRCLE('',#352496,31.2842712474619); #64516=CIRCLE('',#352497,31.2842712474619); #64517=CIRCLE('',#352498,31.2842712474619); #64518=CIRCLE('',#352499,31.2842712474619); #64519=CIRCLE('',#352500,31.2842712474619); #64520=CIRCLE('',#352501,31.2842712474619); #64521=CIRCLE('',#352502,31.2842712474619); #64522=CIRCLE('',#352503,31.2842712474619); #64523=CIRCLE('',#352505,2.5); #64524=CIRCLE('',#352507,25.8000000000002); #64525=CIRCLE('',#352510,3.75); #64526=CIRCLE('',#352511,3.75); #64527=CIRCLE('',#352512,2.5); #64528=CIRCLE('',#352514,5.2); #64529=CIRCLE('',#352515,25.8000000000002); #64530=CIRCLE('',#352521,25.8000000000002); #64531=CIRCLE('',#352522,25.8000000000002); #64532=CIRCLE('',#352523,25.8000000000002); #64533=CIRCLE('',#352524,25.8000000000002); #64534=CIRCLE('',#352525,25.8000000000002); #64535=CIRCLE('',#352526,25.8000000000002); #64536=CIRCLE('',#352527,25.8000000000002); #64537=CIRCLE('',#352529,31.2842712474619); #64538=CIRCLE('',#352533,31.2842712474619); #64539=CIRCLE('',#352537,2.3); #64540=CIRCLE('',#352538,2.3); #64541=CIRCLE('',#352540,1.7); #64542=CIRCLE('',#352542,2.3); #64543=CIRCLE('',#352543,2.3); #64544=CIRCLE('',#352545,1.7); #64545=CIRCLE('',#352547,2.3); #64546=CIRCLE('',#352548,2.3); #64547=CIRCLE('',#352550,1.7); #64548=CIRCLE('',#352552,2.3); #64549=CIRCLE('',#352553,2.3); #64550=CIRCLE('',#352555,1.7); #64551=CIRCLE('',#352557,1.7); #64552=CIRCLE('',#352560,1.7); #64553=CIRCLE('',#352563,1.7); #64554=CIRCLE('',#352566,1.7); #64555=CIRCLE('',#352569,35.2842712474619); #64556=CIRCLE('',#352579,35.2842712474619); #64557=CIRCLE('',#352704,5.2); #64558=CIRCLE('',#352706,5.2); #64559=CIRCLE('',#352708,5.2); #64560=CIRCLE('',#352712,5.2); #64561=CIRCLE('',#352714,5.2); #64562=CIRCLE('',#352717,5.2); #64563=CIRCLE('',#352720,5.2); #64564=CIRCLE('',#352724,33.2842712474619); #64565=CIRCLE('',#352725,34.0342712474619); #64566=CIRCLE('',#352727,34.0342712474619); #64567=CIRCLE('',#352729,3.); #64568=CIRCLE('',#352730,3.); #64569=CIRCLE('',#352731,3.); #64570=CIRCLE('',#352732,3.); #64571=CIRCLE('',#352733,25.8000000000002); #64572=CIRCLE('',#352735,35.2842712474619); #64573=CIRCLE('',#352737,1.7); #64574=CIRCLE('',#352738,1.7); #64575=CIRCLE('',#352740,1.7); #64576=CIRCLE('',#352741,1.7); #64577=CIRCLE('',#352743,1.7); #64578=CIRCLE('',#352744,1.7); #64579=CIRCLE('',#352746,1.7); #64580=CIRCLE('',#352747,1.7); #64581=CIRCLE('',#352749,3.); #64582=CIRCLE('',#352752,3.); #64583=CIRCLE('',#352755,3.); #64584=CIRCLE('',#352758,3.); #64585=CIRCLE('',#352761,25.8000000000002); #64586=CIRCLE('',#352763,35.2842712474619); #64587=CIRCLE('',#352766,29.5); #64588=CIRCLE('',#352767,29.5); #64589=CIRCLE('',#352769,29.2342712474619); #64590=CIRCLE('',#352798,33.2342712474619); #64591=CIRCLE('',#352833,33.2342712474619); #64592=CIRCLE('',#352843,2.5); #64593=CIRCLE('',#352856,29.5); #64594=CIRCLE('',#352857,14.9500000149012); #64595=CIRCLE('',#352858,14.9500000149012); #64596=CIRCLE('',#352859,14.9500000149012); #64597=CIRCLE('',#352865,31.); #64598=CIRCLE('',#352866,31.); #64599=CIRCLE('',#352869,31.25); #64600=CIRCLE('',#352870,31.); #64601=CIRCLE('',#352871,32.); #64602=CIRCLE('',#352873,31.25); #64603=CIRCLE('',#352875,32.); #64604=CIRCLE('',#352876,31.); #64605=CIRCLE('',#352879,31.25); #64606=CIRCLE('',#352880,31.); #64607=CIRCLE('',#352881,32.); #64608=CIRCLE('',#352883,31.25); #64609=CIRCLE('',#352885,32.); #64610=CIRCLE('',#352886,31.); #64611=CIRCLE('',#352888,32.); #64612=CIRCLE('',#352889,31.25); #64613=CIRCLE('',#352890,31.); #64614=CIRCLE('',#352892,32.); #64615=CIRCLE('',#352895,31.); #64616=CIRCLE('',#352896,31.25); #64617=CIRCLE('',#352898,30.5); #64618=CIRCLE('',#352899,30.5); #64619=CIRCLE('',#352902,30.5); #64620=CIRCLE('',#352903,30.5); #64621=CIRCLE('',#352907,30.5); #64622=CIRCLE('',#352908,30.5); #64623=CIRCLE('',#352911,31.); #64624=CIRCLE('',#352913,31.); #64625=CIRCLE('',#352914,31.); #64626=CIRCLE('',#352916,31.); #64627=CIRCLE('',#352917,29.5); #64628=CIRCLE('',#352920,31.); #64629=CIRCLE('',#352921,31.); #64630=CIRCLE('',#352922,29.5); #64631=CIRCLE('',#352923,31.); #64632=CIRCLE('',#352927,31.); #64633=CIRCLE('',#352929,31.); #64634=CIRCLE('',#352932,31.); #64635=CIRCLE('',#352934,31.); #64636=CIRCLE('',#352936,31.); #64637=CIRCLE('',#352938,31.); #64638=CIRCLE('',#352939,31.); #64639=CIRCLE('',#352941,31.); #64640=CIRCLE('',#352942,31.); #64641=CIRCLE('',#352944,29.5); #64642=CIRCLE('',#352945,29.5); #64643=CIRCLE('',#352946,29.5); #64644=CIRCLE('',#352947,29.5); #64645=CIRCLE('',#352948,29.5); #64646=CIRCLE('',#352949,29.5); #64647=CIRCLE('',#352960,32.5); #64648=CIRCLE('',#352967,14.9500000149012); #64649=CIRCLE('',#352970,14.9500000149012); #64650=CIRCLE('',#352973,14.9500000149012); #64651=CIRCLE('',#352986,0.325); #64652=CIRCLE('',#352987,0.325); #64653=CIRCLE('',#352989,0.325); #64654=CIRCLE('',#352990,0.325); #64655=CIRCLE('',#352992,0.600000000000001); #64656=CIRCLE('',#352993,0.600000000000001); #64657=CIRCLE('',#352995,0.175000000000001); #64658=CIRCLE('',#352996,0.175000000000001); #64659=CIRCLE('',#352998,0.175000000000001); #64660=CIRCLE('',#352999,0.175000000000001); #64661=CIRCLE('',#353001,0.175000000000001); #64662=CIRCLE('',#353002,0.175000000000001); #64663=CIRCLE('',#353004,0.175000000000001); #64664=CIRCLE('',#353005,0.175000000000001); #64665=CIRCLE('',#353007,0.175000000000001); #64666=CIRCLE('',#353008,0.175000000000001); #64667=CIRCLE('',#353010,0.175000000000001); #64668=CIRCLE('',#353011,0.175000000000001); #64669=CIRCLE('',#353013,0.175000000000001); #64670=CIRCLE('',#353014,0.175000000000001); #64671=CIRCLE('',#353016,0.175000000000001); #64672=CIRCLE('',#353017,0.175000000000001); #64673=CIRCLE('',#353019,0.175000000000001); #64674=CIRCLE('',#353020,0.175000000000001); #64675=CIRCLE('',#353022,0.175000000000001); #64676=CIRCLE('',#353023,0.175000000000001); #64677=CIRCLE('',#353025,0.175000000000001); #64678=CIRCLE('',#353026,0.175000000000001); #64679=CIRCLE('',#353028,0.175000000000001); #64680=CIRCLE('',#353029,0.175000000000001); #64681=CIRCLE('',#353031,0.175000000000001); #64682=CIRCLE('',#353032,0.175000000000001); #64683=CIRCLE('',#353034,0.175000000000001); #64684=CIRCLE('',#353035,0.175000000000001); #64685=CIRCLE('',#353037,0.175000000000001); #64686=CIRCLE('',#353038,0.175000000000001); #64687=CIRCLE('',#353040,0.5); #64688=CIRCLE('',#353041,0.5); #64689=CIRCLE('',#353043,0.175000000000001); #64690=CIRCLE('',#353044,0.175000000000001); #64691=CIRCLE('',#353046,0.175000000000001); #64692=CIRCLE('',#353047,0.175000000000001); #64693=CIRCLE('',#353049,0.175000000000001); #64694=CIRCLE('',#353050,0.175000000000001); #64695=CIRCLE('',#353052,0.175000000000001); #64696=CIRCLE('',#353053,0.175000000000001); #64697=CIRCLE('',#353055,0.175000000000001); #64698=CIRCLE('',#353056,0.175000000000001); #64699=CIRCLE('',#353058,0.175000000000001); #64700=CIRCLE('',#353059,0.175000000000001); #64701=CIRCLE('',#353061,0.175000000000001); #64702=CIRCLE('',#353062,0.175000000000001); #64703=CIRCLE('',#353064,0.175000000000001); #64704=CIRCLE('',#353065,0.175000000000001); #64705=CIRCLE('',#353067,0.175000000000001); #64706=CIRCLE('',#353068,0.175000000000001); #64707=CIRCLE('',#353070,0.175000000000001); #64708=CIRCLE('',#353071,0.175000000000001); #64709=CIRCLE('',#353073,0.175000000000001); #64710=CIRCLE('',#353074,0.175000000000001); #64711=CIRCLE('',#353076,0.175000000000001); #64712=CIRCLE('',#353077,0.175000000000001); #64713=CIRCLE('',#353079,0.175000000000001); #64714=CIRCLE('',#353080,0.175000000000001); #64715=CIRCLE('',#353082,0.175000000000001); #64716=CIRCLE('',#353083,0.175000000000001); #64717=CIRCLE('',#353085,0.175000000000001); #64718=CIRCLE('',#353086,0.175000000000001); #64719=CIRCLE('',#353088,0.550000000000002); #64720=CIRCLE('',#353089,0.550000000000002); #64721=CIRCLE('',#353091,0.175000000000001); #64722=CIRCLE('',#353092,0.175000000000001); #64723=CIRCLE('',#353094,0.175000000000001); #64724=CIRCLE('',#353095,0.175000000000001); #64725=CIRCLE('',#353097,0.175000000000001); #64726=CIRCLE('',#353098,0.175000000000001); #64727=CIRCLE('',#353100,0.175000000000001); #64728=CIRCLE('',#353101,0.175000000000001); #64729=CIRCLE('',#353103,0.175000000000001); #64730=CIRCLE('',#353104,0.175000000000001); #64731=CIRCLE('',#353106,0.175000000000001); #64732=CIRCLE('',#353107,0.175000000000001); #64733=CIRCLE('',#353109,0.175000000000001); #64734=CIRCLE('',#353110,0.175000000000001); #64735=CIRCLE('',#353112,0.175000000000001); #64736=CIRCLE('',#353113,0.175000000000001); #64737=CIRCLE('',#353115,0.175000000000001); #64738=CIRCLE('',#353116,0.175000000000001); #64739=CIRCLE('',#353118,0.175000000000001); #64740=CIRCLE('',#353119,0.175000000000001); #64741=CIRCLE('',#353121,0.175000000000001); #64742=CIRCLE('',#353122,0.175000000000001); #64743=CIRCLE('',#353124,0.175000000000001); #64744=CIRCLE('',#353125,0.175000000000001); #64745=CIRCLE('',#353127,0.175000000000001); #64746=CIRCLE('',#353128,0.175000000000001); #64747=CIRCLE('',#353130,0.175000000000001); #64748=CIRCLE('',#353131,0.175000000000001); #64749=CIRCLE('',#353133,0.175000000000001); #64750=CIRCLE('',#353134,0.175000000000001); #64751=CIRCLE('',#353136,0.5); #64752=CIRCLE('',#353137,0.5); #64753=CIRCLE('',#353139,0.175000000000001); #64754=CIRCLE('',#353140,0.175000000000001); #64755=CIRCLE('',#353142,0.175000000000001); #64756=CIRCLE('',#353143,0.175000000000001); #64757=CIRCLE('',#353145,0.175000000000001); #64758=CIRCLE('',#353146,0.175000000000001); #64759=CIRCLE('',#353148,0.175000000000001); #64760=CIRCLE('',#353149,0.175000000000001); #64761=CIRCLE('',#353151,0.175000000000001); #64762=CIRCLE('',#353152,0.175000000000001); #64763=CIRCLE('',#353154,0.175000000000001); #64764=CIRCLE('',#353155,0.175000000000001); #64765=CIRCLE('',#353157,0.175000000000001); #64766=CIRCLE('',#353158,0.175000000000001); #64767=CIRCLE('',#353160,0.175000000000001); #64768=CIRCLE('',#353161,0.175000000000001); #64769=CIRCLE('',#353163,0.175000000000001); #64770=CIRCLE('',#353164,0.175000000000001); #64771=CIRCLE('',#353166,0.175000000000001); #64772=CIRCLE('',#353167,0.175000000000001); #64773=CIRCLE('',#353169,0.175000000000001); #64774=CIRCLE('',#353170,0.175000000000001); #64775=CIRCLE('',#353172,0.175000000000001); #64776=CIRCLE('',#353173,0.175000000000001); #64777=CIRCLE('',#353175,0.175000000000001); #64778=CIRCLE('',#353176,0.175000000000001); #64779=CIRCLE('',#353178,0.175000000000001); #64780=CIRCLE('',#353179,0.175000000000001); #64781=CIRCLE('',#353181,0.175000000000001); #64782=CIRCLE('',#353182,0.175000000000001); #64783=CIRCLE('',#353184,0.600000000000001); #64784=CIRCLE('',#353185,0.600000000000001); #64785=CIRCLE('',#353187,0.175000000000001); #64786=CIRCLE('',#353188,0.175000000000001); #64787=CIRCLE('',#353190,0.175000000000001); #64788=CIRCLE('',#353191,0.175000000000001); #64789=CIRCLE('',#353193,0.175000000000001); #64790=CIRCLE('',#353194,0.175000000000001); #64791=CIRCLE('',#353196,0.175000000000001); #64792=CIRCLE('',#353197,0.175000000000001); #64793=CIRCLE('',#353199,0.175000000000001); #64794=CIRCLE('',#353200,0.175000000000001); #64795=CIRCLE('',#353202,0.175); #64796=CIRCLE('',#353203,0.175); #64797=CIRCLE('',#353205,0.175000000000001); #64798=CIRCLE('',#353206,0.175000000000001); #64799=CIRCLE('',#353208,0.175000000000001); #64800=CIRCLE('',#353209,0.175000000000001); #64801=CIRCLE('',#353211,0.175000000000001); #64802=CIRCLE('',#353212,0.175000000000001); #64803=CIRCLE('',#353214,0.175000000000001); #64804=CIRCLE('',#353215,0.175000000000001); #64805=CIRCLE('',#353217,0.175000000000001); #64806=CIRCLE('',#353218,0.175000000000001); #64807=CIRCLE('',#353220,0.175000000000001); #64808=CIRCLE('',#353221,0.175000000000001); #64809=CIRCLE('',#353223,0.175000000000001); #64810=CIRCLE('',#353224,0.175000000000001); #64811=CIRCLE('',#353226,0.175); #64812=CIRCLE('',#353227,0.175); #64813=CIRCLE('',#353229,0.175000000000001); #64814=CIRCLE('',#353230,0.175000000000001); #64815=CIRCLE('',#353232,0.175000000000001); #64816=CIRCLE('',#353233,0.175000000000001); #64817=CIRCLE('',#353235,0.175000000000001); #64818=CIRCLE('',#353236,0.175000000000001); #64819=CIRCLE('',#353238,0.175000000000001); #64820=CIRCLE('',#353239,0.175000000000001); #64821=CIRCLE('',#353241,0.175000000000001); #64822=CIRCLE('',#353242,0.175000000000001); #64823=CIRCLE('',#353244,0.175000000000001); #64824=CIRCLE('',#353245,0.175000000000001); #64825=CIRCLE('',#353247,0.175000000000001); #64826=CIRCLE('',#353248,0.175000000000001); #64827=CIRCLE('',#353250,0.175000000000001); #64828=CIRCLE('',#353251,0.175000000000001); #64829=CIRCLE('',#353253,0.175000000000001); #64830=CIRCLE('',#353254,0.175000000000001); #64831=CIRCLE('',#353256,0.175000000000001); #64832=CIRCLE('',#353257,0.175000000000001); #64833=CIRCLE('',#353259,0.175000000000001); #64834=CIRCLE('',#353260,0.175000000000001); #64835=CIRCLE('',#353262,0.175000000000001); #64836=CIRCLE('',#353263,0.175000000000001); #64837=CIRCLE('',#353265,0.175000000000001); #64838=CIRCLE('',#353266,0.175000000000001); #64839=CIRCLE('',#353268,0.175000000000001); #64840=CIRCLE('',#353269,0.175000000000001); #64841=CIRCLE('',#353271,0.175000000000001); #64842=CIRCLE('',#353272,0.175000000000001); #64843=CIRCLE('',#353274,0.175000000000001); #64844=CIRCLE('',#353275,0.175000000000001); #64845=CIRCLE('',#353277,0.175000000000001); #64846=CIRCLE('',#353278,0.175000000000001); #64847=CIRCLE('',#353280,0.499999999999998); #64848=CIRCLE('',#353281,0.499999999999998); #64849=CIRCLE('',#353283,0.175000000000001); #64850=CIRCLE('',#353284,0.175000000000001); #64851=CIRCLE('',#353286,0.175000000000001); #64852=CIRCLE('',#353287,0.175000000000001); #64853=CIRCLE('',#353289,0.175000000000001); #64854=CIRCLE('',#353290,0.175000000000001); #64855=CIRCLE('',#353292,0.175000000000001); #64856=CIRCLE('',#353293,0.175000000000001); #64857=CIRCLE('',#353295,0.175000000000001); #64858=CIRCLE('',#353296,0.175000000000001); #64859=CIRCLE('',#353298,0.175000000000001); #64860=CIRCLE('',#353299,0.175000000000001); #64861=CIRCLE('',#353301,0.175000000000001); #64862=CIRCLE('',#353302,0.175000000000001); #64863=CIRCLE('',#353304,0.175000000000001); #64864=CIRCLE('',#353305,0.175000000000001); #64865=CIRCLE('',#353307,0.175000000000001); #64866=CIRCLE('',#353308,0.175000000000001); #64867=CIRCLE('',#353310,0.175000000000001); #64868=CIRCLE('',#353311,0.175000000000001); #64869=CIRCLE('',#353313,0.175000000000001); #64870=CIRCLE('',#353314,0.175000000000001); #64871=CIRCLE('',#353316,0.175000000000001); #64872=CIRCLE('',#353317,0.175000000000001); #64873=CIRCLE('',#353319,0.175000000000001); #64874=CIRCLE('',#353320,0.175000000000001); #64875=CIRCLE('',#353322,0.175000000000001); #64876=CIRCLE('',#353323,0.175000000000001); #64877=CIRCLE('',#353325,0.175000000000001); #64878=CIRCLE('',#353326,0.175000000000001); #64879=CIRCLE('',#353328,0.499999999999998); #64880=CIRCLE('',#353329,0.499999999999998); #64881=CIRCLE('',#353331,0.175000000000001); #64882=CIRCLE('',#353332,0.175000000000001); #64883=CIRCLE('',#353334,0.175000000000001); #64884=CIRCLE('',#353335,0.175000000000001); #64885=CIRCLE('',#353337,0.175000000000001); #64886=CIRCLE('',#353338,0.175000000000001); #64887=CIRCLE('',#353340,0.175000000000001); #64888=CIRCLE('',#353341,0.175000000000001); #64889=CIRCLE('',#353343,0.175000000000001); #64890=CIRCLE('',#353344,0.175000000000001); #64891=CIRCLE('',#353346,0.175000000000001); #64892=CIRCLE('',#353347,0.175000000000001); #64893=CIRCLE('',#353349,0.175000000000001); #64894=CIRCLE('',#353350,0.175000000000001); #64895=CIRCLE('',#353352,0.175000000000001); #64896=CIRCLE('',#353353,0.175000000000001); #64897=CIRCLE('',#353355,0.175000000000001); #64898=CIRCLE('',#353356,0.175000000000001); #64899=CIRCLE('',#353358,0.175000000000001); #64900=CIRCLE('',#353359,0.175000000000001); #64901=CIRCLE('',#353361,0.175000000000001); #64902=CIRCLE('',#353362,0.175000000000001); #64903=CIRCLE('',#353364,0.175000000000001); #64904=CIRCLE('',#353365,0.175000000000001); #64905=CIRCLE('',#353367,0.175000000000001); #64906=CIRCLE('',#353368,0.175000000000001); #64907=CIRCLE('',#353370,0.175000000000001); #64908=CIRCLE('',#353371,0.175000000000001); #64909=CIRCLE('',#353373,0.175000000000001); #64910=CIRCLE('',#353374,0.175000000000001); #64911=CIRCLE('',#353376,0.550000000000002); #64912=CIRCLE('',#353377,0.550000000000002); #64913=CIRCLE('',#353379,0.150000000000001); #64914=CIRCLE('',#353380,0.150000000000001); #64915=CIRCLE('',#353382,0.175); #64916=CIRCLE('',#353383,0.175); #64917=CIRCLE('',#353385,0.150000000000001); #64918=CIRCLE('',#353386,0.150000000000001); #64919=CIRCLE('',#353388,0.175000000000001); #64920=CIRCLE('',#353389,0.175000000000001); #64921=CIRCLE('',#353391,0.175000000000001); #64922=CIRCLE('',#353392,0.175000000000001); #64923=CIRCLE('',#353394,0.175); #64924=CIRCLE('',#353395,0.175); #64925=CIRCLE('',#353397,0.150000000000001); #64926=CIRCLE('',#353398,0.150000000000001); #64927=CIRCLE('',#353400,0.175000000000001); #64928=CIRCLE('',#353401,0.175000000000001); #64929=CIRCLE('',#353403,0.175000000000001); #64930=CIRCLE('',#353404,0.175000000000001); #64931=CIRCLE('',#353406,0.175); #64932=CIRCLE('',#353407,0.175); #64933=CIRCLE('',#353409,0.175000000000001); #64934=CIRCLE('',#353410,0.175000000000001); #64935=CIRCLE('',#353412,0.175000000000001); #64936=CIRCLE('',#353413,0.175000000000001); #64937=CIRCLE('',#353415,0.175000000000001); #64938=CIRCLE('',#353416,0.175000000000001); #64939=CIRCLE('',#353418,0.175); #64940=CIRCLE('',#353419,0.175); #64941=CIRCLE('',#353421,0.175000000000001); #64942=CIRCLE('',#353422,0.175000000000001); #64943=CIRCLE('',#353424,0.175000000000001); #64944=CIRCLE('',#353425,0.175000000000001); #64945=CIRCLE('',#353427,0.175000000000001); #64946=CIRCLE('',#353428,0.175000000000001); #64947=CIRCLE('',#353430,0.175); #64948=CIRCLE('',#353431,0.175); #64949=CIRCLE('',#353433,0.175000000000001); #64950=CIRCLE('',#353434,0.175000000000001); #64951=CIRCLE('',#353436,0.175000000000001); #64952=CIRCLE('',#353437,0.175000000000001); #64953=CIRCLE('',#353439,0.175000000000001); #64954=CIRCLE('',#353440,0.175000000000001); #64955=CIRCLE('',#353442,0.175000000000001); #64956=CIRCLE('',#353443,0.175000000000001); #64957=CIRCLE('',#353445,0.175000000000001); #64958=CIRCLE('',#353446,0.175000000000001); #64959=CIRCLE('',#353448,0.175000000000001); #64960=CIRCLE('',#353449,0.175000000000001); #64961=CIRCLE('',#353451,0.175000000000001); #64962=CIRCLE('',#353452,0.175000000000001); #64963=CIRCLE('',#353454,0.175); #64964=CIRCLE('',#353455,0.175); #64965=CIRCLE('',#353457,0.175000000000001); #64966=CIRCLE('',#353458,0.175000000000001); #64967=CIRCLE('',#353460,0.175000000000001); #64968=CIRCLE('',#353461,0.175000000000001); #64969=CIRCLE('',#353463,0.175000000000001); #64970=CIRCLE('',#353464,0.175000000000001); #64971=CIRCLE('',#353466,0.175); #64972=CIRCLE('',#353467,0.175); #64973=CIRCLE('',#353469,0.175000000000001); #64974=CIRCLE('',#353470,0.175000000000001); #64975=CIRCLE('',#353472,0.499999999999998); #64976=CIRCLE('',#353473,0.499999999999998); #64977=CIRCLE('',#353476,0.35); #64978=CIRCLE('',#353477,0.35); #64979=CIRCLE('',#353480,0.35); #64980=CIRCLE('',#353481,0.35); #64981=CIRCLE('',#353483,0.175000000000001); #64982=CIRCLE('',#353484,0.175000000000001); #64983=CIRCLE('',#353487,0.6); #64984=CIRCLE('',#353488,0.6); #64985=CIRCLE('',#353491,0.6); #64986=CIRCLE('',#353492,0.6); #64987=CIRCLE('',#353494,0.175000000000001); #64988=CIRCLE('',#353495,0.175000000000001); #64989=CIRCLE('',#353497,0.150000000000001); #64990=CIRCLE('',#353498,0.150000000000001); #64991=CIRCLE('',#353500,0.175000000000001); #64992=CIRCLE('',#353501,0.175000000000001); #64993=CIRCLE('',#353504,0.6); #64994=CIRCLE('',#353505,0.6); #64995=CIRCLE('',#353508,0.6); #64996=CIRCLE('',#353509,0.6); #64997=CIRCLE('',#353511,0.175000000000001); #64998=CIRCLE('',#353512,0.175000000000001); #64999=CIRCLE('',#353514,0.150000000000001); #65000=CIRCLE('',#353515,0.150000000000001); #65001=CIRCLE('',#353517,0.175000000000001); #65002=CIRCLE('',#353518,0.175000000000001); #65003=CIRCLE('',#353520,0.150000000000001); #65004=CIRCLE('',#353521,0.150000000000001); #65005=CIRCLE('',#353523,0.175000000000001); #65006=CIRCLE('',#353524,0.175000000000001); #65007=CIRCLE('',#353526,0.150000000000001); #65008=CIRCLE('',#353527,0.150000000000001); #65009=CIRCLE('',#353529,0.175000000000001); #65010=CIRCLE('',#353530,0.175000000000001); #65011=CIRCLE('',#353532,0.150000000000001); #65012=CIRCLE('',#353533,0.150000000000001); #65013=CIRCLE('',#353535,0.175000000000001); #65014=CIRCLE('',#353536,0.175000000000001); #65015=CIRCLE('',#353538,0.175000000000001); #65016=CIRCLE('',#353539,0.175000000000001); #65017=CIRCLE('',#353542,0.35); #65018=CIRCLE('',#353543,0.35); #65019=CIRCLE('',#353546,0.35); #65020=CIRCLE('',#353547,0.35); #65021=CIRCLE('',#353549,0.175000000000001); #65022=CIRCLE('',#353550,0.175000000000001); #65023=CIRCLE('',#353553,0.35); #65024=CIRCLE('',#353554,0.35); #65025=CIRCLE('',#353557,0.35); #65026=CIRCLE('',#353558,0.35); #65027=CIRCLE('',#353560,0.175000000000001); #65028=CIRCLE('',#353561,0.175000000000001); #65029=CIRCLE('',#353564,0.35); #65030=CIRCLE('',#353565,0.35); #65031=CIRCLE('',#353568,0.35); #65032=CIRCLE('',#353569,0.35); #65033=CIRCLE('',#353571,0.175000000000001); #65034=CIRCLE('',#353572,0.175000000000001); #65035=CIRCLE('',#353574,0.175000000000001); #65036=CIRCLE('',#353575,0.175000000000001); #65037=CIRCLE('',#353577,0.175000000000001); #65038=CIRCLE('',#353578,0.175000000000001); #65039=CIRCLE('',#353580,0.175000000000001); #65040=CIRCLE('',#353581,0.175000000000001); #65041=CIRCLE('',#353583,0.600000000000001); #65042=CIRCLE('',#353584,0.600000000000001); #65043=CIRCLE('',#353586,0.175000000000001); #65044=CIRCLE('',#353587,0.175000000000001); #65045=CIRCLE('',#353589,0.175000000000001); #65046=CIRCLE('',#353590,0.175000000000001); #65047=CIRCLE('',#353592,0.175000000000001); #65048=CIRCLE('',#353593,0.175000000000001); #65049=CIRCLE('',#353595,0.175000000000001); #65050=CIRCLE('',#353596,0.175000000000001); #65051=CIRCLE('',#353598,0.175000000000001); #65052=CIRCLE('',#353599,0.175000000000001); #65053=CIRCLE('',#353601,0.175000000000001); #65054=CIRCLE('',#353602,0.175000000000001); #65055=CIRCLE('',#353604,0.175000000000001); #65056=CIRCLE('',#353605,0.175000000000001); #65057=CIRCLE('',#353607,0.175000000000001); #65058=CIRCLE('',#353608,0.175000000000001); #65059=CIRCLE('',#353610,0.175000000000001); #65060=CIRCLE('',#353611,0.175000000000001); #65061=CIRCLE('',#353613,0.175000000000001); #65062=CIRCLE('',#353614,0.175000000000001); #65063=CIRCLE('',#353616,0.175000000000001); #65064=CIRCLE('',#353617,0.175000000000001); #65065=CIRCLE('',#353619,0.175000000000001); #65066=CIRCLE('',#353620,0.175000000000001); #65067=CIRCLE('',#353622,0.175000000000001); #65068=CIRCLE('',#353623,0.175000000000001); #65069=CIRCLE('',#353625,0.175000000000001); #65070=CIRCLE('',#353626,0.175000000000001); #65071=CIRCLE('',#353628,0.175000000000001); #65072=CIRCLE('',#353629,0.175000000000001); #65073=CIRCLE('',#353631,0.499999999999998); #65074=CIRCLE('',#353632,0.499999999999998); #65075=CIRCLE('',#353634,0.175000000000001); #65076=CIRCLE('',#353635,0.175000000000001); #65077=CIRCLE('',#353637,0.175000000000001); #65078=CIRCLE('',#353638,0.175000000000001); #65079=CIRCLE('',#353640,0.175000000000001); #65080=CIRCLE('',#353641,0.175000000000001); #65081=CIRCLE('',#353643,0.175000000000001); #65082=CIRCLE('',#353644,0.175000000000001); #65083=CIRCLE('',#353646,0.175000000000001); #65084=CIRCLE('',#353647,0.175000000000001); #65085=CIRCLE('',#353649,0.175000000000001); #65086=CIRCLE('',#353650,0.175000000000001); #65087=CIRCLE('',#353652,0.175000000000001); #65088=CIRCLE('',#353653,0.175000000000001); #65089=CIRCLE('',#353655,0.175000000000001); #65090=CIRCLE('',#353656,0.175000000000001); #65091=CIRCLE('',#353658,0.175000000000001); #65092=CIRCLE('',#353659,0.175000000000001); #65093=CIRCLE('',#353661,0.175000000000001); #65094=CIRCLE('',#353662,0.175000000000001); #65095=CIRCLE('',#353664,0.175000000000001); #65096=CIRCLE('',#353665,0.175000000000001); #65097=CIRCLE('',#353667,0.175000000000001); #65098=CIRCLE('',#353668,0.175000000000001); #65099=CIRCLE('',#353670,0.175000000000001); #65100=CIRCLE('',#353671,0.175000000000001); #65101=CIRCLE('',#353673,0.175000000000001); #65102=CIRCLE('',#353674,0.175000000000001); #65103=CIRCLE('',#353676,0.175000000000001); #65104=CIRCLE('',#353677,0.175000000000001); #65105=CIRCLE('',#353679,0.549999999999997); #65106=CIRCLE('',#353680,0.549999999999997); #65107=CIRCLE('',#353682,0.175000000000001); #65108=CIRCLE('',#353683,0.175000000000001); #65109=CIRCLE('',#353685,0.175000000000001); #65110=CIRCLE('',#353686,0.175000000000001); #65111=CIRCLE('',#353688,0.175000000000001); #65112=CIRCLE('',#353689,0.175000000000001); #65113=CIRCLE('',#353691,0.175000000000001); #65114=CIRCLE('',#353692,0.175000000000001); #65115=CIRCLE('',#353694,0.175000000000001); #65116=CIRCLE('',#353695,0.175000000000001); #65117=CIRCLE('',#353697,0.175000000000001); #65118=CIRCLE('',#353698,0.175000000000001); #65119=CIRCLE('',#353700,0.175000000000001); #65120=CIRCLE('',#353701,0.175000000000001); #65121=CIRCLE('',#353703,0.175000000000001); #65122=CIRCLE('',#353704,0.175000000000001); #65123=CIRCLE('',#353706,0.175000000000001); #65124=CIRCLE('',#353707,0.175000000000001); #65125=CIRCLE('',#353709,0.175000000000001); #65126=CIRCLE('',#353710,0.175000000000001); #65127=CIRCLE('',#353712,0.175000000000001); #65128=CIRCLE('',#353713,0.175000000000001); #65129=CIRCLE('',#353715,0.175000000000001); #65130=CIRCLE('',#353716,0.175000000000001); #65131=CIRCLE('',#353718,0.175000000000001); #65132=CIRCLE('',#353719,0.175000000000001); #65133=CIRCLE('',#353721,0.175000000000001); #65134=CIRCLE('',#353722,0.175000000000001); #65135=CIRCLE('',#353724,0.175000000000001); #65136=CIRCLE('',#353725,0.175000000000001); #65137=CIRCLE('',#353727,0.499999999999998); #65138=CIRCLE('',#353728,0.499999999999998); #65139=CIRCLE('',#353730,0.175000000000001); #65140=CIRCLE('',#353731,0.175000000000001); #65141=CIRCLE('',#353733,0.175000000000001); #65142=CIRCLE('',#353734,0.175000000000001); #65143=CIRCLE('',#353736,0.175000000000001); #65144=CIRCLE('',#353737,0.175000000000001); #65145=CIRCLE('',#353739,0.175000000000001); #65146=CIRCLE('',#353740,0.175000000000001); #65147=CIRCLE('',#353742,0.175000000000001); #65148=CIRCLE('',#353743,0.175000000000001); #65149=CIRCLE('',#353745,0.175000000000001); #65150=CIRCLE('',#353746,0.175000000000001); #65151=CIRCLE('',#353748,0.175000000000001); #65152=CIRCLE('',#353749,0.175000000000001); #65153=CIRCLE('',#353751,0.175000000000001); #65154=CIRCLE('',#353752,0.175000000000001); #65155=CIRCLE('',#353754,0.175000000000001); #65156=CIRCLE('',#353755,0.175000000000001); #65157=CIRCLE('',#353757,0.175000000000001); #65158=CIRCLE('',#353758,0.175000000000001); #65159=CIRCLE('',#353760,0.175000000000001); #65160=CIRCLE('',#353761,0.175000000000001); #65161=CIRCLE('',#353763,0.175000000000001); #65162=CIRCLE('',#353764,0.175000000000001); #65163=CIRCLE('',#353766,0.175000000000001); #65164=CIRCLE('',#353767,0.175000000000001); #65165=CIRCLE('',#353769,0.175000000000001); #65166=CIRCLE('',#353770,0.175000000000001); #65167=CIRCLE('',#353772,0.175000000000001); #65168=CIRCLE('',#353773,0.175000000000001); #65169=CIRCLE('',#353775,0.600000000000001); #65170=CIRCLE('',#353776,0.600000000000001); #65171=CIRCLE('',#353778,0.175000000000001); #65172=CIRCLE('',#353779,0.175000000000001); #65173=CIRCLE('',#353781,0.175000000000001); #65174=CIRCLE('',#353782,0.175000000000001); #65175=CIRCLE('',#353784,0.175000000000001); #65176=CIRCLE('',#353785,0.175000000000001); #65177=CIRCLE('',#353787,0.175000000000001); #65178=CIRCLE('',#353788,0.175000000000001); #65179=CIRCLE('',#353790,0.175000000000001); #65180=CIRCLE('',#353791,0.175000000000001); #65181=CIRCLE('',#353793,0.175000000000001); #65182=CIRCLE('',#353794,0.175000000000001); #65183=CIRCLE('',#353796,0.175000000000001); #65184=CIRCLE('',#353797,0.175000000000001); #65185=CIRCLE('',#353799,0.175000000000001); #65186=CIRCLE('',#353800,0.175000000000001); #65187=CIRCLE('',#353802,0.175000000000001); #65188=CIRCLE('',#353803,0.175000000000001); #65189=CIRCLE('',#353805,0.175000000000001); #65190=CIRCLE('',#353806,0.175000000000001); #65191=CIRCLE('',#353808,0.175000000000001); #65192=CIRCLE('',#353809,0.175000000000001); #65193=CIRCLE('',#353811,0.175000000000001); #65194=CIRCLE('',#353812,0.175000000000001); #65195=CIRCLE('',#353814,0.175000000000001); #65196=CIRCLE('',#353815,0.175000000000001); #65197=CIRCLE('',#353817,0.175000000000001); #65198=CIRCLE('',#353818,0.175000000000001); #65199=CIRCLE('',#353820,0.175000000000001); #65200=CIRCLE('',#353821,0.175000000000001); #65201=CIRCLE('',#353823,0.499999999999998); #65202=CIRCLE('',#353824,0.499999999999998); #65203=CIRCLE('',#353826,0.175000000000001); #65204=CIRCLE('',#353827,0.175000000000001); #65205=CIRCLE('',#353829,0.175000000000001); #65206=CIRCLE('',#353830,0.175000000000001); #65207=CIRCLE('',#353832,0.175000000000001); #65208=CIRCLE('',#353833,0.175000000000001); #65209=CIRCLE('',#353835,0.175000000000001); #65210=CIRCLE('',#353836,0.175000000000001); #65211=CIRCLE('',#353838,0.175000000000001); #65212=CIRCLE('',#353839,0.175000000000001); #65213=CIRCLE('',#353841,0.175000000000001); #65214=CIRCLE('',#353842,0.175000000000001); #65215=CIRCLE('',#353844,0.175000000000001); #65216=CIRCLE('',#353845,0.175000000000001); #65217=CIRCLE('',#353847,0.175000000000001); #65218=CIRCLE('',#353848,0.175000000000001); #65219=CIRCLE('',#353850,0.175000000000001); #65220=CIRCLE('',#353851,0.175000000000001); #65221=CIRCLE('',#353853,0.175000000000001); #65222=CIRCLE('',#353854,0.175000000000001); #65223=CIRCLE('',#353856,0.175000000000001); #65224=CIRCLE('',#353857,0.175000000000001); #65225=CIRCLE('',#353859,0.175000000000001); #65226=CIRCLE('',#353860,0.175000000000001); #65227=CIRCLE('',#353862,0.175000000000001); #65228=CIRCLE('',#353863,0.175000000000001); #65229=CIRCLE('',#353865,0.175000000000001); #65230=CIRCLE('',#353866,0.175000000000001); #65231=CIRCLE('',#353868,0.175000000000001); #65232=CIRCLE('',#353869,0.175000000000001); #65233=CIRCLE('',#353871,0.549999999999997); #65234=CIRCLE('',#353872,0.549999999999997); #65235=CIRCLE('',#353874,0.175000000000001); #65236=CIRCLE('',#353875,0.175000000000001); #65237=CIRCLE('',#353877,0.175000000000001); #65238=CIRCLE('',#353878,0.175000000000001); #65239=CIRCLE('',#353880,0.175000000000001); #65240=CIRCLE('',#353881,0.175000000000001); #65241=CIRCLE('',#353883,0.175000000000001); #65242=CIRCLE('',#353884,0.175000000000001); #65243=CIRCLE('',#353886,0.175000000000001); #65244=CIRCLE('',#353887,0.175000000000001); #65245=CIRCLE('',#353889,0.175000000000001); #65246=CIRCLE('',#353890,0.175000000000001); #65247=CIRCLE('',#353892,0.175000000000001); #65248=CIRCLE('',#353893,0.175000000000001); #65249=CIRCLE('',#353895,0.175000000000001); #65250=CIRCLE('',#353896,0.175000000000001); #65251=CIRCLE('',#353898,0.175000000000001); #65252=CIRCLE('',#353899,0.175000000000001); #65253=CIRCLE('',#353901,0.175000000000001); #65254=CIRCLE('',#353902,0.175000000000001); #65255=CIRCLE('',#353904,0.175000000000001); #65256=CIRCLE('',#353905,0.175000000000001); #65257=CIRCLE('',#353907,0.175000000000001); #65258=CIRCLE('',#353908,0.175000000000001); #65259=CIRCLE('',#353910,0.175000000000001); #65260=CIRCLE('',#353911,0.175000000000001); #65261=CIRCLE('',#353913,0.175000000000001); #65262=CIRCLE('',#353914,0.175000000000001); #65263=CIRCLE('',#353916,0.175000000000001); #65264=CIRCLE('',#353917,0.175000000000001); #65265=CIRCLE('',#353919,0.499999999999998); #65266=CIRCLE('',#353920,0.499999999999998); #65267=CIRCLE('',#353922,0.175000000000001); #65268=CIRCLE('',#353923,0.175000000000001); #65269=CIRCLE('',#353925,0.175000000000001); #65270=CIRCLE('',#353926,0.175000000000001); #65271=CIRCLE('',#353928,0.175000000000001); #65272=CIRCLE('',#353929,0.175000000000001); #65273=CIRCLE('',#353931,0.175000000000001); #65274=CIRCLE('',#353932,0.175000000000001); #65275=CIRCLE('',#353934,0.175000000000001); #65276=CIRCLE('',#353935,0.175000000000001); #65277=CIRCLE('',#353937,0.175000000000001); #65278=CIRCLE('',#353938,0.175000000000001); #65279=CIRCLE('',#353940,0.175000000000001); #65280=CIRCLE('',#353941,0.175000000000001); #65281=CIRCLE('',#353943,0.175000000000001); #65282=CIRCLE('',#353944,0.175000000000001); #65283=CIRCLE('',#353946,0.175000000000001); #65284=CIRCLE('',#353947,0.175000000000001); #65285=CIRCLE('',#353949,0.175000000000001); #65286=CIRCLE('',#353950,0.175000000000001); #65287=CIRCLE('',#353952,0.175000000000001); #65288=CIRCLE('',#353953,0.175000000000001); #65289=CIRCLE('',#353955,0.175000000000001); #65290=CIRCLE('',#353956,0.175000000000001); #65291=CIRCLE('',#353958,0.175000000000001); #65292=CIRCLE('',#353959,0.175000000000001); #65293=CIRCLE('',#353961,0.175000000000001); #65294=CIRCLE('',#353962,0.175000000000001); #65295=CIRCLE('',#353964,0.175000000000001); #65296=CIRCLE('',#353965,0.175000000000001); #65297=CIRCLE('',#353967,34.); #65298=CIRCLE('',#353968,34.); #65299=CIRCLE('',#353972,0.14); #65300=CIRCLE('',#353973,0.14); #65301=CIRCLE('',#353975,0.175000000000001); #65302=CIRCLE('',#353976,0.175000000000001); #65303=CIRCLE('',#353980,0.14); #65304=CIRCLE('',#353981,0.14); #65305=CIRCLE('',#353983,0.175000000000001); #65306=CIRCLE('',#353984,0.175000000000001); #65307=CIRCLE('',#353988,0.14); #65308=CIRCLE('',#353989,0.14); #65309=CIRCLE('',#353991,0.175000000000001); #65310=CIRCLE('',#353992,0.175000000000001); #65311=CIRCLE('',#353996,0.139999999999998); #65312=CIRCLE('',#353997,0.139999999999998); #65313=CIRCLE('',#353999,0.175000000000001); #65314=CIRCLE('',#354000,0.175000000000001); #65315=CIRCLE('',#354004,0.139999999999998); #65316=CIRCLE('',#354005,0.139999999999998); #65317=CIRCLE('',#354007,0.175000000000001); #65318=CIRCLE('',#354008,0.175000000000001); #65319=CIRCLE('',#354012,0.14); #65320=CIRCLE('',#354013,0.14); #65321=CIRCLE('',#354015,0.175000000000001); #65322=CIRCLE('',#354016,0.175000000000001); #65323=CIRCLE('',#354020,0.140000000000002); #65324=CIRCLE('',#354021,0.140000000000002); #65325=CIRCLE('',#354023,0.175000000000001); #65326=CIRCLE('',#354024,0.175000000000001); #65327=CIRCLE('',#354028,0.139999999999998); #65328=CIRCLE('',#354029,0.139999999999998); #65329=CIRCLE('',#354031,0.175000000000001); #65330=CIRCLE('',#354032,0.175000000000001); #65331=CIRCLE('',#354036,0.139999999999998); #65332=CIRCLE('',#354037,0.139999999999998); #65333=CIRCLE('',#354039,0.175000000000001); #65334=CIRCLE('',#354040,0.175000000000001); #65335=CIRCLE('',#354044,0.14); #65336=CIRCLE('',#354045,0.14); #65337=CIRCLE('',#354047,0.175000000000001); #65338=CIRCLE('',#354048,0.175000000000001); #65339=CIRCLE('',#354052,0.139999999999998); #65340=CIRCLE('',#354053,0.139999999999998); #65341=CIRCLE('',#354055,0.175000000000001); #65342=CIRCLE('',#354056,0.175000000000001); #65343=CIRCLE('',#354060,0.139999999999998); #65344=CIRCLE('',#354061,0.139999999999998); #65345=CIRCLE('',#354063,0.175000000000001); #65346=CIRCLE('',#354064,0.175000000000001); #65347=CIRCLE('',#354068,0.140000000000002); #65348=CIRCLE('',#354069,0.140000000000002); #65349=CIRCLE('',#354071,0.175000000000001); #65350=CIRCLE('',#354072,0.175000000000001); #65351=CIRCLE('',#354076,0.14); #65352=CIRCLE('',#354077,0.14); #65353=CIRCLE('',#354079,0.175000000000001); #65354=CIRCLE('',#354080,0.175000000000001); #65355=CIRCLE('',#354084,0.139999999999998); #65356=CIRCLE('',#354085,0.139999999999998); #65357=CIRCLE('',#354087,0.175000000000001); #65358=CIRCLE('',#354088,0.175000000000001); #65359=CIRCLE('',#354092,0.465); #65360=CIRCLE('',#354093,0.465); #65361=CIRCLE('',#354095,0.499999999999998); #65362=CIRCLE('',#354096,0.499999999999998); #65363=CIRCLE('',#354100,0.139999999999998); #65364=CIRCLE('',#354101,0.139999999999998); #65365=CIRCLE('',#354103,0.175000000000001); #65366=CIRCLE('',#354104,0.175000000000001); #65367=CIRCLE('',#354108,0.14); #65368=CIRCLE('',#354109,0.14); #65369=CIRCLE('',#354111,0.175000000000001); #65370=CIRCLE('',#354112,0.175000000000001); #65371=CIRCLE('',#354116,0.139999999999998); #65372=CIRCLE('',#354117,0.139999999999998); #65373=CIRCLE('',#354119,0.175000000000001); #65374=CIRCLE('',#354120,0.175000000000001); #65375=CIRCLE('',#354124,0.139999999999998); #65376=CIRCLE('',#354125,0.139999999999998); #65377=CIRCLE('',#354127,0.175000000000001); #65378=CIRCLE('',#354128,0.175000000000001); #65379=CIRCLE('',#354132,0.139999999999998); #65380=CIRCLE('',#354133,0.139999999999998); #65381=CIRCLE('',#354135,0.175000000000001); #65382=CIRCLE('',#354136,0.175000000000001); #65383=CIRCLE('',#354140,0.14); #65384=CIRCLE('',#354141,0.14); #65385=CIRCLE('',#354143,0.175000000000001); #65386=CIRCLE('',#354144,0.175000000000001); #65387=CIRCLE('',#354148,0.139999999999998); #65388=CIRCLE('',#354149,0.139999999999998); #65389=CIRCLE('',#354151,0.175000000000001); #65390=CIRCLE('',#354152,0.175000000000001); #65391=CIRCLE('',#354156,0.139999999999998); #65392=CIRCLE('',#354157,0.139999999999998); #65393=CIRCLE('',#354159,0.175000000000001); #65394=CIRCLE('',#354160,0.175000000000001); #65395=CIRCLE('',#354164,0.139999999999998); #65396=CIRCLE('',#354165,0.139999999999998); #65397=CIRCLE('',#354167,0.175000000000001); #65398=CIRCLE('',#354168,0.175000000000001); #65399=CIRCLE('',#354172,0.14); #65400=CIRCLE('',#354173,0.14); #65401=CIRCLE('',#354175,0.175000000000001); #65402=CIRCLE('',#354176,0.175000000000001); #65403=CIRCLE('',#354180,0.139999999999998); #65404=CIRCLE('',#354181,0.139999999999998); #65405=CIRCLE('',#354183,0.175000000000001); #65406=CIRCLE('',#354184,0.175000000000001); #65407=CIRCLE('',#354188,0.139999999999998); #65408=CIRCLE('',#354189,0.139999999999998); #65409=CIRCLE('',#354191,0.175000000000001); #65410=CIRCLE('',#354192,0.175000000000001); #65411=CIRCLE('',#354196,0.139999999999998); #65412=CIRCLE('',#354197,0.139999999999998); #65413=CIRCLE('',#354199,0.175000000000001); #65414=CIRCLE('',#354200,0.175000000000001); #65415=CIRCLE('',#354204,0.14); #65416=CIRCLE('',#354205,0.14); #65417=CIRCLE('',#354207,0.175000000000001); #65418=CIRCLE('',#354208,0.175000000000001); #65419=CIRCLE('',#354212,0.139999999999998); #65420=CIRCLE('',#354213,0.139999999999998); #65421=CIRCLE('',#354215,0.175000000000001); #65422=CIRCLE('',#354216,0.175000000000001); #65423=CIRCLE('',#354220,0.514999999999999); #65424=CIRCLE('',#354221,0.514999999999999); #65425=CIRCLE('',#354223,0.549999999999997); #65426=CIRCLE('',#354224,0.549999999999997); #65427=CIRCLE('',#354228,0.139999999999998); #65428=CIRCLE('',#354229,0.139999999999998); #65429=CIRCLE('',#354231,0.175000000000001); #65430=CIRCLE('',#354232,0.175000000000001); #65431=CIRCLE('',#354236,0.140000000000002); #65432=CIRCLE('',#354237,0.140000000000002); #65433=CIRCLE('',#354239,0.175000000000001); #65434=CIRCLE('',#354240,0.175000000000001); #65435=CIRCLE('',#354244,0.139999999999998); #65436=CIRCLE('',#354245,0.139999999999998); #65437=CIRCLE('',#354247,0.175000000000001); #65438=CIRCLE('',#354248,0.175000000000001); #65439=CIRCLE('',#354252,0.139999999999998); #65440=CIRCLE('',#354253,0.139999999999998); #65441=CIRCLE('',#354255,0.175000000000001); #65442=CIRCLE('',#354256,0.175000000000001); #65443=CIRCLE('',#354260,0.140000000000002); #65444=CIRCLE('',#354261,0.140000000000002); #65445=CIRCLE('',#354263,0.175000000000001); #65446=CIRCLE('',#354264,0.175000000000001); #65447=CIRCLE('',#354268,0.140000000000002); #65448=CIRCLE('',#354269,0.140000000000002); #65449=CIRCLE('',#354271,0.175000000000001); #65450=CIRCLE('',#354272,0.175000000000001); #65451=CIRCLE('',#354276,0.139999999999998); #65452=CIRCLE('',#354277,0.139999999999998); #65453=CIRCLE('',#354279,0.175000000000001); #65454=CIRCLE('',#354280,0.175000000000001); #65455=CIRCLE('',#354284,0.139999999999998); #65456=CIRCLE('',#354285,0.139999999999998); #65457=CIRCLE('',#354287,0.175000000000001); #65458=CIRCLE('',#354288,0.175000000000001); #65459=CIRCLE('',#354292,0.140000000000002); #65460=CIRCLE('',#354293,0.140000000000002); #65461=CIRCLE('',#354295,0.175000000000001); #65462=CIRCLE('',#354296,0.175000000000001); #65463=CIRCLE('',#354300,0.140000000000002); #65464=CIRCLE('',#354301,0.140000000000002); #65465=CIRCLE('',#354303,0.175000000000001); #65466=CIRCLE('',#354304,0.175000000000001); #65467=CIRCLE('',#354308,0.140000000000002); #65468=CIRCLE('',#354309,0.140000000000002); #65469=CIRCLE('',#354311,0.175000000000001); #65470=CIRCLE('',#354312,0.175000000000001); #65471=CIRCLE('',#354316,0.139999999999998); #65472=CIRCLE('',#354317,0.139999999999998); #65473=CIRCLE('',#354319,0.175000000000001); #65474=CIRCLE('',#354320,0.175000000000001); #65475=CIRCLE('',#354324,0.140000000000002); #65476=CIRCLE('',#354325,0.140000000000002); #65477=CIRCLE('',#354327,0.175000000000001); #65478=CIRCLE('',#354328,0.175000000000001); #65479=CIRCLE('',#354332,0.140000000000002); #65480=CIRCLE('',#354333,0.140000000000002); #65481=CIRCLE('',#354335,0.175000000000001); #65482=CIRCLE('',#354336,0.175000000000001); #65483=CIRCLE('',#354340,0.140000000000002); #65484=CIRCLE('',#354341,0.140000000000002); #65485=CIRCLE('',#354343,0.175000000000001); #65486=CIRCLE('',#354344,0.175000000000001); #65487=CIRCLE('',#354348,0.465); #65488=CIRCLE('',#354349,0.465); #65489=CIRCLE('',#354351,0.499999999999998); #65490=CIRCLE('',#354352,0.499999999999998); #65491=CIRCLE('',#354356,0.139999999999998); #65492=CIRCLE('',#354357,0.139999999999998); #65493=CIRCLE('',#354359,0.175000000000001); #65494=CIRCLE('',#354360,0.175000000000001); #65495=CIRCLE('',#354364,0.140000000000002); #65496=CIRCLE('',#354365,0.140000000000002); #65497=CIRCLE('',#354367,0.175000000000001); #65498=CIRCLE('',#354368,0.175000000000001); #65499=CIRCLE('',#354372,0.139999999999998); #65500=CIRCLE('',#354373,0.139999999999998); #65501=CIRCLE('',#354375,0.175000000000001); #65502=CIRCLE('',#354376,0.175000000000001); #65503=CIRCLE('',#354380,0.139999999999998); #65504=CIRCLE('',#354381,0.139999999999998); #65505=CIRCLE('',#354383,0.175000000000001); #65506=CIRCLE('',#354384,0.175000000000001); #65507=CIRCLE('',#354388,0.139999999999998); #65508=CIRCLE('',#354389,0.139999999999998); #65509=CIRCLE('',#354391,0.175000000000001); #65510=CIRCLE('',#354392,0.175000000000001); #65511=CIRCLE('',#354396,0.140000000000002); #65512=CIRCLE('',#354397,0.140000000000002); #65513=CIRCLE('',#354399,0.175000000000001); #65514=CIRCLE('',#354400,0.175000000000001); #65515=CIRCLE('',#354404,0.139999999999998); #65516=CIRCLE('',#354405,0.139999999999998); #65517=CIRCLE('',#354407,0.175000000000001); #65518=CIRCLE('',#354408,0.175000000000001); #65519=CIRCLE('',#354412,0.139999999999998); #65520=CIRCLE('',#354413,0.139999999999998); #65521=CIRCLE('',#354415,0.175000000000001); #65522=CIRCLE('',#354416,0.175000000000001); #65523=CIRCLE('',#354420,0.139999999999998); #65524=CIRCLE('',#354421,0.139999999999998); #65525=CIRCLE('',#354423,0.175000000000001); #65526=CIRCLE('',#354424,0.175000000000001); #65527=CIRCLE('',#354428,0.140000000000002); #65528=CIRCLE('',#354429,0.140000000000002); #65529=CIRCLE('',#354431,0.175000000000001); #65530=CIRCLE('',#354432,0.175000000000001); #65531=CIRCLE('',#354436,0.139999999999998); #65532=CIRCLE('',#354437,0.139999999999998); #65533=CIRCLE('',#354439,0.175000000000001); #65534=CIRCLE('',#354440,0.175000000000001); #65535=CIRCLE('',#354444,0.139999999999998); #65536=CIRCLE('',#354445,0.139999999999998); #65537=CIRCLE('',#354447,0.175000000000001); #65538=CIRCLE('',#354448,0.175000000000001); #65539=CIRCLE('',#354452,0.139999999999998); #65540=CIRCLE('',#354453,0.139999999999998); #65541=CIRCLE('',#354455,0.175000000000001); #65542=CIRCLE('',#354456,0.175000000000001); #65543=CIRCLE('',#354460,0.14); #65544=CIRCLE('',#354461,0.14); #65545=CIRCLE('',#354463,0.175000000000001); #65546=CIRCLE('',#354464,0.175000000000001); #65547=CIRCLE('',#354468,0.139999999999998); #65548=CIRCLE('',#354469,0.139999999999998); #65549=CIRCLE('',#354471,0.175000000000001); #65550=CIRCLE('',#354472,0.175000000000001); #65551=CIRCLE('',#354476,0.564999999999998); #65552=CIRCLE('',#354477,0.564999999999998); #65553=CIRCLE('',#354479,0.600000000000001); #65554=CIRCLE('',#354480,0.600000000000001); #65555=CIRCLE('',#354484,0.140000000000002); #65556=CIRCLE('',#354485,0.140000000000002); #65557=CIRCLE('',#354487,0.175000000000001); #65558=CIRCLE('',#354488,0.175000000000001); #65559=CIRCLE('',#354492,0.140000000000002); #65560=CIRCLE('',#354493,0.140000000000002); #65561=CIRCLE('',#354495,0.175000000000001); #65562=CIRCLE('',#354496,0.175000000000001); #65563=CIRCLE('',#354500,0.140000000000002); #65564=CIRCLE('',#354501,0.140000000000002); #65565=CIRCLE('',#354503,0.175000000000001); #65566=CIRCLE('',#354504,0.175000000000001); #65567=CIRCLE('',#354508,0.139999999999998); #65568=CIRCLE('',#354509,0.139999999999998); #65569=CIRCLE('',#354511,0.175000000000001); #65570=CIRCLE('',#354512,0.175000000000001); #65571=CIRCLE('',#354516,0.140000000000002); #65572=CIRCLE('',#354517,0.140000000000002); #65573=CIRCLE('',#354519,0.175000000000001); #65574=CIRCLE('',#354520,0.175000000000001); #65575=CIRCLE('',#354524,0.140000000000002); #65576=CIRCLE('',#354525,0.140000000000002); #65577=CIRCLE('',#354527,0.175000000000001); #65578=CIRCLE('',#354528,0.175000000000001); #65579=CIRCLE('',#354532,0.140000000000002); #65580=CIRCLE('',#354533,0.140000000000002); #65581=CIRCLE('',#354535,0.175000000000001); #65582=CIRCLE('',#354536,0.175000000000001); #65583=CIRCLE('',#354540,0.139999999999998); #65584=CIRCLE('',#354541,0.139999999999998); #65585=CIRCLE('',#354543,0.175000000000001); #65586=CIRCLE('',#354544,0.175000000000001); #65587=CIRCLE('',#354548,0.140000000000002); #65588=CIRCLE('',#354549,0.140000000000002); #65589=CIRCLE('',#354551,0.175000000000001); #65590=CIRCLE('',#354552,0.175000000000001); #65591=CIRCLE('',#354556,0.140000000000002); #65592=CIRCLE('',#354557,0.140000000000002); #65593=CIRCLE('',#354559,0.175000000000001); #65594=CIRCLE('',#354560,0.175000000000001); #65595=CIRCLE('',#354564,0.140000000000002); #65596=CIRCLE('',#354565,0.140000000000002); #65597=CIRCLE('',#354567,0.175000000000001); #65598=CIRCLE('',#354568,0.175000000000001); #65599=CIRCLE('',#354572,0.139999999999998); #65600=CIRCLE('',#354573,0.139999999999998); #65601=CIRCLE('',#354575,0.175000000000001); #65602=CIRCLE('',#354576,0.175000000000001); #65603=CIRCLE('',#354580,0.140000000000002); #65604=CIRCLE('',#354581,0.140000000000002); #65605=CIRCLE('',#354583,0.175000000000001); #65606=CIRCLE('',#354584,0.175000000000001); #65607=CIRCLE('',#354588,0.140000000000002); #65608=CIRCLE('',#354589,0.140000000000002); #65609=CIRCLE('',#354591,0.175000000000001); #65610=CIRCLE('',#354592,0.175000000000001); #65611=CIRCLE('',#354596,0.140000000000002); #65612=CIRCLE('',#354597,0.140000000000002); #65613=CIRCLE('',#354599,0.175000000000001); #65614=CIRCLE('',#354600,0.175000000000001); #65615=CIRCLE('',#354604,0.465); #65616=CIRCLE('',#354605,0.465); #65617=CIRCLE('',#354607,0.499999999999998); #65618=CIRCLE('',#354608,0.499999999999998); #65619=CIRCLE('',#354612,0.140000000000002); #65620=CIRCLE('',#354613,0.140000000000002); #65621=CIRCLE('',#354615,0.175000000000001); #65622=CIRCLE('',#354616,0.175000000000001); #65623=CIRCLE('',#354620,0.140000000000002); #65624=CIRCLE('',#354621,0.140000000000002); #65625=CIRCLE('',#354623,0.175000000000001); #65626=CIRCLE('',#354624,0.175000000000001); #65627=CIRCLE('',#354628,0.140000000000002); #65628=CIRCLE('',#354629,0.140000000000002); #65629=CIRCLE('',#354631,0.175000000000001); #65630=CIRCLE('',#354632,0.175000000000001); #65631=CIRCLE('',#354636,0.139999999999998); #65632=CIRCLE('',#354637,0.139999999999998); #65633=CIRCLE('',#354639,0.175000000000001); #65634=CIRCLE('',#354640,0.175000000000001); #65635=CIRCLE('',#354644,0.140000000000002); #65636=CIRCLE('',#354645,0.140000000000002); #65637=CIRCLE('',#354647,0.175000000000001); #65638=CIRCLE('',#354648,0.175000000000001); #65639=CIRCLE('',#354652,0.140000000000002); #65640=CIRCLE('',#354653,0.140000000000002); #65641=CIRCLE('',#354655,0.175000000000001); #65642=CIRCLE('',#354656,0.175000000000001); #65643=CIRCLE('',#354660,0.140000000000002); #65644=CIRCLE('',#354661,0.140000000000002); #65645=CIRCLE('',#354663,0.175000000000001); #65646=CIRCLE('',#354664,0.175000000000001); #65647=CIRCLE('',#354668,0.139999999999998); #65648=CIRCLE('',#354669,0.139999999999998); #65649=CIRCLE('',#354671,0.175000000000001); #65650=CIRCLE('',#354672,0.175000000000001); #65651=CIRCLE('',#354676,0.140000000000002); #65652=CIRCLE('',#354677,0.140000000000002); #65653=CIRCLE('',#354679,0.175000000000001); #65654=CIRCLE('',#354680,0.175000000000001); #65655=CIRCLE('',#354684,0.140000000000002); #65656=CIRCLE('',#354685,0.140000000000002); #65657=CIRCLE('',#354687,0.175000000000001); #65658=CIRCLE('',#354688,0.175000000000001); #65659=CIRCLE('',#354692,0.140000000000002); #65660=CIRCLE('',#354693,0.140000000000002); #65661=CIRCLE('',#354695,0.175000000000001); #65662=CIRCLE('',#354696,0.175000000000001); #65663=CIRCLE('',#354700,0.139999999999998); #65664=CIRCLE('',#354701,0.139999999999998); #65665=CIRCLE('',#354703,0.175000000000001); #65666=CIRCLE('',#354704,0.175000000000001); #65667=CIRCLE('',#354708,0.140000000000002); #65668=CIRCLE('',#354709,0.140000000000002); #65669=CIRCLE('',#354711,0.175000000000001); #65670=CIRCLE('',#354712,0.175000000000001); #65671=CIRCLE('',#354716,0.140000000000002); #65672=CIRCLE('',#354717,0.140000000000002); #65673=CIRCLE('',#354719,0.175000000000001); #65674=CIRCLE('',#354720,0.175000000000001); #65675=CIRCLE('',#354724,0.140000000000002); #65676=CIRCLE('',#354725,0.140000000000002); #65677=CIRCLE('',#354727,0.175000000000001); #65678=CIRCLE('',#354728,0.175000000000001); #65679=CIRCLE('',#354732,0.514999999999999); #65680=CIRCLE('',#354733,0.514999999999999); #65681=CIRCLE('',#354735,0.549999999999997); #65682=CIRCLE('',#354736,0.549999999999997); #65683=CIRCLE('',#354740,0.140000000000002); #65684=CIRCLE('',#354741,0.140000000000002); #65685=CIRCLE('',#354743,0.175000000000001); #65686=CIRCLE('',#354744,0.175000000000001); #65687=CIRCLE('',#354748,0.140000000000002); #65688=CIRCLE('',#354749,0.140000000000002); #65689=CIRCLE('',#354751,0.175000000000001); #65690=CIRCLE('',#354752,0.175000000000001); #65691=CIRCLE('',#354756,0.140000000000002); #65692=CIRCLE('',#354757,0.140000000000002); #65693=CIRCLE('',#354759,0.175000000000001); #65694=CIRCLE('',#354760,0.175000000000001); #65695=CIRCLE('',#354764,0.139999999999998); #65696=CIRCLE('',#354765,0.139999999999998); #65697=CIRCLE('',#354767,0.175000000000001); #65698=CIRCLE('',#354768,0.175000000000001); #65699=CIRCLE('',#354772,0.140000000000002); #65700=CIRCLE('',#354773,0.140000000000002); #65701=CIRCLE('',#354775,0.175000000000001); #65702=CIRCLE('',#354776,0.175000000000001); #65703=CIRCLE('',#354780,0.139999999999998); #65704=CIRCLE('',#354781,0.139999999999998); #65705=CIRCLE('',#354783,0.175000000000001); #65706=CIRCLE('',#354784,0.175000000000001); #65707=CIRCLE('',#354788,0.140000000000002); #65708=CIRCLE('',#354789,0.140000000000002); #65709=CIRCLE('',#354791,0.175000000000001); #65710=CIRCLE('',#354792,0.175000000000001); #65711=CIRCLE('',#354796,0.139999999999998); #65712=CIRCLE('',#354797,0.139999999999998); #65713=CIRCLE('',#354799,0.175000000000001); #65714=CIRCLE('',#354800,0.175000000000001); #65715=CIRCLE('',#354804,0.140000000000002); #65716=CIRCLE('',#354805,0.140000000000002); #65717=CIRCLE('',#354807,0.175000000000001); #65718=CIRCLE('',#354808,0.175000000000001); #65719=CIRCLE('',#354812,0.139999999999998); #65720=CIRCLE('',#354813,0.139999999999998); #65721=CIRCLE('',#354815,0.175000000000001); #65722=CIRCLE('',#354816,0.175000000000001); #65723=CIRCLE('',#354820,0.140000000000002); #65724=CIRCLE('',#354821,0.140000000000002); #65725=CIRCLE('',#354823,0.175000000000001); #65726=CIRCLE('',#354824,0.175000000000001); #65727=CIRCLE('',#354828,0.139999999999998); #65728=CIRCLE('',#354829,0.139999999999998); #65729=CIRCLE('',#354831,0.175000000000001); #65730=CIRCLE('',#354832,0.175000000000001); #65731=CIRCLE('',#354836,0.140000000000002); #65732=CIRCLE('',#354837,0.140000000000002); #65733=CIRCLE('',#354839,0.175000000000001); #65734=CIRCLE('',#354840,0.175000000000001); #65735=CIRCLE('',#354844,0.139999999999998); #65736=CIRCLE('',#354845,0.139999999999998); #65737=CIRCLE('',#354847,0.175000000000001); #65738=CIRCLE('',#354848,0.175000000000001); #65739=CIRCLE('',#354852,0.140000000000002); #65740=CIRCLE('',#354853,0.140000000000002); #65741=CIRCLE('',#354855,0.175000000000001); #65742=CIRCLE('',#354856,0.175000000000001); #65743=CIRCLE('',#354860,0.465); #65744=CIRCLE('',#354861,0.465); #65745=CIRCLE('',#354863,0.499999999999998); #65746=CIRCLE('',#354864,0.499999999999998); #65747=CIRCLE('',#354868,0.140000000000002); #65748=CIRCLE('',#354869,0.140000000000002); #65749=CIRCLE('',#354871,0.175000000000001); #65750=CIRCLE('',#354872,0.175000000000001); #65751=CIRCLE('',#354876,0.140000000000002); #65752=CIRCLE('',#354877,0.140000000000002); #65753=CIRCLE('',#354879,0.175000000000001); #65754=CIRCLE('',#354880,0.175000000000001); #65755=CIRCLE('',#354884,0.140000000000002); #65756=CIRCLE('',#354885,0.140000000000002); #65757=CIRCLE('',#354887,0.175000000000001); #65758=CIRCLE('',#354888,0.175000000000001); #65759=CIRCLE('',#354892,0.139999999999998); #65760=CIRCLE('',#354893,0.139999999999998); #65761=CIRCLE('',#354895,0.175000000000001); #65762=CIRCLE('',#354896,0.175000000000001); #65763=CIRCLE('',#354900,0.140000000000002); #65764=CIRCLE('',#354901,0.140000000000002); #65765=CIRCLE('',#354903,0.175000000000001); #65766=CIRCLE('',#354904,0.175000000000001); #65767=CIRCLE('',#354908,0.140000000000002); #65768=CIRCLE('',#354909,0.140000000000002); #65769=CIRCLE('',#354911,0.175000000000001); #65770=CIRCLE('',#354912,0.175000000000001); #65771=CIRCLE('',#354916,0.140000000000002); #65772=CIRCLE('',#354917,0.140000000000002); #65773=CIRCLE('',#354919,0.175000000000001); #65774=CIRCLE('',#354920,0.175000000000001); #65775=CIRCLE('',#354924,0.139999999999998); #65776=CIRCLE('',#354925,0.139999999999998); #65777=CIRCLE('',#354927,0.175000000000001); #65778=CIRCLE('',#354928,0.175000000000001); #65779=CIRCLE('',#354932,0.140000000000002); #65780=CIRCLE('',#354933,0.140000000000002); #65781=CIRCLE('',#354935,0.175000000000001); #65782=CIRCLE('',#354936,0.175000000000001); #65783=CIRCLE('',#354940,0.140000000000002); #65784=CIRCLE('',#354941,0.140000000000002); #65785=CIRCLE('',#354943,0.175000000000001); #65786=CIRCLE('',#354944,0.175000000000001); #65787=CIRCLE('',#354948,0.140000000000002); #65788=CIRCLE('',#354949,0.140000000000002); #65789=CIRCLE('',#354951,0.175000000000001); #65790=CIRCLE('',#354952,0.175000000000001); #65791=CIRCLE('',#354956,0.139999999999998); #65792=CIRCLE('',#354957,0.139999999999998); #65793=CIRCLE('',#354959,0.175000000000001); #65794=CIRCLE('',#354960,0.175000000000001); #65795=CIRCLE('',#354964,0.140000000000002); #65796=CIRCLE('',#354965,0.140000000000002); #65797=CIRCLE('',#354967,0.175000000000001); #65798=CIRCLE('',#354968,0.175000000000001); #65799=CIRCLE('',#354972,0.140000000000002); #65800=CIRCLE('',#354973,0.140000000000002); #65801=CIRCLE('',#354975,0.175000000000001); #65802=CIRCLE('',#354976,0.175000000000001); #65803=CIRCLE('',#354980,0.140000000000002); #65804=CIRCLE('',#354981,0.140000000000002); #65805=CIRCLE('',#354983,0.175000000000001); #65806=CIRCLE('',#354984,0.175000000000001); #65807=CIRCLE('',#354988,0.564999999999998); #65808=CIRCLE('',#354989,0.564999999999998); #65809=CIRCLE('',#354991,0.600000000000001); #65810=CIRCLE('',#354992,0.600000000000001); #65811=CIRCLE('',#354996,0.14); #65812=CIRCLE('',#354997,0.14); #65813=CIRCLE('',#354999,0.175000000000001); #65814=CIRCLE('',#355000,0.175000000000001); #65815=CIRCLE('',#355004,0.139999999999998); #65816=CIRCLE('',#355005,0.139999999999998); #65817=CIRCLE('',#355007,0.175000000000001); #65818=CIRCLE('',#355008,0.175000000000001); #65819=CIRCLE('',#355012,0.140000000000002); #65820=CIRCLE('',#355013,0.140000000000002); #65821=CIRCLE('',#355015,0.175000000000001); #65822=CIRCLE('',#355016,0.175000000000001); #65823=CIRCLE('',#355020,0.139999999999998); #65824=CIRCLE('',#355021,0.139999999999998); #65825=CIRCLE('',#355023,0.175000000000001); #65826=CIRCLE('',#355024,0.175000000000001); #65827=CIRCLE('',#355029,0.315); #65828=CIRCLE('',#355030,0.315); #65829=CIRCLE('',#355033,0.315); #65830=CIRCLE('',#355034,0.315); #65831=CIRCLE('',#355037,0.35); #65832=CIRCLE('',#355038,0.35); #65833=CIRCLE('',#355041,0.35); #65834=CIRCLE('',#355042,0.35); #65835=CIRCLE('',#355046,0.139999999999998); #65836=CIRCLE('',#355047,0.139999999999998); #65837=CIRCLE('',#355049,0.175000000000001); #65838=CIRCLE('',#355050,0.175000000000001); #65839=CIRCLE('',#355055,0.315); #65840=CIRCLE('',#355056,0.315); #65841=CIRCLE('',#355059,0.315); #65842=CIRCLE('',#355060,0.315); #65843=CIRCLE('',#355063,0.35); #65844=CIRCLE('',#355064,0.35); #65845=CIRCLE('',#355067,0.35); #65846=CIRCLE('',#355068,0.35); #65847=CIRCLE('',#355072,0.139999999999998); #65848=CIRCLE('',#355073,0.139999999999998); #65849=CIRCLE('',#355075,0.175000000000001); #65850=CIRCLE('',#355076,0.175000000000001); #65851=CIRCLE('',#355081,0.315); #65852=CIRCLE('',#355082,0.315); #65853=CIRCLE('',#355085,0.315); #65854=CIRCLE('',#355086,0.315); #65855=CIRCLE('',#355089,0.35); #65856=CIRCLE('',#355090,0.35); #65857=CIRCLE('',#355093,0.35); #65858=CIRCLE('',#355094,0.35); #65859=CIRCLE('',#355098,0.140000000000002); #65860=CIRCLE('',#355099,0.140000000000002); #65861=CIRCLE('',#355101,0.175000000000001); #65862=CIRCLE('',#355102,0.175000000000001); #65863=CIRCLE('',#355106,0.139999999999998); #65864=CIRCLE('',#355107,0.139999999999998); #65865=CIRCLE('',#355109,0.175000000000001); #65866=CIRCLE('',#355110,0.175000000000001); #65867=CIRCLE('',#355114,0.114999999999998); #65868=CIRCLE('',#355115,0.114999999999998); #65869=CIRCLE('',#355117,0.150000000000001); #65870=CIRCLE('',#355118,0.150000000000001); #65871=CIRCLE('',#355122,0.139999999999998); #65872=CIRCLE('',#355123,0.139999999999998); #65873=CIRCLE('',#355125,0.175000000000001); #65874=CIRCLE('',#355126,0.175000000000001); #65875=CIRCLE('',#355130,0.114999999999998); #65876=CIRCLE('',#355131,0.114999999999998); #65877=CIRCLE('',#355133,0.150000000000001); #65878=CIRCLE('',#355134,0.150000000000001); #65879=CIRCLE('',#355138,0.139999999999998); #65880=CIRCLE('',#355139,0.139999999999998); #65881=CIRCLE('',#355141,0.175000000000001); #65882=CIRCLE('',#355142,0.175000000000001); #65883=CIRCLE('',#355146,0.114999999999998); #65884=CIRCLE('',#355147,0.114999999999998); #65885=CIRCLE('',#355149,0.150000000000001); #65886=CIRCLE('',#355150,0.150000000000001); #65887=CIRCLE('',#355154,0.139999999999998); #65888=CIRCLE('',#355155,0.139999999999998); #65889=CIRCLE('',#355157,0.175000000000001); #65890=CIRCLE('',#355158,0.175000000000001); #65891=CIRCLE('',#355162,0.114999999999998); #65892=CIRCLE('',#355163,0.114999999999998); #65893=CIRCLE('',#355165,0.150000000000001); #65894=CIRCLE('',#355166,0.150000000000001); #65895=CIRCLE('',#355170,0.139999999999998); #65896=CIRCLE('',#355171,0.139999999999998); #65897=CIRCLE('',#355173,0.175000000000001); #65898=CIRCLE('',#355174,0.175000000000001); #65899=CIRCLE('',#355179,0.565); #65900=CIRCLE('',#355180,0.565); #65901=CIRCLE('',#355183,0.565); #65902=CIRCLE('',#355184,0.565); #65903=CIRCLE('',#355187,0.6); #65904=CIRCLE('',#355188,0.6); #65905=CIRCLE('',#355191,0.6); #65906=CIRCLE('',#355192,0.6); #65907=CIRCLE('',#355196,0.139999999999998); #65908=CIRCLE('',#355197,0.139999999999998); #65909=CIRCLE('',#355199,0.175000000000001); #65910=CIRCLE('',#355200,0.175000000000001); #65911=CIRCLE('',#355204,0.114999999999998); #65912=CIRCLE('',#355205,0.114999999999998); #65913=CIRCLE('',#355207,0.150000000000001); #65914=CIRCLE('',#355208,0.150000000000001); #65915=CIRCLE('',#355212,0.139999999999998); #65916=CIRCLE('',#355213,0.139999999999998); #65917=CIRCLE('',#355215,0.175000000000001); #65918=CIRCLE('',#355216,0.175000000000001); #65919=CIRCLE('',#355221,0.565); #65920=CIRCLE('',#355222,0.565); #65921=CIRCLE('',#355225,0.565); #65922=CIRCLE('',#355226,0.565); #65923=CIRCLE('',#355229,0.6); #65924=CIRCLE('',#355230,0.6); #65925=CIRCLE('',#355233,0.6); #65926=CIRCLE('',#355234,0.6); #65927=CIRCLE('',#355238,0.139999999999998); #65928=CIRCLE('',#355239,0.139999999999998); #65929=CIRCLE('',#355241,0.175000000000001); #65930=CIRCLE('',#355242,0.175000000000001); #65931=CIRCLE('',#355247,0.315); #65932=CIRCLE('',#355248,0.315); #65933=CIRCLE('',#355251,0.315); #65934=CIRCLE('',#355252,0.315); #65935=CIRCLE('',#355255,0.35); #65936=CIRCLE('',#355256,0.35); #65937=CIRCLE('',#355259,0.35); #65938=CIRCLE('',#355260,0.35); #65939=CIRCLE('',#355264,0.465); #65940=CIRCLE('',#355265,0.465); #65941=CIRCLE('',#355267,0.499999999999998); #65942=CIRCLE('',#355268,0.499999999999998); #65943=CIRCLE('',#355272,0.139999999999998); #65944=CIRCLE('',#355273,0.139999999999998); #65945=CIRCLE('',#355275,0.175000000000001); #65946=CIRCLE('',#355276,0.175000000000001); #65947=CIRCLE('',#355280,0.14); #65948=CIRCLE('',#355281,0.14); #65949=CIRCLE('',#355283,0.175); #65950=CIRCLE('',#355284,0.175); #65951=CIRCLE('',#355288,0.139999999999998); #65952=CIRCLE('',#355289,0.139999999999998); #65953=CIRCLE('',#355291,0.175000000000001); #65954=CIRCLE('',#355292,0.175000000000001); #65955=CIRCLE('',#355296,0.139999999999998); #65956=CIRCLE('',#355297,0.139999999999998); #65957=CIRCLE('',#355299,0.175000000000001); #65958=CIRCLE('',#355300,0.175000000000001); #65959=CIRCLE('',#355304,0.139999999999998); #65960=CIRCLE('',#355305,0.139999999999998); #65961=CIRCLE('',#355307,0.175000000000001); #65962=CIRCLE('',#355308,0.175000000000001); #65963=CIRCLE('',#355312,0.14); #65964=CIRCLE('',#355313,0.14); #65965=CIRCLE('',#355315,0.175); #65966=CIRCLE('',#355316,0.175); #65967=CIRCLE('',#355320,0.139999999999998); #65968=CIRCLE('',#355321,0.139999999999998); #65969=CIRCLE('',#355323,0.175000000000001); #65970=CIRCLE('',#355324,0.175000000000001); #65971=CIRCLE('',#355328,0.139999999999998); #65972=CIRCLE('',#355329,0.139999999999998); #65973=CIRCLE('',#355331,0.175000000000001); #65974=CIRCLE('',#355332,0.175000000000001); #65975=CIRCLE('',#355336,0.139999999999998); #65976=CIRCLE('',#355337,0.139999999999998); #65977=CIRCLE('',#355339,0.175000000000001); #65978=CIRCLE('',#355340,0.175000000000001); #65979=CIRCLE('',#355344,0.14); #65980=CIRCLE('',#355345,0.14); #65981=CIRCLE('',#355347,0.175000000000001); #65982=CIRCLE('',#355348,0.175000000000001); #65983=CIRCLE('',#355352,0.139999999999998); #65984=CIRCLE('',#355353,0.139999999999998); #65985=CIRCLE('',#355355,0.175000000000001); #65986=CIRCLE('',#355356,0.175000000000001); #65987=CIRCLE('',#355360,0.139999999999998); #65988=CIRCLE('',#355361,0.139999999999998); #65989=CIRCLE('',#355363,0.175000000000001); #65990=CIRCLE('',#355364,0.175000000000001); #65991=CIRCLE('',#355368,0.139999999999998); #65992=CIRCLE('',#355369,0.139999999999998); #65993=CIRCLE('',#355371,0.175000000000001); #65994=CIRCLE('',#355372,0.175000000000001); #65995=CIRCLE('',#355376,0.14); #65996=CIRCLE('',#355377,0.14); #65997=CIRCLE('',#355379,0.175); #65998=CIRCLE('',#355380,0.175); #65999=CIRCLE('',#355384,0.139999999999998); #66000=CIRCLE('',#355385,0.139999999999998); #66001=CIRCLE('',#355387,0.175000000000001); #66002=CIRCLE('',#355388,0.175000000000001); #66003=CIRCLE('',#355392,0.139999999999998); #66004=CIRCLE('',#355393,0.139999999999998); #66005=CIRCLE('',#355395,0.175000000000001); #66006=CIRCLE('',#355396,0.175000000000001); #66007=CIRCLE('',#355400,0.140000000000002); #66008=CIRCLE('',#355401,0.140000000000002); #66009=CIRCLE('',#355403,0.175000000000001); #66010=CIRCLE('',#355404,0.175000000000001); #66011=CIRCLE('',#355408,0.14); #66012=CIRCLE('',#355409,0.14); #66013=CIRCLE('',#355411,0.175); #66014=CIRCLE('',#355412,0.175); #66015=CIRCLE('',#355416,0.139999999999998); #66016=CIRCLE('',#355417,0.139999999999998); #66017=CIRCLE('',#355419,0.175000000000001); #66018=CIRCLE('',#355420,0.175000000000001); #66019=CIRCLE('',#355424,0.139999999999998); #66020=CIRCLE('',#355425,0.139999999999998); #66021=CIRCLE('',#355427,0.175000000000001); #66022=CIRCLE('',#355428,0.175000000000001); #66023=CIRCLE('',#355432,0.139999999999998); #66024=CIRCLE('',#355433,0.139999999999998); #66025=CIRCLE('',#355435,0.175000000000001); #66026=CIRCLE('',#355436,0.175000000000001); #66027=CIRCLE('',#355440,0.14); #66028=CIRCLE('',#355441,0.14); #66029=CIRCLE('',#355443,0.175); #66030=CIRCLE('',#355444,0.175); #66031=CIRCLE('',#355448,0.139999999999998); #66032=CIRCLE('',#355449,0.139999999999998); #66033=CIRCLE('',#355451,0.175000000000001); #66034=CIRCLE('',#355452,0.175000000000001); #66035=CIRCLE('',#355456,0.139999999999998); #66036=CIRCLE('',#355457,0.139999999999998); #66037=CIRCLE('',#355459,0.175000000000001); #66038=CIRCLE('',#355460,0.175000000000001); #66039=CIRCLE('',#355464,0.114999999999998); #66040=CIRCLE('',#355465,0.114999999999998); #66041=CIRCLE('',#355467,0.150000000000001); #66042=CIRCLE('',#355468,0.150000000000001); #66043=CIRCLE('',#355472,0.14); #66044=CIRCLE('',#355473,0.14); #66045=CIRCLE('',#355475,0.175); #66046=CIRCLE('',#355476,0.175); #66047=CIRCLE('',#355480,0.140000000000002); #66048=CIRCLE('',#355481,0.140000000000002); #66049=CIRCLE('',#355483,0.175000000000001); #66050=CIRCLE('',#355484,0.175000000000001); #66051=CIRCLE('',#355488,0.139999999999998); #66052=CIRCLE('',#355489,0.139999999999998); #66053=CIRCLE('',#355491,0.175000000000001); #66054=CIRCLE('',#355492,0.175000000000001); #66055=CIRCLE('',#355496,0.114999999999998); #66056=CIRCLE('',#355497,0.114999999999998); #66057=CIRCLE('',#355499,0.150000000000001); #66058=CIRCLE('',#355500,0.150000000000001); #66059=CIRCLE('',#355504,0.14); #66060=CIRCLE('',#355505,0.14); #66061=CIRCLE('',#355507,0.175); #66062=CIRCLE('',#355508,0.175); #66063=CIRCLE('',#355512,0.114999999999998); #66064=CIRCLE('',#355513,0.114999999999998); #66065=CIRCLE('',#355515,0.150000000000001); #66066=CIRCLE('',#355516,0.150000000000001); #66067=CIRCLE('',#355520,0.514999999999999); #66068=CIRCLE('',#355521,0.514999999999999); #66069=CIRCLE('',#355523,0.550000000000002); #66070=CIRCLE('',#355524,0.550000000000002); #66071=CIRCLE('',#355528,0.139999999999998); #66072=CIRCLE('',#355529,0.139999999999998); #66073=CIRCLE('',#355531,0.175000000000001); #66074=CIRCLE('',#355532,0.175000000000001); #66075=CIRCLE('',#355536,0.140000000000002); #66076=CIRCLE('',#355537,0.140000000000002); #66077=CIRCLE('',#355539,0.175000000000001); #66078=CIRCLE('',#355540,0.175000000000001); #66079=CIRCLE('',#355544,0.139999999999998); #66080=CIRCLE('',#355545,0.139999999999998); #66081=CIRCLE('',#355547,0.175000000000001); #66082=CIRCLE('',#355548,0.175000000000001); #66083=CIRCLE('',#355552,0.139999999999998); #66084=CIRCLE('',#355553,0.139999999999998); #66085=CIRCLE('',#355555,0.175000000000001); #66086=CIRCLE('',#355556,0.175000000000001); #66087=CIRCLE('',#355560,0.139999999999998); #66088=CIRCLE('',#355561,0.139999999999998); #66089=CIRCLE('',#355563,0.175000000000001); #66090=CIRCLE('',#355564,0.175000000000001); #66091=CIRCLE('',#355568,0.140000000000002); #66092=CIRCLE('',#355569,0.140000000000002); #66093=CIRCLE('',#355571,0.175000000000001); #66094=CIRCLE('',#355572,0.175000000000001); #66095=CIRCLE('',#355576,0.139999999999998); #66096=CIRCLE('',#355577,0.139999999999998); #66097=CIRCLE('',#355579,0.175000000000001); #66098=CIRCLE('',#355580,0.175000000000001); #66099=CIRCLE('',#355584,0.139999999999998); #66100=CIRCLE('',#355585,0.139999999999998); #66101=CIRCLE('',#355587,0.175000000000001); #66102=CIRCLE('',#355588,0.175000000000001); #66103=CIRCLE('',#355592,0.139999999999998); #66104=CIRCLE('',#355593,0.139999999999998); #66105=CIRCLE('',#355595,0.175000000000001); #66106=CIRCLE('',#355596,0.175000000000001); #66107=CIRCLE('',#355600,0.140000000000002); #66108=CIRCLE('',#355601,0.140000000000002); #66109=CIRCLE('',#355603,0.175000000000001); #66110=CIRCLE('',#355604,0.175000000000001); #66111=CIRCLE('',#355608,0.139999999999998); #66112=CIRCLE('',#355609,0.139999999999998); #66113=CIRCLE('',#355611,0.175000000000001); #66114=CIRCLE('',#355612,0.175000000000001); #66115=CIRCLE('',#355616,0.139999999999998); #66116=CIRCLE('',#355617,0.139999999999998); #66117=CIRCLE('',#355619,0.175000000000001); #66118=CIRCLE('',#355620,0.175000000000001); #66119=CIRCLE('',#355624,0.139999999999998); #66120=CIRCLE('',#355625,0.139999999999998); #66121=CIRCLE('',#355627,0.175000000000001); #66122=CIRCLE('',#355628,0.175000000000001); #66123=CIRCLE('',#355632,0.140000000000002); #66124=CIRCLE('',#355633,0.140000000000002); #66125=CIRCLE('',#355635,0.175000000000001); #66126=CIRCLE('',#355636,0.175000000000001); #66127=CIRCLE('',#355640,0.139999999999998); #66128=CIRCLE('',#355641,0.139999999999998); #66129=CIRCLE('',#355643,0.175000000000001); #66130=CIRCLE('',#355644,0.175000000000001); #66131=CIRCLE('',#355648,0.465); #66132=CIRCLE('',#355649,0.465); #66133=CIRCLE('',#355651,0.499999999999998); #66134=CIRCLE('',#355652,0.499999999999998); #66135=CIRCLE('',#355656,0.139999999999998); #66136=CIRCLE('',#355657,0.139999999999998); #66137=CIRCLE('',#355659,0.175000000000001); #66138=CIRCLE('',#355660,0.175000000000001); #66139=CIRCLE('',#355664,0.140000000000002); #66140=CIRCLE('',#355665,0.140000000000002); #66141=CIRCLE('',#355667,0.175000000000001); #66142=CIRCLE('',#355668,0.175000000000001); #66143=CIRCLE('',#355672,0.139999999999998); #66144=CIRCLE('',#355673,0.139999999999998); #66145=CIRCLE('',#355675,0.175000000000001); #66146=CIRCLE('',#355676,0.175000000000001); #66147=CIRCLE('',#355680,0.139999999999998); #66148=CIRCLE('',#355681,0.139999999999998); #66149=CIRCLE('',#355683,0.175000000000001); #66150=CIRCLE('',#355684,0.175000000000001); #66151=CIRCLE('',#355688,0.14); #66152=CIRCLE('',#355689,0.14); #66153=CIRCLE('',#355691,0.175000000000001); #66154=CIRCLE('',#355692,0.175000000000001); #66155=CIRCLE('',#355696,0.140000000000002); #66156=CIRCLE('',#355697,0.140000000000002); #66157=CIRCLE('',#355699,0.175000000000001); #66158=CIRCLE('',#355700,0.175000000000001); #66159=CIRCLE('',#355704,0.139999999999998); #66160=CIRCLE('',#355705,0.139999999999998); #66161=CIRCLE('',#355707,0.175000000000001); #66162=CIRCLE('',#355708,0.175000000000001); #66163=CIRCLE('',#355712,0.139999999999998); #66164=CIRCLE('',#355713,0.139999999999998); #66165=CIRCLE('',#355715,0.175000000000001); #66166=CIRCLE('',#355716,0.175000000000001); #66167=CIRCLE('',#355720,0.139999999999998); #66168=CIRCLE('',#355721,0.139999999999998); #66169=CIRCLE('',#355723,0.175000000000001); #66170=CIRCLE('',#355724,0.175000000000001); #66171=CIRCLE('',#355728,0.140000000000002); #66172=CIRCLE('',#355729,0.140000000000002); #66173=CIRCLE('',#355731,0.175000000000001); #66174=CIRCLE('',#355732,0.175000000000001); #66175=CIRCLE('',#355736,0.139999999999998); #66176=CIRCLE('',#355737,0.139999999999998); #66177=CIRCLE('',#355739,0.175000000000001); #66178=CIRCLE('',#355740,0.175000000000001); #66179=CIRCLE('',#355744,0.139999999999998); #66180=CIRCLE('',#355745,0.139999999999998); #66181=CIRCLE('',#355747,0.175000000000001); #66182=CIRCLE('',#355748,0.175000000000001); #66183=CIRCLE('',#355752,0.139999999999998); #66184=CIRCLE('',#355753,0.139999999999998); #66185=CIRCLE('',#355755,0.175000000000001); #66186=CIRCLE('',#355756,0.175000000000001); #66187=CIRCLE('',#355760,0.140000000000002); #66188=CIRCLE('',#355761,0.140000000000002); #66189=CIRCLE('',#355763,0.175000000000001); #66190=CIRCLE('',#355764,0.175000000000001); #66191=CIRCLE('',#355768,0.139999999999998); #66192=CIRCLE('',#355769,0.139999999999998); #66193=CIRCLE('',#355771,0.175000000000001); #66194=CIRCLE('',#355772,0.175000000000001); #66195=CIRCLE('',#355776,0.465); #66196=CIRCLE('',#355777,0.465); #66197=CIRCLE('',#355779,0.499999999999998); #66198=CIRCLE('',#355780,0.499999999999998); #66199=CIRCLE('',#355784,0.14); #66200=CIRCLE('',#355785,0.14); #66201=CIRCLE('',#355787,0.175000000000001); #66202=CIRCLE('',#355788,0.175000000000001); #66203=CIRCLE('',#355792,0.140000000000002); #66204=CIRCLE('',#355793,0.140000000000002); #66205=CIRCLE('',#355795,0.175000000000001); #66206=CIRCLE('',#355796,0.175000000000001); #66207=CIRCLE('',#355800,0.14); #66208=CIRCLE('',#355801,0.14); #66209=CIRCLE('',#355803,0.175000000000001); #66210=CIRCLE('',#355804,0.175000000000001); #66211=CIRCLE('',#355808,0.139999999999998); #66212=CIRCLE('',#355809,0.139999999999998); #66213=CIRCLE('',#355811,0.175000000000001); #66214=CIRCLE('',#355812,0.175000000000001); #66215=CIRCLE('',#355816,0.14); #66216=CIRCLE('',#355817,0.14); #66217=CIRCLE('',#355819,0.175000000000001); #66218=CIRCLE('',#355820,0.175000000000001); #66219=CIRCLE('',#355824,0.140000000000002); #66220=CIRCLE('',#355825,0.140000000000002); #66221=CIRCLE('',#355827,0.175000000000001); #66222=CIRCLE('',#355828,0.175000000000001); #66223=CIRCLE('',#355832,0.14); #66224=CIRCLE('',#355833,0.14); #66225=CIRCLE('',#355835,0.175000000000001); #66226=CIRCLE('',#355836,0.175000000000001); #66227=CIRCLE('',#355840,0.139999999999998); #66228=CIRCLE('',#355841,0.139999999999998); #66229=CIRCLE('',#355843,0.175000000000001); #66230=CIRCLE('',#355844,0.175000000000001); #66231=CIRCLE('',#355848,0.14); #66232=CIRCLE('',#355849,0.14); #66233=CIRCLE('',#355851,0.175000000000001); #66234=CIRCLE('',#355852,0.175000000000001); #66235=CIRCLE('',#355856,0.140000000000002); #66236=CIRCLE('',#355857,0.140000000000002); #66237=CIRCLE('',#355859,0.175000000000001); #66238=CIRCLE('',#355860,0.175000000000001); #66239=CIRCLE('',#355864,0.14); #66240=CIRCLE('',#355865,0.14); #66241=CIRCLE('',#355867,0.175000000000001); #66242=CIRCLE('',#355868,0.175000000000001); #66243=CIRCLE('',#355872,0.139999999999998); #66244=CIRCLE('',#355873,0.139999999999998); #66245=CIRCLE('',#355875,0.175000000000001); #66246=CIRCLE('',#355876,0.175000000000001); #66247=CIRCLE('',#355880,0.14); #66248=CIRCLE('',#355881,0.14); #66249=CIRCLE('',#355883,0.175000000000001); #66250=CIRCLE('',#355884,0.175000000000001); #66251=CIRCLE('',#355888,0.140000000000002); #66252=CIRCLE('',#355889,0.140000000000002); #66253=CIRCLE('',#355891,0.175000000000001); #66254=CIRCLE('',#355892,0.175000000000001); #66255=CIRCLE('',#355896,0.14); #66256=CIRCLE('',#355897,0.14); #66257=CIRCLE('',#355899,0.175000000000001); #66258=CIRCLE('',#355900,0.175000000000001); #66259=CIRCLE('',#355904,0.139999999999998); #66260=CIRCLE('',#355905,0.139999999999998); #66261=CIRCLE('',#355907,0.175000000000001); #66262=CIRCLE('',#355908,0.175000000000001); #66263=CIRCLE('',#355912,0.14); #66264=CIRCLE('',#355913,0.14); #66265=CIRCLE('',#355915,0.175000000000001); #66266=CIRCLE('',#355916,0.175000000000001); #66267=CIRCLE('',#355920,0.14); #66268=CIRCLE('',#355921,0.14); #66269=CIRCLE('',#355923,0.175); #66270=CIRCLE('',#355924,0.175); #66271=CIRCLE('',#355928,0.14); #66272=CIRCLE('',#355929,0.14); #66273=CIRCLE('',#355931,0.175000000000001); #66274=CIRCLE('',#355932,0.175000000000001); #66275=CIRCLE('',#355936,0.139999999999998); #66276=CIRCLE('',#355937,0.139999999999998); #66277=CIRCLE('',#355939,0.175000000000001); #66278=CIRCLE('',#355940,0.175000000000001); #66279=CIRCLE('',#355944,0.14); #66280=CIRCLE('',#355945,0.14); #66281=CIRCLE('',#355947,0.175000000000001); #66282=CIRCLE('',#355948,0.175000000000001); #66283=CIRCLE('',#355952,0.14); #66284=CIRCLE('',#355953,0.14); #66285=CIRCLE('',#355955,0.175000000000001); #66286=CIRCLE('',#355956,0.175000000000001); #66287=CIRCLE('',#355960,0.14); #66288=CIRCLE('',#355961,0.14); #66289=CIRCLE('',#355963,0.175000000000001); #66290=CIRCLE('',#355964,0.175000000000001); #66291=CIRCLE('',#355968,0.139999999999998); #66292=CIRCLE('',#355969,0.139999999999998); #66293=CIRCLE('',#355971,0.175000000000001); #66294=CIRCLE('',#355972,0.175000000000001); #66295=CIRCLE('',#355976,0.139999999999998); #66296=CIRCLE('',#355977,0.139999999999998); #66297=CIRCLE('',#355979,0.175000000000001); #66298=CIRCLE('',#355980,0.175000000000001); #66299=CIRCLE('',#355984,0.14); #66300=CIRCLE('',#355985,0.14); #66301=CIRCLE('',#355987,0.175); #66302=CIRCLE('',#355988,0.175); #66303=CIRCLE('',#355992,0.139999999999998); #66304=CIRCLE('',#355993,0.139999999999998); #66305=CIRCLE('',#355995,0.175000000000001); #66306=CIRCLE('',#355996,0.175000000000001); #66307=CIRCLE('',#356000,0.139999999999998); #66308=CIRCLE('',#356001,0.139999999999998); #66309=CIRCLE('',#356003,0.175000000000001); #66310=CIRCLE('',#356004,0.175000000000001); #66311=CIRCLE('',#356008,0.139999999999998); #66312=CIRCLE('',#356009,0.139999999999998); #66313=CIRCLE('',#356011,0.175000000000001); #66314=CIRCLE('',#356012,0.175000000000001); #66315=CIRCLE('',#356016,0.14); #66316=CIRCLE('',#356017,0.14); #66317=CIRCLE('',#356019,0.175000000000001); #66318=CIRCLE('',#356020,0.175000000000001); #66319=CIRCLE('',#356024,0.139999999999998); #66320=CIRCLE('',#356025,0.139999999999998); #66321=CIRCLE('',#356027,0.175000000000001); #66322=CIRCLE('',#356028,0.175000000000001); #66323=CIRCLE('',#356032,0.565000000000002); #66324=CIRCLE('',#356033,0.565000000000002); #66325=CIRCLE('',#356035,0.600000000000001); #66326=CIRCLE('',#356036,0.600000000000001); #66327=CIRCLE('',#356040,0.140000000000002); #66328=CIRCLE('',#356041,0.140000000000002); #66329=CIRCLE('',#356043,0.175000000000001); #66330=CIRCLE('',#356044,0.175000000000001); #66331=CIRCLE('',#356048,0.139999999999998); #66332=CIRCLE('',#356049,0.139999999999998); #66333=CIRCLE('',#356051,0.175000000000001); #66334=CIRCLE('',#356052,0.175000000000001); #66335=CIRCLE('',#356056,0.140000000000002); #66336=CIRCLE('',#356057,0.140000000000002); #66337=CIRCLE('',#356059,0.175000000000001); #66338=CIRCLE('',#356060,0.175000000000001); #66339=CIRCLE('',#356064,0.139999999999998); #66340=CIRCLE('',#356065,0.139999999999998); #66341=CIRCLE('',#356067,0.175000000000001); #66342=CIRCLE('',#356068,0.175000000000001); #66343=CIRCLE('',#356072,0.140000000000002); #66344=CIRCLE('',#356073,0.140000000000002); #66345=CIRCLE('',#356075,0.175000000000001); #66346=CIRCLE('',#356076,0.175000000000001); #66347=CIRCLE('',#356080,0.139999999999998); #66348=CIRCLE('',#356081,0.139999999999998); #66349=CIRCLE('',#356083,0.175000000000001); #66350=CIRCLE('',#356084,0.175000000000001); #66351=CIRCLE('',#356088,0.140000000000002); #66352=CIRCLE('',#356089,0.140000000000002); #66353=CIRCLE('',#356091,0.175000000000001); #66354=CIRCLE('',#356092,0.175000000000001); #66355=CIRCLE('',#356096,0.139999999999998); #66356=CIRCLE('',#356097,0.139999999999998); #66357=CIRCLE('',#356099,0.175000000000001); #66358=CIRCLE('',#356100,0.175000000000001); #66359=CIRCLE('',#356104,0.140000000000002); #66360=CIRCLE('',#356105,0.140000000000002); #66361=CIRCLE('',#356107,0.175000000000001); #66362=CIRCLE('',#356108,0.175000000000001); #66363=CIRCLE('',#356112,0.139999999999998); #66364=CIRCLE('',#356113,0.139999999999998); #66365=CIRCLE('',#356115,0.175000000000001); #66366=CIRCLE('',#356116,0.175000000000001); #66367=CIRCLE('',#356120,0.139999999999998); #66368=CIRCLE('',#356121,0.139999999999998); #66369=CIRCLE('',#356123,0.175000000000001); #66370=CIRCLE('',#356124,0.175000000000001); #66371=CIRCLE('',#356128,0.139999999999998); #66372=CIRCLE('',#356129,0.139999999999998); #66373=CIRCLE('',#356131,0.175000000000001); #66374=CIRCLE('',#356132,0.175000000000001); #66375=CIRCLE('',#356136,0.139999999999998); #66376=CIRCLE('',#356137,0.139999999999998); #66377=CIRCLE('',#356139,0.175000000000001); #66378=CIRCLE('',#356140,0.175000000000001); #66379=CIRCLE('',#356144,0.139999999999998); #66380=CIRCLE('',#356145,0.139999999999998); #66381=CIRCLE('',#356147,0.175000000000001); #66382=CIRCLE('',#356148,0.175000000000001); #66383=CIRCLE('',#356152,0.140000000000002); #66384=CIRCLE('',#356153,0.140000000000002); #66385=CIRCLE('',#356155,0.175000000000001); #66386=CIRCLE('',#356156,0.175000000000001); #66387=CIRCLE('',#356160,0.465); #66388=CIRCLE('',#356161,0.465); #66389=CIRCLE('',#356163,0.5); #66390=CIRCLE('',#356164,0.5); #66391=CIRCLE('',#356168,0.14); #66392=CIRCLE('',#356169,0.14); #66393=CIRCLE('',#356171,0.175000000000001); #66394=CIRCLE('',#356172,0.175000000000001); #66395=CIRCLE('',#356176,0.139999999999998); #66396=CIRCLE('',#356177,0.139999999999998); #66397=CIRCLE('',#356179,0.175000000000001); #66398=CIRCLE('',#356180,0.175000000000001); #66399=CIRCLE('',#356184,0.14); #66400=CIRCLE('',#356185,0.14); #66401=CIRCLE('',#356187,0.175000000000001); #66402=CIRCLE('',#356188,0.175000000000001); #66403=CIRCLE('',#356192,0.139999999999998); #66404=CIRCLE('',#356193,0.139999999999998); #66405=CIRCLE('',#356195,0.175000000000001); #66406=CIRCLE('',#356196,0.175000000000001); #66407=CIRCLE('',#356200,0.14); #66408=CIRCLE('',#356201,0.14); #66409=CIRCLE('',#356203,0.175000000000001); #66410=CIRCLE('',#356204,0.175000000000001); #66411=CIRCLE('',#356208,0.139999999999998); #66412=CIRCLE('',#356209,0.139999999999998); #66413=CIRCLE('',#356211,0.175000000000001); #66414=CIRCLE('',#356212,0.175000000000001); #66415=CIRCLE('',#356216,0.14); #66416=CIRCLE('',#356217,0.14); #66417=CIRCLE('',#356219,0.175000000000001); #66418=CIRCLE('',#356220,0.175000000000001); #66419=CIRCLE('',#356224,0.139999999999998); #66420=CIRCLE('',#356225,0.139999999999998); #66421=CIRCLE('',#356227,0.175000000000001); #66422=CIRCLE('',#356228,0.175000000000001); #66423=CIRCLE('',#356232,0.14); #66424=CIRCLE('',#356233,0.14); #66425=CIRCLE('',#356235,0.175000000000001); #66426=CIRCLE('',#356236,0.175000000000001); #66427=CIRCLE('',#356240,0.139999999999998); #66428=CIRCLE('',#356241,0.139999999999998); #66429=CIRCLE('',#356243,0.175000000000001); #66430=CIRCLE('',#356244,0.175000000000001); #66431=CIRCLE('',#356248,0.14); #66432=CIRCLE('',#356249,0.14); #66433=CIRCLE('',#356251,0.175000000000001); #66434=CIRCLE('',#356252,0.175000000000001); #66435=CIRCLE('',#356256,0.139999999999998); #66436=CIRCLE('',#356257,0.139999999999998); #66437=CIRCLE('',#356259,0.175000000000001); #66438=CIRCLE('',#356260,0.175000000000001); #66439=CIRCLE('',#356264,0.14); #66440=CIRCLE('',#356265,0.14); #66441=CIRCLE('',#356267,0.175000000000001); #66442=CIRCLE('',#356268,0.175000000000001); #66443=CIRCLE('',#356272,0.139999999999998); #66444=CIRCLE('',#356273,0.139999999999998); #66445=CIRCLE('',#356275,0.175000000000001); #66446=CIRCLE('',#356276,0.175000000000001); #66447=CIRCLE('',#356280,0.14); #66448=CIRCLE('',#356281,0.14); #66449=CIRCLE('',#356283,0.175000000000001); #66450=CIRCLE('',#356284,0.175000000000001); #66451=CIRCLE('',#356288,0.514999999999999); #66452=CIRCLE('',#356289,0.514999999999999); #66453=CIRCLE('',#356291,0.550000000000002); #66454=CIRCLE('',#356292,0.550000000000002); #66455=CIRCLE('',#356296,0.140000000000002); #66456=CIRCLE('',#356297,0.140000000000002); #66457=CIRCLE('',#356299,0.175000000000001); #66458=CIRCLE('',#356300,0.175000000000001); #66459=CIRCLE('',#356304,0.139999999999998); #66460=CIRCLE('',#356305,0.139999999999998); #66461=CIRCLE('',#356307,0.175000000000001); #66462=CIRCLE('',#356308,0.175000000000001); #66463=CIRCLE('',#356312,0.140000000000002); #66464=CIRCLE('',#356313,0.140000000000002); #66465=CIRCLE('',#356315,0.175000000000001); #66466=CIRCLE('',#356316,0.175000000000001); #66467=CIRCLE('',#356320,0.139999999999998); #66468=CIRCLE('',#356321,0.139999999999998); #66469=CIRCLE('',#356323,0.175000000000001); #66470=CIRCLE('',#356324,0.175000000000001); #66471=CIRCLE('',#356328,0.140000000000002); #66472=CIRCLE('',#356329,0.140000000000002); #66473=CIRCLE('',#356331,0.175000000000001); #66474=CIRCLE('',#356332,0.175000000000001); #66475=CIRCLE('',#356336,0.139999999999998); #66476=CIRCLE('',#356337,0.139999999999998); #66477=CIRCLE('',#356339,0.175000000000001); #66478=CIRCLE('',#356340,0.175000000000001); #66479=CIRCLE('',#356344,0.140000000000002); #66480=CIRCLE('',#356345,0.140000000000002); #66481=CIRCLE('',#356347,0.175000000000001); #66482=CIRCLE('',#356348,0.175000000000001); #66483=CIRCLE('',#356352,0.139999999999998); #66484=CIRCLE('',#356353,0.139999999999998); #66485=CIRCLE('',#356355,0.175000000000001); #66486=CIRCLE('',#356356,0.175000000000001); #66487=CIRCLE('',#356360,0.140000000000002); #66488=CIRCLE('',#356361,0.140000000000002); #66489=CIRCLE('',#356363,0.175000000000001); #66490=CIRCLE('',#356364,0.175000000000001); #66491=CIRCLE('',#356368,0.139999999999998); #66492=CIRCLE('',#356369,0.139999999999998); #66493=CIRCLE('',#356371,0.175000000000001); #66494=CIRCLE('',#356372,0.175000000000001); #66495=CIRCLE('',#356376,0.140000000000002); #66496=CIRCLE('',#356377,0.140000000000002); #66497=CIRCLE('',#356379,0.175000000000001); #66498=CIRCLE('',#356380,0.175000000000001); #66499=CIRCLE('',#356384,0.139999999999998); #66500=CIRCLE('',#356385,0.139999999999998); #66501=CIRCLE('',#356387,0.175000000000001); #66502=CIRCLE('',#356388,0.175000000000001); #66503=CIRCLE('',#356392,0.140000000000002); #66504=CIRCLE('',#356393,0.140000000000002); #66505=CIRCLE('',#356395,0.175000000000001); #66506=CIRCLE('',#356396,0.175000000000001); #66507=CIRCLE('',#356400,0.139999999999998); #66508=CIRCLE('',#356401,0.139999999999998); #66509=CIRCLE('',#356403,0.175000000000001); #66510=CIRCLE('',#356404,0.175000000000001); #66511=CIRCLE('',#356408,0.140000000000002); #66512=CIRCLE('',#356409,0.140000000000002); #66513=CIRCLE('',#356411,0.175000000000001); #66514=CIRCLE('',#356412,0.175000000000001); #66515=CIRCLE('',#356416,0.465); #66516=CIRCLE('',#356417,0.465); #66517=CIRCLE('',#356419,0.5); #66518=CIRCLE('',#356420,0.5); #66519=CIRCLE('',#356424,0.140000000000002); #66520=CIRCLE('',#356425,0.140000000000002); #66521=CIRCLE('',#356427,0.175000000000001); #66522=CIRCLE('',#356428,0.175000000000001); #66523=CIRCLE('',#356432,0.139999999999998); #66524=CIRCLE('',#356433,0.139999999999998); #66525=CIRCLE('',#356435,0.175000000000001); #66526=CIRCLE('',#356436,0.175000000000001); #66527=CIRCLE('',#356440,0.140000000000002); #66528=CIRCLE('',#356441,0.140000000000002); #66529=CIRCLE('',#356443,0.175000000000001); #66530=CIRCLE('',#356444,0.175000000000001); #66531=CIRCLE('',#356448,0.139999999999998); #66532=CIRCLE('',#356449,0.139999999999998); #66533=CIRCLE('',#356451,0.175000000000001); #66534=CIRCLE('',#356452,0.175000000000001); #66535=CIRCLE('',#356456,0.140000000000002); #66536=CIRCLE('',#356457,0.140000000000002); #66537=CIRCLE('',#356459,0.175000000000001); #66538=CIRCLE('',#356460,0.175000000000001); #66539=CIRCLE('',#356464,0.139999999999998); #66540=CIRCLE('',#356465,0.139999999999998); #66541=CIRCLE('',#356467,0.175000000000001); #66542=CIRCLE('',#356468,0.175000000000001); #66543=CIRCLE('',#356472,0.140000000000002); #66544=CIRCLE('',#356473,0.140000000000002); #66545=CIRCLE('',#356475,0.175000000000001); #66546=CIRCLE('',#356476,0.175000000000001); #66547=CIRCLE('',#356480,0.139999999999998); #66548=CIRCLE('',#356481,0.139999999999998); #66549=CIRCLE('',#356483,0.175000000000001); #66550=CIRCLE('',#356484,0.175000000000001); #66551=CIRCLE('',#356488,0.140000000000002); #66552=CIRCLE('',#356489,0.140000000000002); #66553=CIRCLE('',#356491,0.175000000000001); #66554=CIRCLE('',#356492,0.175000000000001); #66555=CIRCLE('',#356496,0.139999999999998); #66556=CIRCLE('',#356497,0.139999999999998); #66557=CIRCLE('',#356499,0.175000000000001); #66558=CIRCLE('',#356500,0.175000000000001); #66559=CIRCLE('',#356504,0.140000000000002); #66560=CIRCLE('',#356505,0.140000000000002); #66561=CIRCLE('',#356507,0.175000000000001); #66562=CIRCLE('',#356508,0.175000000000001); #66563=CIRCLE('',#356512,0.139999999999998); #66564=CIRCLE('',#356513,0.139999999999998); #66565=CIRCLE('',#356515,0.175000000000001); #66566=CIRCLE('',#356516,0.175000000000001); #66567=CIRCLE('',#356520,0.140000000000002); #66568=CIRCLE('',#356521,0.140000000000002); #66569=CIRCLE('',#356523,0.175000000000001); #66570=CIRCLE('',#356524,0.175000000000001); #66571=CIRCLE('',#356528,0.140000000000002); #66572=CIRCLE('',#356529,0.140000000000002); #66573=CIRCLE('',#356531,0.175000000000001); #66574=CIRCLE('',#356532,0.175000000000001); #66575=CIRCLE('',#356536,0.140000000000002); #66576=CIRCLE('',#356537,0.140000000000002); #66577=CIRCLE('',#356539,0.175000000000001); #66578=CIRCLE('',#356540,0.175000000000001); #66579=CIRCLE('',#356544,0.564999999999998); #66580=CIRCLE('',#356545,0.564999999999998); #66581=CIRCLE('',#356547,0.600000000000001); #66582=CIRCLE('',#356548,0.600000000000001); #66583=CIRCLE('',#356553,0.564999999999998); #66584=CIRCLE('',#356554,0.564999999999998); #66585=CIRCLE('',#356557,0.900857088405025); #66586=CIRCLE('',#356558,0.900857088405025); #66587=CIRCLE('',#356560,0.900856769723134); #66588=CIRCLE('',#356561,0.900856769723134); #66589=CIRCLE('',#356625,0.565000000000002); #66590=CIRCLE('',#356626,0.565000000000002); #66591=CIRCLE('',#356629,0.900857088405025); #66592=CIRCLE('',#356630,0.900857088405025); #66593=CIRCLE('',#356632,0.900856769723134); #66594=CIRCLE('',#356633,0.900856769723134); #66595=CIRCLE('',#356637,0.140000000000002); #66596=CIRCLE('',#356638,0.140000000000002); #66597=CIRCLE('',#356640,0.140000000000002); #66598=CIRCLE('',#356641,0.140000000000002); #66599=CIRCLE('',#356644,0.275850031150924); #66600=CIRCLE('',#356645,0.275850031150924); #66601=CIRCLE('',#356647,0.275430734847663); #66602=CIRCLE('',#356648,0.275430734847663); #66603=CIRCLE('',#356651,0.275430616157734); #66604=CIRCLE('',#356652,0.275430616157734); #66605=CIRCLE('',#356654,0.275430435747982); #66606=CIRCLE('',#356655,0.275430435747982); #66607=CIRCLE('',#356658,0.275430284536495); #66608=CIRCLE('',#356659,0.275430284536495); #66609=CIRCLE('',#356663,0.514999999999999); #66610=CIRCLE('',#356664,0.514999999999999); #66611=CIRCLE('',#356667,0.825995597015953); #66612=CIRCLE('',#356668,0.825995597015953); #66613=CIRCLE('',#356670,0.825996336030616); #66614=CIRCLE('',#356671,0.825996336030616); #66615=CIRCLE('',#356675,0.465); #66616=CIRCLE('',#356676,0.465); #66617=CIRCLE('',#356679,0.750904962541172); #66618=CIRCLE('',#356680,0.750904962541172); #66619=CIRCLE('',#356682,0.750905460124643); #66620=CIRCLE('',#356683,0.750905460124643); #66621=CIRCLE('',#356687,0.139999999999998); #66622=CIRCLE('',#356688,0.139999999999998); #66623=CIRCLE('',#356691,0.275430523299251); #66624=CIRCLE('',#356692,0.275430523299251); #66625=CIRCLE('',#356695,0.127001515838141); #66626=CIRCLE('',#356696,0.127001515838141); #66627=CIRCLE('',#356705,0.275430756167228); #66628=CIRCLE('',#356706,0.275430756167228); #66629=CIRCLE('',#356708,0.275850020106942); #66630=CIRCLE('',#356709,0.275850020106942); #66631=CIRCLE('',#356713,0.139999999999998); #66632=CIRCLE('',#356714,0.139999999999998); #66633=CIRCLE('',#356717,0.275429677195186); #66634=CIRCLE('',#356718,0.275429677195186); #66635=CIRCLE('',#356727,0.275429442438526); #66636=CIRCLE('',#356728,0.275429442438526); #66637=CIRCLE('',#356740,0.127001515838136); #66638=CIRCLE('',#356741,0.127001515838136); #66639=CIRCLE('',#356744,0.127001515838139); #66640=CIRCLE('',#356745,0.127001515838139); #66641=CIRCLE('',#356754,0.127000308727438); #66642=CIRCLE('',#356755,0.127000308727438); #66643=CIRCLE('',#356765,0.127000308727433); #66644=CIRCLE('',#356766,0.127000308727433); #66645=CIRCLE('',#356775,0.127000308727435); #66646=CIRCLE('',#356776,0.127000308727435); #66647=CIRCLE('',#356780,0.127000308727438); #66648=CIRCLE('',#356781,0.127000308727438); #66649=CIRCLE('',#356790,0.127001515838141); #66650=CIRCLE('',#356791,0.127001515838141); #66651=CIRCLE('',#356798,0.127000308727433); #66652=CIRCLE('',#356799,0.127000308727433); #66653=CIRCLE('',#356802,0.127000308727433); #66654=CIRCLE('',#356803,0.127000308727433); #66655=CIRCLE('',#356816,0.127000308727433); #66656=CIRCLE('',#356817,0.127000308727433); #66657=CIRCLE('',#356820,0.127000308727433); #66658=CIRCLE('',#356821,0.127000308727433); #66659=CIRCLE('',#356828,0.127000308727425); #66660=CIRCLE('',#356829,0.127000308727425); #66661=CIRCLE('',#356838,0.127000308727441); #66662=CIRCLE('',#356839,0.127000308727441); #66663=CIRCLE('',#356843,0.127000308727431); #66664=CIRCLE('',#356844,0.127000308727431); #66665=CIRCLE('',#356853,0.127000308727431); #66666=CIRCLE('',#356854,0.127000308727431); #66667=CIRCLE('',#356860,0.139999999999998); #66668=CIRCLE('',#356861,0.139999999999998); #66669=CIRCLE('',#356864,0.275429726247122); #66670=CIRCLE('',#356865,0.275429726247122); #66671=CIRCLE('',#356869,0.127000429438417); #66672=CIRCLE('',#356870,0.127000429438417); #66673=CIRCLE('',#356874,0.127000308727438); #66674=CIRCLE('',#356875,0.127000308727438); #66675=CIRCLE('',#356885,0.127000308727435); #66676=CIRCLE('',#356886,0.127000308727435); #66677=CIRCLE('',#356890,0.127000429438417); #66678=CIRCLE('',#356891,0.127000429438417); #66679=CIRCLE('',#356894,0.27542954841201); #66680=CIRCLE('',#356895,0.27542954841201); #66681=CIRCLE('',#356899,0.139999999999998); #66682=CIRCLE('',#356900,0.139999999999998); #66683=CIRCLE('',#356903,0.275429726247122); #66684=CIRCLE('',#356904,0.275429726247122); #66685=CIRCLE('',#356908,0.127001515838136); #66686=CIRCLE('',#356909,0.127001515838136); #66687=CIRCLE('',#356919,0.127000308727438); #66688=CIRCLE('',#356920,0.127000308727438); #66689=CIRCLE('',#356923,0.275429548412009); #66690=CIRCLE('',#356924,0.275429548412009); #66691=CIRCLE('',#356928,0.139999999999998); #66692=CIRCLE('',#356929,0.139999999999998); #66693=CIRCLE('',#356932,0.275429677195186); #66694=CIRCLE('',#356933,0.275429677195186); #66695=CIRCLE('',#356942,0.127000308727438); #66696=CIRCLE('',#356943,0.127000308727438); #66697=CIRCLE('',#356946,0.275429442438526); #66698=CIRCLE('',#356947,0.275429442438526); #66699=CIRCLE('',#356951,0.139999999999998); #66700=CIRCLE('',#356952,0.139999999999998); #66701=CIRCLE('',#356955,0.275430525995305); #66702=CIRCLE('',#356956,0.275430525995305); #66703=CIRCLE('',#356959,0.127000308727436); #66704=CIRCLE('',#356960,0.127000308727436); #66705=CIRCLE('',#356971,0.275850020106943); #66706=CIRCLE('',#356972,0.275850020106943); #66707=CIRCLE('',#356976,0.140000000000002); #66708=CIRCLE('',#356977,0.140000000000002); #66709=CIRCLE('',#356979,0.140000000000002); #66710=CIRCLE('',#356980,0.140000000000002); #66711=CIRCLE('',#356983,0.275850031150944); #66712=CIRCLE('',#356984,0.275850031150944); #66713=CIRCLE('',#356986,0.275430734847662); #66714=CIRCLE('',#356987,0.275430734847662); #66715=CIRCLE('',#356990,0.275446747951507); #66716=CIRCLE('',#356991,0.275446747951507); #66717=CIRCLE('',#356993,0.275424633936642); #66718=CIRCLE('',#356994,0.275424633936642); #66719=CIRCLE('',#356997,0.275430284536491); #66720=CIRCLE('',#356998,0.275430284536491); #66721=CIRCLE('',#357002,0.139999999999998); #66722=CIRCLE('',#357003,0.139999999999998); #66723=CIRCLE('',#357006,0.275429726247122); #66724=CIRCLE('',#357007,0.275429726247122); #66725=CIRCLE('',#357011,0.127001515838133); #66726=CIRCLE('',#357012,0.127001515838133); #66727=CIRCLE('',#357022,0.127000308727435); #66728=CIRCLE('',#357023,0.127000308727435); #66729=CIRCLE('',#357026,0.275429548412009); #66730=CIRCLE('',#357027,0.275429548412009); #66731=CIRCLE('',#357031,0.139999999999998); #66732=CIRCLE('',#357032,0.139999999999998); #66733=CIRCLE('',#357035,0.275429726247122); #66734=CIRCLE('',#357036,0.275429726247122); #66735=CIRCLE('',#357040,0.127000429438417); #66736=CIRCLE('',#357041,0.127000429438417); #66737=CIRCLE('',#357045,0.127000308727435); #66738=CIRCLE('',#357046,0.127000308727435); #66739=CIRCLE('',#357056,0.127000308727433); #66740=CIRCLE('',#357057,0.127000308727433); #66741=CIRCLE('',#357061,0.127000429438417); #66742=CIRCLE('',#357062,0.127000429438417); #66743=CIRCLE('',#357065,0.27542954841201); #66744=CIRCLE('',#357066,0.27542954841201); #66745=CIRCLE('',#357074,0.127000308727439); #66746=CIRCLE('',#357075,0.127000308727439); #66747=CIRCLE('',#357078,0.127000308727438); #66748=CIRCLE('',#357079,0.127000308727438); #66749=CIRCLE('',#357084,0.127000308727438); #66750=CIRCLE('',#357085,0.127000308727438); #66751=CIRCLE('',#357094,0.127000308727433); #66752=CIRCLE('',#357095,0.127000308727433); #66753=CIRCLE('',#357098,0.127000308727435); #66754=CIRCLE('',#357099,0.127000308727435); #66755=CIRCLE('',#357108,0.139999999999998); #66756=CIRCLE('',#357109,0.139999999999998); #66757=CIRCLE('',#357112,0.275429677195186); #66758=CIRCLE('',#357113,0.275429677195186); #66759=CIRCLE('',#357117,0.127000308727438); #66760=CIRCLE('',#357118,0.127000308727438); #66761=CIRCLE('',#357121,0.127000308727438); #66762=CIRCLE('',#357122,0.127000308727438); #66763=CIRCLE('',#357125,0.127000308727446); #66764=CIRCLE('',#357126,0.127000308727446); #66765=CIRCLE('',#357135,0.127000308727441); #66766=CIRCLE('',#357136,0.127000308727441); #66767=CIRCLE('',#357142,0.127000308727447); #66768=CIRCLE('',#357143,0.127000308727447); #66769=CIRCLE('',#357146,0.275429442438526); #66770=CIRCLE('',#357147,0.275429442438526); #66771=CIRCLE('',#357151,0.139999999999998); #66772=CIRCLE('',#357152,0.139999999999998); #66773=CIRCLE('',#357155,0.27543052329925); #66774=CIRCLE('',#357156,0.27543052329925); #66775=CIRCLE('',#357165,0.275430756167224); #66776=CIRCLE('',#357166,0.275430756167224); #66777=CIRCLE('',#357168,0.275850020106942); #66778=CIRCLE('',#357169,0.275850020106942); #66779=CIRCLE('',#357178,0.127000308727433); #66780=CIRCLE('',#357179,0.127000308727433); #66781=CIRCLE('',#357184,0.127000308727444); #66782=CIRCLE('',#357185,0.127000308727444); #66783=CIRCLE('',#357188,0.127000308727438); #66784=CIRCLE('',#357189,0.127000308727438); #66785=CIRCLE('',#357192,0.127000308727436); #66786=CIRCLE('',#357193,0.127000308727436); #66787=CIRCLE('',#357197,0.127000308727438); #66788=CIRCLE('',#357198,0.127000308727438); #66789=CIRCLE('',#357207,0.127000308727443); #66790=CIRCLE('',#357208,0.127000308727443); #66791=CIRCLE('',#357212,0.127000308727427); #66792=CIRCLE('',#357213,0.127000308727427); #66793=CIRCLE('',#357219,0.127000308727438); #66794=CIRCLE('',#357220,0.127000308727438); #66795=CIRCLE('',#357223,0.127001515838136); #66796=CIRCLE('',#357224,0.127001515838136); #66797=CIRCLE('',#357237,0.127001515838136); #66798=CIRCLE('',#357238,0.127001515838136); #66799=CIRCLE('',#357241,0.127000308727433); #66800=CIRCLE('',#357242,0.127000308727433); #66801=CIRCLE('',#357248,0.127000308727433); #66802=CIRCLE('',#357249,0.127000308727433); #66803=CIRCLE('',#357253,0.127000308727443); #66804=CIRCLE('',#357254,0.127000308727443); #66805=CIRCLE('',#357263,0.127000308727438); #66806=CIRCLE('',#357264,0.127000308727438); #66807=CIRCLE('',#357268,0.127000308727436); #66808=CIRCLE('',#357269,0.127000308727436); #66809=CIRCLE('',#357272,0.127000308727438); #66810=CIRCLE('',#357273,0.127000308727438); #66811=CIRCLE('',#357276,0.127000308727444); #66812=CIRCLE('',#357277,0.127000308727444); #66813=CIRCLE('',#357282,0.127000308727433); #66814=CIRCLE('',#357283,0.127000308727433); #66815=CIRCLE('',#357293,0.127000308727438); #66816=CIRCLE('',#357294,0.127000308727438); #66817=CIRCLE('',#357298,0.127000308727438); #66818=CIRCLE('',#357299,0.127000308727438); #66819=CIRCLE('',#357308,0.127000308727438); #66820=CIRCLE('',#357309,0.127000308727438); #66821=CIRCLE('',#357317,0.139999999999998); #66822=CIRCLE('',#357318,0.139999999999998); #66823=CIRCLE('',#357322,0.127028798213342); #66824=CIRCLE('',#357323,0.127028798213342); #66825=CIRCLE('',#357328,0.126750626298977); #66826=CIRCLE('',#357329,0.126750626298977); #66827=CIRCLE('',#357333,0.275429704872054); #66828=CIRCLE('',#357334,0.275429704872054); #66829=CIRCLE('',#357336,0.275429777742635); #66830=CIRCLE('',#357337,0.275429777742635); #66831=CIRCLE('',#357340,0.127000308727434); #66832=CIRCLE('',#357341,0.127000308727434); #66833=CIRCLE('',#357345,0.127000308727438); #66834=CIRCLE('',#357346,0.127000308727438); #66835=CIRCLE('',#357355,0.139999999999998); #66836=CIRCLE('',#357356,0.139999999999998); #66837=CIRCLE('',#357359,0.275429677195186); #66838=CIRCLE('',#357360,0.275429677195186); #66839=CIRCLE('',#357364,0.127000308727435); #66840=CIRCLE('',#357365,0.127000308727435); #66841=CIRCLE('',#357375,0.127000308727435); #66842=CIRCLE('',#357376,0.127000308727435); #66843=CIRCLE('',#357379,0.275429442438527); #66844=CIRCLE('',#357380,0.275429442438527); #66845=CIRCLE('',#357384,0.139999999999998); #66846=CIRCLE('',#357385,0.139999999999998); #66847=CIRCLE('',#357388,0.275850826114455); #66848=CIRCLE('',#357389,0.275850826114455); #66849=CIRCLE('',#357391,0.275429566248993); #66850=CIRCLE('',#357392,0.275429566248993); #66851=CIRCLE('',#357401,0.127000308727425); #66852=CIRCLE('',#357402,0.127000308727425); #66853=CIRCLE('',#357405,0.275430756167224); #66854=CIRCLE('',#357406,0.275430756167224); #66855=CIRCLE('',#357410,0.139999999999998); #66856=CIRCLE('',#357411,0.139999999999998); #66857=CIRCLE('',#357418,0.127000308727433); #66858=CIRCLE('',#357419,0.127000308727433); #66859=CIRCLE('',#357423,0.127000308727438); #66860=CIRCLE('',#357424,0.127000308727438); #66861=CIRCLE('',#357427,0.275430616157731); #66862=CIRCLE('',#357428,0.275430616157731); #66863=CIRCLE('',#357430,0.275430435747982); #66864=CIRCLE('',#357431,0.275430435747982); #66865=CIRCLE('',#357435,0.127000308727436); #66866=CIRCLE('',#357436,0.127000308727436); #66867=CIRCLE('',#357443,0.139999999999998); #66868=CIRCLE('',#357444,0.139999999999998); #66869=CIRCLE('',#357447,0.275430523299249); #66870=CIRCLE('',#357448,0.275430523299249); #66871=CIRCLE('',#357451,0.127001515838139); #66872=CIRCLE('',#357452,0.127001515838139); #66873=CIRCLE('',#357461,0.275430756167228); #66874=CIRCLE('',#357462,0.275430756167228); #66875=CIRCLE('',#357464,0.275850020106943); #66876=CIRCLE('',#357465,0.275850020106943); #66877=CIRCLE('',#357469,0.139999999999998); #66878=CIRCLE('',#357470,0.139999999999998); #66879=CIRCLE('',#357478,0.127000308727438); #66880=CIRCLE('',#357479,0.127000308727438); #66881=CIRCLE('',#357483,0.275430616157734); #66882=CIRCLE('',#357484,0.275430616157734); #66883=CIRCLE('',#357486,0.275430906036581); #66884=CIRCLE('',#357487,0.275430906036581); #66885=CIRCLE('',#357490,0.127000308727438); #66886=CIRCLE('',#357491,0.127000308727438); #66887=CIRCLE('',#357495,0.127000308727433); #66888=CIRCLE('',#357496,0.127000308727433); #66889=CIRCLE('',#357502,0.139999999999998); #66890=CIRCLE('',#357503,0.139999999999998); #66891=CIRCLE('',#357506,0.27543052329925); #66892=CIRCLE('',#357507,0.27543052329925); #66893=CIRCLE('',#357516,0.275430756167225); #66894=CIRCLE('',#357517,0.275430756167225); #66895=CIRCLE('',#357519,0.275850020106942); #66896=CIRCLE('',#357520,0.275850020106942); #66897=CIRCLE('',#357524,0.140000000000002); #66898=CIRCLE('',#357525,0.140000000000002); #66899=CIRCLE('',#357528,0.275850826114459); #66900=CIRCLE('',#357529,0.275850826114459); #66901=CIRCLE('',#357531,0.275429566248995); #66902=CIRCLE('',#357532,0.275429566248995); #66903=CIRCLE('',#357541,0.275430756167224); #66904=CIRCLE('',#357542,0.275430756167224); #66905=CIRCLE('',#357546,0.139999999999998); #66906=CIRCLE('',#357547,0.139999999999998); #66907=CIRCLE('',#357554,0.12700030872744); #66908=CIRCLE('',#357555,0.12700030872744); #66909=CIRCLE('',#357562,0.275429728561975); #66910=CIRCLE('',#357563,0.275429728561975); #66911=CIRCLE('',#357565,0.275429566248996); #66912=CIRCLE('',#357566,0.275429566248996); #66913=CIRCLE('',#357569,0.127000308727436); #66914=CIRCLE('',#357570,0.127000308727436); #66915=CIRCLE('',#357573,0.127000308727438); #66916=CIRCLE('',#357574,0.127000308727438); #66917=CIRCLE('',#357577,0.127000308727438); #66918=CIRCLE('',#357578,0.127000308727438); #66919=CIRCLE('',#357581,0.127000308727431); #66920=CIRCLE('',#357582,0.127000308727431); #66921=CIRCLE('',#357588,0.139999999999998); #66922=CIRCLE('',#357589,0.139999999999998); #66923=CIRCLE('',#357599,0.275429728561975); #66924=CIRCLE('',#357600,0.275429728561975); #66925=CIRCLE('',#357602,0.275429566248998); #66926=CIRCLE('',#357603,0.275429566248998); #66927=CIRCLE('',#357606,0.127000308727436); #66928=CIRCLE('',#357607,0.127000308727436); #66929=CIRCLE('',#357610,0.127000308727438); #66930=CIRCLE('',#357611,0.127000308727438); #66931=CIRCLE('',#357614,0.12700151583812); #66932=CIRCLE('',#357615,0.12700151583812); #66933=CIRCLE('',#357620,0.140000000000002); #66934=CIRCLE('',#357621,0.140000000000002); #66935=CIRCLE('',#357628,0.127000308727436); #66936=CIRCLE('',#357629,0.127000308727436); #66937=CIRCLE('',#357632,0.127000308727436); #66938=CIRCLE('',#357633,0.127000308727436); #66939=CIRCLE('',#357636,0.127000308727436); #66940=CIRCLE('',#357637,0.127000308727436); #66941=CIRCLE('',#357640,0.127000308727447); #66942=CIRCLE('',#357641,0.127000308727447); #66943=CIRCLE('',#357644,0.275429704872057); #66944=CIRCLE('',#357645,0.275429704872057); #66945=CIRCLE('',#357647,0.275429777742636); #66946=CIRCLE('',#357648,0.275429777742636); #66947=CIRCLE('',#357655,0.12700030872743); #66948=CIRCLE('',#357656,0.12700030872743); #66949=CIRCLE('',#357666,0.127000308727437); #66950=CIRCLE('',#357667,0.127000308727437); #66951=CIRCLE('',#357670,0.127000308727438); #66952=CIRCLE('',#357671,0.127000308727438); #66953=CIRCLE('',#357682,0.127000308727433); #66954=CIRCLE('',#357683,0.127000308727433); #66955=CIRCLE('',#357686,0.127000308727438); #66956=CIRCLE('',#357687,0.127000308727438); #66957=CIRCLE('',#357696,0.139999999999998); #66958=CIRCLE('',#357697,0.139999999999998); #66959=CIRCLE('',#357705,0.139999999999998); #66960=CIRCLE('',#357706,0.139999999999998); #66961=CIRCLE('',#357709,0.275429677195186); #66962=CIRCLE('',#357710,0.275429677195186); #66963=CIRCLE('',#357713,0.127000308727449); #66964=CIRCLE('',#357714,0.127000308727449); #66965=CIRCLE('',#357720,0.127000308727436); #66966=CIRCLE('',#357721,0.127000308727436); #66967=CIRCLE('',#357730,0.12700030872744); #66968=CIRCLE('',#357731,0.12700030872744); #66969=CIRCLE('',#357734,0.127000308727438); #66970=CIRCLE('',#357735,0.127000308727438); #66971=CIRCLE('',#357738,0.127000308727438); #66972=CIRCLE('',#357739,0.127000308727438); #66973=CIRCLE('',#357743,0.275429442438526); #66974=CIRCLE('',#357744,0.275429442438526); #66975=CIRCLE('',#357748,0.139999999999998); #66976=CIRCLE('',#357749,0.139999999999998); #66977=CIRCLE('',#357758,0.127000308727438); #66978=CIRCLE('',#357759,0.127000308727438); #66979=CIRCLE('',#357762,0.275429704872049); #66980=CIRCLE('',#357763,0.275429704872049); #66981=CIRCLE('',#357765,0.27542977774263); #66982=CIRCLE('',#357766,0.27542977774263); #66983=CIRCLE('',#357770,0.127000308727437); #66984=CIRCLE('',#357771,0.127000308727437); #66985=CIRCLE('',#357776,0.140000000000002); #66986=CIRCLE('',#357777,0.140000000000002); #66987=CIRCLE('',#357789,0.127000308727447); #66988=CIRCLE('',#357790,0.127000308727447); #66989=CIRCLE('',#357793,0.127000308727447); #66990=CIRCLE('',#357794,0.127000308727447); #66991=CIRCLE('',#357799,0.127001515838128); #66992=CIRCLE('',#357800,0.127001515838128); #66993=CIRCLE('',#357803,0.127000308727427); #66994=CIRCLE('',#357804,0.127000308727427); #66995=CIRCLE('',#357809,0.275429704872049); #66996=CIRCLE('',#357810,0.275429704872049); #66997=CIRCLE('',#357812,0.27542977774263); #66998=CIRCLE('',#357813,0.27542977774263); #66999=CIRCLE('',#357816,0.127000308727435); #67000=CIRCLE('',#357817,0.127000308727435); #67001=CIRCLE('',#357820,0.127000308727427); #67002=CIRCLE('',#357821,0.127000308727427); #67003=CIRCLE('',#357826,0.12700030872744); #67004=CIRCLE('',#357827,0.12700030872744); #67005=CIRCLE('',#357830,0.127000308727436); #67006=CIRCLE('',#357831,0.127000308727436); #67007=CIRCLE('',#357844,0.140000000000002); #67008=CIRCLE('',#357845,0.140000000000002); #67009=CIRCLE('',#357852,0.127000308727433); #67010=CIRCLE('',#357853,0.127000308727433); #67011=CIRCLE('',#357857,0.127000308727438); #67012=CIRCLE('',#357858,0.127000308727438); #67013=CIRCLE('',#357862,0.275429728561973); #67014=CIRCLE('',#357863,0.275429728561973); #67015=CIRCLE('',#357865,0.275429566248996); #67016=CIRCLE('',#357866,0.275429566248996); #67017=CIRCLE('',#357869,0.127001515838138); #67018=CIRCLE('',#357870,0.127001515838138); #67019=CIRCLE('',#357874,0.127001515838136); #67020=CIRCLE('',#357875,0.127001515838136); #67021=CIRCLE('',#357882,0.139999999999998); #67022=CIRCLE('',#357883,0.139999999999998); #67023=CIRCLE('',#357891,0.139999999999998); #67024=CIRCLE('',#357892,0.139999999999998); #67025=CIRCLE('',#357900,0.139999999999998); #67026=CIRCLE('',#357901,0.139999999999998); #67027=CIRCLE('',#357904,0.275430525995305); #67028=CIRCLE('',#357905,0.275430525995305); #67029=CIRCLE('',#357908,0.127000308727435); #67030=CIRCLE('',#357909,0.127000308727435); #67031=CIRCLE('',#357920,0.275850020106943); #67032=CIRCLE('',#357921,0.275850020106943); #67033=CIRCLE('',#357925,0.465); #67034=CIRCLE('',#357926,0.465); #67035=CIRCLE('',#357929,0.750904962541172); #67036=CIRCLE('',#357930,0.750904962541172); #67037=CIRCLE('',#357932,0.750905460124644); #67038=CIRCLE('',#357933,0.750905460124644); #67039=CIRCLE('',#357937,0.139999999999998); #67040=CIRCLE('',#357938,0.139999999999998); #67041=CIRCLE('',#357940,0.465); #67042=CIRCLE('',#357941,0.465); #67043=CIRCLE('',#357944,0.275429677195186); #67044=CIRCLE('',#357945,0.275429677195186); #67045=CIRCLE('',#357948,0.750581792116793); #67046=CIRCLE('',#357949,0.750581792116793); #67047=CIRCLE('',#357951,0.750581774250364); #67048=CIRCLE('',#357952,0.750581774250364); #67049=CIRCLE('',#357955,0.275429442438529); #67050=CIRCLE('',#357956,0.275429442438529); #67051=CIRCLE('',#357960,0.465); #67052=CIRCLE('',#357961,0.465); #67053=CIRCLE('',#357964,0.750904962541172); #67054=CIRCLE('',#357965,0.750904962541172); #67055=CIRCLE('',#357967,0.750905460124643); #67056=CIRCLE('',#357968,0.750905460124643); #67057=CIRCLE('',#357972,0.564999999999998); #67058=CIRCLE('',#357973,0.564999999999998); #67059=CIRCLE('',#357981,0.139999999999998); #67060=CIRCLE('',#357982,0.139999999999998); #67061=CIRCLE('',#357996,0.127000308727433); #67062=CIRCLE('',#357997,0.127000308727433); #67063=CIRCLE('',#358000,0.127000308727437); #67064=CIRCLE('',#358001,0.127000308727437); #67065=CIRCLE('',#358006,0.127000308727438); #67066=CIRCLE('',#358007,0.127000308727438); #67067=CIRCLE('',#358010,0.127000308727433); #67068=CIRCLE('',#358011,0.127000308727433); #67069=CIRCLE('',#358014,0.275429704872049); #67070=CIRCLE('',#358015,0.275429704872049); #67071=CIRCLE('',#358017,0.27542977774263); #67072=CIRCLE('',#358018,0.27542977774263); #67073=CIRCLE('',#358023,0.127001515838136); #67074=CIRCLE('',#358024,0.127001515838136); #67075=CIRCLE('',#358027,0.127001515838129); #67076=CIRCLE('',#358028,0.127001515838129); #67077=CIRCLE('',#358033,0.127000308727433); #67078=CIRCLE('',#358034,0.127000308727433); #67079=CIRCLE('',#358037,0.127000308727449); #67080=CIRCLE('',#358038,0.127000308727449); #67081=CIRCLE('',#358049,0.139999999999998); #67082=CIRCLE('',#358050,0.139999999999998); #67083=CIRCLE('',#358056,0.127000308727433); #67084=CIRCLE('',#358057,0.127000308727433); #67085=CIRCLE('',#358060,0.127000308727438); #67086=CIRCLE('',#358061,0.127000308727438); #67087=CIRCLE('',#358065,0.127001515838136); #67088=CIRCLE('',#358066,0.127001515838136); #67089=CIRCLE('',#358069,0.275429728561973); #67090=CIRCLE('',#358070,0.275429728561973); #67091=CIRCLE('',#358072,0.275429566248995); #67092=CIRCLE('',#358073,0.275429566248995); #67093=CIRCLE('',#358077,0.127000308727438); #67094=CIRCLE('',#358078,0.127000308727438); #67095=CIRCLE('',#358115,0.140000000000002); #67096=CIRCLE('',#358116,0.140000000000002); #67097=CIRCLE('',#358122,0.127001515838122); #67098=CIRCLE('',#358123,0.127001515838122); #67099=CIRCLE('',#358126,0.12700030872744); #67100=CIRCLE('',#358127,0.12700030872744); #67101=CIRCLE('',#358130,0.127000308727447); #67102=CIRCLE('',#358131,0.127000308727447); #67103=CIRCLE('',#358134,0.275429704872057); #67104=CIRCLE('',#358135,0.275429704872057); #67105=CIRCLE('',#358137,0.275429777742636); #67106=CIRCLE('',#358138,0.275429777742636); #67107=CIRCLE('',#358147,0.139999999999998); #67108=CIRCLE('',#358148,0.139999999999998); #67109=CIRCLE('',#358151,0.275429677195186); #67110=CIRCLE('',#358152,0.275429677195186); #67111=CIRCLE('',#358155,0.127000308727433); #67112=CIRCLE('',#358156,0.127000308727433); #67113=CIRCLE('',#358159,0.127000308727444); #67114=CIRCLE('',#358160,0.127000308727444); #67115=CIRCLE('',#358171,0.12700030872744); #67116=CIRCLE('',#358172,0.12700030872744); #67117=CIRCLE('',#358175,0.127000308727438); #67118=CIRCLE('',#358176,0.127000308727438); #67119=CIRCLE('',#358181,0.275429442438529); #67120=CIRCLE('',#358182,0.275429442438529); #67121=CIRCLE('',#358186,0.139999999999998); #67122=CIRCLE('',#358187,0.139999999999998); #67123=CIRCLE('',#358190,0.275850031150926); #67124=CIRCLE('',#358191,0.275850031150926); #67125=CIRCLE('',#358193,0.27543073484766); #67126=CIRCLE('',#358194,0.27543073484766); #67127=CIRCLE('',#358202,0.127000308727438); #67128=CIRCLE('',#358203,0.127000308727438); #67129=CIRCLE('',#358206,0.275430620370238); #67130=CIRCLE('',#358207,0.275430620370238); #67131=CIRCLE('',#358215,0.127001515838141); #67132=CIRCLE('',#358216,0.127001515838141); #67133=CIRCLE('',#358219,0.127001515838136); #67134=CIRCLE('',#358220,0.127001515838136); #67135=CIRCLE('',#358225,0.127000308727438); #67136=CIRCLE('',#358226,0.127000308727438); #67137=CIRCLE('',#358229,0.127000308727438); #67138=CIRCLE('',#358230,0.127000308727438); #67139=CIRCLE('',#358241,0.127000308727438); #67140=CIRCLE('',#358242,0.127000308727438); #67141=CIRCLE('',#358245,0.127000308727438); #67142=CIRCLE('',#358246,0.127000308727438); #67143=CIRCLE('',#358251,0.127000308727438); #67144=CIRCLE('',#358252,0.127000308727438); #67145=CIRCLE('',#358255,0.127000308727438); #67146=CIRCLE('',#358256,0.127000308727438); #67147=CIRCLE('',#358265,0.139999999999998); #67148=CIRCLE('',#358266,0.139999999999998); #67149=CIRCLE('',#358269,0.275429677195185); #67150=CIRCLE('',#358270,0.275429677195185); #67151=CIRCLE('',#358279,0.275429442438528); #67152=CIRCLE('',#358280,0.275429442438528); #67153=CIRCLE('',#358284,0.139999999999998); #67154=CIRCLE('',#358285,0.139999999999998); #67155=CIRCLE('',#358287,0.139999999999998); #67156=CIRCLE('',#358288,0.139999999999998); #67157=CIRCLE('',#358292,0.275429728561973); #67158=CIRCLE('',#358293,0.275429728561973); #67159=CIRCLE('',#358295,0.275429566248995); #67160=CIRCLE('',#358296,0.275429566248995); #67161=CIRCLE('',#358300,0.275640885203079); #67162=CIRCLE('',#358301,0.275640885203079); #67163=CIRCLE('',#358303,0.275640720012506); #67164=CIRCLE('',#358304,0.275640720012506); #67165=CIRCLE('',#358316,0.127000308727432); #67166=CIRCLE('',#358317,0.127000308727432); #67167=CIRCLE('',#358320,0.126758017197154); #67168=CIRCLE('',#358321,0.126758017197154); #67169=CIRCLE('',#358329,0.127001515838137); #67170=CIRCLE('',#358330,0.127001515838137); #67171=CIRCLE('',#358343,0.14); #67172=CIRCLE('',#358344,0.14); #67173=CIRCLE('',#358346,0.14); #67174=CIRCLE('',#358347,0.14); #67175=CIRCLE('',#358349,0.14); #67176=CIRCLE('',#358350,0.14); #67177=CIRCLE('',#358352,0.14); #67178=CIRCLE('',#358353,0.14); #67179=CIRCLE('',#358355,0.14); #67180=CIRCLE('',#358356,0.14); #67181=CIRCLE('',#358358,0.14); #67182=CIRCLE('',#358359,0.14); #67183=CIRCLE('',#358361,0.14); #67184=CIRCLE('',#358362,0.14); #67185=CIRCLE('',#358364,0.14); #67186=CIRCLE('',#358365,0.14); #67187=CIRCLE('',#358367,0.465); #67188=CIRCLE('',#358368,0.465); #67189=CIRCLE('',#358370,0.514999999999999); #67190=CIRCLE('',#358371,0.514999999999999); #67191=CIRCLE('',#358373,0.14); #67192=CIRCLE('',#358374,0.14); #67193=CIRCLE('',#358380,0.127001515838136); #67194=CIRCLE('',#358381,0.127001515838136); #67195=CIRCLE('',#358384,0.127001515838141); #67196=CIRCLE('',#358385,0.127001515838141); #67197=CIRCLE('',#358505,0.127000308727438); #67198=CIRCLE('',#358506,0.127000308727438); #67199=CIRCLE('',#358509,0.127000308727438); #67200=CIRCLE('',#358510,0.127000308727438); #67201=CIRCLE('',#358519,0.465); #67202=CIRCLE('',#358520,0.465); #67203=CIRCLE('',#358523,0.750904962541172); #67204=CIRCLE('',#358524,0.750904962541172); #67205=CIRCLE('',#358526,0.750905460124644); #67206=CIRCLE('',#358527,0.750905460124644); #67207=CIRCLE('',#358621,0.140000000000002); #67208=CIRCLE('',#358622,0.140000000000002); #67209=CIRCLE('',#358624,0.140000000000002); #67210=CIRCLE('',#358625,0.140000000000002); #67211=CIRCLE('',#358627,0.140000000000002); #67212=CIRCLE('',#358628,0.140000000000002); #67213=CIRCLE('',#358803,0.140000000000002); #67214=CIRCLE('',#358804,0.140000000000002); #67215=CIRCLE('',#358807,0.565); #67216=CIRCLE('',#358808,0.565); #67217=CIRCLE('',#358811,0.565); #67218=CIRCLE('',#358812,0.565); #67219=CIRCLE('',#358814,0.514999999999999); #67220=CIRCLE('',#358815,0.514999999999999); #67221=CIRCLE('',#358817,0.140000000000002); #67222=CIRCLE('',#358818,0.140000000000002); #67223=CIRCLE('',#358820,0.140000000000002); #67224=CIRCLE('',#358821,0.140000000000002); #67225=CIRCLE('',#358823,0.140000000000002); #67226=CIRCLE('',#358824,0.140000000000002); #67227=CIRCLE('',#358826,0.140000000000002); #67228=CIRCLE('',#358827,0.140000000000002); #67229=CIRCLE('',#358829,0.140000000000002); #67230=CIRCLE('',#358830,0.140000000000002); #67231=CIRCLE('',#358832,0.140000000000002); #67232=CIRCLE('',#358833,0.140000000000002); #67233=CIRCLE('',#358835,0.140000000000002); #67234=CIRCLE('',#358836,0.140000000000002); #67235=CIRCLE('',#358838,0.140000000000002); #67236=CIRCLE('',#358839,0.140000000000002); #67237=CIRCLE('',#359115,0.139999999999998); #67238=CIRCLE('',#359116,0.139999999999998); #67239=CIRCLE('',#359118,0.139999999999998); #67240=CIRCLE('',#359119,0.139999999999998); #67241=CIRCLE('',#359121,0.139999999999998); #67242=CIRCLE('',#359122,0.139999999999998); #67243=CIRCLE('',#359124,0.465); #67244=CIRCLE('',#359125,0.465); #67245=CIRCLE('',#359127,0.139999999999998); #67246=CIRCLE('',#359128,0.139999999999998); #67247=CIRCLE('',#359130,0.139999999999998); #67248=CIRCLE('',#359131,0.139999999999998); #67249=CIRCLE('',#359133,0.139999999999998); #67250=CIRCLE('',#359134,0.139999999999998); #67251=CIRCLE('',#359136,0.139999999999998); #67252=CIRCLE('',#359137,0.139999999999998); #67253=CIRCLE('',#359139,0.139999999999998); #67254=CIRCLE('',#359140,0.139999999999998); #67255=CIRCLE('',#359142,0.139999999999998); #67256=CIRCLE('',#359143,0.139999999999998); #67257=CIRCLE('',#359145,0.14); #67258=CIRCLE('',#359146,0.14); #67259=CIRCLE('',#359148,0.14); #67260=CIRCLE('',#359149,0.14); #67261=CIRCLE('',#359151,0.14); #67262=CIRCLE('',#359152,0.14); #67263=CIRCLE('',#359154,0.14); #67264=CIRCLE('',#359155,0.14); #67265=CIRCLE('',#359157,0.140000000000002); #67266=CIRCLE('',#359158,0.140000000000002); #67267=CIRCLE('',#359160,0.140000000000002); #67268=CIRCLE('',#359161,0.140000000000002); #67269=CIRCLE('',#359163,0.140000000000002); #67270=CIRCLE('',#359164,0.140000000000002); #67271=CIRCLE('',#359166,0.140000000000002); #67272=CIRCLE('',#359167,0.140000000000002); #67273=CIRCLE('',#359169,0.140000000000002); #67274=CIRCLE('',#359170,0.140000000000002); #67275=CIRCLE('',#359172,0.140000000000002); #67276=CIRCLE('',#359173,0.140000000000002); #67277=CIRCLE('',#359175,0.140000000000002); #67278=CIRCLE('',#359176,0.140000000000002); #67279=CIRCLE('',#359178,0.140000000000002); #67280=CIRCLE('',#359179,0.140000000000002); #67281=CIRCLE('',#359181,0.140000000000002); #67282=CIRCLE('',#359182,0.140000000000002); #67283=CIRCLE('',#359184,0.140000000000002); #67284=CIRCLE('',#359185,0.140000000000002); #67285=CIRCLE('',#359187,0.140000000000002); #67286=CIRCLE('',#359188,0.140000000000002); #67287=CIRCLE('',#359190,0.140000000000002); #67288=CIRCLE('',#359191,0.140000000000002); #67289=CIRCLE('',#359193,0.14); #67290=CIRCLE('',#359194,0.14); #67291=CIRCLE('',#359196,0.14); #67292=CIRCLE('',#359197,0.14); #67293=CIRCLE('',#359199,0.14); #67294=CIRCLE('',#359200,0.14); #67295=CIRCLE('',#359202,0.14); #67296=CIRCLE('',#359203,0.14); #67297=CIRCLE('',#359205,0.14); #67298=CIRCLE('',#359206,0.14); #67299=CIRCLE('',#359208,0.14); #67300=CIRCLE('',#359209,0.14); #67301=CIRCLE('',#359211,0.14); #67302=CIRCLE('',#359212,0.14); #67303=CIRCLE('',#359214,0.14); #67304=CIRCLE('',#359215,0.14); #67305=CIRCLE('',#359217,0.465); #67306=CIRCLE('',#359218,0.465); #67307=CIRCLE('',#359221,0.315); #67308=CIRCLE('',#359222,0.315); #67309=CIRCLE('',#359225,0.315); #67310=CIRCLE('',#359226,0.315); #67311=CIRCLE('',#359228,0.114999999999998); #67312=CIRCLE('',#359229,0.114999999999998); #67313=CIRCLE('',#359231,0.114999999999998); #67314=CIRCLE('',#359232,0.114999999999998); #67315=CIRCLE('',#359234,0.114999999999998); #67316=CIRCLE('',#359235,0.114999999999998); #67317=CIRCLE('',#359237,0.114999999999998); #67318=CIRCLE('',#359238,0.114999999999998); #67319=CIRCLE('',#359241,0.315); #67320=CIRCLE('',#359242,0.315); #67321=CIRCLE('',#359245,0.315); #67322=CIRCLE('',#359246,0.315); #67323=CIRCLE('',#359249,0.315); #67324=CIRCLE('',#359250,0.315); #67325=CIRCLE('',#359253,0.315); #67326=CIRCLE('',#359254,0.315); #67327=CIRCLE('',#359257,0.315); #67328=CIRCLE('',#359258,0.315); #67329=CIRCLE('',#359261,0.315); #67330=CIRCLE('',#359262,0.315); #67331=CIRCLE('',#359264,0.140000000000002); #67332=CIRCLE('',#359265,0.140000000000002); #67333=CIRCLE('',#359267,0.140000000000002); #67334=CIRCLE('',#359268,0.140000000000002); #67335=CIRCLE('',#359270,0.564999999999998); #67336=CIRCLE('',#359271,0.564999999999998); #67337=CIRCLE('',#359273,0.14); #67338=CIRCLE('',#359274,0.14); #67339=CIRCLE('',#359276,0.140000000000002); #67340=CIRCLE('',#359277,0.140000000000002); #67341=CIRCLE('',#359279,0.140000000000002); #67342=CIRCLE('',#359280,0.140000000000002); #67343=CIRCLE('',#359282,0.140000000000002); #67344=CIRCLE('',#359283,0.140000000000002); #67345=CIRCLE('',#359285,0.140000000000002); #67346=CIRCLE('',#359286,0.140000000000002); #67347=CIRCLE('',#359288,0.140000000000002); #67348=CIRCLE('',#359289,0.140000000000002); #67349=CIRCLE('',#359291,0.140000000000002); #67350=CIRCLE('',#359292,0.140000000000002); #67351=CIRCLE('',#359294,0.140000000000002); #67352=CIRCLE('',#359295,0.140000000000002); #67353=CIRCLE('',#359297,0.14); #67354=CIRCLE('',#359298,0.14); #67355=CIRCLE('',#359300,0.14); #67356=CIRCLE('',#359301,0.14); #67357=CIRCLE('',#359303,0.14); #67358=CIRCLE('',#359304,0.14); #67359=CIRCLE('',#359306,0.14); #67360=CIRCLE('',#359307,0.14); #67361=CIRCLE('',#359309,0.14); #67362=CIRCLE('',#359310,0.14); #67363=CIRCLE('',#359312,0.14); #67364=CIRCLE('',#359313,0.14); #67365=CIRCLE('',#359315,0.14); #67366=CIRCLE('',#359316,0.14); #67367=CIRCLE('',#359318,0.14); #67368=CIRCLE('',#359319,0.14); #67369=CIRCLE('',#360470,0.139999999999998); #67370=CIRCLE('',#360471,0.139999999999998); #67371=CIRCLE('',#360473,0.139999999999998); #67372=CIRCLE('',#360474,0.139999999999998); #67373=CIRCLE('',#360476,0.139999999999998); #67374=CIRCLE('',#360477,0.139999999999998); #67375=CIRCLE('',#360620,0.139999999999998); #67376=CIRCLE('',#360621,0.139999999999998); #67377=CIRCLE('',#360623,0.139999999999998); #67378=CIRCLE('',#360624,0.139999999999998); #67379=CIRCLE('',#360626,0.139999999999998); #67380=CIRCLE('',#360627,0.139999999999998); #67381=CIRCLE('',#360629,0.139999999999998); #67382=CIRCLE('',#360630,0.139999999999998); #67383=CIRCLE('',#360632,0.139999999999998); #67384=CIRCLE('',#360633,0.139999999999998); #67385=CIRCLE('',#360635,0.139999999999998); #67386=CIRCLE('',#360636,0.139999999999998); #67387=CIRCLE('',#360638,0.139999999999998); #67388=CIRCLE('',#360639,0.139999999999998); #67389=CIRCLE('',#360641,0.139999999999998); #67390=CIRCLE('',#360642,0.139999999999998); #67391=CIRCLE('',#360644,0.139999999999998); #67392=CIRCLE('',#360645,0.139999999999998); #67393=CIRCLE('',#360647,0.139999999999998); #67394=CIRCLE('',#360648,0.139999999999998); #67395=CIRCLE('',#360650,0.139999999999998); #67396=CIRCLE('',#360651,0.139999999999998); #67397=CIRCLE('',#360653,0.139999999999998); #67398=CIRCLE('',#360654,0.139999999999998); #67399=CIRCLE('',#360656,0.139999999999998); #67400=CIRCLE('',#360657,0.139999999999998); #67401=CIRCLE('',#360659,0.139999999999998); #67402=CIRCLE('',#360660,0.139999999999998); #67403=CIRCLE('',#360662,0.139999999999998); #67404=CIRCLE('',#360663,0.139999999999998); #67405=CIRCLE('',#360665,0.139999999999998); #67406=CIRCLE('',#360666,0.139999999999998); #67407=CIRCLE('',#360668,0.139999999999998); #67408=CIRCLE('',#360669,0.139999999999998); #67409=CIRCLE('',#360671,0.139999999999998); #67410=CIRCLE('',#360672,0.139999999999998); #67411=CIRCLE('',#360674,0.139999999999998); #67412=CIRCLE('',#360675,0.139999999999998); #67413=CIRCLE('',#360677,0.139999999999998); #67414=CIRCLE('',#360678,0.139999999999998); #67415=CIRCLE('',#360680,0.139999999999998); #67416=CIRCLE('',#360681,0.139999999999998); #67417=CIRCLE('',#360683,0.139999999999998); #67418=CIRCLE('',#360684,0.139999999999998); #67419=CIRCLE('',#360686,0.139999999999998); #67420=CIRCLE('',#360687,0.139999999999998); #67421=CIRCLE('',#360689,0.139999999999998); #67422=CIRCLE('',#360690,0.139999999999998); #67423=CIRCLE('',#360692,0.139999999999998); #67424=CIRCLE('',#360693,0.139999999999998); #67425=CIRCLE('',#360695,0.139999999999998); #67426=CIRCLE('',#360696,0.139999999999998); #67427=CIRCLE('',#360698,0.139999999999998); #67428=CIRCLE('',#360699,0.139999999999998); #67429=CIRCLE('',#360701,0.139999999999998); #67430=CIRCLE('',#360702,0.139999999999998); #67431=CIRCLE('',#360704,0.139999999999998); #67432=CIRCLE('',#360705,0.139999999999998); #67433=CIRCLE('',#360707,0.139999999999998); #67434=CIRCLE('',#360708,0.139999999999998); #67435=CIRCLE('',#360710,0.139999999999998); #67436=CIRCLE('',#360711,0.139999999999998); #67437=CIRCLE('',#360713,0.139999999999998); #67438=CIRCLE('',#360714,0.139999999999998); #67439=CIRCLE('',#360716,0.139999999999998); #67440=CIRCLE('',#360717,0.139999999999998); #67441=CIRCLE('',#360719,0.139999999999998); #67442=CIRCLE('',#360720,0.139999999999998); #67443=CIRCLE('',#360722,0.139999999999998); #67444=CIRCLE('',#360723,0.139999999999998); #67445=CIRCLE('',#360725,0.139999999999998); #67446=CIRCLE('',#360726,0.139999999999998); #67447=CIRCLE('',#360728,0.139999999999998); #67448=CIRCLE('',#360729,0.139999999999998); #67449=CIRCLE('',#360731,0.139999999999998); #67450=CIRCLE('',#360732,0.139999999999998); #67451=CIRCLE('',#360734,0.139999999999998); #67452=CIRCLE('',#360735,0.139999999999998); #67453=CIRCLE('',#360737,0.139999999999998); #67454=CIRCLE('',#360738,0.139999999999998); #67455=CIRCLE('',#360740,0.139999999999998); #67456=CIRCLE('',#360741,0.139999999999998); #67457=CIRCLE('',#360743,0.139999999999998); #67458=CIRCLE('',#360744,0.139999999999998); #67459=CIRCLE('',#360746,0.139999999999998); #67460=CIRCLE('',#360747,0.139999999999998); #67461=CIRCLE('',#360749,0.139999999999998); #67462=CIRCLE('',#360750,0.139999999999998); #67463=CIRCLE('',#360752,0.139999999999998); #67464=CIRCLE('',#360753,0.139999999999998); #67465=CIRCLE('',#360755,0.139999999999998); #67466=CIRCLE('',#360756,0.139999999999998); #67467=CIRCLE('',#360758,0.139999999999998); #67468=CIRCLE('',#360759,0.139999999999998); #67469=CIRCLE('',#360761,0.139999999999998); #67470=CIRCLE('',#360762,0.139999999999998); #67471=CIRCLE('',#360764,0.139999999999998); #67472=CIRCLE('',#360765,0.139999999999998); #67473=CIRCLE('',#361568,0.514999999999999); #67474=CIRCLE('',#361569,0.514999999999999); #67475=CIRCLE('',#361572,0.565); #67476=CIRCLE('',#361573,0.565); #67477=CIRCLE('',#361576,0.565); #67478=CIRCLE('',#361577,0.565); #67479=CIRCLE('',#361696,0.140000000000002); #67480=CIRCLE('',#361697,0.140000000000002); #67481=CIRCLE('',#361699,0.140000000000002); #67482=CIRCLE('',#361700,0.140000000000002); #67483=CIRCLE('',#361702,0.140000000000002); #67484=CIRCLE('',#361703,0.140000000000002); #67485=CIRCLE('',#361705,0.140000000000002); #67486=CIRCLE('',#361706,0.140000000000002); #67487=CIRCLE('',#361708,0.140000000000002); #67488=CIRCLE('',#361709,0.140000000000002); #67489=CIRCLE('',#361711,0.140000000000002); #67490=CIRCLE('',#361712,0.140000000000002); #67491=CIRCLE('',#361714,0.140000000000002); #67492=CIRCLE('',#361715,0.140000000000002); #67493=CIRCLE('',#361717,0.140000000000002); #67494=CIRCLE('',#361718,0.140000000000002); #67495=CIRCLE('',#361720,0.140000000000002); #67496=CIRCLE('',#361721,0.140000000000002); #67497=CIRCLE('',#361723,0.140000000000002); #67498=CIRCLE('',#361724,0.140000000000002); #67499=CIRCLE('',#361726,0.140000000000002); #67500=CIRCLE('',#361727,0.140000000000002); #67501=CIRCLE('',#361729,0.140000000000002); #67502=CIRCLE('',#361730,0.140000000000002); #67503=CIRCLE('',#361732,0.140000000000002); #67504=CIRCLE('',#361733,0.140000000000002); #67505=CIRCLE('',#361735,0.140000000000002); #67506=CIRCLE('',#361736,0.140000000000002); #67507=CIRCLE('',#361738,0.140000000000002); #67508=CIRCLE('',#361739,0.140000000000002); #67509=CIRCLE('',#361784,0.139999999999998); #67510=CIRCLE('',#361785,0.139999999999998); #67511=CIRCLE('',#361792,0.127000308727436); #67512=CIRCLE('',#361793,0.127000308727436); #67513=CIRCLE('',#361797,0.127000308727438); #67514=CIRCLE('',#361798,0.127000308727438); #67515=CIRCLE('',#361816,0.127000308727436); #67516=CIRCLE('',#361817,0.127000308727436); #67517=CIRCLE('',#361820,0.127000308727436); #67518=CIRCLE('',#361821,0.127000308727436); #67519=CIRCLE('',#361824,0.126951739765291); #67520=CIRCLE('',#361825,0.126951739765291); #67521=CIRCLE('',#361830,0.127000308727438); #67522=CIRCLE('',#361831,0.127000308727438); #67523=CIRCLE('',#361834,0.127000308727433); #67524=CIRCLE('',#361835,0.127000308727433); #67525=CIRCLE('',#361839,0.127001515838136); #67526=CIRCLE('',#361840,0.127001515838136); #67527=CIRCLE('',#361847,0.139999999999998); #67528=CIRCLE('',#361848,0.139999999999998); #67529=CIRCLE('',#361850,0.139999999999998); #67530=CIRCLE('',#361851,0.139999999999998); #67531=CIRCLE('',#361853,0.139999999999998); #67532=CIRCLE('',#361854,0.139999999999998); #67533=CIRCLE('',#361856,0.139999999999998); #67534=CIRCLE('',#361857,0.139999999999998); #67535=CIRCLE('',#361859,0.139999999999998); #67536=CIRCLE('',#361860,0.139999999999998); #67537=CIRCLE('',#361862,0.139999999999998); #67538=CIRCLE('',#361863,0.139999999999998); #67539=CIRCLE('',#361865,0.139999999999998); #67540=CIRCLE('',#361866,0.139999999999998); #67541=CIRCLE('',#361868,0.139999999999998); #67542=CIRCLE('',#361869,0.139999999999998); #67543=CIRCLE('',#361871,0.139999999999998); #67544=CIRCLE('',#361872,0.139999999999998); #67545=CIRCLE('',#361874,0.139999999999998); #67546=CIRCLE('',#361875,0.139999999999998); #67547=CIRCLE('',#361877,0.139999999999998); #67548=CIRCLE('',#361878,0.139999999999998); #67549=CIRCLE('',#361880,0.139999999999998); #67550=CIRCLE('',#361881,0.139999999999998); #67551=CIRCLE('',#361883,0.139999999999998); #67552=CIRCLE('',#361884,0.139999999999998); #67553=CIRCLE('',#361886,0.139999999999998); #67554=CIRCLE('',#361887,0.139999999999998); #67555=CIRCLE('',#361889,0.139999999999998); #67556=CIRCLE('',#361890,0.139999999999998); #67557=CIRCLE('',#361943,0.139999999999998); #67558=CIRCLE('',#361944,0.139999999999998); #67559=CIRCLE('',#361952,0.127001515838136); #67560=CIRCLE('',#361953,0.127001515838136); #67561=CIRCLE('',#361957,0.127000308727433); #67562=CIRCLE('',#361958,0.127000308727433); #67563=CIRCLE('',#361961,0.127000308727438); #67564=CIRCLE('',#361962,0.127000308727438); #67565=CIRCLE('',#361967,0.127000308727447); #67566=CIRCLE('',#361968,0.127000308727447); #67567=CIRCLE('',#361971,0.127000308727436); #67568=CIRCLE('',#361972,0.127000308727436); #67569=CIRCLE('',#361975,0.127000308727436); #67570=CIRCLE('',#361976,0.127000308727436); #67571=CIRCLE('',#361994,0.127000308727436); #67572=CIRCLE('',#361995,0.127000308727436); #67573=CIRCLE('',#361999,0.127000308727438); #67574=CIRCLE('',#362000,0.127000308727438); #67575=CIRCLE('',#362006,0.139999999999998); #67576=CIRCLE('',#362007,0.139999999999998); #67577=CIRCLE('',#362009,0.14); #67578=CIRCLE('',#362010,0.14); #67579=CIRCLE('',#362012,0.14); #67580=CIRCLE('',#362013,0.14); #67581=CIRCLE('',#362015,0.14); #67582=CIRCLE('',#362016,0.14); #67583=CIRCLE('',#362018,0.14); #67584=CIRCLE('',#362019,0.14); #67585=CIRCLE('',#362021,0.14); #67586=CIRCLE('',#362022,0.14); #67587=CIRCLE('',#362024,0.14); #67588=CIRCLE('',#362025,0.14); #67589=CIRCLE('',#362027,0.14); #67590=CIRCLE('',#362028,0.14); #67591=CIRCLE('',#362030,0.14); #67592=CIRCLE('',#362031,0.14); #67593=CIRCLE('',#362033,0.14); #67594=CIRCLE('',#362034,0.14); #67595=CIRCLE('',#362036,0.14); #67596=CIRCLE('',#362037,0.14); #67597=CIRCLE('',#362039,0.14); #67598=CIRCLE('',#362040,0.14); #67599=CIRCLE('',#362042,0.14); #67600=CIRCLE('',#362043,0.14); #67601=CIRCLE('',#362045,0.139999999999998); #67602=CIRCLE('',#362046,0.139999999999998); #67603=CIRCLE('',#362048,0.139999999999998); #67604=CIRCLE('',#362049,0.139999999999998); #67605=CIRCLE('',#362051,0.139999999999998); #67606=CIRCLE('',#362052,0.139999999999998); #67607=CIRCLE('',#362054,0.139999999999998); #67608=CIRCLE('',#362055,0.139999999999998); #67609=CIRCLE('',#362057,0.139999999999998); #67610=CIRCLE('',#362058,0.139999999999998); #67611=CIRCLE('',#362060,0.14); #67612=CIRCLE('',#362061,0.14); #67613=CIRCLE('',#362063,0.139999999999998); #67614=CIRCLE('',#362064,0.139999999999998); #67615=CIRCLE('',#362066,0.139999999999998); #67616=CIRCLE('',#362067,0.139999999999998); #67617=CIRCLE('',#362069,0.139999999999998); #67618=CIRCLE('',#362070,0.139999999999998); #67619=CIRCLE('',#362072,0.139999999999998); #67620=CIRCLE('',#362073,0.139999999999998); #67621=CIRCLE('',#362075,0.139999999999998); #67622=CIRCLE('',#362076,0.139999999999998); #67623=CIRCLE('',#362078,0.139999999999998); #67624=CIRCLE('',#362079,0.139999999999998); #67625=CIRCLE('',#362081,0.139999999999998); #67626=CIRCLE('',#362082,0.139999999999998); #67627=CIRCLE('',#362084,0.139999999999998); #67628=CIRCLE('',#362085,0.139999999999998); #67629=CIRCLE('',#362087,0.139999999999998); #67630=CIRCLE('',#362088,0.139999999999998); #67631=CIRCLE('',#362090,0.139999999999998); #67632=CIRCLE('',#362091,0.139999999999998); #67633=CIRCLE('',#362093,0.14); #67634=CIRCLE('',#362094,0.14); #67635=CIRCLE('',#362096,0.14); #67636=CIRCLE('',#362097,0.14); #67637=CIRCLE('',#362100,0.275429677195184); #67638=CIRCLE('',#362101,0.275429677195184); #67639=CIRCLE('',#362106,0.127000308727435); #67640=CIRCLE('',#362107,0.127000308727435); #67641=CIRCLE('',#362110,0.127000308727438); #67642=CIRCLE('',#362111,0.127000308727438); #67643=CIRCLE('',#362185,0.127000308727436); #67644=CIRCLE('',#362186,0.127000308727436); #67645=CIRCLE('',#362189,0.127000308727427); #67646=CIRCLE('',#362190,0.127000308727427); #67647=CIRCLE('',#362193,0.275429442438526); #67648=CIRCLE('',#362194,0.275429442438526); #67649=CIRCLE('',#362198,0.139999999999998); #67650=CIRCLE('',#362199,0.139999999999998); #67651=CIRCLE('',#362207,0.127000308727436); #67652=CIRCLE('',#362208,0.127000308727436); #67653=CIRCLE('',#362212,0.127000308727438); #67654=CIRCLE('',#362213,0.127000308727438); #67655=CIRCLE('',#362216,0.275429728561973); #67656=CIRCLE('',#362217,0.275429728561973); #67657=CIRCLE('',#362219,0.275429566248994); #67658=CIRCLE('',#362220,0.275429566248994); #67659=CIRCLE('',#362224,0.127000308727431); #67660=CIRCLE('',#362225,0.127000308727431); #67661=CIRCLE('',#362229,0.127000308727438); #67662=CIRCLE('',#362230,0.127000308727438); #67663=CIRCLE('',#362236,0.140000000000002); #67664=CIRCLE('',#362237,0.140000000000002); #67665=CIRCLE('',#362239,0.140000000000002); #67666=CIRCLE('',#362240,0.140000000000002); #67667=CIRCLE('',#362242,0.140000000000002); #67668=CIRCLE('',#362243,0.140000000000002); #67669=CIRCLE('',#362245,0.140000000000002); #67670=CIRCLE('',#362246,0.140000000000002); #67671=CIRCLE('',#362248,0.140000000000002); #67672=CIRCLE('',#362249,0.140000000000002); #67673=CIRCLE('',#362251,0.139999999999998); #67674=CIRCLE('',#362252,0.139999999999998); #67675=CIRCLE('',#362254,0.140000000000002); #67676=CIRCLE('',#362255,0.140000000000002); #67677=CIRCLE('',#362257,0.140000000000002); #67678=CIRCLE('',#362258,0.140000000000002); #67679=CIRCLE('',#362260,0.140000000000002); #67680=CIRCLE('',#362261,0.140000000000002); #67681=CIRCLE('',#362263,0.140000000000002); #67682=CIRCLE('',#362264,0.140000000000002); #67683=CIRCLE('',#362266,0.465); #67684=CIRCLE('',#362267,0.465); #67685=CIRCLE('',#362293,0.275170203557187); #67686=CIRCLE('',#362294,0.275170203557187); #67687=CIRCLE('',#362298,0.127000308727437); #67688=CIRCLE('',#362299,0.127000308727437); #67689=CIRCLE('',#362309,0.127000308727438); #67690=CIRCLE('',#362310,0.127000308727438); #67691=CIRCLE('',#362313,0.275004382214899); #67692=CIRCLE('',#362314,0.275004382214899); #67693=CIRCLE('',#362331,0.12700030872744); #67694=CIRCLE('',#362332,0.12700030872744); #67695=CIRCLE('',#362335,0.127000308727433); #67696=CIRCLE('',#362336,0.127000308727433); #67697=CIRCLE('',#362407,0.140000000000002); #67698=CIRCLE('',#362408,0.140000000000002); #67699=CIRCLE('',#362410,0.140000000000002); #67700=CIRCLE('',#362411,0.140000000000002); #67701=CIRCLE('',#362413,0.140000000000002); #67702=CIRCLE('',#362414,0.140000000000002); #67703=CIRCLE('',#362416,0.140000000000002); #67704=CIRCLE('',#362417,0.140000000000002); #67705=CIRCLE('',#362419,0.140000000000002); #67706=CIRCLE('',#362420,0.140000000000002); #67707=CIRCLE('',#362422,0.140000000000002); #67708=CIRCLE('',#362423,0.140000000000002); #67709=CIRCLE('',#362425,0.140000000000002); #67710=CIRCLE('',#362426,0.140000000000002); #67711=CIRCLE('',#362428,0.140000000000002); #67712=CIRCLE('',#362429,0.140000000000002); #67713=CIRCLE('',#362431,0.140000000000002); #67714=CIRCLE('',#362432,0.140000000000002); #67715=CIRCLE('',#362434,0.140000000000002); #67716=CIRCLE('',#362435,0.140000000000002); #67717=CIRCLE('',#362437,0.140000000000002); #67718=CIRCLE('',#362438,0.140000000000002); #67719=CIRCLE('',#362440,0.140000000000002); #67720=CIRCLE('',#362441,0.140000000000002); #67721=CIRCLE('',#362443,0.140000000000002); #67722=CIRCLE('',#362444,0.140000000000002); #67723=CIRCLE('',#362446,0.140000000000002); #67724=CIRCLE('',#362447,0.140000000000002); #67725=CIRCLE('',#362449,0.140000000000002); #67726=CIRCLE('',#362450,0.140000000000002); #67727=CIRCLE('',#362452,0.140000000000002); #67728=CIRCLE('',#362453,0.140000000000002); #67729=CIRCLE('',#362455,0.140000000000002); #67730=CIRCLE('',#362456,0.140000000000002); #67731=CIRCLE('',#362458,0.140000000000002); #67732=CIRCLE('',#362459,0.140000000000002); #67733=CIRCLE('',#362461,0.140000000000002); #67734=CIRCLE('',#362462,0.140000000000002); #67735=CIRCLE('',#362464,0.140000000000002); #67736=CIRCLE('',#362465,0.140000000000002); #67737=CIRCLE('',#362467,0.140000000000002); #67738=CIRCLE('',#362468,0.140000000000002); #67739=CIRCLE('',#362470,0.140000000000002); #67740=CIRCLE('',#362471,0.140000000000002); #67741=CIRCLE('',#362473,0.140000000000002); #67742=CIRCLE('',#362474,0.140000000000002); #67743=CIRCLE('',#362476,0.140000000000002); #67744=CIRCLE('',#362477,0.140000000000002); #67745=CIRCLE('',#362479,0.140000000000002); #67746=CIRCLE('',#362480,0.140000000000002); #67747=CIRCLE('',#362482,0.140000000000002); #67748=CIRCLE('',#362483,0.140000000000002); #67749=CIRCLE('',#362485,0.140000000000002); #67750=CIRCLE('',#362486,0.140000000000002); #67751=CIRCLE('',#362488,0.140000000000002); #67752=CIRCLE('',#362489,0.140000000000002); #67753=CIRCLE('',#362491,0.140000000000002); #67754=CIRCLE('',#362492,0.140000000000002); #67755=CIRCLE('',#362494,0.140000000000002); #67756=CIRCLE('',#362495,0.140000000000002); #67757=CIRCLE('',#362497,0.140000000000002); #67758=CIRCLE('',#362498,0.140000000000002); #67759=CIRCLE('',#362501,0.275429677195184); #67760=CIRCLE('',#362502,0.275429677195184); #67761=CIRCLE('',#362505,0.127000308727425); #67762=CIRCLE('',#362506,0.127000308727425); #67763=CIRCLE('',#362509,0.127001515838118); #67764=CIRCLE('',#362510,0.127001515838118); #67765=CIRCLE('',#362579,0.127000308727436); #67766=CIRCLE('',#362580,0.127000308727436); #67767=CIRCLE('',#362583,0.127000308727438); #67768=CIRCLE('',#362584,0.127000308727438); #67769=CIRCLE('',#362589,0.275429442438526); #67770=CIRCLE('',#362590,0.275429442438526); #67771=CIRCLE('',#362594,0.140000000000002); #67772=CIRCLE('',#362595,0.140000000000002); #67773=CIRCLE('',#362602,0.127000308727438); #67774=CIRCLE('',#362603,0.127000308727438); #67775=CIRCLE('',#362607,0.127000308727433); #67776=CIRCLE('',#362608,0.127000308727433); #67777=CIRCLE('',#362612,0.275429728561973); #67778=CIRCLE('',#362613,0.275429728561973); #67779=CIRCLE('',#362615,0.275429566248996); #67780=CIRCLE('',#362616,0.275429566248996); #67781=CIRCLE('',#362619,0.12700030872744); #67782=CIRCLE('',#362620,0.12700030872744); #67783=CIRCLE('',#362624,0.127000308727436); #67784=CIRCLE('',#362625,0.127000308727436); #67785=CIRCLE('',#362632,0.139999999999998); #67786=CIRCLE('',#362633,0.139999999999998); #67787=CIRCLE('',#362635,0.139999999999998); #67788=CIRCLE('',#362636,0.139999999999998); #67789=CIRCLE('',#362638,0.139999999999998); #67790=CIRCLE('',#362639,0.139999999999998); #67791=CIRCLE('',#362641,0.139999999999998); #67792=CIRCLE('',#362642,0.139999999999998); #67793=CIRCLE('',#362644,0.114999999999998); #67794=CIRCLE('',#362645,0.114999999999998); #67795=CIRCLE('',#362647,0.114999999999998); #67796=CIRCLE('',#362648,0.114999999999998); #67797=CIRCLE('',#362650,0.114999999999998); #67798=CIRCLE('',#362651,0.114999999999998); #67799=CIRCLE('',#362653,0.114999999999998); #67800=CIRCLE('',#362654,0.114999999999998); #67801=CIRCLE('',#362656,0.139999999999998); #67802=CIRCLE('',#362657,0.139999999999998); #67803=CIRCLE('',#362659,0.139999999999998); #67804=CIRCLE('',#362660,0.139999999999998); #67805=CIRCLE('',#362662,0.139999999999998); #67806=CIRCLE('',#362663,0.139999999999998); #67807=CIRCLE('',#362665,0.139999999999998); #67808=CIRCLE('',#362666,0.139999999999998); #67809=CIRCLE('',#362668,0.139999999999998); #67810=CIRCLE('',#362669,0.139999999999998); #67811=CIRCLE('',#362671,0.139999999999998); #67812=CIRCLE('',#362672,0.139999999999998); #67813=CIRCLE('',#362674,0.139999999999998); #67814=CIRCLE('',#362675,0.139999999999998); #67815=CIRCLE('',#362677,0.139999999999998); #67816=CIRCLE('',#362678,0.139999999999998); #67817=CIRCLE('',#362903,0.2750043822149); #67818=CIRCLE('',#362904,0.2750043822149); #67819=CIRCLE('',#362907,0.127000308727437); #67820=CIRCLE('',#362908,0.127000308727437); #67821=CIRCLE('',#362918,0.127000308727438); #67822=CIRCLE('',#362919,0.127000308727438); #67823=CIRCLE('',#362923,0.275180228450174); #67824=CIRCLE('',#362924,0.275180228450174); #67825=CIRCLE('',#363148,0.564999999999998); #67826=CIRCLE('',#363149,0.564999999999998); #67827=CIRCLE('',#363152,0.900857088405025); #67828=CIRCLE('',#363153,0.900857088405025); #67829=CIRCLE('',#363155,0.900856769723134); #67830=CIRCLE('',#363156,0.900856769723134); #67831=CIRCLE('',#363160,0.565000000000002); #67832=CIRCLE('',#363161,0.565000000000002); #67833=CIRCLE('',#363164,0.900857088405025); #67834=CIRCLE('',#363165,0.900857088405025); #67835=CIRCLE('',#363167,0.900856769723134); #67836=CIRCLE('',#363168,0.900856769723134); #67837=CIRCLE('',#363172,0.465); #67838=CIRCLE('',#363173,0.465); #67839=CIRCLE('',#363176,0.750904962541172); #67840=CIRCLE('',#363177,0.750904962541172); #67841=CIRCLE('',#363179,0.750905460124643); #67842=CIRCLE('',#363180,0.750905460124643); #67843=CIRCLE('',#363184,0.514999999999999); #67844=CIRCLE('',#363185,0.514999999999999); #67845=CIRCLE('',#363188,0.825995597015953); #67846=CIRCLE('',#363189,0.825995597015953); #67847=CIRCLE('',#363191,0.825996336030616); #67848=CIRCLE('',#363192,0.825996336030616); #67849=CIRCLE('',#363196,0.514999999999999); #67850=CIRCLE('',#363197,0.514999999999999); #67851=CIRCLE('',#363205,0.465); #67852=CIRCLE('',#363206,0.465); #67853=CIRCLE('',#363209,0.750904962541172); #67854=CIRCLE('',#363210,0.750904962541172); #67855=CIRCLE('',#363212,0.750905460124644); #67856=CIRCLE('',#363213,0.750905460124644); #67857=CIRCLE('',#363217,0.465); #67858=CIRCLE('',#363218,0.465); #67859=CIRCLE('',#363221,0.750904962541172); #67860=CIRCLE('',#363222,0.750904962541172); #67861=CIRCLE('',#363224,0.750905460124644); #67862=CIRCLE('',#363225,0.750905460124644); #67863=CIRCLE('',#363229,0.564999999999998); #67864=CIRCLE('',#363230,0.564999999999998); #67865=CIRCLE('',#363233,0.900857088405025); #67866=CIRCLE('',#363234,0.900857088405025); #67867=CIRCLE('',#363236,0.900856769723134); #67868=CIRCLE('',#363237,0.900856769723134); #67869=CIRCLE('',#363241,0.465); #67870=CIRCLE('',#363242,0.465); #67871=CIRCLE('',#363245,0.750904962541171); #67872=CIRCLE('',#363246,0.750904962541171); #67873=CIRCLE('',#363248,0.750905460124643); #67874=CIRCLE('',#363249,0.750905460124643); #67875=CIRCLE('',#363254,0.315); #67876=CIRCLE('',#363255,0.315); #67877=CIRCLE('',#363258,0.315); #67878=CIRCLE('',#363259,0.315); #67879=CIRCLE('',#363320,0.565); #67880=CIRCLE('',#363321,0.565); #67881=CIRCLE('',#363324,0.565); #67882=CIRCLE('',#363325,0.565); #67883=CIRCLE('',#363402,0.315); #67884=CIRCLE('',#363403,0.315); #67885=CIRCLE('',#363406,0.315); #67886=CIRCLE('',#363407,0.315); #67887=CIRCLE('',#363467,0.514999999999999); #67888=CIRCLE('',#363468,0.514999999999999); #67889=CIRCLE('',#363477,0.315); #67890=CIRCLE('',#363478,0.315); #67891=CIRCLE('',#363481,0.315); #67892=CIRCLE('',#363482,0.315); #67893=CIRCLE('',#363543,0.565); #67894=CIRCLE('',#363544,0.565); #67895=CIRCLE('',#363547,0.565); #67896=CIRCLE('',#363548,0.565); #67897=CIRCLE('',#363624,0.465); #67898=CIRCLE('',#363625,0.465); #67899=CIRCLE('',#363628,0.750904962541172); #67900=CIRCLE('',#363629,0.750904962541172); #67901=CIRCLE('',#363631,0.750905460124644); #67902=CIRCLE('',#363632,0.750905460124644); #67903=CIRCLE('',#363636,0.514999999999999); #67904=CIRCLE('',#363637,0.514999999999999); #67905=CIRCLE('',#363640,0.825995597015952); #67906=CIRCLE('',#363641,0.825995597015952); #67907=CIRCLE('',#363643,0.825996336030615); #67908=CIRCLE('',#363644,0.825996336030615); #67909=CIRCLE('',#363649,0.315); #67910=CIRCLE('',#363650,0.315); #67911=CIRCLE('',#363653,0.315); #67912=CIRCLE('',#363654,0.315); #67913=CIRCLE('',#363714,0.465); #67914=CIRCLE('',#363715,0.465); #67915=CIRCLE('',#363718,0.750904962541172); #67916=CIRCLE('',#363719,0.750904962541172); #67917=CIRCLE('',#363721,0.750905460124644); #67918=CIRCLE('',#363722,0.750905460124644); #67919=CIRCLE('',#363726,0.465); #67920=CIRCLE('',#363727,0.465); #67921=CIRCLE('',#363730,0.750904962541172); #67922=CIRCLE('',#363731,0.750904962541172); #67923=CIRCLE('',#363733,0.750905460124643); #67924=CIRCLE('',#363734,0.750905460124643); #67925=CIRCLE('',#363738,0.465); #67926=CIRCLE('',#363739,0.465); #67927=CIRCLE('',#363742,0.750904962541172); #67928=CIRCLE('',#363743,0.750904962541172); #67929=CIRCLE('',#363745,0.750905460124643); #67930=CIRCLE('',#363746,0.750905460124643); #67931=CIRCLE('',#363750,0.564999999999998); #67932=CIRCLE('',#363751,0.564999999999998); #67933=CIRCLE('',#363759,0.465); #67934=CIRCLE('',#363760,0.465); #67935=CIRCLE('',#363763,0.750904962541172); #67936=CIRCLE('',#363764,0.750904962541172); #67937=CIRCLE('',#363766,0.750905460124643); #67938=CIRCLE('',#363767,0.750905460124643); #67939=CIRCLE('',#363849,0.14); #67940=CIRCLE('',#363850,0.14); #67941=CIRCLE('',#363852,0.14); #67942=CIRCLE('',#363853,0.14); #67943=CIRCLE('',#363855,0.14); #67944=CIRCLE('',#363856,0.14); #67945=CIRCLE('',#363858,0.14); #67946=CIRCLE('',#363859,0.14); #67947=CIRCLE('',#363861,0.14); #67948=CIRCLE('',#363862,0.14); #67949=CIRCLE('',#363864,0.14); #67950=CIRCLE('',#363865,0.14); #67951=CIRCLE('',#364029,0.14); #67952=CIRCLE('',#364030,0.14); #67953=CIRCLE('',#364032,0.14); #67954=CIRCLE('',#364033,0.14); #67955=CIRCLE('',#364035,0.14); #67956=CIRCLE('',#364036,0.14); #67957=CIRCLE('',#364038,0.14); #67958=CIRCLE('',#364039,0.14); #67959=CIRCLE('',#364041,0.14); #67960=CIRCLE('',#364042,0.14); #67961=CIRCLE('',#364044,0.14); #67962=CIRCLE('',#364045,0.14); #67963=CIRCLE('',#364089,0.140000000000002); #67964=CIRCLE('',#364090,0.140000000000002); #67965=CIRCLE('',#364092,0.140000000000002); #67966=CIRCLE('',#364093,0.140000000000002); #67967=CIRCLE('',#364095,0.140000000000002); #67968=CIRCLE('',#364096,0.140000000000002); #67969=CIRCLE('',#364098,0.140000000000002); #67970=CIRCLE('',#364099,0.140000000000002); #67971=CIRCLE('',#364101,0.140000000000002); #67972=CIRCLE('',#364102,0.140000000000002); #67973=CIRCLE('',#364104,0.140000000000002); #67974=CIRCLE('',#364105,0.140000000000002); #67975=CIRCLE('',#364125,0.139999999999998); #67976=CIRCLE('',#364126,0.139999999999998); #67977=CIRCLE('',#364128,0.139999999999998); #67978=CIRCLE('',#364129,0.139999999999998); #67979=CIRCLE('',#364131,0.139999999999998); #67980=CIRCLE('',#364132,0.139999999999998); #67981=CIRCLE('',#364134,0.139999999999998); #67982=CIRCLE('',#364135,0.139999999999998); #67983=CIRCLE('',#364137,0.139999999999998); #67984=CIRCLE('',#364138,0.139999999999998); #67985=CIRCLE('',#364140,0.139999999999998); #67986=CIRCLE('',#364141,0.139999999999998); #67987=CIRCLE('',#364143,0.139999999999998); #67988=CIRCLE('',#364144,0.139999999999998); #67989=CIRCLE('',#364146,0.139999999999998); #67990=CIRCLE('',#364147,0.139999999999998); #67991=CIRCLE('',#364149,0.139999999999998); #67992=CIRCLE('',#364150,0.139999999999998); #67993=CIRCLE('',#364152,0.139999999999998); #67994=CIRCLE('',#364153,0.139999999999998); #67995=CIRCLE('',#364155,0.139999999999998); #67996=CIRCLE('',#364156,0.139999999999998); #67997=CIRCLE('',#364158,0.139999999999998); #67998=CIRCLE('',#364159,0.139999999999998); #67999=CIRCLE('',#364161,0.139999999999998); #68000=CIRCLE('',#364162,0.139999999999998); #68001=CIRCLE('',#364164,0.139999999999998); #68002=CIRCLE('',#364165,0.139999999999998); #68003=CIRCLE('',#364167,0.139999999999998); #68004=CIRCLE('',#364168,0.139999999999998); #68005=CIRCLE('',#364170,0.139999999999998); #68006=CIRCLE('',#364171,0.139999999999998); #68007=CIRCLE('',#364173,0.139999999999998); #68008=CIRCLE('',#364174,0.139999999999998); #68009=CIRCLE('',#364176,0.139999999999998); #68010=CIRCLE('',#364177,0.139999999999998); #68011=CIRCLE('',#364179,0.139999999999998); #68012=CIRCLE('',#364180,0.139999999999998); #68013=CIRCLE('',#364182,0.139999999999998); #68014=CIRCLE('',#364183,0.139999999999998); #68015=CIRCLE('',#364185,0.139999999999998); #68016=CIRCLE('',#364186,0.139999999999998); #68017=CIRCLE('',#364188,0.139999999999998); #68018=CIRCLE('',#364189,0.139999999999998); #68019=CIRCLE('',#364191,0.139999999999998); #68020=CIRCLE('',#364192,0.139999999999998); #68021=CIRCLE('',#364194,0.139999999999998); #68022=CIRCLE('',#364195,0.139999999999998); #68023=CIRCLE('',#364197,0.139999999999998); #68024=CIRCLE('',#364198,0.139999999999998); #68025=CIRCLE('',#364200,0.139999999999998); #68026=CIRCLE('',#364201,0.139999999999998); #68027=CIRCLE('',#364203,0.139999999999998); #68028=CIRCLE('',#364204,0.139999999999998); #68029=CIRCLE('',#364206,0.139999999999998); #68030=CIRCLE('',#364207,0.139999999999998); #68031=CIRCLE('',#364209,0.139999999999998); #68032=CIRCLE('',#364210,0.139999999999998); #68033=CIRCLE('',#364212,0.139999999999998); #68034=CIRCLE('',#364213,0.139999999999998); #68035=CIRCLE('',#364215,0.139999999999998); #68036=CIRCLE('',#364216,0.139999999999998); #68037=CIRCLE('',#364218,0.139999999999998); #68038=CIRCLE('',#364219,0.139999999999998); #68039=CIRCLE('',#364221,0.139999999999998); #68040=CIRCLE('',#364222,0.139999999999998); #68041=CIRCLE('',#364224,0.139999999999998); #68042=CIRCLE('',#364225,0.139999999999998); #68043=CIRCLE('',#364227,0.139999999999998); #68044=CIRCLE('',#364228,0.139999999999998); #68045=CIRCLE('',#364230,0.139999999999998); #68046=CIRCLE('',#364231,0.139999999999998); #68047=CIRCLE('',#364233,0.139999999999998); #68048=CIRCLE('',#364234,0.139999999999998); #68049=CIRCLE('',#364236,0.139999999999998); #68050=CIRCLE('',#364237,0.139999999999998); #68051=CIRCLE('',#364239,0.139999999999998); #68052=CIRCLE('',#364240,0.139999999999998); #68053=CIRCLE('',#364242,0.139999999999998); #68054=CIRCLE('',#364243,0.139999999999998); #68055=CIRCLE('',#364245,0.139999999999998); #68056=CIRCLE('',#364246,0.139999999999998); #68057=CIRCLE('',#364248,0.139999999999998); #68058=CIRCLE('',#364249,0.139999999999998); #68059=CIRCLE('',#364251,0.139999999999998); #68060=CIRCLE('',#364252,0.139999999999998); #68061=CIRCLE('',#364254,0.139999999999998); #68062=CIRCLE('',#364255,0.139999999999998); #68063=CIRCLE('',#364257,0.139999999999998); #68064=CIRCLE('',#364258,0.139999999999998); #68065=CIRCLE('',#364260,0.139999999999998); #68066=CIRCLE('',#364261,0.139999999999998); #68067=CIRCLE('',#364263,0.139999999999998); #68068=CIRCLE('',#364264,0.139999999999998); #68069=CIRCLE('',#364266,0.139999999999998); #68070=CIRCLE('',#364267,0.139999999999998); #68071=CIRCLE('',#364269,0.139999999999998); #68072=CIRCLE('',#364270,0.139999999999998); #68073=CIRCLE('',#364386,0.139999999999998); #68074=CIRCLE('',#364387,0.139999999999998); #68075=CIRCLE('',#364407,0.139999999999998); #68076=CIRCLE('',#364408,0.139999999999998); #68077=CIRCLE('',#364482,0.14); #68078=CIRCLE('',#364483,0.14); #68079=CIRCLE('',#364485,0.14); #68080=CIRCLE('',#364486,0.14); #68081=CIRCLE('',#364488,0.14); #68082=CIRCLE('',#364489,0.14); #68083=CIRCLE('',#364491,0.14); #68084=CIRCLE('',#364492,0.14); #68085=CIRCLE('',#364494,0.14); #68086=CIRCLE('',#364495,0.14); #68087=CIRCLE('',#364497,0.14); #68088=CIRCLE('',#364498,0.14); #68089=CIRCLE('',#364500,0.14); #68090=CIRCLE('',#364501,0.14); #68091=CIRCLE('',#364503,0.14); #68092=CIRCLE('',#364504,0.14); #68093=CIRCLE('',#364506,0.14); #68094=CIRCLE('',#364507,0.14); #68095=CIRCLE('',#364521,0.139999999999998); #68096=CIRCLE('',#364522,0.139999999999998); #68097=CIRCLE('',#364560,0.139999999999998); #68098=CIRCLE('',#364561,0.139999999999998); #68099=CIRCLE('',#364569,0.139999999999998); #68100=CIRCLE('',#364570,0.139999999999998); #68101=CIRCLE('',#364644,0.140000000000002); #68102=CIRCLE('',#364645,0.140000000000002); #68103=CIRCLE('',#364647,0.140000000000002); #68104=CIRCLE('',#364648,0.140000000000002); #68105=CIRCLE('',#364650,0.140000000000002); #68106=CIRCLE('',#364651,0.140000000000002); #68107=CIRCLE('',#364653,0.140000000000002); #68108=CIRCLE('',#364654,0.140000000000002); #68109=CIRCLE('',#364656,0.140000000000002); #68110=CIRCLE('',#364657,0.140000000000002); #68111=CIRCLE('',#364659,0.140000000000002); #68112=CIRCLE('',#364660,0.140000000000002); #68113=CIRCLE('',#364686,0.140000000000002); #68114=CIRCLE('',#364687,0.140000000000002); #68115=CIRCLE('',#364689,0.140000000000002); #68116=CIRCLE('',#364690,0.140000000000002); #68117=CIRCLE('',#364692,0.140000000000002); #68118=CIRCLE('',#364693,0.140000000000002); #68119=CIRCLE('',#364695,0.140000000000002); #68120=CIRCLE('',#364696,0.140000000000002); #68121=CIRCLE('',#364698,0.140000000000002); #68122=CIRCLE('',#364699,0.140000000000002); #68123=CIRCLE('',#364701,0.140000000000002); #68124=CIRCLE('',#364702,0.140000000000002); #68125=CIRCLE('',#364841,0.564999999999998); #68126=CIRCLE('',#364842,0.564999999999998); #68127=CIRCLE('',#364845,0.900857088405025); #68128=CIRCLE('',#364846,0.900857088405025); #68129=CIRCLE('',#364848,0.900856769723134); #68130=CIRCLE('',#364849,0.900856769723134); #68131=CIRCLE('',#364865,0.114999999999998); #68132=CIRCLE('',#364866,0.114999999999998); #68133=CIRCLE('',#364868,0.114999999999998); #68134=CIRCLE('',#364869,0.114999999999998); #68135=CIRCLE('',#364883,0.114999999999998); #68136=CIRCLE('',#364884,0.114999999999998); #68137=CIRCLE('',#364886,0.114999999999998); #68138=CIRCLE('',#364887,0.114999999999998); #68139=CIRCLE('',#364901,0.114999999999998); #68140=CIRCLE('',#364902,0.114999999999998); #68141=CIRCLE('',#364904,0.114999999999998); #68142=CIRCLE('',#364905,0.114999999999998); #68143=CIRCLE('',#364919,0.114999999999998); #68144=CIRCLE('',#364920,0.114999999999998); #68145=CIRCLE('',#364922,0.114999999999998); #68146=CIRCLE('',#364923,0.114999999999998); #68147=CIRCLE('',#364943,0.127000308727433); #68148=CIRCLE('',#364944,0.127000308727433); #68149=CIRCLE('',#364951,0.126999843437875); #68150=CIRCLE('',#364952,0.126999843437875); #68151=CIRCLE('',#364956,0.126998775938454); #68152=CIRCLE('',#364957,0.126998775938454); #68153=CIRCLE('',#364966,0.126999785858201); #68154=CIRCLE('',#364967,0.126999785858201); #68155=CIRCLE('',#364970,0.127000308727438); #68156=CIRCLE('',#364971,0.127000308727438); #68157=CIRCLE('',#364981,0.127000308727436); #68158=CIRCLE('',#364982,0.127000308727436); #68159=CIRCLE('',#364985,0.127000308727441); #68160=CIRCLE('',#364986,0.127000308727441); #68161=CIRCLE('',#364991,0.127001478143445); #68162=CIRCLE('',#364992,0.127001478143445); #68163=CIRCLE('',#364995,0.127000248685803); #68164=CIRCLE('',#364996,0.127000248685803); #68165=CIRCLE('',#365003,0.127000023435621); #68166=CIRCLE('',#365004,0.127000023435621); #68167=CIRCLE('',#365012,0.127000308727437); #68168=CIRCLE('',#365013,0.127000308727437); #68169=CIRCLE('',#365019,0.139999999999998); #68170=CIRCLE('',#365020,0.139999999999998); #68171=CIRCLE('',#365022,0.139999999999998); #68172=CIRCLE('',#365023,0.139999999999998); #68173=CIRCLE('',#365026,0.275850826114455); #68174=CIRCLE('',#365027,0.275850826114455); #68175=CIRCLE('',#365029,0.275429566248996); #68176=CIRCLE('',#365030,0.275429566248996); #68177=CIRCLE('',#365033,0.275429704872049); #68178=CIRCLE('',#365034,0.275429704872049); #68179=CIRCLE('',#365036,0.27542977774263); #68180=CIRCLE('',#365037,0.27542977774263); #68181=CIRCLE('',#365040,0.275430756167224); #68182=CIRCLE('',#365041,0.275430756167224); #68183=CIRCLE('',#365045,0.139999999999998); #68184=CIRCLE('',#365046,0.139999999999998); #68185=CIRCLE('',#365049,0.275429726247122); #68186=CIRCLE('',#365050,0.275429726247122); #68187=CIRCLE('',#365059,0.27542954841201); #68188=CIRCLE('',#365060,0.27542954841201); #68189=CIRCLE('',#365064,0.139999999999998); #68190=CIRCLE('',#365065,0.139999999999998); #68191=CIRCLE('',#365072,0.127000429438416); #68192=CIRCLE('',#365073,0.127000429438416); #68193=CIRCLE('',#365076,0.127073045682421); #68194=CIRCLE('',#365077,0.127073045682421); #68195=CIRCLE('',#365079,0.275429182716805); #68196=CIRCLE('',#365080,0.275429182716805); #68197=CIRCLE('',#365082,0.275466854775623); #68198=CIRCLE('',#365083,0.275466854775623); #68199=CIRCLE('',#365090,0.139999999999998); #68200=CIRCLE('',#365091,0.139999999999998); #68201=CIRCLE('',#365093,0.140000000000002); #68202=CIRCLE('',#365094,0.140000000000002); #68203=CIRCLE('',#365097,0.275850826114459); #68204=CIRCLE('',#365098,0.275850826114459); #68205=CIRCLE('',#365100,0.275429566248996); #68206=CIRCLE('',#365101,0.275429566248996); #68207=CIRCLE('',#365108,0.127000308727436); #68208=CIRCLE('',#365109,0.127000308727436); #68209=CIRCLE('',#365113,0.127000308727436); #68210=CIRCLE('',#365114,0.127000308727436); #68211=CIRCLE('',#365117,0.127000308727436); #68212=CIRCLE('',#365118,0.127000308727436); #68213=CIRCLE('',#365123,0.127000308727441); #68214=CIRCLE('',#365124,0.127000308727441); #68215=CIRCLE('',#365127,0.127000308727438); #68216=CIRCLE('',#365128,0.127000308727438); #68217=CIRCLE('',#365131,0.275429728561968); #68218=CIRCLE('',#365132,0.275429728561968); #68219=CIRCLE('',#365134,0.275429566248991); #68220=CIRCLE('',#365135,0.275429566248991); #68221=CIRCLE('',#365140,0.127000308727436); #68222=CIRCLE('',#365141,0.127000308727436); #68223=CIRCLE('',#365144,0.127000308727436); #68224=CIRCLE('',#365145,0.127000308727436); #68225=CIRCLE('',#365150,0.12700030872744); #68226=CIRCLE('',#365151,0.12700030872744); #68227=CIRCLE('',#365155,0.127000308727436); #68228=CIRCLE('',#365156,0.127000308727436); #68229=CIRCLE('',#365159,0.127000308727441); #68230=CIRCLE('',#365160,0.127000308727441); #68231=CIRCLE('',#365163,0.127000308727433); #68232=CIRCLE('',#365164,0.127000308727433); #68233=CIRCLE('',#365167,0.127000308727438); #68234=CIRCLE('',#365168,0.127000308727438); #68235=CIRCLE('',#365171,0.275430756167224); #68236=CIRCLE('',#365172,0.275430756167224); #68237=CIRCLE('',#365176,0.140000000000002); #68238=CIRCLE('',#365177,0.140000000000002); #68239=CIRCLE('',#365179,0.139999999999998); #68240=CIRCLE('',#365180,0.139999999999998); #68241=CIRCLE('',#365183,0.275850826114452); #68242=CIRCLE('',#365184,0.275850826114452); #68243=CIRCLE('',#365186,0.275429566248992); #68244=CIRCLE('',#365187,0.275429566248992); #68245=CIRCLE('',#365191,0.275430616157734); #68246=CIRCLE('',#365192,0.275430616157734); #68247=CIRCLE('',#365194,0.275430435747982); #68248=CIRCLE('',#365195,0.275430435747982); #68249=CIRCLE('',#365198,0.127000308727439); #68250=CIRCLE('',#365199,0.127000308727439); #68251=CIRCLE('',#365202,0.275430756167224); #68252=CIRCLE('',#365203,0.275430756167224); #68253=CIRCLE('',#365207,0.139999999999998); #68254=CIRCLE('',#365208,0.139999999999998); #68255=CIRCLE('',#365215,0.127000429438416); #68256=CIRCLE('',#365216,0.127000429438416); #68257=CIRCLE('',#365219,0.127073045682421); #68258=CIRCLE('',#365220,0.127073045682421); #68259=CIRCLE('',#365222,0.275008823028508); #68260=CIRCLE('',#365223,0.275008823028508); #68261=CIRCLE('',#365225,0.127073045682415); #68262=CIRCLE('',#365226,0.127073045682415); #68263=CIRCLE('',#365229,0.127000429438414); #68264=CIRCLE('',#365230,0.127000429438414); #68265=CIRCLE('',#365240,0.275084370745809); #68266=CIRCLE('',#365241,0.275084370745809); #68267=CIRCLE('',#365248,0.139999999999998); #68268=CIRCLE('',#365249,0.139999999999998); #68269=CIRCLE('',#365252,0.275429773854103); #68270=CIRCLE('',#365253,0.275429773854103); #68271=CIRCLE('',#365255,0.12691207986283); #68272=CIRCLE('',#365256,0.12691207986283); #68273=CIRCLE('',#365259,0.127000429438417); #68274=CIRCLE('',#365260,0.127000429438417); #68275=CIRCLE('',#365270,0.275006306563116); #68276=CIRCLE('',#365271,0.275006306563116); #68277=CIRCLE('',#365281,0.127000429438417); #68278=CIRCLE('',#365282,0.127000429438417); #68279=CIRCLE('',#365285,0.126926612717977); #68280=CIRCLE('',#365286,0.126926612717977); #68281=CIRCLE('',#365288,0.275430611325914); #68282=CIRCLE('',#365289,0.275430611325914); #68283=CIRCLE('',#365293,0.139999999999998); #68284=CIRCLE('',#365294,0.139999999999998); #68285=CIRCLE('',#365296,0.139999999999998); #68286=CIRCLE('',#365297,0.139999999999998); #68287=CIRCLE('',#365301,0.127000308727438); #68288=CIRCLE('',#365302,0.127000308727438); #68289=CIRCLE('',#365306,0.27542972856197); #68290=CIRCLE('',#365307,0.27542972856197); #68291=CIRCLE('',#365309,0.275429566248993); #68292=CIRCLE('',#365310,0.275429566248993); #68293=CIRCLE('',#365313,0.127000308727433); #68294=CIRCLE('',#365314,0.127000308727433); #68295=CIRCLE('',#365319,0.127000308727449); #68296=CIRCLE('',#365320,0.127000308727449); #68297=CIRCLE('',#365327,0.127000308727451); #68298=CIRCLE('',#365328,0.127000308727451); #68299=CIRCLE('',#365332,0.127000308727436); #68300=CIRCLE('',#365333,0.127000308727436); #68301=CIRCLE('',#365336,0.12700030872744); #68302=CIRCLE('',#365337,0.12700030872744); #68303=CIRCLE('',#365342,0.12700030872744); #68304=CIRCLE('',#365343,0.12700030872744); #68305=CIRCLE('',#365346,0.127000308727449); #68306=CIRCLE('',#365347,0.127000308727449); #68307=CIRCLE('',#365350,0.127000308727438); #68308=CIRCLE('',#365351,0.127000308727438); #68309=CIRCLE('',#365355,0.275429728561968); #68310=CIRCLE('',#365356,0.275429728561968); #68311=CIRCLE('',#365358,0.275429566248991); #68312=CIRCLE('',#365359,0.275429566248991); #68313=CIRCLE('',#365362,0.127000308727436); #68314=CIRCLE('',#365363,0.127000308727436); #68315=CIRCLE('',#365369,0.127000308727425); #68316=CIRCLE('',#365370,0.127000308727425); #68317=CIRCLE('',#365373,0.127000308727425); #68318=CIRCLE('',#365374,0.127000308727425); #68319=CIRCLE('',#365379,0.127000308727436); #68320=CIRCLE('',#365380,0.127000308727436); #68321=CIRCLE('',#365384,0.127001515838133); #68322=CIRCLE('',#365385,0.127001515838133); #68323=CIRCLE('',#365388,0.127001515838138); #68324=CIRCLE('',#365389,0.127001515838138); #68325=CIRCLE('',#365392,0.127001515838138); #68326=CIRCLE('',#365393,0.127001515838138); #68327=CIRCLE('',#365396,0.127001515838131); #68328=CIRCLE('',#365397,0.127001515838131); #68329=CIRCLE('',#365401,0.126758017197157); #68330=CIRCLE('',#365402,0.126758017197157); #68331=CIRCLE('',#365406,0.139999999999998); #68332=CIRCLE('',#365407,0.139999999999998); #68333=CIRCLE('',#365409,0.139999999999998); #68334=CIRCLE('',#365410,0.139999999999998); #68335=CIRCLE('',#365413,0.275850826114455); #68336=CIRCLE('',#365414,0.275850826114455); #68337=CIRCLE('',#365416,0.275429566248996); #68338=CIRCLE('',#365417,0.275429566248996); #68339=CIRCLE('',#365420,0.275429704872049); #68340=CIRCLE('',#365421,0.275429704872049); #68341=CIRCLE('',#365423,0.27542977774263); #68342=CIRCLE('',#365424,0.27542977774263); #68343=CIRCLE('',#365427,0.275430756167224); #68344=CIRCLE('',#365428,0.275430756167224); #68345=CIRCLE('',#365432,0.139999999999998); #68346=CIRCLE('',#365433,0.139999999999998); #68347=CIRCLE('',#365435,0.139999999999998); #68348=CIRCLE('',#365436,0.139999999999998); #68349=CIRCLE('',#365439,0.275850826114455); #68350=CIRCLE('',#365440,0.275850826114455); #68351=CIRCLE('',#365442,0.275429566248993); #68352=CIRCLE('',#365443,0.275429566248993); #68353=CIRCLE('',#365446,0.275429704872047); #68354=CIRCLE('',#365447,0.275429704872047); #68355=CIRCLE('',#365449,0.275429777742628); #68356=CIRCLE('',#365450,0.275429777742628); #68357=CIRCLE('',#365453,0.275430756167224); #68358=CIRCLE('',#365454,0.275430756167224); #68359=CIRCLE('',#365458,0.139999999999998); #68360=CIRCLE('',#365459,0.139999999999998); #68361=CIRCLE('',#365461,0.139999999999998); #68362=CIRCLE('',#365462,0.139999999999998); #68363=CIRCLE('',#365465,0.275850826114455); #68364=CIRCLE('',#365466,0.275850826114455); #68365=CIRCLE('',#365468,0.275429566248995); #68366=CIRCLE('',#365469,0.275429566248995); #68367=CIRCLE('',#365472,0.275429704872054); #68368=CIRCLE('',#365473,0.275429704872054); #68369=CIRCLE('',#365475,0.275429777742634); #68370=CIRCLE('',#365476,0.275429777742634); #68371=CIRCLE('',#365479,0.275430756167224); #68372=CIRCLE('',#365480,0.275430756167224); #68373=CIRCLE('',#365484,0.139999999999998); #68374=CIRCLE('',#365485,0.139999999999998); #68375=CIRCLE('',#365487,0.140000000000002); #68376=CIRCLE('',#365488,0.140000000000002); #68377=CIRCLE('',#365491,0.275429677195186); #68378=CIRCLE('',#365492,0.275429677195186); #68379=CIRCLE('',#365497,0.27542972856197); #68380=CIRCLE('',#365498,0.27542972856197); #68381=CIRCLE('',#365500,0.275429566248992); #68382=CIRCLE('',#365501,0.275429566248992); #68383=CIRCLE('',#365504,0.127000308727437); #68384=CIRCLE('',#365505,0.127000308727437); #68385=CIRCLE('',#365508,0.127000308727438); #68386=CIRCLE('',#365509,0.127000308727438); #68387=CIRCLE('',#365512,0.275429442438527); #68388=CIRCLE('',#365513,0.275429442438527); #68389=CIRCLE('',#365517,0.139999999999998); #68390=CIRCLE('',#365518,0.139999999999998); #68391=CIRCLE('',#365526,0.139999999999998); #68392=CIRCLE('',#365527,0.139999999999998); #68393=CIRCLE('',#365535,0.140000000000002); #68394=CIRCLE('',#365536,0.140000000000002); #68395=CIRCLE('',#365544,0.139999999999998); #68396=CIRCLE('',#365545,0.139999999999998); #68397=CIRCLE('',#365548,0.275429677195181); #68398=CIRCLE('',#365549,0.275429677195181); #68399=CIRCLE('',#365558,0.275429442438521); #68400=CIRCLE('',#365559,0.275429442438521); #68401=CIRCLE('',#365563,0.139999999999998); #68402=CIRCLE('',#365564,0.139999999999998); #68403=CIRCLE('',#365566,0.139999999999998); #68404=CIRCLE('',#365567,0.139999999999998); #68405=CIRCLE('',#365571,0.12700030872745); #68406=CIRCLE('',#365572,0.12700030872745); #68407=CIRCLE('',#365576,0.127000308727449); #68408=CIRCLE('',#365577,0.127000308727449); #68409=CIRCLE('',#365581,0.27542972856197); #68410=CIRCLE('',#365582,0.27542972856197); #68411=CIRCLE('',#365584,0.275429566248993); #68412=CIRCLE('',#365585,0.275429566248993); #68413=CIRCLE('',#365588,0.127000308727438); #68414=CIRCLE('',#365589,0.127000308727438); #68415=CIRCLE('',#365593,0.127000308727439); #68416=CIRCLE('',#365594,0.127000308727439); #68417=CIRCLE('',#365602,0.127000308727438); #68418=CIRCLE('',#365603,0.127000308727438); #68419=CIRCLE('',#365607,0.12700030872744); #68420=CIRCLE('',#365608,0.12700030872744); #68421=CIRCLE('',#365611,0.12700030872744); #68422=CIRCLE('',#365612,0.12700030872744); #68423=CIRCLE('',#365617,0.12700030872744); #68424=CIRCLE('',#365618,0.12700030872744); #68425=CIRCLE('',#365621,0.127000308727436); #68426=CIRCLE('',#365622,0.127000308727436); #68427=CIRCLE('',#365626,0.127000308727438); #68428=CIRCLE('',#365627,0.127000308727438); #68429=CIRCLE('',#365630,0.275429728561968); #68430=CIRCLE('',#365631,0.275429728561968); #68431=CIRCLE('',#365633,0.275429566248991); #68432=CIRCLE('',#365634,0.275429566248991); #68433=CIRCLE('',#365638,0.127000308727438); #68434=CIRCLE('',#365639,0.127000308727438); #68435=CIRCLE('',#365644,0.12700030872744); #68436=CIRCLE('',#365645,0.12700030872744); #68437=CIRCLE('',#365648,0.12700030872744); #68438=CIRCLE('',#365649,0.12700030872744); #68439=CIRCLE('',#365654,0.127000308727447); #68440=CIRCLE('',#365655,0.127000308727447); #68441=CIRCLE('',#365659,0.127000308727451); #68442=CIRCLE('',#365660,0.127000308727451); #68443=CIRCLE('',#365663,0.12700030872744); #68444=CIRCLE('',#365664,0.12700030872744); #68445=CIRCLE('',#365667,0.127000308727438); #68446=CIRCLE('',#365668,0.127000308727438); #68447=CIRCLE('',#365671,0.126758017197157); #68448=CIRCLE('',#365672,0.126758017197157); #68449=CIRCLE('',#365676,0.140000000000002); #68450=CIRCLE('',#365677,0.140000000000002); #68451=CIRCLE('',#365679,0.140000000000002); #68452=CIRCLE('',#365680,0.140000000000002); #68453=CIRCLE('',#365683,0.275429677195186); #68454=CIRCLE('',#365684,0.275429677195186); #68455=CIRCLE('',#365687,0.275429728561971); #68456=CIRCLE('',#365688,0.275429728561971); #68457=CIRCLE('',#365690,0.275429566248994); #68458=CIRCLE('',#365691,0.275429566248994); #68459=CIRCLE('',#365694,0.275429442438529); #68460=CIRCLE('',#365695,0.275429442438529); #68461=CIRCLE('',#365699,0.139999999999998); #68462=CIRCLE('',#365700,0.139999999999998); #68463=CIRCLE('',#365703,0.275429677195182); #68464=CIRCLE('',#365704,0.275429677195182); #68465=CIRCLE('',#365713,0.275429442438522); #68466=CIRCLE('',#365714,0.275429442438522); #68467=CIRCLE('',#365718,0.139999999999998); #68468=CIRCLE('',#365719,0.139999999999998); #68469=CIRCLE('',#365722,0.275429677195182); #68470=CIRCLE('',#365723,0.275429677195182); #68471=CIRCLE('',#365732,0.275429442438521); #68472=CIRCLE('',#365733,0.275429442438521); #68473=CIRCLE('',#365737,0.139999999999998); #68474=CIRCLE('',#365738,0.139999999999998); #68475=CIRCLE('',#365741,0.275430523299247); #68476=CIRCLE('',#365742,0.275430523299247); #68477=CIRCLE('',#365745,0.127001515838137); #68478=CIRCLE('',#365746,0.127001515838137); #68479=CIRCLE('',#365749,0.127001515838134); #68480=CIRCLE('',#365750,0.127001515838134); #68481=CIRCLE('',#365761,0.275430756167224); #68482=CIRCLE('',#365762,0.275430756167224); #68483=CIRCLE('',#365764,0.275850020106943); #68484=CIRCLE('',#365765,0.275850020106943); #68485=CIRCLE('',#365769,0.465); #68486=CIRCLE('',#365770,0.465); #68487=CIRCLE('',#365780,0.750581792116793); #68488=CIRCLE('',#365781,0.750581792116793); #68489=CIRCLE('',#365783,0.750581774250364); #68490=CIRCLE('',#365784,0.750581774250364); #68491=CIRCLE('',#365787,0.127000308727438); #68492=CIRCLE('',#365788,0.127000308727438); #68493=CIRCLE('',#365791,0.127000308727433); #68494=CIRCLE('',#365792,0.127000308727433); #68495=CIRCLE('',#365797,0.465); #68496=CIRCLE('',#365798,0.465); #68497=CIRCLE('',#365801,0.750904962541172); #68498=CIRCLE('',#365802,0.750904962541172); #68499=CIRCLE('',#365804,0.750905460124643); #68500=CIRCLE('',#365805,0.750905460124643); #68501=CIRCLE('',#365809,0.139999999999998); #68502=CIRCLE('',#365810,0.139999999999998); #68503=CIRCLE('',#365819,0.127000308727438); #68504=CIRCLE('',#365820,0.127000308727438); #68505=CIRCLE('',#365824,0.275429728561968); #68506=CIRCLE('',#365825,0.275429728561968); #68507=CIRCLE('',#365827,0.275429566248991); #68508=CIRCLE('',#365828,0.275429566248991); #68509=CIRCLE('',#365831,0.127000308727444); #68510=CIRCLE('',#365832,0.127000308727444); #68511=CIRCLE('',#365836,0.127000308727438); #68512=CIRCLE('',#365837,0.127000308727438); #68513=CIRCLE('',#365840,0.127000308727435); #68514=CIRCLE('',#365841,0.127000308727435); #68515=CIRCLE('',#365847,0.465); #68516=CIRCLE('',#365848,0.465); #68517=CIRCLE('',#365854,0.127000308727438); #68518=CIRCLE('',#365855,0.127000308727438); #68519=CIRCLE('',#365858,0.127000308727438); #68520=CIRCLE('',#365859,0.127000308727438); #68521=CIRCLE('',#365862,0.750581792116793); #68522=CIRCLE('',#365863,0.750581792116793); #68523=CIRCLE('',#365865,0.750581774250364); #68524=CIRCLE('',#365866,0.750581774250364); #68525=CIRCLE('',#365880,0.127000308727435); #68526=CIRCLE('',#365881,0.127000308727435); #68527=CIRCLE('',#365904,0.127000308727435); #68528=CIRCLE('',#365905,0.127000308727435); #68529=CIRCLE('',#365911,0.564999999999998); #68530=CIRCLE('',#365912,0.564999999999998); #68531=CIRCLE('',#365916,0.127000308727436); #68532=CIRCLE('',#365917,0.127000308727436); #68533=CIRCLE('',#365920,0.127000308727438); #68534=CIRCLE('',#365921,0.127000308727438); #68535=CIRCLE('',#365925,0.12700030872744); #68536=CIRCLE('',#365926,0.12700030872744); #68537=CIRCLE('',#365936,0.127000308727444); #68538=CIRCLE('',#365937,0.127000308727444); #68539=CIRCLE('',#365943,0.127000308727441); #68540=CIRCLE('',#365944,0.127000308727441); #68541=CIRCLE('',#365962,0.126758017197157); #68542=CIRCLE('',#365963,0.126758017197157); #68543=CIRCLE('',#365967,0.139999999999998); #68544=CIRCLE('',#365968,0.139999999999998); #68545=CIRCLE('',#365970,0.139999999999998); #68546=CIRCLE('',#365971,0.139999999999998); #68547=CIRCLE('',#365974,0.275429677195185); #68548=CIRCLE('',#365975,0.275429677195185); #68549=CIRCLE('',#365978,0.27542972856197); #68550=CIRCLE('',#365979,0.27542972856197); #68551=CIRCLE('',#365981,0.275429566248993); #68552=CIRCLE('',#365982,0.275429566248993); #68553=CIRCLE('',#365985,0.275429442438528); #68554=CIRCLE('',#365986,0.275429442438528); #68555=CIRCLE('',#365990,0.140000000000002); #68556=CIRCLE('',#365991,0.140000000000002); #68557=CIRCLE('',#365999,0.139999999999998); #68558=CIRCLE('',#366000,0.139999999999998); #68559=CIRCLE('',#366002,0.139999999999998); #68560=CIRCLE('',#366003,0.139999999999998); #68561=CIRCLE('',#366006,0.275430523299248); #68562=CIRCLE('',#366007,0.275430523299248); #68563=CIRCLE('',#366010,0.127000308727438); #68564=CIRCLE('',#366011,0.127000308727438); #68565=CIRCLE('',#366014,0.127000308727433); #68566=CIRCLE('',#366015,0.127000308727433); #68567=CIRCLE('',#366018,0.127000308727436); #68568=CIRCLE('',#366019,0.127000308727436); #68569=CIRCLE('',#366022,0.127000308727438); #68570=CIRCLE('',#366023,0.127000308727438); #68571=CIRCLE('',#366026,0.127000308727444); #68572=CIRCLE('',#366027,0.127000308727444); #68573=CIRCLE('',#366030,0.275430209658209); #68574=CIRCLE('',#366031,0.275430209658209); #68575=CIRCLE('',#366033,0.275430264558768); #68576=CIRCLE('',#366034,0.275430264558768); #68577=CIRCLE('',#366042,0.275430756167224); #68578=CIRCLE('',#366043,0.275430756167224); #68579=CIRCLE('',#366045,0.275850020106942); #68580=CIRCLE('',#366046,0.275850020106942); #68581=CIRCLE('',#366050,0.139999999999998); #68582=CIRCLE('',#366051,0.139999999999998); #68583=CIRCLE('',#366053,0.139999999999998); #68584=CIRCLE('',#366054,0.139999999999998); #68585=CIRCLE('',#366057,0.275430525995305); #68586=CIRCLE('',#366058,0.275430525995305); #68587=CIRCLE('',#366061,0.275430616157734); #68588=CIRCLE('',#366062,0.275430616157734); #68589=CIRCLE('',#366064,0.27543090603658); #68590=CIRCLE('',#366065,0.27543090603658); #68591=CIRCLE('',#366069,0.275850020106941); #68592=CIRCLE('',#366070,0.275850020106941); #68593=CIRCLE('',#366074,0.139999999999998); #68594=CIRCLE('',#366075,0.139999999999998); #68595=CIRCLE('',#366083,0.565000000000002); #68596=CIRCLE('',#366084,0.565000000000002); #68597=CIRCLE('',#366086,0.140000000000002); #68598=CIRCLE('',#366087,0.140000000000002); #68599=CIRCLE('',#366089,0.514999999999999); #68600=CIRCLE('',#366090,0.514999999999999); #68601=CIRCLE('',#366092,0.465); #68602=CIRCLE('',#366093,0.465); #68603=CIRCLE('',#366165,0.275008823028508); #68604=CIRCLE('',#366166,0.275008823028508); #68605=CIRCLE('',#366171,0.825862156960858); #68606=CIRCLE('',#366172,0.825862156960858); #68607=CIRCLE('',#366174,0.825862160595456); #68608=CIRCLE('',#366175,0.825862160595456); #68609=CIRCLE('',#366178,0.127000308727435); #68610=CIRCLE('',#366179,0.127000308727435); #68611=CIRCLE('',#366182,0.127000308727438); #68612=CIRCLE('',#366183,0.127000308727438); #68613=CIRCLE('',#366186,0.275008823028508); #68614=CIRCLE('',#366187,0.275008823028508); #68615=CIRCLE('',#366199,0.140000000000002); #68616=CIRCLE('',#366200,0.140000000000002); #68617=CIRCLE('',#366204,0.126999756401237); #68618=CIRCLE('',#366205,0.126999756401237); #68619=CIRCLE('',#366227,0.127000308727433); #68620=CIRCLE('',#366228,0.127000308727433); #68621=CIRCLE('',#366233,0.127000308727438); #68622=CIRCLE('',#366234,0.127000308727438); #68623=CIRCLE('',#366238,0.127000308727441); #68624=CIRCLE('',#366239,0.127000308727441); #68625=CIRCLE('',#366242,0.275429704872047); #68626=CIRCLE('',#366243,0.275429704872047); #68627=CIRCLE('',#366245,0.275429777742628); #68628=CIRCLE('',#366246,0.275429777742628); #68629=CIRCLE('',#366250,0.127000308727438); #68630=CIRCLE('',#366251,0.127000308727438); #68631=CIRCLE('',#366255,0.127000308727438); #68632=CIRCLE('',#366256,0.127000308727438); #68633=CIRCLE('',#366259,0.127000308727433); #68634=CIRCLE('',#366260,0.127000308727433); #68635=CIRCLE('',#366267,0.126735934237225); #68636=CIRCLE('',#366268,0.126735934237225); #68637=CIRCLE('',#366272,0.14); #68638=CIRCLE('',#366273,0.14); #68639=CIRCLE('',#366275,0.14); #68640=CIRCLE('',#366276,0.14); #68641=CIRCLE('',#366278,0.14); #68642=CIRCLE('',#366279,0.14); #68643=CIRCLE('',#366281,0.14); #68644=CIRCLE('',#366282,0.14); #68645=CIRCLE('',#366284,0.14); #68646=CIRCLE('',#366285,0.14); #68647=CIRCLE('',#366287,0.14); #68648=CIRCLE('',#366288,0.14); #68649=CIRCLE('',#366290,0.14); #68650=CIRCLE('',#366291,0.14); #68651=CIRCLE('',#366293,0.14); #68652=CIRCLE('',#366294,0.14); #68653=CIRCLE('',#366296,0.465); #68654=CIRCLE('',#366297,0.465); #68655=CIRCLE('',#366299,0.465); #68656=CIRCLE('',#366300,0.465); #68657=CIRCLE('',#366302,0.514999999999999); #68658=CIRCLE('',#366303,0.514999999999999); #68659=CIRCLE('',#366305,0.14); #68660=CIRCLE('',#366306,0.14); #68661=CIRCLE('',#366640,0.140000000000002); #68662=CIRCLE('',#366641,0.140000000000002); #68663=CIRCLE('',#366643,0.139999999999998); #68664=CIRCLE('',#366644,0.139999999999998); #68665=CIRCLE('',#366646,0.139999999999998); #68666=CIRCLE('',#366647,0.139999999999998); #68667=CIRCLE('',#366649,0.139999999999998); #68668=CIRCLE('',#366650,0.139999999999998); #68669=CIRCLE('',#366652,0.139999999999998); #68670=CIRCLE('',#366653,0.139999999999998); #68671=CIRCLE('',#366655,0.139999999999998); #68672=CIRCLE('',#366656,0.139999999999998); #68673=CIRCLE('',#366658,0.465); #68674=CIRCLE('',#366659,0.465); #68675=CIRCLE('',#366661,0.139999999999998); #68676=CIRCLE('',#366662,0.139999999999998); #68677=CIRCLE('',#366664,0.139999999999998); #68678=CIRCLE('',#366665,0.139999999999998); #68679=CIRCLE('',#366667,0.139999999999998); #68680=CIRCLE('',#366668,0.139999999999998); #68681=CIRCLE('',#366670,0.139999999999998); #68682=CIRCLE('',#366671,0.139999999999998); #68683=CIRCLE('',#366673,0.139999999999998); #68684=CIRCLE('',#366674,0.139999999999998); #68685=CIRCLE('',#366676,0.139999999999998); #68686=CIRCLE('',#366677,0.139999999999998); #68687=CIRCLE('',#366679,0.514999999999999); #68688=CIRCLE('',#366680,0.514999999999999); #68689=CIRCLE('',#366682,0.139999999999998); #68690=CIRCLE('',#366683,0.139999999999998); #68691=CIRCLE('',#366685,0.139999999999998); #68692=CIRCLE('',#366686,0.139999999999998); #68693=CIRCLE('',#366688,0.139999999999998); #68694=CIRCLE('',#366689,0.139999999999998); #68695=CIRCLE('',#366691,0.139999999999998); #68696=CIRCLE('',#366692,0.139999999999998); #68697=CIRCLE('',#366694,0.139999999999998); #68698=CIRCLE('',#366695,0.139999999999998); #68699=CIRCLE('',#366697,0.139999999999998); #68700=CIRCLE('',#366698,0.139999999999998); #68701=CIRCLE('',#366700,0.139999999999998); #68702=CIRCLE('',#366701,0.139999999999998); #68703=CIRCLE('',#366703,0.139999999999998); #68704=CIRCLE('',#366704,0.139999999999998); #68705=CIRCLE('',#366706,0.14); #68706=CIRCLE('',#366707,0.14); #68707=CIRCLE('',#366709,0.139999999999998); #68708=CIRCLE('',#366710,0.139999999999998); #68709=CIRCLE('',#366712,0.14); #68710=CIRCLE('',#366713,0.14); #68711=CIRCLE('',#366715,0.139999999999998); #68712=CIRCLE('',#366716,0.139999999999998); #68713=CIRCLE('',#366718,0.14); #68714=CIRCLE('',#366719,0.14); #68715=CIRCLE('',#366721,0.139999999999998); #68716=CIRCLE('',#366722,0.139999999999998); #68717=CIRCLE('',#366724,0.14); #68718=CIRCLE('',#366725,0.14); #68719=CIRCLE('',#366727,0.140000000000002); #68720=CIRCLE('',#366728,0.140000000000002); #68721=CIRCLE('',#366730,0.139999999999998); #68722=CIRCLE('',#366731,0.139999999999998); #68723=CIRCLE('',#366733,0.140000000000002); #68724=CIRCLE('',#366734,0.140000000000002); #68725=CIRCLE('',#366736,0.139999999999998); #68726=CIRCLE('',#366737,0.139999999999998); #68727=CIRCLE('',#366739,0.140000000000002); #68728=CIRCLE('',#366740,0.140000000000002); #68729=CIRCLE('',#366742,0.139999999999998); #68730=CIRCLE('',#366743,0.139999999999998); #68731=CIRCLE('',#366745,0.140000000000002); #68732=CIRCLE('',#366746,0.140000000000002); #68733=CIRCLE('',#366748,0.140000000000002); #68734=CIRCLE('',#366749,0.140000000000002); #68735=CIRCLE('',#366751,0.139999999999998); #68736=CIRCLE('',#366752,0.139999999999998); #68737=CIRCLE('',#366754,0.140000000000002); #68738=CIRCLE('',#366755,0.140000000000002); #68739=CIRCLE('',#366757,0.140000000000002); #68740=CIRCLE('',#366758,0.140000000000002); #68741=CIRCLE('',#366760,0.139999999999998); #68742=CIRCLE('',#366761,0.139999999999998); #68743=CIRCLE('',#366763,0.140000000000002); #68744=CIRCLE('',#366764,0.140000000000002); #68745=CIRCLE('',#366766,0.140000000000002); #68746=CIRCLE('',#366767,0.140000000000002); #68747=CIRCLE('',#366769,0.139999999999998); #68748=CIRCLE('',#366770,0.139999999999998); #68749=CIRCLE('',#366772,0.140000000000002); #68750=CIRCLE('',#366773,0.140000000000002); #68751=CIRCLE('',#366775,0.139999999999998); #68752=CIRCLE('',#366776,0.139999999999998); #68753=CIRCLE('',#366778,0.140000000000002); #68754=CIRCLE('',#366779,0.140000000000002); #68755=CIRCLE('',#366781,0.139999999999998); #68756=CIRCLE('',#366782,0.139999999999998); #68757=CIRCLE('',#366784,0.140000000000002); #68758=CIRCLE('',#366785,0.140000000000002); #68759=CIRCLE('',#366787,0.14); #68760=CIRCLE('',#366788,0.14); #68761=CIRCLE('',#366790,0.139999999999998); #68762=CIRCLE('',#366791,0.139999999999998); #68763=CIRCLE('',#366793,0.14); #68764=CIRCLE('',#366794,0.14); #68765=CIRCLE('',#366796,0.139999999999998); #68766=CIRCLE('',#366797,0.139999999999998); #68767=CIRCLE('',#366799,0.14); #68768=CIRCLE('',#366800,0.14); #68769=CIRCLE('',#366802,0.139999999999998); #68770=CIRCLE('',#366803,0.139999999999998); #68771=CIRCLE('',#366805,0.14); #68772=CIRCLE('',#366806,0.14); #68773=CIRCLE('',#366808,0.14); #68774=CIRCLE('',#366809,0.14); #68775=CIRCLE('',#366811,0.139999999999998); #68776=CIRCLE('',#366812,0.139999999999998); #68777=CIRCLE('',#366814,0.14); #68778=CIRCLE('',#366815,0.14); #68779=CIRCLE('',#366817,0.139999999999998); #68780=CIRCLE('',#366818,0.139999999999998); #68781=CIRCLE('',#366820,0.14); #68782=CIRCLE('',#366821,0.14); #68783=CIRCLE('',#366823,0.139999999999998); #68784=CIRCLE('',#366824,0.139999999999998); #68785=CIRCLE('',#366826,0.14); #68786=CIRCLE('',#366827,0.14); #68787=CIRCLE('',#366829,0.465); #68788=CIRCLE('',#366830,0.465); #68789=CIRCLE('',#366833,0.315); #68790=CIRCLE('',#366834,0.315); #68791=CIRCLE('',#366837,0.315); #68792=CIRCLE('',#366838,0.315); #68793=CIRCLE('',#366841,0.565); #68794=CIRCLE('',#366842,0.565); #68795=CIRCLE('',#366845,0.565); #68796=CIRCLE('',#366846,0.565); #68797=CIRCLE('',#366848,0.139999999999998); #68798=CIRCLE('',#366849,0.139999999999998); #68799=CIRCLE('',#366851,0.114999999999998); #68800=CIRCLE('',#366852,0.114999999999998); #68801=CIRCLE('',#366855,0.565); #68802=CIRCLE('',#366856,0.565); #68803=CIRCLE('',#366859,0.565); #68804=CIRCLE('',#366860,0.565); #68805=CIRCLE('',#366862,0.139999999999998); #68806=CIRCLE('',#366863,0.139999999999998); #68807=CIRCLE('',#366865,0.114999999999998); #68808=CIRCLE('',#366866,0.114999999999998); #68809=CIRCLE('',#366868,0.139999999999998); #68810=CIRCLE('',#366869,0.139999999999998); #68811=CIRCLE('',#366871,0.114999999999998); #68812=CIRCLE('',#366872,0.114999999999998); #68813=CIRCLE('',#366874,0.114999999999998); #68814=CIRCLE('',#366875,0.114999999999998); #68815=CIRCLE('',#366878,0.315); #68816=CIRCLE('',#366879,0.315); #68817=CIRCLE('',#366882,0.315); #68818=CIRCLE('',#366883,0.315); #68819=CIRCLE('',#366885,0.139999999999998); #68820=CIRCLE('',#366886,0.139999999999998); #68821=CIRCLE('',#366889,0.315); #68822=CIRCLE('',#366890,0.315); #68823=CIRCLE('',#366893,0.315); #68824=CIRCLE('',#366894,0.315); #68825=CIRCLE('',#366897,0.315); #68826=CIRCLE('',#366898,0.315); #68827=CIRCLE('',#366901,0.315); #68828=CIRCLE('',#366902,0.315); #68829=CIRCLE('',#366904,0.139999999999998); #68830=CIRCLE('',#366905,0.139999999999998); #68831=CIRCLE('',#366907,0.139999999999998); #68832=CIRCLE('',#366908,0.139999999999998); #68833=CIRCLE('',#366910,0.140000000000002); #68834=CIRCLE('',#366911,0.140000000000002); #68835=CIRCLE('',#366913,0.139999999999998); #68836=CIRCLE('',#366914,0.139999999999998); #68837=CIRCLE('',#366916,0.140000000000002); #68838=CIRCLE('',#366917,0.140000000000002); #68839=CIRCLE('',#366919,0.140000000000002); #68840=CIRCLE('',#366920,0.140000000000002); #68841=CIRCLE('',#366922,0.139999999999998); #68842=CIRCLE('',#366923,0.139999999999998); #68843=CIRCLE('',#366925,0.140000000000002); #68844=CIRCLE('',#366926,0.140000000000002); #68845=CIRCLE('',#366928,0.139999999999998); #68846=CIRCLE('',#366929,0.139999999999998); #68847=CIRCLE('',#366931,0.139999999999998); #68848=CIRCLE('',#366932,0.139999999999998); #68849=CIRCLE('',#366934,0.139999999999998); #68850=CIRCLE('',#366935,0.139999999999998); #68851=CIRCLE('',#366937,0.139999999999998); #68852=CIRCLE('',#366938,0.139999999999998); #68853=CIRCLE('',#366940,0.139999999999998); #68854=CIRCLE('',#366941,0.139999999999998); #68855=CIRCLE('',#366943,0.140000000000002); #68856=CIRCLE('',#366944,0.140000000000002); #68857=CIRCLE('',#366946,0.514999999999999); #68858=CIRCLE('',#366947,0.514999999999999); #68859=CIRCLE('',#366949,0.140000000000002); #68860=CIRCLE('',#366950,0.140000000000002); #68861=CIRCLE('',#366952,0.139999999999998); #68862=CIRCLE('',#366953,0.139999999999998); #68863=CIRCLE('',#366955,0.140000000000002); #68864=CIRCLE('',#366956,0.140000000000002); #68865=CIRCLE('',#366958,0.140000000000002); #68866=CIRCLE('',#366959,0.140000000000002); #68867=CIRCLE('',#366961,0.139999999999998); #68868=CIRCLE('',#366962,0.139999999999998); #68869=CIRCLE('',#366964,0.140000000000002); #68870=CIRCLE('',#366965,0.140000000000002); #68871=CIRCLE('',#366967,0.140000000000002); #68872=CIRCLE('',#366968,0.140000000000002); #68873=CIRCLE('',#366970,0.139999999999998); #68874=CIRCLE('',#366971,0.139999999999998); #68875=CIRCLE('',#366973,0.140000000000002); #68876=CIRCLE('',#366974,0.140000000000002); #68877=CIRCLE('',#366976,0.140000000000002); #68878=CIRCLE('',#366977,0.140000000000002); #68879=CIRCLE('',#366979,0.139999999999998); #68880=CIRCLE('',#366980,0.139999999999998); #68881=CIRCLE('',#366982,0.140000000000002); #68882=CIRCLE('',#366983,0.140000000000002); #68883=CIRCLE('',#366985,0.564999999999998); #68884=CIRCLE('',#366986,0.564999999999998); #68885=CIRCLE('',#366988,0.14); #68886=CIRCLE('',#366989,0.14); #68887=CIRCLE('',#366991,0.139999999999998); #68888=CIRCLE('',#366992,0.139999999999998); #68889=CIRCLE('',#366994,0.140000000000002); #68890=CIRCLE('',#366995,0.140000000000002); #68891=CIRCLE('',#366997,0.140000000000002); #68892=CIRCLE('',#366998,0.140000000000002); #68893=CIRCLE('',#367000,0.139999999999998); #68894=CIRCLE('',#367001,0.139999999999998); #68895=CIRCLE('',#367003,0.140000000000002); #68896=CIRCLE('',#367004,0.140000000000002); #68897=CIRCLE('',#367006,0.140000000000002); #68898=CIRCLE('',#367007,0.140000000000002); #68899=CIRCLE('',#367009,0.139999999999998); #68900=CIRCLE('',#367010,0.139999999999998); #68901=CIRCLE('',#367012,0.140000000000002); #68902=CIRCLE('',#367013,0.140000000000002); #68903=CIRCLE('',#367015,0.140000000000002); #68904=CIRCLE('',#367016,0.140000000000002); #68905=CIRCLE('',#367018,0.139999999999998); #68906=CIRCLE('',#367019,0.139999999999998); #68907=CIRCLE('',#367021,0.140000000000002); #68908=CIRCLE('',#367022,0.140000000000002); #68909=CIRCLE('',#367024,0.14); #68910=CIRCLE('',#367025,0.14); #68911=CIRCLE('',#367027,0.139999999999998); #68912=CIRCLE('',#367028,0.139999999999998); #68913=CIRCLE('',#367030,0.14); #68914=CIRCLE('',#367031,0.14); #68915=CIRCLE('',#367033,0.14); #68916=CIRCLE('',#367034,0.14); #68917=CIRCLE('',#367036,0.139999999999998); #68918=CIRCLE('',#367037,0.139999999999998); #68919=CIRCLE('',#367039,0.14); #68920=CIRCLE('',#367040,0.14); #68921=CIRCLE('',#367042,0.14); #68922=CIRCLE('',#367043,0.14); #68923=CIRCLE('',#367045,0.139999999999998); #68924=CIRCLE('',#367046,0.139999999999998); #68925=CIRCLE('',#367048,0.14); #68926=CIRCLE('',#367049,0.14); #68927=CIRCLE('',#367051,0.14); #68928=CIRCLE('',#367052,0.14); #68929=CIRCLE('',#367054,0.139999999999998); #68930=CIRCLE('',#367055,0.139999999999998); #68931=CIRCLE('',#367057,0.14); #68932=CIRCLE('',#367058,0.14); #68933=CIRCLE('',#368904,0.140000000000002); #68934=CIRCLE('',#368905,0.140000000000002); #68935=CIRCLE('',#368907,0.140000000000002); #68936=CIRCLE('',#368908,0.140000000000002); #68937=CIRCLE('',#368910,0.140000000000002); #68938=CIRCLE('',#368911,0.140000000000002); #68939=CIRCLE('',#368913,0.140000000000002); #68940=CIRCLE('',#368914,0.140000000000002); #68941=CIRCLE('',#368916,0.140000000000002); #68942=CIRCLE('',#368917,0.140000000000002); #68943=CIRCLE('',#368919,0.140000000000002); #68944=CIRCLE('',#368920,0.140000000000002); #68945=CIRCLE('',#368922,0.140000000000002); #68946=CIRCLE('',#368923,0.140000000000002); #68947=CIRCLE('',#368925,0.140000000000002); #68948=CIRCLE('',#368926,0.140000000000002); #68949=CIRCLE('',#368928,0.140000000000002); #68950=CIRCLE('',#368929,0.140000000000002); #68951=CIRCLE('',#368931,0.140000000000002); #68952=CIRCLE('',#368932,0.140000000000002); #68953=CIRCLE('',#368934,0.140000000000002); #68954=CIRCLE('',#368935,0.140000000000002); #68955=CIRCLE('',#368937,0.140000000000002); #68956=CIRCLE('',#368938,0.140000000000002); #68957=CIRCLE('',#368940,0.140000000000002); #68958=CIRCLE('',#368941,0.140000000000002); #68959=CIRCLE('',#368943,0.140000000000002); #68960=CIRCLE('',#368944,0.140000000000002); #68961=CIRCLE('',#368946,0.139999999999998); #68962=CIRCLE('',#368947,0.139999999999998); #68963=CIRCLE('',#368949,0.140000000000002); #68964=CIRCLE('',#368950,0.140000000000002); #68965=CIRCLE('',#369028,0.139999999999998); #68966=CIRCLE('',#369029,0.139999999999998); #68967=CIRCLE('',#369031,0.139999999999998); #68968=CIRCLE('',#369032,0.139999999999998); #68969=CIRCLE('',#369034,0.139999999999998); #68970=CIRCLE('',#369035,0.139999999999998); #68971=CIRCLE('',#369037,0.139999999999998); #68972=CIRCLE('',#369038,0.139999999999998); #68973=CIRCLE('',#369040,0.139999999999998); #68974=CIRCLE('',#369041,0.139999999999998); #68975=CIRCLE('',#369043,0.139999999999998); #68976=CIRCLE('',#369044,0.139999999999998); #68977=CIRCLE('',#369046,0.139999999999998); #68978=CIRCLE('',#369047,0.139999999999998); #68979=CIRCLE('',#369049,0.139999999999998); #68980=CIRCLE('',#369050,0.139999999999998); #68981=CIRCLE('',#369052,0.139999999999998); #68982=CIRCLE('',#369053,0.139999999999998); #68983=CIRCLE('',#369055,0.139999999999998); #68984=CIRCLE('',#369056,0.139999999999998); #68985=CIRCLE('',#369058,0.139999999999998); #68986=CIRCLE('',#369059,0.139999999999998); #68987=CIRCLE('',#369061,0.139999999999998); #68988=CIRCLE('',#369062,0.139999999999998); #68989=CIRCLE('',#369064,0.139999999999998); #68990=CIRCLE('',#369065,0.139999999999998); #68991=CIRCLE('',#369067,0.139999999999998); #68992=CIRCLE('',#369068,0.139999999999998); #68993=CIRCLE('',#369070,0.139999999999998); #68994=CIRCLE('',#369071,0.139999999999998); #68995=CIRCLE('',#369073,0.139999999999998); #68996=CIRCLE('',#369074,0.139999999999998); #68997=CIRCLE('',#369148,0.14); #68998=CIRCLE('',#369149,0.14); #68999=CIRCLE('',#369151,0.14); #69000=CIRCLE('',#369152,0.14); #69001=CIRCLE('',#369154,0.14); #69002=CIRCLE('',#369155,0.14); #69003=CIRCLE('',#369157,0.14); #69004=CIRCLE('',#369158,0.14); #69005=CIRCLE('',#369160,0.14); #69006=CIRCLE('',#369161,0.14); #69007=CIRCLE('',#369163,0.14); #69008=CIRCLE('',#369164,0.14); #69009=CIRCLE('',#369166,0.14); #69010=CIRCLE('',#369167,0.14); #69011=CIRCLE('',#369169,0.14); #69012=CIRCLE('',#369170,0.14); #69013=CIRCLE('',#369172,0.14); #69014=CIRCLE('',#369173,0.14); #69015=CIRCLE('',#369175,0.14); #69016=CIRCLE('',#369176,0.14); #69017=CIRCLE('',#369178,0.14); #69018=CIRCLE('',#369179,0.14); #69019=CIRCLE('',#369181,0.14); #69020=CIRCLE('',#369182,0.14); #69021=CIRCLE('',#369184,0.139999999999998); #69022=CIRCLE('',#369185,0.139999999999998); #69023=CIRCLE('',#369187,0.139999999999998); #69024=CIRCLE('',#369188,0.139999999999998); #69025=CIRCLE('',#369190,0.139999999999998); #69026=CIRCLE('',#369191,0.139999999999998); #69027=CIRCLE('',#369193,0.139999999999998); #69028=CIRCLE('',#369194,0.139999999999998); #69029=CIRCLE('',#369196,0.139999999999998); #69030=CIRCLE('',#369197,0.139999999999998); #69031=CIRCLE('',#369199,0.14); #69032=CIRCLE('',#369200,0.14); #69033=CIRCLE('',#369202,0.139999999999998); #69034=CIRCLE('',#369203,0.139999999999998); #69035=CIRCLE('',#369205,0.139999999999998); #69036=CIRCLE('',#369206,0.139999999999998); #69037=CIRCLE('',#369208,0.139999999999998); #69038=CIRCLE('',#369209,0.139999999999998); #69039=CIRCLE('',#369211,0.139999999999998); #69040=CIRCLE('',#369212,0.139999999999998); #69041=CIRCLE('',#369214,0.139999999999998); #69042=CIRCLE('',#369215,0.139999999999998); #69043=CIRCLE('',#369217,0.139999999999998); #69044=CIRCLE('',#369218,0.139999999999998); #69045=CIRCLE('',#369220,0.139999999999998); #69046=CIRCLE('',#369221,0.139999999999998); #69047=CIRCLE('',#369223,0.139999999999998); #69048=CIRCLE('',#369224,0.139999999999998); #69049=CIRCLE('',#369226,0.139999999999998); #69050=CIRCLE('',#369227,0.139999999999998); #69051=CIRCLE('',#369229,0.139999999999998); #69052=CIRCLE('',#369230,0.139999999999998); #69053=CIRCLE('',#369232,0.14); #69054=CIRCLE('',#369233,0.14); #69055=CIRCLE('',#369235,0.14); #69056=CIRCLE('',#369236,0.14); #69057=CIRCLE('',#369285,0.139999999999998); #69058=CIRCLE('',#369286,0.139999999999998); #69059=CIRCLE('',#369288,0.139999999999998); #69060=CIRCLE('',#369289,0.139999999999998); #69061=CIRCLE('',#369292,0.275429677195186); #69062=CIRCLE('',#369293,0.275429677195186); #69063=CIRCLE('',#369296,0.27542972856197); #69064=CIRCLE('',#369297,0.27542972856197); #69065=CIRCLE('',#369299,0.275429566248992); #69066=CIRCLE('',#369300,0.275429566248992); #69067=CIRCLE('',#369303,0.275429442438528); #69068=CIRCLE('',#369304,0.275429442438528); #69069=CIRCLE('',#369308,0.140000000000002); #69070=CIRCLE('',#369309,0.140000000000002); #69071=CIRCLE('',#369311,0.140000000000002); #69072=CIRCLE('',#369312,0.140000000000002); #69073=CIRCLE('',#369314,0.140000000000002); #69074=CIRCLE('',#369315,0.140000000000002); #69075=CIRCLE('',#369317,0.140000000000002); #69076=CIRCLE('',#369318,0.140000000000002); #69077=CIRCLE('',#369320,0.140000000000002); #69078=CIRCLE('',#369321,0.140000000000002); #69079=CIRCLE('',#369323,0.140000000000002); #69080=CIRCLE('',#369324,0.140000000000002); #69081=CIRCLE('',#369326,0.140000000000002); #69082=CIRCLE('',#369327,0.140000000000002); #69083=CIRCLE('',#369329,0.140000000000002); #69084=CIRCLE('',#369330,0.140000000000002); #69085=CIRCLE('',#369332,0.140000000000002); #69086=CIRCLE('',#369333,0.140000000000002); #69087=CIRCLE('',#369335,0.465); #69088=CIRCLE('',#369336,0.465); #69089=CIRCLE('',#369364,0.139999999999998); #69090=CIRCLE('',#369365,0.139999999999998); #69091=CIRCLE('',#369368,0.275850231559089); #69092=CIRCLE('',#369369,0.275850231559089); #69093=CIRCLE('',#369371,0.275850020106942); #69094=CIRCLE('',#369372,0.275850020106942); #69095=CIRCLE('',#369376,0.140000000000002); #69096=CIRCLE('',#369377,0.140000000000002); #69097=CIRCLE('',#369379,0.140000000000002); #69098=CIRCLE('',#369380,0.140000000000002); #69099=CIRCLE('',#369382,0.140000000000002); #69100=CIRCLE('',#369383,0.140000000000002); #69101=CIRCLE('',#369385,0.140000000000002); #69102=CIRCLE('',#369386,0.140000000000002); #69103=CIRCLE('',#369388,0.140000000000002); #69104=CIRCLE('',#369389,0.140000000000002); #69105=CIRCLE('',#369391,0.140000000000002); #69106=CIRCLE('',#369392,0.140000000000002); #69107=CIRCLE('',#369394,0.140000000000002); #69108=CIRCLE('',#369395,0.140000000000002); #69109=CIRCLE('',#369397,0.140000000000002); #69110=CIRCLE('',#369398,0.140000000000002); #69111=CIRCLE('',#369400,0.140000000000002); #69112=CIRCLE('',#369401,0.140000000000002); #69113=CIRCLE('',#369403,0.140000000000002); #69114=CIRCLE('',#369404,0.140000000000002); #69115=CIRCLE('',#369406,0.140000000000002); #69116=CIRCLE('',#369407,0.140000000000002); #69117=CIRCLE('',#369409,0.140000000000002); #69118=CIRCLE('',#369410,0.140000000000002); #69119=CIRCLE('',#369412,0.140000000000002); #69120=CIRCLE('',#369413,0.140000000000002); #69121=CIRCLE('',#369415,0.140000000000002); #69122=CIRCLE('',#369416,0.140000000000002); #69123=CIRCLE('',#369418,0.140000000000002); #69124=CIRCLE('',#369419,0.140000000000002); #69125=CIRCLE('',#369421,0.140000000000002); #69126=CIRCLE('',#369422,0.140000000000002); #69127=CIRCLE('',#369424,0.140000000000002); #69128=CIRCLE('',#369425,0.140000000000002); #69129=CIRCLE('',#369427,0.140000000000002); #69130=CIRCLE('',#369428,0.140000000000002); #69131=CIRCLE('',#369430,0.140000000000002); #69132=CIRCLE('',#369431,0.140000000000002); #69133=CIRCLE('',#369433,0.140000000000002); #69134=CIRCLE('',#369434,0.140000000000002); #69135=CIRCLE('',#369436,0.140000000000002); #69136=CIRCLE('',#369437,0.140000000000002); #69137=CIRCLE('',#369439,0.140000000000002); #69138=CIRCLE('',#369440,0.140000000000002); #69139=CIRCLE('',#369442,0.140000000000002); #69140=CIRCLE('',#369443,0.140000000000002); #69141=CIRCLE('',#369445,0.140000000000002); #69142=CIRCLE('',#369446,0.140000000000002); #69143=CIRCLE('',#369448,0.140000000000002); #69144=CIRCLE('',#369449,0.140000000000002); #69145=CIRCLE('',#369451,0.140000000000002); #69146=CIRCLE('',#369452,0.140000000000002); #69147=CIRCLE('',#369454,0.140000000000002); #69148=CIRCLE('',#369455,0.140000000000002); #69149=CIRCLE('',#369457,0.140000000000002); #69150=CIRCLE('',#369458,0.140000000000002); #69151=CIRCLE('',#369460,0.140000000000002); #69152=CIRCLE('',#369461,0.140000000000002); #69153=CIRCLE('',#369463,0.140000000000002); #69154=CIRCLE('',#369464,0.140000000000002); #69155=CIRCLE('',#369513,0.140000000000002); #69156=CIRCLE('',#369514,0.140000000000002); #69157=CIRCLE('',#369516,0.140000000000002); #69158=CIRCLE('',#369517,0.140000000000002); #69159=CIRCLE('',#369520,0.275429677195186); #69160=CIRCLE('',#369521,0.275429677195186); #69161=CIRCLE('',#369524,0.27542972856197); #69162=CIRCLE('',#369525,0.27542972856197); #69163=CIRCLE('',#369527,0.275429566248994); #69164=CIRCLE('',#369528,0.275429566248994); #69165=CIRCLE('',#369531,0.275429442438528); #69166=CIRCLE('',#369532,0.275429442438528); #69167=CIRCLE('',#369536,0.139999999999998); #69168=CIRCLE('',#369537,0.139999999999998); #69169=CIRCLE('',#369540,0.27585023155909); #69170=CIRCLE('',#369541,0.27585023155909); #69171=CIRCLE('',#369543,0.275850020106942); #69172=CIRCLE('',#369544,0.275850020106942); #69173=CIRCLE('',#369548,0.139999999999998); #69174=CIRCLE('',#369549,0.139999999999998); #69175=CIRCLE('',#369551,0.139999999999998); #69176=CIRCLE('',#369552,0.139999999999998); #69177=CIRCLE('',#369554,0.139999999999998); #69178=CIRCLE('',#369555,0.139999999999998); #69179=CIRCLE('',#369557,0.114999999999998); #69180=CIRCLE('',#369558,0.114999999999998); #69181=CIRCLE('',#369560,0.114999999999998); #69182=CIRCLE('',#369561,0.114999999999998); #69183=CIRCLE('',#369563,0.114999999999998); #69184=CIRCLE('',#369564,0.114999999999998); #69185=CIRCLE('',#369566,0.114999999999998); #69186=CIRCLE('',#369567,0.114999999999998); #69187=CIRCLE('',#369569,0.139999999999998); #69188=CIRCLE('',#369570,0.139999999999998); #69189=CIRCLE('',#369572,0.139999999999998); #69190=CIRCLE('',#369573,0.139999999999998); #69191=CIRCLE('',#369575,0.139999999999998); #69192=CIRCLE('',#369576,0.139999999999998); #69193=CIRCLE('',#369578,0.139999999999998); #69194=CIRCLE('',#369579,0.139999999999998); #69195=CIRCLE('',#369581,0.139999999999998); #69196=CIRCLE('',#369582,0.139999999999998); #69197=CIRCLE('',#369584,0.139999999999998); #69198=CIRCLE('',#369585,0.139999999999998); #69199=CIRCLE('',#369587,0.139999999999998); #69200=CIRCLE('',#369588,0.139999999999998); #69201=CIRCLE('',#369590,0.139999999999998); #69202=CIRCLE('',#369591,0.139999999999998); #69203=CIRCLE('',#369762,0.564999999999998); #69204=CIRCLE('',#369763,0.564999999999998); #69205=CIRCLE('',#369766,0.900857088405025); #69206=CIRCLE('',#369767,0.900857088405025); #69207=CIRCLE('',#369769,0.900856769723134); #69208=CIRCLE('',#369770,0.900856769723134); #69209=CIRCLE('',#369774,0.565000000000002); #69210=CIRCLE('',#369775,0.565000000000002); #69211=CIRCLE('',#369778,0.900857088405025); #69212=CIRCLE('',#369779,0.900857088405025); #69213=CIRCLE('',#369781,0.900856769723134); #69214=CIRCLE('',#369782,0.900856769723134); #69215=CIRCLE('',#369786,0.465); #69216=CIRCLE('',#369787,0.465); #69217=CIRCLE('',#369790,0.750904962541172); #69218=CIRCLE('',#369791,0.750904962541172); #69219=CIRCLE('',#369793,0.750905460124643); #69220=CIRCLE('',#369794,0.750905460124643); #69221=CIRCLE('',#369798,0.514999999999999); #69222=CIRCLE('',#369799,0.514999999999999); #69223=CIRCLE('',#369802,0.825995597015953); #69224=CIRCLE('',#369803,0.825995597015953); #69225=CIRCLE('',#369805,0.825996336030616); #69226=CIRCLE('',#369806,0.825996336030616); #69227=CIRCLE('',#369810,0.514999999999999); #69228=CIRCLE('',#369811,0.514999999999999); #69229=CIRCLE('',#369819,0.465); #69230=CIRCLE('',#369820,0.465); #69231=CIRCLE('',#369823,0.750904962541172); #69232=CIRCLE('',#369824,0.750904962541172); #69233=CIRCLE('',#369826,0.750905460124644); #69234=CIRCLE('',#369827,0.750905460124644); #69235=CIRCLE('',#369831,0.465); #69236=CIRCLE('',#369832,0.465); #69237=CIRCLE('',#369835,0.750904962541172); #69238=CIRCLE('',#369836,0.750904962541172); #69239=CIRCLE('',#369838,0.750905460124644); #69240=CIRCLE('',#369839,0.750905460124644); #69241=CIRCLE('',#369843,0.564999999999998); #69242=CIRCLE('',#369844,0.564999999999998); #69243=CIRCLE('',#369847,0.900857088405025); #69244=CIRCLE('',#369848,0.900857088405025); #69245=CIRCLE('',#369850,0.900856769723134); #69246=CIRCLE('',#369851,0.900856769723134); #69247=CIRCLE('',#369855,0.465); #69248=CIRCLE('',#369856,0.465); #69249=CIRCLE('',#369859,0.750904962541171); #69250=CIRCLE('',#369860,0.750904962541171); #69251=CIRCLE('',#369862,0.750905460124643); #69252=CIRCLE('',#369863,0.750905460124643); #69253=CIRCLE('',#369868,0.315); #69254=CIRCLE('',#369869,0.315); #69255=CIRCLE('',#369872,0.315); #69256=CIRCLE('',#369873,0.315); #69257=CIRCLE('',#369934,0.565); #69258=CIRCLE('',#369935,0.565); #69259=CIRCLE('',#369938,0.565); #69260=CIRCLE('',#369939,0.565); #69261=CIRCLE('',#370016,0.315); #69262=CIRCLE('',#370017,0.315); #69263=CIRCLE('',#370020,0.315); #69264=CIRCLE('',#370021,0.315); #69265=CIRCLE('',#370081,0.514999999999999); #69266=CIRCLE('',#370082,0.514999999999999); #69267=CIRCLE('',#370091,0.315); #69268=CIRCLE('',#370092,0.315); #69269=CIRCLE('',#370095,0.315); #69270=CIRCLE('',#370096,0.315); #69271=CIRCLE('',#370157,0.565); #69272=CIRCLE('',#370158,0.565); #69273=CIRCLE('',#370161,0.565); #69274=CIRCLE('',#370162,0.565); #69275=CIRCLE('',#370238,0.465); #69276=CIRCLE('',#370239,0.465); #69277=CIRCLE('',#370242,0.750904962541172); #69278=CIRCLE('',#370243,0.750904962541172); #69279=CIRCLE('',#370245,0.750905460124644); #69280=CIRCLE('',#370246,0.750905460124644); #69281=CIRCLE('',#370250,0.514999999999999); #69282=CIRCLE('',#370251,0.514999999999999); #69283=CIRCLE('',#370254,0.825995597015952); #69284=CIRCLE('',#370255,0.825995597015952); #69285=CIRCLE('',#370257,0.825996336030615); #69286=CIRCLE('',#370258,0.825996336030615); #69287=CIRCLE('',#370263,0.315); #69288=CIRCLE('',#370264,0.315); #69289=CIRCLE('',#370267,0.315); #69290=CIRCLE('',#370268,0.315); #69291=CIRCLE('',#370328,0.465); #69292=CIRCLE('',#370329,0.465); #69293=CIRCLE('',#370332,0.750904962541172); #69294=CIRCLE('',#370333,0.750904962541172); #69295=CIRCLE('',#370335,0.750905460124644); #69296=CIRCLE('',#370336,0.750905460124644); #69297=CIRCLE('',#370340,0.465); #69298=CIRCLE('',#370341,0.465); #69299=CIRCLE('',#370344,0.750904962541172); #69300=CIRCLE('',#370345,0.750904962541172); #69301=CIRCLE('',#370347,0.750905460124643); #69302=CIRCLE('',#370348,0.750905460124643); #69303=CIRCLE('',#370352,0.465); #69304=CIRCLE('',#370353,0.465); #69305=CIRCLE('',#370356,0.750904962541172); #69306=CIRCLE('',#370357,0.750904962541172); #69307=CIRCLE('',#370359,0.750905460124643); #69308=CIRCLE('',#370360,0.750905460124643); #69309=CIRCLE('',#370364,0.564999999999998); #69310=CIRCLE('',#370365,0.564999999999998); #69311=CIRCLE('',#370373,0.465); #69312=CIRCLE('',#370374,0.465); #69313=CIRCLE('',#370377,0.750904962541172); #69314=CIRCLE('',#370378,0.750904962541172); #69315=CIRCLE('',#370380,0.750905460124643); #69316=CIRCLE('',#370381,0.750905460124643); #69317=CIRCLE('',#370523,0.114999999999998); #69318=CIRCLE('',#370524,0.114999999999998); #69319=CIRCLE('',#370526,0.114999999999998); #69320=CIRCLE('',#370527,0.114999999999998); #69321=CIRCLE('',#370541,0.114999999999998); #69322=CIRCLE('',#370542,0.114999999999998); #69323=CIRCLE('',#370544,0.114999999999998); #69324=CIRCLE('',#370545,0.114999999999998); #69325=CIRCLE('',#370649,0.139999999999998); #69326=CIRCLE('',#370650,0.139999999999998); #69327=CIRCLE('',#370682,0.140000000000002); #69328=CIRCLE('',#370683,0.140000000000002); #69329=CIRCLE('',#370685,0.140000000000002); #69330=CIRCLE('',#370686,0.140000000000002); #69331=CIRCLE('',#370688,0.140000000000002); #69332=CIRCLE('',#370689,0.140000000000002); #69333=CIRCLE('',#370691,0.140000000000002); #69334=CIRCLE('',#370692,0.140000000000002); #69335=CIRCLE('',#370694,0.140000000000002); #69336=CIRCLE('',#370695,0.140000000000002); #69337=CIRCLE('',#370697,0.140000000000002); #69338=CIRCLE('',#370698,0.140000000000002); #69339=CIRCLE('',#370700,0.140000000000002); #69340=CIRCLE('',#370701,0.140000000000002); #69341=CIRCLE('',#370703,0.140000000000002); #69342=CIRCLE('',#370704,0.140000000000002); #69343=CIRCLE('',#370706,0.140000000000002); #69344=CIRCLE('',#370707,0.140000000000002); #69345=CIRCLE('',#370709,0.140000000000002); #69346=CIRCLE('',#370710,0.140000000000002); #69347=CIRCLE('',#370712,0.140000000000002); #69348=CIRCLE('',#370713,0.140000000000002); #69349=CIRCLE('',#370715,0.140000000000002); #69350=CIRCLE('',#370716,0.140000000000002); #69351=CIRCLE('',#370718,0.140000000000002); #69352=CIRCLE('',#370719,0.140000000000002); #69353=CIRCLE('',#370721,0.140000000000002); #69354=CIRCLE('',#370722,0.140000000000002); #69355=CIRCLE('',#370724,0.140000000000002); #69356=CIRCLE('',#370725,0.140000000000002); #69357=CIRCLE('',#370751,0.139999999999998); #69358=CIRCLE('',#370752,0.139999999999998); #69359=CIRCLE('',#370784,0.139999999999998); #69360=CIRCLE('',#370785,0.139999999999998); #69361=CIRCLE('',#370787,0.139999999999998); #69362=CIRCLE('',#370788,0.139999999999998); #69363=CIRCLE('',#370790,0.139999999999998); #69364=CIRCLE('',#370791,0.139999999999998); #69365=CIRCLE('',#370793,0.139999999999998); #69366=CIRCLE('',#370794,0.139999999999998); #69367=CIRCLE('',#370796,0.139999999999998); #69368=CIRCLE('',#370797,0.139999999999998); #69369=CIRCLE('',#370799,0.139999999999998); #69370=CIRCLE('',#370800,0.139999999999998); #69371=CIRCLE('',#370802,0.139999999999998); #69372=CIRCLE('',#370803,0.139999999999998); #69373=CIRCLE('',#370805,0.139999999999998); #69374=CIRCLE('',#370806,0.139999999999998); #69375=CIRCLE('',#370808,0.139999999999998); #69376=CIRCLE('',#370809,0.139999999999998); #69377=CIRCLE('',#370811,0.139999999999998); #69378=CIRCLE('',#370812,0.139999999999998); #69379=CIRCLE('',#370814,0.139999999999998); #69380=CIRCLE('',#370815,0.139999999999998); #69381=CIRCLE('',#370817,0.139999999999998); #69382=CIRCLE('',#370818,0.139999999999998); #69383=CIRCLE('',#370820,0.139999999999998); #69384=CIRCLE('',#370821,0.139999999999998); #69385=CIRCLE('',#370823,0.139999999999998); #69386=CIRCLE('',#370824,0.139999999999998); #69387=CIRCLE('',#370826,0.139999999999998); #69388=CIRCLE('',#370827,0.139999999999998); #69389=CIRCLE('',#370853,0.139999999999998); #69390=CIRCLE('',#370854,0.139999999999998); #69391=CIRCLE('',#370862,0.139999999999998); #69392=CIRCLE('',#370863,0.139999999999998); #69393=CIRCLE('',#370871,0.140000000000002); #69394=CIRCLE('',#370872,0.140000000000002); #69395=CIRCLE('',#370880,0.139999999999998); #69396=CIRCLE('',#370881,0.139999999999998); #69397=CIRCLE('',#370883,0.139999999999998); #69398=CIRCLE('',#370884,0.139999999999998); #69399=CIRCLE('',#370886,0.139999999999998); #69400=CIRCLE('',#370887,0.139999999999998); #69401=CIRCLE('',#370889,0.139999999999998); #69402=CIRCLE('',#370890,0.139999999999998); #69403=CIRCLE('',#370892,0.139999999999998); #69404=CIRCLE('',#370893,0.139999999999998); #69405=CIRCLE('',#370895,0.139999999999998); #69406=CIRCLE('',#370896,0.139999999999998); #69407=CIRCLE('',#370898,0.139999999999998); #69408=CIRCLE('',#370899,0.139999999999998); #69409=CIRCLE('',#370901,0.139999999999998); #69410=CIRCLE('',#370902,0.139999999999998); #69411=CIRCLE('',#370904,0.139999999999998); #69412=CIRCLE('',#370905,0.139999999999998); #69413=CIRCLE('',#370907,0.139999999999998); #69414=CIRCLE('',#370908,0.139999999999998); #69415=CIRCLE('',#370910,0.139999999999998); #69416=CIRCLE('',#370911,0.139999999999998); #69417=CIRCLE('',#370913,0.139999999999998); #69418=CIRCLE('',#370914,0.139999999999998); #69419=CIRCLE('',#370916,0.139999999999998); #69420=CIRCLE('',#370917,0.139999999999998); #69421=CIRCLE('',#370919,0.139999999999998); #69422=CIRCLE('',#370920,0.139999999999998); #69423=CIRCLE('',#370922,0.139999999999998); #69424=CIRCLE('',#370923,0.139999999999998); #69425=CIRCLE('',#371051,0.140000000000002); #69426=CIRCLE('',#371052,0.140000000000002); #69427=CIRCLE('',#371054,0.140000000000002); #69428=CIRCLE('',#371055,0.140000000000002); #69429=CIRCLE('',#371057,0.140000000000002); #69430=CIRCLE('',#371058,0.140000000000002); #69431=CIRCLE('',#371060,0.140000000000002); #69432=CIRCLE('',#371061,0.140000000000002); #69433=CIRCLE('',#371063,0.140000000000002); #69434=CIRCLE('',#371064,0.140000000000002); #69435=CIRCLE('',#371066,0.140000000000002); #69436=CIRCLE('',#371067,0.140000000000002); #69437=CIRCLE('',#371069,0.140000000000002); #69438=CIRCLE('',#371070,0.140000000000002); #69439=CIRCLE('',#371072,0.140000000000002); #69440=CIRCLE('',#371073,0.140000000000002); #69441=CIRCLE('',#371075,0.140000000000002); #69442=CIRCLE('',#371076,0.140000000000002); #69443=CIRCLE('',#371078,0.140000000000002); #69444=CIRCLE('',#371079,0.140000000000002); #69445=CIRCLE('',#371081,0.140000000000002); #69446=CIRCLE('',#371082,0.140000000000002); #69447=CIRCLE('',#371084,0.140000000000002); #69448=CIRCLE('',#371085,0.140000000000002); #69449=CIRCLE('',#371087,0.140000000000002); #69450=CIRCLE('',#371088,0.140000000000002); #69451=CIRCLE('',#371090,0.140000000000002); #69452=CIRCLE('',#371091,0.140000000000002); #69453=CIRCLE('',#371093,0.140000000000002); #69454=CIRCLE('',#371094,0.140000000000002); #69455=CIRCLE('',#371138,0.140000000000002); #69456=CIRCLE('',#371139,0.140000000000002); #69457=CIRCLE('',#371147,0.114999999999998); #69458=CIRCLE('',#371148,0.114999999999998); #69459=CIRCLE('',#371150,0.114999999999998); #69460=CIRCLE('',#371151,0.114999999999998); #69461=CIRCLE('',#371159,0.114999999999998); #69462=CIRCLE('',#371160,0.114999999999998); #69463=CIRCLE('',#371162,0.114999999999998); #69464=CIRCLE('',#371163,0.114999999999998); #69465=CIRCLE('',#371171,0.139999999999998); #69466=CIRCLE('',#371172,0.139999999999998); #69467=CIRCLE('',#371181,0.325); #69468=CIRCLE('',#371182,0.325); #69469=CIRCLE('',#371184,0.325); #69470=CIRCLE('',#371185,0.325); #69471=CIRCLE('',#371187,0.600000000000001); #69472=CIRCLE('',#371188,0.600000000000001); #69473=CIRCLE('',#371190,0.175000000000001); #69474=CIRCLE('',#371191,0.175000000000001); #69475=CIRCLE('',#371193,0.175000000000001); #69476=CIRCLE('',#371194,0.175000000000001); #69477=CIRCLE('',#371196,0.175000000000001); #69478=CIRCLE('',#371197,0.175000000000001); #69479=CIRCLE('',#371199,0.175000000000001); #69480=CIRCLE('',#371200,0.175000000000001); #69481=CIRCLE('',#371202,0.175000000000001); #69482=CIRCLE('',#371203,0.175000000000001); #69483=CIRCLE('',#371205,0.175000000000001); #69484=CIRCLE('',#371206,0.175000000000001); #69485=CIRCLE('',#371208,0.175000000000001); #69486=CIRCLE('',#371209,0.175000000000001); #69487=CIRCLE('',#371211,0.175000000000001); #69488=CIRCLE('',#371212,0.175000000000001); #69489=CIRCLE('',#371214,0.175000000000001); #69490=CIRCLE('',#371215,0.175000000000001); #69491=CIRCLE('',#371217,0.175000000000001); #69492=CIRCLE('',#371218,0.175000000000001); #69493=CIRCLE('',#371220,0.175000000000001); #69494=CIRCLE('',#371221,0.175000000000001); #69495=CIRCLE('',#371223,0.175000000000001); #69496=CIRCLE('',#371224,0.175000000000001); #69497=CIRCLE('',#371226,0.175000000000001); #69498=CIRCLE('',#371227,0.175000000000001); #69499=CIRCLE('',#371229,0.175000000000001); #69500=CIRCLE('',#371230,0.175000000000001); #69501=CIRCLE('',#371232,0.175000000000001); #69502=CIRCLE('',#371233,0.175000000000001); #69503=CIRCLE('',#371235,0.5); #69504=CIRCLE('',#371236,0.5); #69505=CIRCLE('',#371238,0.175000000000001); #69506=CIRCLE('',#371239,0.175000000000001); #69507=CIRCLE('',#371241,0.175000000000001); #69508=CIRCLE('',#371242,0.175000000000001); #69509=CIRCLE('',#371244,0.175000000000001); #69510=CIRCLE('',#371245,0.175000000000001); #69511=CIRCLE('',#371247,0.175000000000001); #69512=CIRCLE('',#371248,0.175000000000001); #69513=CIRCLE('',#371250,0.175000000000001); #69514=CIRCLE('',#371251,0.175000000000001); #69515=CIRCLE('',#371253,0.175000000000001); #69516=CIRCLE('',#371254,0.175000000000001); #69517=CIRCLE('',#371256,0.175000000000001); #69518=CIRCLE('',#371257,0.175000000000001); #69519=CIRCLE('',#371259,0.175000000000001); #69520=CIRCLE('',#371260,0.175000000000001); #69521=CIRCLE('',#371262,0.175000000000001); #69522=CIRCLE('',#371263,0.175000000000001); #69523=CIRCLE('',#371265,0.175000000000001); #69524=CIRCLE('',#371266,0.175000000000001); #69525=CIRCLE('',#371268,0.175000000000001); #69526=CIRCLE('',#371269,0.175000000000001); #69527=CIRCLE('',#371271,0.175000000000001); #69528=CIRCLE('',#371272,0.175000000000001); #69529=CIRCLE('',#371274,0.175000000000001); #69530=CIRCLE('',#371275,0.175000000000001); #69531=CIRCLE('',#371277,0.175000000000001); #69532=CIRCLE('',#371278,0.175000000000001); #69533=CIRCLE('',#371280,0.175000000000001); #69534=CIRCLE('',#371281,0.175000000000001); #69535=CIRCLE('',#371283,0.550000000000002); #69536=CIRCLE('',#371284,0.550000000000002); #69537=CIRCLE('',#371286,0.175000000000001); #69538=CIRCLE('',#371287,0.175000000000001); #69539=CIRCLE('',#371289,0.175000000000001); #69540=CIRCLE('',#371290,0.175000000000001); #69541=CIRCLE('',#371292,0.175000000000001); #69542=CIRCLE('',#371293,0.175000000000001); #69543=CIRCLE('',#371295,0.175000000000001); #69544=CIRCLE('',#371296,0.175000000000001); #69545=CIRCLE('',#371298,0.175000000000001); #69546=CIRCLE('',#371299,0.175000000000001); #69547=CIRCLE('',#371301,0.175000000000001); #69548=CIRCLE('',#371302,0.175000000000001); #69549=CIRCLE('',#371304,0.175000000000001); #69550=CIRCLE('',#371305,0.175000000000001); #69551=CIRCLE('',#371307,0.175000000000001); #69552=CIRCLE('',#371308,0.175000000000001); #69553=CIRCLE('',#371310,0.175000000000001); #69554=CIRCLE('',#371311,0.175000000000001); #69555=CIRCLE('',#371313,0.175000000000001); #69556=CIRCLE('',#371314,0.175000000000001); #69557=CIRCLE('',#371316,0.175000000000001); #69558=CIRCLE('',#371317,0.175000000000001); #69559=CIRCLE('',#371319,0.175000000000001); #69560=CIRCLE('',#371320,0.175000000000001); #69561=CIRCLE('',#371322,0.175000000000001); #69562=CIRCLE('',#371323,0.175000000000001); #69563=CIRCLE('',#371325,0.175000000000001); #69564=CIRCLE('',#371326,0.175000000000001); #69565=CIRCLE('',#371328,0.175000000000001); #69566=CIRCLE('',#371329,0.175000000000001); #69567=CIRCLE('',#371331,0.5); #69568=CIRCLE('',#371332,0.5); #69569=CIRCLE('',#371334,0.175000000000001); #69570=CIRCLE('',#371335,0.175000000000001); #69571=CIRCLE('',#371337,0.175000000000001); #69572=CIRCLE('',#371338,0.175000000000001); #69573=CIRCLE('',#371340,0.175000000000001); #69574=CIRCLE('',#371341,0.175000000000001); #69575=CIRCLE('',#371343,0.175000000000001); #69576=CIRCLE('',#371344,0.175000000000001); #69577=CIRCLE('',#371346,0.175000000000001); #69578=CIRCLE('',#371347,0.175000000000001); #69579=CIRCLE('',#371349,0.175000000000001); #69580=CIRCLE('',#371350,0.175000000000001); #69581=CIRCLE('',#371352,0.175000000000001); #69582=CIRCLE('',#371353,0.175000000000001); #69583=CIRCLE('',#371355,0.175000000000001); #69584=CIRCLE('',#371356,0.175000000000001); #69585=CIRCLE('',#371358,0.175000000000001); #69586=CIRCLE('',#371359,0.175000000000001); #69587=CIRCLE('',#371361,0.175000000000001); #69588=CIRCLE('',#371362,0.175000000000001); #69589=CIRCLE('',#371364,0.175000000000001); #69590=CIRCLE('',#371365,0.175000000000001); #69591=CIRCLE('',#371367,0.175000000000001); #69592=CIRCLE('',#371368,0.175000000000001); #69593=CIRCLE('',#371370,0.175000000000001); #69594=CIRCLE('',#371371,0.175000000000001); #69595=CIRCLE('',#371373,0.175000000000001); #69596=CIRCLE('',#371374,0.175000000000001); #69597=CIRCLE('',#371376,0.175000000000001); #69598=CIRCLE('',#371377,0.175000000000001); #69599=CIRCLE('',#371379,0.600000000000001); #69600=CIRCLE('',#371380,0.600000000000001); #69601=CIRCLE('',#371382,0.175000000000001); #69602=CIRCLE('',#371383,0.175000000000001); #69603=CIRCLE('',#371385,0.175000000000001); #69604=CIRCLE('',#371386,0.175000000000001); #69605=CIRCLE('',#371388,0.175000000000001); #69606=CIRCLE('',#371389,0.175000000000001); #69607=CIRCLE('',#371391,0.175000000000001); #69608=CIRCLE('',#371392,0.175000000000001); #69609=CIRCLE('',#371394,0.175000000000001); #69610=CIRCLE('',#371395,0.175000000000001); #69611=CIRCLE('',#371397,0.175); #69612=CIRCLE('',#371398,0.175); #69613=CIRCLE('',#371400,0.175000000000001); #69614=CIRCLE('',#371401,0.175000000000001); #69615=CIRCLE('',#371403,0.175000000000001); #69616=CIRCLE('',#371404,0.175000000000001); #69617=CIRCLE('',#371406,0.175000000000001); #69618=CIRCLE('',#371407,0.175000000000001); #69619=CIRCLE('',#371409,0.175000000000001); #69620=CIRCLE('',#371410,0.175000000000001); #69621=CIRCLE('',#371412,0.175000000000001); #69622=CIRCLE('',#371413,0.175000000000001); #69623=CIRCLE('',#371415,0.175000000000001); #69624=CIRCLE('',#371416,0.175000000000001); #69625=CIRCLE('',#371418,0.175000000000001); #69626=CIRCLE('',#371419,0.175000000000001); #69627=CIRCLE('',#371421,0.175); #69628=CIRCLE('',#371422,0.175); #69629=CIRCLE('',#371424,0.175000000000001); #69630=CIRCLE('',#371425,0.175000000000001); #69631=CIRCLE('',#371427,0.175000000000001); #69632=CIRCLE('',#371428,0.175000000000001); #69633=CIRCLE('',#371430,0.175000000000001); #69634=CIRCLE('',#371431,0.175000000000001); #69635=CIRCLE('',#371433,0.175000000000001); #69636=CIRCLE('',#371434,0.175000000000001); #69637=CIRCLE('',#371436,0.175000000000001); #69638=CIRCLE('',#371437,0.175000000000001); #69639=CIRCLE('',#371439,0.175000000000001); #69640=CIRCLE('',#371440,0.175000000000001); #69641=CIRCLE('',#371442,0.175000000000001); #69642=CIRCLE('',#371443,0.175000000000001); #69643=CIRCLE('',#371445,0.175000000000001); #69644=CIRCLE('',#371446,0.175000000000001); #69645=CIRCLE('',#371448,0.175000000000001); #69646=CIRCLE('',#371449,0.175000000000001); #69647=CIRCLE('',#371451,0.175000000000001); #69648=CIRCLE('',#371452,0.175000000000001); #69649=CIRCLE('',#371454,0.175000000000001); #69650=CIRCLE('',#371455,0.175000000000001); #69651=CIRCLE('',#371457,0.175000000000001); #69652=CIRCLE('',#371458,0.175000000000001); #69653=CIRCLE('',#371460,0.175000000000001); #69654=CIRCLE('',#371461,0.175000000000001); #69655=CIRCLE('',#371463,0.175000000000001); #69656=CIRCLE('',#371464,0.175000000000001); #69657=CIRCLE('',#371466,0.175000000000001); #69658=CIRCLE('',#371467,0.175000000000001); #69659=CIRCLE('',#371469,0.175000000000001); #69660=CIRCLE('',#371470,0.175000000000001); #69661=CIRCLE('',#371472,0.175000000000001); #69662=CIRCLE('',#371473,0.175000000000001); #69663=CIRCLE('',#371475,0.499999999999998); #69664=CIRCLE('',#371476,0.499999999999998); #69665=CIRCLE('',#371478,0.175000000000001); #69666=CIRCLE('',#371479,0.175000000000001); #69667=CIRCLE('',#371481,0.175000000000001); #69668=CIRCLE('',#371482,0.175000000000001); #69669=CIRCLE('',#371484,0.175000000000001); #69670=CIRCLE('',#371485,0.175000000000001); #69671=CIRCLE('',#371487,0.175000000000001); #69672=CIRCLE('',#371488,0.175000000000001); #69673=CIRCLE('',#371490,0.175000000000001); #69674=CIRCLE('',#371491,0.175000000000001); #69675=CIRCLE('',#371493,0.175000000000001); #69676=CIRCLE('',#371494,0.175000000000001); #69677=CIRCLE('',#371496,0.175000000000001); #69678=CIRCLE('',#371497,0.175000000000001); #69679=CIRCLE('',#371499,0.175000000000001); #69680=CIRCLE('',#371500,0.175000000000001); #69681=CIRCLE('',#371502,0.175000000000001); #69682=CIRCLE('',#371503,0.175000000000001); #69683=CIRCLE('',#371505,0.175000000000001); #69684=CIRCLE('',#371506,0.175000000000001); #69685=CIRCLE('',#371508,0.175000000000001); #69686=CIRCLE('',#371509,0.175000000000001); #69687=CIRCLE('',#371511,0.175000000000001); #69688=CIRCLE('',#371512,0.175000000000001); #69689=CIRCLE('',#371514,0.175000000000001); #69690=CIRCLE('',#371515,0.175000000000001); #69691=CIRCLE('',#371517,0.175000000000001); #69692=CIRCLE('',#371518,0.175000000000001); #69693=CIRCLE('',#371520,0.175000000000001); #69694=CIRCLE('',#371521,0.175000000000001); #69695=CIRCLE('',#371523,0.499999999999998); #69696=CIRCLE('',#371524,0.499999999999998); #69697=CIRCLE('',#371526,0.175000000000001); #69698=CIRCLE('',#371527,0.175000000000001); #69699=CIRCLE('',#371529,0.175000000000001); #69700=CIRCLE('',#371530,0.175000000000001); #69701=CIRCLE('',#371532,0.175000000000001); #69702=CIRCLE('',#371533,0.175000000000001); #69703=CIRCLE('',#371535,0.175000000000001); #69704=CIRCLE('',#371536,0.175000000000001); #69705=CIRCLE('',#371538,0.175000000000001); #69706=CIRCLE('',#371539,0.175000000000001); #69707=CIRCLE('',#371541,0.175000000000001); #69708=CIRCLE('',#371542,0.175000000000001); #69709=CIRCLE('',#371544,0.175000000000001); #69710=CIRCLE('',#371545,0.175000000000001); #69711=CIRCLE('',#371547,0.175000000000001); #69712=CIRCLE('',#371548,0.175000000000001); #69713=CIRCLE('',#371550,0.175000000000001); #69714=CIRCLE('',#371551,0.175000000000001); #69715=CIRCLE('',#371553,0.175000000000001); #69716=CIRCLE('',#371554,0.175000000000001); #69717=CIRCLE('',#371556,0.175000000000001); #69718=CIRCLE('',#371557,0.175000000000001); #69719=CIRCLE('',#371559,0.175000000000001); #69720=CIRCLE('',#371560,0.175000000000001); #69721=CIRCLE('',#371562,0.175000000000001); #69722=CIRCLE('',#371563,0.175000000000001); #69723=CIRCLE('',#371565,0.175000000000001); #69724=CIRCLE('',#371566,0.175000000000001); #69725=CIRCLE('',#371568,0.175000000000001); #69726=CIRCLE('',#371569,0.175000000000001); #69727=CIRCLE('',#371571,0.550000000000002); #69728=CIRCLE('',#371572,0.550000000000002); #69729=CIRCLE('',#371574,0.150000000000001); #69730=CIRCLE('',#371575,0.150000000000001); #69731=CIRCLE('',#371577,0.175); #69732=CIRCLE('',#371578,0.175); #69733=CIRCLE('',#371580,0.150000000000001); #69734=CIRCLE('',#371581,0.150000000000001); #69735=CIRCLE('',#371583,0.175000000000001); #69736=CIRCLE('',#371584,0.175000000000001); #69737=CIRCLE('',#371586,0.175000000000001); #69738=CIRCLE('',#371587,0.175000000000001); #69739=CIRCLE('',#371589,0.175); #69740=CIRCLE('',#371590,0.175); #69741=CIRCLE('',#371592,0.150000000000001); #69742=CIRCLE('',#371593,0.150000000000001); #69743=CIRCLE('',#371595,0.175000000000001); #69744=CIRCLE('',#371596,0.175000000000001); #69745=CIRCLE('',#371598,0.175000000000001); #69746=CIRCLE('',#371599,0.175000000000001); #69747=CIRCLE('',#371601,0.175); #69748=CIRCLE('',#371602,0.175); #69749=CIRCLE('',#371604,0.175000000000001); #69750=CIRCLE('',#371605,0.175000000000001); #69751=CIRCLE('',#371607,0.175000000000001); #69752=CIRCLE('',#371608,0.175000000000001); #69753=CIRCLE('',#371610,0.175000000000001); #69754=CIRCLE('',#371611,0.175000000000001); #69755=CIRCLE('',#371613,0.175); #69756=CIRCLE('',#371614,0.175); #69757=CIRCLE('',#371616,0.175000000000001); #69758=CIRCLE('',#371617,0.175000000000001); #69759=CIRCLE('',#371619,0.175000000000001); #69760=CIRCLE('',#371620,0.175000000000001); #69761=CIRCLE('',#371622,0.175000000000001); #69762=CIRCLE('',#371623,0.175000000000001); #69763=CIRCLE('',#371625,0.175); #69764=CIRCLE('',#371626,0.175); #69765=CIRCLE('',#371628,0.175000000000001); #69766=CIRCLE('',#371629,0.175000000000001); #69767=CIRCLE('',#371631,0.175000000000001); #69768=CIRCLE('',#371632,0.175000000000001); #69769=CIRCLE('',#371634,0.175000000000001); #69770=CIRCLE('',#371635,0.175000000000001); #69771=CIRCLE('',#371637,0.175000000000001); #69772=CIRCLE('',#371638,0.175000000000001); #69773=CIRCLE('',#371640,0.175000000000001); #69774=CIRCLE('',#371641,0.175000000000001); #69775=CIRCLE('',#371643,0.175000000000001); #69776=CIRCLE('',#371644,0.175000000000001); #69777=CIRCLE('',#371646,0.175000000000001); #69778=CIRCLE('',#371647,0.175000000000001); #69779=CIRCLE('',#371649,0.175); #69780=CIRCLE('',#371650,0.175); #69781=CIRCLE('',#371652,0.175000000000001); #69782=CIRCLE('',#371653,0.175000000000001); #69783=CIRCLE('',#371655,0.175000000000001); #69784=CIRCLE('',#371656,0.175000000000001); #69785=CIRCLE('',#371658,0.175000000000001); #69786=CIRCLE('',#371659,0.175000000000001); #69787=CIRCLE('',#371661,0.175); #69788=CIRCLE('',#371662,0.175); #69789=CIRCLE('',#371664,0.175000000000001); #69790=CIRCLE('',#371665,0.175000000000001); #69791=CIRCLE('',#371667,0.499999999999998); #69792=CIRCLE('',#371668,0.499999999999998); #69793=CIRCLE('',#371671,0.35); #69794=CIRCLE('',#371672,0.35); #69795=CIRCLE('',#371675,0.35); #69796=CIRCLE('',#371676,0.35); #69797=CIRCLE('',#371678,0.175000000000001); #69798=CIRCLE('',#371679,0.175000000000001); #69799=CIRCLE('',#371682,0.6); #69800=CIRCLE('',#371683,0.6); #69801=CIRCLE('',#371686,0.6); #69802=CIRCLE('',#371687,0.6); #69803=CIRCLE('',#371689,0.175000000000001); #69804=CIRCLE('',#371690,0.175000000000001); #69805=CIRCLE('',#371692,0.150000000000001); #69806=CIRCLE('',#371693,0.150000000000001); #69807=CIRCLE('',#371695,0.175000000000001); #69808=CIRCLE('',#371696,0.175000000000001); #69809=CIRCLE('',#371699,0.6); #69810=CIRCLE('',#371700,0.6); #69811=CIRCLE('',#371703,0.6); #69812=CIRCLE('',#371704,0.6); #69813=CIRCLE('',#371706,0.175000000000001); #69814=CIRCLE('',#371707,0.175000000000001); #69815=CIRCLE('',#371709,0.150000000000001); #69816=CIRCLE('',#371710,0.150000000000001); #69817=CIRCLE('',#371712,0.175000000000001); #69818=CIRCLE('',#371713,0.175000000000001); #69819=CIRCLE('',#371715,0.150000000000001); #69820=CIRCLE('',#371716,0.150000000000001); #69821=CIRCLE('',#371718,0.175000000000001); #69822=CIRCLE('',#371719,0.175000000000001); #69823=CIRCLE('',#371721,0.150000000000001); #69824=CIRCLE('',#371722,0.150000000000001); #69825=CIRCLE('',#371724,0.175000000000001); #69826=CIRCLE('',#371725,0.175000000000001); #69827=CIRCLE('',#371727,0.150000000000001); #69828=CIRCLE('',#371728,0.150000000000001); #69829=CIRCLE('',#371730,0.175000000000001); #69830=CIRCLE('',#371731,0.175000000000001); #69831=CIRCLE('',#371733,0.175000000000001); #69832=CIRCLE('',#371734,0.175000000000001); #69833=CIRCLE('',#371737,0.35); #69834=CIRCLE('',#371738,0.35); #69835=CIRCLE('',#371741,0.35); #69836=CIRCLE('',#371742,0.35); #69837=CIRCLE('',#371744,0.175000000000001); #69838=CIRCLE('',#371745,0.175000000000001); #69839=CIRCLE('',#371748,0.35); #69840=CIRCLE('',#371749,0.35); #69841=CIRCLE('',#371752,0.35); #69842=CIRCLE('',#371753,0.35); #69843=CIRCLE('',#371755,0.175000000000001); #69844=CIRCLE('',#371756,0.175000000000001); #69845=CIRCLE('',#371759,0.35); #69846=CIRCLE('',#371760,0.35); #69847=CIRCLE('',#371763,0.35); #69848=CIRCLE('',#371764,0.35); #69849=CIRCLE('',#371766,0.175000000000001); #69850=CIRCLE('',#371767,0.175000000000001); #69851=CIRCLE('',#371769,0.175000000000001); #69852=CIRCLE('',#371770,0.175000000000001); #69853=CIRCLE('',#371772,0.175000000000001); #69854=CIRCLE('',#371773,0.175000000000001); #69855=CIRCLE('',#371775,0.175000000000001); #69856=CIRCLE('',#371776,0.175000000000001); #69857=CIRCLE('',#371778,0.600000000000001); #69858=CIRCLE('',#371779,0.600000000000001); #69859=CIRCLE('',#371781,0.175000000000001); #69860=CIRCLE('',#371782,0.175000000000001); #69861=CIRCLE('',#371784,0.175000000000001); #69862=CIRCLE('',#371785,0.175000000000001); #69863=CIRCLE('',#371787,0.175000000000001); #69864=CIRCLE('',#371788,0.175000000000001); #69865=CIRCLE('',#371790,0.175000000000001); #69866=CIRCLE('',#371791,0.175000000000001); #69867=CIRCLE('',#371793,0.175000000000001); #69868=CIRCLE('',#371794,0.175000000000001); #69869=CIRCLE('',#371796,0.175000000000001); #69870=CIRCLE('',#371797,0.175000000000001); #69871=CIRCLE('',#371799,0.175000000000001); #69872=CIRCLE('',#371800,0.175000000000001); #69873=CIRCLE('',#371802,0.175000000000001); #69874=CIRCLE('',#371803,0.175000000000001); #69875=CIRCLE('',#371805,0.175000000000001); #69876=CIRCLE('',#371806,0.175000000000001); #69877=CIRCLE('',#371808,0.175000000000001); #69878=CIRCLE('',#371809,0.175000000000001); #69879=CIRCLE('',#371811,0.175000000000001); #69880=CIRCLE('',#371812,0.175000000000001); #69881=CIRCLE('',#371814,0.175000000000001); #69882=CIRCLE('',#371815,0.175000000000001); #69883=CIRCLE('',#371817,0.175000000000001); #69884=CIRCLE('',#371818,0.175000000000001); #69885=CIRCLE('',#371820,0.175000000000001); #69886=CIRCLE('',#371821,0.175000000000001); #69887=CIRCLE('',#371823,0.175000000000001); #69888=CIRCLE('',#371824,0.175000000000001); #69889=CIRCLE('',#371826,0.499999999999998); #69890=CIRCLE('',#371827,0.499999999999998); #69891=CIRCLE('',#371829,0.175000000000001); #69892=CIRCLE('',#371830,0.175000000000001); #69893=CIRCLE('',#371832,0.175000000000001); #69894=CIRCLE('',#371833,0.175000000000001); #69895=CIRCLE('',#371835,0.175000000000001); #69896=CIRCLE('',#371836,0.175000000000001); #69897=CIRCLE('',#371838,0.175000000000001); #69898=CIRCLE('',#371839,0.175000000000001); #69899=CIRCLE('',#371841,0.175000000000001); #69900=CIRCLE('',#371842,0.175000000000001); #69901=CIRCLE('',#371844,0.175000000000001); #69902=CIRCLE('',#371845,0.175000000000001); #69903=CIRCLE('',#371847,0.175000000000001); #69904=CIRCLE('',#371848,0.175000000000001); #69905=CIRCLE('',#371850,0.175000000000001); #69906=CIRCLE('',#371851,0.175000000000001); #69907=CIRCLE('',#371853,0.175000000000001); #69908=CIRCLE('',#371854,0.175000000000001); #69909=CIRCLE('',#371856,0.175000000000001); #69910=CIRCLE('',#371857,0.175000000000001); #69911=CIRCLE('',#371859,0.175000000000001); #69912=CIRCLE('',#371860,0.175000000000001); #69913=CIRCLE('',#371862,0.175000000000001); #69914=CIRCLE('',#371863,0.175000000000001); #69915=CIRCLE('',#371865,0.175000000000001); #69916=CIRCLE('',#371866,0.175000000000001); #69917=CIRCLE('',#371868,0.175000000000001); #69918=CIRCLE('',#371869,0.175000000000001); #69919=CIRCLE('',#371871,0.175000000000001); #69920=CIRCLE('',#371872,0.175000000000001); #69921=CIRCLE('',#371874,0.549999999999997); #69922=CIRCLE('',#371875,0.549999999999997); #69923=CIRCLE('',#371877,0.175000000000001); #69924=CIRCLE('',#371878,0.175000000000001); #69925=CIRCLE('',#371880,0.175000000000001); #69926=CIRCLE('',#371881,0.175000000000001); #69927=CIRCLE('',#371883,0.175000000000001); #69928=CIRCLE('',#371884,0.175000000000001); #69929=CIRCLE('',#371886,0.175000000000001); #69930=CIRCLE('',#371887,0.175000000000001); #69931=CIRCLE('',#371889,0.175000000000001); #69932=CIRCLE('',#371890,0.175000000000001); #69933=CIRCLE('',#371892,0.175000000000001); #69934=CIRCLE('',#371893,0.175000000000001); #69935=CIRCLE('',#371895,0.175000000000001); #69936=CIRCLE('',#371896,0.175000000000001); #69937=CIRCLE('',#371898,0.175000000000001); #69938=CIRCLE('',#371899,0.175000000000001); #69939=CIRCLE('',#371901,0.175000000000001); #69940=CIRCLE('',#371902,0.175000000000001); #69941=CIRCLE('',#371904,0.175000000000001); #69942=CIRCLE('',#371905,0.175000000000001); #69943=CIRCLE('',#371907,0.175000000000001); #69944=CIRCLE('',#371908,0.175000000000001); #69945=CIRCLE('',#371910,0.175000000000001); #69946=CIRCLE('',#371911,0.175000000000001); #69947=CIRCLE('',#371913,0.175000000000001); #69948=CIRCLE('',#371914,0.175000000000001); #69949=CIRCLE('',#371916,0.175000000000001); #69950=CIRCLE('',#371917,0.175000000000001); #69951=CIRCLE('',#371919,0.175000000000001); #69952=CIRCLE('',#371920,0.175000000000001); #69953=CIRCLE('',#371922,0.499999999999998); #69954=CIRCLE('',#371923,0.499999999999998); #69955=CIRCLE('',#371925,0.175000000000001); #69956=CIRCLE('',#371926,0.175000000000001); #69957=CIRCLE('',#371928,0.175000000000001); #69958=CIRCLE('',#371929,0.175000000000001); #69959=CIRCLE('',#371931,0.175000000000001); #69960=CIRCLE('',#371932,0.175000000000001); #69961=CIRCLE('',#371934,0.175000000000001); #69962=CIRCLE('',#371935,0.175000000000001); #69963=CIRCLE('',#371937,0.175000000000001); #69964=CIRCLE('',#371938,0.175000000000001); #69965=CIRCLE('',#371940,0.175000000000001); #69966=CIRCLE('',#371941,0.175000000000001); #69967=CIRCLE('',#371943,0.175000000000001); #69968=CIRCLE('',#371944,0.175000000000001); #69969=CIRCLE('',#371946,0.175000000000001); #69970=CIRCLE('',#371947,0.175000000000001); #69971=CIRCLE('',#371949,0.175000000000001); #69972=CIRCLE('',#371950,0.175000000000001); #69973=CIRCLE('',#371952,0.175000000000001); #69974=CIRCLE('',#371953,0.175000000000001); #69975=CIRCLE('',#371955,0.175000000000001); #69976=CIRCLE('',#371956,0.175000000000001); #69977=CIRCLE('',#371958,0.175000000000001); #69978=CIRCLE('',#371959,0.175000000000001); #69979=CIRCLE('',#371961,0.175000000000001); #69980=CIRCLE('',#371962,0.175000000000001); #69981=CIRCLE('',#371964,0.175000000000001); #69982=CIRCLE('',#371965,0.175000000000001); #69983=CIRCLE('',#371967,0.175000000000001); #69984=CIRCLE('',#371968,0.175000000000001); #69985=CIRCLE('',#371970,0.600000000000001); #69986=CIRCLE('',#371971,0.600000000000001); #69987=CIRCLE('',#371973,0.175000000000001); #69988=CIRCLE('',#371974,0.175000000000001); #69989=CIRCLE('',#371976,0.175000000000001); #69990=CIRCLE('',#371977,0.175000000000001); #69991=CIRCLE('',#371979,0.175000000000001); #69992=CIRCLE('',#371980,0.175000000000001); #69993=CIRCLE('',#371982,0.175000000000001); #69994=CIRCLE('',#371983,0.175000000000001); #69995=CIRCLE('',#371985,0.175000000000001); #69996=CIRCLE('',#371986,0.175000000000001); #69997=CIRCLE('',#371988,0.175000000000001); #69998=CIRCLE('',#371989,0.175000000000001); #69999=CIRCLE('',#371991,0.175000000000001); #70000=CIRCLE('',#371992,0.175000000000001); #70001=CIRCLE('',#371994,0.175000000000001); #70002=CIRCLE('',#371995,0.175000000000001); #70003=CIRCLE('',#371997,0.175000000000001); #70004=CIRCLE('',#371998,0.175000000000001); #70005=CIRCLE('',#372000,0.175000000000001); #70006=CIRCLE('',#372001,0.175000000000001); #70007=CIRCLE('',#372003,0.175000000000001); #70008=CIRCLE('',#372004,0.175000000000001); #70009=CIRCLE('',#372006,0.175000000000001); #70010=CIRCLE('',#372007,0.175000000000001); #70011=CIRCLE('',#372009,0.175000000000001); #70012=CIRCLE('',#372010,0.175000000000001); #70013=CIRCLE('',#372012,0.175000000000001); #70014=CIRCLE('',#372013,0.175000000000001); #70015=CIRCLE('',#372015,0.175000000000001); #70016=CIRCLE('',#372016,0.175000000000001); #70017=CIRCLE('',#372018,0.499999999999998); #70018=CIRCLE('',#372019,0.499999999999998); #70019=CIRCLE('',#372021,0.175000000000001); #70020=CIRCLE('',#372022,0.175000000000001); #70021=CIRCLE('',#372024,0.175000000000001); #70022=CIRCLE('',#372025,0.175000000000001); #70023=CIRCLE('',#372027,0.175000000000001); #70024=CIRCLE('',#372028,0.175000000000001); #70025=CIRCLE('',#372030,0.175000000000001); #70026=CIRCLE('',#372031,0.175000000000001); #70027=CIRCLE('',#372033,0.175000000000001); #70028=CIRCLE('',#372034,0.175000000000001); #70029=CIRCLE('',#372036,0.175000000000001); #70030=CIRCLE('',#372037,0.175000000000001); #70031=CIRCLE('',#372039,0.175000000000001); #70032=CIRCLE('',#372040,0.175000000000001); #70033=CIRCLE('',#372042,0.175000000000001); #70034=CIRCLE('',#372043,0.175000000000001); #70035=CIRCLE('',#372045,0.175000000000001); #70036=CIRCLE('',#372046,0.175000000000001); #70037=CIRCLE('',#372048,0.175000000000001); #70038=CIRCLE('',#372049,0.175000000000001); #70039=CIRCLE('',#372051,0.175000000000001); #70040=CIRCLE('',#372052,0.175000000000001); #70041=CIRCLE('',#372054,0.175000000000001); #70042=CIRCLE('',#372055,0.175000000000001); #70043=CIRCLE('',#372057,0.175000000000001); #70044=CIRCLE('',#372058,0.175000000000001); #70045=CIRCLE('',#372060,0.175000000000001); #70046=CIRCLE('',#372061,0.175000000000001); #70047=CIRCLE('',#372063,0.175000000000001); #70048=CIRCLE('',#372064,0.175000000000001); #70049=CIRCLE('',#372066,0.549999999999997); #70050=CIRCLE('',#372067,0.549999999999997); #70051=CIRCLE('',#372069,0.175000000000001); #70052=CIRCLE('',#372070,0.175000000000001); #70053=CIRCLE('',#372072,0.175000000000001); #70054=CIRCLE('',#372073,0.175000000000001); #70055=CIRCLE('',#372075,0.175000000000001); #70056=CIRCLE('',#372076,0.175000000000001); #70057=CIRCLE('',#372078,0.175000000000001); #70058=CIRCLE('',#372079,0.175000000000001); #70059=CIRCLE('',#372081,0.175000000000001); #70060=CIRCLE('',#372082,0.175000000000001); #70061=CIRCLE('',#372084,0.175000000000001); #70062=CIRCLE('',#372085,0.175000000000001); #70063=CIRCLE('',#372087,0.175000000000001); #70064=CIRCLE('',#372088,0.175000000000001); #70065=CIRCLE('',#372090,0.175000000000001); #70066=CIRCLE('',#372091,0.175000000000001); #70067=CIRCLE('',#372093,0.175000000000001); #70068=CIRCLE('',#372094,0.175000000000001); #70069=CIRCLE('',#372096,0.175000000000001); #70070=CIRCLE('',#372097,0.175000000000001); #70071=CIRCLE('',#372099,0.175000000000001); #70072=CIRCLE('',#372100,0.175000000000001); #70073=CIRCLE('',#372102,0.175000000000001); #70074=CIRCLE('',#372103,0.175000000000001); #70075=CIRCLE('',#372105,0.175000000000001); #70076=CIRCLE('',#372106,0.175000000000001); #70077=CIRCLE('',#372108,0.175000000000001); #70078=CIRCLE('',#372109,0.175000000000001); #70079=CIRCLE('',#372111,0.175000000000001); #70080=CIRCLE('',#372112,0.175000000000001); #70081=CIRCLE('',#372114,0.499999999999998); #70082=CIRCLE('',#372115,0.499999999999998); #70083=CIRCLE('',#372117,0.175000000000001); #70084=CIRCLE('',#372118,0.175000000000001); #70085=CIRCLE('',#372120,0.175000000000001); #70086=CIRCLE('',#372121,0.175000000000001); #70087=CIRCLE('',#372123,0.175000000000001); #70088=CIRCLE('',#372124,0.175000000000001); #70089=CIRCLE('',#372126,0.175000000000001); #70090=CIRCLE('',#372127,0.175000000000001); #70091=CIRCLE('',#372129,0.175000000000001); #70092=CIRCLE('',#372130,0.175000000000001); #70093=CIRCLE('',#372132,0.175000000000001); #70094=CIRCLE('',#372133,0.175000000000001); #70095=CIRCLE('',#372135,0.175000000000001); #70096=CIRCLE('',#372136,0.175000000000001); #70097=CIRCLE('',#372138,0.175000000000001); #70098=CIRCLE('',#372139,0.175000000000001); #70099=CIRCLE('',#372141,0.175000000000001); #70100=CIRCLE('',#372142,0.175000000000001); #70101=CIRCLE('',#372144,0.175000000000001); #70102=CIRCLE('',#372145,0.175000000000001); #70103=CIRCLE('',#372147,0.175000000000001); #70104=CIRCLE('',#372148,0.175000000000001); #70105=CIRCLE('',#372150,0.175000000000001); #70106=CIRCLE('',#372151,0.175000000000001); #70107=CIRCLE('',#372153,0.175000000000001); #70108=CIRCLE('',#372154,0.175000000000001); #70109=CIRCLE('',#372156,0.175000000000001); #70110=CIRCLE('',#372157,0.175000000000001); #70111=CIRCLE('',#372159,0.175000000000001); #70112=CIRCLE('',#372160,0.175000000000001); #70113=CIRCLE('',#372162,34.); #70114=CIRCLE('',#372163,34.); #70115=CIRCLE('',#372168,0.325); #70116=CIRCLE('',#372169,0.325); #70117=CIRCLE('',#372171,0.325); #70118=CIRCLE('',#372172,0.325); #70119=CIRCLE('',#372174,0.600000000000001); #70120=CIRCLE('',#372175,0.600000000000001); #70121=CIRCLE('',#372177,0.175000000000001); #70122=CIRCLE('',#372178,0.175000000000001); #70123=CIRCLE('',#372180,0.175000000000001); #70124=CIRCLE('',#372181,0.175000000000001); #70125=CIRCLE('',#372183,0.175000000000001); #70126=CIRCLE('',#372184,0.175000000000001); #70127=CIRCLE('',#372186,0.175000000000001); #70128=CIRCLE('',#372187,0.175000000000001); #70129=CIRCLE('',#372189,0.175000000000001); #70130=CIRCLE('',#372190,0.175000000000001); #70131=CIRCLE('',#372192,0.175000000000001); #70132=CIRCLE('',#372193,0.175000000000001); #70133=CIRCLE('',#372195,0.175000000000001); #70134=CIRCLE('',#372196,0.175000000000001); #70135=CIRCLE('',#372198,0.175000000000001); #70136=CIRCLE('',#372199,0.175000000000001); #70137=CIRCLE('',#372201,0.175000000000001); #70138=CIRCLE('',#372202,0.175000000000001); #70139=CIRCLE('',#372204,0.175000000000001); #70140=CIRCLE('',#372205,0.175000000000001); #70141=CIRCLE('',#372207,0.175000000000001); #70142=CIRCLE('',#372208,0.175000000000001); #70143=CIRCLE('',#372210,0.175000000000001); #70144=CIRCLE('',#372211,0.175000000000001); #70145=CIRCLE('',#372213,0.175000000000001); #70146=CIRCLE('',#372214,0.175000000000001); #70147=CIRCLE('',#372216,0.175000000000001); #70148=CIRCLE('',#372217,0.175000000000001); #70149=CIRCLE('',#372219,0.175000000000001); #70150=CIRCLE('',#372220,0.175000000000001); #70151=CIRCLE('',#372222,0.5); #70152=CIRCLE('',#372223,0.5); #70153=CIRCLE('',#372225,0.175000000000001); #70154=CIRCLE('',#372226,0.175000000000001); #70155=CIRCLE('',#372228,0.175000000000001); #70156=CIRCLE('',#372229,0.175000000000001); #70157=CIRCLE('',#372231,0.175000000000001); #70158=CIRCLE('',#372232,0.175000000000001); #70159=CIRCLE('',#372234,0.175000000000001); #70160=CIRCLE('',#372235,0.175000000000001); #70161=CIRCLE('',#372237,0.175000000000001); #70162=CIRCLE('',#372238,0.175000000000001); #70163=CIRCLE('',#372240,0.175000000000001); #70164=CIRCLE('',#372241,0.175000000000001); #70165=CIRCLE('',#372243,0.175000000000001); #70166=CIRCLE('',#372244,0.175000000000001); #70167=CIRCLE('',#372246,0.175000000000001); #70168=CIRCLE('',#372247,0.175000000000001); #70169=CIRCLE('',#372249,0.175000000000001); #70170=CIRCLE('',#372250,0.175000000000001); #70171=CIRCLE('',#372252,0.175000000000001); #70172=CIRCLE('',#372253,0.175000000000001); #70173=CIRCLE('',#372255,0.175000000000001); #70174=CIRCLE('',#372256,0.175000000000001); #70175=CIRCLE('',#372258,0.175000000000001); #70176=CIRCLE('',#372259,0.175000000000001); #70177=CIRCLE('',#372261,0.175000000000001); #70178=CIRCLE('',#372262,0.175000000000001); #70179=CIRCLE('',#372264,0.175000000000001); #70180=CIRCLE('',#372265,0.175000000000001); #70181=CIRCLE('',#372267,0.175000000000001); #70182=CIRCLE('',#372268,0.175000000000001); #70183=CIRCLE('',#372270,0.550000000000002); #70184=CIRCLE('',#372271,0.550000000000002); #70185=CIRCLE('',#372273,0.175000000000001); #70186=CIRCLE('',#372274,0.175000000000001); #70187=CIRCLE('',#372276,0.175000000000001); #70188=CIRCLE('',#372277,0.175000000000001); #70189=CIRCLE('',#372279,0.175000000000001); #70190=CIRCLE('',#372280,0.175000000000001); #70191=CIRCLE('',#372282,0.175000000000001); #70192=CIRCLE('',#372283,0.175000000000001); #70193=CIRCLE('',#372285,0.175000000000001); #70194=CIRCLE('',#372286,0.175000000000001); #70195=CIRCLE('',#372288,0.175000000000001); #70196=CIRCLE('',#372289,0.175000000000001); #70197=CIRCLE('',#372291,0.175000000000001); #70198=CIRCLE('',#372292,0.175000000000001); #70199=CIRCLE('',#372294,0.175000000000001); #70200=CIRCLE('',#372295,0.175000000000001); #70201=CIRCLE('',#372297,0.175000000000001); #70202=CIRCLE('',#372298,0.175000000000001); #70203=CIRCLE('',#372300,0.175000000000001); #70204=CIRCLE('',#372301,0.175000000000001); #70205=CIRCLE('',#372303,0.175000000000001); #70206=CIRCLE('',#372304,0.175000000000001); #70207=CIRCLE('',#372306,0.175000000000001); #70208=CIRCLE('',#372307,0.175000000000001); #70209=CIRCLE('',#372309,0.175000000000001); #70210=CIRCLE('',#372310,0.175000000000001); #70211=CIRCLE('',#372312,0.175000000000001); #70212=CIRCLE('',#372313,0.175000000000001); #70213=CIRCLE('',#372315,0.175000000000001); #70214=CIRCLE('',#372316,0.175000000000001); #70215=CIRCLE('',#372318,0.5); #70216=CIRCLE('',#372319,0.5); #70217=CIRCLE('',#372321,0.175000000000001); #70218=CIRCLE('',#372322,0.175000000000001); #70219=CIRCLE('',#372324,0.175000000000001); #70220=CIRCLE('',#372325,0.175000000000001); #70221=CIRCLE('',#372327,0.175000000000001); #70222=CIRCLE('',#372328,0.175000000000001); #70223=CIRCLE('',#372330,0.175000000000001); #70224=CIRCLE('',#372331,0.175000000000001); #70225=CIRCLE('',#372333,0.175000000000001); #70226=CIRCLE('',#372334,0.175000000000001); #70227=CIRCLE('',#372336,0.175000000000001); #70228=CIRCLE('',#372337,0.175000000000001); #70229=CIRCLE('',#372339,0.175000000000001); #70230=CIRCLE('',#372340,0.175000000000001); #70231=CIRCLE('',#372342,0.175000000000001); #70232=CIRCLE('',#372343,0.175000000000001); #70233=CIRCLE('',#372345,0.175000000000001); #70234=CIRCLE('',#372346,0.175000000000001); #70235=CIRCLE('',#372348,0.175000000000001); #70236=CIRCLE('',#372349,0.175000000000001); #70237=CIRCLE('',#372351,0.175000000000001); #70238=CIRCLE('',#372352,0.175000000000001); #70239=CIRCLE('',#372354,0.175000000000001); #70240=CIRCLE('',#372355,0.175000000000001); #70241=CIRCLE('',#372357,0.175000000000001); #70242=CIRCLE('',#372358,0.175000000000001); #70243=CIRCLE('',#372360,0.175000000000001); #70244=CIRCLE('',#372361,0.175000000000001); #70245=CIRCLE('',#372363,0.175000000000001); #70246=CIRCLE('',#372364,0.175000000000001); #70247=CIRCLE('',#372366,0.600000000000001); #70248=CIRCLE('',#372367,0.600000000000001); #70249=CIRCLE('',#372369,0.175000000000001); #70250=CIRCLE('',#372370,0.175000000000001); #70251=CIRCLE('',#372372,0.175000000000001); #70252=CIRCLE('',#372373,0.175000000000001); #70253=CIRCLE('',#372375,0.175000000000001); #70254=CIRCLE('',#372376,0.175000000000001); #70255=CIRCLE('',#372378,0.175000000000001); #70256=CIRCLE('',#372379,0.175000000000001); #70257=CIRCLE('',#372381,0.175000000000001); #70258=CIRCLE('',#372382,0.175000000000001); #70259=CIRCLE('',#372384,0.175); #70260=CIRCLE('',#372385,0.175); #70261=CIRCLE('',#372387,0.175000000000001); #70262=CIRCLE('',#372388,0.175000000000001); #70263=CIRCLE('',#372390,0.175000000000001); #70264=CIRCLE('',#372391,0.175000000000001); #70265=CIRCLE('',#372393,0.175000000000001); #70266=CIRCLE('',#372394,0.175000000000001); #70267=CIRCLE('',#372396,0.175000000000001); #70268=CIRCLE('',#372397,0.175000000000001); #70269=CIRCLE('',#372399,0.175000000000001); #70270=CIRCLE('',#372400,0.175000000000001); #70271=CIRCLE('',#372402,0.175000000000001); #70272=CIRCLE('',#372403,0.175000000000001); #70273=CIRCLE('',#372405,0.175000000000001); #70274=CIRCLE('',#372406,0.175000000000001); #70275=CIRCLE('',#372408,0.175); #70276=CIRCLE('',#372409,0.175); #70277=CIRCLE('',#372411,0.175000000000001); #70278=CIRCLE('',#372412,0.175000000000001); #70279=CIRCLE('',#372414,0.175000000000001); #70280=CIRCLE('',#372415,0.175000000000001); #70281=CIRCLE('',#372417,0.175000000000001); #70282=CIRCLE('',#372418,0.175000000000001); #70283=CIRCLE('',#372420,0.175000000000001); #70284=CIRCLE('',#372421,0.175000000000001); #70285=CIRCLE('',#372423,0.175000000000001); #70286=CIRCLE('',#372424,0.175000000000001); #70287=CIRCLE('',#372426,0.175000000000001); #70288=CIRCLE('',#372427,0.175000000000001); #70289=CIRCLE('',#372429,0.175000000000001); #70290=CIRCLE('',#372430,0.175000000000001); #70291=CIRCLE('',#372432,0.175000000000001); #70292=CIRCLE('',#372433,0.175000000000001); #70293=CIRCLE('',#372435,0.175000000000001); #70294=CIRCLE('',#372436,0.175000000000001); #70295=CIRCLE('',#372438,0.175000000000001); #70296=CIRCLE('',#372439,0.175000000000001); #70297=CIRCLE('',#372441,0.175000000000001); #70298=CIRCLE('',#372442,0.175000000000001); #70299=CIRCLE('',#372444,0.175000000000001); #70300=CIRCLE('',#372445,0.175000000000001); #70301=CIRCLE('',#372447,0.175000000000001); #70302=CIRCLE('',#372448,0.175000000000001); #70303=CIRCLE('',#372450,0.175000000000001); #70304=CIRCLE('',#372451,0.175000000000001); #70305=CIRCLE('',#372453,0.175000000000001); #70306=CIRCLE('',#372454,0.175000000000001); #70307=CIRCLE('',#372456,0.175000000000001); #70308=CIRCLE('',#372457,0.175000000000001); #70309=CIRCLE('',#372459,0.175000000000001); #70310=CIRCLE('',#372460,0.175000000000001); #70311=CIRCLE('',#372462,0.499999999999998); #70312=CIRCLE('',#372463,0.499999999999998); #70313=CIRCLE('',#372465,0.175000000000001); #70314=CIRCLE('',#372466,0.175000000000001); #70315=CIRCLE('',#372468,0.175000000000001); #70316=CIRCLE('',#372469,0.175000000000001); #70317=CIRCLE('',#372471,0.175000000000001); #70318=CIRCLE('',#372472,0.175000000000001); #70319=CIRCLE('',#372474,0.175000000000001); #70320=CIRCLE('',#372475,0.175000000000001); #70321=CIRCLE('',#372477,0.175000000000001); #70322=CIRCLE('',#372478,0.175000000000001); #70323=CIRCLE('',#372480,0.175000000000001); #70324=CIRCLE('',#372481,0.175000000000001); #70325=CIRCLE('',#372483,0.175000000000001); #70326=CIRCLE('',#372484,0.175000000000001); #70327=CIRCLE('',#372486,0.175000000000001); #70328=CIRCLE('',#372487,0.175000000000001); #70329=CIRCLE('',#372489,0.175000000000001); #70330=CIRCLE('',#372490,0.175000000000001); #70331=CIRCLE('',#372492,0.175000000000001); #70332=CIRCLE('',#372493,0.175000000000001); #70333=CIRCLE('',#372495,0.175000000000001); #70334=CIRCLE('',#372496,0.175000000000001); #70335=CIRCLE('',#372498,0.175000000000001); #70336=CIRCLE('',#372499,0.175000000000001); #70337=CIRCLE('',#372501,0.175000000000001); #70338=CIRCLE('',#372502,0.175000000000001); #70339=CIRCLE('',#372504,0.175000000000001); #70340=CIRCLE('',#372505,0.175000000000001); #70341=CIRCLE('',#372507,0.175000000000001); #70342=CIRCLE('',#372508,0.175000000000001); #70343=CIRCLE('',#372510,0.499999999999998); #70344=CIRCLE('',#372511,0.499999999999998); #70345=CIRCLE('',#372513,0.175000000000001); #70346=CIRCLE('',#372514,0.175000000000001); #70347=CIRCLE('',#372516,0.175000000000001); #70348=CIRCLE('',#372517,0.175000000000001); #70349=CIRCLE('',#372519,0.175000000000001); #70350=CIRCLE('',#372520,0.175000000000001); #70351=CIRCLE('',#372522,0.175000000000001); #70352=CIRCLE('',#372523,0.175000000000001); #70353=CIRCLE('',#372525,0.175000000000001); #70354=CIRCLE('',#372526,0.175000000000001); #70355=CIRCLE('',#372528,0.175000000000001); #70356=CIRCLE('',#372529,0.175000000000001); #70357=CIRCLE('',#372531,0.175000000000001); #70358=CIRCLE('',#372532,0.175000000000001); #70359=CIRCLE('',#372534,0.175000000000001); #70360=CIRCLE('',#372535,0.175000000000001); #70361=CIRCLE('',#372537,0.175000000000001); #70362=CIRCLE('',#372538,0.175000000000001); #70363=CIRCLE('',#372540,0.175000000000001); #70364=CIRCLE('',#372541,0.175000000000001); #70365=CIRCLE('',#372543,0.175000000000001); #70366=CIRCLE('',#372544,0.175000000000001); #70367=CIRCLE('',#372546,0.175000000000001); #70368=CIRCLE('',#372547,0.175000000000001); #70369=CIRCLE('',#372549,0.175000000000001); #70370=CIRCLE('',#372550,0.175000000000001); #70371=CIRCLE('',#372552,0.175000000000001); #70372=CIRCLE('',#372553,0.175000000000001); #70373=CIRCLE('',#372555,0.175000000000001); #70374=CIRCLE('',#372556,0.175000000000001); #70375=CIRCLE('',#372558,0.550000000000002); #70376=CIRCLE('',#372559,0.550000000000002); #70377=CIRCLE('',#372561,0.150000000000001); #70378=CIRCLE('',#372562,0.150000000000001); #70379=CIRCLE('',#372564,0.175); #70380=CIRCLE('',#372565,0.175); #70381=CIRCLE('',#372567,0.150000000000001); #70382=CIRCLE('',#372568,0.150000000000001); #70383=CIRCLE('',#372570,0.175000000000001); #70384=CIRCLE('',#372571,0.175000000000001); #70385=CIRCLE('',#372573,0.175000000000001); #70386=CIRCLE('',#372574,0.175000000000001); #70387=CIRCLE('',#372576,0.175); #70388=CIRCLE('',#372577,0.175); #70389=CIRCLE('',#372579,0.150000000000001); #70390=CIRCLE('',#372580,0.150000000000001); #70391=CIRCLE('',#372582,0.175000000000001); #70392=CIRCLE('',#372583,0.175000000000001); #70393=CIRCLE('',#372585,0.175000000000001); #70394=CIRCLE('',#372586,0.175000000000001); #70395=CIRCLE('',#372588,0.175); #70396=CIRCLE('',#372589,0.175); #70397=CIRCLE('',#372591,0.175000000000001); #70398=CIRCLE('',#372592,0.175000000000001); #70399=CIRCLE('',#372594,0.175000000000001); #70400=CIRCLE('',#372595,0.175000000000001); #70401=CIRCLE('',#372597,0.175000000000001); #70402=CIRCLE('',#372598,0.175000000000001); #70403=CIRCLE('',#372600,0.175); #70404=CIRCLE('',#372601,0.175); #70405=CIRCLE('',#372603,0.175000000000001); #70406=CIRCLE('',#372604,0.175000000000001); #70407=CIRCLE('',#372606,0.175000000000001); #70408=CIRCLE('',#372607,0.175000000000001); #70409=CIRCLE('',#372609,0.175000000000001); #70410=CIRCLE('',#372610,0.175000000000001); #70411=CIRCLE('',#372612,0.175); #70412=CIRCLE('',#372613,0.175); #70413=CIRCLE('',#372615,0.175000000000001); #70414=CIRCLE('',#372616,0.175000000000001); #70415=CIRCLE('',#372618,0.175000000000001); #70416=CIRCLE('',#372619,0.175000000000001); #70417=CIRCLE('',#372621,0.175000000000001); #70418=CIRCLE('',#372622,0.175000000000001); #70419=CIRCLE('',#372624,0.175000000000001); #70420=CIRCLE('',#372625,0.175000000000001); #70421=CIRCLE('',#372627,0.175000000000001); #70422=CIRCLE('',#372628,0.175000000000001); #70423=CIRCLE('',#372630,0.175000000000001); #70424=CIRCLE('',#372631,0.175000000000001); #70425=CIRCLE('',#372633,0.175000000000001); #70426=CIRCLE('',#372634,0.175000000000001); #70427=CIRCLE('',#372636,0.175); #70428=CIRCLE('',#372637,0.175); #70429=CIRCLE('',#372639,0.175000000000001); #70430=CIRCLE('',#372640,0.175000000000001); #70431=CIRCLE('',#372642,0.175000000000001); #70432=CIRCLE('',#372643,0.175000000000001); #70433=CIRCLE('',#372645,0.175000000000001); #70434=CIRCLE('',#372646,0.175000000000001); #70435=CIRCLE('',#372648,0.175); #70436=CIRCLE('',#372649,0.175); #70437=CIRCLE('',#372651,0.175000000000001); #70438=CIRCLE('',#372652,0.175000000000001); #70439=CIRCLE('',#372654,0.499999999999998); #70440=CIRCLE('',#372655,0.499999999999998); #70441=CIRCLE('',#372658,0.35); #70442=CIRCLE('',#372659,0.35); #70443=CIRCLE('',#372662,0.35); #70444=CIRCLE('',#372663,0.35); #70445=CIRCLE('',#372665,0.175000000000001); #70446=CIRCLE('',#372666,0.175000000000001); #70447=CIRCLE('',#372669,0.6); #70448=CIRCLE('',#372670,0.6); #70449=CIRCLE('',#372673,0.6); #70450=CIRCLE('',#372674,0.6); #70451=CIRCLE('',#372676,0.175000000000001); #70452=CIRCLE('',#372677,0.175000000000001); #70453=CIRCLE('',#372679,0.150000000000001); #70454=CIRCLE('',#372680,0.150000000000001); #70455=CIRCLE('',#372682,0.175000000000001); #70456=CIRCLE('',#372683,0.175000000000001); #70457=CIRCLE('',#372686,0.6); #70458=CIRCLE('',#372687,0.6); #70459=CIRCLE('',#372690,0.6); #70460=CIRCLE('',#372691,0.6); #70461=CIRCLE('',#372693,0.175000000000001); #70462=CIRCLE('',#372694,0.175000000000001); #70463=CIRCLE('',#372696,0.150000000000001); #70464=CIRCLE('',#372697,0.150000000000001); #70465=CIRCLE('',#372699,0.175000000000001); #70466=CIRCLE('',#372700,0.175000000000001); #70467=CIRCLE('',#372702,0.150000000000001); #70468=CIRCLE('',#372703,0.150000000000001); #70469=CIRCLE('',#372705,0.175000000000001); #70470=CIRCLE('',#372706,0.175000000000001); #70471=CIRCLE('',#372708,0.150000000000001); #70472=CIRCLE('',#372709,0.150000000000001); #70473=CIRCLE('',#372711,0.175000000000001); #70474=CIRCLE('',#372712,0.175000000000001); #70475=CIRCLE('',#372714,0.150000000000001); #70476=CIRCLE('',#372715,0.150000000000001); #70477=CIRCLE('',#372717,0.175000000000001); #70478=CIRCLE('',#372718,0.175000000000001); #70479=CIRCLE('',#372720,0.175000000000001); #70480=CIRCLE('',#372721,0.175000000000001); #70481=CIRCLE('',#372724,0.35); #70482=CIRCLE('',#372725,0.35); #70483=CIRCLE('',#372728,0.35); #70484=CIRCLE('',#372729,0.35); #70485=CIRCLE('',#372731,0.175000000000001); #70486=CIRCLE('',#372732,0.175000000000001); #70487=CIRCLE('',#372735,0.35); #70488=CIRCLE('',#372736,0.35); #70489=CIRCLE('',#372739,0.35); #70490=CIRCLE('',#372740,0.35); #70491=CIRCLE('',#372742,0.175000000000001); #70492=CIRCLE('',#372743,0.175000000000001); #70493=CIRCLE('',#372746,0.35); #70494=CIRCLE('',#372747,0.35); #70495=CIRCLE('',#372750,0.35); #70496=CIRCLE('',#372751,0.35); #70497=CIRCLE('',#372753,0.175000000000001); #70498=CIRCLE('',#372754,0.175000000000001); #70499=CIRCLE('',#372756,0.175000000000001); #70500=CIRCLE('',#372757,0.175000000000001); #70501=CIRCLE('',#372759,0.175000000000001); #70502=CIRCLE('',#372760,0.175000000000001); #70503=CIRCLE('',#372762,0.175000000000001); #70504=CIRCLE('',#372763,0.175000000000001); #70505=CIRCLE('',#372765,0.600000000000001); #70506=CIRCLE('',#372766,0.600000000000001); #70507=CIRCLE('',#372768,0.175000000000001); #70508=CIRCLE('',#372769,0.175000000000001); #70509=CIRCLE('',#372771,0.175000000000001); #70510=CIRCLE('',#372772,0.175000000000001); #70511=CIRCLE('',#372774,0.175000000000001); #70512=CIRCLE('',#372775,0.175000000000001); #70513=CIRCLE('',#372777,0.175000000000001); #70514=CIRCLE('',#372778,0.175000000000001); #70515=CIRCLE('',#372780,0.175000000000001); #70516=CIRCLE('',#372781,0.175000000000001); #70517=CIRCLE('',#372783,0.175000000000001); #70518=CIRCLE('',#372784,0.175000000000001); #70519=CIRCLE('',#372786,0.175000000000001); #70520=CIRCLE('',#372787,0.175000000000001); #70521=CIRCLE('',#372789,0.175000000000001); #70522=CIRCLE('',#372790,0.175000000000001); #70523=CIRCLE('',#372792,0.175000000000001); #70524=CIRCLE('',#372793,0.175000000000001); #70525=CIRCLE('',#372795,0.175000000000001); #70526=CIRCLE('',#372796,0.175000000000001); #70527=CIRCLE('',#372798,0.175000000000001); #70528=CIRCLE('',#372799,0.175000000000001); #70529=CIRCLE('',#372801,0.175000000000001); #70530=CIRCLE('',#372802,0.175000000000001); #70531=CIRCLE('',#372804,0.175000000000001); #70532=CIRCLE('',#372805,0.175000000000001); #70533=CIRCLE('',#372807,0.175000000000001); #70534=CIRCLE('',#372808,0.175000000000001); #70535=CIRCLE('',#372810,0.175000000000001); #70536=CIRCLE('',#372811,0.175000000000001); #70537=CIRCLE('',#372813,0.499999999999998); #70538=CIRCLE('',#372814,0.499999999999998); #70539=CIRCLE('',#372816,0.175000000000001); #70540=CIRCLE('',#372817,0.175000000000001); #70541=CIRCLE('',#372819,0.175000000000001); #70542=CIRCLE('',#372820,0.175000000000001); #70543=CIRCLE('',#372822,0.175000000000001); #70544=CIRCLE('',#372823,0.175000000000001); #70545=CIRCLE('',#372825,0.175000000000001); #70546=CIRCLE('',#372826,0.175000000000001); #70547=CIRCLE('',#372828,0.175000000000001); #70548=CIRCLE('',#372829,0.175000000000001); #70549=CIRCLE('',#372831,0.175000000000001); #70550=CIRCLE('',#372832,0.175000000000001); #70551=CIRCLE('',#372834,0.175000000000001); #70552=CIRCLE('',#372835,0.175000000000001); #70553=CIRCLE('',#372837,0.175000000000001); #70554=CIRCLE('',#372838,0.175000000000001); #70555=CIRCLE('',#372840,0.175000000000001); #70556=CIRCLE('',#372841,0.175000000000001); #70557=CIRCLE('',#372843,0.175000000000001); #70558=CIRCLE('',#372844,0.175000000000001); #70559=CIRCLE('',#372846,0.175000000000001); #70560=CIRCLE('',#372847,0.175000000000001); #70561=CIRCLE('',#372849,0.175000000000001); #70562=CIRCLE('',#372850,0.175000000000001); #70563=CIRCLE('',#372852,0.175000000000001); #70564=CIRCLE('',#372853,0.175000000000001); #70565=CIRCLE('',#372855,0.175000000000001); #70566=CIRCLE('',#372856,0.175000000000001); #70567=CIRCLE('',#372858,0.175000000000001); #70568=CIRCLE('',#372859,0.175000000000001); #70569=CIRCLE('',#372861,0.549999999999997); #70570=CIRCLE('',#372862,0.549999999999997); #70571=CIRCLE('',#372864,0.175000000000001); #70572=CIRCLE('',#372865,0.175000000000001); #70573=CIRCLE('',#372867,0.175000000000001); #70574=CIRCLE('',#372868,0.175000000000001); #70575=CIRCLE('',#372870,0.175000000000001); #70576=CIRCLE('',#372871,0.175000000000001); #70577=CIRCLE('',#372873,0.175000000000001); #70578=CIRCLE('',#372874,0.175000000000001); #70579=CIRCLE('',#372876,0.175000000000001); #70580=CIRCLE('',#372877,0.175000000000001); #70581=CIRCLE('',#372879,0.175000000000001); #70582=CIRCLE('',#372880,0.175000000000001); #70583=CIRCLE('',#372882,0.175000000000001); #70584=CIRCLE('',#372883,0.175000000000001); #70585=CIRCLE('',#372885,0.175000000000001); #70586=CIRCLE('',#372886,0.175000000000001); #70587=CIRCLE('',#372888,0.175000000000001); #70588=CIRCLE('',#372889,0.175000000000001); #70589=CIRCLE('',#372891,0.175000000000001); #70590=CIRCLE('',#372892,0.175000000000001); #70591=CIRCLE('',#372894,0.175000000000001); #70592=CIRCLE('',#372895,0.175000000000001); #70593=CIRCLE('',#372897,0.175000000000001); #70594=CIRCLE('',#372898,0.175000000000001); #70595=CIRCLE('',#372900,0.175000000000001); #70596=CIRCLE('',#372901,0.175000000000001); #70597=CIRCLE('',#372903,0.175000000000001); #70598=CIRCLE('',#372904,0.175000000000001); #70599=CIRCLE('',#372906,0.175000000000001); #70600=CIRCLE('',#372907,0.175000000000001); #70601=CIRCLE('',#372909,0.499999999999998); #70602=CIRCLE('',#372910,0.499999999999998); #70603=CIRCLE('',#372912,0.175000000000001); #70604=CIRCLE('',#372913,0.175000000000001); #70605=CIRCLE('',#372915,0.175000000000001); #70606=CIRCLE('',#372916,0.175000000000001); #70607=CIRCLE('',#372918,0.175000000000001); #70608=CIRCLE('',#372919,0.175000000000001); #70609=CIRCLE('',#372921,0.175000000000001); #70610=CIRCLE('',#372922,0.175000000000001); #70611=CIRCLE('',#372924,0.175000000000001); #70612=CIRCLE('',#372925,0.175000000000001); #70613=CIRCLE('',#372927,0.175000000000001); #70614=CIRCLE('',#372928,0.175000000000001); #70615=CIRCLE('',#372930,0.175000000000001); #70616=CIRCLE('',#372931,0.175000000000001); #70617=CIRCLE('',#372933,0.175000000000001); #70618=CIRCLE('',#372934,0.175000000000001); #70619=CIRCLE('',#372936,0.175000000000001); #70620=CIRCLE('',#372937,0.175000000000001); #70621=CIRCLE('',#372939,0.175000000000001); #70622=CIRCLE('',#372940,0.175000000000001); #70623=CIRCLE('',#372942,0.175000000000001); #70624=CIRCLE('',#372943,0.175000000000001); #70625=CIRCLE('',#372945,0.175000000000001); #70626=CIRCLE('',#372946,0.175000000000001); #70627=CIRCLE('',#372948,0.175000000000001); #70628=CIRCLE('',#372949,0.175000000000001); #70629=CIRCLE('',#372951,0.175000000000001); #70630=CIRCLE('',#372952,0.175000000000001); #70631=CIRCLE('',#372954,0.175000000000001); #70632=CIRCLE('',#372955,0.175000000000001); #70633=CIRCLE('',#372957,0.600000000000001); #70634=CIRCLE('',#372958,0.600000000000001); #70635=CIRCLE('',#372960,0.175000000000001); #70636=CIRCLE('',#372961,0.175000000000001); #70637=CIRCLE('',#372963,0.175000000000001); #70638=CIRCLE('',#372964,0.175000000000001); #70639=CIRCLE('',#372966,0.175000000000001); #70640=CIRCLE('',#372967,0.175000000000001); #70641=CIRCLE('',#372969,0.175000000000001); #70642=CIRCLE('',#372970,0.175000000000001); #70643=CIRCLE('',#372972,0.175000000000001); #70644=CIRCLE('',#372973,0.175000000000001); #70645=CIRCLE('',#372975,0.175000000000001); #70646=CIRCLE('',#372976,0.175000000000001); #70647=CIRCLE('',#372978,0.175000000000001); #70648=CIRCLE('',#372979,0.175000000000001); #70649=CIRCLE('',#372981,0.175000000000001); #70650=CIRCLE('',#372982,0.175000000000001); #70651=CIRCLE('',#372984,0.175000000000001); #70652=CIRCLE('',#372985,0.175000000000001); #70653=CIRCLE('',#372987,0.175000000000001); #70654=CIRCLE('',#372988,0.175000000000001); #70655=CIRCLE('',#372990,0.175000000000001); #70656=CIRCLE('',#372991,0.175000000000001); #70657=CIRCLE('',#372993,0.175000000000001); #70658=CIRCLE('',#372994,0.175000000000001); #70659=CIRCLE('',#372996,0.175000000000001); #70660=CIRCLE('',#372997,0.175000000000001); #70661=CIRCLE('',#372999,0.175000000000001); #70662=CIRCLE('',#373000,0.175000000000001); #70663=CIRCLE('',#373002,0.175000000000001); #70664=CIRCLE('',#373003,0.175000000000001); #70665=CIRCLE('',#373005,0.499999999999998); #70666=CIRCLE('',#373006,0.499999999999998); #70667=CIRCLE('',#373008,0.175000000000001); #70668=CIRCLE('',#373009,0.175000000000001); #70669=CIRCLE('',#373011,0.175000000000001); #70670=CIRCLE('',#373012,0.175000000000001); #70671=CIRCLE('',#373014,0.175000000000001); #70672=CIRCLE('',#373015,0.175000000000001); #70673=CIRCLE('',#373017,0.175000000000001); #70674=CIRCLE('',#373018,0.175000000000001); #70675=CIRCLE('',#373020,0.175000000000001); #70676=CIRCLE('',#373021,0.175000000000001); #70677=CIRCLE('',#373023,0.175000000000001); #70678=CIRCLE('',#373024,0.175000000000001); #70679=CIRCLE('',#373026,0.175000000000001); #70680=CIRCLE('',#373027,0.175000000000001); #70681=CIRCLE('',#373029,0.175000000000001); #70682=CIRCLE('',#373030,0.175000000000001); #70683=CIRCLE('',#373032,0.175000000000001); #70684=CIRCLE('',#373033,0.175000000000001); #70685=CIRCLE('',#373035,0.175000000000001); #70686=CIRCLE('',#373036,0.175000000000001); #70687=CIRCLE('',#373038,0.175000000000001); #70688=CIRCLE('',#373039,0.175000000000001); #70689=CIRCLE('',#373041,0.175000000000001); #70690=CIRCLE('',#373042,0.175000000000001); #70691=CIRCLE('',#373044,0.175000000000001); #70692=CIRCLE('',#373045,0.175000000000001); #70693=CIRCLE('',#373047,0.175000000000001); #70694=CIRCLE('',#373048,0.175000000000001); #70695=CIRCLE('',#373050,0.175000000000001); #70696=CIRCLE('',#373051,0.175000000000001); #70697=CIRCLE('',#373053,0.549999999999997); #70698=CIRCLE('',#373054,0.549999999999997); #70699=CIRCLE('',#373056,0.175000000000001); #70700=CIRCLE('',#373057,0.175000000000001); #70701=CIRCLE('',#373059,0.175000000000001); #70702=CIRCLE('',#373060,0.175000000000001); #70703=CIRCLE('',#373062,0.175000000000001); #70704=CIRCLE('',#373063,0.175000000000001); #70705=CIRCLE('',#373065,0.175000000000001); #70706=CIRCLE('',#373066,0.175000000000001); #70707=CIRCLE('',#373068,0.175000000000001); #70708=CIRCLE('',#373069,0.175000000000001); #70709=CIRCLE('',#373071,0.175000000000001); #70710=CIRCLE('',#373072,0.175000000000001); #70711=CIRCLE('',#373074,0.175000000000001); #70712=CIRCLE('',#373075,0.175000000000001); #70713=CIRCLE('',#373077,0.175000000000001); #70714=CIRCLE('',#373078,0.175000000000001); #70715=CIRCLE('',#373080,0.175000000000001); #70716=CIRCLE('',#373081,0.175000000000001); #70717=CIRCLE('',#373083,0.175000000000001); #70718=CIRCLE('',#373084,0.175000000000001); #70719=CIRCLE('',#373086,0.175000000000001); #70720=CIRCLE('',#373087,0.175000000000001); #70721=CIRCLE('',#373089,0.175000000000001); #70722=CIRCLE('',#373090,0.175000000000001); #70723=CIRCLE('',#373092,0.175000000000001); #70724=CIRCLE('',#373093,0.175000000000001); #70725=CIRCLE('',#373095,0.175000000000001); #70726=CIRCLE('',#373096,0.175000000000001); #70727=CIRCLE('',#373098,0.175000000000001); #70728=CIRCLE('',#373099,0.175000000000001); #70729=CIRCLE('',#373101,0.499999999999998); #70730=CIRCLE('',#373102,0.499999999999998); #70731=CIRCLE('',#373104,0.175000000000001); #70732=CIRCLE('',#373105,0.175000000000001); #70733=CIRCLE('',#373107,0.175000000000001); #70734=CIRCLE('',#373108,0.175000000000001); #70735=CIRCLE('',#373110,0.175000000000001); #70736=CIRCLE('',#373111,0.175000000000001); #70737=CIRCLE('',#373113,0.175000000000001); #70738=CIRCLE('',#373114,0.175000000000001); #70739=CIRCLE('',#373116,0.175000000000001); #70740=CIRCLE('',#373117,0.175000000000001); #70741=CIRCLE('',#373119,0.175000000000001); #70742=CIRCLE('',#373120,0.175000000000001); #70743=CIRCLE('',#373122,0.175000000000001); #70744=CIRCLE('',#373123,0.175000000000001); #70745=CIRCLE('',#373125,0.175000000000001); #70746=CIRCLE('',#373126,0.175000000000001); #70747=CIRCLE('',#373128,0.175000000000001); #70748=CIRCLE('',#373129,0.175000000000001); #70749=CIRCLE('',#373131,0.175000000000001); #70750=CIRCLE('',#373132,0.175000000000001); #70751=CIRCLE('',#373134,0.175000000000001); #70752=CIRCLE('',#373135,0.175000000000001); #70753=CIRCLE('',#373137,0.175000000000001); #70754=CIRCLE('',#373138,0.175000000000001); #70755=CIRCLE('',#373140,0.175000000000001); #70756=CIRCLE('',#373141,0.175000000000001); #70757=CIRCLE('',#373143,0.175000000000001); #70758=CIRCLE('',#373144,0.175000000000001); #70759=CIRCLE('',#373146,0.175000000000001); #70760=CIRCLE('',#373147,0.175000000000001); #70761=CIRCLE('',#373149,34.); #70762=CIRCLE('',#373150,34.); #70763=CIRCLE('',#373266,0.016); #70764=CIRCLE('',#373267,0.016); #70765=CIRCLE('',#373268,0.016); #70766=CIRCLE('',#373270,0.016); #70767=CIRCLE('',#373271,0.016); #70768=CIRCLE('',#373272,0.016); #70769=CIRCLE('',#373274,0.016); #70770=CIRCLE('',#373275,0.016); #70771=CIRCLE('',#373276,0.016); #70772=CIRCLE('',#373278,0.016); #70773=CIRCLE('',#373279,0.016); #70774=CIRCLE('',#373280,0.016); #70775=CIRCLE('',#373282,0.016); #70776=CIRCLE('',#373283,0.016); #70777=CIRCLE('',#373284,0.016); #70778=CIRCLE('',#373287,0.016); #70779=CIRCLE('',#373288,0.016); #70780=CIRCLE('',#373289,0.016); #70781=CIRCLE('',#373291,0.016); #70782=CIRCLE('',#373292,0.016); #70783=CIRCLE('',#373293,0.016); #70784=CIRCLE('',#373297,0.016); #70785=CIRCLE('',#373298,0.016); #70786=CIRCLE('',#373299,0.016); #70787=CIRCLE('',#373316,0.016); #70788=CIRCLE('',#373317,0.016); #70789=CIRCLE('',#373318,0.016); #70790=CIRCLE('',#373320,0.016); #70791=CIRCLE('',#373321,0.016); #70792=CIRCLE('',#373322,0.016); #70793=CIRCLE('',#373324,0.016); #70794=CIRCLE('',#373325,0.016); #70795=CIRCLE('',#373326,0.016); #70796=CIRCLE('',#373328,0.016); #70797=CIRCLE('',#373329,0.016); #70798=CIRCLE('',#373330,0.016); #70799=CIRCLE('',#373332,0.016); #70800=CIRCLE('',#373333,0.016); #70801=CIRCLE('',#373334,0.016); #70802=CIRCLE('',#373337,0.016); #70803=CIRCLE('',#373338,0.016); #70804=CIRCLE('',#373339,0.016); #70805=CIRCLE('',#373341,0.016); #70806=CIRCLE('',#373342,0.0159999999999999); #70807=CIRCLE('',#373343,0.016); #70808=CIRCLE('',#373347,0.016); #70809=CIRCLE('',#373348,0.016); #70810=CIRCLE('',#373349,0.016); #70811=CIRCLE('',#373415,0.45); #70812=CIRCLE('',#373416,0.45); #70813=CIRCLE('',#373417,0.45); #70814=CIRCLE('',#373418,0.45); #70815=CIRCLE('',#373420,0.45); #70816=CIRCLE('',#373421,0.45); #70817=CIRCLE('',#373422,0.45); #70818=CIRCLE('',#373423,0.45); #70819=CIRCLE('',#373430,0.76); #70820=CIRCLE('',#373432,0.76); #70821=CIRCLE('',#373434,0.825); #70822=CIRCLE('',#373435,0.96); #70823=CIRCLE('',#373436,0.71); #70824=CIRCLE('',#373504,0.96); #70825=CIRCLE('',#373505,0.96); #70826=CIRCLE('',#373512,0.81); #70827=CIRCLE('',#373513,0.81); #70828=CIRCLE('',#373514,0.45); #70829=CIRCLE('',#373515,0.45); #70830=CIRCLE('',#373519,0.81); #70831=CIRCLE('',#373520,0.81); #70832=CIRCLE('',#373526,0.96); #70833=CIRCLE('',#373527,0.96); #70834=CIRCLE('',#373530,0.96); #70835=CIRCLE('',#373531,0.96); #70836=CIRCLE('',#373533,0.96); #70837=CIRCLE('',#373537,0.45); #70838=CIRCLE('',#373538,0.45); #70839=CIRCLE('',#373539,0.45); #70840=CIRCLE('',#373542,0.45); #70841=CIRCLE('',#373543,0.45); #70842=CIRCLE('',#373544,0.45); #70843=CIRCLE('',#373547,0.22); #70844=CIRCLE('',#373548,0.22); #70845=CIRCLE('',#373549,0.22); #70846=CIRCLE('',#373550,0.22); #70847=CIRCLE('',#373554,0.250000000000004); #70848=CIRCLE('',#373555,0.250000000000004); #70849=CIRCLE('',#373562,0.250000000000002); #70850=CIRCLE('',#373563,0.250000000000002); #70851=CIRCLE('',#373614,0.25); #70852=CIRCLE('',#373615,0.25); #70853=CIRCLE('',#373617,0.25); #70854=CIRCLE('',#373619,0.15); #70855=CIRCLE('',#373621,0.25); #70856=CIRCLE('',#373623,0.15); #70857=CIRCLE('',#373629,0.825); #70858=CIRCLE('',#373630,0.71); #70859=CIRCLE('',#373720,0.22); #70860=CIRCLE('',#373721,0.08); #70861=CIRCLE('',#373722,0.2); #70862=CIRCLE('',#373723,0.1); #70863=CIRCLE('',#373725,0.1); #70864=CIRCLE('',#373726,0.2); #70865=CIRCLE('',#373727,0.08); #70866=CIRCLE('',#373728,0.22); #70867=CIRCLE('',#373749,0.22); #70868=CIRCLE('',#373750,0.08); #70869=CIRCLE('',#373751,0.2); #70870=CIRCLE('',#373752,0.1); #70871=CIRCLE('',#373754,0.1); #70872=CIRCLE('',#373755,0.2); #70873=CIRCLE('',#373756,0.08); #70874=CIRCLE('',#373757,0.22); #70875=CIRCLE('',#373778,0.22); #70876=CIRCLE('',#373779,0.08); #70877=CIRCLE('',#373780,0.2); #70878=CIRCLE('',#373781,0.1); #70879=CIRCLE('',#373783,0.1); #70880=CIRCLE('',#373784,0.2); #70881=CIRCLE('',#373785,0.08); #70882=CIRCLE('',#373786,0.22); #70883=CIRCLE('',#373807,0.22); #70884=CIRCLE('',#373808,0.08); #70885=CIRCLE('',#373809,0.2); #70886=CIRCLE('',#373810,0.1); #70887=CIRCLE('',#373812,0.1); #70888=CIRCLE('',#373813,0.2); #70889=CIRCLE('',#373814,0.08); #70890=CIRCLE('',#373815,0.22); #70891=CIRCLE('',#373836,0.22); #70892=CIRCLE('',#373837,0.08); #70893=CIRCLE('',#373838,0.2); #70894=CIRCLE('',#373839,0.1); #70895=CIRCLE('',#373841,0.1); #70896=CIRCLE('',#373842,0.2); #70897=CIRCLE('',#373843,0.08); #70898=CIRCLE('',#373844,0.22); #70899=CIRCLE('',#373865,0.22); #70900=CIRCLE('',#373866,0.08); #70901=CIRCLE('',#373867,0.2); #70902=CIRCLE('',#373868,0.1); #70903=CIRCLE('',#373870,0.1); #70904=CIRCLE('',#373871,0.2); #70905=CIRCLE('',#373872,0.08); #70906=CIRCLE('',#373873,0.22); #70907=CIRCLE('',#373894,0.22); #70908=CIRCLE('',#373895,0.08); #70909=CIRCLE('',#373896,0.2); #70910=CIRCLE('',#373897,0.1); #70911=CIRCLE('',#373899,0.1); #70912=CIRCLE('',#373900,0.2); #70913=CIRCLE('',#373901,0.08); #70914=CIRCLE('',#373902,0.22); #70915=CIRCLE('',#373923,0.1); #70916=CIRCLE('',#373924,0.2); #70917=CIRCLE('',#373925,0.08); #70918=CIRCLE('',#373926,0.22); #70919=CIRCLE('',#373928,0.22); #70920=CIRCLE('',#373929,0.08); #70921=CIRCLE('',#373930,0.2); #70922=CIRCLE('',#373931,0.1); #70923=CIRCLE('',#373952,0.1); #70924=CIRCLE('',#373953,0.2); #70925=CIRCLE('',#373954,0.08); #70926=CIRCLE('',#373955,0.22); #70927=CIRCLE('',#373957,0.22); #70928=CIRCLE('',#373958,0.08); #70929=CIRCLE('',#373959,0.2); #70930=CIRCLE('',#373960,0.1); #70931=CIRCLE('',#373981,0.1); #70932=CIRCLE('',#373982,0.2); #70933=CIRCLE('',#373983,0.08); #70934=CIRCLE('',#373984,0.22); #70935=CIRCLE('',#373986,0.22); #70936=CIRCLE('',#373987,0.08); #70937=CIRCLE('',#373988,0.2); #70938=CIRCLE('',#373989,0.1); #70939=CIRCLE('',#374010,0.1); #70940=CIRCLE('',#374011,0.2); #70941=CIRCLE('',#374012,0.08); #70942=CIRCLE('',#374013,0.22); #70943=CIRCLE('',#374015,0.22); #70944=CIRCLE('',#374016,0.08); #70945=CIRCLE('',#374017,0.2); #70946=CIRCLE('',#374018,0.1); #70947=CIRCLE('',#374039,0.1); #70948=CIRCLE('',#374040,0.2); #70949=CIRCLE('',#374041,0.08); #70950=CIRCLE('',#374042,0.22); #70951=CIRCLE('',#374044,0.22); #70952=CIRCLE('',#374045,0.08); #70953=CIRCLE('',#374046,0.2); #70954=CIRCLE('',#374047,0.1); #70955=CIRCLE('',#374068,0.1); #70956=CIRCLE('',#374069,0.2); #70957=CIRCLE('',#374070,0.08); #70958=CIRCLE('',#374071,0.22); #70959=CIRCLE('',#374073,0.22); #70960=CIRCLE('',#374074,0.08); #70961=CIRCLE('',#374075,0.2); #70962=CIRCLE('',#374076,0.1); #70963=CIRCLE('',#374097,0.1); #70964=CIRCLE('',#374098,0.2); #70965=CIRCLE('',#374099,0.08); #70966=CIRCLE('',#374100,0.22); #70967=CIRCLE('',#374102,0.22); #70968=CIRCLE('',#374103,0.08); #70969=CIRCLE('',#374104,0.2); #70970=CIRCLE('',#374105,0.1); #70971=CIRCLE('',#374129,0.099340716866279); #70972=CIRCLE('',#374130,0.099340716866279); #70973=CIRCLE('',#374135,0.2); #70974=CIRCLE('',#374136,0.2); #70975=CIRCLE('',#374139,0.07); #70976=CIRCLE('',#374140,0.07); #70977=CIRCLE('',#374142,0.07); #70978=CIRCLE('',#374143,0.07); #70979=CIRCLE('',#374146,0.0810588235294118); #70980=CIRCLE('',#374147,0.0810588235294118); #70981=CIRCLE('',#374150,0.0810588235294118); #70982=CIRCLE('',#374151,0.0810588235294118); #70983=CIRCLE('',#374160,0.0810588235294118); #70984=CIRCLE('',#374161,0.0810588235294118); #70985=CIRCLE('',#374164,0.0810588235294118); #70986=CIRCLE('',#374165,0.0810588235294118); #70987=CIRCLE('',#374168,0.07); #70988=CIRCLE('',#374169,0.07); #70989=CIRCLE('',#374171,0.07); #70990=CIRCLE('',#374172,0.07); #70991=CIRCLE('',#374175,0.2); #70992=CIRCLE('',#374176,0.2); #70993=CIRCLE('',#374181,0.099340716866279); #70994=CIRCLE('',#374182,0.099340716866279); #70995=CIRCLE('',#374190,0.14); #70996=CIRCLE('',#374191,0.14); #70997=CIRCLE('',#374192,0.14); #70998=CIRCLE('',#374193,0.14); #70999=CIRCLE('',#374194,0.14); #71000=CIRCLE('',#374195,0.14); #71001=CIRCLE('',#374196,0.14); #71002=CIRCLE('',#374197,0.14); #71003=CIRCLE('',#374198,0.2); #71004=CIRCLE('',#374199,0.15); #71005=CIRCLE('',#374200,0.2); #71006=CIRCLE('',#374201,0.2); #71007=CIRCLE('',#374202,0.2); #71008=CIRCLE('',#374203,0.2); #71009=CIRCLE('',#374204,0.203418496667774); #71010=CIRCLE('',#374205,0.203418496667774); #71011=CIRCLE('',#374206,0.15); #71012=CIRCLE('',#374208,0.14); #71013=CIRCLE('',#374209,0.15); #71014=CIRCLE('',#374210,0.203418496667774); #71015=CIRCLE('',#374211,0.203418496667774); #71016=CIRCLE('',#374212,0.2); #71017=CIRCLE('',#374213,0.2); #71018=CIRCLE('',#374214,0.2); #71019=CIRCLE('',#374215,0.2); #71020=CIRCLE('',#374216,0.15); #71021=CIRCLE('',#374217,0.2); #71022=CIRCLE('',#374218,0.14); #71023=CIRCLE('',#374219,0.14); #71024=CIRCLE('',#374220,0.14); #71025=CIRCLE('',#374221,0.14); #71026=CIRCLE('',#374222,0.14); #71027=CIRCLE('',#374223,0.14); #71028=CIRCLE('',#374224,0.14); #71029=CIRCLE('',#374228,0.15); #71030=CIRCLE('',#374229,0.15); #71031=CIRCLE('',#374231,0.15); #71032=CIRCLE('',#374232,0.15); #71033=CIRCLE('',#374234,0.15); #71034=CIRCLE('',#374235,0.15); #71035=CIRCLE('',#374237,0.2); #71036=CIRCLE('',#374238,0.2); #71037=CIRCLE('',#374239,0.2); #71038=CIRCLE('',#374240,0.143940075739726); #71039=CIRCLE('',#374241,0.199862831858373); #71040=CIRCLE('',#374242,0.199862831858373); #71041=CIRCLE('',#374243,0.143940075739726); #71042=CIRCLE('',#374244,0.200511249999998); #71043=CIRCLE('',#374245,0.200511249999998); #71044=CIRCLE('',#374246,0.2); #71045=CIRCLE('',#374247,0.156782950094636); #71046=CIRCLE('',#374248,0.196634615384615); #71047=CIRCLE('',#374249,0.196634615384615); #71048=CIRCLE('',#374250,0.15678295009463); #71049=CIRCLE('',#374251,0.1425625); #71050=CIRCLE('',#374252,0.0999670307511854); #71051=CIRCLE('',#374253,0.146360174065558); #71052=CIRCLE('',#374254,0.15); #71053=CIRCLE('',#374255,0.2); #71054=CIRCLE('',#374257,0.15); #71055=CIRCLE('',#374258,0.45); #71056=CIRCLE('',#374260,0.15); #71057=CIRCLE('',#374261,0.203418496667774); #71058=CIRCLE('',#374262,0.203418496667774); #71059=CIRCLE('',#374263,0.2); #71060=CIRCLE('',#374264,0.2); #71061=CIRCLE('',#374265,0.2); #71062=CIRCLE('',#374266,0.2); #71063=CIRCLE('',#374267,0.15); #71064=CIRCLE('',#374268,0.2); #71065=CIRCLE('',#374269,0.15); #71066=CIRCLE('',#374270,0.13); #71067=CIRCLE('',#374271,0.13); #71068=CIRCLE('',#374272,0.15); #71069=CIRCLE('',#374273,0.15); #71070=CIRCLE('',#374274,0.13); #71071=CIRCLE('',#374275,0.13); #71072=CIRCLE('',#374276,0.15); #71073=CIRCLE('',#374286,1.28); #71074=CIRCLE('',#374287,0.98); #71075=CIRCLE('',#374289,0.200511249999998); #71076=CIRCLE('',#374290,0.200511249999998); #71077=CIRCLE('',#374291,0.143940075739726); #71078=CIRCLE('',#374292,0.199862831858373); #71079=CIRCLE('',#374293,0.199862831858373); #71080=CIRCLE('',#374294,0.143940075739726); #71081=CIRCLE('',#374295,0.2); #71082=CIRCLE('',#374296,0.2); #71083=CIRCLE('',#374297,0.2); #71084=CIRCLE('',#374298,0.2); #71085=CIRCLE('',#374299,0.15); #71086=CIRCLE('',#374300,0.146360174065558); #71087=CIRCLE('',#374301,0.0999670307511854); #71088=CIRCLE('',#374302,0.1425625); #71089=CIRCLE('',#374303,0.15678295009463); #71090=CIRCLE('',#374304,0.196634615384615); #71091=CIRCLE('',#374305,0.196634615384615); #71092=CIRCLE('',#374306,0.156782950094636); #71093=CIRCLE('',#374307,0.2); #71094=CIRCLE('',#374314,0.2); #71095=CIRCLE('',#374315,0.156782950094636); #71096=CIRCLE('',#374316,0.196634615384615); #71097=CIRCLE('',#374317,0.196634615384615); #71098=CIRCLE('',#374318,0.15678295009463); #71099=CIRCLE('',#374319,0.1425625); #71100=CIRCLE('',#374320,0.0999670307511854); #71101=CIRCLE('',#374321,0.146360174065558); #71102=CIRCLE('',#374322,0.15); #71103=CIRCLE('',#374323,0.2); #71104=CIRCLE('',#374324,0.2); #71105=CIRCLE('',#374325,0.2); #71106=CIRCLE('',#374326,0.2); #71107=CIRCLE('',#374327,0.143940075739726); #71108=CIRCLE('',#374328,0.199862831858373); #71109=CIRCLE('',#374329,0.199862831858373); #71110=CIRCLE('',#374330,0.143940075739726); #71111=CIRCLE('',#374331,0.15); #71112=CIRCLE('',#374332,0.15); #71113=CIRCLE('',#374338,0.15); #71114=CIRCLE('',#374339,0.15); #71115=CIRCLE('',#374340,0.13); #71116=CIRCLE('',#374341,0.13); #71117=CIRCLE('',#374342,0.15); #71118=CIRCLE('',#374343,0.15); #71119=CIRCLE('',#374344,0.13); #71120=CIRCLE('',#374345,0.13); #71121=CIRCLE('',#374346,0.15); #71122=CIRCLE('',#374347,0.2); #71123=CIRCLE('',#374348,0.15); #71124=CIRCLE('',#374349,0.2); #71125=CIRCLE('',#374350,0.2); #71126=CIRCLE('',#374351,0.2); #71127=CIRCLE('',#374352,0.2); #71128=CIRCLE('',#374353,0.203418496667774); #71129=CIRCLE('',#374354,0.203418496667774); #71130=CIRCLE('',#374356,0.98); #71131=CIRCLE('',#374357,0.98); #71132=CIRCLE('',#374359,0.98); #71133=CIRCLE('',#374360,0.98); #71134=CIRCLE('',#374362,1.28); #71135=CIRCLE('',#374363,1.28); #71136=CIRCLE('',#374365,1.28); #71137=CIRCLE('',#374366,1.28); #71138=CIRCLE('',#374368,0.98); #71139=CIRCLE('',#374369,0.98); #71140=CIRCLE('',#374371,1.28); #71141=CIRCLE('',#374372,1.28); #71142=CIRCLE('',#374376,0.98); #71143=CIRCLE('',#374377,1.28); #71144=CIRCLE('',#374380,1.08); #71145=CIRCLE('',#374381,1.08); #71146=CIRCLE('',#374382,1.08); #71147=CIRCLE('',#374383,1.08); #71148=CIRCLE('',#374384,1.28); #71149=CIRCLE('',#374385,1.28); #71150=CIRCLE('',#374387,0.98); #71151=CIRCLE('',#374389,0.98); #71152=CIRCLE('',#374391,0.98); #71153=CIRCLE('',#374392,0.98); #71154=CIRCLE('',#374394,0.143940075739726); #71155=CIRCLE('',#374395,0.199862831858373); #71156=CIRCLE('',#374396,0.199862831858373); #71157=CIRCLE('',#374397,0.143940075739726); #71158=CIRCLE('',#374398,0.2); #71159=CIRCLE('',#374399,0.2); #71160=CIRCLE('',#374400,0.2); #71161=CIRCLE('',#374401,0.2); #71162=CIRCLE('',#374402,0.15); #71163=CIRCLE('',#374403,0.146360174065558); #71164=CIRCLE('',#374404,0.0999670307511854); #71165=CIRCLE('',#374405,0.1425625); #71166=CIRCLE('',#374406,0.15678295009463); #71167=CIRCLE('',#374407,0.196634615384615); #71168=CIRCLE('',#374408,0.196634615384615); #71169=CIRCLE('',#374409,0.156782950094636); #71170=CIRCLE('',#374410,0.2); #71171=CIRCLE('',#374411,0.15); #71172=CIRCLE('',#374412,0.15); #71173=CIRCLE('',#374415,0.15); #71174=CIRCLE('',#374416,0.15); #71175=CIRCLE('',#374419,1.28); #71176=CIRCLE('',#374420,1.28); #71177=CIRCLE('',#374426,0.45); #71178=CIRCLE('',#374427,0.15); #71179=CIRCLE('',#374514,0.410719203494586); #71180=CIRCLE('',#374515,0.103126984126984); #71181=CIRCLE('',#374516,0.103126984126984); #71182=CIRCLE('',#374517,0.410719203494586); #71183=CIRCLE('',#374518,0.110719203494586); #71184=CIRCLE('',#374519,0.403126984126984); #71185=CIRCLE('',#374520,0.403126984126984); #71186=CIRCLE('',#374521,0.110719203494586); #71187=CIRCLE('',#374523,0.403126984126984); #71188=CIRCLE('',#374524,0.403126984126984); #71189=CIRCLE('',#374525,0.110719203494586); #71190=CIRCLE('',#374526,0.410719203494586); #71191=CIRCLE('',#374527,0.103126984126984); #71192=CIRCLE('',#374528,0.103126984126984); #71193=CIRCLE('',#374529,0.410719203494586); #71194=CIRCLE('',#374530,0.110719203494586); #71195=CIRCLE('',#374550,0.410719203494586); #71196=CIRCLE('',#374551,0.103126984126984); #71197=CIRCLE('',#374552,0.103126984126984); #71198=CIRCLE('',#374553,0.410719203494586); #71199=CIRCLE('',#374554,0.110719203494586); #71200=CIRCLE('',#374555,0.403126984126984); #71201=CIRCLE('',#374556,0.403126984126984); #71202=CIRCLE('',#374557,0.110719203494586); #71203=CIRCLE('',#374559,0.403126984126984); #71204=CIRCLE('',#374560,0.403126984126984); #71205=CIRCLE('',#374561,0.110719203494586); #71206=CIRCLE('',#374562,0.410719203494586); #71207=CIRCLE('',#374563,0.103126984126984); #71208=CIRCLE('',#374564,0.103126984126984); #71209=CIRCLE('',#374565,0.410719203494586); #71210=CIRCLE('',#374566,0.110719203494586); #71211=CIRCLE('',#374583,0.124613333333333); #71212=CIRCLE('',#374584,0.124613333333333); #71213=CIRCLE('',#374587,0.124613333333333); #71214=CIRCLE('',#374588,0.124613333333333); #71215=CIRCLE('',#374590,0.15); #71216=CIRCLE('',#374592,0.15); #71217=CIRCLE('',#374597,0.15); #71218=CIRCLE('',#374598,0.15); #71219=CIRCLE('',#374601,0.15); #71220=CIRCLE('',#374602,0.15); #71221=CIRCLE('',#374605,0.15); #71222=CIRCLE('',#374607,0.15); #71223=CIRCLE('',#374654,0.410719203494586); #71224=CIRCLE('',#374655,0.103126984126984); #71225=CIRCLE('',#374656,0.103126984126984); #71226=CIRCLE('',#374657,0.410719203494586); #71227=CIRCLE('',#374658,0.110719203494586); #71228=CIRCLE('',#374659,0.403126984126984); #71229=CIRCLE('',#374660,0.403126984126984); #71230=CIRCLE('',#374661,0.110719203494586); #71231=CIRCLE('',#374663,0.403126984126984); #71232=CIRCLE('',#374664,0.403126984126984); #71233=CIRCLE('',#374665,0.110719203494586); #71234=CIRCLE('',#374666,0.410719203494586); #71235=CIRCLE('',#374667,0.103126984126984); #71236=CIRCLE('',#374668,0.103126984126984); #71237=CIRCLE('',#374669,0.410719203494586); #71238=CIRCLE('',#374670,0.110719203494586); #71239=CIRCLE('',#374690,0.410719203494586); #71240=CIRCLE('',#374691,0.103126984126984); #71241=CIRCLE('',#374692,0.103126984126984); #71242=CIRCLE('',#374693,0.410719203494586); #71243=CIRCLE('',#374694,0.110719203494586); #71244=CIRCLE('',#374695,0.403126984126984); #71245=CIRCLE('',#374696,0.403126984126984); #71246=CIRCLE('',#374697,0.110719203494586); #71247=CIRCLE('',#374699,0.403126984126984); #71248=CIRCLE('',#374700,0.403126984126984); #71249=CIRCLE('',#374701,0.110719203494586); #71250=CIRCLE('',#374702,0.410719203494586); #71251=CIRCLE('',#374703,0.103126984126984); #71252=CIRCLE('',#374704,0.103126984126984); #71253=CIRCLE('',#374705,0.410719203494586); #71254=CIRCLE('',#374706,0.110719203494586); #71255=CIRCLE('',#374722,0.124613333333333); #71256=CIRCLE('',#374723,0.124613333333333); #71257=CIRCLE('',#374726,0.124613333333333); #71258=CIRCLE('',#374727,0.124613333333333); #71259=CIRCLE('',#374730,0.15); #71260=CIRCLE('',#374731,0.15); #71261=CIRCLE('',#374737,0.15); #71262=CIRCLE('',#374738,0.15); #71263=CIRCLE('',#374740,0.15); #71264=CIRCLE('',#374741,0.15); #71265=CIRCLE('',#374745,0.15); #71266=CIRCLE('',#374747,0.15); #71267=CIRCLE('',#374757,0.2); #71268=CIRCLE('',#374760,0.132018518518511); #71269=CIRCLE('',#374761,0.132018518518511); #71270=CIRCLE('',#374763,0.148012635933508); #71271=CIRCLE('',#374764,0.148012635933508); #71272=CIRCLE('',#374766,0.11087204124655); #71273=CIRCLE('',#374767,0.11087204124655); #71274=CIRCLE('',#374770,0.11087204124655); #71275=CIRCLE('',#374771,0.11087204124655); #71276=CIRCLE('',#374773,0.148012635933508); #71277=CIRCLE('',#374774,0.148012635933508); #71278=CIRCLE('',#374776,0.132018518518511); #71279=CIRCLE('',#374777,0.132018518518511); #71280=CIRCLE('',#374780,0.15); #71281=CIRCLE('',#374781,0.15); #71282=CIRCLE('',#374784,0.15); #71283=CIRCLE('',#374785,0.15); #71284=CIRCLE('',#374788,0.132018518518511); #71285=CIRCLE('',#374789,0.132018518518511); #71286=CIRCLE('',#374791,0.148012635933508); #71287=CIRCLE('',#374792,0.148012635933508); #71288=CIRCLE('',#374794,0.11087204124655); #71289=CIRCLE('',#374795,0.11087204124655); #71290=CIRCLE('',#374798,0.11087204124655); #71291=CIRCLE('',#374799,0.11087204124655); #71292=CIRCLE('',#374801,0.148012635933508); #71293=CIRCLE('',#374802,0.148012635933508); #71294=CIRCLE('',#374804,0.132018518518511); #71295=CIRCLE('',#374805,0.132018518518511); #71296=CIRCLE('',#374808,0.15); #71297=CIRCLE('',#374809,0.15); #71298=CIRCLE('',#374812,0.15); #71299=CIRCLE('',#374813,0.15); #71300=CIRCLE('',#374817,0.2); #71301=CIRCLE('',#374819,0.15); #71302=CIRCLE('',#374820,0.45); #71303=CIRCLE('',#374822,0.2); #71304=CIRCLE('',#374831,0.2); #71305=CIRCLE('',#374834,0.45); #71306=CIRCLE('',#374835,0.15); #71307=CIRCLE('',#374837,0.15); #71308=CIRCLE('',#374841,0.15); #71309=CIRCLE('',#374844,0.148); #71310=CIRCLE('',#374845,0.148); #71311=CIRCLE('',#374847,0.292004733994588); #71312=CIRCLE('',#374848,0.292004733994588); #71313=CIRCLE('',#374850,1.15304609186865); #71314=CIRCLE('',#374851,1.15304609186865); #71315=CIRCLE('',#374853,0.45); #71316=CIRCLE('',#374856,0.14737794976545); #71317=CIRCLE('',#374857,0.14737794976545); #71318=CIRCLE('',#374860,0.14737794976545); #71319=CIRCLE('',#374861,0.14737794976545); #71320=CIRCLE('',#374864,0.144226016739943); #71321=CIRCLE('',#374865,0.144226016739943); #71322=CIRCLE('',#374867,0.176676870506421); #71323=CIRCLE('',#374869,0.176676870506421); #71324=CIRCLE('',#374873,0.176676870506421); #71325=CIRCLE('',#374874,0.176676870506421); #71326=CIRCLE('',#374876,0.144226016739943); #71327=CIRCLE('',#374877,0.144226016739943); #71328=CIRCLE('',#374880,0.144226016739943); #71329=CIRCLE('',#374881,0.144226016739943); #71330=CIRCLE('',#374883,0.176676870506421); #71331=CIRCLE('',#374885,0.176676870506421); #71332=CIRCLE('',#374889,0.176676870506421); #71333=CIRCLE('',#374890,0.176676870506421); #71334=CIRCLE('',#374892,0.144226016739943); #71335=CIRCLE('',#374893,0.144226016739943); #71336=CIRCLE('',#374896,0.14737794976545); #71337=CIRCLE('',#374897,0.14737794976545); #71338=CIRCLE('',#374900,0.14737794976545); #71339=CIRCLE('',#374901,0.14737794976545); #71340=CIRCLE('',#374903,0.45); #71341=CIRCLE('',#374906,1.15304609186865); #71342=CIRCLE('',#374907,1.15304609186865); #71343=CIRCLE('',#374909,0.292004733994588); #71344=CIRCLE('',#374910,0.292004733994588); #71345=CIRCLE('',#374912,0.148); #71346=CIRCLE('',#374913,0.148); #71347=CIRCLE('',#374917,0.15); #71348=CIRCLE('',#374918,0.15); #71349=CIRCLE('',#374920,0.15); #71350=CIRCLE('',#374921,0.15); #71351=CIRCLE('',#374923,0.15); #71352=CIRCLE('',#374924,0.45); #71353=CIRCLE('',#374926,0.15); #71354=CIRCLE('',#374927,0.15); #71355=CIRCLE('',#374929,0.15); #71356=CIRCLE('',#374931,0.15); #71357=CIRCLE('',#374934,0.45); #71358=CIRCLE('',#374935,0.15); #71359=CIRCLE('',#374939,0.15); #71360=CIRCLE('',#374940,0.45); #71361=CIRCLE('',#374944,0.15); #71362=CIRCLE('',#374945,0.45); #71363=CIRCLE('',#374981,0.22); #71364=CIRCLE('',#374982,0.08); #71365=CIRCLE('',#374983,0.2); #71366=CIRCLE('',#374984,0.1); #71367=CIRCLE('',#374986,0.1); #71368=CIRCLE('',#374987,0.2); #71369=CIRCLE('',#374988,0.08); #71370=CIRCLE('',#374989,0.22); #71371=CIRCLE('',#375045,0.499999992739); #71372=CIRCLE('',#375047,0.499999992739); #71373=CIRCLE('',#375049,0.999999985477); #71374=CIRCLE('',#375050,0.999999985477); #71375=CIRCLE('',#375053,0.999999985477); #71376=CIRCLE('',#375054,0.999999985477); #71377=CIRCLE('',#375056,0.499999992739); #71378=CIRCLE('',#375059,0.499999992739); #71379=CIRCLE('',#375125,0.04); #71380=CIRCLE('',#375126,0.04); #71381=CIRCLE('',#375127,0.04); #71382=CIRCLE('',#375129,0.04); #71383=CIRCLE('',#375130,0.04); #71384=CIRCLE('',#375131,0.04); #71385=CIRCLE('',#375133,0.04); #71386=CIRCLE('',#375134,0.04); #71387=CIRCLE('',#375135,0.04); #71388=CIRCLE('',#375137,0.04); #71389=CIRCLE('',#375138,0.04); #71390=CIRCLE('',#375139,0.04); #71391=CIRCLE('',#375141,0.04); #71392=CIRCLE('',#375142,0.04); #71393=CIRCLE('',#375143,0.04); #71394=CIRCLE('',#375146,0.04); #71395=CIRCLE('',#375147,0.04); #71396=CIRCLE('',#375148,0.04); #71397=CIRCLE('',#375150,0.04); #71398=CIRCLE('',#375151,0.04); #71399=CIRCLE('',#375152,0.04); #71400=CIRCLE('',#375156,0.04); #71401=CIRCLE('',#375157,0.04); #71402=CIRCLE('',#375158,0.04); #71403=CIRCLE('',#375175,0.04); #71404=CIRCLE('',#375176,0.04); #71405=CIRCLE('',#375177,0.04); #71406=CIRCLE('',#375179,0.04); #71407=CIRCLE('',#375180,0.04); #71408=CIRCLE('',#375181,0.04); #71409=CIRCLE('',#375183,0.04); #71410=CIRCLE('',#375184,0.04); #71411=CIRCLE('',#375185,0.04); #71412=CIRCLE('',#375187,0.04); #71413=CIRCLE('',#375188,0.04); #71414=CIRCLE('',#375189,0.04); #71415=CIRCLE('',#375191,0.04); #71416=CIRCLE('',#375192,0.04); #71417=CIRCLE('',#375193,0.04); #71418=CIRCLE('',#375196,0.04); #71419=CIRCLE('',#375197,0.04); #71420=CIRCLE('',#375198,0.04); #71421=CIRCLE('',#375200,0.04); #71422=CIRCLE('',#375201,0.04); #71423=CIRCLE('',#375202,0.04); #71424=CIRCLE('',#375206,0.04); #71425=CIRCLE('',#375207,0.04); #71426=CIRCLE('',#375208,0.04); #71427=CIRCLE('',#375256,0.0319999999999999); #71428=CIRCLE('',#375257,0.032); #71429=CIRCLE('',#375258,0.0319999999999999); #71430=CIRCLE('',#375260,0.032); #71431=CIRCLE('',#375261,0.032); #71432=CIRCLE('',#375262,0.0319999999999999); #71433=CIRCLE('',#375264,0.0319999999999999); #71434=CIRCLE('',#375265,0.032); #71435=CIRCLE('',#375266,0.032); #71436=CIRCLE('',#375268,0.032); #71437=CIRCLE('',#375269,0.0319999999999999); #71438=CIRCLE('',#375270,0.0319999999999999); #71439=CIRCLE('',#375272,0.032); #71440=CIRCLE('',#375273,0.032); #71441=CIRCLE('',#375274,0.032); #71442=CIRCLE('',#375277,0.032); #71443=CIRCLE('',#375278,0.032); #71444=CIRCLE('',#375279,0.0319999999999999); #71445=CIRCLE('',#375281,0.032); #71446=CIRCLE('',#375282,0.0319999999999999); #71447=CIRCLE('',#375283,0.032); #71448=CIRCLE('',#375287,0.0320000000000001); #71449=CIRCLE('',#375288,0.032); #71450=CIRCLE('',#375289,0.032); #71451=CIRCLE('',#375306,0.032); #71452=CIRCLE('',#375307,0.032); #71453=CIRCLE('',#375308,0.0319999999999999); #71454=CIRCLE('',#375310,0.032); #71455=CIRCLE('',#375311,0.0319999999999999); #71456=CIRCLE('',#375312,0.0319999999999999); #71457=CIRCLE('',#375314,0.0320000000000001); #71458=CIRCLE('',#375315,0.032); #71459=CIRCLE('',#375316,0.032); #71460=CIRCLE('',#375318,0.032); #71461=CIRCLE('',#375319,0.032); #71462=CIRCLE('',#375320,0.0319999999999999); #71463=CIRCLE('',#375322,0.032); #71464=CIRCLE('',#375323,0.032); #71465=CIRCLE('',#375324,0.0319999999999999); #71466=CIRCLE('',#375327,0.0319999999999999); #71467=CIRCLE('',#375328,0.032); #71468=CIRCLE('',#375329,0.0319999999999999); #71469=CIRCLE('',#375331,0.032); #71470=CIRCLE('',#375332,0.0319999999999999); #71471=CIRCLE('',#375333,0.032); #71472=CIRCLE('',#375337,0.0319999999999999); #71473=CIRCLE('',#375338,0.032); #71474=CIRCLE('',#375339,0.032); #71475=CIRCLE('',#375365,0.04); #71476=CIRCLE('',#375366,0.04); #71477=CIRCLE('',#375367,0.04); #71478=CIRCLE('',#375369,0.04); #71479=CIRCLE('',#375370,0.04); #71480=CIRCLE('',#375371,0.04); #71481=CIRCLE('',#375373,0.04); #71482=CIRCLE('',#375374,0.04); #71483=CIRCLE('',#375375,0.04); #71484=CIRCLE('',#375377,0.04); #71485=CIRCLE('',#375378,0.04); #71486=CIRCLE('',#375379,0.04); #71487=CIRCLE('',#375381,0.04); #71488=CIRCLE('',#375382,0.04); #71489=CIRCLE('',#375383,0.04); #71490=CIRCLE('',#375386,0.04); #71491=CIRCLE('',#375387,0.04); #71492=CIRCLE('',#375388,0.04); #71493=CIRCLE('',#375390,0.04); #71494=CIRCLE('',#375391,0.0399999999999998); #71495=CIRCLE('',#375392,0.04); #71496=CIRCLE('',#375396,0.0399999999999999); #71497=CIRCLE('',#375397,0.04); #71498=CIRCLE('',#375398,0.04); #71499=CIRCLE('',#375415,0.04); #71500=CIRCLE('',#375416,0.04); #71501=CIRCLE('',#375417,0.04); #71502=CIRCLE('',#375419,0.04); #71503=CIRCLE('',#375420,0.04); #71504=CIRCLE('',#375421,0.04); #71505=CIRCLE('',#375423,0.0399999999999999); #71506=CIRCLE('',#375424,0.04); #71507=CIRCLE('',#375425,0.04); #71508=CIRCLE('',#375427,0.04); #71509=CIRCLE('',#375428,0.04); #71510=CIRCLE('',#375429,0.04); #71511=CIRCLE('',#375431,0.04); #71512=CIRCLE('',#375432,0.04); #71513=CIRCLE('',#375433,0.0399999999999998); #71514=CIRCLE('',#375436,0.04); #71515=CIRCLE('',#375437,0.04); #71516=CIRCLE('',#375438,0.04); #71517=CIRCLE('',#375440,0.04); #71518=CIRCLE('',#375441,0.0400000000000002); #71519=CIRCLE('',#375442,0.04); #71520=CIRCLE('',#375446,0.04); #71521=CIRCLE('',#375447,0.04); #71522=CIRCLE('',#375448,0.04); #71523=CIRCLE('',#375474,3.11666666666667); #71524=CIRCLE('',#375475,3.11666666666667); #71525=CIRCLE('',#375478,4.10833333333333); #71526=CIRCLE('',#375480,4.25); #71527=CIRCLE('',#375481,0.141666666666667); #71528=CIRCLE('',#375483,4.25); #71529=CIRCLE('',#375485,4.14375); #71530=CIRCLE('',#375486,0.141666666666667); #71531=CIRCLE('',#375488,0.425000000000001); #71532=CIRCLE('',#375489,4.14375); #71533=CIRCLE('',#375491,4.25); #71534=CIRCLE('',#375492,0.141666666666667); #71535=CIRCLE('',#375494,4.25); #71536=CIRCLE('',#375496,4.10833333333333); #71537=CIRCLE('',#375497,0.141666666666667); #71538=CIRCLE('',#375500,0.141666666666666); #71539=CIRCLE('',#375501,0.415); #71540=CIRCLE('',#375502,0.141666666666667); #71541=CIRCLE('',#375503,0.141666666666667); #71542=CIRCLE('',#375504,0.141666666666667); #71543=CIRCLE('',#375505,0.141666666666667); #71544=CIRCLE('',#375506,0.425000000000001); #71545=CIRCLE('',#375507,0.141666666666667); #71546=CIRCLE('',#375508,0.141666666666667); #71547=CIRCLE('',#375509,0.141666666666667); #71548=CIRCLE('',#375511,4.16438622754498); #71549=CIRCLE('',#375512,0.415); #71550=CIRCLE('',#375513,4.16438622754498); #71551=CIRCLE('',#375515,0.141666666666667); #71552=CIRCLE('',#375516,4.26999999999998); #71553=CIRCLE('',#375518,4.26999999999998); #71554=CIRCLE('',#375520,0.141666666666667); #71555=CIRCLE('',#375521,4.12833333333331); #71556=CIRCLE('',#375523,4.10833333333342); #71557=CIRCLE('',#375525,0.141666666666667); #71558=CIRCLE('',#375526,4.25); #71559=CIRCLE('',#375528,4.25); #71560=CIRCLE('',#375530,0.141666666666667); #71561=CIRCLE('',#375531,4.14374999999993); #71562=CIRCLE('',#375533,0.425000000000001); #71563=CIRCLE('',#375534,4.14374999999993); #71564=CIRCLE('',#375536,0.141666666666667); #71565=CIRCLE('',#375537,4.25); #71566=CIRCLE('',#375539,4.25); #71567=CIRCLE('',#375541,0.141666666666667); #71568=CIRCLE('',#375542,4.10833333333342); #71569=CIRCLE('',#375544,4.12833333333331); #71570=CIRCLE('',#375546,0.141666666666667); #71571=CIRCLE('',#375547,4.26999999999997); #71572=CIRCLE('',#375549,4.26999999999997); #71573=CIRCLE('',#375551,0.141666666666666); #71574=CIRCLE('',#375554,0.325); #71575=CIRCLE('',#375555,0.325); #71576=CIRCLE('',#375559,0.325); #71577=CIRCLE('',#375560,0.325); #71578=CIRCLE('',#375565,4.27050000000048); #71579=CIRCLE('',#375566,4.27150000000091); #71580=CIRCLE('',#375568,4.27150000000091); #71581=CIRCLE('',#375570,4.27050000000048); #71582=CIRCLE('',#375575,4.27050000000048); #71583=CIRCLE('',#375576,4.27150000000091); #71584=CIRCLE('',#375578,4.27150000000091); #71585=CIRCLE('',#375580,4.27050000000048); #71586=CIRCLE('',#375585,4.27050000000048); #71587=CIRCLE('',#375586,4.27150000000091); #71588=CIRCLE('',#375588,4.27150000000091); #71589=CIRCLE('',#375590,4.27050000000048); #71590=CIRCLE('',#375595,4.27050000000048); #71591=CIRCLE('',#375596,4.27150000000091); #71592=CIRCLE('',#375598,4.27150000000091); #71593=CIRCLE('',#375600,4.27050000000048); #71594=CIRCLE('',#375605,4.27050000000048); #71595=CIRCLE('',#375606,4.27150000000091); #71596=CIRCLE('',#375608,4.27150000000091); #71597=CIRCLE('',#375610,4.27050000000048); #71598=CIRCLE('',#375615,4.27050000000048); #71599=CIRCLE('',#375616,4.27150000000091); #71600=CIRCLE('',#375618,4.27150000000091); #71601=CIRCLE('',#375620,4.27050000000048); #71602=CIRCLE('',#375625,4.27050000000048); #71603=CIRCLE('',#375626,4.27150000000091); #71604=CIRCLE('',#375628,4.27150000000091); #71605=CIRCLE('',#375630,4.27050000000048); #71606=CIRCLE('',#375635,4.27050000000048); #71607=CIRCLE('',#375636,4.27150000000091); #71608=CIRCLE('',#375638,4.27150000000091); #71609=CIRCLE('',#375640,4.27050000000048); #71610=CIRCLE('',#375645,4.27050000000048); #71611=CIRCLE('',#375646,4.27150000000091); #71612=CIRCLE('',#375648,4.27150000000091); #71613=CIRCLE('',#375650,4.27050000000048); #71614=CIRCLE('',#375657,0.0750000000000001); #71615=CIRCLE('',#375658,0.0750000000000001); #71616=CIRCLE('',#375679,0.175); #71617=CIRCLE('',#375680,0.175); #71618=CIRCLE('',#375683,0.175); #71619=CIRCLE('',#375684,0.175); #71620=CIRCLE('',#375691,0.175); #71621=CIRCLE('',#375692,0.175); #71622=CIRCLE('',#375695,0.175); #71623=CIRCLE('',#375696,0.175); #71624=CIRCLE('',#375703,0.175); #71625=CIRCLE('',#375704,0.175); #71626=CIRCLE('',#375707,0.175); #71627=CIRCLE('',#375708,0.175); #71628=CIRCLE('',#375715,0.175); #71629=CIRCLE('',#375716,0.175); #71630=CIRCLE('',#375719,0.175); #71631=CIRCLE('',#375720,0.175); #71632=CIRCLE('',#375727,0.175); #71633=CIRCLE('',#375728,0.175); #71634=CIRCLE('',#375731,0.175); #71635=CIRCLE('',#375732,0.175); #71636=CIRCLE('',#375739,0.175); #71637=CIRCLE('',#375740,0.175); #71638=CIRCLE('',#375743,0.175); #71639=CIRCLE('',#375744,0.175); #71640=CIRCLE('',#375751,0.175); #71641=CIRCLE('',#375752,0.175); #71642=CIRCLE('',#375755,0.175); #71643=CIRCLE('',#375756,0.175); #71644=CIRCLE('',#375763,0.175); #71645=CIRCLE('',#375764,0.175); #71646=CIRCLE('',#375767,0.175); #71647=CIRCLE('',#375768,0.175); #71648=CIRCLE('',#375824,0.0750000000000001); #71649=CIRCLE('',#375825,0.0750000000000001); #71650=CIRCLE('',#375846,0.25); #71651=CIRCLE('',#375847,0.25); #71652=CIRCLE('',#375850,0.25); #71653=CIRCLE('',#375851,0.25); #71654=CIRCLE('',#375858,0.25); #71655=CIRCLE('',#375859,0.25); #71656=CIRCLE('',#375862,0.25); #71657=CIRCLE('',#375863,0.25); #71658=CIRCLE('',#375870,0.25); #71659=CIRCLE('',#375871,0.25); #71660=CIRCLE('',#375874,0.25); #71661=CIRCLE('',#375875,0.25); #71662=CIRCLE('',#375882,0.25); #71663=CIRCLE('',#375883,0.25); #71664=CIRCLE('',#375886,0.25); #71665=CIRCLE('',#375887,0.25); #71666=CIRCLE('',#375894,0.25); #71667=CIRCLE('',#375895,0.25); #71668=CIRCLE('',#375898,0.25); #71669=CIRCLE('',#375899,0.25); #71670=CIRCLE('',#375906,0.25); #71671=CIRCLE('',#375907,0.25); #71672=CIRCLE('',#375910,0.25); #71673=CIRCLE('',#375911,0.25); #71674=CIRCLE('',#375918,0.25); #71675=CIRCLE('',#375919,0.25); #71676=CIRCLE('',#375922,0.25); #71677=CIRCLE('',#375923,0.25); #71678=CIRCLE('',#375930,0.25); #71679=CIRCLE('',#375931,0.25); #71680=CIRCLE('',#375934,0.25); #71681=CIRCLE('',#375935,0.25); #71682=CIRCLE('',#376028,3.595); #71683=CIRCLE('',#376029,3.595); #71684=CIRCLE('',#376031,5.76); #71685=CIRCLE('',#376032,5.76); #71686=CIRCLE('',#376038,3.5); #71687=CIRCLE('',#376040,3.14155); #71688=CIRCLE('',#376053,3.454); #71689=CIRCLE('',#376061,3.02375); #71690=CIRCLE('',#376063,3.02375); #71691=CIRCLE('',#376079,3.); #71692=CIRCLE('',#376080,3.); #71693=CIRCLE('',#376081,3.); #71694=CIRCLE('',#376102,2.49999999999999); #71695=CIRCLE('',#376103,3.); #71696=CIRCLE('',#376105,2.49999999999999); #71697=CIRCLE('',#376106,2.49999999999999); #71698=CIRCLE('',#376107,2.49999999999999); #71699=CIRCLE('',#376108,2.49999999999999); #71700=CIRCLE('',#376109,2.49999999999999); #71701=CIRCLE('',#376110,2.49999999999999); #71702=CIRCLE('',#376111,2.49999999999999); #71703=CIRCLE('',#376113,3.); #71704=CIRCLE('',#376115,3.); #71705=CIRCLE('',#376117,3.); #71706=CIRCLE('',#376119,3.); #71707=CIRCLE('',#376121,3.); #71708=CIRCLE('',#376123,3.); #71709=CIRCLE('',#376125,3.); #71710=CIRCLE('',#376127,3.); #71711=CIRCLE('',#376129,3.); #71712=CIRCLE('',#376131,3.); #71713=CIRCLE('',#376133,3.); #71714=CIRCLE('',#376135,3.); #71715=CIRCLE('',#376137,3.); #71716=CIRCLE('',#376147,2.49999999999999); #71717=CIRCLE('',#376148,3.); #71718=CIRCLE('',#376150,2.49999999999999); #71719=CIRCLE('',#376151,3.); #71720=CIRCLE('',#376153,2.49999999999999); #71721=CIRCLE('',#376154,3.); #71722=CIRCLE('',#376156,3.); #71723=CIRCLE('',#376157,2.49999999999999); #71724=CIRCLE('',#376159,2.49999999999999); #71725=CIRCLE('',#376160,3.); #71726=CIRCLE('',#376162,2.49999999999999); #71727=CIRCLE('',#376163,3.); #71728=CIRCLE('',#376165,2.49999999999999); #71729=CIRCLE('',#376166,3.); #71730=CIRCLE('',#376168,2.49999999999999); #71731=CIRCLE('',#376170,3.); #71732=CIRCLE('',#376180,3.); #71733=CIRCLE('',#376182,3.); #71734=CIRCLE('',#376184,3.); #71735=CIRCLE('',#376186,3.); #71736=CIRCLE('',#376188,3.); #71737=CIRCLE('',#376190,3.); #71738=CIRCLE('',#376192,3.); #71739=CIRCLE('',#376194,3.); #71740=CIRCLE('',#376196,3.); #71741=CIRCLE('',#376200,0.150000000181); #71742=CIRCLE('',#376201,0.150000000181); #71743=CIRCLE('',#376203,0.400000000483); #71744=CIRCLE('',#376204,0.400000000483); #71745=CIRCLE('',#376206,0.1); #71746=CIRCLE('',#376207,0.3); #71747=CIRCLE('',#376208,0.3); #71748=CIRCLE('',#376209,0.1); #71749=CIRCLE('',#376215,0.1); #71750=CIRCLE('',#376218,0.1); #71751=CIRCLE('',#376220,0.3); #71752=CIRCLE('',#376223,0.3); #71753=CIRCLE('',#376227,0.1); #71754=CIRCLE('',#376228,0.3); #71755=CIRCLE('',#376229,0.3); #71756=CIRCLE('',#376230,0.1); #71757=CIRCLE('',#376233,0.1); #71758=CIRCLE('',#376234,0.3); #71759=CIRCLE('',#376235,0.3); #71760=CIRCLE('',#376236,0.1); #71761=CIRCLE('',#376249,0.400000000483); #71762=CIRCLE('',#376250,0.400000000483); #71763=CIRCLE('',#376252,0.150000000181); #71764=CIRCLE('',#376253,0.150000000181); #71765=CIRCLE('',#376256,0.1); #71766=CIRCLE('',#376257,0.3); #71767=CIRCLE('',#376258,0.3); #71768=CIRCLE('',#376259,0.1); #71769=CIRCLE('',#376262,0.3); #71770=CIRCLE('',#376264,0.1); #71771=CIRCLE('',#376265,0.3); #71772=CIRCLE('',#376266,0.1); #71773=CIRCLE('',#376279,0.150000000181); #71774=CIRCLE('',#376280,0.150000000181); #71775=CIRCLE('',#376282,0.400000000483); #71776=CIRCLE('',#376283,0.400000000483); #71777=CIRCLE('',#376285,0.1); #71778=CIRCLE('',#376286,0.3); #71779=CIRCLE('',#376287,0.3); #71780=CIRCLE('',#376288,0.1); #71781=CIRCLE('',#376294,0.1); #71782=CIRCLE('',#376297,0.1); #71783=CIRCLE('',#376299,0.3); #71784=CIRCLE('',#376302,0.3); #71785=CIRCLE('',#376306,0.1); #71786=CIRCLE('',#376307,0.3); #71787=CIRCLE('',#376308,0.3); #71788=CIRCLE('',#376309,0.1); #71789=CIRCLE('',#376312,0.1); #71790=CIRCLE('',#376313,0.3); #71791=CIRCLE('',#376314,0.3); #71792=CIRCLE('',#376315,0.1); #71793=CIRCLE('',#376327,0.300000000363); #71794=CIRCLE('',#376328,0.3); #71795=CIRCLE('',#376331,0.3); #71796=CIRCLE('',#376332,0.300000000363); #71797=CIRCLE('',#376334,0.3); #71798=CIRCLE('',#376335,0.300000000363); #71799=CIRCLE('',#376337,0.3); #71800=CIRCLE('',#376338,0.3); #71801=CIRCLE('',#376339,0.3); #71802=CIRCLE('',#376340,0.3); #71803=CIRCLE('',#376342,3.02375); #71804=CIRCLE('',#376344,3.454); #71805=CIRCLE('',#376360,4.5); #71806=CIRCLE('',#376361,4.5); #71807=CIRCLE('',#376364,0.3); #71808=CIRCLE('',#376365,4.5); #71809=CIRCLE('',#376370,4.5); #71810=CIRCLE('',#376373,4.5); #71811=CIRCLE('',#376374,4.5); #71812=CIRCLE('',#376380,4.5); #71813=CIRCLE('',#376381,4.5); #71814=CIRCLE('',#376384,5.000000006042); #71815=CIRCLE('',#376385,5.000000006042); #71816=CIRCLE('',#376387,0.150000000181); #71817=CIRCLE('',#376388,0.150000000181); #71818=CIRCLE('',#376392,0.3); #71819=CIRCLE('',#376393,0.3); #71820=CIRCLE('',#376396,0.3); #71821=CIRCLE('',#376397,0.3); #71822=CIRCLE('',#376401,0.150000000181); #71823=CIRCLE('',#376402,0.150000000181); #71824=CIRCLE('',#376405,0.150000000181); #71825=CIRCLE('',#376406,0.150000000181); #71826=CIRCLE('',#376414,0.150000000181); #71827=CIRCLE('',#376417,0.150000000181); #71828=CIRCLE('',#376421,0.3); #71829=CIRCLE('',#376425,0.3); #71830=CIRCLE('',#376427,0.3); #71831=CIRCLE('',#376429,0.3); #71832=CIRCLE('',#376431,0.3); #71833=CIRCLE('',#376433,0.3); #71834=CIRCLE('',#376438,0.3); #71835=CIRCLE('',#376442,0.3); #71836=CIRCLE('',#376445,0.300000000363); #71837=CIRCLE('',#376446,0.3); #71838=CIRCLE('',#376448,0.3); #71839=CIRCLE('',#376451,0.3); #71840=CIRCLE('',#376453,0.3); #71841=CIRCLE('',#376454,0.3); #71842=CIRCLE('',#376455,0.300000000363); #71843=CIRCLE('',#376456,0.3); #71844=CIRCLE('',#376457,0.3); #71845=CIRCLE('',#376458,0.300000000363); #71846=CIRCLE('',#376459,0.3); #71847=CIRCLE('',#376460,0.300000000363); #71848=CIRCLE('',#376461,0.3); #71849=CIRCLE('',#376462,0.3); #71850=CIRCLE('',#376463,0.300000000363); #71851=CIRCLE('',#376480,0.150000000181); #71852=CIRCLE('',#376481,0.150000000181); #71853=CIRCLE('',#376483,0.350000000423); #71854=CIRCLE('',#376484,0.350000000423); #71855=CIRCLE('',#376489,0.3); #71856=CIRCLE('',#376490,0.3); #71857=CIRCLE('',#376492,0.3); #71858=CIRCLE('',#376494,0.3); #71859=CIRCLE('',#376502,0.150000000181); #71860=CIRCLE('',#376503,0.150000000181); #71861=CIRCLE('',#376505,0.350000000423); #71862=CIRCLE('',#376506,0.350000000423); #71863=CIRCLE('',#376511,0.3); #71864=CIRCLE('',#376512,0.3); #71865=CIRCLE('',#376514,0.3); #71866=CIRCLE('',#376516,0.3); #71867=CIRCLE('',#376526,0.350000000423); #71868=CIRCLE('',#376527,0.150000000181); #71869=CIRCLE('',#376529,0.3); #71870=CIRCLE('',#376530,0.3); #71871=CIRCLE('',#376533,0.3); #71872=CIRCLE('',#376536,0.150000000181); #71873=CIRCLE('',#376537,0.350000000423); #71874=CIRCLE('',#376539,0.3); #71875=CIRCLE('',#376542,0.3); #71876=CIRCLE('',#376543,0.3); #71877=CIRCLE('',#376545,0.3); #71878=CIRCLE('',#376547,0.350000000423); #71879=CIRCLE('',#376548,0.150000000181); #71880=CIRCLE('',#376551,0.3); #71881=CIRCLE('',#376554,0.150000000181); #71882=CIRCLE('',#376555,0.350000000423); #71883=CIRCLE('',#376576,0.300000000363); #71884=CIRCLE('',#376577,0.300000000363); #71885=CIRCLE('',#376579,0.300000000363); #71886=CIRCLE('',#376580,0.300000000363); #71887=CIRCLE('',#376582,0.350000000423); #71888=CIRCLE('',#376583,0.150000000181); #71889=CIRCLE('',#376585,0.150000000181); #71890=CIRCLE('',#376586,0.350000000423); #71891=CIRCLE('',#376588,0.350000000423); #71892=CIRCLE('',#376589,0.350000000423); #71893=CIRCLE('',#376591,0.150000000181); #71894=CIRCLE('',#376592,0.150000000181); #71895=CIRCLE('',#376597,0.150000000181); #71896=CIRCLE('',#376598,0.150000000181); #71897=CIRCLE('',#376607,0.350000000423); #71898=CIRCLE('',#376608,0.350000000423); #71899=CIRCLE('',#376619,0.300000000363); #71900=CIRCLE('',#376620,0.300000000363); #71901=CIRCLE('',#376622,0.300000000363); #71902=CIRCLE('',#376623,0.300000000363); #71903=CIRCLE('',#376625,0.150000000181); #71904=CIRCLE('',#376626,0.350000000423); #71905=CIRCLE('',#376628,0.350000000423); #71906=CIRCLE('',#376629,0.150000000181); #71907=CIRCLE('',#376631,0.350000000423); #71908=CIRCLE('',#376632,0.350000000423); #71909=CIRCLE('',#376634,0.150000000181); #71910=CIRCLE('',#376635,0.150000000181); #71911=CIRCLE('',#376649,0.150000000181); #71912=CIRCLE('',#376650,0.350000000423); #71913=CIRCLE('',#376657,0.350000000423); #71914=CIRCLE('',#376659,0.150000000181); #71915=CIRCLE('',#376665,0.15); #71916=CIRCLE('',#376666,0.15); #71917=CIRCLE('',#376679,0.15); #71918=CIRCLE('',#376681,0.15); #71919=CIRCLE('',#376689,0.15); #71920=CIRCLE('',#376691,0.15); #71921=CIRCLE('',#376699,0.15); #71922=CIRCLE('',#376701,0.15); #71923=CIRCLE('',#376709,0.15); #71924=CIRCLE('',#376711,0.15); #71925=CIRCLE('',#376719,0.15); #71926=CIRCLE('',#376721,0.15); #71927=CIRCLE('',#376729,0.15); #71928=CIRCLE('',#376731,0.15); #71929=CIRCLE('',#376739,0.15); #71930=CIRCLE('',#376741,0.15); #71931=CIRCLE('',#376749,0.15); #71932=CIRCLE('',#376751,0.15); #71933=CIRCLE('',#376759,0.15); #71934=CIRCLE('',#376761,0.15); #71935=CIRCLE('',#376769,0.15); #71936=CIRCLE('',#376771,0.15); #71937=CIRCLE('',#376779,0.15); #71938=CIRCLE('',#376781,0.15); #71939=CIRCLE('',#376789,0.15); #71940=CIRCLE('',#376791,0.15); #71941=CIRCLE('',#376799,0.15); #71942=CIRCLE('',#376801,0.15); #71943=CIRCLE('',#376809,0.15); #71944=CIRCLE('',#376811,0.15); #71945=CIRCLE('',#376819,0.15); #71946=CIRCLE('',#376821,0.15); #71947=CIRCLE('',#376829,0.15); #71948=CIRCLE('',#376831,0.15); #71949=CIRCLE('',#376839,0.15); #71950=CIRCLE('',#376841,0.15); #71951=CIRCLE('',#376849,0.15); #71952=CIRCLE('',#376851,0.15); #71953=CIRCLE('',#376859,0.15); #71954=CIRCLE('',#376861,0.15); #71955=CIRCLE('',#376869,0.15); #71956=CIRCLE('',#376871,0.15); #71957=CIRCLE('',#376879,0.15); #71958=CIRCLE('',#376881,0.15); #71959=CIRCLE('',#376889,0.15); #71960=CIRCLE('',#376891,0.15); #71961=CIRCLE('',#376899,0.15); #71962=CIRCLE('',#376901,0.15); #71963=CIRCLE('',#376909,0.15); #71964=CIRCLE('',#376911,0.15); #71965=CIRCLE('',#376919,0.15); #71966=CIRCLE('',#376921,0.15); #71967=CIRCLE('',#376929,0.15); #71968=CIRCLE('',#376931,0.15); #71969=CIRCLE('',#376939,0.15); #71970=CIRCLE('',#376941,0.15); #71971=CIRCLE('',#376949,0.15); #71972=CIRCLE('',#376951,0.15); #71973=CIRCLE('',#376959,0.15); #71974=CIRCLE('',#376961,0.15); #71975=CIRCLE('',#376969,0.15); #71976=CIRCLE('',#376971,0.15); #71977=CIRCLE('',#376979,0.15); #71978=CIRCLE('',#376981,0.15); #71979=CIRCLE('',#376989,0.15); #71980=CIRCLE('',#376991,0.15); #71981=CIRCLE('',#376999,0.15); #71982=CIRCLE('',#377001,0.15); #71983=CIRCLE('',#377009,0.15); #71984=CIRCLE('',#377011,0.15); #71985=CIRCLE('',#377019,0.15); #71986=CIRCLE('',#377021,0.15); #71987=CIRCLE('',#377029,0.15); #71988=CIRCLE('',#377031,0.15); #71989=CIRCLE('',#377039,0.15); #71990=CIRCLE('',#377041,0.15); #71991=CIRCLE('',#377049,0.15); #71992=CIRCLE('',#377051,0.15); #71993=CIRCLE('',#377059,0.15); #71994=CIRCLE('',#377061,0.15); #71995=CIRCLE('',#377069,0.15); #71996=CIRCLE('',#377071,0.15); #71997=CIRCLE('',#377079,0.15); #71998=CIRCLE('',#377081,0.15); #71999=CIRCLE('',#377089,0.15); #72000=CIRCLE('',#377091,0.15); #72001=CIRCLE('',#377099,0.15); #72002=CIRCLE('',#377101,0.15); #72003=CIRCLE('',#377109,0.15); #72004=CIRCLE('',#377111,0.15); #72005=CIRCLE('',#377119,0.15); #72006=CIRCLE('',#377121,0.15); #72007=CIRCLE('',#377129,0.15); #72008=CIRCLE('',#377131,0.15); #72009=CIRCLE('',#377139,0.15); #72010=CIRCLE('',#377141,0.15); #72011=CIRCLE('',#377149,0.15); #72012=CIRCLE('',#377151,0.15); #72013=CIRCLE('',#377168,0.04); #72014=CIRCLE('',#377169,0.04); #72015=CIRCLE('',#377170,0.04); #72016=CIRCLE('',#377172,0.04); #72017=CIRCLE('',#377173,0.04); #72018=CIRCLE('',#377174,0.04); #72019=CIRCLE('',#377176,0.04); #72020=CIRCLE('',#377177,0.04); #72021=CIRCLE('',#377178,0.04); #72022=CIRCLE('',#377180,0.04); #72023=CIRCLE('',#377181,0.04); #72024=CIRCLE('',#377182,0.04); #72025=CIRCLE('',#377184,0.04); #72026=CIRCLE('',#377185,0.04); #72027=CIRCLE('',#377186,0.04); #72028=CIRCLE('',#377189,0.04); #72029=CIRCLE('',#377190,0.04); #72030=CIRCLE('',#377191,0.04); #72031=CIRCLE('',#377193,0.04); #72032=CIRCLE('',#377194,0.04); #72033=CIRCLE('',#377195,0.04); #72034=CIRCLE('',#377199,0.04); #72035=CIRCLE('',#377200,0.04); #72036=CIRCLE('',#377201,0.04); #72037=CIRCLE('',#377218,0.04); #72038=CIRCLE('',#377219,0.04); #72039=CIRCLE('',#377220,0.04); #72040=CIRCLE('',#377222,0.04); #72041=CIRCLE('',#377223,0.04); #72042=CIRCLE('',#377224,0.04); #72043=CIRCLE('',#377226,0.04); #72044=CIRCLE('',#377227,0.04); #72045=CIRCLE('',#377228,0.04); #72046=CIRCLE('',#377230,0.04); #72047=CIRCLE('',#377231,0.04); #72048=CIRCLE('',#377232,0.04); #72049=CIRCLE('',#377234,0.04); #72050=CIRCLE('',#377235,0.04); #72051=CIRCLE('',#377236,0.04); #72052=CIRCLE('',#377239,0.04); #72053=CIRCLE('',#377240,0.04); #72054=CIRCLE('',#377241,0.04); #72055=CIRCLE('',#377243,0.04); #72056=CIRCLE('',#377244,0.04); #72057=CIRCLE('',#377245,0.04); #72058=CIRCLE('',#377249,0.04); #72059=CIRCLE('',#377250,0.04); #72060=CIRCLE('',#377251,0.04); #72061=CIRCLE('',#377320,0.1995); #72062=CIRCLE('',#377321,0.1995); #72063=CIRCLE('',#377338,0.200000000000001); #72064=CIRCLE('',#377339,0.0499999999991504); #72065=CIRCLE('',#377340,0.200000000000034); #72066=CIRCLE('',#377341,0.0500000000000014); #72067=CIRCLE('',#377344,0.0499999999991504); #72068=CIRCLE('',#377349,0.200000000000034); #72069=CIRCLE('',#377352,0.0500000000000014); #72070=CIRCLE('',#377357,0.200000000000001); #72071=CIRCLE('',#377360,0.200000000000001); #72072=CIRCLE('',#377361,0.0500000000000014); #72073=CIRCLE('',#377362,0.200000000000034); #72074=CIRCLE('',#377363,0.0499999999991504); #72075=CIRCLE('',#377366,0.0499999999991504); #72076=CIRCLE('',#377371,0.200000000000034); #72077=CIRCLE('',#377374,0.0500000000000014); #72078=CIRCLE('',#377379,0.200000000000001); #72079=CIRCLE('',#377382,0.200000000000001); #72080=CIRCLE('',#377383,0.0499999999991504); #72081=CIRCLE('',#377384,0.200000000000034); #72082=CIRCLE('',#377385,0.0500000000000014); #72083=CIRCLE('',#377388,0.0499999999991504); #72084=CIRCLE('',#377393,0.200000000000034); #72085=CIRCLE('',#377396,0.0500000000000014); #72086=CIRCLE('',#377401,0.200000000000001); #72087=CIRCLE('',#377404,0.200000000000001); #72088=CIRCLE('',#377405,0.0499999999991504); #72089=CIRCLE('',#377406,0.200000000000034); #72090=CIRCLE('',#377407,0.0500000000000014); #72091=CIRCLE('',#377410,0.0499999999991504); #72092=CIRCLE('',#377415,0.200000000000034); #72093=CIRCLE('',#377418,0.0500000000000014); #72094=CIRCLE('',#377423,0.200000000000001); #72095=CIRCLE('',#377426,0.200000000000001); #72096=CIRCLE('',#377427,0.0499999999991504); #72097=CIRCLE('',#377428,0.200000000000034); #72098=CIRCLE('',#377429,0.0500000000000014); #72099=CIRCLE('',#377432,0.0499999999991504); #72100=CIRCLE('',#377437,0.200000000000034); #72101=CIRCLE('',#377440,0.0500000000000014); #72102=CIRCLE('',#377445,0.200000000000001); #72103=CIRCLE('',#377448,0.200000000000001); #72104=CIRCLE('',#377449,0.0500000000000014); #72105=CIRCLE('',#377450,0.200000000000034); #72106=CIRCLE('',#377451,0.0499999999991504); #72107=CIRCLE('',#377454,0.0499999999991504); #72108=CIRCLE('',#377459,0.200000000000034); #72109=CIRCLE('',#377462,0.0500000000000014); #72110=CIRCLE('',#377467,0.200000000000001); #72111=CIRCLE('',#377470,0.200000000000001); #72112=CIRCLE('',#377471,0.0500000000000014); #72113=CIRCLE('',#377472,0.200000000000034); #72114=CIRCLE('',#377473,0.0499999999991504); #72115=CIRCLE('',#377476,0.0499999999991504); #72116=CIRCLE('',#377481,0.200000000000034); #72117=CIRCLE('',#377484,0.0500000000000014); #72118=CIRCLE('',#377489,0.200000000000001); #72119=CIRCLE('',#377492,0.200000000000001); #72120=CIRCLE('',#377493,0.0500000000000014); #72121=CIRCLE('',#377494,0.200000000000034); #72122=CIRCLE('',#377495,0.0499999999991504); #72123=CIRCLE('',#377498,0.0499999999991504); #72124=CIRCLE('',#377503,0.200000000000034); #72125=CIRCLE('',#377506,0.0500000000000014); #72126=CIRCLE('',#377511,0.200000000000001); #72127=CIRCLE('',#377517,0.085); #72128=CIRCLE('',#377518,0.085); #72129=CIRCLE('',#377535,0.13); #72130=CIRCLE('',#377536,0.0325); #72131=CIRCLE('',#377537,0.13); #72132=CIRCLE('',#377538,0.0325); #72133=CIRCLE('',#377543,0.0325); #72134=CIRCLE('',#377546,0.13); #72135=CIRCLE('',#377551,0.0325); #72136=CIRCLE('',#377554,0.13); #72137=CIRCLE('',#377557,0.13); #72138=CIRCLE('',#377558,0.0325); #72139=CIRCLE('',#377559,0.13); #72140=CIRCLE('',#377560,0.0325); #72141=CIRCLE('',#377565,0.0325); #72142=CIRCLE('',#377568,0.13); #72143=CIRCLE('',#377573,0.0325); #72144=CIRCLE('',#377576,0.13); #72145=CIRCLE('',#377579,0.13); #72146=CIRCLE('',#377580,0.0325); #72147=CIRCLE('',#377581,0.13); #72148=CIRCLE('',#377582,0.0325); #72149=CIRCLE('',#377587,0.0325); #72150=CIRCLE('',#377590,0.13); #72151=CIRCLE('',#377595,0.0325); #72152=CIRCLE('',#377598,0.13); #72153=CIRCLE('',#377601,0.13); #72154=CIRCLE('',#377602,0.0325); #72155=CIRCLE('',#377603,0.13); #72156=CIRCLE('',#377604,0.0325); #72157=CIRCLE('',#377609,0.0325); #72158=CIRCLE('',#377612,0.13); #72159=CIRCLE('',#377617,0.0325); #72160=CIRCLE('',#377620,0.13); #72161=CIRCLE('',#377623,0.13); #72162=CIRCLE('',#377624,0.0325); #72163=CIRCLE('',#377625,0.13); #72164=CIRCLE('',#377626,0.0325); #72165=CIRCLE('',#377631,0.0325); #72166=CIRCLE('',#377634,0.13); #72167=CIRCLE('',#377639,0.0325); #72168=CIRCLE('',#377642,0.13); #72169=CIRCLE('',#377648,0.04); #72170=CIRCLE('',#377649,0.04); #72171=CIRCLE('',#377650,0.04); #72172=CIRCLE('',#377652,0.04); #72173=CIRCLE('',#377653,0.04); #72174=CIRCLE('',#377654,0.04); #72175=CIRCLE('',#377656,0.04); #72176=CIRCLE('',#377657,0.04); #72177=CIRCLE('',#377658,0.04); #72178=CIRCLE('',#377660,0.04); #72179=CIRCLE('',#377661,0.04); #72180=CIRCLE('',#377662,0.04); #72181=CIRCLE('',#377664,0.04); #72182=CIRCLE('',#377665,0.04); #72183=CIRCLE('',#377666,0.04); #72184=CIRCLE('',#377669,0.04); #72185=CIRCLE('',#377670,0.04); #72186=CIRCLE('',#377671,0.04); #72187=CIRCLE('',#377673,0.04); #72188=CIRCLE('',#377674,0.0399999999999998); #72189=CIRCLE('',#377675,0.04); #72190=CIRCLE('',#377679,0.0399999999999999); #72191=CIRCLE('',#377680,0.04); #72192=CIRCLE('',#377681,0.04); #72193=CIRCLE('',#377698,0.04); #72194=CIRCLE('',#377699,0.04); #72195=CIRCLE('',#377700,0.04); #72196=CIRCLE('',#377702,0.04); #72197=CIRCLE('',#377703,0.04); #72198=CIRCLE('',#377704,0.04); #72199=CIRCLE('',#377706,0.0399999999999999); #72200=CIRCLE('',#377707,0.04); #72201=CIRCLE('',#377708,0.04); #72202=CIRCLE('',#377710,0.04); #72203=CIRCLE('',#377711,0.04); #72204=CIRCLE('',#377712,0.04); #72205=CIRCLE('',#377714,0.04); #72206=CIRCLE('',#377715,0.04); #72207=CIRCLE('',#377716,0.0399999999999998); #72208=CIRCLE('',#377719,0.04); #72209=CIRCLE('',#377720,0.04); #72210=CIRCLE('',#377721,0.04); #72211=CIRCLE('',#377723,0.04); #72212=CIRCLE('',#377724,0.0400000000000002); #72213=CIRCLE('',#377725,0.04); #72214=CIRCLE('',#377729,0.04); #72215=CIRCLE('',#377730,0.04); #72216=CIRCLE('',#377731,0.04); #72217=CIRCLE('',#377831,1.); #72218=CIRCLE('',#377832,1.); #72219=CIRCLE('',#377834,1.); #72220=CIRCLE('',#377835,1.); #72221=CIRCLE('',#377852,1.); #72222=CIRCLE('',#377853,1.); #72223=CIRCLE('',#377855,1.); #72224=CIRCLE('',#377856,1.); #72225=CIRCLE('',#377873,1.); #72226=CIRCLE('',#377874,1.); #72227=CIRCLE('',#377876,1.); #72228=CIRCLE('',#377877,1.); #72229=CIRCLE('',#377902,28.); #72230=CIRCLE('',#377903,30.5); #72231=CIRCLE('',#377905,34.25); #72232=CIRCLE('',#377907,28.); #72233=CIRCLE('',#377908,28.); #72234=CIRCLE('',#377913,34.25); #72235=CIRCLE('',#377915,28.6); #72236=CIRCLE('',#377916,29.1); #72237=CIRCLE('',#377918,27.); #72238=CIRCLE('',#377920,29.1); #72239=CIRCLE('',#377921,29.1); #72240=CIRCLE('',#377922,29.1); #72241=CIRCLE('',#377923,29.1); #72242=CIRCLE('',#377924,29.1); #72243=CIRCLE('',#377925,29.1); #72244=CIRCLE('',#377926,29.1); #72245=CIRCLE('',#377928,26.5); #72246=CIRCLE('',#377930,26.5); #72247=CIRCLE('',#377939,30.85); #72248=CIRCLE('',#377941,30.85); #72249=CIRCLE('',#377944,30.85); #72250=CIRCLE('',#377946,30.85); #72251=CIRCLE('',#377949,30.85); #72252=CIRCLE('',#377951,30.85); #72253=CIRCLE('',#377954,31.6); #72254=CIRCLE('',#377958,35.6842712474619); #72255=CIRCLE('',#377959,35.2842712474619); #72256=CIRCLE('',#377962,35.6842712474619); #72257=CIRCLE('',#377963,35.2842712474619); #72258=CIRCLE('',#377966,35.2842712474619); #72259=CIRCLE('',#377969,35.2842712474619); #72260=CIRCLE('',#377972,1.53); #72261=CIRCLE('',#377974,1.53); #72262=CIRCLE('',#377975,1.53); #72263=CIRCLE('',#377977,34.); #72264=CIRCLE('',#377979,34.); #72265=CIRCLE('',#377981,34.); #72266=CIRCLE('',#377983,34.); #72267=CIRCLE('',#377985,1.53); #72268=CIRCLE('',#377988,35.2842712474619); #72269=CIRCLE('',#377989,33.0000000149012); #72270=CIRCLE('',#377993,35.2842712474619); #72271=CIRCLE('',#377994,34.25); #72272=CIRCLE('',#378003,35.2842712474619); #72273=CIRCLE('',#378005,33.0000000149012); #72274=CIRCLE('',#378006,33.0000000149012); #72275=CIRCLE('',#378007,33.0000000149012); #72276=CIRCLE('',#378008,33.0000000149012); #72277=CIRCLE('',#378009,33.0000000149012); #72278=CIRCLE('',#378010,33.0000000149012); #72279=CIRCLE('',#378012,33.0000000149012); #72280=CIRCLE('',#378014,33.0000000149012); #72281=CIRCLE('',#378016,33.0000000149012); #72282=CIRCLE('',#378019,34.25); #72283=CIRCLE('',#378021,34.2842712474619); #72284=CIRCLE('',#378024,34.2842712474619); #72285=CIRCLE('',#378025,34.25); #72286=CIRCLE('',#378029,31.0000000149012); #72287=CIRCLE('',#378030,29.2000000149012); #72288=CIRCLE('',#378032,29.2000000149012); #72289=CIRCLE('',#378034,35.2842712474619); #72290=CIRCLE('',#378036,31.0000000149012); #72291=CIRCLE('',#378038,31.0000000149012); #72292=CIRCLE('',#378039,31.0000000149012); #72293=CIRCLE('',#378041,31.0000000149012); #72294=CIRCLE('',#378042,31.0000000149012); #72295=CIRCLE('',#378052,34.25); #72296=CIRCLE('',#378057,23.0000000149012); #72297=CIRCLE('',#378058,23.0000000149012); #72298=CIRCLE('',#378065,23.0000000149012); #72299=CIRCLE('',#378066,23.0000000149012); #72300=CIRCLE('',#378068,2.35); #72301=CIRCLE('',#378069,2.1); #72302=CIRCLE('',#378071,30.8000000149012); #72303=CIRCLE('',#378072,30.8000000149012); #72304=CIRCLE('',#378073,2.35); #72305=CIRCLE('',#378074,3.35); #72306=CIRCLE('',#378075,3.35); #72307=CIRCLE('',#378077,2.1); #72308=CIRCLE('',#378079,2.1); #72309=CIRCLE('',#378081,2.1); #72310=CIRCLE('',#378083,1.65); #72311=CIRCLE('',#378084,1.4); #72312=CIRCLE('',#378086,27.0000000149012); #72313=CIRCLE('',#378087,27.0000000149012); #72314=CIRCLE('',#378088,1.65); #72315=CIRCLE('',#378089,3.35); #72316=CIRCLE('',#378090,3.35); #72317=CIRCLE('',#378092,1.4); #72318=CIRCLE('',#378094,1.4); #72319=CIRCLE('',#378096,1.4); #72320=CIRCLE('',#378099,3.1); #72321=CIRCLE('',#378102,3.1); #72322=CIRCLE('',#378106,3.1); #72323=CIRCLE('',#378109,3.1); #72324=CIRCLE('',#378116,29.0000000149012); #72325=CIRCLE('',#378117,27.0000000149012); #72326=CIRCLE('',#378119,29.0000000149012); #72327=CIRCLE('',#378120,27.0000000149012); #72328=CIRCLE('',#378124,29.0000000149012); #72329=CIRCLE('',#378130,29.0000000149012); #72330=CIRCLE('',#378132,30.8000000149012); #72331=CIRCLE('',#378133,30.8000000149012); #72332=CIRCLE('',#378139,1.4); #72333=CIRCLE('',#378142,1.4); #72334=CIRCLE('',#378144,1.4); #72335=CIRCLE('',#378147,1.4); #72336=CIRCLE('',#378149,1.4); #72337=CIRCLE('',#378151,1.4); #72338=CIRCLE('',#378154,1.4); #72339=CIRCLE('',#378157,1.4); #72340=CIRCLE('',#378182,27.0000000149012); #72341=CIRCLE('',#378187,27.0000000149012); #72342=CIRCLE('',#378188,27.0000000149012); #72343=CIRCLE('',#378190,1.4); #72344=CIRCLE('',#378192,1.4); #72345=CIRCLE('',#378199,27.0000000149012); #72346=CIRCLE('',#378200,27.0000000149012); #72347=CIRCLE('',#378202,35.2842712474619); #72348=CIRCLE('',#378205,27.0000000149012); #72349=CIRCLE('',#378206,27.0000000149012); #72350=CIRCLE('',#378208,27.0000000149012); #72351=CIRCLE('',#378209,27.0000000149012); #72352=CIRCLE('',#378210,27.0000000149012); #72353=CIRCLE('',#378211,27.0000000149012); #72354=CIRCLE('',#378212,27.0000000149012); #72355=CIRCLE('',#378216,35.2842712474619); #72356=CIRCLE('',#378221,29.0000000149012); #72357=CIRCLE('',#378222,29.0000000149012); #72358=CIRCLE('',#378224,29.0000000149012); #72359=CIRCLE('',#378225,29.0000000149012); #72360=CIRCLE('',#378232,23.0000000149012); #72361=CIRCLE('',#378233,23.0000000149012); #72362=CIRCLE('',#378238,23.0000000149012); #72363=CIRCLE('',#378239,23.0000000149012); #72364=CIRCLE('',#378247,3.75); #72365=CIRCLE('',#378248,3.75); #72366=CIRCLE('',#378251,32.8000000149012); #72367=CIRCLE('',#378252,32.8000000149012); #72368=CIRCLE('',#378255,32.8000000149012); #72369=CIRCLE('',#378256,32.8000000149012); #72370=CIRCLE('',#378263,25.8000000000002); #72371=CIRCLE('',#378264,25.8000000000002); #72372=CIRCLE('',#378265,25.8000000000002); #72373=CIRCLE('',#378266,25.8000000000002); #72374=CIRCLE('',#378267,25.8000000000002); #72375=CIRCLE('',#378268,25.8000000000002); #72376=CIRCLE('',#378269,25.8000000000002); #72377=CIRCLE('',#378270,25.8000000000002); #72378=CIRCLE('',#378271,25.8000000000002); #72379=CIRCLE('',#378273,7.00000000000001); #72380=CIRCLE('',#378274,7.00000000000001); #72381=CIRCLE('',#378275,7.00000000000001); #72382=CIRCLE('',#378276,7.00000000000001); #72383=CIRCLE('',#378277,35.2842712474619); #72384=CIRCLE('',#378278,35.2842712474619); #72385=CIRCLE('',#378279,35.2842712474619); #72386=CIRCLE('',#378280,35.2842712474619); #72387=CIRCLE('',#378281,35.2842712474619); #72388=CIRCLE('',#378282,35.2842712474619); #72389=CIRCLE('',#378283,35.2842712474619); #72390=CIRCLE('',#378284,35.2842712474619); #72391=CIRCLE('',#378285,35.2842712474619); #72392=CIRCLE('',#378287,33.7842712474619); #72393=CIRCLE('',#378288,33.0342712474619); #72394=CIRCLE('',#378290,33.7842712474619); #72395=CIRCLE('',#378292,25.8000000000002); #72396=CIRCLE('',#378294,35.2842712474619); #72397=CIRCLE('',#378298,3.125); #72398=CIRCLE('',#378299,3.125); #72399=CIRCLE('',#378303,3.125); #72400=CIRCLE('',#378304,3.125); #72401=CIRCLE('',#378308,3.125); #72402=CIRCLE('',#378309,3.125); #72403=CIRCLE('',#378313,3.125); #72404=CIRCLE('',#378314,3.125); #72405=LINE('',#471269,#111420); #72406=LINE('',#471302,#111421); #72407=LINE('',#471306,#111422); #72408=LINE('',#471310,#111423); #72409=LINE('',#471312,#111424); #72410=LINE('',#471314,#111425); #72411=LINE('',#471316,#111426); #72412=LINE('',#471318,#111427); #72413=LINE('',#471320,#111428); #72414=LINE('',#471324,#111429); #72415=LINE('',#471326,#111430); #72416=LINE('',#471328,#111431); #72417=LINE('',#471330,#111432); #72418=LINE('',#471331,#111433); #72419=LINE('',#471335,#111434); #72420=LINE('',#471339,#111435); #72421=LINE('',#471341,#111436); #72422=LINE('',#471347,#111437); #72423=LINE('',#471349,#111438); #72424=LINE('',#471351,#111439); #72425=LINE('',#471353,#111440); #72426=LINE('',#471355,#111441); #72427=LINE('',#471356,#111442); #72428=LINE('',#471358,#111443); #72429=LINE('',#471359,#111444); #72430=LINE('',#471363,#111445); #72431=LINE('',#471364,#111446); #72432=LINE('',#471365,#111447); #72433=LINE('',#471368,#111448); #72434=LINE('',#471370,#111449); #72435=LINE('',#471372,#111450); #72436=LINE('',#471374,#111451); #72437=LINE('',#471376,#111452); #72438=LINE('',#471378,#111453); #72439=LINE('',#471380,#111454); #72440=LINE('',#471382,#111455); #72441=LINE('',#471384,#111456); #72442=LINE('',#471386,#111457); #72443=LINE('',#471391,#111458); #72444=LINE('',#471395,#111459); #72445=LINE('',#471399,#111460); #72446=LINE('',#471403,#111461); #72447=LINE('',#471407,#111462); #72448=LINE('',#471411,#111463); #72449=LINE('',#471415,#111464); #72450=LINE('',#471419,#111465); #72451=LINE('',#471421,#111466); #72452=LINE('',#471425,#111467); #72453=LINE('',#471429,#111468); #72454=LINE('',#471437,#111469); #72455=LINE('',#471441,#111470); #72456=LINE('',#471445,#111471); #72457=LINE('',#471447,#111472); #72458=LINE('',#471449,#111473); #72459=LINE('',#471453,#111474); #72460=LINE('',#471457,#111475); #72461=LINE('',#471465,#111476); #72462=LINE('',#471471,#111477); #72463=LINE('',#471475,#111478); #72464=LINE('',#471483,#111479); #72465=LINE('',#471487,#111480); #72466=LINE('',#471491,#111481); #72467=LINE('',#471493,#111482); #72468=LINE('',#471495,#111483); #72469=LINE('',#471499,#111484); #72470=LINE('',#471503,#111485); #72471=LINE('',#471511,#111486); #72472=LINE('',#471515,#111487); #72473=LINE('',#471519,#111488); #72474=LINE('',#471521,#111489); #72475=LINE('',#471523,#111490); #72476=LINE('',#471525,#111491); #72477=LINE('',#471529,#111492); #72478=LINE('',#471531,#111493); #72479=LINE('',#471546,#111494); #72480=LINE('',#471547,#111495); #72481=LINE('',#471548,#111496); #72482=LINE('',#471552,#111497); #72483=LINE('',#471559,#111498); #72484=LINE('',#471561,#111499); #72485=LINE('',#471565,#111500); #72486=LINE('',#471567,#111501); #72487=LINE('',#471571,#111502); #72488=LINE('',#471575,#111503); #72489=LINE('',#471579,#111504); #72490=LINE('',#471583,#111505); #72491=LINE('',#471593,#111506); #72492=LINE('',#471601,#111507); #72493=LINE('',#471609,#111508); #72494=LINE('',#471616,#111509); #72495=LINE('',#471626,#111510); #72496=LINE('',#471627,#111511); #72497=LINE('',#471629,#111512); #72498=LINE('',#471631,#111513); #72499=LINE('',#471633,#111514); #72500=LINE('',#471635,#111515); #72501=LINE('',#471637,#111516); #72502=LINE('',#471639,#111517); #72503=LINE('',#471641,#111518); #72504=LINE('',#471643,#111519); #72505=LINE('',#471645,#111520); #72506=LINE('',#471647,#111521); #72507=LINE('',#471649,#111522); #72508=LINE('',#471651,#111523); #72509=LINE('',#471653,#111524); #72510=LINE('',#471657,#111525); #72511=LINE('',#471659,#111526); #72512=LINE('',#471661,#111527); #72513=LINE('',#471663,#111528); #72514=LINE('',#471665,#111529); #72515=LINE('',#471667,#111530); #72516=LINE('',#471669,#111531); #72517=LINE('',#471671,#111532); #72518=LINE('',#471673,#111533); #72519=LINE('',#471675,#111534); #72520=LINE('',#471677,#111535); #72521=LINE('',#471679,#111536); #72522=LINE('',#471681,#111537); #72523=LINE('',#471682,#111538); #72524=LINE('',#471683,#111539); #72525=LINE('',#471684,#111540); #72526=LINE('',#471688,#111541); #72527=LINE('',#471692,#111542); #72528=LINE('',#471694,#111543); #72529=LINE('',#471696,#111544); #72530=LINE('',#471698,#111545); #72531=LINE('',#471700,#111546); #72532=LINE('',#471702,#111547); #72533=LINE('',#471704,#111548); #72534=LINE('',#471706,#111549); #72535=LINE('',#471708,#111550); #72536=LINE('',#471710,#111551); #72537=LINE('',#471711,#111552); #72538=LINE('',#471731,#111553); #72539=LINE('',#471735,#111554); #72540=LINE('',#471740,#111555); #72541=LINE('',#471742,#111556); #72542=LINE('',#471746,#111557); #72543=LINE('',#471750,#111558); #72544=LINE('',#471754,#111559); #72545=LINE('',#471758,#111560); #72546=LINE('',#471762,#111561); #72547=LINE('',#471764,#111562); #72548=LINE('',#471766,#111563); #72549=LINE('',#471770,#111564); #72550=LINE('',#471774,#111565); #72551=LINE('',#471778,#111566); #72552=LINE('',#471782,#111567); #72553=LINE('',#471788,#111568); #72554=LINE('',#471792,#111569); #72555=LINE('',#471796,#111570); #72556=LINE('',#471800,#111571); #72557=LINE('',#471802,#111572); #72558=LINE('',#471804,#111573); #72559=LINE('',#471808,#111574); #72560=LINE('',#471812,#111575); #72561=LINE('',#471816,#111576); #72562=LINE('',#471819,#111577); #72563=LINE('',#471823,#111578); #72564=LINE('',#471825,#111579); #72565=LINE('',#471829,#111580); #72566=LINE('',#471833,#111581); #72567=LINE('',#471837,#111582); #72568=LINE('',#471841,#111583); #72569=LINE('',#471854,#111584); #72570=LINE('',#471855,#111585); #72571=LINE('',#471856,#111586); #72572=LINE('',#471860,#111587); #72573=LINE('',#471865,#111588); #72574=LINE('',#471869,#111589); #72575=LINE('',#471873,#111590); #72576=LINE('',#471877,#111591); #72577=LINE('',#471881,#111592); #72578=LINE('',#471883,#111593); #72579=LINE('',#471886,#111594); #72580=LINE('',#471898,#111595); #72581=LINE('',#471906,#111596); #72582=LINE('',#471914,#111597); #72583=LINE('',#471921,#111598); #72584=LINE('',#471931,#111599); #72585=LINE('',#471932,#111600); #72586=LINE('',#471934,#111601); #72587=LINE('',#471938,#111602); #72588=LINE('',#471940,#111603); #72589=LINE('',#471942,#111604); #72590=LINE('',#471944,#111605); #72591=LINE('',#471946,#111606); #72592=LINE('',#471948,#111607); #72593=LINE('',#471950,#111608); #72594=LINE('',#471952,#111609); #72595=LINE('',#471954,#111610); #72596=LINE('',#471956,#111611); #72597=LINE('',#471958,#111612); #72598=LINE('',#471960,#111613); #72599=LINE('',#472392,#111614); #72600=LINE('',#472395,#111615); #72601=LINE('',#472398,#111616); #72602=LINE('',#473742,#111617); #72603=LINE('',#482257,#111618); #72604=LINE('',#482259,#111619); #72605=LINE('',#484705,#111620); #72606=LINE('',#484706,#111621); #72607=LINE('',#484708,#111622); #72608=LINE('',#484712,#111623); #72609=LINE('',#486388,#111624); #72610=LINE('',#486390,#111625); #72611=LINE('',#486392,#111626); #72612=LINE('',#486394,#111627); #72613=LINE('',#486396,#111628); #72614=LINE('',#486400,#111629); #72615=LINE('',#486402,#111630); #72616=LINE('',#486404,#111631); #72617=LINE('',#486406,#111632); #72618=LINE('',#486408,#111633); #72619=LINE('',#486410,#111634); #72620=LINE('',#486412,#111635); #72621=LINE('',#486424,#111636); #72622=LINE('',#486425,#111637); #72623=LINE('',#486429,#111638); #72624=LINE('',#486435,#111639); #72625=LINE('',#486436,#111640); #72626=LINE('',#486438,#111641); #72627=LINE('',#486439,#111642); #72628=LINE('',#486440,#111643); #72629=LINE('',#486453,#111644); #72630=LINE('',#486455,#111645); #72631=LINE('',#486457,#111646); #72632=LINE('',#486458,#111647); #72633=LINE('',#486492,#111648); #72634=LINE('',#486496,#111649); #72635=LINE('',#486498,#111650); #72636=LINE('',#486500,#111651); #72637=LINE('',#486502,#111652); #72638=LINE('',#486504,#111653); #72639=LINE('',#486506,#111654); #72640=LINE('',#486508,#111655); #72641=LINE('',#486511,#111656); #72642=LINE('',#486512,#111657); #72643=LINE('',#486517,#111658); #72644=LINE('',#486521,#111659); #72645=LINE('',#486525,#111660); #72646=LINE('',#486530,#111661); #72647=LINE('',#486533,#111662); #72648=LINE('',#486537,#111663); #72649=LINE('',#486541,#111664); #72650=LINE('',#486548,#111665); #72651=LINE('',#486550,#111666); #72652=LINE('',#486551,#111667); #72653=LINE('',#486553,#111668); #72654=LINE('',#486556,#111669); #72655=LINE('',#486558,#111670); #72656=LINE('',#486560,#111671); #72657=LINE('',#486562,#111672); #72658=LINE('',#486564,#111673); #72659=LINE('',#486566,#111674); #72660=LINE('',#486568,#111675); #72661=LINE('',#486570,#111676); #72662=LINE('',#486572,#111677); #72663=LINE('',#486583,#111678); #72664=LINE('',#486585,#111679); #72665=LINE('',#486587,#111680); #72666=LINE('',#486589,#111681); #72667=LINE('',#486590,#111682); #72668=LINE('',#486591,#111683); #72669=LINE('',#486593,#111684); #72670=LINE('',#486595,#111685); #72671=LINE('',#486597,#111686); #72672=LINE('',#486598,#111687); #72673=LINE('',#486617,#111688); #72674=LINE('',#486621,#111689); #72675=LINE('',#486623,#111690); #72676=LINE('',#486625,#111691); #72677=LINE('',#486627,#111692); #72678=LINE('',#486629,#111693); #72679=LINE('',#486631,#111694); #72680=LINE('',#486633,#111695); #72681=LINE('',#486645,#111696); #72682=LINE('',#486646,#111697); #72683=LINE('',#486650,#111698); #72684=LINE('',#486656,#111699); #72685=LINE('',#486657,#111700); #72686=LINE('',#486659,#111701); #72687=LINE('',#486660,#111702); #72688=LINE('',#486661,#111703); #72689=LINE('',#486674,#111704); #72690=LINE('',#486676,#111705); #72691=LINE('',#486678,#111706); #72692=LINE('',#486679,#111707); #72693=LINE('',#486725,#111708); #72694=LINE('',#486730,#111709); #72695=LINE('',#486732,#111710); #72696=LINE('',#486734,#111711); #72697=LINE('',#486735,#111712); #72698=LINE('',#486736,#111713); #72699=LINE('',#486740,#111714); #72700=LINE('',#486742,#111715); #72701=LINE('',#486744,#111716); #72702=LINE('',#486745,#111717); #72703=LINE('',#486764,#111718); #72704=LINE('',#486768,#111719); #72705=LINE('',#486770,#111720); #72706=LINE('',#486772,#111721); #72707=LINE('',#486774,#111722); #72708=LINE('',#486776,#111723); #72709=LINE('',#486778,#111724); #72710=LINE('',#486780,#111725); #72711=LINE('',#486792,#111726); #72712=LINE('',#486793,#111727); #72713=LINE('',#486797,#111728); #72714=LINE('',#486803,#111729); #72715=LINE('',#486804,#111730); #72716=LINE('',#486806,#111731); #72717=LINE('',#486807,#111732); #72718=LINE('',#486808,#111733); #72719=LINE('',#486821,#111734); #72720=LINE('',#486823,#111735); #72721=LINE('',#486825,#111736); #72722=LINE('',#486826,#111737); #72723=LINE('',#486860,#111738); #72724=LINE('',#486864,#111739); #72725=LINE('',#486866,#111740); #72726=LINE('',#486868,#111741); #72727=LINE('',#486870,#111742); #72728=LINE('',#486872,#111743); #72729=LINE('',#486874,#111744); #72730=LINE('',#486876,#111745); #72731=LINE('',#486879,#111746); #72732=LINE('',#486880,#111747); #72733=LINE('',#486885,#111748); #72734=LINE('',#486889,#111749); #72735=LINE('',#486893,#111750); #72736=LINE('',#486898,#111751); #72737=LINE('',#486901,#111752); #72738=LINE('',#486905,#111753); #72739=LINE('',#486909,#111754); #72740=LINE('',#486916,#111755); #72741=LINE('',#486918,#111756); #72742=LINE('',#486919,#111757); #72743=LINE('',#486921,#111758); #72744=LINE('',#486924,#111759); #72745=LINE('',#486926,#111760); #72746=LINE('',#486928,#111761); #72747=LINE('',#486930,#111762); #72748=LINE('',#486932,#111763); #72749=LINE('',#486934,#111764); #72750=LINE('',#486936,#111765); #72751=LINE('',#486938,#111766); #72752=LINE('',#486940,#111767); #72753=LINE('',#486951,#111768); #72754=LINE('',#486953,#111769); #72755=LINE('',#486955,#111770); #72756=LINE('',#486957,#111771); #72757=LINE('',#486958,#111772); #72758=LINE('',#486959,#111773); #72759=LINE('',#486961,#111774); #72760=LINE('',#486963,#111775); #72761=LINE('',#486965,#111776); #72762=LINE('',#486966,#111777); #72763=LINE('',#486985,#111778); #72764=LINE('',#486989,#111779); #72765=LINE('',#486991,#111780); #72766=LINE('',#486993,#111781); #72767=LINE('',#486995,#111782); #72768=LINE('',#486997,#111783); #72769=LINE('',#486999,#111784); #72770=LINE('',#487001,#111785); #72771=LINE('',#487013,#111786); #72772=LINE('',#487014,#111787); #72773=LINE('',#487018,#111788); #72774=LINE('',#487024,#111789); #72775=LINE('',#487025,#111790); #72776=LINE('',#487027,#111791); #72777=LINE('',#487028,#111792); #72778=LINE('',#487029,#111793); #72779=LINE('',#487042,#111794); #72780=LINE('',#487044,#111795); #72781=LINE('',#487046,#111796); #72782=LINE('',#487047,#111797); #72783=LINE('',#487081,#111798); #72784=LINE('',#487085,#111799); #72785=LINE('',#487087,#111800); #72786=LINE('',#487089,#111801); #72787=LINE('',#487091,#111802); #72788=LINE('',#487093,#111803); #72789=LINE('',#487095,#111804); #72790=LINE('',#487097,#111805); #72791=LINE('',#487100,#111806); #72792=LINE('',#487101,#111807); #72793=LINE('',#487106,#111808); #72794=LINE('',#487110,#111809); #72795=LINE('',#487114,#111810); #72796=LINE('',#487119,#111811); #72797=LINE('',#487122,#111812); #72798=LINE('',#487126,#111813); #72799=LINE('',#487130,#111814); #72800=LINE('',#487137,#111815); #72801=LINE('',#487139,#111816); #72802=LINE('',#487140,#111817); #72803=LINE('',#487155,#111818); #72804=LINE('',#487159,#111819); #72805=LINE('',#487161,#111820); #72806=LINE('',#487163,#111821); #72807=LINE('',#487165,#111822); #72808=LINE('',#487646,#111823); #72809=LINE('',#487720,#111824); #72810=LINE('',#488008,#111825); #72811=LINE('',#488370,#111826); #72812=LINE('',#488372,#111827); #72813=LINE('',#488771,#111828); #72814=LINE('',#488843,#111829); #72815=LINE('',#489054,#111830); #72816=LINE('',#489299,#111831); #72817=LINE('',#489537,#111832); #72818=LINE('',#489789,#111833); #72819=LINE('',#490009,#111834); #72820=LINE('',#490011,#111835); #72821=LINE('',#490125,#111836); #72822=LINE('',#490363,#111837); #72823=LINE('',#490365,#111838); #72824=LINE('',#490610,#111839); #72825=LINE('',#490713,#111840); #72826=LINE('',#491348,#111841); #72827=LINE('',#491539,#111842); #72828=LINE('',#491839,#111843); #72829=LINE('',#491841,#111844); #72830=LINE('',#491843,#111845); #72831=LINE('',#491848,#111846); #72832=LINE('',#491850,#111847); #72833=LINE('',#491855,#111848); #72834=LINE('',#491857,#111849); #72835=LINE('',#491859,#111850); #72836=LINE('',#491864,#111851); #72837=LINE('',#491867,#111852); #72838=LINE('',#491871,#111853); #72839=LINE('',#491876,#111854); #72840=LINE('',#491882,#111855); #72841=LINE('',#491884,#111856); #72842=LINE('',#491885,#111857); #72843=LINE('',#491889,#111858); #72844=LINE('',#491893,#111859); #72845=LINE('',#491895,#111860); #72846=LINE('',#491897,#111861); #72847=LINE('',#491898,#111862); #72848=LINE('',#491900,#111863); #72849=LINE('',#491901,#111864); #72850=LINE('',#491905,#111865); #72851=LINE('',#491907,#111866); #72852=LINE('',#491909,#111867); #72853=LINE('',#491910,#111868); #72854=LINE('',#491914,#111869); #72855=LINE('',#491916,#111870); #72856=LINE('',#491918,#111871); #72857=LINE('',#491919,#111872); #72858=LINE('',#491921,#111873); #72859=LINE('',#491922,#111874); #72860=LINE('',#491925,#111875); #72861=LINE('',#491927,#111876); #72862=LINE('',#491929,#111877); #72863=LINE('',#491931,#111878); #72864=LINE('',#491932,#111879); #72865=LINE('',#491935,#111880); #72866=LINE('',#491937,#111881); #72867=LINE('',#491939,#111882); #72868=LINE('',#491941,#111883); #72869=LINE('',#491942,#111884); #72870=LINE('',#491945,#111885); #72871=LINE('',#491947,#111886); #72872=LINE('',#491948,#111887); #72873=LINE('',#491954,#111888); #72874=LINE('',#491957,#111889); #72875=LINE('',#491962,#111890); #72876=LINE('',#491966,#111891); #72877=LINE('',#491969,#111892); #72878=LINE('',#491974,#111893); #72879=LINE('',#491976,#111894); #72880=LINE('',#491977,#111895); #72881=LINE('',#491983,#111896); #72882=LINE('',#491986,#111897); #72883=LINE('',#491989,#111898); #72884=LINE('',#491990,#111899); #72885=LINE('',#491991,#111900); #72886=LINE('',#491993,#111901); #72887=LINE('',#491994,#111902); #72888=LINE('',#491998,#111903); #72889=LINE('',#492000,#111904); #72890=LINE('',#492002,#111905); #72891=LINE('',#492003,#111906); #72892=LINE('',#492006,#111907); #72893=LINE('',#492009,#111908); #72894=LINE('',#492011,#111909); #72895=LINE('',#492014,#111910); #72896=LINE('',#492018,#111911); #72897=LINE('',#492020,#111912); #72898=LINE('',#492022,#111913); #72899=LINE('',#492023,#111914); #72900=LINE('',#492026,#111915); #72901=LINE('',#492030,#111916); #72902=LINE('',#492033,#111917); #72903=LINE('',#492039,#111918); #72904=LINE('',#492042,#111919); #72905=LINE('',#492045,#111920); #72906=LINE('',#492047,#111921); #72907=LINE('',#492049,#111922); #72908=LINE('',#492051,#111923); #72909=LINE('',#492053,#111924); #72910=LINE('',#492055,#111925); #72911=LINE('',#492056,#111926); #72912=LINE('',#492060,#111927); #72913=LINE('',#492062,#111928); #72914=LINE('',#492064,#111929); #72915=LINE('',#492065,#111930); #72916=LINE('',#492068,#111931); #72917=LINE('',#492071,#111932); #72918=LINE('',#492072,#111933); #72919=LINE('',#492078,#111934); #72920=LINE('',#492081,#111935); #72921=LINE('',#492084,#111936); #72922=LINE('',#492086,#111937); #72923=LINE('',#492088,#111938); #72924=LINE('',#492090,#111939); #72925=LINE('',#492092,#111940); #72926=LINE('',#492093,#111941); #72927=LINE('',#492097,#111942); #72928=LINE('',#492099,#111943); #72929=LINE('',#492101,#111944); #72930=LINE('',#492102,#111945); #72931=LINE('',#492105,#111946); #72932=LINE('',#492109,#111947); #72933=LINE('',#492111,#111948); #72934=LINE('',#492113,#111949); #72935=LINE('',#492116,#111950); #72936=LINE('',#492119,#111951); #72937=LINE('',#492122,#111952); #72938=LINE('',#492125,#111953); #72939=LINE('',#492127,#111954); #72940=LINE('',#492131,#111955); #72941=LINE('',#492135,#111956); #72942=LINE('',#492139,#111957); #72943=LINE('',#492141,#111958); #72944=LINE('',#492142,#111959); #72945=LINE('',#492145,#111960); #72946=LINE('',#492147,#111961); #72947=LINE('',#492148,#111962); #72948=LINE('',#492151,#111963); #72949=LINE('',#492152,#111964); #72950=LINE('',#492155,#111965); #72951=LINE('',#492157,#111966); #72952=LINE('',#492158,#111967); #72953=LINE('',#492161,#111968); #72954=LINE('',#492165,#111969); #72955=LINE('',#492167,#111970); #72956=LINE('',#492169,#111971); #72957=LINE('',#492172,#111972); #72958=LINE('',#492174,#111973); #72959=LINE('',#492176,#111974); #72960=LINE('',#492179,#111975); #72961=LINE('',#492184,#111976); #72962=LINE('',#492185,#111977); #72963=LINE('',#492190,#111978); #72964=LINE('',#492194,#111979); #72965=LINE('',#492196,#111980); #72966=LINE('',#492200,#111981); #72967=LINE('',#492202,#111982); #72968=LINE('',#492203,#111983); #72969=LINE('',#492206,#111984); #72970=LINE('',#492208,#111985); #72971=LINE('',#492209,#111986); #72972=LINE('',#492212,#111987); #72973=LINE('',#492213,#111988); #72974=LINE('',#492215,#111989); #72975=LINE('',#492216,#111990); #72976=LINE('',#492219,#111991); #72977=LINE('',#492221,#111992); #72978=LINE('',#492222,#111993); #72979=LINE('',#492227,#111994); #72980=LINE('',#492229,#111995); #72981=LINE('',#492231,#111996); #72982=LINE('',#492235,#111997); #72983=LINE('',#492237,#111998); #72984=LINE('',#492239,#111999); #72985=LINE('',#492241,#112000); #72986=LINE('',#492244,#112001); #72987=LINE('',#492245,#112002); #72988=LINE('',#492248,#112003); #72989=LINE('',#492253,#112004); #72990=LINE('',#492254,#112005); #72991=LINE('',#492258,#112006); #72992=LINE('',#492263,#112007); #72993=LINE('',#492267,#112008); #72994=LINE('',#492271,#112009); #72995=LINE('',#492275,#112010); #72996=LINE('',#492277,#112011); #72997=LINE('',#492278,#112012); #72998=LINE('',#492282,#112013); #72999=LINE('',#492283,#112014); #73000=LINE('',#492286,#112015); #73001=LINE('',#492287,#112016); #73002=LINE('',#492289,#112017); #73003=LINE('',#492294,#112018); #73004=LINE('',#492295,#112019); #73005=LINE('',#492297,#112020); #73006=LINE('',#492299,#112021); #73007=LINE('',#492300,#112022); #73008=LINE('',#492305,#112023); #73009=LINE('',#492310,#112024); #73010=LINE('',#492315,#112025); #73011=LINE('',#492319,#112026); #73012=LINE('',#492320,#112027); #73013=LINE('',#492324,#112028); #73014=LINE('',#492325,#112029); #73015=LINE('',#492328,#112030); #73016=LINE('',#492329,#112031); #73017=LINE('',#492331,#112032); #73018=LINE('',#492336,#112033); #73019=LINE('',#492337,#112034); #73020=LINE('',#492340,#112035); #73021=LINE('',#492341,#112036); #73022=LINE('',#492343,#112037); #73023=LINE('',#492347,#112038); #73024=LINE('',#492350,#112039); #73025=LINE('',#492352,#112040); #73026=LINE('',#492353,#112041); #73027=LINE('',#492357,#112042); #73028=LINE('',#492358,#112043); #73029=LINE('',#492360,#112044); #73030=LINE('',#492361,#112045); #73031=LINE('',#492362,#112046); #73032=LINE('',#492365,#112047); #73033=LINE('',#492366,#112048); #73034=LINE('',#492368,#112049); #73035=LINE('',#492369,#112050); #73036=LINE('',#492371,#112051); #73037=LINE('',#492372,#112052); #73038=LINE('',#492384,#112053); #73039=LINE('',#492386,#112054); #73040=LINE('',#492389,#112055); #73041=LINE('',#492393,#112056); #73042=LINE('',#492395,#112057); #73043=LINE('',#492397,#112058); #73044=LINE('',#492398,#112059); #73045=LINE('',#492400,#112060); #73046=LINE('',#492402,#112061); #73047=LINE('',#492403,#112062); #73048=LINE('',#492405,#112063); #73049=LINE('',#492406,#112064); #73050=LINE('',#492409,#112065); #73051=LINE('',#492411,#112066); #73052=LINE('',#492412,#112067); #73053=LINE('',#492414,#112068); #73054=LINE('',#492416,#112069); #73055=LINE('',#492418,#112070); #73056=LINE('',#492419,#112071); #73057=LINE('',#492421,#112072); #73058=LINE('',#492422,#112073); #73059=LINE('',#492425,#112074); #73060=LINE('',#492427,#112075); #73061=LINE('',#492428,#112076); #73062=LINE('',#492430,#112077); #73063=LINE('',#492431,#112078); #73064=LINE('',#492440,#112079); #73065=LINE('',#492451,#112080); #73066=LINE('',#492454,#112081); #73067=LINE('',#492461,#112082); #73068=LINE('',#492463,#112083); #73069=LINE('',#492465,#112084); #73070=LINE('',#492466,#112085); #73071=LINE('',#492469,#112086); #73072=LINE('',#492471,#112087); #73073=LINE('',#492472,#112088); #73074=LINE('',#492475,#112089); #73075=LINE('',#492477,#112090); #73076=LINE('',#492478,#112091); #73077=LINE('',#492481,#112092); #73078=LINE('',#492483,#112093); #73079=LINE('',#492485,#112094); #73080=LINE('',#492487,#112095); #73081=LINE('',#492488,#112096); #73082=LINE('',#492492,#112097); #73083=LINE('',#492494,#112098); #73084=LINE('',#492496,#112099); #73085=LINE('',#492498,#112100); #73086=LINE('',#492500,#112101); #73087=LINE('',#492504,#112102); #73088=LINE('',#492508,#112103); #73089=LINE('',#492510,#112104); #73090=LINE('',#492514,#112105); #73091=LINE('',#492518,#112106); #73092=LINE('',#492524,#112107); #73093=LINE('',#492525,#112108); #73094=LINE('',#492526,#112109); #73095=LINE('',#492529,#112110); #73096=LINE('',#492530,#112111); #73097=LINE('',#492533,#112112); #73098=LINE('',#492535,#112113); #73099=LINE('',#492536,#112114); #73100=LINE('',#492539,#112115); #73101=LINE('',#492540,#112116); #73102=LINE('',#492546,#112117); #73103=LINE('',#492550,#112118); #73104=LINE('',#492552,#112119); #73105=LINE('',#492556,#112120); #73106=LINE('',#492560,#112121); #73107=LINE('',#492563,#112122); #73108=LINE('',#492564,#112123); #73109=LINE('',#492566,#112124); #73110=LINE('',#492569,#112125); #73111=LINE('',#492570,#112126); #73112=LINE('',#492572,#112127); #73113=LINE('',#492574,#112128); #73114=LINE('',#492577,#112129); #73115=LINE('',#492584,#112130); #73116=LINE('',#492586,#112131); #73117=LINE('',#492588,#112132); #73118=LINE('',#492589,#112133); #73119=LINE('',#492592,#112134); #73120=LINE('',#492594,#112135); #73121=LINE('',#492595,#112136); #73122=LINE('',#492598,#112137); #73123=LINE('',#492602,#112138); #73124=LINE('',#492606,#112139); #73125=LINE('',#492610,#112140); #73126=LINE('',#492612,#112141); #73127=LINE('',#492614,#112142); #73128=LINE('',#492616,#112143); #73129=LINE('',#492618,#112144); #73130=LINE('',#492619,#112145); #73131=LINE('',#492623,#112146); #73132=LINE('',#492624,#112147); #73133=LINE('',#492625,#112148); #73134=LINE('',#492628,#112149); #73135=LINE('',#492629,#112150); #73136=LINE('',#492632,#112151); #73137=LINE('',#492634,#112152); #73138=LINE('',#492635,#112153); #73139=LINE('',#492637,#112154); #73140=LINE('',#492639,#112155); #73141=LINE('',#492642,#112156); #73142=LINE('',#492643,#112157); #73143=LINE('',#492647,#112158); #73144=LINE('',#492650,#112159); #73145=LINE('',#492651,#112160); #73146=LINE('',#492655,#112161); #73147=LINE('',#492658,#112162); #73148=LINE('',#492659,#112163); #73149=LINE('',#492663,#112164); #73150=LINE('',#492665,#112165); #73151=LINE('',#492670,#112166); #73152=LINE('',#492672,#112167); #73153=LINE('',#492674,#112168); #73154=LINE('',#492676,#112169); #73155=LINE('',#492684,#112170); #73156=LINE('',#492738,#112171); #73157=LINE('',#492740,#112172); #73158=LINE('',#492742,#112173); #73159=LINE('',#492743,#112174); #73160=LINE('',#492746,#112175); #73161=LINE('',#492748,#112176); #73162=LINE('',#492749,#112177); #73163=LINE('',#492752,#112178); #73164=LINE('',#492754,#112179); #73165=LINE('',#492755,#112180); #73166=LINE('',#492758,#112181); #73167=LINE('',#492760,#112182); #73168=LINE('',#492761,#112183); #73169=LINE('',#492764,#112184); #73170=LINE('',#492766,#112185); #73171=LINE('',#492767,#112186); #73172=LINE('',#492770,#112187); #73173=LINE('',#492772,#112188); #73174=LINE('',#492773,#112189); #73175=LINE('',#492776,#112190); #73176=LINE('',#492778,#112191); #73177=LINE('',#492779,#112192); #73178=LINE('',#492782,#112193); #73179=LINE('',#492784,#112194); #73180=LINE('',#492785,#112195); #73181=LINE('',#492788,#112196); #73182=LINE('',#492790,#112197); #73183=LINE('',#492791,#112198); #73184=LINE('',#492794,#112199); #73185=LINE('',#492796,#112200); #73186=LINE('',#492797,#112201); #73187=LINE('',#492800,#112202); #73188=LINE('',#492802,#112203); #73189=LINE('',#492803,#112204); #73190=LINE('',#492807,#112205); #73191=LINE('',#492811,#112206); #73192=LINE('',#492817,#112207); #73193=LINE('',#492823,#112208); #73194=LINE('',#492827,#112209); #73195=LINE('',#492829,#112210); #73196=LINE('',#492833,#112211); #73197=LINE('',#492839,#112212); #73198=LINE('',#492845,#112213); #73199=LINE('',#492851,#112214); #73200=LINE('',#492857,#112215); #73201=LINE('',#492863,#112216); #73202=LINE('',#492869,#112217); #73203=LINE('',#492875,#112218); #73204=LINE('',#492881,#112219); #73205=LINE('',#492886,#112220); #73206=LINE('',#492902,#112221); #73207=LINE('',#492906,#112222); #73208=LINE('',#492908,#112223); #73209=LINE('',#492909,#112224); #73210=LINE('',#492913,#112225); #73211=LINE('',#492918,#112226); #73212=LINE('',#492920,#112227); #73213=LINE('',#492921,#112228); #73214=LINE('',#492925,#112229); #73215=LINE('',#492930,#112230); #73216=LINE('',#492932,#112231); #73217=LINE('',#492933,#112232); #73218=LINE('',#492953,#112233); #73219=LINE('',#492957,#112234); #73220=LINE('',#492961,#112235); #73221=LINE('',#492976,#112236); #73222=LINE('',#492980,#112237); #73223=LINE('',#492981,#112238); #73224=LINE('',#492984,#112239); #73225=LINE('',#492988,#112240); #73226=LINE('',#492989,#112241); #73227=LINE('',#492992,#112242); #73228=LINE('',#492996,#112243); #73229=LINE('',#492997,#112244); #73230=LINE('',#493023,#112245); #73231=LINE('',#493027,#112246); #73232=LINE('',#493029,#112247); #73233=LINE('',#493030,#112248); #73234=LINE('',#493034,#112249); #73235=LINE('',#493039,#112250); #73236=LINE('',#493041,#112251); #73237=LINE('',#493042,#112252); #73238=LINE('',#493046,#112253); #73239=LINE('',#493051,#112254); #73240=LINE('',#493053,#112255); #73241=LINE('',#493054,#112256); #73242=LINE('',#493074,#112257); #73243=LINE('',#493078,#112258); #73244=LINE('',#493082,#112259); #73245=LINE('',#493097,#112260); #73246=LINE('',#493101,#112261); #73247=LINE('',#493102,#112262); #73248=LINE('',#493105,#112263); #73249=LINE('',#493109,#112264); #73250=LINE('',#493110,#112265); #73251=LINE('',#493113,#112266); #73252=LINE('',#493117,#112267); #73253=LINE('',#493118,#112268); #73254=LINE('',#493144,#112269); #73255=LINE('',#493148,#112270); #73256=LINE('',#493150,#112271); #73257=LINE('',#493151,#112272); #73258=LINE('',#493155,#112273); #73259=LINE('',#493160,#112274); #73260=LINE('',#493162,#112275); #73261=LINE('',#493163,#112276); #73262=LINE('',#493167,#112277); #73263=LINE('',#493172,#112278); #73264=LINE('',#493174,#112279); #73265=LINE('',#493175,#112280); #73266=LINE('',#493195,#112281); #73267=LINE('',#493199,#112282); #73268=LINE('',#493203,#112283); #73269=LINE('',#493218,#112284); #73270=LINE('',#493222,#112285); #73271=LINE('',#493223,#112286); #73272=LINE('',#493226,#112287); #73273=LINE('',#493230,#112288); #73274=LINE('',#493231,#112289); #73275=LINE('',#493234,#112290); #73276=LINE('',#493238,#112291); #73277=LINE('',#493239,#112292); #73278=LINE('',#493265,#112293); #73279=LINE('',#493269,#112294); #73280=LINE('',#493271,#112295); #73281=LINE('',#493272,#112296); #73282=LINE('',#493276,#112297); #73283=LINE('',#493281,#112298); #73284=LINE('',#493283,#112299); #73285=LINE('',#493284,#112300); #73286=LINE('',#493288,#112301); #73287=LINE('',#493293,#112302); #73288=LINE('',#493295,#112303); #73289=LINE('',#493296,#112304); #73290=LINE('',#493316,#112305); #73291=LINE('',#493320,#112306); #73292=LINE('',#493324,#112307); #73293=LINE('',#493339,#112308); #73294=LINE('',#493343,#112309); #73295=LINE('',#493344,#112310); #73296=LINE('',#493347,#112311); #73297=LINE('',#493351,#112312); #73298=LINE('',#493352,#112313); #73299=LINE('',#493355,#112314); #73300=LINE('',#493359,#112315); #73301=LINE('',#493360,#112316); #73302=LINE('',#493373,#112317); #73303=LINE('',#493374,#112318); #73304=LINE('',#493376,#112319); #73305=LINE('',#493378,#112320); #73306=LINE('',#493379,#112321); #73307=LINE('',#493381,#112322); #73308=LINE('',#493383,#112323); #73309=LINE('',#493384,#112324); #73310=LINE('',#493386,#112325); #73311=LINE('',#493388,#112326); #73312=LINE('',#493389,#112327); #73313=LINE('',#493391,#112328); #73314=LINE('',#493393,#112329); #73315=LINE('',#493394,#112330); #73316=LINE('',#493396,#112331); #73317=LINE('',#493398,#112332); #73318=LINE('',#493400,#112333); #73319=LINE('',#493402,#112334); #73320=LINE('',#493404,#112335); #73321=LINE('',#493406,#112336); #73322=LINE('',#493407,#112337); #73323=LINE('',#493410,#112338); #73324=LINE('',#493412,#112339); #73325=LINE('',#493413,#112340); #73326=LINE('',#493415,#112341); #73327=LINE('',#493417,#112342); #73328=LINE('',#493418,#112343); #73329=LINE('',#493421,#112344); #73330=LINE('',#493423,#112345); #73331=LINE('',#493425,#112346); #73332=LINE('',#493426,#112347); #73333=LINE('',#493429,#112348); #73334=LINE('',#493451,#112349); #73335=LINE('',#493455,#112350); #73336=LINE('',#493494,#112351); #73337=LINE('',#493518,#112352); #73338=LINE('',#493534,#112353); #73339=LINE('',#493557,#112354); #73340=LINE('',#493574,#112355); #73341=LINE('',#493596,#112356); #73342=LINE('',#493613,#112357); #73343=LINE('',#493639,#112358); #73344=LINE('',#493655,#112359); #73345=LINE('',#493678,#112360); #73346=LINE('',#493696,#112361); #73347=LINE('',#493721,#112362); #73348=LINE('',#493739,#112363); #73349=LINE('',#493761,#112364); #73350=LINE('',#493777,#112365); #73351=LINE('',#493805,#112366); #73352=LINE('',#493822,#112367); #73353=LINE('',#493846,#112368); #73354=LINE('',#493857,#112369); #73355=LINE('',#493860,#112370); #73356=LINE('',#493862,#112371); #73357=LINE('',#493875,#112372); #73358=LINE('',#493899,#112373); #73359=LINE('',#493918,#112374); #73360=LINE('',#493942,#112375); #73361=LINE('',#493959,#112376); #73362=LINE('',#493982,#112377); #73363=LINE('',#493999,#112378); #73364=LINE('',#494022,#112379); #73365=LINE('',#494038,#112380); #73366=LINE('',#494061,#112381); #73367=LINE('',#494077,#112382); #73368=LINE('',#494100,#112383); #73369=LINE('',#494117,#112384); #73370=LINE('',#494142,#112385); #73371=LINE('',#494154,#112386); #73372=LINE('',#494162,#112387); #73373=LINE('',#494164,#112388); #73374=LINE('',#494165,#112389); #73375=LINE('',#494173,#112390); #73376=LINE('',#494175,#112391); #73377=LINE('',#494179,#112392); #73378=LINE('',#494183,#112393); #73379=LINE('',#494206,#112394); #73380=LINE('',#494228,#112395); #73381=LINE('',#494231,#112396); #73382=LINE('',#494233,#112397); #73383=LINE('',#494234,#112398); #73384=LINE('',#494237,#112399); #73385=LINE('',#494238,#112400); #73386=LINE('',#494243,#112401); #73387=LINE('',#494244,#112402); #73388=LINE('',#494246,#112403); #73389=LINE('',#494248,#112404); #73390=LINE('',#494250,#112405); #73391=LINE('',#494251,#112406); #73392=LINE('',#494256,#112407); #73393=LINE('',#494265,#112408); #73394=LINE('',#494274,#112409); #73395=LINE('',#494283,#112410); #73396=LINE('',#494290,#112411); #73397=LINE('',#494295,#112412); #73398=LINE('',#494300,#112413); #73399=LINE('',#494305,#112414); #73400=LINE('',#494312,#112415); #73401=LINE('',#494315,#112416); #73402=LINE('',#494317,#112417); #73403=LINE('',#494318,#112418); #73404=LINE('',#494372,#112419); #73405=LINE('',#494388,#112420); #73406=LINE('',#494389,#112421); #73407=LINE('',#494462,#112422); #73408=LINE('',#494480,#112423); #73409=LINE('',#494483,#112424); #73410=LINE('',#494484,#112425); #73411=LINE('',#494487,#112426); #73412=LINE('',#494489,#112427); #73413=LINE('',#494490,#112428); #73414=LINE('',#494549,#112429); #73415=LINE('',#494580,#112430); #73416=LINE('',#494581,#112431); #73417=LINE('',#494582,#112432); #73418=LINE('',#494664,#112433); #73419=LINE('',#494667,#112434); #73420=LINE('',#494681,#112435); #73421=LINE('',#494693,#112436); #73422=LINE('',#494707,#112437); #73423=LINE('',#494718,#112438); #73424=LINE('',#494737,#112439); #73425=LINE('',#494753,#112440); #73426=LINE('',#494770,#112441); #73427=LINE('',#494786,#112442); #73428=LINE('',#494804,#112443); #73429=LINE('',#494820,#112444); #73430=LINE('',#494837,#112445); #73431=LINE('',#494855,#112446); #73432=LINE('',#494873,#112447); #73433=LINE('',#494889,#112448); #73434=LINE('',#494906,#112449); #73435=LINE('',#494925,#112450); #73436=LINE('',#494942,#112451); #73437=LINE('',#494959,#112452); #73438=LINE('',#494978,#112453); #73439=LINE('',#494997,#112454); #73440=LINE('',#495015,#112455); #73441=LINE('',#495033,#112456); #73442=LINE('',#495049,#112457); #73443=LINE('',#495068,#112458); #73444=LINE('',#495085,#112459); #73445=LINE('',#495102,#112460); #73446=LINE('',#495120,#112461); #73447=LINE('',#495137,#112462); #73448=LINE('',#495155,#112463); #73449=LINE('',#495174,#112464); #73450=LINE('',#495189,#112465); #73451=LINE('',#495206,#112466); #73452=LINE('',#495208,#112467); #73453=LINE('',#495209,#112468); #73454=LINE('',#495267,#112469); #73455=LINE('',#495284,#112470); #73456=LINE('',#495285,#112471); #73457=LINE('',#495363,#112472); #73458=LINE('',#495378,#112473); #73459=LINE('',#495381,#112474); #73460=LINE('',#495382,#112475); #73461=LINE('',#495384,#112476); #73462=LINE('',#495385,#112477); #73463=LINE('',#495451,#112478); #73464=LINE('',#495469,#112479); #73465=LINE('',#495470,#112480); #73466=LINE('',#495524,#112481); #73467=LINE('',#495528,#112482); #73468=LINE('',#495530,#112483); #73469=LINE('',#495531,#112484); #73470=LINE('',#495589,#112485); #73471=LINE('',#495606,#112486); #73472=LINE('',#495607,#112487); #73473=LINE('',#495690,#112488); #73474=LINE('',#495705,#112489); #73475=LINE('',#495708,#112490); #73476=LINE('',#495709,#112491); #73477=LINE('',#495711,#112492); #73478=LINE('',#495712,#112493); #73479=LINE('',#495762,#112494); #73480=LINE('',#495776,#112495); #73481=LINE('',#495777,#112496); #73482=LINE('',#495831,#112497); #73483=LINE('',#495835,#112498); #73484=LINE('',#495837,#112499); #73485=LINE('',#495838,#112500); #73486=LINE('',#495892,#112501); #73487=LINE('',#495908,#112502); #73488=LINE('',#495909,#112503); #73489=LINE('',#495982,#112504); #73490=LINE('',#495997,#112505); #73491=LINE('',#496000,#112506); #73492=LINE('',#496001,#112507); #73493=LINE('',#496003,#112508); #73494=LINE('',#496004,#112509); #73495=LINE('',#496062,#112510); #73496=LINE('',#496078,#112511); #73497=LINE('',#496079,#112512); #73498=LINE('',#496133,#112513); #73499=LINE('',#496137,#112514); #73500=LINE('',#496139,#112515); #73501=LINE('',#496140,#112516); #73502=LINE('',#496198,#112517); #73503=LINE('',#496215,#112518); #73504=LINE('',#496216,#112519); #73505=LINE('',#496289,#112520); #73506=LINE('',#496307,#112521); #73507=LINE('',#496310,#112522); #73508=LINE('',#496311,#112523); #73509=LINE('',#496313,#112524); #73510=LINE('',#496314,#112525); #73511=LINE('',#496376,#112526); #73512=LINE('',#496393,#112527); #73513=LINE('',#496394,#112528); #73514=LINE('',#496460,#112529); #73515=LINE('',#496464,#112530); #73516=LINE('',#496466,#112531); #73517=LINE('',#496467,#112532); #73518=LINE('',#496525,#112533); #73519=LINE('',#496542,#112534); #73520=LINE('',#496543,#112535); #73521=LINE('',#496616,#112536); #73522=LINE('',#496631,#112537); #73523=LINE('',#496634,#112538); #73524=LINE('',#496635,#112539); #73525=LINE('',#496637,#112540); #73526=LINE('',#496638,#112541); #73527=LINE('',#496700,#112542); #73528=LINE('',#496717,#112543); #73529=LINE('',#496718,#112544); #73530=LINE('',#496772,#112545); #73531=LINE('',#496776,#112546); #73532=LINE('',#496778,#112547); #73533=LINE('',#496779,#112548); #73534=LINE('',#496833,#112549); #73535=LINE('',#496849,#112550); #73536=LINE('',#496850,#112551); #73537=LINE('',#496933,#112552); #73538=LINE('',#496949,#112553); #73539=LINE('',#496952,#112554); #73540=LINE('',#496953,#112555); #73541=LINE('',#496955,#112556); #73542=LINE('',#496956,#112557); #73543=LINE('',#497018,#112558); #73544=LINE('',#497035,#112559); #73545=LINE('',#497036,#112560); #73546=LINE('',#497094,#112561); #73547=LINE('',#497098,#112562); #73548=LINE('',#497100,#112563); #73549=LINE('',#497101,#112564); #73550=LINE('',#497163,#112565); #73551=LINE('',#497181,#112566); #73552=LINE('',#497182,#112567); #73553=LINE('',#497255,#112568); #73554=LINE('',#497272,#112569); #73555=LINE('',#497275,#112570); #73556=LINE('',#497276,#112571); #73557=LINE('',#497278,#112572); #73558=LINE('',#497279,#112573); #73559=LINE('',#497337,#112574); #73560=LINE('',#497353,#112575); #73561=LINE('',#497354,#112576); #73562=LINE('',#497416,#112577); #73563=LINE('',#497420,#112578); #73564=LINE('',#497422,#112579); #73565=LINE('',#497423,#112580); #73566=LINE('',#497485,#112581); #73567=LINE('',#497503,#112582); #73568=LINE('',#497504,#112583); #73569=LINE('',#497587,#112584); #73570=LINE('',#497603,#112585); #73571=LINE('',#497606,#112586); #73572=LINE('',#497607,#112587); #73573=LINE('',#497609,#112588); #73574=LINE('',#497610,#112589); #73575=LINE('',#497668,#112590); #73576=LINE('',#497684,#112591); #73577=LINE('',#497685,#112592); #73578=LINE('',#497743,#112593); #73579=LINE('',#497747,#112594); #73580=LINE('',#497749,#112595); #73581=LINE('',#497750,#112596); #73582=LINE('',#497804,#112597); #73583=LINE('',#497820,#112598); #73584=LINE('',#497821,#112599); #73585=LINE('',#497904,#112600); #73586=LINE('',#497919,#112601); #73587=LINE('',#497922,#112602); #73588=LINE('',#497923,#112603); #73589=LINE('',#497925,#112604); #73590=LINE('',#497926,#112605); #73591=LINE('',#497988,#112606); #73592=LINE('',#498005,#112607); #73593=LINE('',#498006,#112608); #73594=LINE('',#498060,#112609); #73595=LINE('',#498064,#112610); #73596=LINE('',#498066,#112611); #73597=LINE('',#498067,#112612); #73598=LINE('',#498125,#112613); #73599=LINE('',#498142,#112614); #73600=LINE('',#498143,#112615); #73601=LINE('',#498221,#112616); #73602=LINE('',#498239,#112617); #73603=LINE('',#498242,#112618); #73604=LINE('',#498243,#112619); #73605=LINE('',#498245,#112620); #73606=LINE('',#498246,#112621); #73607=LINE('',#498300,#112622); #73608=LINE('',#498315,#112623); #73609=LINE('',#498316,#112624); #73610=LINE('',#498382,#112625); #73611=LINE('',#498386,#112626); #73612=LINE('',#498388,#112627); #73613=LINE('',#498389,#112628); #73614=LINE('',#498443,#112629); #73615=LINE('',#498459,#112630); #73616=LINE('',#498460,#112631); #73617=LINE('',#498538,#112632); #73618=LINE('',#498555,#112633); #73619=LINE('',#498558,#112634); #73620=LINE('',#498559,#112635); #73621=LINE('',#498561,#112636); #73622=LINE('',#498562,#112637); #73623=LINE('',#498624,#112638); #73624=LINE('',#498641,#112639); #73625=LINE('',#498642,#112640); #73626=LINE('',#498704,#112641); #73627=LINE('',#498708,#112642); #73628=LINE('',#498710,#112643); #73629=LINE('',#498711,#112644); #73630=LINE('',#498777,#112645); #73631=LINE('',#498796,#112646); #73632=LINE('',#498797,#112647); #73633=LINE('',#498875,#112648); #73634=LINE('',#498892,#112649); #73635=LINE('',#498895,#112650); #73636=LINE('',#498896,#112651); #73637=LINE('',#498898,#112652); #73638=LINE('',#498899,#112653); #73639=LINE('',#498961,#112654); #73640=LINE('',#498978,#112655); #73641=LINE('',#498979,#112656); #73642=LINE('',#499041,#112657); #73643=LINE('',#499045,#112658); #73644=LINE('',#499047,#112659); #73645=LINE('',#499048,#112660); #73646=LINE('',#499106,#112661); #73647=LINE('',#499123,#112662); #73648=LINE('',#499124,#112663); #73649=LINE('',#499207,#112664); #73650=LINE('',#499225,#112665); #73651=LINE('',#499228,#112666); #73652=LINE('',#499229,#112667); #73653=LINE('',#499231,#112668); #73654=LINE('',#499232,#112669); #73655=LINE('',#499290,#112670); #73656=LINE('',#499306,#112671); #73657=LINE('',#499307,#112672); #73658=LINE('',#499373,#112673); #73659=LINE('',#499377,#112674); #73660=LINE('',#499379,#112675); #73661=LINE('',#499380,#112676); #73662=LINE('',#499438,#112677); #73663=LINE('',#499455,#112678); #73664=LINE('',#499456,#112679); #73665=LINE('',#499529,#112680); #73666=LINE('',#499547,#112681); #73667=LINE('',#499550,#112682); #73668=LINE('',#499551,#112683); #73669=LINE('',#499553,#112684); #73670=LINE('',#499554,#112685); #73671=LINE('',#499620,#112686); #73672=LINE('',#499638,#112687); #73673=LINE('',#499639,#112688); #73674=LINE('',#499705,#112689); #73675=LINE('',#499709,#112690); #73676=LINE('',#499711,#112691); #73677=LINE('',#499712,#112692); #73678=LINE('',#499766,#112693); #73679=LINE('',#499782,#112694); #73680=LINE('',#499783,#112695); #73681=LINE('',#499856,#112696); #73682=LINE('',#499872,#112697); #73683=LINE('',#499875,#112698); #73684=LINE('',#499876,#112699); #73685=LINE('',#499878,#112700); #73686=LINE('',#499879,#112701); #73687=LINE('',#499937,#112702); #73688=LINE('',#499953,#112703); #73689=LINE('',#499954,#112704); #73690=LINE('',#500012,#112705); #73691=LINE('',#500015,#112706); #73692=LINE('',#500021,#112707); #73693=LINE('',#500022,#112708); #73694=LINE('',#500027,#112709); #73695=LINE('',#500028,#112710); #73696=LINE('',#500031,#112711); #73697=LINE('',#500034,#112712); #73698=LINE('',#500036,#112713); #73699=LINE('',#500040,#112714); #73700=LINE('',#500043,#112715); #73701=LINE('',#500046,#112716); #73702=LINE('',#500048,#112717); #73703=LINE('',#500051,#112718); #73704=LINE('',#500054,#112719); #73705=LINE('',#500056,#112720); #73706=LINE('',#500059,#112721); #73707=LINE('',#500062,#112722); #73708=LINE('',#500068,#112723); #73709=LINE('',#500073,#112724); #73710=LINE('',#500092,#112725); #73711=LINE('',#500098,#112726); #73712=LINE('',#500104,#112727); #73713=LINE('',#500110,#112728); #73714=LINE('',#500114,#112729); #73715=LINE('',#500119,#112730); #73716=LINE('',#500124,#112731); #73717=LINE('',#500129,#112732); #73718=LINE('',#500134,#112733); #73719=LINE('',#500138,#112734); #73720=LINE('',#500144,#112735); #73721=LINE('',#500148,#112736); #73722=LINE('',#500154,#112737); #73723=LINE('',#500204,#112738); #73724=LINE('',#500206,#112739); #73725=LINE('',#500212,#112740); #73726=LINE('',#500213,#112741); #73727=LINE('',#500216,#112742); #73728=LINE('',#500218,#112743); #73729=LINE('',#500224,#112744); #73730=LINE('',#500225,#112745); #73731=LINE('',#500227,#112746); #73732=LINE('',#500231,#112747); #73733=LINE('',#500247,#112748); #73734=LINE('',#500277,#112749); #73735=LINE('',#500278,#112750); #73736=LINE('',#500281,#112751); #73737=LINE('',#500282,#112752); #73738=LINE('',#500285,#112753); #73739=LINE('',#500286,#112754); #73740=LINE('',#500289,#112755); #73741=LINE('',#500290,#112756); #73742=LINE('',#500293,#112757); #73743=LINE('',#500294,#112758); #73744=LINE('',#500297,#112759); #73745=LINE('',#500298,#112760); #73746=LINE('',#500300,#112761); #73747=LINE('',#500302,#112762); #73748=LINE('',#500304,#112763); #73749=LINE('',#500306,#112764); #73750=LINE('',#500307,#112765); #73751=LINE('',#500310,#112766); #73752=LINE('',#500311,#112767); #73753=LINE('',#500314,#112768); #73754=LINE('',#500315,#112769); #73755=LINE('',#500317,#112770); #73756=LINE('',#500319,#112771); #73757=LINE('',#500321,#112772); #73758=LINE('',#500323,#112773); #73759=LINE('',#500325,#112774); #73760=LINE('',#500327,#112775); #73761=LINE('',#500329,#112776); #73762=LINE('',#500334,#112777); #73763=LINE('',#500336,#112778); #73764=LINE('',#500338,#112779); #73765=LINE('',#500339,#112780); #73766=LINE('',#500341,#112781); #73767=LINE('',#500343,#112782); #73768=LINE('',#500345,#112783); #73769=LINE('',#500347,#112784); #73770=LINE('',#500350,#112785); #73771=LINE('',#500352,#112786); #73772=LINE('',#500356,#112787); #73773=LINE('',#500361,#112788); #73774=LINE('',#500363,#112789); #73775=LINE('',#500365,#112790); #73776=LINE('',#500366,#112791); #73777=LINE('',#500370,#112792); #73778=LINE('',#500372,#112793); #73779=LINE('',#500374,#112794); #73780=LINE('',#500375,#112795); #73781=LINE('',#500378,#112796); #73782=LINE('',#500380,#112797); #73783=LINE('',#500382,#112798); #73784=LINE('',#500384,#112799); #73785=LINE('',#500386,#112800); #73786=LINE('',#500388,#112801); #73787=LINE('',#500389,#112802); #73788=LINE('',#500392,#112803); #73789=LINE('',#500394,#112804); #73790=LINE('',#500395,#112805); #73791=LINE('',#500399,#112806); #73792=LINE('',#500401,#112807); #73793=LINE('',#500403,#112808); #73794=LINE('',#500404,#112809); #73795=LINE('',#500407,#112810); #73796=LINE('',#500409,#112811); #73797=LINE('',#500411,#112812); #73798=LINE('',#500413,#112813); #73799=LINE('',#500414,#112814); #73800=LINE('',#500415,#112815); #73801=LINE('',#500420,#112816); #73802=LINE('',#500422,#112817); #73803=LINE('',#500423,#112818); #73804=LINE('',#500429,#112819); #73805=LINE('',#500431,#112820); #73806=LINE('',#500432,#112821); #73807=LINE('',#500435,#112822); #73808=LINE('',#500436,#112823); #73809=LINE('',#500438,#112824); #73810=LINE('',#500440,#112825); #73811=LINE('',#500441,#112826); #73812=LINE('',#500445,#112827); #73813=LINE('',#500446,#112828); #73814=LINE('',#500448,#112829); #73815=LINE('',#500449,#112830); #73816=LINE('',#500452,#112831); #73817=LINE('',#500454,#112832); #73818=LINE('',#500455,#112833); #73819=LINE('',#500458,#112834); #73820=LINE('',#500460,#112835); #73821=LINE('',#500461,#112836); #73822=LINE('',#500462,#112837); #73823=LINE('',#500464,#112838); #73824=LINE('',#500466,#112839); #73825=LINE('',#500469,#112840); #73826=LINE('',#500471,#112841); #73827=LINE('',#500476,#112842); #73828=LINE('',#500478,#112843); #73829=LINE('',#500480,#112844); #73830=LINE('',#500484,#112845); #73831=LINE('',#500488,#112846); #73832=LINE('',#500492,#112847); #73833=LINE('',#500493,#112848); #73834=LINE('',#500496,#112849); #73835=LINE('',#500497,#112850); #73836=LINE('',#500501,#112851); #73837=LINE('',#500503,#112852); #73838=LINE('',#500505,#112853); #73839=LINE('',#500507,#112854); #73840=LINE('',#500509,#112855); #73841=LINE('',#500510,#112856); #73842=LINE('',#500514,#112857); #73843=LINE('',#500516,#112858); #73844=LINE('',#500518,#112859); #73845=LINE('',#500520,#112860); #73846=LINE('',#500522,#112861); #73847=LINE('',#500523,#112862); #73848=LINE('',#500527,#112863); #73849=LINE('',#500529,#112864); #73850=LINE('',#500531,#112865); #73851=LINE('',#500533,#112866); #73852=LINE('',#500535,#112867); #73853=LINE('',#500536,#112868); #73854=LINE('',#500541,#112869); #73855=LINE('',#500546,#112870); #73856=LINE('',#500548,#112871); #73857=LINE('',#500550,#112872); #73858=LINE('',#500551,#112873); #73859=LINE('',#500556,#112874); #73860=LINE('',#500562,#112875); #73861=LINE('',#500567,#112876); #73862=LINE('',#500571,#112877); #73863=LINE('',#500573,#112878); #73864=LINE('',#500575,#112879); #73865=LINE('',#500576,#112880); #73866=LINE('',#500581,#112881); #73867=LINE('',#500587,#112882); #73868=LINE('',#500592,#112883); #73869=LINE('',#500597,#112884); #73870=LINE('',#500601,#112885); #73871=LINE('',#500606,#112886); #73872=LINE('',#500609,#112887); #73873=LINE('',#500610,#112888); #73874=LINE('',#500615,#112889); #73875=LINE('',#500622,#112890); #73876=LINE('',#500625,#112891); #73877=LINE('',#500635,#112892); #73878=LINE('',#500636,#112893); #73879=LINE('',#500642,#112894); #73880=LINE('',#500645,#112895); #73881=LINE('',#500651,#112896); #73882=LINE('',#500652,#112897); #73883=LINE('',#500654,#112898); #73884=LINE('',#500664,#112899); #73885=LINE('',#500667,#112900); #73886=LINE('',#500677,#112901); #73887=LINE('',#500678,#112902); #73888=LINE('',#500684,#112903); #73889=LINE('',#500688,#112904); #73890=LINE('',#500738,#112905); #73891=LINE('',#500741,#112906); #73892=LINE('',#500743,#112907); #73893=LINE('',#500749,#112908); #73894=LINE('',#500754,#112909); #73895=LINE('',#500758,#112910); #73896=LINE('',#500763,#112911); #73897=LINE('',#500765,#112912); #73898=LINE('',#500769,#112913); #73899=LINE('',#500772,#112914); #73900=LINE('',#500774,#112915); #73901=LINE('',#500778,#112916); #73902=LINE('',#500782,#112917); #73903=LINE('',#500785,#112918); #73904=LINE('',#500786,#112919); #73905=LINE('',#500844,#112920); #73906=LINE('',#500860,#112921); #73907=LINE('',#500863,#112922); #73908=LINE('',#500866,#112923); #73909=LINE('',#500867,#112924); #73910=LINE('',#500870,#112925); #73911=LINE('',#500874,#112926); #73912=LINE('',#500875,#112927); #73913=LINE('',#500878,#112928); #73914=LINE('',#500882,#112929); #73915=LINE('',#500883,#112930); #73916=LINE('',#500885,#112931); #73917=LINE('',#500888,#112932); #73918=LINE('',#500889,#112933); #73919=LINE('',#500891,#112934); #73920=LINE('',#500903,#112935); #73921=LINE('',#500909,#112936); #73922=LINE('',#500915,#112937); #73923=LINE('',#500921,#112938); #73924=LINE('',#500927,#112939); #73925=LINE('',#500933,#112940); #73926=LINE('',#500939,#112941); #73927=LINE('',#500945,#112942); #73928=LINE('',#500951,#112943); #73929=LINE('',#500957,#112944); #73930=LINE('',#500963,#112945); #73931=LINE('',#500969,#112946); #73932=LINE('',#500975,#112947); #73933=LINE('',#500981,#112948); #73934=LINE('',#500987,#112949); #73935=LINE('',#500993,#112950); #73936=LINE('',#500999,#112951); #73937=LINE('',#501005,#112952); #73938=LINE('',#501011,#112953); #73939=LINE('',#501017,#112954); #73940=LINE('',#501023,#112955); #73941=LINE('',#501029,#112956); #73942=LINE('',#501035,#112957); #73943=LINE('',#501041,#112958); #73944=LINE('',#501047,#112959); #73945=LINE('',#501053,#112960); #73946=LINE('',#501059,#112961); #73947=LINE('',#501065,#112962); #73948=LINE('',#501071,#112963); #73949=LINE('',#501077,#112964); #73950=LINE('',#501083,#112965); #73951=LINE('',#501089,#112966); #73952=LINE('',#501095,#112967); #73953=LINE('',#501101,#112968); #73954=LINE('',#501107,#112969); #73955=LINE('',#501113,#112970); #73956=LINE('',#501119,#112971); #73957=LINE('',#501125,#112972); #73958=LINE('',#501131,#112973); #73959=LINE('',#501137,#112974); #73960=LINE('',#501143,#112975); #73961=LINE('',#501149,#112976); #73962=LINE('',#501155,#112977); #73963=LINE('',#501161,#112978); #73964=LINE('',#501167,#112979); #73965=LINE('',#501173,#112980); #73966=LINE('',#501179,#112981); #73967=LINE('',#501185,#112982); #73968=LINE('',#501191,#112983); #73969=LINE('',#501197,#112984); #73970=LINE('',#501203,#112985); #73971=LINE('',#501209,#112986); #73972=LINE('',#501215,#112987); #73973=LINE('',#501221,#112988); #73974=LINE('',#501227,#112989); #73975=LINE('',#501233,#112990); #73976=LINE('',#501239,#112991); #73977=LINE('',#501245,#112992); #73978=LINE('',#501251,#112993); #73979=LINE('',#501257,#112994); #73980=LINE('',#501263,#112995); #73981=LINE('',#501269,#112996); #73982=LINE('',#501275,#112997); #73983=LINE('',#501281,#112998); #73984=LINE('',#501287,#112999); #73985=LINE('',#501293,#113000); #73986=LINE('',#501299,#113001); #73987=LINE('',#501305,#113002); #73988=LINE('',#501311,#113003); #73989=LINE('',#501317,#113004); #73990=LINE('',#501323,#113005); #73991=LINE('',#501329,#113006); #73992=LINE('',#501335,#113007); #73993=LINE('',#501341,#113008); #73994=LINE('',#501347,#113009); #73995=LINE('',#501353,#113010); #73996=LINE('',#501359,#113011); #73997=LINE('',#501365,#113012); #73998=LINE('',#501371,#113013); #73999=LINE('',#501377,#113014); #74000=LINE('',#501383,#113015); #74001=LINE('',#501389,#113016); #74002=LINE('',#501395,#113017); #74003=LINE('',#501401,#113018); #74004=LINE('',#501407,#113019); #74005=LINE('',#501413,#113020); #74006=LINE('',#501419,#113021); #74007=LINE('',#501425,#113022); #74008=LINE('',#501431,#113023); #74009=LINE('',#501437,#113024); #74010=LINE('',#501443,#113025); #74011=LINE('',#501449,#113026); #74012=LINE('',#501455,#113027); #74013=LINE('',#501461,#113028); #74014=LINE('',#501467,#113029); #74015=LINE('',#501473,#113030); #74016=LINE('',#501479,#113031); #74017=LINE('',#501485,#113032); #74018=LINE('',#501491,#113033); #74019=LINE('',#501497,#113034); #74020=LINE('',#501503,#113035); #74021=LINE('',#501509,#113036); #74022=LINE('',#501515,#113037); #74023=LINE('',#501521,#113038); #74024=LINE('',#501527,#113039); #74025=LINE('',#501533,#113040); #74026=LINE('',#501539,#113041); #74027=LINE('',#501545,#113042); #74028=LINE('',#501551,#113043); #74029=LINE('',#501557,#113044); #74030=LINE('',#501563,#113045); #74031=LINE('',#501569,#113046); #74032=LINE('',#501575,#113047); #74033=LINE('',#501581,#113048); #74034=LINE('',#501587,#113049); #74035=LINE('',#501593,#113050); #74036=LINE('',#501599,#113051); #74037=LINE('',#501605,#113052); #74038=LINE('',#501611,#113053); #74039=LINE('',#501617,#113054); #74040=LINE('',#501623,#113055); #74041=LINE('',#501629,#113056); #74042=LINE('',#501635,#113057); #74043=LINE('',#501641,#113058); #74044=LINE('',#501647,#113059); #74045=LINE('',#501653,#113060); #74046=LINE('',#501659,#113061); #74047=LINE('',#501665,#113062); #74048=LINE('',#501671,#113063); #74049=LINE('',#501677,#113064); #74050=LINE('',#501683,#113065); #74051=LINE('',#501689,#113066); #74052=LINE('',#501695,#113067); #74053=LINE('',#501701,#113068); #74054=LINE('',#501707,#113069); #74055=LINE('',#501713,#113070); #74056=LINE('',#501719,#113071); #74057=LINE('',#501725,#113072); #74058=LINE('',#501731,#113073); #74059=LINE('',#501737,#113074); #74060=LINE('',#501743,#113075); #74061=LINE('',#501749,#113076); #74062=LINE('',#501755,#113077); #74063=LINE('',#501761,#113078); #74064=LINE('',#501767,#113079); #74065=LINE('',#501773,#113080); #74066=LINE('',#501779,#113081); #74067=LINE('',#501785,#113082); #74068=LINE('',#501791,#113083); #74069=LINE('',#501797,#113084); #74070=LINE('',#501803,#113085); #74071=LINE('',#501809,#113086); #74072=LINE('',#501815,#113087); #74073=LINE('',#501821,#113088); #74074=LINE('',#501827,#113089); #74075=LINE('',#501833,#113090); #74076=LINE('',#501839,#113091); #74077=LINE('',#501845,#113092); #74078=LINE('',#501851,#113093); #74079=LINE('',#501857,#113094); #74080=LINE('',#501863,#113095); #74081=LINE('',#501869,#113096); #74082=LINE('',#501875,#113097); #74083=LINE('',#501880,#113098); #74084=LINE('',#501882,#113099); #74085=LINE('',#501884,#113100); #74086=LINE('',#501885,#113101); #74087=LINE('',#501891,#113102); #74088=LINE('',#501894,#113103); #74089=LINE('',#501896,#113104); #74090=LINE('',#501897,#113105); #74091=LINE('',#501905,#113106); #74092=LINE('',#501910,#113107); #74093=LINE('',#501912,#113108); #74094=LINE('',#501914,#113109); #74095=LINE('',#501915,#113110); #74096=LINE('',#501921,#113111); #74097=LINE('',#501924,#113112); #74098=LINE('',#501926,#113113); #74099=LINE('',#501927,#113114); #74100=LINE('',#501935,#113115); #74101=LINE('',#501941,#113116); #74102=LINE('',#501947,#113117); #74103=LINE('',#501952,#113118); #74104=LINE('',#501954,#113119); #74105=LINE('',#501956,#113120); #74106=LINE('',#501957,#113121); #74107=LINE('',#501963,#113122); #74108=LINE('',#501966,#113123); #74109=LINE('',#501968,#113124); #74110=LINE('',#501969,#113125); #74111=LINE('',#501977,#113126); #74112=LINE('',#501983,#113127); #74113=LINE('',#501989,#113128); #74114=LINE('',#501995,#113129); #74115=LINE('',#502001,#113130); #74116=LINE('',#502007,#113131); #74117=LINE('',#502013,#113132); #74118=LINE('',#502019,#113133); #74119=LINE('',#502025,#113134); #74120=LINE('',#502031,#113135); #74121=LINE('',#502036,#113136); #74122=LINE('',#502038,#113137); #74123=LINE('',#502040,#113138); #74124=LINE('',#502041,#113139); #74125=LINE('',#502047,#113140); #74126=LINE('',#502050,#113141); #74127=LINE('',#502052,#113142); #74128=LINE('',#502053,#113143); #74129=LINE('',#502061,#113144); #74130=LINE('',#502066,#113145); #74131=LINE('',#502068,#113146); #74132=LINE('',#502070,#113147); #74133=LINE('',#502071,#113148); #74134=LINE('',#502077,#113149); #74135=LINE('',#502080,#113150); #74136=LINE('',#502082,#113151); #74137=LINE('',#502083,#113152); #74138=LINE('',#502091,#113153); #74139=LINE('',#502096,#113154); #74140=LINE('',#502098,#113155); #74141=LINE('',#502100,#113156); #74142=LINE('',#502101,#113157); #74143=LINE('',#502107,#113158); #74144=LINE('',#502110,#113159); #74145=LINE('',#502112,#113160); #74146=LINE('',#502113,#113161); #74147=LINE('',#502121,#113162); #74148=LINE('',#502127,#113163); #74149=LINE('',#502133,#113164); #74150=LINE('',#502139,#113165); #74151=LINE('',#502145,#113166); #74152=LINE('',#502151,#113167); #74153=LINE('',#502157,#113168); #74154=LINE('',#502163,#113169); #74155=LINE('',#502169,#113170); #74156=LINE('',#502175,#113171); #74157=LINE('',#502181,#113172); #74158=LINE('',#502187,#113173); #74159=LINE('',#502193,#113174); #74160=LINE('',#502199,#113175); #74161=LINE('',#502205,#113176); #74162=LINE('',#502211,#113177); #74163=LINE('',#502217,#113178); #74164=LINE('',#502223,#113179); #74165=LINE('',#502229,#113180); #74166=LINE('',#502235,#113181); #74167=LINE('',#502241,#113182); #74168=LINE('',#502247,#113183); #74169=LINE('',#502253,#113184); #74170=LINE('',#502259,#113185); #74171=LINE('',#502265,#113186); #74172=LINE('',#502271,#113187); #74173=LINE('',#502277,#113188); #74174=LINE('',#502283,#113189); #74175=LINE('',#502289,#113190); #74176=LINE('',#502295,#113191); #74177=LINE('',#502301,#113192); #74178=LINE('',#502307,#113193); #74179=LINE('',#502313,#113194); #74180=LINE('',#502319,#113195); #74181=LINE('',#502325,#113196); #74182=LINE('',#502331,#113197); #74183=LINE('',#502337,#113198); #74184=LINE('',#502343,#113199); #74185=LINE('',#502349,#113200); #74186=LINE('',#502355,#113201); #74187=LINE('',#502361,#113202); #74188=LINE('',#502367,#113203); #74189=LINE('',#502373,#113204); #74190=LINE('',#502379,#113205); #74191=LINE('',#502385,#113206); #74192=LINE('',#502391,#113207); #74193=LINE('',#502397,#113208); #74194=LINE('',#502403,#113209); #74195=LINE('',#502409,#113210); #74196=LINE('',#502415,#113211); #74197=LINE('',#502421,#113212); #74198=LINE('',#502427,#113213); #74199=LINE('',#502433,#113214); #74200=LINE('',#502439,#113215); #74201=LINE('',#502445,#113216); #74202=LINE('',#502451,#113217); #74203=LINE('',#502457,#113218); #74204=LINE('',#502463,#113219); #74205=LINE('',#502469,#113220); #74206=LINE('',#502475,#113221); #74207=LINE('',#502481,#113222); #74208=LINE('',#502487,#113223); #74209=LINE('',#502493,#113224); #74210=LINE('',#502499,#113225); #74211=LINE('',#502505,#113226); #74212=LINE('',#502511,#113227); #74213=LINE('',#502517,#113228); #74214=LINE('',#502523,#113229); #74215=LINE('',#502529,#113230); #74216=LINE('',#502535,#113231); #74217=LINE('',#502541,#113232); #74218=LINE('',#502547,#113233); #74219=LINE('',#502553,#113234); #74220=LINE('',#502559,#113235); #74221=LINE('',#502565,#113236); #74222=LINE('',#502571,#113237); #74223=LINE('',#502577,#113238); #74224=LINE('',#502583,#113239); #74225=LINE('',#502589,#113240); #74226=LINE('',#502595,#113241); #74227=LINE('',#502601,#113242); #74228=LINE('',#502607,#113243); #74229=LINE('',#502613,#113244); #74230=LINE('',#502619,#113245); #74231=LINE('',#502625,#113246); #74232=LINE('',#502631,#113247); #74233=LINE('',#502637,#113248); #74234=LINE('',#502643,#113249); #74235=LINE('',#502649,#113250); #74236=LINE('',#502655,#113251); #74237=LINE('',#502661,#113252); #74238=LINE('',#502667,#113253); #74239=LINE('',#502673,#113254); #74240=LINE('',#502679,#113255); #74241=LINE('',#502685,#113256); #74242=LINE('',#502691,#113257); #74243=LINE('',#502697,#113258); #74244=LINE('',#502703,#113259); #74245=LINE('',#502709,#113260); #74246=LINE('',#502715,#113261); #74247=LINE('',#502721,#113262); #74248=LINE('',#502727,#113263); #74249=LINE('',#502733,#113264); #74250=LINE('',#502739,#113265); #74251=LINE('',#502745,#113266); #74252=LINE('',#502751,#113267); #74253=LINE('',#502757,#113268); #74254=LINE('',#502763,#113269); #74255=LINE('',#502769,#113270); #74256=LINE('',#502775,#113271); #74257=LINE('',#502781,#113272); #74258=LINE('',#502787,#113273); #74259=LINE('',#502793,#113274); #74260=LINE('',#502799,#113275); #74261=LINE('',#502805,#113276); #74262=LINE('',#502811,#113277); #74263=LINE('',#502817,#113278); #74264=LINE('',#502823,#113279); #74265=LINE('',#502829,#113280); #74266=LINE('',#502835,#113281); #74267=LINE('',#502841,#113282); #74268=LINE('',#502847,#113283); #74269=LINE('',#502853,#113284); #74270=LINE('',#502859,#113285); #74271=LINE('',#502865,#113286); #74272=LINE('',#502871,#113287); #74273=LINE('',#502877,#113288); #74274=LINE('',#502883,#113289); #74275=LINE('',#502889,#113290); #74276=LINE('',#502895,#113291); #74277=LINE('',#502901,#113292); #74278=LINE('',#502907,#113293); #74279=LINE('',#502913,#113294); #74280=LINE('',#502921,#113295); #74281=LINE('',#502927,#113296); #74282=LINE('',#502935,#113297); #74283=LINE('',#502941,#113298); #74284=LINE('',#502949,#113299); #74285=LINE('',#502955,#113300); #74286=LINE('',#502963,#113301); #74287=LINE('',#502969,#113302); #74288=LINE('',#502977,#113303); #74289=LINE('',#502983,#113304); #74290=LINE('',#502991,#113305); #74291=LINE('',#502997,#113306); #74292=LINE('',#503005,#113307); #74293=LINE('',#503011,#113308); #74294=LINE('',#503019,#113309); #74295=LINE('',#503025,#113310); #74296=LINE('',#503033,#113311); #74297=LINE('',#503039,#113312); #74298=LINE('',#503047,#113313); #74299=LINE('',#503053,#113314); #74300=LINE('',#503061,#113315); #74301=LINE('',#503067,#113316); #74302=LINE('',#503075,#113317); #74303=LINE('',#503081,#113318); #74304=LINE('',#503089,#113319); #74305=LINE('',#503095,#113320); #74306=LINE('',#503103,#113321); #74307=LINE('',#503109,#113322); #74308=LINE('',#503117,#113323); #74309=LINE('',#503123,#113324); #74310=LINE('',#503131,#113325); #74311=LINE('',#503137,#113326); #74312=LINE('',#503145,#113327); #74313=LINE('',#503151,#113328); #74314=LINE('',#503159,#113329); #74315=LINE('',#503165,#113330); #74316=LINE('',#503173,#113331); #74317=LINE('',#503179,#113332); #74318=LINE('',#503187,#113333); #74319=LINE('',#503193,#113334); #74320=LINE('',#503201,#113335); #74321=LINE('',#503207,#113336); #74322=LINE('',#503215,#113337); #74323=LINE('',#503221,#113338); #74324=LINE('',#503229,#113339); #74325=LINE('',#503235,#113340); #74326=LINE('',#503243,#113341); #74327=LINE('',#503249,#113342); #74328=LINE('',#503257,#113343); #74329=LINE('',#503263,#113344); #74330=LINE('',#503271,#113345); #74331=LINE('',#503277,#113346); #74332=LINE('',#503285,#113347); #74333=LINE('',#503291,#113348); #74334=LINE('',#503299,#113349); #74335=LINE('',#503305,#113350); #74336=LINE('',#503313,#113351); #74337=LINE('',#503319,#113352); #74338=LINE('',#503327,#113353); #74339=LINE('',#503333,#113354); #74340=LINE('',#503341,#113355); #74341=LINE('',#503347,#113356); #74342=LINE('',#503355,#113357); #74343=LINE('',#503361,#113358); #74344=LINE('',#503369,#113359); #74345=LINE('',#503375,#113360); #74346=LINE('',#503383,#113361); #74347=LINE('',#503389,#113362); #74348=LINE('',#503397,#113363); #74349=LINE('',#503403,#113364); #74350=LINE('',#503411,#113365); #74351=LINE('',#503417,#113366); #74352=LINE('',#503425,#113367); #74353=LINE('',#503431,#113368); #74354=LINE('',#503439,#113369); #74355=LINE('',#503445,#113370); #74356=LINE('',#503453,#113371); #74357=LINE('',#503459,#113372); #74358=LINE('',#503467,#113373); #74359=LINE('',#503473,#113374); #74360=LINE('',#503481,#113375); #74361=LINE('',#503487,#113376); #74362=LINE('',#503495,#113377); #74363=LINE('',#503501,#113378); #74364=LINE('',#503509,#113379); #74365=LINE('',#503515,#113380); #74366=LINE('',#503523,#113381); #74367=LINE('',#503529,#113382); #74368=LINE('',#503537,#113383); #74369=LINE('',#503543,#113384); #74370=LINE('',#503551,#113385); #74371=LINE('',#503557,#113386); #74372=LINE('',#503565,#113387); #74373=LINE('',#503571,#113388); #74374=LINE('',#503579,#113389); #74375=LINE('',#503585,#113390); #74376=LINE('',#503593,#113391); #74377=LINE('',#503599,#113392); #74378=LINE('',#503607,#113393); #74379=LINE('',#503613,#113394); #74380=LINE('',#503621,#113395); #74381=LINE('',#503627,#113396); #74382=LINE('',#503635,#113397); #74383=LINE('',#503641,#113398); #74384=LINE('',#503649,#113399); #74385=LINE('',#503655,#113400); #74386=LINE('',#503663,#113401); #74387=LINE('',#503669,#113402); #74388=LINE('',#503677,#113403); #74389=LINE('',#503683,#113404); #74390=LINE('',#503691,#113405); #74391=LINE('',#503697,#113406); #74392=LINE('',#503705,#113407); #74393=LINE('',#503711,#113408); #74394=LINE('',#503719,#113409); #74395=LINE('',#503725,#113410); #74396=LINE('',#503733,#113411); #74397=LINE('',#503739,#113412); #74398=LINE('',#503747,#113413); #74399=LINE('',#503753,#113414); #74400=LINE('',#503761,#113415); #74401=LINE('',#503767,#113416); #74402=LINE('',#503775,#113417); #74403=LINE('',#503781,#113418); #74404=LINE('',#503789,#113419); #74405=LINE('',#503795,#113420); #74406=LINE('',#503803,#113421); #74407=LINE('',#503809,#113422); #74408=LINE('',#503817,#113423); #74409=LINE('',#503823,#113424); #74410=LINE('',#503831,#113425); #74411=LINE('',#503837,#113426); #74412=LINE('',#503845,#113427); #74413=LINE('',#503851,#113428); #74414=LINE('',#503859,#113429); #74415=LINE('',#503865,#113430); #74416=LINE('',#503873,#113431); #74417=LINE('',#503879,#113432); #74418=LINE('',#503887,#113433); #74419=LINE('',#503893,#113434); #74420=LINE('',#503901,#113435); #74421=LINE('',#503907,#113436); #74422=LINE('',#503915,#113437); #74423=LINE('',#503921,#113438); #74424=LINE('',#503929,#113439); #74425=LINE('',#503935,#113440); #74426=LINE('',#503943,#113441); #74427=LINE('',#503949,#113442); #74428=LINE('',#503957,#113443); #74429=LINE('',#503963,#113444); #74430=LINE('',#503971,#113445); #74431=LINE('',#503977,#113446); #74432=LINE('',#503985,#113447); #74433=LINE('',#503991,#113448); #74434=LINE('',#503999,#113449); #74435=LINE('',#504005,#113450); #74436=LINE('',#504013,#113451); #74437=LINE('',#504019,#113452); #74438=LINE('',#504027,#113453); #74439=LINE('',#504033,#113454); #74440=LINE('',#504041,#113455); #74441=LINE('',#504047,#113456); #74442=LINE('',#504055,#113457); #74443=LINE('',#504061,#113458); #74444=LINE('',#504069,#113459); #74445=LINE('',#504075,#113460); #74446=LINE('',#504083,#113461); #74447=LINE('',#504089,#113462); #74448=LINE('',#504097,#113463); #74449=LINE('',#504103,#113464); #74450=LINE('',#504111,#113465); #74451=LINE('',#504117,#113466); #74452=LINE('',#504125,#113467); #74453=LINE('',#504131,#113468); #74454=LINE('',#504139,#113469); #74455=LINE('',#504145,#113470); #74456=LINE('',#504153,#113471); #74457=LINE('',#504159,#113472); #74458=LINE('',#504167,#113473); #74459=LINE('',#504173,#113474); #74460=LINE('',#504181,#113475); #74461=LINE('',#504187,#113476); #74462=LINE('',#504195,#113477); #74463=LINE('',#504201,#113478); #74464=LINE('',#504209,#113479); #74465=LINE('',#504215,#113480); #74466=LINE('',#504223,#113481); #74467=LINE('',#504229,#113482); #74468=LINE('',#504237,#113483); #74469=LINE('',#504243,#113484); #74470=LINE('',#504251,#113485); #74471=LINE('',#504257,#113486); #74472=LINE('',#504265,#113487); #74473=LINE('',#504271,#113488); #74474=LINE('',#504279,#113489); #74475=LINE('',#504285,#113490); #74476=LINE('',#504293,#113491); #74477=LINE('',#504299,#113492); #74478=LINE('',#504307,#113493); #74479=LINE('',#504313,#113494); #74480=LINE('',#504321,#113495); #74481=LINE('',#504327,#113496); #74482=LINE('',#504335,#113497); #74483=LINE('',#504341,#113498); #74484=LINE('',#504349,#113499); #74485=LINE('',#504355,#113500); #74486=LINE('',#504363,#113501); #74487=LINE('',#504369,#113502); #74488=LINE('',#504377,#113503); #74489=LINE('',#504383,#113504); #74490=LINE('',#504391,#113505); #74491=LINE('',#504397,#113506); #74492=LINE('',#504405,#113507); #74493=LINE('',#504411,#113508); #74494=LINE('',#504419,#113509); #74495=LINE('',#504425,#113510); #74496=LINE('',#504433,#113511); #74497=LINE('',#504439,#113512); #74498=LINE('',#504447,#113513); #74499=LINE('',#504453,#113514); #74500=LINE('',#504461,#113515); #74501=LINE('',#504467,#113516); #74502=LINE('',#504475,#113517); #74503=LINE('',#504481,#113518); #74504=LINE('',#504489,#113519); #74505=LINE('',#504495,#113520); #74506=LINE('',#504503,#113521); #74507=LINE('',#504509,#113522); #74508=LINE('',#504517,#113523); #74509=LINE('',#504523,#113524); #74510=LINE('',#504531,#113525); #74511=LINE('',#504537,#113526); #74512=LINE('',#504545,#113527); #74513=LINE('',#504551,#113528); #74514=LINE('',#504559,#113529); #74515=LINE('',#504565,#113530); #74516=LINE('',#504573,#113531); #74517=LINE('',#504579,#113532); #74518=LINE('',#504587,#113533); #74519=LINE('',#504593,#113534); #74520=LINE('',#504601,#113535); #74521=LINE('',#504607,#113536); #74522=LINE('',#504615,#113537); #74523=LINE('',#504621,#113538); #74524=LINE('',#504629,#113539); #74525=LINE('',#504635,#113540); #74526=LINE('',#504643,#113541); #74527=LINE('',#504649,#113542); #74528=LINE('',#504657,#113543); #74529=LINE('',#504663,#113544); #74530=LINE('',#504671,#113545); #74531=LINE('',#504677,#113546); #74532=LINE('',#504685,#113547); #74533=LINE('',#504691,#113548); #74534=LINE('',#504699,#113549); #74535=LINE('',#504705,#113550); #74536=LINE('',#504713,#113551); #74537=LINE('',#504719,#113552); #74538=LINE('',#504727,#113553); #74539=LINE('',#504733,#113554); #74540=LINE('',#504741,#113555); #74541=LINE('',#504747,#113556); #74542=LINE('',#504755,#113557); #74543=LINE('',#504761,#113558); #74544=LINE('',#504768,#113559); #74545=LINE('',#504770,#113560); #74546=LINE('',#504772,#113561); #74547=LINE('',#504773,#113562); #74548=LINE('',#504779,#113563); #74549=LINE('',#504782,#113564); #74550=LINE('',#504784,#113565); #74551=LINE('',#504785,#113566); #74552=LINE('',#504792,#113567); #74553=LINE('',#504794,#113568); #74554=LINE('',#504796,#113569); #74555=LINE('',#504797,#113570); #74556=LINE('',#504803,#113571); #74557=LINE('',#504806,#113572); #74558=LINE('',#504808,#113573); #74559=LINE('',#504809,#113574); #74560=LINE('',#504819,#113575); #74561=LINE('',#504825,#113576); #74562=LINE('',#504832,#113577); #74563=LINE('',#504834,#113578); #74564=LINE('',#504836,#113579); #74565=LINE('',#504837,#113580); #74566=LINE('',#504843,#113581); #74567=LINE('',#504846,#113582); #74568=LINE('',#504848,#113583); #74569=LINE('',#504849,#113584); #74570=LINE('',#504856,#113585); #74571=LINE('',#504858,#113586); #74572=LINE('',#504860,#113587); #74573=LINE('',#504861,#113588); #74574=LINE('',#504867,#113589); #74575=LINE('',#504870,#113590); #74576=LINE('',#504872,#113591); #74577=LINE('',#504873,#113592); #74578=LINE('',#504883,#113593); #74579=LINE('',#504889,#113594); #74580=LINE('',#504896,#113595); #74581=LINE('',#504898,#113596); #74582=LINE('',#504900,#113597); #74583=LINE('',#504901,#113598); #74584=LINE('',#504907,#113599); #74585=LINE('',#504910,#113600); #74586=LINE('',#504912,#113601); #74587=LINE('',#504913,#113602); #74588=LINE('',#504920,#113603); #74589=LINE('',#504922,#113604); #74590=LINE('',#504924,#113605); #74591=LINE('',#504925,#113606); #74592=LINE('',#504931,#113607); #74593=LINE('',#504934,#113608); #74594=LINE('',#504936,#113609); #74595=LINE('',#504937,#113610); #74596=LINE('',#504947,#113611); #74597=LINE('',#504953,#113612); #74598=LINE('',#504961,#113613); #74599=LINE('',#504967,#113614); #74600=LINE('',#504975,#113615); #74601=LINE('',#504981,#113616); #74602=LINE('',#504989,#113617); #74603=LINE('',#504995,#113618); #74604=LINE('',#505003,#113619); #74605=LINE('',#505009,#113620); #74606=LINE('',#505017,#113621); #74607=LINE('',#505023,#113622); #74608=LINE('',#505031,#113623); #74609=LINE('',#505037,#113624); #74610=LINE('',#505045,#113625); #74611=LINE('',#505051,#113626); #74612=LINE('',#505059,#113627); #74613=LINE('',#505065,#113628); #74614=LINE('',#505073,#113629); #74615=LINE('',#505079,#113630); #74616=LINE('',#505086,#113631); #74617=LINE('',#505088,#113632); #74618=LINE('',#505090,#113633); #74619=LINE('',#505091,#113634); #74620=LINE('',#505097,#113635); #74621=LINE('',#505100,#113636); #74622=LINE('',#505102,#113637); #74623=LINE('',#505103,#113638); #74624=LINE('',#505110,#113639); #74625=LINE('',#505112,#113640); #74626=LINE('',#505114,#113641); #74627=LINE('',#505115,#113642); #74628=LINE('',#505121,#113643); #74629=LINE('',#505124,#113644); #74630=LINE('',#505126,#113645); #74631=LINE('',#505127,#113646); #74632=LINE('',#505137,#113647); #74633=LINE('',#505143,#113648); #74634=LINE('',#505151,#113649); #74635=LINE('',#505157,#113650); #74636=LINE('',#505165,#113651); #74637=LINE('',#505171,#113652); #74638=LINE('',#505178,#113653); #74639=LINE('',#505180,#113654); #74640=LINE('',#505182,#113655); #74641=LINE('',#505183,#113656); #74642=LINE('',#505189,#113657); #74643=LINE('',#505192,#113658); #74644=LINE('',#505194,#113659); #74645=LINE('',#505195,#113660); #74646=LINE('',#505202,#113661); #74647=LINE('',#505204,#113662); #74648=LINE('',#505206,#113663); #74649=LINE('',#505207,#113664); #74650=LINE('',#505213,#113665); #74651=LINE('',#505216,#113666); #74652=LINE('',#505218,#113667); #74653=LINE('',#505219,#113668); #74654=LINE('',#505229,#113669); #74655=LINE('',#505235,#113670); #74656=LINE('',#505242,#113671); #74657=LINE('',#505244,#113672); #74658=LINE('',#505246,#113673); #74659=LINE('',#505247,#113674); #74660=LINE('',#505253,#113675); #74661=LINE('',#505256,#113676); #74662=LINE('',#505258,#113677); #74663=LINE('',#505259,#113678); #74664=LINE('',#505266,#113679); #74665=LINE('',#505268,#113680); #74666=LINE('',#505270,#113681); #74667=LINE('',#505271,#113682); #74668=LINE('',#505277,#113683); #74669=LINE('',#505280,#113684); #74670=LINE('',#505282,#113685); #74671=LINE('',#505283,#113686); #74672=LINE('',#505293,#113687); #74673=LINE('',#505299,#113688); #74674=LINE('',#505307,#113689); #74675=LINE('',#505313,#113690); #74676=LINE('',#505321,#113691); #74677=LINE('',#505327,#113692); #74678=LINE('',#505335,#113693); #74679=LINE('',#505341,#113694); #74680=LINE('',#505349,#113695); #74681=LINE('',#505355,#113696); #74682=LINE('',#505363,#113697); #74683=LINE('',#505369,#113698); #74684=LINE('',#505377,#113699); #74685=LINE('',#505383,#113700); #74686=LINE('',#505391,#113701); #74687=LINE('',#505397,#113702); #74688=LINE('',#505405,#113703); #74689=LINE('',#505411,#113704); #74690=LINE('',#505419,#113705); #74691=LINE('',#505425,#113706); #74692=LINE('',#505433,#113707); #74693=LINE('',#505439,#113708); #74694=LINE('',#505447,#113709); #74695=LINE('',#505453,#113710); #74696=LINE('',#505461,#113711); #74697=LINE('',#505467,#113712); #74698=LINE('',#505475,#113713); #74699=LINE('',#505481,#113714); #74700=LINE('',#505489,#113715); #74701=LINE('',#505495,#113716); #74702=LINE('',#505503,#113717); #74703=LINE('',#505509,#113718); #74704=LINE('',#505517,#113719); #74705=LINE('',#505523,#113720); #74706=LINE('',#505531,#113721); #74707=LINE('',#505537,#113722); #74708=LINE('',#505545,#113723); #74709=LINE('',#505551,#113724); #74710=LINE('',#505559,#113725); #74711=LINE('',#505565,#113726); #74712=LINE('',#505573,#113727); #74713=LINE('',#505579,#113728); #74714=LINE('',#505587,#113729); #74715=LINE('',#505593,#113730); #74716=LINE('',#505601,#113731); #74717=LINE('',#505607,#113732); #74718=LINE('',#505615,#113733); #74719=LINE('',#505621,#113734); #74720=LINE('',#505629,#113735); #74721=LINE('',#505635,#113736); #74722=LINE('',#505643,#113737); #74723=LINE('',#505649,#113738); #74724=LINE('',#505657,#113739); #74725=LINE('',#505663,#113740); #74726=LINE('',#505671,#113741); #74727=LINE('',#505677,#113742); #74728=LINE('',#505685,#113743); #74729=LINE('',#505691,#113744); #74730=LINE('',#505699,#113745); #74731=LINE('',#505705,#113746); #74732=LINE('',#505713,#113747); #74733=LINE('',#505719,#113748); #74734=LINE('',#505727,#113749); #74735=LINE('',#505733,#113750); #74736=LINE('',#505741,#113751); #74737=LINE('',#505747,#113752); #74738=LINE('',#505755,#113753); #74739=LINE('',#505761,#113754); #74740=LINE('',#505769,#113755); #74741=LINE('',#505775,#113756); #74742=LINE('',#505783,#113757); #74743=LINE('',#505789,#113758); #74744=LINE('',#505797,#113759); #74745=LINE('',#505803,#113760); #74746=LINE('',#505811,#113761); #74747=LINE('',#505817,#113762); #74748=LINE('',#505825,#113763); #74749=LINE('',#505831,#113764); #74750=LINE('',#505839,#113765); #74751=LINE('',#505845,#113766); #74752=LINE('',#505853,#113767); #74753=LINE('',#505859,#113768); #74754=LINE('',#505867,#113769); #74755=LINE('',#505873,#113770); #74756=LINE('',#505881,#113771); #74757=LINE('',#505887,#113772); #74758=LINE('',#505895,#113773); #74759=LINE('',#505901,#113774); #74760=LINE('',#505909,#113775); #74761=LINE('',#505915,#113776); #74762=LINE('',#505923,#113777); #74763=LINE('',#505929,#113778); #74764=LINE('',#505937,#113779); #74765=LINE('',#505943,#113780); #74766=LINE('',#505951,#113781); #74767=LINE('',#505957,#113782); #74768=LINE('',#505965,#113783); #74769=LINE('',#505971,#113784); #74770=LINE('',#505979,#113785); #74771=LINE('',#505985,#113786); #74772=LINE('',#505993,#113787); #74773=LINE('',#505999,#113788); #74774=LINE('',#506007,#113789); #74775=LINE('',#506013,#113790); #74776=LINE('',#506021,#113791); #74777=LINE('',#506027,#113792); #74778=LINE('',#506035,#113793); #74779=LINE('',#506041,#113794); #74780=LINE('',#506049,#113795); #74781=LINE('',#506055,#113796); #74782=LINE('',#506063,#113797); #74783=LINE('',#506069,#113798); #74784=LINE('',#506077,#113799); #74785=LINE('',#506083,#113800); #74786=LINE('',#506091,#113801); #74787=LINE('',#506097,#113802); #74788=LINE('',#506105,#113803); #74789=LINE('',#506111,#113804); #74790=LINE('',#506119,#113805); #74791=LINE('',#506125,#113806); #74792=LINE('',#506133,#113807); #74793=LINE('',#506139,#113808); #74794=LINE('',#506147,#113809); #74795=LINE('',#506153,#113810); #74796=LINE('',#506161,#113811); #74797=LINE('',#506167,#113812); #74798=LINE('',#506175,#113813); #74799=LINE('',#506181,#113814); #74800=LINE('',#506189,#113815); #74801=LINE('',#506195,#113816); #74802=LINE('',#506203,#113817); #74803=LINE('',#506209,#113818); #74804=LINE('',#506217,#113819); #74805=LINE('',#506223,#113820); #74806=LINE('',#506231,#113821); #74807=LINE('',#506237,#113822); #74808=LINE('',#506245,#113823); #74809=LINE('',#506251,#113824); #74810=LINE('',#506259,#113825); #74811=LINE('',#506265,#113826); #74812=LINE('',#506273,#113827); #74813=LINE('',#506279,#113828); #74814=LINE('',#506287,#113829); #74815=LINE('',#506293,#113830); #74816=LINE('',#506301,#113831); #74817=LINE('',#506307,#113832); #74818=LINE('',#506315,#113833); #74819=LINE('',#506321,#113834); #74820=LINE('',#506329,#113835); #74821=LINE('',#506335,#113836); #74822=LINE('',#506343,#113837); #74823=LINE('',#506349,#113838); #74824=LINE('',#506357,#113839); #74825=LINE('',#506363,#113840); #74826=LINE('',#506371,#113841); #74827=LINE('',#506377,#113842); #74828=LINE('',#506385,#113843); #74829=LINE('',#506391,#113844); #74830=LINE('',#506399,#113845); #74831=LINE('',#506405,#113846); #74832=LINE('',#506413,#113847); #74833=LINE('',#506419,#113848); #74834=LINE('',#506427,#113849); #74835=LINE('',#506433,#113850); #74836=LINE('',#506441,#113851); #74837=LINE('',#506447,#113852); #74838=LINE('',#506455,#113853); #74839=LINE('',#506461,#113854); #74840=LINE('',#506469,#113855); #74841=LINE('',#506475,#113856); #74842=LINE('',#506483,#113857); #74843=LINE('',#506489,#113858); #74844=LINE('',#506497,#113859); #74845=LINE('',#506503,#113860); #74846=LINE('',#506511,#113861); #74847=LINE('',#506517,#113862); #74848=LINE('',#506525,#113863); #74849=LINE('',#506531,#113864); #74850=LINE('',#506539,#113865); #74851=LINE('',#506545,#113866); #74852=LINE('',#506553,#113867); #74853=LINE('',#506559,#113868); #74854=LINE('',#506567,#113869); #74855=LINE('',#506573,#113870); #74856=LINE('',#506581,#113871); #74857=LINE('',#506587,#113872); #74858=LINE('',#506595,#113873); #74859=LINE('',#506601,#113874); #74860=LINE('',#506609,#113875); #74861=LINE('',#506615,#113876); #74862=LINE('',#506623,#113877); #74863=LINE('',#506629,#113878); #74864=LINE('',#506637,#113879); #74865=LINE('',#506643,#113880); #74866=LINE('',#506651,#113881); #74867=LINE('',#506657,#113882); #74868=LINE('',#506665,#113883); #74869=LINE('',#506671,#113884); #74870=LINE('',#506679,#113885); #74871=LINE('',#506685,#113886); #74872=LINE('',#506693,#113887); #74873=LINE('',#506699,#113888); #74874=LINE('',#506707,#113889); #74875=LINE('',#506713,#113890); #74876=LINE('',#506721,#113891); #74877=LINE('',#506727,#113892); #74878=LINE('',#506735,#113893); #74879=LINE('',#506741,#113894); #74880=LINE('',#506749,#113895); #74881=LINE('',#506755,#113896); #74882=LINE('',#506763,#113897); #74883=LINE('',#506769,#113898); #74884=LINE('',#506777,#113899); #74885=LINE('',#506783,#113900); #74886=LINE('',#506791,#113901); #74887=LINE('',#506797,#113902); #74888=LINE('',#506805,#113903); #74889=LINE('',#506811,#113904); #74890=LINE('',#506819,#113905); #74891=LINE('',#506825,#113906); #74892=LINE('',#506833,#113907); #74893=LINE('',#506839,#113908); #74894=LINE('',#506847,#113909); #74895=LINE('',#506853,#113910); #74896=LINE('',#506861,#113911); #74897=LINE('',#506867,#113912); #74898=LINE('',#506875,#113913); #74899=LINE('',#506881,#113914); #74900=LINE('',#506889,#113915); #74901=LINE('',#506895,#113916); #74902=LINE('',#506903,#113917); #74903=LINE('',#506909,#113918); #74904=LINE('',#506917,#113919); #74905=LINE('',#506923,#113920); #74906=LINE('',#506931,#113921); #74907=LINE('',#506937,#113922); #74908=LINE('',#506945,#113923); #74909=LINE('',#506951,#113924); #74910=LINE('',#506959,#113925); #74911=LINE('',#506965,#113926); #74912=LINE('',#506973,#113927); #74913=LINE('',#506979,#113928); #74914=LINE('',#506987,#113929); #74915=LINE('',#506993,#113930); #74916=LINE('',#507001,#113931); #74917=LINE('',#507007,#113932); #74918=LINE('',#507015,#113933); #74919=LINE('',#507021,#113934); #74920=LINE('',#507029,#113935); #74921=LINE('',#507035,#113936); #74922=LINE('',#507043,#113937); #74923=LINE('',#507049,#113938); #74924=LINE('',#507057,#113939); #74925=LINE('',#507063,#113940); #74926=LINE('',#507071,#113941); #74927=LINE('',#507077,#113942); #74928=LINE('',#507085,#113943); #74929=LINE('',#507091,#113944); #74930=LINE('',#507099,#113945); #74931=LINE('',#507105,#113946); #74932=LINE('',#507113,#113947); #74933=LINE('',#507119,#113948); #74934=LINE('',#507127,#113949); #74935=LINE('',#507133,#113950); #74936=LINE('',#507141,#113951); #74937=LINE('',#507147,#113952); #74938=LINE('',#507155,#113953); #74939=LINE('',#507161,#113954); #74940=LINE('',#507169,#113955); #74941=LINE('',#507175,#113956); #74942=LINE('',#507183,#113957); #74943=LINE('',#507189,#113958); #74944=LINE('',#507197,#113959); #74945=LINE('',#507203,#113960); #74946=LINE('',#507211,#113961); #74947=LINE('',#507217,#113962); #74948=LINE('',#507225,#113963); #74949=LINE('',#507231,#113964); #74950=LINE('',#507239,#113965); #74951=LINE('',#507245,#113966); #74952=LINE('',#507253,#113967); #74953=LINE('',#507259,#113968); #74954=LINE('',#507267,#113969); #74955=LINE('',#507273,#113970); #74956=LINE('',#507281,#113971); #74957=LINE('',#507287,#113972); #74958=LINE('',#507295,#113973); #74959=LINE('',#507301,#113974); #74960=LINE('',#507309,#113975); #74961=LINE('',#507315,#113976); #74962=LINE('',#507323,#113977); #74963=LINE('',#507329,#113978); #74964=LINE('',#507337,#113979); #74965=LINE('',#507343,#113980); #74966=LINE('',#507351,#113981); #74967=LINE('',#507357,#113982); #74968=LINE('',#507365,#113983); #74969=LINE('',#507371,#113984); #74970=LINE('',#507379,#113985); #74971=LINE('',#507385,#113986); #74972=LINE('',#507393,#113987); #74973=LINE('',#507399,#113988); #74974=LINE('',#507407,#113989); #74975=LINE('',#507413,#113990); #74976=LINE('',#507421,#113991); #74977=LINE('',#507427,#113992); #74978=LINE('',#507435,#113993); #74979=LINE('',#507441,#113994); #74980=LINE('',#507449,#113995); #74981=LINE('',#507455,#113996); #74982=LINE('',#507463,#113997); #74983=LINE('',#507469,#113998); #74984=LINE('',#507477,#113999); #74985=LINE('',#507483,#114000); #74986=LINE('',#507491,#114001); #74987=LINE('',#507497,#114002); #74988=LINE('',#507505,#114003); #74989=LINE('',#507511,#114004); #74990=LINE('',#507519,#114005); #74991=LINE('',#507525,#114006); #74992=LINE('',#507533,#114007); #74993=LINE('',#507539,#114008); #74994=LINE('',#507548,#114009); #74995=LINE('',#507553,#114010); #74996=LINE('',#507555,#114011); #74997=LINE('',#507557,#114012); #74998=LINE('',#507558,#114013); #74999=LINE('',#507564,#114014); #75000=LINE('',#507573,#114015); #75001=LINE('',#507575,#114016); #75002=LINE('',#507577,#114017); #75003=LINE('',#507578,#114018); #75004=LINE('',#507581,#114019); #75005=LINE('',#507583,#114020); #75006=LINE('',#507584,#114021); #75007=LINE('',#507587,#114022); #75008=LINE('',#507589,#114023); #75009=LINE('',#507590,#114024); #75010=LINE('',#507592,#114025); #75011=LINE('',#507593,#114026); #75012=LINE('',#507599,#114027); #75013=LINE('',#507601,#114028); #75014=LINE('',#507603,#114029); #75015=LINE('',#507604,#114030); #75016=LINE('',#507607,#114031); #75017=LINE('',#507609,#114032); #75018=LINE('',#507610,#114033); #75019=LINE('',#507613,#114034); #75020=LINE('',#507615,#114035); #75021=LINE('',#507616,#114036); #75022=LINE('',#507618,#114037); #75023=LINE('',#507619,#114038); #75024=LINE('',#507625,#114039); #75025=LINE('',#507627,#114040); #75026=LINE('',#507629,#114041); #75027=LINE('',#507630,#114042); #75028=LINE('',#507633,#114043); #75029=LINE('',#507635,#114044); #75030=LINE('',#507636,#114045); #75031=LINE('',#507639,#114046); #75032=LINE('',#507641,#114047); #75033=LINE('',#507642,#114048); #75034=LINE('',#507644,#114049); #75035=LINE('',#507645,#114050); #75036=LINE('',#507651,#114051); #75037=LINE('',#507653,#114052); #75038=LINE('',#507655,#114053); #75039=LINE('',#507656,#114054); #75040=LINE('',#507659,#114055); #75041=LINE('',#507661,#114056); #75042=LINE('',#507662,#114057); #75043=LINE('',#507665,#114058); #75044=LINE('',#507667,#114059); #75045=LINE('',#507668,#114060); #75046=LINE('',#507670,#114061); #75047=LINE('',#507671,#114062); #75048=LINE('',#507677,#114063); #75049=LINE('',#507679,#114064); #75050=LINE('',#507681,#114065); #75051=LINE('',#507682,#114066); #75052=LINE('',#507685,#114067); #75053=LINE('',#507687,#114068); #75054=LINE('',#507688,#114069); #75055=LINE('',#507691,#114070); #75056=LINE('',#507693,#114071); #75057=LINE('',#507694,#114072); #75058=LINE('',#507696,#114073); #75059=LINE('',#507697,#114074); #75060=LINE('',#507703,#114075); #75061=LINE('',#507705,#114076); #75062=LINE('',#507707,#114077); #75063=LINE('',#507708,#114078); #75064=LINE('',#507711,#114079); #75065=LINE('',#507713,#114080); #75066=LINE('',#507714,#114081); #75067=LINE('',#507717,#114082); #75068=LINE('',#507719,#114083); #75069=LINE('',#507720,#114084); #75070=LINE('',#507722,#114085); #75071=LINE('',#507723,#114086); #75072=LINE('',#507729,#114087); #75073=LINE('',#507731,#114088); #75074=LINE('',#507733,#114089); #75075=LINE('',#507734,#114090); #75076=LINE('',#507737,#114091); #75077=LINE('',#507739,#114092); #75078=LINE('',#507740,#114093); #75079=LINE('',#507743,#114094); #75080=LINE('',#507745,#114095); #75081=LINE('',#507746,#114096); #75082=LINE('',#507748,#114097); #75083=LINE('',#507749,#114098); #75084=LINE('',#507755,#114099); #75085=LINE('',#507757,#114100); #75086=LINE('',#507759,#114101); #75087=LINE('',#507760,#114102); #75088=LINE('',#507763,#114103); #75089=LINE('',#507765,#114104); #75090=LINE('',#507766,#114105); #75091=LINE('',#507769,#114106); #75092=LINE('',#507771,#114107); #75093=LINE('',#507772,#114108); #75094=LINE('',#507774,#114109); #75095=LINE('',#507775,#114110); #75096=LINE('',#507781,#114111); #75097=LINE('',#507783,#114112); #75098=LINE('',#507785,#114113); #75099=LINE('',#507786,#114114); #75100=LINE('',#507789,#114115); #75101=LINE('',#507791,#114116); #75102=LINE('',#507792,#114117); #75103=LINE('',#507795,#114118); #75104=LINE('',#507797,#114119); #75105=LINE('',#507798,#114120); #75106=LINE('',#507800,#114121); #75107=LINE('',#507801,#114122); #75108=LINE('',#507807,#114123); #75109=LINE('',#507809,#114124); #75110=LINE('',#507811,#114125); #75111=LINE('',#507812,#114126); #75112=LINE('',#507815,#114127); #75113=LINE('',#507817,#114128); #75114=LINE('',#507818,#114129); #75115=LINE('',#507821,#114130); #75116=LINE('',#507823,#114131); #75117=LINE('',#507824,#114132); #75118=LINE('',#507826,#114133); #75119=LINE('',#507827,#114134); #75120=LINE('',#507834,#114135); #75121=LINE('',#507839,#114136); #75122=LINE('',#507841,#114137); #75123=LINE('',#507843,#114138); #75124=LINE('',#507844,#114139); #75125=LINE('',#507850,#114140); #75126=LINE('',#507860,#114141); #75127=LINE('',#507866,#114142); #75128=LINE('',#507871,#114143); #75129=LINE('',#507873,#114144); #75130=LINE('',#507875,#114145); #75131=LINE('',#507876,#114146); #75132=LINE('',#507882,#114147); #75133=LINE('',#507888,#114148); #75134=LINE('',#507891,#114149); #75135=LINE('',#507893,#114150); #75136=LINE('',#507894,#114151); #75137=LINE('',#507900,#114152); #75138=LINE('',#507906,#114153); #75139=LINE('',#507909,#114154); #75140=LINE('',#507911,#114155); #75141=LINE('',#507912,#114156); #75142=LINE('',#507922,#114157); #75143=LINE('',#507927,#114158); #75144=LINE('',#507929,#114159); #75145=LINE('',#507931,#114160); #75146=LINE('',#507932,#114161); #75147=LINE('',#507938,#114162); #75148=LINE('',#507948,#114163); #75149=LINE('',#507953,#114164); #75150=LINE('',#507955,#114165); #75151=LINE('',#507957,#114166); #75152=LINE('',#507958,#114167); #75153=LINE('',#507964,#114168); #75154=LINE('',#507974,#114169); #75155=LINE('',#507979,#114170); #75156=LINE('',#507981,#114171); #75157=LINE('',#507983,#114172); #75158=LINE('',#507984,#114173); #75159=LINE('',#507990,#114174); #75160=LINE('',#507993,#114175); #75161=LINE('',#507995,#114176); #75162=LINE('',#507996,#114177); #75163=LINE('',#508002,#114178); #75164=LINE('',#508005,#114179); #75165=LINE('',#508007,#114180); #75166=LINE('',#508008,#114181); #75167=LINE('',#508011,#114182); #75168=LINE('',#508013,#114183); #75169=LINE('',#508014,#114184); #75170=LINE('',#508017,#114185); #75171=LINE('',#508019,#114186); #75172=LINE('',#508020,#114187); #75173=LINE('',#508023,#114188); #75174=LINE('',#508025,#114189); #75175=LINE('',#508026,#114190); #75176=LINE('',#508029,#114191); #75177=LINE('',#508031,#114192); #75178=LINE('',#508032,#114193); #75179=LINE('',#508035,#114194); #75180=LINE('',#508037,#114195); #75181=LINE('',#508038,#114196); #75182=LINE('',#508041,#114197); #75183=LINE('',#508043,#114198); #75184=LINE('',#508044,#114199); #75185=LINE('',#508050,#114200); #75186=LINE('',#508060,#114201); #75187=LINE('',#508065,#114202); #75188=LINE('',#508067,#114203); #75189=LINE('',#508069,#114204); #75190=LINE('',#508070,#114205); #75191=LINE('',#508076,#114206); #75192=LINE('',#508079,#114207); #75193=LINE('',#508081,#114208); #75194=LINE('',#508082,#114209); #75195=LINE('',#508085,#114210); #75196=LINE('',#508087,#114211); #75197=LINE('',#508088,#114212); #75198=LINE('',#508091,#114213); #75199=LINE('',#508093,#114214); #75200=LINE('',#508094,#114215); #75201=LINE('',#508097,#114216); #75202=LINE('',#508099,#114217); #75203=LINE('',#508100,#114218); #75204=LINE('',#508103,#114219); #75205=LINE('',#508105,#114220); #75206=LINE('',#508106,#114221); #75207=LINE('',#508109,#114222); #75208=LINE('',#508111,#114223); #75209=LINE('',#508112,#114224); #75210=LINE('',#508115,#114225); #75211=LINE('',#508117,#114226); #75212=LINE('',#508118,#114227); #75213=LINE('',#508127,#114228); #75214=LINE('',#508129,#114229); #75215=LINE('',#508131,#114230); #75216=LINE('',#508132,#114231); #75217=LINE('',#508135,#114232); #75218=LINE('',#508137,#114233); #75219=LINE('',#508138,#114234); #75220=LINE('',#508141,#114235); #75221=LINE('',#508143,#114236); #75222=LINE('',#508144,#114237); #75223=LINE('',#508147,#114238); #75224=LINE('',#508149,#114239); #75225=LINE('',#508150,#114240); #75226=LINE('',#508153,#114241); #75227=LINE('',#508155,#114242); #75228=LINE('',#508156,#114243); #75229=LINE('',#508159,#114244); #75230=LINE('',#508161,#114245); #75231=LINE('',#508162,#114246); #75232=LINE('',#508165,#114247); #75233=LINE('',#508167,#114248); #75234=LINE('',#508168,#114249); #75235=LINE('',#508171,#114250); #75236=LINE('',#508173,#114251); #75237=LINE('',#508174,#114252); #75238=LINE('',#508180,#114253); #75239=LINE('',#508183,#114254); #75240=LINE('',#508185,#114255); #75241=LINE('',#508186,#114256); #75242=LINE('',#508192,#114257); #75243=LINE('',#508195,#114258); #75244=LINE('',#508197,#114259); #75245=LINE('',#508198,#114260); #75246=LINE('',#508201,#114261); #75247=LINE('',#508203,#114262); #75248=LINE('',#508204,#114263); #75249=LINE('',#508207,#114264); #75250=LINE('',#508209,#114265); #75251=LINE('',#508210,#114266); #75252=LINE('',#508213,#114267); #75253=LINE('',#508215,#114268); #75254=LINE('',#508216,#114269); #75255=LINE('',#508219,#114270); #75256=LINE('',#508221,#114271); #75257=LINE('',#508222,#114272); #75258=LINE('',#508225,#114273); #75259=LINE('',#508227,#114274); #75260=LINE('',#508228,#114275); #75261=LINE('',#508231,#114276); #75262=LINE('',#508233,#114277); #75263=LINE('',#508234,#114278); #75264=LINE('',#508240,#114279); #75265=LINE('',#508242,#114280); #75266=LINE('',#508243,#114281); #75267=LINE('',#508249,#114282); #75268=LINE('',#508251,#114283); #75269=LINE('',#508253,#114284); #75270=LINE('',#508254,#114285); #75271=LINE('',#508257,#114286); #75272=LINE('',#508259,#114287); #75273=LINE('',#508260,#114288); #75274=LINE('',#508263,#114289); #75275=LINE('',#508265,#114290); #75276=LINE('',#508266,#114291); #75277=LINE('',#508269,#114292); #75278=LINE('',#508271,#114293); #75279=LINE('',#508272,#114294); #75280=LINE('',#508275,#114295); #75281=LINE('',#508277,#114296); #75282=LINE('',#508278,#114297); #75283=LINE('',#508284,#114298); #75284=LINE('',#508287,#114299); #75285=LINE('',#508289,#114300); #75286=LINE('',#508290,#114301); #75287=LINE('',#508293,#114302); #75288=LINE('',#508295,#114303); #75289=LINE('',#508296,#114304); #75290=LINE('',#508299,#114305); #75291=LINE('',#508301,#114306); #75292=LINE('',#508302,#114307); #75293=LINE('',#508305,#114308); #75294=LINE('',#508307,#114309); #75295=LINE('',#508308,#114310); #75296=LINE('',#508311,#114311); #75297=LINE('',#508313,#114312); #75298=LINE('',#508314,#114313); #75299=LINE('',#508317,#114314); #75300=LINE('',#508319,#114315); #75301=LINE('',#508320,#114316); #75302=LINE('',#508323,#114317); #75303=LINE('',#508325,#114318); #75304=LINE('',#508326,#114319); #75305=LINE('',#508332,#114320); #75306=LINE('',#508335,#114321); #75307=LINE('',#508337,#114322); #75308=LINE('',#508338,#114323); #75309=LINE('',#508341,#114324); #75310=LINE('',#508343,#114325); #75311=LINE('',#508344,#114326); #75312=LINE('',#508350,#114327); #75313=LINE('',#508353,#114328); #75314=LINE('',#508355,#114329); #75315=LINE('',#508356,#114330); #75316=LINE('',#508359,#114331); #75317=LINE('',#508361,#114332); #75318=LINE('',#508362,#114333); #75319=LINE('',#508365,#114334); #75320=LINE('',#508367,#114335); #75321=LINE('',#508368,#114336); #75322=LINE('',#508371,#114337); #75323=LINE('',#508373,#114338); #75324=LINE('',#508374,#114339); #75325=LINE('',#508377,#114340); #75326=LINE('',#508379,#114341); #75327=LINE('',#508380,#114342); #75328=LINE('',#508383,#114343); #75329=LINE('',#508385,#114344); #75330=LINE('',#508386,#114345); #75331=LINE('',#508389,#114346); #75332=LINE('',#508391,#114347); #75333=LINE('',#508392,#114348); #75334=LINE('',#508398,#114349); #75335=LINE('',#508401,#114350); #75336=LINE('',#508403,#114351); #75337=LINE('',#508404,#114352); #75338=LINE('',#508407,#114353); #75339=LINE('',#508409,#114354); #75340=LINE('',#508410,#114355); #75341=LINE('',#508413,#114356); #75342=LINE('',#508415,#114357); #75343=LINE('',#508416,#114358); #75344=LINE('',#508419,#114359); #75345=LINE('',#508421,#114360); #75346=LINE('',#508422,#114361); #75347=LINE('',#508425,#114362); #75348=LINE('',#508427,#114363); #75349=LINE('',#508428,#114364); #75350=LINE('',#508434,#114365); #75351=LINE('',#508437,#114366); #75352=LINE('',#508439,#114367); #75353=LINE('',#508440,#114368); #75354=LINE('',#508446,#114369); #75355=LINE('',#508449,#114370); #75356=LINE('',#508451,#114371); #75357=LINE('',#508452,#114372); #75358=LINE('',#508455,#114373); #75359=LINE('',#508457,#114374); #75360=LINE('',#508458,#114375); #75361=LINE('',#508460,#114376); #75362=LINE('',#508461,#114377); #75363=LINE('',#508467,#114378); #75364=LINE('',#508469,#114379); #75365=LINE('',#508471,#114380); #75366=LINE('',#508472,#114381); #75367=LINE('',#508475,#114382); #75368=LINE('',#508477,#114383); #75369=LINE('',#508478,#114384); #75370=LINE('',#508481,#114385); #75371=LINE('',#508483,#114386); #75372=LINE('',#508484,#114387); #75373=LINE('',#508487,#114388); #75374=LINE('',#508489,#114389); #75375=LINE('',#508490,#114390); #75376=LINE('',#508493,#114391); #75377=LINE('',#508495,#114392); #75378=LINE('',#508496,#114393); #75379=LINE('',#508499,#114394); #75380=LINE('',#508501,#114395); #75381=LINE('',#508502,#114396); #75382=LINE('',#508508,#114397); #75383=LINE('',#508511,#114398); #75384=LINE('',#508513,#114399); #75385=LINE('',#508514,#114400); #75386=LINE('',#508520,#114401); #75387=LINE('',#508523,#114402); #75388=LINE('',#508525,#114403); #75389=LINE('',#508526,#114404); #75390=LINE('',#508529,#114405); #75391=LINE('',#508531,#114406); #75392=LINE('',#508532,#114407); #75393=LINE('',#508535,#114408); #75394=LINE('',#508537,#114409); #75395=LINE('',#508538,#114410); #75396=LINE('',#508541,#114411); #75397=LINE('',#508543,#114412); #75398=LINE('',#508544,#114413); #75399=LINE('',#508547,#114414); #75400=LINE('',#508549,#114415); #75401=LINE('',#508550,#114416); #75402=LINE('',#508556,#114417); #75403=LINE('',#508559,#114418); #75404=LINE('',#508561,#114419); #75405=LINE('',#508562,#114420); #75406=LINE('',#508565,#114421); #75407=LINE('',#508567,#114422); #75408=LINE('',#508568,#114423); #75409=LINE('',#508571,#114424); #75410=LINE('',#508573,#114425); #75411=LINE('',#508574,#114426); #75412=LINE('',#508577,#114427); #75413=LINE('',#508579,#114428); #75414=LINE('',#508580,#114429); #75415=LINE('',#508583,#114430); #75416=LINE('',#508585,#114431); #75417=LINE('',#508586,#114432); #75418=LINE('',#508589,#114433); #75419=LINE('',#508591,#114434); #75420=LINE('',#508592,#114435); #75421=LINE('',#508595,#114436); #75422=LINE('',#508597,#114437); #75423=LINE('',#508598,#114438); #75424=LINE('',#508604,#114439); #75425=LINE('',#508607,#114440); #75426=LINE('',#508609,#114441); #75427=LINE('',#508610,#114442); #75428=LINE('',#508613,#114443); #75429=LINE('',#508615,#114444); #75430=LINE('',#508616,#114445); #75431=LINE('',#508622,#114446); #75432=LINE('',#508625,#114447); #75433=LINE('',#508627,#114448); #75434=LINE('',#508628,#114449); #75435=LINE('',#508631,#114450); #75436=LINE('',#508633,#114451); #75437=LINE('',#508634,#114452); #75438=LINE('',#508637,#114453); #75439=LINE('',#508639,#114454); #75440=LINE('',#508640,#114455); #75441=LINE('',#508643,#114456); #75442=LINE('',#508645,#114457); #75443=LINE('',#508646,#114458); #75444=LINE('',#508649,#114459); #75445=LINE('',#508651,#114460); #75446=LINE('',#508652,#114461); #75447=LINE('',#508655,#114462); #75448=LINE('',#508657,#114463); #75449=LINE('',#508658,#114464); #75450=LINE('',#508661,#114465); #75451=LINE('',#508663,#114466); #75452=LINE('',#508664,#114467); #75453=LINE('',#508670,#114468); #75454=LINE('',#508673,#114469); #75455=LINE('',#508675,#114470); #75456=LINE('',#508676,#114471); #75457=LINE('',#508678,#114472); #75458=LINE('',#508679,#114473); #75459=LINE('',#508686,#114474); #75460=LINE('',#508691,#114475); #75461=LINE('',#508693,#114476); #75462=LINE('',#508695,#114477); #75463=LINE('',#508696,#114478); #75464=LINE('',#508702,#114479); #75465=LINE('',#508705,#114480); #75466=LINE('',#508707,#114481); #75467=LINE('',#508708,#114482); #75468=LINE('',#508711,#114483); #75469=LINE('',#508713,#114484); #75470=LINE('',#508714,#114485); #75471=LINE('',#508720,#114486); #75472=LINE('',#508723,#114487); #75473=LINE('',#508725,#114488); #75474=LINE('',#508726,#114489); #75475=LINE('',#508729,#114490); #75476=LINE('',#508731,#114491); #75477=LINE('',#508732,#114492); #75478=LINE('',#508738,#114493); #75479=LINE('',#508741,#114494); #75480=LINE('',#508743,#114495); #75481=LINE('',#508744,#114496); #75482=LINE('',#508747,#114497); #75483=LINE('',#508749,#114498); #75484=LINE('',#508750,#114499); #75485=LINE('',#508753,#114500); #75486=LINE('',#508755,#114501); #75487=LINE('',#508756,#114502); #75488=LINE('',#508759,#114503); #75489=LINE('',#508761,#114504); #75490=LINE('',#508762,#114505); #75491=LINE('',#508765,#114506); #75492=LINE('',#508767,#114507); #75493=LINE('',#508768,#114508); #75494=LINE('',#508771,#114509); #75495=LINE('',#508773,#114510); #75496=LINE('',#508774,#114511); #75497=LINE('',#508777,#114512); #75498=LINE('',#508779,#114513); #75499=LINE('',#508780,#114514); #75500=LINE('',#508783,#114515); #75501=LINE('',#508785,#114516); #75502=LINE('',#508786,#114517); #75503=LINE('',#508792,#114518); #75504=LINE('',#508795,#114519); #75505=LINE('',#508797,#114520); #75506=LINE('',#508798,#114521); #75507=LINE('',#508801,#114522); #75508=LINE('',#508803,#114523); #75509=LINE('',#508804,#114524); #75510=LINE('',#508810,#114525); #75511=LINE('',#508813,#114526); #75512=LINE('',#508815,#114527); #75513=LINE('',#508816,#114528); #75514=LINE('',#508826,#114529); #75515=LINE('',#508831,#114530); #75516=LINE('',#508833,#114531); #75517=LINE('',#508835,#114532); #75518=LINE('',#508836,#114533); #75519=LINE('',#508842,#114534); #75520=LINE('',#508845,#114535); #75521=LINE('',#508847,#114536); #75522=LINE('',#508848,#114537); #75523=LINE('',#508851,#114538); #75524=LINE('',#508853,#114539); #75525=LINE('',#508854,#114540); #75526=LINE('',#508860,#114541); #75527=LINE('',#508863,#114542); #75528=LINE('',#508865,#114543); #75529=LINE('',#508866,#114544); #75530=LINE('',#508869,#114545); #75531=LINE('',#508871,#114546); #75532=LINE('',#508872,#114547); #75533=LINE('',#508875,#114548); #75534=LINE('',#508877,#114549); #75535=LINE('',#508878,#114550); #75536=LINE('',#508881,#114551); #75537=LINE('',#508883,#114552); #75538=LINE('',#508884,#114553); #75539=LINE('',#508887,#114554); #75540=LINE('',#508889,#114555); #75541=LINE('',#508890,#114556); #75542=LINE('',#508893,#114557); #75543=LINE('',#508895,#114558); #75544=LINE('',#508896,#114559); #75545=LINE('',#508899,#114560); #75546=LINE('',#508901,#114561); #75547=LINE('',#508902,#114562); #75548=LINE('',#508905,#114563); #75549=LINE('',#508907,#114564); #75550=LINE('',#508908,#114565); #75551=LINE('',#508914,#114566); #75552=LINE('',#508917,#114567); #75553=LINE('',#508919,#114568); #75554=LINE('',#508920,#114569); #75555=LINE('',#508930,#114570); #75556=LINE('',#508935,#114571); #75557=LINE('',#508937,#114572); #75558=LINE('',#508939,#114573); #75559=LINE('',#508940,#114574); #75560=LINE('',#508946,#114575); #75561=LINE('',#508949,#114576); #75562=LINE('',#508951,#114577); #75563=LINE('',#508952,#114578); #75564=LINE('',#508955,#114579); #75565=LINE('',#508957,#114580); #75566=LINE('',#508958,#114581); #75567=LINE('',#508961,#114582); #75568=LINE('',#508963,#114583); #75569=LINE('',#508964,#114584); #75570=LINE('',#508967,#114585); #75571=LINE('',#508969,#114586); #75572=LINE('',#508970,#114587); #75573=LINE('',#508973,#114588); #75574=LINE('',#508975,#114589); #75575=LINE('',#508976,#114590); #75576=LINE('',#508979,#114591); #75577=LINE('',#508981,#114592); #75578=LINE('',#508982,#114593); #75579=LINE('',#508985,#114594); #75580=LINE('',#508987,#114595); #75581=LINE('',#508988,#114596); #75582=LINE('',#508994,#114597); #75583=LINE('',#508997,#114598); #75584=LINE('',#508999,#114599); #75585=LINE('',#509000,#114600); #75586=LINE('',#509010,#114601); #75587=LINE('',#509015,#114602); #75588=LINE('',#509017,#114603); #75589=LINE('',#509019,#114604); #75590=LINE('',#509020,#114605); #75591=LINE('',#509026,#114606); #75592=LINE('',#509029,#114607); #75593=LINE('',#509031,#114608); #75594=LINE('',#509032,#114609); #75595=LINE('',#509038,#114610); #75596=LINE('',#509041,#114611); #75597=LINE('',#509043,#114612); #75598=LINE('',#509044,#114613); #75599=LINE('',#509047,#114614); #75600=LINE('',#509049,#114615); #75601=LINE('',#509050,#114616); #75602=LINE('',#509053,#114617); #75603=LINE('',#509055,#114618); #75604=LINE('',#509056,#114619); #75605=LINE('',#509059,#114620); #75606=LINE('',#509061,#114621); #75607=LINE('',#509062,#114622); #75608=LINE('',#509065,#114623); #75609=LINE('',#509067,#114624); #75610=LINE('',#509068,#114625); #75611=LINE('',#509071,#114626); #75612=LINE('',#509073,#114627); #75613=LINE('',#509074,#114628); #75614=LINE('',#509077,#114629); #75615=LINE('',#509079,#114630); #75616=LINE('',#509080,#114631); #75617=LINE('',#509083,#114632); #75618=LINE('',#509085,#114633); #75619=LINE('',#509086,#114634); #75620=LINE('',#509089,#114635); #75621=LINE('',#509091,#114636); #75622=LINE('',#509092,#114637); #75623=LINE('',#509102,#114638); #75624=LINE('',#509108,#114639); #75625=LINE('',#509113,#114640); #75626=LINE('',#509115,#114641); #75627=LINE('',#509117,#114642); #75628=LINE('',#509118,#114643); #75629=LINE('',#509124,#114644); #75630=LINE('',#509130,#114645); #75631=LINE('',#509133,#114646); #75632=LINE('',#509135,#114647); #75633=LINE('',#509136,#114648); #75634=LINE('',#509142,#114649); #75635=LINE('',#509148,#114650); #75636=LINE('',#509151,#114651); #75637=LINE('',#509153,#114652); #75638=LINE('',#509154,#114653); #75639=LINE('',#509164,#114654); #75640=LINE('',#509169,#114655); #75641=LINE('',#509171,#114656); #75642=LINE('',#509173,#114657); #75643=LINE('',#509174,#114658); #75644=LINE('',#509180,#114659); #75645=LINE('',#509183,#114660); #75646=LINE('',#509185,#114661); #75647=LINE('',#509186,#114662); #75648=LINE('',#509189,#114663); #75649=LINE('',#509191,#114664); #75650=LINE('',#509192,#114665); #75651=LINE('',#509198,#114666); #75652=LINE('',#509201,#114667); #75653=LINE('',#509203,#114668); #75654=LINE('',#509204,#114669); #75655=LINE('',#509207,#114670); #75656=LINE('',#509209,#114671); #75657=LINE('',#509210,#114672); #75658=LINE('',#509213,#114673); #75659=LINE('',#509215,#114674); #75660=LINE('',#509216,#114675); #75661=LINE('',#509219,#114676); #75662=LINE('',#509221,#114677); #75663=LINE('',#509222,#114678); #75664=LINE('',#509225,#114679); #75665=LINE('',#509227,#114680); #75666=LINE('',#509228,#114681); #75667=LINE('',#509231,#114682); #75668=LINE('',#509233,#114683); #75669=LINE('',#509234,#114684); #75670=LINE('',#509237,#114685); #75671=LINE('',#509239,#114686); #75672=LINE('',#509240,#114687); #75673=LINE('',#509243,#114688); #75674=LINE('',#509245,#114689); #75675=LINE('',#509246,#114690); #75676=LINE('',#509252,#114691); #75677=LINE('',#509255,#114692); #75678=LINE('',#509257,#114693); #75679=LINE('',#509258,#114694); #75680=LINE('',#509268,#114695); #75681=LINE('',#509273,#114696); #75682=LINE('',#509275,#114697); #75683=LINE('',#509277,#114698); #75684=LINE('',#509278,#114699); #75685=LINE('',#509284,#114700); #75686=LINE('',#509287,#114701); #75687=LINE('',#509289,#114702); #75688=LINE('',#509290,#114703); #75689=LINE('',#509293,#114704); #75690=LINE('',#509295,#114705); #75691=LINE('',#509296,#114706); #75692=LINE('',#509302,#114707); #75693=LINE('',#509305,#114708); #75694=LINE('',#509307,#114709); #75695=LINE('',#509308,#114710); #75696=LINE('',#509311,#114711); #75697=LINE('',#509313,#114712); #75698=LINE('',#509314,#114713); #75699=LINE('',#509320,#114714); #75700=LINE('',#509323,#114715); #75701=LINE('',#509325,#114716); #75702=LINE('',#509326,#114717); #75703=LINE('',#509329,#114718); #75704=LINE('',#509331,#114719); #75705=LINE('',#509332,#114720); #75706=LINE('',#509335,#114721); #75707=LINE('',#509337,#114722); #75708=LINE('',#509338,#114723); #75709=LINE('',#509341,#114724); #75710=LINE('',#509343,#114725); #75711=LINE('',#509344,#114726); #75712=LINE('',#509347,#114727); #75713=LINE('',#509349,#114728); #75714=LINE('',#509350,#114729); #75715=LINE('',#509353,#114730); #75716=LINE('',#509355,#114731); #75717=LINE('',#509356,#114732); #75718=LINE('',#509359,#114733); #75719=LINE('',#509361,#114734); #75720=LINE('',#509362,#114735); #75721=LINE('',#509365,#114736); #75722=LINE('',#509367,#114737); #75723=LINE('',#509368,#114738); #75724=LINE('',#509374,#114739); #75725=LINE('',#509377,#114740); #75726=LINE('',#509379,#114741); #75727=LINE('',#509380,#114742); #75728=LINE('',#509383,#114743); #75729=LINE('',#509385,#114744); #75730=LINE('',#509386,#114745); #75731=LINE('',#509392,#114746); #75732=LINE('',#509395,#114747); #75733=LINE('',#509397,#114748); #75734=LINE('',#509398,#114749); #75735=LINE('',#509407,#114750); #75736=LINE('',#509409,#114751); #75737=LINE('',#509411,#114752); #75738=LINE('',#509412,#114753); #75739=LINE('',#509415,#114754); #75740=LINE('',#509417,#114755); #75741=LINE('',#509418,#114756); #75742=LINE('',#509421,#114757); #75743=LINE('',#509423,#114758); #75744=LINE('',#509424,#114759); #75745=LINE('',#509427,#114760); #75746=LINE('',#509429,#114761); #75747=LINE('',#509430,#114762); #75748=LINE('',#509436,#114763); #75749=LINE('',#509439,#114764); #75750=LINE('',#509441,#114765); #75751=LINE('',#509442,#114766); #75752=LINE('',#509448,#114767); #75753=LINE('',#509451,#114768); #75754=LINE('',#509453,#114769); #75755=LINE('',#509454,#114770); #75756=LINE('',#509457,#114771); #75757=LINE('',#509459,#114772); #75758=LINE('',#509460,#114773); #75759=LINE('',#509463,#114774); #75760=LINE('',#509465,#114775); #75761=LINE('',#509466,#114776); #75762=LINE('',#509472,#114777); #75763=LINE('',#509475,#114778); #75764=LINE('',#509477,#114779); #75765=LINE('',#509478,#114780); #75766=LINE('',#509481,#114781); #75767=LINE('',#509483,#114782); #75768=LINE('',#509484,#114783); #75769=LINE('',#509487,#114784); #75770=LINE('',#509489,#114785); #75771=LINE('',#509490,#114786); #75772=LINE('',#509493,#114787); #75773=LINE('',#509495,#114788); #75774=LINE('',#509496,#114789); #75775=LINE('',#509499,#114790); #75776=LINE('',#509501,#114791); #75777=LINE('',#509502,#114792); #75778=LINE('',#509505,#114793); #75779=LINE('',#509507,#114794); #75780=LINE('',#509508,#114795); #75781=LINE('',#509511,#114796); #75782=LINE('',#509513,#114797); #75783=LINE('',#509514,#114798); #75784=LINE('',#509520,#114799); #75785=LINE('',#509523,#114800); #75786=LINE('',#509525,#114801); #75787=LINE('',#509526,#114802); #75788=LINE('',#509532,#114803); #75789=LINE('',#509535,#114804); #75790=LINE('',#509537,#114805); #75791=LINE('',#509538,#114806); #75792=LINE('',#509541,#114807); #75793=LINE('',#509543,#114808); #75794=LINE('',#509544,#114809); #75795=LINE('',#509547,#114810); #75796=LINE('',#509549,#114811); #75797=LINE('',#509550,#114812); #75798=LINE('',#509553,#114813); #75799=LINE('',#509555,#114814); #75800=LINE('',#509556,#114815); #75801=LINE('',#509558,#114816); #75802=LINE('',#509559,#114817); #75803=LINE('',#509566,#114818); #75804=LINE('',#509571,#114819); #75805=LINE('',#509573,#114820); #75806=LINE('',#509575,#114821); #75807=LINE('',#509576,#114822); #75808=LINE('',#509582,#114823); #75809=LINE('',#509585,#114824); #75810=LINE('',#509587,#114825); #75811=LINE('',#509588,#114826); #75812=LINE('',#509591,#114827); #75813=LINE('',#509593,#114828); #75814=LINE('',#509594,#114829); #75815=LINE('',#509600,#114830); #75816=LINE('',#509603,#114831); #75817=LINE('',#509605,#114832); #75818=LINE('',#509606,#114833); #75819=LINE('',#509612,#114834); #75820=LINE('',#509615,#114835); #75821=LINE('',#509617,#114836); #75822=LINE('',#509618,#114837); #75823=LINE('',#509624,#114838); #75824=LINE('',#509627,#114839); #75825=LINE('',#509629,#114840); #75826=LINE('',#509630,#114841); #75827=LINE('',#509633,#114842); #75828=LINE('',#509635,#114843); #75829=LINE('',#509636,#114844); #75830=LINE('',#509639,#114845); #75831=LINE('',#509641,#114846); #75832=LINE('',#509642,#114847); #75833=LINE('',#509645,#114848); #75834=LINE('',#509647,#114849); #75835=LINE('',#509648,#114850); #75836=LINE('',#509651,#114851); #75837=LINE('',#509653,#114852); #75838=LINE('',#509654,#114853); #75839=LINE('',#509657,#114854); #75840=LINE('',#509659,#114855); #75841=LINE('',#509660,#114856); #75842=LINE('',#509663,#114857); #75843=LINE('',#509665,#114858); #75844=LINE('',#509666,#114859); #75845=LINE('',#509672,#114860); #75846=LINE('',#509675,#114861); #75847=LINE('',#509677,#114862); #75848=LINE('',#509678,#114863); #75849=LINE('',#509681,#114864); #75850=LINE('',#509683,#114865); #75851=LINE('',#509684,#114866); #75852=LINE('',#509687,#114867); #75853=LINE('',#509689,#114868); #75854=LINE('',#509690,#114869); #75855=LINE('',#509693,#114870); #75856=LINE('',#509695,#114871); #75857=LINE('',#509696,#114872); #75858=LINE('',#509702,#114873); #75859=LINE('',#509705,#114874); #75860=LINE('',#509707,#114875); #75861=LINE('',#509708,#114876); #75862=LINE('',#509718,#114877); #75863=LINE('',#509723,#114878); #75864=LINE('',#509725,#114879); #75865=LINE('',#509727,#114880); #75866=LINE('',#509728,#114881); #75867=LINE('',#509734,#114882); #75868=LINE('',#509737,#114883); #75869=LINE('',#509739,#114884); #75870=LINE('',#509740,#114885); #75871=LINE('',#509743,#114886); #75872=LINE('',#509745,#114887); #75873=LINE('',#509746,#114888); #75874=LINE('',#509749,#114889); #75875=LINE('',#509751,#114890); #75876=LINE('',#509752,#114891); #75877=LINE('',#509755,#114892); #75878=LINE('',#509757,#114893); #75879=LINE('',#509758,#114894); #75880=LINE('',#509761,#114895); #75881=LINE('',#509763,#114896); #75882=LINE('',#509764,#114897); #75883=LINE('',#509767,#114898); #75884=LINE('',#509769,#114899); #75885=LINE('',#509770,#114900); #75886=LINE('',#509773,#114901); #75887=LINE('',#509775,#114902); #75888=LINE('',#509776,#114903); #75889=LINE('',#509782,#114904); #75890=LINE('',#509791,#114905); #75891=LINE('',#509793,#114906); #75892=LINE('',#509795,#114907); #75893=LINE('',#509796,#114908); #75894=LINE('',#509799,#114909); #75895=LINE('',#509801,#114910); #75896=LINE('',#509802,#114911); #75897=LINE('',#509805,#114912); #75898=LINE('',#509807,#114913); #75899=LINE('',#509808,#114914); #75900=LINE('',#509811,#114915); #75901=LINE('',#509813,#114916); #75902=LINE('',#509814,#114917); #75903=LINE('',#509817,#114918); #75904=LINE('',#509819,#114919); #75905=LINE('',#509820,#114920); #75906=LINE('',#509826,#114921); #75907=LINE('',#509829,#114922); #75908=LINE('',#509831,#114923); #75909=LINE('',#509832,#114924); #75910=LINE('',#509835,#114925); #75911=LINE('',#509837,#114926); #75912=LINE('',#509838,#114927); #75913=LINE('',#509841,#114928); #75914=LINE('',#509843,#114929); #75915=LINE('',#509844,#114930); #75916=LINE('',#509850,#114931); #75917=LINE('',#509853,#114932); #75918=LINE('',#509855,#114933); #75919=LINE('',#509856,#114934); #75920=LINE('',#509862,#114935); #75921=LINE('',#509865,#114936); #75922=LINE('',#509867,#114937); #75923=LINE('',#509868,#114938); #75924=LINE('',#509874,#114939); #75925=LINE('',#509877,#114940); #75926=LINE('',#509879,#114941); #75927=LINE('',#509880,#114942); #75928=LINE('',#509883,#114943); #75929=LINE('',#509885,#114944); #75930=LINE('',#509886,#114945); #75931=LINE('',#509892,#114946); #75932=LINE('',#509895,#114947); #75933=LINE('',#509897,#114948); #75934=LINE('',#509898,#114949); #75935=LINE('',#509901,#114950); #75936=LINE('',#509903,#114951); #75937=LINE('',#509904,#114952); #75938=LINE('',#509907,#114953); #75939=LINE('',#509909,#114954); #75940=LINE('',#509910,#114955); #75941=LINE('',#509913,#114956); #75942=LINE('',#509915,#114957); #75943=LINE('',#509916,#114958); #75944=LINE('',#509919,#114959); #75945=LINE('',#509921,#114960); #75946=LINE('',#509922,#114961); #75947=LINE('',#509925,#114962); #75948=LINE('',#509927,#114963); #75949=LINE('',#509928,#114964); #75950=LINE('',#509931,#114965); #75951=LINE('',#509933,#114966); #75952=LINE('',#509934,#114967); #75953=LINE('',#509940,#114968); #75954=LINE('',#509943,#114969); #75955=LINE('',#509945,#114970); #75956=LINE('',#509946,#114971); #75957=LINE('',#509949,#114972); #75958=LINE('',#509951,#114973); #75959=LINE('',#509952,#114974); #75960=LINE('',#509958,#114975); #75961=LINE('',#509961,#114976); #75962=LINE('',#509963,#114977); #75963=LINE('',#509964,#114978); #75964=LINE('',#509967,#114979); #75965=LINE('',#509969,#114980); #75966=LINE('',#509970,#114981); #75967=LINE('',#509973,#114982); #75968=LINE('',#509975,#114983); #75969=LINE('',#509976,#114984); #75970=LINE('',#509979,#114985); #75971=LINE('',#509981,#114986); #75972=LINE('',#509982,#114987); #75973=LINE('',#509988,#114988); #75974=LINE('',#509991,#114989); #75975=LINE('',#509993,#114990); #75976=LINE('',#509994,#114991); #75977=LINE('',#510000,#114992); #75978=LINE('',#510003,#114993); #75979=LINE('',#510005,#114994); #75980=LINE('',#510006,#114995); #75981=LINE('',#510009,#114996); #75982=LINE('',#510011,#114997); #75983=LINE('',#510012,#114998); #75984=LINE('',#510014,#114999); #75985=LINE('',#510015,#115000); #75986=LINE('',#510021,#115001); #75987=LINE('',#510023,#115002); #75988=LINE('',#510025,#115003); #75989=LINE('',#510026,#115004); #75990=LINE('',#510029,#115005); #75991=LINE('',#510031,#115006); #75992=LINE('',#510032,#115007); #75993=LINE('',#510035,#115008); #75994=LINE('',#510037,#115009); #75995=LINE('',#510038,#115010); #75996=LINE('',#510041,#115011); #75997=LINE('',#510043,#115012); #75998=LINE('',#510044,#115013); #75999=LINE('',#510047,#115014); #76000=LINE('',#510049,#115015); #76001=LINE('',#510050,#115016); #76002=LINE('',#510053,#115017); #76003=LINE('',#510055,#115018); #76004=LINE('',#510056,#115019); #76005=LINE('',#510062,#115020); #76006=LINE('',#510065,#115021); #76007=LINE('',#510067,#115022); #76008=LINE('',#510068,#115023); #76009=LINE('',#510074,#115024); #76010=LINE('',#510077,#115025); #76011=LINE('',#510079,#115026); #76012=LINE('',#510080,#115027); #76013=LINE('',#510083,#115028); #76014=LINE('',#510085,#115029); #76015=LINE('',#510086,#115030); #76016=LINE('',#510089,#115031); #76017=LINE('',#510091,#115032); #76018=LINE('',#510092,#115033); #76019=LINE('',#510095,#115034); #76020=LINE('',#510097,#115035); #76021=LINE('',#510098,#115036); #76022=LINE('',#510104,#115037); #76023=LINE('',#510107,#115038); #76024=LINE('',#510109,#115039); #76025=LINE('',#510110,#115040); #76026=LINE('',#510113,#115041); #76027=LINE('',#510115,#115042); #76028=LINE('',#510116,#115043); #76029=LINE('',#510122,#115044); #76030=LINE('',#510125,#115045); #76031=LINE('',#510127,#115046); #76032=LINE('',#510128,#115047); #76033=LINE('',#510131,#115048); #76034=LINE('',#510133,#115049); #76035=LINE('',#510134,#115050); #76036=LINE('',#510137,#115051); #76037=LINE('',#510139,#115052); #76038=LINE('',#510140,#115053); #76039=LINE('',#510143,#115054); #76040=LINE('',#510145,#115055); #76041=LINE('',#510146,#115056); #76042=LINE('',#510149,#115057); #76043=LINE('',#510151,#115058); #76044=LINE('',#510152,#115059); #76045=LINE('',#510155,#115060); #76046=LINE('',#510157,#115061); #76047=LINE('',#510158,#115062); #76048=LINE('',#510161,#115063); #76049=LINE('',#510163,#115064); #76050=LINE('',#510164,#115065); #76051=LINE('',#510170,#115066); #76052=LINE('',#510173,#115067); #76053=LINE('',#510175,#115068); #76054=LINE('',#510176,#115069); #76055=LINE('',#510179,#115070); #76056=LINE('',#510181,#115071); #76057=LINE('',#510182,#115072); #76058=LINE('',#510188,#115073); #76059=LINE('',#510191,#115074); #76060=LINE('',#510193,#115075); #76061=LINE('',#510194,#115076); #76062=LINE('',#510200,#115077); #76063=LINE('',#510203,#115078); #76064=LINE('',#510205,#115079); #76065=LINE('',#510206,#115080); #76066=LINE('',#510212,#115081); #76067=LINE('',#510215,#115082); #76068=LINE('',#510217,#115083); #76069=LINE('',#510218,#115084); #76070=LINE('',#510221,#115085); #76071=LINE('',#510223,#115086); #76072=LINE('',#510224,#115087); #76073=LINE('',#510227,#115088); #76074=LINE('',#510229,#115089); #76075=LINE('',#510230,#115090); #76076=LINE('',#510236,#115091); #76077=LINE('',#510239,#115092); #76078=LINE('',#510241,#115093); #76079=LINE('',#510242,#115094); #76080=LINE('',#510244,#115095); #76081=LINE('',#510245,#115096); #76082=LINE('',#510251,#115097); #76083=LINE('',#510253,#115098); #76084=LINE('',#510255,#115099); #76085=LINE('',#510256,#115100); #76086=LINE('',#510259,#115101); #76087=LINE('',#510261,#115102); #76088=LINE('',#510262,#115103); #76089=LINE('',#510265,#115104); #76090=LINE('',#510267,#115105); #76091=LINE('',#510268,#115106); #76092=LINE('',#510271,#115107); #76093=LINE('',#510273,#115108); #76094=LINE('',#510274,#115109); #76095=LINE('',#510280,#115110); #76096=LINE('',#510283,#115111); #76097=LINE('',#510285,#115112); #76098=LINE('',#510286,#115113); #76099=LINE('',#510289,#115114); #76100=LINE('',#510291,#115115); #76101=LINE('',#510292,#115116); #76102=LINE('',#510298,#115117); #76103=LINE('',#510301,#115118); #76104=LINE('',#510303,#115119); #76105=LINE('',#510304,#115120); #76106=LINE('',#510307,#115121); #76107=LINE('',#510309,#115122); #76108=LINE('',#510310,#115123); #76109=LINE('',#510313,#115124); #76110=LINE('',#510315,#115125); #76111=LINE('',#510316,#115126); #76112=LINE('',#510319,#115127); #76113=LINE('',#510321,#115128); #76114=LINE('',#510322,#115129); #76115=LINE('',#510325,#115130); #76116=LINE('',#510327,#115131); #76117=LINE('',#510328,#115132); #76118=LINE('',#510331,#115133); #76119=LINE('',#510333,#115134); #76120=LINE('',#510334,#115135); #76121=LINE('',#510337,#115136); #76122=LINE('',#510339,#115137); #76123=LINE('',#510340,#115138); #76124=LINE('',#510346,#115139); #76125=LINE('',#510349,#115140); #76126=LINE('',#510351,#115141); #76127=LINE('',#510352,#115142); #76128=LINE('',#510355,#115143); #76129=LINE('',#510357,#115144); #76130=LINE('',#510358,#115145); #76131=LINE('',#510361,#115146); #76132=LINE('',#510363,#115147); #76133=LINE('',#510364,#115148); #76134=LINE('',#510366,#115149); #76135=LINE('',#510367,#115150); #76136=LINE('',#510374,#115151); #76137=LINE('',#510379,#115152); #76138=LINE('',#510381,#115153); #76139=LINE('',#510383,#115154); #76140=LINE('',#510384,#115155); #76141=LINE('',#510387,#115156); #76142=LINE('',#510389,#115157); #76143=LINE('',#510390,#115158); #76144=LINE('',#510396,#115159); #76145=LINE('',#510399,#115160); #76146=LINE('',#510401,#115161); #76147=LINE('',#510402,#115162); #76148=LINE('',#510405,#115163); #76149=LINE('',#510407,#115164); #76150=LINE('',#510408,#115165); #76151=LINE('',#510411,#115166); #76152=LINE('',#510413,#115167); #76153=LINE('',#510414,#115168); #76154=LINE('',#510420,#115169); #76155=LINE('',#510423,#115170); #76156=LINE('',#510425,#115171); #76157=LINE('',#510426,#115172); #76158=LINE('',#510429,#115173); #76159=LINE('',#510431,#115174); #76160=LINE('',#510432,#115175); #76161=LINE('',#510438,#115176); #76162=LINE('',#510444,#115177); #76163=LINE('',#510447,#115178); #76164=LINE('',#510449,#115179); #76165=LINE('',#510450,#115180); #76166=LINE('',#510456,#115181); #76167=LINE('',#510459,#115182); #76168=LINE('',#510461,#115183); #76169=LINE('',#510462,#115184); #76170=LINE('',#510465,#115185); #76171=LINE('',#510467,#115186); #76172=LINE('',#510468,#115187); #76173=LINE('',#510474,#115188); #76174=LINE('',#510477,#115189); #76175=LINE('',#510479,#115190); #76176=LINE('',#510480,#115191); #76177=LINE('',#510483,#115192); #76178=LINE('',#510485,#115193); #76179=LINE('',#510486,#115194); #76180=LINE('',#510489,#115195); #76181=LINE('',#510491,#115196); #76182=LINE('',#510492,#115197); #76183=LINE('',#510495,#115198); #76184=LINE('',#510497,#115199); #76185=LINE('',#510498,#115200); #76186=LINE('',#510500,#115201); #76187=LINE('',#510501,#115202); #76188=LINE('',#510508,#115203); #76189=LINE('',#510513,#115204); #76190=LINE('',#510515,#115205); #76191=LINE('',#510517,#115206); #76192=LINE('',#510518,#115207); #76193=LINE('',#510524,#115208); #76194=LINE('',#510527,#115209); #76195=LINE('',#510529,#115210); #76196=LINE('',#510530,#115211); #76197=LINE('',#510533,#115212); #76198=LINE('',#510535,#115213); #76199=LINE('',#510536,#115214); #76200=LINE('',#510542,#115215); #76201=LINE('',#510545,#115216); #76202=LINE('',#510547,#115217); #76203=LINE('',#510548,#115218); #76204=LINE('',#510551,#115219); #76205=LINE('',#510553,#115220); #76206=LINE('',#510554,#115221); #76207=LINE('',#510557,#115222); #76208=LINE('',#510559,#115223); #76209=LINE('',#510560,#115224); #76210=LINE('',#510563,#115225); #76211=LINE('',#510565,#115226); #76212=LINE('',#510566,#115227); #76213=LINE('',#510569,#115228); #76214=LINE('',#510571,#115229); #76215=LINE('',#510572,#115230); #76216=LINE('',#510575,#115231); #76217=LINE('',#510577,#115232); #76218=LINE('',#510578,#115233); #76219=LINE('',#510581,#115234); #76220=LINE('',#510583,#115235); #76221=LINE('',#510584,#115236); #76222=LINE('',#510587,#115237); #76223=LINE('',#510589,#115238); #76224=LINE('',#510590,#115239); #76225=LINE('',#510596,#115240); #76226=LINE('',#510599,#115241); #76227=LINE('',#510601,#115242); #76228=LINE('',#510602,#115243); #76229=LINE('',#510612,#115244); #76230=LINE('',#510617,#115245); #76231=LINE('',#510619,#115246); #76232=LINE('',#510621,#115247); #76233=LINE('',#510622,#115248); #76234=LINE('',#510628,#115249); #76235=LINE('',#510634,#115250); #76236=LINE('',#510637,#115251); #76237=LINE('',#510639,#115252); #76238=LINE('',#510640,#115253); #76239=LINE('',#510643,#115254); #76240=LINE('',#510645,#115255); #76241=LINE('',#510646,#115256); #76242=LINE('',#510649,#115257); #76243=LINE('',#510651,#115258); #76244=LINE('',#510652,#115259); #76245=LINE('',#510655,#115260); #76246=LINE('',#510657,#115261); #76247=LINE('',#510658,#115262); #76248=LINE('',#510661,#115263); #76249=LINE('',#510663,#115264); #76250=LINE('',#510664,#115265); #76251=LINE('',#510667,#115266); #76252=LINE('',#510669,#115267); #76253=LINE('',#510670,#115268); #76254=LINE('',#510673,#115269); #76255=LINE('',#510675,#115270); #76256=LINE('',#510676,#115271); #76257=LINE('',#510682,#115272); #76258=LINE('',#510685,#115273); #76259=LINE('',#510687,#115274); #76260=LINE('',#510688,#115275); #76261=LINE('',#510698,#115276); #76262=LINE('',#510703,#115277); #76263=LINE('',#510705,#115278); #76264=LINE('',#510707,#115279); #76265=LINE('',#510708,#115280); #76266=LINE('',#510711,#115281); #76267=LINE('',#510713,#115282); #76268=LINE('',#510714,#115283); #76269=LINE('',#510717,#115284); #76270=LINE('',#510719,#115285); #76271=LINE('',#510720,#115286); #76272=LINE('',#510723,#115287); #76273=LINE('',#510725,#115288); #76274=LINE('',#510726,#115289); #76275=LINE('',#510729,#115290); #76276=LINE('',#510731,#115291); #76277=LINE('',#510732,#115292); #76278=LINE('',#510738,#115293); #76279=LINE('',#510741,#115294); #76280=LINE('',#510743,#115295); #76281=LINE('',#510744,#115296); #76282=LINE('',#510747,#115297); #76283=LINE('',#510749,#115298); #76284=LINE('',#510750,#115299); #76285=LINE('',#510756,#115300); #76286=LINE('',#510759,#115301); #76287=LINE('',#510761,#115302); #76288=LINE('',#510762,#115303); #76289=LINE('',#510768,#115304); #76290=LINE('',#510774,#115305); #76291=LINE('',#510777,#115306); #76292=LINE('',#510779,#115307); #76293=LINE('',#510780,#115308); #76294=LINE('',#510783,#115309); #76295=LINE('',#510785,#115310); #76296=LINE('',#510786,#115311); #76297=LINE('',#510792,#115312); #76298=LINE('',#510795,#115313); #76299=LINE('',#510797,#115314); #76300=LINE('',#510798,#115315); #76301=LINE('',#510801,#115316); #76302=LINE('',#510803,#115317); #76303=LINE('',#510804,#115318); #76304=LINE('',#510806,#115319); #76305=LINE('',#510807,#115320); #76306=LINE('',#510814,#115321); #76307=LINE('',#510819,#115322); #76308=LINE('',#510821,#115323); #76309=LINE('',#510823,#115324); #76310=LINE('',#510824,#115325); #76311=LINE('',#510830,#115326); #76312=LINE('',#510833,#115327); #76313=LINE('',#510835,#115328); #76314=LINE('',#510836,#115329); #76315=LINE('',#510842,#115330); #76316=LINE('',#510845,#115331); #76317=LINE('',#510847,#115332); #76318=LINE('',#510848,#115333); #76319=LINE('',#510851,#115334); #76320=LINE('',#510853,#115335); #76321=LINE('',#510854,#115336); #76322=LINE('',#510857,#115337); #76323=LINE('',#510859,#115338); #76324=LINE('',#510860,#115339); #76325=LINE('',#510863,#115340); #76326=LINE('',#510865,#115341); #76327=LINE('',#510866,#115342); #76328=LINE('',#510869,#115343); #76329=LINE('',#510871,#115344); #76330=LINE('',#510872,#115345); #76331=LINE('',#510875,#115346); #76332=LINE('',#510877,#115347); #76333=LINE('',#510878,#115348); #76334=LINE('',#510881,#115349); #76335=LINE('',#510883,#115350); #76336=LINE('',#510884,#115351); #76337=LINE('',#510890,#115352); #76338=LINE('',#510900,#115353); #76339=LINE('',#510905,#115354); #76340=LINE('',#510907,#115355); #76341=LINE('',#510909,#115356); #76342=LINE('',#510910,#115357); #76343=LINE('',#510913,#115358); #76344=LINE('',#510915,#115359); #76345=LINE('',#510916,#115360); #76346=LINE('',#510919,#115361); #76347=LINE('',#510921,#115362); #76348=LINE('',#510922,#115363); #76349=LINE('',#510925,#115364); #76350=LINE('',#510927,#115365); #76351=LINE('',#510928,#115366); #76352=LINE('',#510931,#115367); #76353=LINE('',#510933,#115368); #76354=LINE('',#510934,#115369); #76355=LINE('',#510937,#115370); #76356=LINE('',#510939,#115371); #76357=LINE('',#510940,#115372); #76358=LINE('',#510946,#115373); #76359=LINE('',#510949,#115374); #76360=LINE('',#510951,#115375); #76361=LINE('',#510952,#115376); #76362=LINE('',#510955,#115377); #76363=LINE('',#510957,#115378); #76364=LINE('',#510958,#115379); #76365=LINE('',#510964,#115380); #76366=LINE('',#510970,#115381); #76367=LINE('',#510973,#115382); #76368=LINE('',#510975,#115383); #76369=LINE('',#510976,#115384); #76370=LINE('',#510982,#115385); #76371=LINE('',#510985,#115386); #76372=LINE('',#510987,#115387); #76373=LINE('',#510988,#115388); #76374=LINE('',#510991,#115389); #76375=LINE('',#510993,#115390); #76376=LINE('',#510994,#115391); #76377=LINE('',#511000,#115392); #76378=LINE('',#511003,#115393); #76379=LINE('',#511005,#115394); #76380=LINE('',#511006,#115395); #76381=LINE('',#511008,#115396); #76382=LINE('',#511009,#115397); #76383=LINE('',#511016,#115398); #76384=LINE('',#511021,#115399); #76385=LINE('',#511023,#115400); #76386=LINE('',#511025,#115401); #76387=LINE('',#511026,#115402); #76388=LINE('',#511032,#115403); #76389=LINE('',#511035,#115404); #76390=LINE('',#511037,#115405); #76391=LINE('',#511038,#115406); #76392=LINE('',#511041,#115407); #76393=LINE('',#511043,#115408); #76394=LINE('',#511044,#115409); #76395=LINE('',#511047,#115410); #76396=LINE('',#511049,#115411); #76397=LINE('',#511050,#115412); #76398=LINE('',#511053,#115413); #76399=LINE('',#511055,#115414); #76400=LINE('',#511056,#115415); #76401=LINE('',#511059,#115416); #76402=LINE('',#511061,#115417); #76403=LINE('',#511062,#115418); #76404=LINE('',#511065,#115419); #76405=LINE('',#511067,#115420); #76406=LINE('',#511068,#115421); #76407=LINE('',#511071,#115422); #76408=LINE('',#511073,#115423); #76409=LINE('',#511074,#115424); #76410=LINE('',#511080,#115425); #76411=LINE('',#511090,#115426); #76412=LINE('',#511095,#115427); #76413=LINE('',#511097,#115428); #76414=LINE('',#511099,#115429); #76415=LINE('',#511100,#115430); #76416=LINE('',#511106,#115431); #76417=LINE('',#511112,#115432); #76418=LINE('',#511115,#115433); #76419=LINE('',#511117,#115434); #76420=LINE('',#511118,#115435); #76421=LINE('',#511121,#115436); #76422=LINE('',#511123,#115437); #76423=LINE('',#511124,#115438); #76424=LINE('',#511127,#115439); #76425=LINE('',#511129,#115440); #76426=LINE('',#511130,#115441); #76427=LINE('',#511133,#115442); #76428=LINE('',#511135,#115443); #76429=LINE('',#511136,#115444); #76430=LINE('',#511139,#115445); #76431=LINE('',#511141,#115446); #76432=LINE('',#511142,#115447); #76433=LINE('',#511145,#115448); #76434=LINE('',#511147,#115449); #76435=LINE('',#511148,#115450); #76436=LINE('',#511151,#115451); #76437=LINE('',#511153,#115452); #76438=LINE('',#511154,#115453); #76439=LINE('',#511164,#115454); #76440=LINE('',#511169,#115455); #76441=LINE('',#511171,#115456); #76442=LINE('',#511173,#115457); #76443=LINE('',#511174,#115458); #76444=LINE('',#511177,#115459); #76445=LINE('',#511179,#115460); #76446=LINE('',#511180,#115461); #76447=LINE('',#511183,#115462); #76448=LINE('',#511185,#115463); #76449=LINE('',#511186,#115464); #76450=LINE('',#511189,#115465); #76451=LINE('',#511191,#115466); #76452=LINE('',#511192,#115467); #76453=LINE('',#511195,#115468); #76454=LINE('',#511197,#115469); #76455=LINE('',#511198,#115470); #76456=LINE('',#511204,#115471); #76457=LINE('',#511207,#115472); #76458=LINE('',#511209,#115473); #76459=LINE('',#511210,#115474); #76460=LINE('',#511213,#115475); #76461=LINE('',#511215,#115476); #76462=LINE('',#511216,#115477); #76463=LINE('',#511219,#115478); #76464=LINE('',#511221,#115479); #76465=LINE('',#511222,#115480); #76466=LINE('',#511225,#115481); #76467=LINE('',#511227,#115482); #76468=LINE('',#511228,#115483); #76469=LINE('',#511231,#115484); #76470=LINE('',#511233,#115485); #76471=LINE('',#511234,#115486); #76472=LINE('',#511240,#115487); #76473=LINE('',#511246,#115488); #76474=LINE('',#511249,#115489); #76475=LINE('',#511251,#115490); #76476=LINE('',#511252,#115491); #76477=LINE('',#511258,#115492); #76478=LINE('',#511261,#115493); #76479=LINE('',#511263,#115494); #76480=LINE('',#511264,#115495); #76481=LINE('',#511270,#115496); #76482=LINE('',#511273,#115497); #76483=LINE('',#511275,#115498); #76484=LINE('',#511276,#115499); #76485=LINE('',#511282,#115500); #76486=LINE('',#511285,#115501); #76487=LINE('',#511287,#115502); #76488=LINE('',#511288,#115503); #76489=LINE('',#511294,#115504); #76490=LINE('',#511297,#115505); #76491=LINE('',#511299,#115506); #76492=LINE('',#511300,#115507); #76493=LINE('',#511302,#115508); #76494=LINE('',#511303,#115509); #76495=LINE('',#511310,#115510); #76496=LINE('',#511315,#115511); #76497=LINE('',#511317,#115512); #76498=LINE('',#511319,#115513); #76499=LINE('',#511320,#115514); #76500=LINE('',#511323,#115515); #76501=LINE('',#511325,#115516); #76502=LINE('',#511326,#115517); #76503=LINE('',#511329,#115518); #76504=LINE('',#511331,#115519); #76505=LINE('',#511332,#115520); #76506=LINE('',#511335,#115521); #76507=LINE('',#511337,#115522); #76508=LINE('',#511338,#115523); #76509=LINE('',#511341,#115524); #76510=LINE('',#511343,#115525); #76511=LINE('',#511344,#115526); #76512=LINE('',#511347,#115527); #76513=LINE('',#511349,#115528); #76514=LINE('',#511350,#115529); #76515=LINE('',#511353,#115530); #76516=LINE('',#511355,#115531); #76517=LINE('',#511356,#115532); #76518=LINE('',#511359,#115533); #76519=LINE('',#511361,#115534); #76520=LINE('',#511362,#115535); #76521=LINE('',#511368,#115536); #76522=LINE('',#511374,#115537); #76523=LINE('',#511377,#115538); #76524=LINE('',#511379,#115539); #76525=LINE('',#511380,#115540); #76526=LINE('',#511386,#115541); #76527=LINE('',#511389,#115542); #76528=LINE('',#511391,#115543); #76529=LINE('',#511392,#115544); #76530=LINE('',#511398,#115545); #76531=LINE('',#511401,#115546); #76532=LINE('',#511403,#115547); #76533=LINE('',#511404,#115548); #76534=LINE('',#511410,#115549); #76535=LINE('',#511412,#115550); #76536=LINE('',#511413,#115551); #76537=LINE('',#511420,#115552); #76538=LINE('',#511425,#115553); #76539=LINE('',#511427,#115554); #76540=LINE('',#511429,#115555); #76541=LINE('',#511430,#115556); #76542=LINE('',#511433,#115557); #76543=LINE('',#511435,#115558); #76544=LINE('',#511436,#115559); #76545=LINE('',#511439,#115560); #76546=LINE('',#511441,#115561); #76547=LINE('',#511442,#115562); #76548=LINE('',#511445,#115563); #76549=LINE('',#511447,#115564); #76550=LINE('',#511448,#115565); #76551=LINE('',#511451,#115566); #76552=LINE('',#511453,#115567); #76553=LINE('',#511454,#115568); #76554=LINE('',#511460,#115569); #76555=LINE('',#511463,#115570); #76556=LINE('',#511465,#115571); #76557=LINE('',#511466,#115572); #76558=LINE('',#511472,#115573); #76559=LINE('',#511475,#115574); #76560=LINE('',#511477,#115575); #76561=LINE('',#511478,#115576); #76562=LINE('',#511484,#115577); #76563=LINE('',#511487,#115578); #76564=LINE('',#511489,#115579); #76565=LINE('',#511490,#115580); #76566=LINE('',#511496,#115581); #76567=LINE('',#511499,#115582); #76568=LINE('',#511501,#115583); #76569=LINE('',#511502,#115584); #76570=LINE('',#511508,#115585); #76571=LINE('',#511514,#115586); #76572=LINE('',#511517,#115587); #76573=LINE('',#511519,#115588); #76574=LINE('',#511520,#115589); #76575=LINE('',#511523,#115590); #76576=LINE('',#511525,#115591); #76577=LINE('',#511526,#115592); #76578=LINE('',#511529,#115593); #76579=LINE('',#511531,#115594); #76580=LINE('',#511532,#115595); #76581=LINE('',#511535,#115596); #76582=LINE('',#511537,#115597); #76583=LINE('',#511538,#115598); #76584=LINE('',#511541,#115599); #76585=LINE('',#511543,#115600); #76586=LINE('',#511544,#115601); #76587=LINE('',#511550,#115602); #76588=LINE('',#511553,#115603); #76589=LINE('',#511555,#115604); #76590=LINE('',#511556,#115605); #76591=LINE('',#511558,#115606); #76592=LINE('',#511559,#115607); #76593=LINE('',#511565,#115608); #76594=LINE('',#511567,#115609); #76595=LINE('',#511569,#115610); #76596=LINE('',#511570,#115611); #76597=LINE('',#511573,#115612); #76598=LINE('',#511575,#115613); #76599=LINE('',#511576,#115614); #76600=LINE('',#511579,#115615); #76601=LINE('',#511581,#115616); #76602=LINE('',#511582,#115617); #76603=LINE('',#511585,#115618); #76604=LINE('',#511587,#115619); #76605=LINE('',#511588,#115620); #76606=LINE('',#511594,#115621); #76607=LINE('',#511597,#115622); #76608=LINE('',#511599,#115623); #76609=LINE('',#511600,#115624); #76610=LINE('',#511606,#115625); #76611=LINE('',#511609,#115626); #76612=LINE('',#511611,#115627); #76613=LINE('',#511612,#115628); #76614=LINE('',#511615,#115629); #76615=LINE('',#511617,#115630); #76616=LINE('',#511618,#115631); #76617=LINE('',#511621,#115632); #76618=LINE('',#511623,#115633); #76619=LINE('',#511624,#115634); #76620=LINE('',#511627,#115635); #76621=LINE('',#511629,#115636); #76622=LINE('',#511630,#115637); #76623=LINE('',#511633,#115638); #76624=LINE('',#511635,#115639); #76625=LINE('',#511636,#115640); #76626=LINE('',#511639,#115641); #76627=LINE('',#511641,#115642); #76628=LINE('',#511642,#115643); #76629=LINE('',#511645,#115644); #76630=LINE('',#511647,#115645); #76631=LINE('',#511648,#115646); #76632=LINE('',#511651,#115647); #76633=LINE('',#511653,#115648); #76634=LINE('',#511654,#115649); #76635=LINE('',#511657,#115650); #76636=LINE('',#511659,#115651); #76637=LINE('',#511660,#115652); #76638=LINE('',#511666,#115653); #76639=LINE('',#511669,#115654); #76640=LINE('',#511671,#115655); #76641=LINE('',#511672,#115656); #76642=LINE('',#511678,#115657); #76643=LINE('',#511681,#115658); #76644=LINE('',#511683,#115659); #76645=LINE('',#511684,#115660); #76646=LINE('',#511687,#115661); #76647=LINE('',#511689,#115662); #76648=LINE('',#511690,#115663); #76649=LINE('',#511693,#115664); #76650=LINE('',#511695,#115665); #76651=LINE('',#511696,#115666); #76652=LINE('',#511699,#115667); #76653=LINE('',#511701,#115668); #76654=LINE('',#511702,#115669); #76655=LINE('',#511704,#115670); #76656=LINE('',#511705,#115671); #76657=LINE('',#511712,#115672); #76658=LINE('',#511717,#115673); #76659=LINE('',#511719,#115674); #76660=LINE('',#511721,#115675); #76661=LINE('',#511722,#115676); #76662=LINE('',#511725,#115677); #76663=LINE('',#511727,#115678); #76664=LINE('',#511728,#115679); #76665=LINE('',#511731,#115680); #76666=LINE('',#511733,#115681); #76667=LINE('',#511734,#115682); #76668=LINE('',#511736,#115683); #76669=LINE('',#511737,#115684); #76670=LINE('',#511744,#115685); #76671=LINE('',#511749,#115686); #76672=LINE('',#511751,#115687); #76673=LINE('',#511753,#115688); #76674=LINE('',#511754,#115689); #76675=LINE('',#511760,#115690); #76676=LINE('',#511763,#115691); #76677=LINE('',#511765,#115692); #76678=LINE('',#511766,#115693); #76679=LINE('',#511772,#115694); #76680=LINE('',#511775,#115695); #76681=LINE('',#511777,#115696); #76682=LINE('',#511778,#115697); #76683=LINE('',#511781,#115698); #76684=LINE('',#511783,#115699); #76685=LINE('',#511784,#115700); #76686=LINE('',#511787,#115701); #76687=LINE('',#511789,#115702); #76688=LINE('',#511790,#115703); #76689=LINE('',#511793,#115704); #76690=LINE('',#511795,#115705); #76691=LINE('',#511796,#115706); #76692=LINE('',#511802,#115707); #76693=LINE('',#511805,#115708); #76694=LINE('',#511807,#115709); #76695=LINE('',#511808,#115710); #76696=LINE('',#511811,#115711); #76697=LINE('',#511813,#115712); #76698=LINE('',#511814,#115713); #76699=LINE('',#511817,#115714); #76700=LINE('',#511819,#115715); #76701=LINE('',#511820,#115716); #76702=LINE('',#511823,#115717); #76703=LINE('',#511825,#115718); #76704=LINE('',#511826,#115719); #76705=LINE('',#511829,#115720); #76706=LINE('',#511831,#115721); #76707=LINE('',#511832,#115722); #76708=LINE('',#511835,#115723); #76709=LINE('',#511837,#115724); #76710=LINE('',#511838,#115725); #76711=LINE('',#511841,#115726); #76712=LINE('',#511843,#115727); #76713=LINE('',#511844,#115728); #76714=LINE('',#511850,#115729); #76715=LINE('',#511853,#115730); #76716=LINE('',#511855,#115731); #76717=LINE('',#511856,#115732); #76718=LINE('',#511862,#115733); #76719=LINE('',#511865,#115734); #76720=LINE('',#511867,#115735); #76721=LINE('',#511868,#115736); #76722=LINE('',#511874,#115737); #76723=LINE('',#511877,#115738); #76724=LINE('',#511879,#115739); #76725=LINE('',#511880,#115740); #76726=LINE('',#511883,#115741); #76727=LINE('',#511885,#115742); #76728=LINE('',#511886,#115743); #76729=LINE('',#511896,#115744); #76730=LINE('',#511901,#115745); #76731=LINE('',#511903,#115746); #76732=LINE('',#511905,#115747); #76733=LINE('',#511906,#115748); #76734=LINE('',#511909,#115749); #76735=LINE('',#511911,#115750); #76736=LINE('',#511912,#115751); #76737=LINE('',#511915,#115752); #76738=LINE('',#511917,#115753); #76739=LINE('',#511918,#115754); #76740=LINE('',#511921,#115755); #76741=LINE('',#511923,#115756); #76742=LINE('',#511924,#115757); #76743=LINE('',#511927,#115758); #76744=LINE('',#511929,#115759); #76745=LINE('',#511930,#115760); #76746=LINE('',#511933,#115761); #76747=LINE('',#511935,#115762); #76748=LINE('',#511936,#115763); #76749=LINE('',#511939,#115764); #76750=LINE('',#511941,#115765); #76751=LINE('',#511942,#115766); #76752=LINE('',#511948,#115767); #76753=LINE('',#511951,#115768); #76754=LINE('',#511953,#115769); #76755=LINE('',#511954,#115770); #76756=LINE('',#511960,#115771); #76757=LINE('',#511966,#115772); #76758=LINE('',#511969,#115773); #76759=LINE('',#511971,#115774); #76760=LINE('',#511972,#115775); #76761=LINE('',#511975,#115776); #76762=LINE('',#511977,#115777); #76763=LINE('',#511978,#115778); #76764=LINE('',#511984,#115779); #76765=LINE('',#511986,#115780); #76766=LINE('',#511987,#115781); #76767=LINE('',#511994,#115782); #76768=LINE('',#511999,#115783); #76769=LINE('',#512001,#115784); #76770=LINE('',#512003,#115785); #76771=LINE('',#512004,#115786); #76772=LINE('',#512007,#115787); #76773=LINE('',#512009,#115788); #76774=LINE('',#512010,#115789); #76775=LINE('',#512013,#115790); #76776=LINE('',#512015,#115791); #76777=LINE('',#512016,#115792); #76778=LINE('',#512019,#115793); #76779=LINE('',#512021,#115794); #76780=LINE('',#512022,#115795); #76781=LINE('',#512025,#115796); #76782=LINE('',#512027,#115797); #76783=LINE('',#512028,#115798); #76784=LINE('',#512031,#115799); #76785=LINE('',#512033,#115800); #76786=LINE('',#512034,#115801); #76787=LINE('',#512037,#115802); #76788=LINE('',#512039,#115803); #76789=LINE('',#512040,#115804); #76790=LINE('',#512043,#115805); #76791=LINE('',#512045,#115806); #76792=LINE('',#512046,#115807); #76793=LINE('',#512049,#115808); #76794=LINE('',#512051,#115809); #76795=LINE('',#512052,#115810); #76796=LINE('',#512055,#115811); #76797=LINE('',#512057,#115812); #76798=LINE('',#512058,#115813); #76799=LINE('',#512064,#115814); #76800=LINE('',#512067,#115815); #76801=LINE('',#512069,#115816); #76802=LINE('',#512070,#115817); #76803=LINE('',#512076,#115818); #76804=LINE('',#512079,#115819); #76805=LINE('',#512081,#115820); #76806=LINE('',#512082,#115821); #76807=LINE('',#512085,#115822); #76808=LINE('',#512087,#115823); #76809=LINE('',#512088,#115824); #76810=LINE('',#512091,#115825); #76811=LINE('',#512093,#115826); #76812=LINE('',#512094,#115827); #76813=LINE('',#512100,#115828); #76814=LINE('',#512103,#115829); #76815=LINE('',#512105,#115830); #76816=LINE('',#512106,#115831); #76817=LINE('',#512112,#115832); #76818=LINE('',#512115,#115833); #76819=LINE('',#512117,#115834); #76820=LINE('',#512118,#115835); #76821=LINE('',#512121,#115836); #76822=LINE('',#512123,#115837); #76823=LINE('',#512124,#115838); #76824=LINE('',#512127,#115839); #76825=LINE('',#512129,#115840); #76826=LINE('',#512130,#115841); #76827=LINE('',#512136,#115842); #76828=LINE('',#512142,#115843); #76829=LINE('',#512145,#115844); #76830=LINE('',#512147,#115845); #76831=LINE('',#512148,#115846); #76832=LINE('',#512154,#115847); #76833=LINE('',#512157,#115848); #76834=LINE('',#512159,#115849); #76835=LINE('',#512160,#115850); #76836=LINE('',#512166,#115851); #76837=LINE('',#512169,#115852); #76838=LINE('',#512171,#115853); #76839=LINE('',#512172,#115854); #76840=LINE('',#512175,#115855); #76841=LINE('',#512177,#115856); #76842=LINE('',#512178,#115857); #76843=LINE('',#512181,#115858); #76844=LINE('',#512183,#115859); #76845=LINE('',#512184,#115860); #76846=LINE('',#512190,#115861); #76847=LINE('',#512193,#115862); #76848=LINE('',#512195,#115863); #76849=LINE('',#512196,#115864); #76850=LINE('',#512202,#115865); #76851=LINE('',#512205,#115866); #76852=LINE('',#512207,#115867); #76853=LINE('',#512208,#115868); #76854=LINE('',#512211,#115869); #76855=LINE('',#512213,#115870); #76856=LINE('',#512214,#115871); #76857=LINE('',#512217,#115872); #76858=LINE('',#512219,#115873); #76859=LINE('',#512220,#115874); #76860=LINE('',#512223,#115875); #76861=LINE('',#512225,#115876); #76862=LINE('',#512226,#115877); #76863=LINE('',#512229,#115878); #76864=LINE('',#512231,#115879); #76865=LINE('',#512232,#115880); #76866=LINE('',#512235,#115881); #76867=LINE('',#512237,#115882); #76868=LINE('',#512238,#115883); #76869=LINE('',#512241,#115884); #76870=LINE('',#512243,#115885); #76871=LINE('',#512244,#115886); #76872=LINE('',#512247,#115887); #76873=LINE('',#512249,#115888); #76874=LINE('',#512250,#115889); #76875=LINE('',#512252,#115890); #76876=LINE('',#512253,#115891); #76877=LINE('',#512260,#115892); #76878=LINE('',#512265,#115893); #76879=LINE('',#512267,#115894); #76880=LINE('',#512269,#115895); #76881=LINE('',#512270,#115896); #76882=LINE('',#512273,#115897); #76883=LINE('',#512275,#115898); #76884=LINE('',#512276,#115899); #76885=LINE('',#512279,#115900); #76886=LINE('',#512281,#115901); #76887=LINE('',#512282,#115902); #76888=LINE('',#512285,#115903); #76889=LINE('',#512287,#115904); #76890=LINE('',#512288,#115905); #76891=LINE('',#512291,#115906); #76892=LINE('',#512293,#115907); #76893=LINE('',#512294,#115908); #76894=LINE('',#512300,#115909); #76895=LINE('',#512303,#115910); #76896=LINE('',#512305,#115911); #76897=LINE('',#512306,#115912); #76898=LINE('',#512309,#115913); #76899=LINE('',#512311,#115914); #76900=LINE('',#512312,#115915); #76901=LINE('',#512318,#115916); #76902=LINE('',#512321,#115917); #76903=LINE('',#512323,#115918); #76904=LINE('',#512324,#115919); #76905=LINE('',#512327,#115920); #76906=LINE('',#512329,#115921); #76907=LINE('',#512330,#115922); #76908=LINE('',#512336,#115923); #76909=LINE('',#512342,#115924); #76910=LINE('',#512345,#115925); #76911=LINE('',#512347,#115926); #76912=LINE('',#512348,#115927); #76913=LINE('',#512354,#115928); #76914=LINE('',#512357,#115929); #76915=LINE('',#512359,#115930); #76916=LINE('',#512360,#115931); #76917=LINE('',#512363,#115932); #76918=LINE('',#512365,#115933); #76919=LINE('',#512366,#115934); #76920=LINE('',#512372,#115935); #76921=LINE('',#512375,#115936); #76922=LINE('',#512377,#115937); #76923=LINE('',#512378,#115938); #76924=LINE('',#512381,#115939); #76925=LINE('',#512383,#115940); #76926=LINE('',#512384,#115941); #76927=LINE('',#512386,#115942); #76928=LINE('',#512387,#115943); #76929=LINE('',#512394,#115944); #76930=LINE('',#512399,#115945); #76931=LINE('',#512401,#115946); #76932=LINE('',#512403,#115947); #76933=LINE('',#512404,#115948); #76934=LINE('',#512407,#115949); #76935=LINE('',#512409,#115950); #76936=LINE('',#512410,#115951); #76937=LINE('',#512413,#115952); #76938=LINE('',#512415,#115953); #76939=LINE('',#512416,#115954); #76940=LINE('',#512418,#115955); #76941=LINE('',#512419,#115956); #76942=LINE('',#512426,#115957); #76943=LINE('',#512431,#115958); #76944=LINE('',#512433,#115959); #76945=LINE('',#512435,#115960); #76946=LINE('',#512436,#115961); #76947=LINE('',#512439,#115962); #76948=LINE('',#512441,#115963); #76949=LINE('',#512442,#115964); #76950=LINE('',#512445,#115965); #76951=LINE('',#512447,#115966); #76952=LINE('',#512448,#115967); #76953=LINE('',#512450,#115968); #76954=LINE('',#512451,#115969); #76955=LINE('',#512458,#115970); #76956=LINE('',#512463,#115971); #76957=LINE('',#512465,#115972); #76958=LINE('',#512467,#115973); #76959=LINE('',#512468,#115974); #76960=LINE('',#512474,#115975); #76961=LINE('',#512477,#115976); #76962=LINE('',#512479,#115977); #76963=LINE('',#512480,#115978); #76964=LINE('',#512486,#115979); #76965=LINE('',#512489,#115980); #76966=LINE('',#512491,#115981); #76967=LINE('',#512492,#115982); #76968=LINE('',#512495,#115983); #76969=LINE('',#512497,#115984); #76970=LINE('',#512498,#115985); #76971=LINE('',#512501,#115986); #76972=LINE('',#512503,#115987); #76973=LINE('',#512504,#115988); #76974=LINE('',#512507,#115989); #76975=LINE('',#512509,#115990); #76976=LINE('',#512510,#115991); #76977=LINE('',#512513,#115992); #76978=LINE('',#512515,#115993); #76979=LINE('',#512516,#115994); #76980=LINE('',#512519,#115995); #76981=LINE('',#512521,#115996); #76982=LINE('',#512522,#115997); #76983=LINE('',#512525,#115998); #76984=LINE('',#512527,#115999); #76985=LINE('',#512528,#116000); #76986=LINE('',#512531,#116001); #76987=LINE('',#512533,#116002); #76988=LINE('',#512534,#116003); #76989=LINE('',#512537,#116004); #76990=LINE('',#512539,#116005); #76991=LINE('',#512540,#116006); #76992=LINE('',#512550,#116007); #76993=LINE('',#512555,#116008); #76994=LINE('',#512557,#116009); #76995=LINE('',#512559,#116010); #76996=LINE('',#512560,#116011); #76997=LINE('',#512566,#116012); #76998=LINE('',#512576,#116013); #76999=LINE('',#512582,#116014); #77000=LINE('',#512587,#116015); #77001=LINE('',#512589,#116016); #77002=LINE('',#512591,#116017); #77003=LINE('',#512592,#116018); #77004=LINE('',#512598,#116019); #77005=LINE('',#512601,#116020); #77006=LINE('',#512603,#116021); #77007=LINE('',#512604,#116022); #77008=LINE('',#512610,#116023); #77009=LINE('',#512616,#116024); #77010=LINE('',#512619,#116025); #77011=LINE('',#512621,#116026); #77012=LINE('',#512622,#116027); #77013=LINE('',#512632,#116028); #77014=LINE('',#512637,#116029); #77015=LINE('',#512639,#116030); #77016=LINE('',#512641,#116031); #77017=LINE('',#512642,#116032); #77018=LINE('',#512648,#116033); #77019=LINE('',#512658,#116034); #77020=LINE('',#512663,#116035); #77021=LINE('',#512665,#116036); #77022=LINE('',#512667,#116037); #77023=LINE('',#512668,#116038); #77024=LINE('',#512671,#116039); #77025=LINE('',#512673,#116040); #77026=LINE('',#512674,#116041); #77027=LINE('',#512677,#116042); #77028=LINE('',#512679,#116043); #77029=LINE('',#512680,#116044); #77030=LINE('',#512682,#116045); #77031=LINE('',#512683,#116046); #77032=LINE('',#512690,#116047); #77033=LINE('',#512695,#116048); #77034=LINE('',#512697,#116049); #77035=LINE('',#512699,#116050); #77036=LINE('',#512700,#116051); #77037=LINE('',#512703,#116052); #77038=LINE('',#512705,#116053); #77039=LINE('',#512706,#116054); #77040=LINE('',#512709,#116055); #77041=LINE('',#512711,#116056); #77042=LINE('',#512712,#116057); #77043=LINE('',#512715,#116058); #77044=LINE('',#512717,#116059); #77045=LINE('',#512718,#116060); #77046=LINE('',#512721,#116061); #77047=LINE('',#512723,#116062); #77048=LINE('',#512724,#116063); #77049=LINE('',#512727,#116064); #77050=LINE('',#512729,#116065); #77051=LINE('',#512730,#116066); #77052=LINE('',#512733,#116067); #77053=LINE('',#512735,#116068); #77054=LINE('',#512736,#116069); #77055=LINE('',#512739,#116070); #77056=LINE('',#512741,#116071); #77057=LINE('',#512742,#116072); #77058=LINE('',#512745,#116073); #77059=LINE('',#512747,#116074); #77060=LINE('',#512748,#116075); #77061=LINE('',#512751,#116076); #77062=LINE('',#512753,#116077); #77063=LINE('',#512754,#116078); #77064=LINE('',#512757,#116079); #77065=LINE('',#512759,#116080); #77066=LINE('',#512760,#116081); #77067=LINE('',#512763,#116082); #77068=LINE('',#512765,#116083); #77069=LINE('',#512766,#116084); #77070=LINE('',#512772,#116085); #77071=LINE('',#512775,#116086); #77072=LINE('',#512777,#116087); #77073=LINE('',#512778,#116088); #77074=LINE('',#512784,#116089); #77075=LINE('',#512787,#116090); #77076=LINE('',#512789,#116091); #77077=LINE('',#512790,#116092); #77078=LINE('',#512793,#116093); #77079=LINE('',#512795,#116094); #77080=LINE('',#512796,#116095); #77081=LINE('',#512799,#116096); #77082=LINE('',#512801,#116097); #77083=LINE('',#512802,#116098); #77084=LINE('',#512808,#116099); #77085=LINE('',#512811,#116100); #77086=LINE('',#512813,#116101); #77087=LINE('',#512814,#116102); #77088=LINE('',#512820,#116103); #77089=LINE('',#512823,#116104); #77090=LINE('',#512825,#116105); #77091=LINE('',#512826,#116106); #77092=LINE('',#512832,#116107); #77093=LINE('',#512838,#116108); #77094=LINE('',#512841,#116109); #77095=LINE('',#512843,#116110); #77096=LINE('',#512844,#116111); #77097=LINE('',#512847,#116112); #77098=LINE('',#512849,#116113); #77099=LINE('',#512850,#116114); #77100=LINE('',#512853,#116115); #77101=LINE('',#512855,#116116); #77102=LINE('',#512856,#116117); #77103=LINE('',#512862,#116118); #77104=LINE('',#512865,#116119); #77105=LINE('',#512867,#116120); #77106=LINE('',#512868,#116121); #77107=LINE('',#512874,#116122); #77108=LINE('',#512877,#116123); #77109=LINE('',#512879,#116124); #77110=LINE('',#512880,#116125); #77111=LINE('',#512883,#116126); #77112=LINE('',#512885,#116127); #77113=LINE('',#512886,#116128); #77114=LINE('',#512889,#116129); #77115=LINE('',#512891,#116130); #77116=LINE('',#512892,#116131); #77117=LINE('',#512898,#116132); #77118=LINE('',#512901,#116133); #77119=LINE('',#512903,#116134); #77120=LINE('',#512904,#116135); #77121=LINE('',#512910,#116136); #77122=LINE('',#512913,#116137); #77123=LINE('',#512915,#116138); #77124=LINE('',#512916,#116139); #77125=LINE('',#512919,#116140); #77126=LINE('',#512921,#116141); #77127=LINE('',#512922,#116142); #77128=LINE('',#512925,#116143); #77129=LINE('',#512927,#116144); #77130=LINE('',#512928,#116145); #77131=LINE('',#512931,#116146); #77132=LINE('',#512933,#116147); #77133=LINE('',#512934,#116148); #77134=LINE('',#512937,#116149); #77135=LINE('',#512939,#116150); #77136=LINE('',#512940,#116151); #77137=LINE('',#512943,#116152); #77138=LINE('',#512945,#116153); #77139=LINE('',#512946,#116154); #77140=LINE('',#512948,#116155); #77141=LINE('',#512949,#116156); #77142=LINE('',#512956,#116157); #77143=LINE('',#512961,#116158); #77144=LINE('',#512963,#116159); #77145=LINE('',#512965,#116160); #77146=LINE('',#512966,#116161); #77147=LINE('',#512969,#116162); #77148=LINE('',#512971,#116163); #77149=LINE('',#512972,#116164); #77150=LINE('',#512975,#116165); #77151=LINE('',#512977,#116166); #77152=LINE('',#512978,#116167); #77153=LINE('',#512981,#116168); #77154=LINE('',#512983,#116169); #77155=LINE('',#512984,#116170); #77156=LINE('',#512990,#116171); #77157=LINE('',#512993,#116172); #77158=LINE('',#512995,#116173); #77159=LINE('',#512996,#116174); #77160=LINE('',#513002,#116175); #77161=LINE('',#513005,#116176); #77162=LINE('',#513007,#116177); #77163=LINE('',#513008,#116178); #77164=LINE('',#513011,#116179); #77165=LINE('',#513013,#116180); #77166=LINE('',#513014,#116181); #77167=LINE('',#513020,#116182); #77168=LINE('',#513023,#116183); #77169=LINE('',#513025,#116184); #77170=LINE('',#513026,#116185); #77171=LINE('',#513032,#116186); #77172=LINE('',#513038,#116187); #77173=LINE('',#513041,#116188); #77174=LINE('',#513043,#116189); #77175=LINE('',#513044,#116190); #77176=LINE('',#513047,#116191); #77177=LINE('',#513049,#116192); #77178=LINE('',#513050,#116193); #77179=LINE('',#513056,#116194); #77180=LINE('',#513059,#116195); #77181=LINE('',#513061,#116196); #77182=LINE('',#513062,#116197); #77183=LINE('',#513065,#116198); #77184=LINE('',#513067,#116199); #77185=LINE('',#513068,#116200); #77186=LINE('',#513071,#116201); #77187=LINE('',#513073,#116202); #77188=LINE('',#513074,#116203); #77189=LINE('',#513077,#116204); #77190=LINE('',#513079,#116205); #77191=LINE('',#513080,#116206); #77192=LINE('',#513082,#116207); #77193=LINE('',#513083,#116208); #77194=LINE('',#513089,#116209); #77195=LINE('',#513091,#116210); #77196=LINE('',#513093,#116211); #77197=LINE('',#513094,#116212); #77198=LINE('',#513097,#116213); #77199=LINE('',#513099,#116214); #77200=LINE('',#513100,#116215); #77201=LINE('',#513103,#116216); #77202=LINE('',#513105,#116217); #77203=LINE('',#513106,#116218); #77204=LINE('',#513109,#116219); #77205=LINE('',#513111,#116220); #77206=LINE('',#513112,#116221); #77207=LINE('',#513115,#116222); #77208=LINE('',#513117,#116223); #77209=LINE('',#513118,#116224); #77210=LINE('',#513121,#116225); #77211=LINE('',#513123,#116226); #77212=LINE('',#513124,#116227); #77213=LINE('',#513127,#116228); #77214=LINE('',#513129,#116229); #77215=LINE('',#513130,#116230); #77216=LINE('',#513133,#116231); #77217=LINE('',#513135,#116232); #77218=LINE('',#513136,#116233); #77219=LINE('',#513139,#116234); #77220=LINE('',#513141,#116235); #77221=LINE('',#513142,#116236); #77222=LINE('',#513145,#116237); #77223=LINE('',#513147,#116238); #77224=LINE('',#513148,#116239); #77225=LINE('',#513151,#116240); #77226=LINE('',#513153,#116241); #77227=LINE('',#513154,#116242); #77228=LINE('',#513156,#116243); #77229=LINE('',#513157,#116244); #77230=LINE('',#513163,#116245); #77231=LINE('',#513165,#116246); #77232=LINE('',#513167,#116247); #77233=LINE('',#513168,#116248); #77234=LINE('',#513171,#116249); #77235=LINE('',#513173,#116250); #77236=LINE('',#513174,#116251); #77237=LINE('',#513177,#116252); #77238=LINE('',#513179,#116253); #77239=LINE('',#513180,#116254); #77240=LINE('',#513183,#116255); #77241=LINE('',#513185,#116256); #77242=LINE('',#513186,#116257); #77243=LINE('',#513189,#116258); #77244=LINE('',#513191,#116259); #77245=LINE('',#513192,#116260); #77246=LINE('',#513195,#116261); #77247=LINE('',#513197,#116262); #77248=LINE('',#513198,#116263); #77249=LINE('',#513201,#116264); #77250=LINE('',#513203,#116265); #77251=LINE('',#513204,#116266); #77252=LINE('',#513207,#116267); #77253=LINE('',#513209,#116268); #77254=LINE('',#513210,#116269); #77255=LINE('',#513213,#116270); #77256=LINE('',#513215,#116271); #77257=LINE('',#513216,#116272); #77258=LINE('',#513219,#116273); #77259=LINE('',#513221,#116274); #77260=LINE('',#513222,#116275); #77261=LINE('',#513225,#116276); #77262=LINE('',#513227,#116277); #77263=LINE('',#513228,#116278); #77264=LINE('',#513230,#116279); #77265=LINE('',#513231,#116280); #77266=LINE('',#513238,#116281); #77267=LINE('',#513243,#116282); #77268=LINE('',#513245,#116283); #77269=LINE('',#513247,#116284); #77270=LINE('',#513248,#116285); #77271=LINE('',#513251,#116286); #77272=LINE('',#513253,#116287); #77273=LINE('',#513254,#116288); #77274=LINE('',#513257,#116289); #77275=LINE('',#513259,#116290); #77276=LINE('',#513260,#116291); #77277=LINE('',#513263,#116292); #77278=LINE('',#513265,#116293); #77279=LINE('',#513266,#116294); #77280=LINE('',#513272,#116295); #77281=LINE('',#513275,#116296); #77282=LINE('',#513277,#116297); #77283=LINE('',#513278,#116298); #77284=LINE('',#513284,#116299); #77285=LINE('',#513287,#116300); #77286=LINE('',#513289,#116301); #77287=LINE('',#513290,#116302); #77288=LINE('',#513296,#116303); #77289=LINE('',#513299,#116304); #77290=LINE('',#513301,#116305); #77291=LINE('',#513302,#116306); #77292=LINE('',#513308,#116307); #77293=LINE('',#513314,#116308); #77294=LINE('',#513317,#116309); #77295=LINE('',#513319,#116310); #77296=LINE('',#513320,#116311); #77297=LINE('',#513323,#116312); #77298=LINE('',#513325,#116313); #77299=LINE('',#513326,#116314); #77300=LINE('',#513329,#116315); #77301=LINE('',#513331,#116316); #77302=LINE('',#513332,#116317); #77303=LINE('',#513335,#116318); #77304=LINE('',#513337,#116319); #77305=LINE('',#513338,#116320); #77306=LINE('',#513340,#116321); #77307=LINE('',#513341,#116322); #77308=LINE('',#513348,#116323); #77309=LINE('',#513353,#116324); #77310=LINE('',#513355,#116325); #77311=LINE('',#513357,#116326); #77312=LINE('',#513358,#116327); #77313=LINE('',#513364,#116328); #77314=LINE('',#513367,#116329); #77315=LINE('',#513369,#116330); #77316=LINE('',#513370,#116331); #77317=LINE('',#513376,#116332); #77318=LINE('',#513379,#116333); #77319=LINE('',#513381,#116334); #77320=LINE('',#513382,#116335); #77321=LINE('',#513388,#116336); #77322=LINE('',#513391,#116337); #77323=LINE('',#513393,#116338); #77324=LINE('',#513394,#116339); #77325=LINE('',#513397,#116340); #77326=LINE('',#513399,#116341); #77327=LINE('',#513400,#116342); #77328=LINE('',#513403,#116343); #77329=LINE('',#513405,#116344); #77330=LINE('',#513406,#116345); #77331=LINE('',#513409,#116346); #77332=LINE('',#513411,#116347); #77333=LINE('',#513412,#116348); #77334=LINE('',#513415,#116349); #77335=LINE('',#513417,#116350); #77336=LINE('',#513418,#116351); #77337=LINE('',#513421,#116352); #77338=LINE('',#513423,#116353); #77339=LINE('',#513424,#116354); #77340=LINE('',#513427,#116355); #77341=LINE('',#513429,#116356); #77342=LINE('',#513430,#116357); #77343=LINE('',#513433,#116358); #77344=LINE('',#513435,#116359); #77345=LINE('',#513436,#116360); #77346=LINE('',#513439,#116361); #77347=LINE('',#513441,#116362); #77348=LINE('',#513442,#116363); #77349=LINE('',#513448,#116364); #77350=LINE('',#513451,#116365); #77351=LINE('',#513453,#116366); #77352=LINE('',#513454,#116367); #77353=LINE('',#513460,#116368); #77354=LINE('',#513463,#116369); #77355=LINE('',#513465,#116370); #77356=LINE('',#513466,#116371); #77357=LINE('',#513469,#116372); #77358=LINE('',#513471,#116373); #77359=LINE('',#513472,#116374); #77360=LINE('',#513475,#116375); #77361=LINE('',#513477,#116376); #77362=LINE('',#513478,#116377); #77363=LINE('',#513488,#116378); #77364=LINE('',#513493,#116379); #77365=LINE('',#513495,#116380); #77366=LINE('',#513497,#116381); #77367=LINE('',#513498,#116382); #77368=LINE('',#513504,#116383); #77369=LINE('',#513510,#116384); #77370=LINE('',#513513,#116385); #77371=LINE('',#513515,#116386); #77372=LINE('',#513516,#116387); #77373=LINE('',#513519,#116388); #77374=LINE('',#513521,#116389); #77375=LINE('',#513522,#116390); #77376=LINE('',#513525,#116391); #77377=LINE('',#513527,#116392); #77378=LINE('',#513528,#116393); #77379=LINE('',#513531,#116394); #77380=LINE('',#513533,#116395); #77381=LINE('',#513534,#116396); #77382=LINE('',#513537,#116397); #77383=LINE('',#513539,#116398); #77384=LINE('',#513540,#116399); #77385=LINE('',#513543,#116400); #77386=LINE('',#513545,#116401); #77387=LINE('',#513546,#116402); #77388=LINE('',#513552,#116403); #77389=LINE('',#513555,#116404); #77390=LINE('',#513557,#116405); #77391=LINE('',#513558,#116406); #77392=LINE('',#513567,#116407); #77393=LINE('',#513569,#116408); #77394=LINE('',#513571,#116409); #77395=LINE('',#513572,#116410); #77396=LINE('',#513575,#116411); #77397=LINE('',#513577,#116412); #77398=LINE('',#513578,#116413); #77399=LINE('',#513581,#116414); #77400=LINE('',#513583,#116415); #77401=LINE('',#513584,#116416); #77402=LINE('',#513587,#116417); #77403=LINE('',#513589,#116418); #77404=LINE('',#513590,#116419); #77405=LINE('',#513596,#116420); #77406=LINE('',#513599,#116421); #77407=LINE('',#513601,#116422); #77408=LINE('',#513602,#116423); #77409=LINE('',#513608,#116424); #77410=LINE('',#513611,#116425); #77411=LINE('',#513613,#116426); #77412=LINE('',#513614,#116427); #77413=LINE('',#513617,#116428); #77414=LINE('',#513619,#116429); #77415=LINE('',#513620,#116430); #77416=LINE('',#513623,#116431); #77417=LINE('',#513625,#116432); #77418=LINE('',#513626,#116433); #77419=LINE('',#513632,#116434); #77420=LINE('',#513635,#116435); #77421=LINE('',#513637,#116436); #77422=LINE('',#513638,#116437); #77423=LINE('',#513644,#116438); #77424=LINE('',#513647,#116439); #77425=LINE('',#513649,#116440); #77426=LINE('',#513650,#116441); #77427=LINE('',#513653,#116442); #77428=LINE('',#513655,#116443); #77429=LINE('',#513656,#116444); #77430=LINE('',#513659,#116445); #77431=LINE('',#513661,#116446); #77432=LINE('',#513662,#116447); #77433=LINE('',#513665,#116448); #77434=LINE('',#513667,#116449); #77435=LINE('',#513668,#116450); #77436=LINE('',#513671,#116451); #77437=LINE('',#513673,#116452); #77438=LINE('',#513674,#116453); #77439=LINE('',#513677,#116454); #77440=LINE('',#513679,#116455); #77441=LINE('',#513680,#116456); #77442=LINE('',#513683,#116457); #77443=LINE('',#513685,#116458); #77444=LINE('',#513686,#116459); #77445=LINE('',#513689,#116460); #77446=LINE('',#513691,#116461); #77447=LINE('',#513692,#116462); #77448=LINE('',#513695,#116463); #77449=LINE('',#513697,#116464); #77450=LINE('',#513698,#116465); #77451=LINE('',#513704,#116466); #77452=LINE('',#513707,#116467); #77453=LINE('',#513709,#116468); #77454=LINE('',#513710,#116469); #77455=LINE('',#513716,#116470); #77456=LINE('',#513719,#116471); #77457=LINE('',#513721,#116472); #77458=LINE('',#513722,#116473); #77459=LINE('',#513725,#116474); #77460=LINE('',#513727,#116475); #77461=LINE('',#513728,#116476); #77462=LINE('',#513731,#116477); #77463=LINE('',#513733,#116478); #77464=LINE('',#513734,#116479); #77465=LINE('',#513740,#116480); #77466=LINE('',#513743,#116481); #77467=LINE('',#513745,#116482); #77468=LINE('',#513746,#116483); #77469=LINE('',#513752,#116484); #77470=LINE('',#513755,#116485); #77471=LINE('',#513757,#116486); #77472=LINE('',#513758,#116487); #77473=LINE('',#513761,#116488); #77474=LINE('',#513763,#116489); #77475=LINE('',#513764,#116490); #77476=LINE('',#513767,#116491); #77477=LINE('',#513769,#116492); #77478=LINE('',#513770,#116493); #77479=LINE('',#513773,#116494); #77480=LINE('',#513775,#116495); #77481=LINE('',#513776,#116496); #77482=LINE('',#513778,#116497); #77483=LINE('',#513779,#116498); #77484=LINE('',#513786,#116499); #77485=LINE('',#513791,#116500); #77486=LINE('',#513793,#116501); #77487=LINE('',#513795,#116502); #77488=LINE('',#513796,#116503); #77489=LINE('',#513802,#116504); #77490=LINE('',#513805,#116505); #77491=LINE('',#513807,#116506); #77492=LINE('',#513808,#116507); #77493=LINE('',#513811,#116508); #77494=LINE('',#513813,#116509); #77495=LINE('',#513814,#116510); #77496=LINE('',#513817,#116511); #77497=LINE('',#513819,#116512); #77498=LINE('',#513820,#116513); #77499=LINE('',#513823,#116514); #77500=LINE('',#513825,#116515); #77501=LINE('',#513826,#116516); #77502=LINE('',#513829,#116517); #77503=LINE('',#513831,#116518); #77504=LINE('',#513832,#116519); #77505=LINE('',#513835,#116520); #77506=LINE('',#513837,#116521); #77507=LINE('',#513838,#116522); #77508=LINE('',#513841,#116523); #77509=LINE('',#513843,#116524); #77510=LINE('',#513844,#116525); #77511=LINE('',#513854,#116526); #77512=LINE('',#513860,#116527); #77513=LINE('',#513865,#116528); #77514=LINE('',#513867,#116529); #77515=LINE('',#513869,#116530); #77516=LINE('',#513870,#116531); #77517=LINE('',#513873,#116532); #77518=LINE('',#513875,#116533); #77519=LINE('',#513876,#116534); #77520=LINE('',#513882,#116535); #77521=LINE('',#513888,#116536); #77522=LINE('',#513891,#116537); #77523=LINE('',#513893,#116538); #77524=LINE('',#513894,#116539); #77525=LINE('',#513897,#116540); #77526=LINE('',#513899,#116541); #77527=LINE('',#513900,#116542); #77528=LINE('',#513906,#116543); #77529=LINE('',#513912,#116544); #77530=LINE('',#513915,#116545); #77531=LINE('',#513917,#116546); #77532=LINE('',#513918,#116547); #77533=LINE('',#513921,#116548); #77534=LINE('',#513923,#116549); #77535=LINE('',#513924,#116550); #77536=LINE('',#513927,#116551); #77537=LINE('',#513929,#116552); #77538=LINE('',#513930,#116553); #77539=LINE('',#513933,#116554); #77540=LINE('',#513935,#116555); #77541=LINE('',#513936,#116556); #77542=LINE('',#513939,#116557); #77543=LINE('',#513941,#116558); #77544=LINE('',#513942,#116559); #77545=LINE('',#513945,#116560); #77546=LINE('',#513947,#116561); #77547=LINE('',#513948,#116562); #77548=LINE('',#513951,#116563); #77549=LINE('',#513953,#116564); #77550=LINE('',#513954,#116565); #77551=LINE('',#513957,#116566); #77552=LINE('',#513959,#116567); #77553=LINE('',#513960,#116568); #77554=LINE('',#513963,#116569); #77555=LINE('',#513965,#116570); #77556=LINE('',#513966,#116571); #77557=LINE('',#513969,#116572); #77558=LINE('',#513971,#116573); #77559=LINE('',#513972,#116574); #77560=LINE('',#513978,#116575); #77561=LINE('',#513981,#116576); #77562=LINE('',#513983,#116577); #77563=LINE('',#513984,#116578); #77564=LINE('',#513993,#116579); #77565=LINE('',#513995,#116580); #77566=LINE('',#513997,#116581); #77567=LINE('',#513998,#116582); #77568=LINE('',#514001,#116583); #77569=LINE('',#514003,#116584); #77570=LINE('',#514004,#116585); #77571=LINE('',#514007,#116586); #77572=LINE('',#514009,#116587); #77573=LINE('',#514010,#116588); #77574=LINE('',#514013,#116589); #77575=LINE('',#514015,#116590); #77576=LINE('',#514016,#116591); #77577=LINE('',#514022,#116592); #77578=LINE('',#514025,#116593); #77579=LINE('',#514027,#116594); #77580=LINE('',#514028,#116595); #77581=LINE('',#514031,#116596); #77582=LINE('',#514033,#116597); #77583=LINE('',#514034,#116598); #77584=LINE('',#514037,#116599); #77585=LINE('',#514039,#116600); #77586=LINE('',#514040,#116601); #77587=LINE('',#514043,#116602); #77588=LINE('',#514045,#116603); #77589=LINE('',#514046,#116604); #77590=LINE('',#514049,#116605); #77591=LINE('',#514051,#116606); #77592=LINE('',#514052,#116607); #77593=LINE('',#514055,#116608); #77594=LINE('',#514057,#116609); #77595=LINE('',#514058,#116610); #77596=LINE('',#514061,#116611); #77597=LINE('',#514063,#116612); #77598=LINE('',#514064,#116613); #77599=LINE('',#514067,#116614); #77600=LINE('',#514069,#116615); #77601=LINE('',#514070,#116616); #77602=LINE('',#514072,#116617); #77603=LINE('',#514073,#116618); #77604=LINE('',#514080,#116619); #77605=LINE('',#514086,#116620); #77606=LINE('',#514092,#116621); #77607=LINE('',#514098,#116622); #77608=LINE('',#514104,#116623); #77609=LINE('',#514110,#116624); #77610=LINE('',#514116,#116625); #77611=LINE('',#514122,#116626); #77612=LINE('',#514128,#116627); #77613=LINE('',#514134,#116628); #77614=LINE('',#514140,#116629); #77615=LINE('',#514145,#116630); #77616=LINE('',#514147,#116631); #77617=LINE('',#514149,#116632); #77618=LINE('',#514150,#116633); #77619=LINE('',#514153,#116634); #77620=LINE('',#514155,#116635); #77621=LINE('',#514156,#116636); #77622=LINE('',#514159,#116637); #77623=LINE('',#514161,#116638); #77624=LINE('',#514162,#116639); #77625=LINE('',#514165,#116640); #77626=LINE('',#514167,#116641); #77627=LINE('',#514168,#116642); #77628=LINE('',#514174,#116643); #77629=LINE('',#514177,#116644); #77630=LINE('',#514179,#116645); #77631=LINE('',#514180,#116646); #77632=LINE('',#514186,#116647); #77633=LINE('',#514189,#116648); #77634=LINE('',#514191,#116649); #77635=LINE('',#514192,#116650); #77636=LINE('',#514195,#116651); #77637=LINE('',#514197,#116652); #77638=LINE('',#514198,#116653); #77639=LINE('',#514201,#116654); #77640=LINE('',#514203,#116655); #77641=LINE('',#514204,#116656); #77642=LINE('',#514207,#116657); #77643=LINE('',#514209,#116658); #77644=LINE('',#514210,#116659); #77645=LINE('',#514213,#116660); #77646=LINE('',#514215,#116661); #77647=LINE('',#514216,#116662); #77648=LINE('',#514219,#116663); #77649=LINE('',#514221,#116664); #77650=LINE('',#514222,#116665); #77651=LINE('',#514225,#116666); #77652=LINE('',#514227,#116667); #77653=LINE('',#514228,#116668); #77654=LINE('',#514231,#116669); #77655=LINE('',#514233,#116670); #77656=LINE('',#514234,#116671); #77657=LINE('',#514237,#116672); #77658=LINE('',#514239,#116673); #77659=LINE('',#514240,#116674); #77660=LINE('',#514243,#116675); #77661=LINE('',#514245,#116676); #77662=LINE('',#514246,#116677); #77663=LINE('',#514249,#116678); #77664=LINE('',#514251,#116679); #77665=LINE('',#514252,#116680); #77666=LINE('',#514255,#116681); #77667=LINE('',#514257,#116682); #77668=LINE('',#514258,#116683); #77669=LINE('',#514261,#116684); #77670=LINE('',#514263,#116685); #77671=LINE('',#514264,#116686); #77672=LINE('',#514267,#116687); #77673=LINE('',#514269,#116688); #77674=LINE('',#514270,#116689); #77675=LINE('',#514273,#116690); #77676=LINE('',#514275,#116691); #77677=LINE('',#514276,#116692); #77678=LINE('',#514279,#116693); #77679=LINE('',#514281,#116694); #77680=LINE('',#514282,#116695); #77681=LINE('',#514285,#116696); #77682=LINE('',#514287,#116697); #77683=LINE('',#514288,#116698); #77684=LINE('',#514291,#116699); #77685=LINE('',#514293,#116700); #77686=LINE('',#514294,#116701); #77687=LINE('',#514297,#116702); #77688=LINE('',#514299,#116703); #77689=LINE('',#514300,#116704); #77690=LINE('',#514303,#116705); #77691=LINE('',#514305,#116706); #77692=LINE('',#514306,#116707); #77693=LINE('',#514309,#116708); #77694=LINE('',#514311,#116709); #77695=LINE('',#514312,#116710); #77696=LINE('',#514315,#116711); #77697=LINE('',#514317,#116712); #77698=LINE('',#514318,#116713); #77699=LINE('',#514321,#116714); #77700=LINE('',#514323,#116715); #77701=LINE('',#514324,#116716); #77702=LINE('',#514327,#116717); #77703=LINE('',#514329,#116718); #77704=LINE('',#514330,#116719); #77705=LINE('',#514333,#116720); #77706=LINE('',#514335,#116721); #77707=LINE('',#514336,#116722); #77708=LINE('',#514339,#116723); #77709=LINE('',#514341,#116724); #77710=LINE('',#514342,#116725); #77711=LINE('',#514345,#116726); #77712=LINE('',#514347,#116727); #77713=LINE('',#514348,#116728); #77714=LINE('',#514351,#116729); #77715=LINE('',#514353,#116730); #77716=LINE('',#514354,#116731); #77717=LINE('',#514357,#116732); #77718=LINE('',#514359,#116733); #77719=LINE('',#514360,#116734); #77720=LINE('',#514363,#116735); #77721=LINE('',#514365,#116736); #77722=LINE('',#514366,#116737); #77723=LINE('',#514369,#116738); #77724=LINE('',#514371,#116739); #77725=LINE('',#514372,#116740); #77726=LINE('',#514375,#116741); #77727=LINE('',#514377,#116742); #77728=LINE('',#514378,#116743); #77729=LINE('',#514381,#116744); #77730=LINE('',#514383,#116745); #77731=LINE('',#514384,#116746); #77732=LINE('',#514387,#116747); #77733=LINE('',#514389,#116748); #77734=LINE('',#514390,#116749); #77735=LINE('',#514393,#116750); #77736=LINE('',#514395,#116751); #77737=LINE('',#514396,#116752); #77738=LINE('',#514399,#116753); #77739=LINE('',#514401,#116754); #77740=LINE('',#514402,#116755); #77741=LINE('',#514405,#116756); #77742=LINE('',#514407,#116757); #77743=LINE('',#514408,#116758); #77744=LINE('',#514411,#116759); #77745=LINE('',#514413,#116760); #77746=LINE('',#514414,#116761); #77747=LINE('',#514417,#116762); #77748=LINE('',#514419,#116763); #77749=LINE('',#514420,#116764); #77750=LINE('',#514423,#116765); #77751=LINE('',#514425,#116766); #77752=LINE('',#514426,#116767); #77753=LINE('',#514429,#116768); #77754=LINE('',#514431,#116769); #77755=LINE('',#514432,#116770); #77756=LINE('',#514435,#116771); #77757=LINE('',#514437,#116772); #77758=LINE('',#514438,#116773); #77759=LINE('',#514441,#116774); #77760=LINE('',#514443,#116775); #77761=LINE('',#514444,#116776); #77762=LINE('',#514447,#116777); #77763=LINE('',#514449,#116778); #77764=LINE('',#514450,#116779); #77765=LINE('',#514453,#116780); #77766=LINE('',#514455,#116781); #77767=LINE('',#514456,#116782); #77768=LINE('',#514459,#116783); #77769=LINE('',#514461,#116784); #77770=LINE('',#514462,#116785); #77771=LINE('',#514465,#116786); #77772=LINE('',#514467,#116787); #77773=LINE('',#514468,#116788); #77774=LINE('',#514471,#116789); #77775=LINE('',#514473,#116790); #77776=LINE('',#514474,#116791); #77777=LINE('',#514477,#116792); #77778=LINE('',#514479,#116793); #77779=LINE('',#514480,#116794); #77780=LINE('',#514483,#116795); #77781=LINE('',#514485,#116796); #77782=LINE('',#514486,#116797); #77783=LINE('',#514489,#116798); #77784=LINE('',#514491,#116799); #77785=LINE('',#514492,#116800); #77786=LINE('',#514495,#116801); #77787=LINE('',#514497,#116802); #77788=LINE('',#514498,#116803); #77789=LINE('',#514501,#116804); #77790=LINE('',#514503,#116805); #77791=LINE('',#514504,#116806); #77792=LINE('',#514507,#116807); #77793=LINE('',#514509,#116808); #77794=LINE('',#514510,#116809); #77795=LINE('',#514513,#116810); #77796=LINE('',#514515,#116811); #77797=LINE('',#514516,#116812); #77798=LINE('',#514519,#116813); #77799=LINE('',#514521,#116814); #77800=LINE('',#514522,#116815); #77801=LINE('',#514525,#116816); #77802=LINE('',#514527,#116817); #77803=LINE('',#514528,#116818); #77804=LINE('',#514531,#116819); #77805=LINE('',#514533,#116820); #77806=LINE('',#514534,#116821); #77807=LINE('',#514537,#116822); #77808=LINE('',#514539,#116823); #77809=LINE('',#514540,#116824); #77810=LINE('',#514543,#116825); #77811=LINE('',#514545,#116826); #77812=LINE('',#514546,#116827); #77813=LINE('',#514549,#116828); #77814=LINE('',#514551,#116829); #77815=LINE('',#514552,#116830); #77816=LINE('',#514555,#116831); #77817=LINE('',#514557,#116832); #77818=LINE('',#514558,#116833); #77819=LINE('',#514561,#116834); #77820=LINE('',#514563,#116835); #77821=LINE('',#514564,#116836); #77822=LINE('',#514567,#116837); #77823=LINE('',#514569,#116838); #77824=LINE('',#514570,#116839); #77825=LINE('',#514573,#116840); #77826=LINE('',#514575,#116841); #77827=LINE('',#514576,#116842); #77828=LINE('',#514579,#116843); #77829=LINE('',#514581,#116844); #77830=LINE('',#514582,#116845); #77831=LINE('',#514585,#116846); #77832=LINE('',#514587,#116847); #77833=LINE('',#514588,#116848); #77834=LINE('',#514591,#116849); #77835=LINE('',#514593,#116850); #77836=LINE('',#514594,#116851); #77837=LINE('',#514597,#116852); #77838=LINE('',#514599,#116853); #77839=LINE('',#514600,#116854); #77840=LINE('',#514603,#116855); #77841=LINE('',#514605,#116856); #77842=LINE('',#514606,#116857); #77843=LINE('',#514609,#116858); #77844=LINE('',#514611,#116859); #77845=LINE('',#514612,#116860); #77846=LINE('',#514615,#116861); #77847=LINE('',#514617,#116862); #77848=LINE('',#514618,#116863); #77849=LINE('',#514621,#116864); #77850=LINE('',#514623,#116865); #77851=LINE('',#514624,#116866); #77852=LINE('',#514627,#116867); #77853=LINE('',#514629,#116868); #77854=LINE('',#514630,#116869); #77855=LINE('',#514633,#116870); #77856=LINE('',#514635,#116871); #77857=LINE('',#514636,#116872); #77858=LINE('',#514639,#116873); #77859=LINE('',#514641,#116874); #77860=LINE('',#514642,#116875); #77861=LINE('',#514645,#116876); #77862=LINE('',#514647,#116877); #77863=LINE('',#514648,#116878); #77864=LINE('',#514651,#116879); #77865=LINE('',#514653,#116880); #77866=LINE('',#514654,#116881); #77867=LINE('',#514657,#116882); #77868=LINE('',#514659,#116883); #77869=LINE('',#514660,#116884); #77870=LINE('',#514663,#116885); #77871=LINE('',#514665,#116886); #77872=LINE('',#514666,#116887); #77873=LINE('',#514669,#116888); #77874=LINE('',#514671,#116889); #77875=LINE('',#514672,#116890); #77876=LINE('',#514675,#116891); #77877=LINE('',#514677,#116892); #77878=LINE('',#514678,#116893); #77879=LINE('',#514681,#116894); #77880=LINE('',#514683,#116895); #77881=LINE('',#514684,#116896); #77882=LINE('',#514687,#116897); #77883=LINE('',#514689,#116898); #77884=LINE('',#514690,#116899); #77885=LINE('',#514693,#116900); #77886=LINE('',#514695,#116901); #77887=LINE('',#514696,#116902); #77888=LINE('',#514699,#116903); #77889=LINE('',#514701,#116904); #77890=LINE('',#514702,#116905); #77891=LINE('',#514705,#116906); #77892=LINE('',#514707,#116907); #77893=LINE('',#514708,#116908); #77894=LINE('',#514711,#116909); #77895=LINE('',#514713,#116910); #77896=LINE('',#514714,#116911); #77897=LINE('',#514717,#116912); #77898=LINE('',#514719,#116913); #77899=LINE('',#514720,#116914); #77900=LINE('',#514723,#116915); #77901=LINE('',#514725,#116916); #77902=LINE('',#514726,#116917); #77903=LINE('',#514729,#116918); #77904=LINE('',#514731,#116919); #77905=LINE('',#514732,#116920); #77906=LINE('',#514735,#116921); #77907=LINE('',#514737,#116922); #77908=LINE('',#514738,#116923); #77909=LINE('',#514741,#116924); #77910=LINE('',#514743,#116925); #77911=LINE('',#514744,#116926); #77912=LINE('',#514747,#116927); #77913=LINE('',#514749,#116928); #77914=LINE('',#514750,#116929); #77915=LINE('',#514753,#116930); #77916=LINE('',#514755,#116931); #77917=LINE('',#514756,#116932); #77918=LINE('',#514759,#116933); #77919=LINE('',#514761,#116934); #77920=LINE('',#514762,#116935); #77921=LINE('',#514765,#116936); #77922=LINE('',#514767,#116937); #77923=LINE('',#514768,#116938); #77924=LINE('',#514771,#116939); #77925=LINE('',#514773,#116940); #77926=LINE('',#514774,#116941); #77927=LINE('',#514777,#116942); #77928=LINE('',#514779,#116943); #77929=LINE('',#514780,#116944); #77930=LINE('',#514783,#116945); #77931=LINE('',#514785,#116946); #77932=LINE('',#514786,#116947); #77933=LINE('',#514789,#116948); #77934=LINE('',#514791,#116949); #77935=LINE('',#514792,#116950); #77936=LINE('',#514795,#116951); #77937=LINE('',#514797,#116952); #77938=LINE('',#514798,#116953); #77939=LINE('',#514801,#116954); #77940=LINE('',#514803,#116955); #77941=LINE('',#514804,#116956); #77942=LINE('',#514807,#116957); #77943=LINE('',#514809,#116958); #77944=LINE('',#514810,#116959); #77945=LINE('',#514813,#116960); #77946=LINE('',#514815,#116961); #77947=LINE('',#514816,#116962); #77948=LINE('',#514819,#116963); #77949=LINE('',#514821,#116964); #77950=LINE('',#514822,#116965); #77951=LINE('',#514825,#116966); #77952=LINE('',#514827,#116967); #77953=LINE('',#514828,#116968); #77954=LINE('',#514831,#116969); #77955=LINE('',#514833,#116970); #77956=LINE('',#514834,#116971); #77957=LINE('',#514837,#116972); #77958=LINE('',#514839,#116973); #77959=LINE('',#514840,#116974); #77960=LINE('',#514843,#116975); #77961=LINE('',#514845,#116976); #77962=LINE('',#514846,#116977); #77963=LINE('',#514849,#116978); #77964=LINE('',#514851,#116979); #77965=LINE('',#514852,#116980); #77966=LINE('',#514855,#116981); #77967=LINE('',#514857,#116982); #77968=LINE('',#514858,#116983); #77969=LINE('',#514861,#116984); #77970=LINE('',#514863,#116985); #77971=LINE('',#514864,#116986); #77972=LINE('',#514867,#116987); #77973=LINE('',#514869,#116988); #77974=LINE('',#514870,#116989); #77975=LINE('',#514873,#116990); #77976=LINE('',#514875,#116991); #77977=LINE('',#514876,#116992); #77978=LINE('',#514879,#116993); #77979=LINE('',#514881,#116994); #77980=LINE('',#514882,#116995); #77981=LINE('',#514885,#116996); #77982=LINE('',#514887,#116997); #77983=LINE('',#514888,#116998); #77984=LINE('',#514891,#116999); #77985=LINE('',#514893,#117000); #77986=LINE('',#514894,#117001); #77987=LINE('',#514900,#117002); #77988=LINE('',#514903,#117003); #77989=LINE('',#514905,#117004); #77990=LINE('',#514906,#117005); #77991=LINE('',#514912,#117006); #77992=LINE('',#514915,#117007); #77993=LINE('',#514917,#117008); #77994=LINE('',#514918,#117009); #77995=LINE('',#514921,#117010); #77996=LINE('',#514923,#117011); #77997=LINE('',#514924,#117012); #77998=LINE('',#514927,#117013); #77999=LINE('',#514929,#117014); #78000=LINE('',#514930,#117015); #78001=LINE('',#514933,#117016); #78002=LINE('',#514935,#117017); #78003=LINE('',#514936,#117018); #78004=LINE('',#514938,#117019); #78005=LINE('',#514939,#117020); #78006=LINE('',#514946,#117021); #78007=LINE('',#514951,#117022); #78008=LINE('',#514953,#117023); #78009=LINE('',#514955,#117024); #78010=LINE('',#514956,#117025); #78011=LINE('',#514962,#117026); #78012=LINE('',#514971,#117027); #78013=LINE('',#514973,#117028); #78014=LINE('',#514975,#117029); #78015=LINE('',#514976,#117030); #78016=LINE('',#514979,#117031); #78017=LINE('',#514981,#117032); #78018=LINE('',#514982,#117033); #78019=LINE('',#514985,#117034); #78020=LINE('',#514987,#117035); #78021=LINE('',#514988,#117036); #78022=LINE('',#514991,#117037); #78023=LINE('',#514993,#117038); #78024=LINE('',#514994,#117039); #78025=LINE('',#514997,#117040); #78026=LINE('',#514999,#117041); #78027=LINE('',#515000,#117042); #78028=LINE('',#515003,#117043); #78029=LINE('',#515005,#117044); #78030=LINE('',#515006,#117045); #78031=LINE('',#515009,#117046); #78032=LINE('',#515011,#117047); #78033=LINE('',#515012,#117048); #78034=LINE('',#515015,#117049); #78035=LINE('',#515017,#117050); #78036=LINE('',#515018,#117051); #78037=LINE('',#515021,#117052); #78038=LINE('',#515023,#117053); #78039=LINE('',#515024,#117054); #78040=LINE('',#515027,#117055); #78041=LINE('',#515029,#117056); #78042=LINE('',#515030,#117057); #78043=LINE('',#515033,#117058); #78044=LINE('',#515035,#117059); #78045=LINE('',#515036,#117060); #78046=LINE('',#515039,#117061); #78047=LINE('',#515041,#117062); #78048=LINE('',#515042,#117063); #78049=LINE('',#515045,#117064); #78050=LINE('',#515047,#117065); #78051=LINE('',#515048,#117066); #78052=LINE('',#515051,#117067); #78053=LINE('',#515053,#117068); #78054=LINE('',#515054,#117069); #78055=LINE('',#515057,#117070); #78056=LINE('',#515059,#117071); #78057=LINE('',#515060,#117072); #78058=LINE('',#515063,#117073); #78059=LINE('',#515065,#117074); #78060=LINE('',#515066,#117075); #78061=LINE('',#515069,#117076); #78062=LINE('',#515071,#117077); #78063=LINE('',#515072,#117078); #78064=LINE('',#515075,#117079); #78065=LINE('',#515077,#117080); #78066=LINE('',#515078,#117081); #78067=LINE('',#515081,#117082); #78068=LINE('',#515083,#117083); #78069=LINE('',#515084,#117084); #78070=LINE('',#515086,#117085); #78071=LINE('',#515087,#117086); #78072=LINE('',#515093,#117087); #78073=LINE('',#515095,#117088); #78074=LINE('',#515097,#117089); #78075=LINE('',#515098,#117090); #78076=LINE('',#515101,#117091); #78077=LINE('',#515103,#117092); #78078=LINE('',#515104,#117093); #78079=LINE('',#515107,#117094); #78080=LINE('',#515109,#117095); #78081=LINE('',#515110,#117096); #78082=LINE('',#515113,#117097); #78083=LINE('',#515115,#117098); #78084=LINE('',#515116,#117099); #78085=LINE('',#515119,#117100); #78086=LINE('',#515121,#117101); #78087=LINE('',#515122,#117102); #78088=LINE('',#515125,#117103); #78089=LINE('',#515127,#117104); #78090=LINE('',#515128,#117105); #78091=LINE('',#515131,#117106); #78092=LINE('',#515133,#117107); #78093=LINE('',#515134,#117108); #78094=LINE('',#515137,#117109); #78095=LINE('',#515139,#117110); #78096=LINE('',#515140,#117111); #78097=LINE('',#515143,#117112); #78098=LINE('',#515145,#117113); #78099=LINE('',#515146,#117114); #78100=LINE('',#515149,#117115); #78101=LINE('',#515151,#117116); #78102=LINE('',#515152,#117117); #78103=LINE('',#515155,#117118); #78104=LINE('',#515157,#117119); #78105=LINE('',#515158,#117120); #78106=LINE('',#515161,#117121); #78107=LINE('',#515163,#117122); #78108=LINE('',#515164,#117123); #78109=LINE('',#515167,#117124); #78110=LINE('',#515169,#117125); #78111=LINE('',#515170,#117126); #78112=LINE('',#515173,#117127); #78113=LINE('',#515175,#117128); #78114=LINE('',#515176,#117129); #78115=LINE('',#515179,#117130); #78116=LINE('',#515181,#117131); #78117=LINE('',#515182,#117132); #78118=LINE('',#515185,#117133); #78119=LINE('',#515187,#117134); #78120=LINE('',#515188,#117135); #78121=LINE('',#515191,#117136); #78122=LINE('',#515193,#117137); #78123=LINE('',#515194,#117138); #78124=LINE('',#515197,#117139); #78125=LINE('',#515199,#117140); #78126=LINE('',#515200,#117141); #78127=LINE('',#515203,#117142); #78128=LINE('',#515205,#117143); #78129=LINE('',#515206,#117144); #78130=LINE('',#515209,#117145); #78131=LINE('',#515211,#117146); #78132=LINE('',#515212,#117147); #78133=LINE('',#515214,#117148); #78134=LINE('',#515215,#117149); #78135=LINE('',#515221,#117150); #78136=LINE('',#515223,#117151); #78137=LINE('',#515225,#117152); #78138=LINE('',#515226,#117153); #78139=LINE('',#515229,#117154); #78140=LINE('',#515231,#117155); #78141=LINE('',#515232,#117156); #78142=LINE('',#515235,#117157); #78143=LINE('',#515237,#117158); #78144=LINE('',#515238,#117159); #78145=LINE('',#515241,#117160); #78146=LINE('',#515243,#117161); #78147=LINE('',#515244,#117162); #78148=LINE('',#515247,#117163); #78149=LINE('',#515249,#117164); #78150=LINE('',#515250,#117165); #78151=LINE('',#515253,#117166); #78152=LINE('',#515255,#117167); #78153=LINE('',#515256,#117168); #78154=LINE('',#515259,#117169); #78155=LINE('',#515261,#117170); #78156=LINE('',#515262,#117171); #78157=LINE('',#515265,#117172); #78158=LINE('',#515267,#117173); #78159=LINE('',#515268,#117174); #78160=LINE('',#515271,#117175); #78161=LINE('',#515273,#117176); #78162=LINE('',#515274,#117177); #78163=LINE('',#515277,#117178); #78164=LINE('',#515279,#117179); #78165=LINE('',#515280,#117180); #78166=LINE('',#515283,#117181); #78167=LINE('',#515285,#117182); #78168=LINE('',#515286,#117183); #78169=LINE('',#515289,#117184); #78170=LINE('',#515291,#117185); #78171=LINE('',#515292,#117186); #78172=LINE('',#515295,#117187); #78173=LINE('',#515297,#117188); #78174=LINE('',#515298,#117189); #78175=LINE('',#515301,#117190); #78176=LINE('',#515303,#117191); #78177=LINE('',#515304,#117192); #78178=LINE('',#515307,#117193); #78179=LINE('',#515309,#117194); #78180=LINE('',#515310,#117195); #78181=LINE('',#515313,#117196); #78182=LINE('',#515315,#117197); #78183=LINE('',#515316,#117198); #78184=LINE('',#515319,#117199); #78185=LINE('',#515321,#117200); #78186=LINE('',#515322,#117201); #78187=LINE('',#515325,#117202); #78188=LINE('',#515327,#117203); #78189=LINE('',#515328,#117204); #78190=LINE('',#515331,#117205); #78191=LINE('',#515333,#117206); #78192=LINE('',#515334,#117207); #78193=LINE('',#515336,#117208); #78194=LINE('',#515337,#117209); #78195=LINE('',#515343,#117210); #78196=LINE('',#515345,#117211); #78197=LINE('',#515347,#117212); #78198=LINE('',#515348,#117213); #78199=LINE('',#515351,#117214); #78200=LINE('',#515353,#117215); #78201=LINE('',#515354,#117216); #78202=LINE('',#515357,#117217); #78203=LINE('',#515359,#117218); #78204=LINE('',#515360,#117219); #78205=LINE('',#515363,#117220); #78206=LINE('',#515365,#117221); #78207=LINE('',#515366,#117222); #78208=LINE('',#515369,#117223); #78209=LINE('',#515371,#117224); #78210=LINE('',#515372,#117225); #78211=LINE('',#515375,#117226); #78212=LINE('',#515377,#117227); #78213=LINE('',#515378,#117228); #78214=LINE('',#515381,#117229); #78215=LINE('',#515383,#117230); #78216=LINE('',#515384,#117231); #78217=LINE('',#515387,#117232); #78218=LINE('',#515389,#117233); #78219=LINE('',#515390,#117234); #78220=LINE('',#515393,#117235); #78221=LINE('',#515395,#117236); #78222=LINE('',#515396,#117237); #78223=LINE('',#515399,#117238); #78224=LINE('',#515401,#117239); #78225=LINE('',#515402,#117240); #78226=LINE('',#515405,#117241); #78227=LINE('',#515407,#117242); #78228=LINE('',#515408,#117243); #78229=LINE('',#515411,#117244); #78230=LINE('',#515413,#117245); #78231=LINE('',#515414,#117246); #78232=LINE('',#515417,#117247); #78233=LINE('',#515419,#117248); #78234=LINE('',#515420,#117249); #78235=LINE('',#515423,#117250); #78236=LINE('',#515425,#117251); #78237=LINE('',#515426,#117252); #78238=LINE('',#515429,#117253); #78239=LINE('',#515431,#117254); #78240=LINE('',#515432,#117255); #78241=LINE('',#515435,#117256); #78242=LINE('',#515437,#117257); #78243=LINE('',#515438,#117258); #78244=LINE('',#515441,#117259); #78245=LINE('',#515443,#117260); #78246=LINE('',#515444,#117261); #78247=LINE('',#515447,#117262); #78248=LINE('',#515449,#117263); #78249=LINE('',#515450,#117264); #78250=LINE('',#515453,#117265); #78251=LINE('',#515455,#117266); #78252=LINE('',#515456,#117267); #78253=LINE('',#515459,#117268); #78254=LINE('',#515461,#117269); #78255=LINE('',#515462,#117270); #78256=LINE('',#515464,#117271); #78257=LINE('',#515465,#117272); #78258=LINE('',#515472,#117273); #78259=LINE('',#515478,#117274); #78260=LINE('',#515484,#117275); #78261=LINE('',#515489,#117276); #78262=LINE('',#515491,#117277); #78263=LINE('',#515493,#117278); #78264=LINE('',#515494,#117279); #78265=LINE('',#515497,#117280); #78266=LINE('',#515499,#117281); #78267=LINE('',#515500,#117282); #78268=LINE('',#515503,#117283); #78269=LINE('',#515505,#117284); #78270=LINE('',#515506,#117285); #78271=LINE('',#515509,#117286); #78272=LINE('',#515511,#117287); #78273=LINE('',#515512,#117288); #78274=LINE('',#515515,#117289); #78275=LINE('',#515517,#117290); #78276=LINE('',#515518,#117291); #78277=LINE('',#515521,#117292); #78278=LINE('',#515523,#117293); #78279=LINE('',#515524,#117294); #78280=LINE('',#515527,#117295); #78281=LINE('',#515529,#117296); #78282=LINE('',#515530,#117297); #78283=LINE('',#515533,#117298); #78284=LINE('',#515535,#117299); #78285=LINE('',#515536,#117300); #78286=LINE('',#515539,#117301); #78287=LINE('',#515541,#117302); #78288=LINE('',#515542,#117303); #78289=LINE('',#515545,#117304); #78290=LINE('',#515547,#117305); #78291=LINE('',#515548,#117306); #78292=LINE('',#515551,#117307); #78293=LINE('',#515553,#117308); #78294=LINE('',#515554,#117309); #78295=LINE('',#515557,#117310); #78296=LINE('',#515559,#117311); #78297=LINE('',#515560,#117312); #78298=LINE('',#515563,#117313); #78299=LINE('',#515565,#117314); #78300=LINE('',#515566,#117315); #78301=LINE('',#515569,#117316); #78302=LINE('',#515571,#117317); #78303=LINE('',#515572,#117318); #78304=LINE('',#515575,#117319); #78305=LINE('',#515577,#117320); #78306=LINE('',#515578,#117321); #78307=LINE('',#515581,#117322); #78308=LINE('',#515583,#117323); #78309=LINE('',#515584,#117324); #78310=LINE('',#515587,#117325); #78311=LINE('',#515589,#117326); #78312=LINE('',#515590,#117327); #78313=LINE('',#515593,#117328); #78314=LINE('',#515595,#117329); #78315=LINE('',#515596,#117330); #78316=LINE('',#515599,#117331); #78317=LINE('',#515601,#117332); #78318=LINE('',#515602,#117333); #78319=LINE('',#515605,#117334); #78320=LINE('',#515607,#117335); #78321=LINE('',#515608,#117336); #78322=LINE('',#515611,#117337); #78323=LINE('',#515613,#117338); #78324=LINE('',#515614,#117339); #78325=LINE('',#515617,#117340); #78326=LINE('',#515619,#117341); #78327=LINE('',#515620,#117342); #78328=LINE('',#515623,#117343); #78329=LINE('',#515625,#117344); #78330=LINE('',#515626,#117345); #78331=LINE('',#515629,#117346); #78332=LINE('',#515631,#117347); #78333=LINE('',#515632,#117348); #78334=LINE('',#515635,#117349); #78335=LINE('',#515637,#117350); #78336=LINE('',#515638,#117351); #78337=LINE('',#515641,#117352); #78338=LINE('',#515643,#117353); #78339=LINE('',#515644,#117354); #78340=LINE('',#515647,#117355); #78341=LINE('',#515649,#117356); #78342=LINE('',#515650,#117357); #78343=LINE('',#515653,#117358); #78344=LINE('',#515655,#117359); #78345=LINE('',#515656,#117360); #78346=LINE('',#515659,#117361); #78347=LINE('',#515661,#117362); #78348=LINE('',#515662,#117363); #78349=LINE('',#515665,#117364); #78350=LINE('',#515667,#117365); #78351=LINE('',#515668,#117366); #78352=LINE('',#515671,#117367); #78353=LINE('',#515673,#117368); #78354=LINE('',#515674,#117369); #78355=LINE('',#515677,#117370); #78356=LINE('',#515679,#117371); #78357=LINE('',#515680,#117372); #78358=LINE('',#515683,#117373); #78359=LINE('',#515685,#117374); #78360=LINE('',#515686,#117375); #78361=LINE('',#515689,#117376); #78362=LINE('',#515691,#117377); #78363=LINE('',#515692,#117378); #78364=LINE('',#515695,#117379); #78365=LINE('',#515697,#117380); #78366=LINE('',#515698,#117381); #78367=LINE('',#515701,#117382); #78368=LINE('',#515703,#117383); #78369=LINE('',#515704,#117384); #78370=LINE('',#515707,#117385); #78371=LINE('',#515709,#117386); #78372=LINE('',#515710,#117387); #78373=LINE('',#515713,#117388); #78374=LINE('',#515715,#117389); #78375=LINE('',#515716,#117390); #78376=LINE('',#515719,#117391); #78377=LINE('',#515721,#117392); #78378=LINE('',#515722,#117393); #78379=LINE('',#515725,#117394); #78380=LINE('',#515727,#117395); #78381=LINE('',#515728,#117396); #78382=LINE('',#515731,#117397); #78383=LINE('',#515733,#117398); #78384=LINE('',#515734,#117399); #78385=LINE('',#515737,#117400); #78386=LINE('',#515739,#117401); #78387=LINE('',#515740,#117402); #78388=LINE('',#515743,#117403); #78389=LINE('',#515745,#117404); #78390=LINE('',#515746,#117405); #78391=LINE('',#515749,#117406); #78392=LINE('',#515751,#117407); #78393=LINE('',#515752,#117408); #78394=LINE('',#515755,#117409); #78395=LINE('',#515757,#117410); #78396=LINE('',#515758,#117411); #78397=LINE('',#515761,#117412); #78398=LINE('',#515763,#117413); #78399=LINE('',#515764,#117414); #78400=LINE('',#515767,#117415); #78401=LINE('',#515769,#117416); #78402=LINE('',#515770,#117417); #78403=LINE('',#515773,#117418); #78404=LINE('',#515775,#117419); #78405=LINE('',#515776,#117420); #78406=LINE('',#515779,#117421); #78407=LINE('',#515781,#117422); #78408=LINE('',#515782,#117423); #78409=LINE('',#515785,#117424); #78410=LINE('',#515787,#117425); #78411=LINE('',#515788,#117426); #78412=LINE('',#515791,#117427); #78413=LINE('',#515793,#117428); #78414=LINE('',#515794,#117429); #78415=LINE('',#515797,#117430); #78416=LINE('',#515799,#117431); #78417=LINE('',#515800,#117432); #78418=LINE('',#515803,#117433); #78419=LINE('',#515805,#117434); #78420=LINE('',#515806,#117435); #78421=LINE('',#515809,#117436); #78422=LINE('',#515811,#117437); #78423=LINE('',#515812,#117438); #78424=LINE('',#515815,#117439); #78425=LINE('',#515817,#117440); #78426=LINE('',#515818,#117441); #78427=LINE('',#515821,#117442); #78428=LINE('',#515823,#117443); #78429=LINE('',#515824,#117444); #78430=LINE('',#515827,#117445); #78431=LINE('',#515829,#117446); #78432=LINE('',#515830,#117447); #78433=LINE('',#515833,#117448); #78434=LINE('',#515835,#117449); #78435=LINE('',#515836,#117450); #78436=LINE('',#515839,#117451); #78437=LINE('',#515841,#117452); #78438=LINE('',#515842,#117453); #78439=LINE('',#515845,#117454); #78440=LINE('',#515847,#117455); #78441=LINE('',#515848,#117456); #78442=LINE('',#515851,#117457); #78443=LINE('',#515853,#117458); #78444=LINE('',#515854,#117459); #78445=LINE('',#515857,#117460); #78446=LINE('',#515859,#117461); #78447=LINE('',#515860,#117462); #78448=LINE('',#515863,#117463); #78449=LINE('',#515865,#117464); #78450=LINE('',#515866,#117465); #78451=LINE('',#515869,#117466); #78452=LINE('',#515871,#117467); #78453=LINE('',#515872,#117468); #78454=LINE('',#515875,#117469); #78455=LINE('',#515877,#117470); #78456=LINE('',#515878,#117471); #78457=LINE('',#515881,#117472); #78458=LINE('',#515883,#117473); #78459=LINE('',#515884,#117474); #78460=LINE('',#515887,#117475); #78461=LINE('',#515889,#117476); #78462=LINE('',#515890,#117477); #78463=LINE('',#515893,#117478); #78464=LINE('',#515895,#117479); #78465=LINE('',#515896,#117480); #78466=LINE('',#515899,#117481); #78467=LINE('',#515901,#117482); #78468=LINE('',#515902,#117483); #78469=LINE('',#515905,#117484); #78470=LINE('',#515907,#117485); #78471=LINE('',#515908,#117486); #78472=LINE('',#515911,#117487); #78473=LINE('',#515913,#117488); #78474=LINE('',#515914,#117489); #78475=LINE('',#515917,#117490); #78476=LINE('',#515919,#117491); #78477=LINE('',#515920,#117492); #78478=LINE('',#515923,#117493); #78479=LINE('',#515925,#117494); #78480=LINE('',#515926,#117495); #78481=LINE('',#515929,#117496); #78482=LINE('',#515931,#117497); #78483=LINE('',#515932,#117498); #78484=LINE('',#515935,#117499); #78485=LINE('',#515937,#117500); #78486=LINE('',#515938,#117501); #78487=LINE('',#515941,#117502); #78488=LINE('',#515943,#117503); #78489=LINE('',#515944,#117504); #78490=LINE('',#515947,#117505); #78491=LINE('',#515949,#117506); #78492=LINE('',#515950,#117507); #78493=LINE('',#515953,#117508); #78494=LINE('',#515955,#117509); #78495=LINE('',#515956,#117510); #78496=LINE('',#515959,#117511); #78497=LINE('',#515961,#117512); #78498=LINE('',#515962,#117513); #78499=LINE('',#515965,#117514); #78500=LINE('',#515967,#117515); #78501=LINE('',#515968,#117516); #78502=LINE('',#515971,#117517); #78503=LINE('',#515973,#117518); #78504=LINE('',#515974,#117519); #78505=LINE('',#515977,#117520); #78506=LINE('',#515979,#117521); #78507=LINE('',#515980,#117522); #78508=LINE('',#515983,#117523); #78509=LINE('',#515985,#117524); #78510=LINE('',#515986,#117525); #78511=LINE('',#515989,#117526); #78512=LINE('',#515991,#117527); #78513=LINE('',#515992,#117528); #78514=LINE('',#515995,#117529); #78515=LINE('',#515997,#117530); #78516=LINE('',#515998,#117531); #78517=LINE('',#516001,#117532); #78518=LINE('',#516003,#117533); #78519=LINE('',#516004,#117534); #78520=LINE('',#516007,#117535); #78521=LINE('',#516009,#117536); #78522=LINE('',#516010,#117537); #78523=LINE('',#516013,#117538); #78524=LINE('',#516015,#117539); #78525=LINE('',#516016,#117540); #78526=LINE('',#516019,#117541); #78527=LINE('',#516021,#117542); #78528=LINE('',#516022,#117543); #78529=LINE('',#516024,#117544); #78530=LINE('',#516025,#117545); #78531=LINE('',#516031,#117546); #78532=LINE('',#516033,#117547); #78533=LINE('',#516035,#117548); #78534=LINE('',#516036,#117549); #78535=LINE('',#516039,#117550); #78536=LINE('',#516041,#117551); #78537=LINE('',#516042,#117552); #78538=LINE('',#516045,#117553); #78539=LINE('',#516047,#117554); #78540=LINE('',#516048,#117555); #78541=LINE('',#516051,#117556); #78542=LINE('',#516053,#117557); #78543=LINE('',#516054,#117558); #78544=LINE('',#516057,#117559); #78545=LINE('',#516059,#117560); #78546=LINE('',#516060,#117561); #78547=LINE('',#516063,#117562); #78548=LINE('',#516065,#117563); #78549=LINE('',#516066,#117564); #78550=LINE('',#516069,#117565); #78551=LINE('',#516071,#117566); #78552=LINE('',#516072,#117567); #78553=LINE('',#516075,#117568); #78554=LINE('',#516077,#117569); #78555=LINE('',#516078,#117570); #78556=LINE('',#516081,#117571); #78557=LINE('',#516083,#117572); #78558=LINE('',#516084,#117573); #78559=LINE('',#516087,#117574); #78560=LINE('',#516089,#117575); #78561=LINE('',#516090,#117576); #78562=LINE('',#516093,#117577); #78563=LINE('',#516095,#117578); #78564=LINE('',#516096,#117579); #78565=LINE('',#516099,#117580); #78566=LINE('',#516101,#117581); #78567=LINE('',#516102,#117582); #78568=LINE('',#516105,#117583); #78569=LINE('',#516107,#117584); #78570=LINE('',#516108,#117585); #78571=LINE('',#516111,#117586); #78572=LINE('',#516113,#117587); #78573=LINE('',#516114,#117588); #78574=LINE('',#516117,#117589); #78575=LINE('',#516119,#117590); #78576=LINE('',#516120,#117591); #78577=LINE('',#516123,#117592); #78578=LINE('',#516125,#117593); #78579=LINE('',#516126,#117594); #78580=LINE('',#516129,#117595); #78581=LINE('',#516131,#117596); #78582=LINE('',#516132,#117597); #78583=LINE('',#516135,#117598); #78584=LINE('',#516137,#117599); #78585=LINE('',#516138,#117600); #78586=LINE('',#516141,#117601); #78587=LINE('',#516143,#117602); #78588=LINE('',#516144,#117603); #78589=LINE('',#516147,#117604); #78590=LINE('',#516149,#117605); #78591=LINE('',#516150,#117606); #78592=LINE('',#516153,#117607); #78593=LINE('',#516155,#117608); #78594=LINE('',#516156,#117609); #78595=LINE('',#516159,#117610); #78596=LINE('',#516161,#117611); #78597=LINE('',#516162,#117612); #78598=LINE('',#516165,#117613); #78599=LINE('',#516167,#117614); #78600=LINE('',#516168,#117615); #78601=LINE('',#516171,#117616); #78602=LINE('',#516173,#117617); #78603=LINE('',#516174,#117618); #78604=LINE('',#516177,#117619); #78605=LINE('',#516179,#117620); #78606=LINE('',#516180,#117621); #78607=LINE('',#516183,#117622); #78608=LINE('',#516185,#117623); #78609=LINE('',#516186,#117624); #78610=LINE('',#516189,#117625); #78611=LINE('',#516191,#117626); #78612=LINE('',#516192,#117627); #78613=LINE('',#516195,#117628); #78614=LINE('',#516197,#117629); #78615=LINE('',#516198,#117630); #78616=LINE('',#516201,#117631); #78617=LINE('',#516203,#117632); #78618=LINE('',#516204,#117633); #78619=LINE('',#516207,#117634); #78620=LINE('',#516209,#117635); #78621=LINE('',#516210,#117636); #78622=LINE('',#516213,#117637); #78623=LINE('',#516215,#117638); #78624=LINE('',#516216,#117639); #78625=LINE('',#516219,#117640); #78626=LINE('',#516221,#117641); #78627=LINE('',#516222,#117642); #78628=LINE('',#516225,#117643); #78629=LINE('',#516227,#117644); #78630=LINE('',#516228,#117645); #78631=LINE('',#516230,#117646); #78632=LINE('',#516231,#117647); #78633=LINE('',#516237,#117648); #78634=LINE('',#516239,#117649); #78635=LINE('',#516241,#117650); #78636=LINE('',#516242,#117651); #78637=LINE('',#516245,#117652); #78638=LINE('',#516247,#117653); #78639=LINE('',#516248,#117654); #78640=LINE('',#516251,#117655); #78641=LINE('',#516253,#117656); #78642=LINE('',#516254,#117657); #78643=LINE('',#516257,#117658); #78644=LINE('',#516259,#117659); #78645=LINE('',#516260,#117660); #78646=LINE('',#516263,#117661); #78647=LINE('',#516265,#117662); #78648=LINE('',#516266,#117663); #78649=LINE('',#516269,#117664); #78650=LINE('',#516271,#117665); #78651=LINE('',#516272,#117666); #78652=LINE('',#516275,#117667); #78653=LINE('',#516277,#117668); #78654=LINE('',#516278,#117669); #78655=LINE('',#516281,#117670); #78656=LINE('',#516283,#117671); #78657=LINE('',#516284,#117672); #78658=LINE('',#516287,#117673); #78659=LINE('',#516289,#117674); #78660=LINE('',#516290,#117675); #78661=LINE('',#516293,#117676); #78662=LINE('',#516295,#117677); #78663=LINE('',#516296,#117678); #78664=LINE('',#516299,#117679); #78665=LINE('',#516301,#117680); #78666=LINE('',#516302,#117681); #78667=LINE('',#516305,#117682); #78668=LINE('',#516307,#117683); #78669=LINE('',#516308,#117684); #78670=LINE('',#516311,#117685); #78671=LINE('',#516313,#117686); #78672=LINE('',#516314,#117687); #78673=LINE('',#516317,#117688); #78674=LINE('',#516319,#117689); #78675=LINE('',#516320,#117690); #78676=LINE('',#516323,#117691); #78677=LINE('',#516325,#117692); #78678=LINE('',#516326,#117693); #78679=LINE('',#516329,#117694); #78680=LINE('',#516331,#117695); #78681=LINE('',#516332,#117696); #78682=LINE('',#516335,#117697); #78683=LINE('',#516337,#117698); #78684=LINE('',#516338,#117699); #78685=LINE('',#516341,#117700); #78686=LINE('',#516343,#117701); #78687=LINE('',#516344,#117702); #78688=LINE('',#516347,#117703); #78689=LINE('',#516349,#117704); #78690=LINE('',#516350,#117705); #78691=LINE('',#516352,#117706); #78692=LINE('',#516353,#117707); #78693=LINE('',#516359,#117708); #78694=LINE('',#516361,#117709); #78695=LINE('',#516363,#117710); #78696=LINE('',#516364,#117711); #78697=LINE('',#516367,#117712); #78698=LINE('',#516369,#117713); #78699=LINE('',#516370,#117714); #78700=LINE('',#516373,#117715); #78701=LINE('',#516375,#117716); #78702=LINE('',#516376,#117717); #78703=LINE('',#516379,#117718); #78704=LINE('',#516381,#117719); #78705=LINE('',#516382,#117720); #78706=LINE('',#516385,#117721); #78707=LINE('',#516387,#117722); #78708=LINE('',#516388,#117723); #78709=LINE('',#516391,#117724); #78710=LINE('',#516393,#117725); #78711=LINE('',#516394,#117726); #78712=LINE('',#516397,#117727); #78713=LINE('',#516399,#117728); #78714=LINE('',#516400,#117729); #78715=LINE('',#516403,#117730); #78716=LINE('',#516405,#117731); #78717=LINE('',#516406,#117732); #78718=LINE('',#516409,#117733); #78719=LINE('',#516411,#117734); #78720=LINE('',#516412,#117735); #78721=LINE('',#516415,#117736); #78722=LINE('',#516417,#117737); #78723=LINE('',#516418,#117738); #78724=LINE('',#516421,#117739); #78725=LINE('',#516423,#117740); #78726=LINE('',#516424,#117741); #78727=LINE('',#516427,#117742); #78728=LINE('',#516429,#117743); #78729=LINE('',#516430,#117744); #78730=LINE('',#516433,#117745); #78731=LINE('',#516435,#117746); #78732=LINE('',#516436,#117747); #78733=LINE('',#516439,#117748); #78734=LINE('',#516441,#117749); #78735=LINE('',#516442,#117750); #78736=LINE('',#516445,#117751); #78737=LINE('',#516447,#117752); #78738=LINE('',#516448,#117753); #78739=LINE('',#516451,#117754); #78740=LINE('',#516453,#117755); #78741=LINE('',#516454,#117756); #78742=LINE('',#516457,#117757); #78743=LINE('',#516459,#117758); #78744=LINE('',#516460,#117759); #78745=LINE('',#516463,#117760); #78746=LINE('',#516465,#117761); #78747=LINE('',#516466,#117762); #78748=LINE('',#516469,#117763); #78749=LINE('',#516471,#117764); #78750=LINE('',#516472,#117765); #78751=LINE('',#516475,#117766); #78752=LINE('',#516477,#117767); #78753=LINE('',#516478,#117768); #78754=LINE('',#516480,#117769); #78755=LINE('',#516481,#117770); #78756=LINE('',#516488,#117771); #78757=LINE('',#516493,#117772); #78758=LINE('',#516495,#117773); #78759=LINE('',#516497,#117774); #78760=LINE('',#516498,#117775); #78761=LINE('',#516504,#117776); #78762=LINE('',#516507,#117777); #78763=LINE('',#516509,#117778); #78764=LINE('',#516510,#117779); #78765=LINE('',#516518,#117780); #78766=LINE('',#516524,#117781); #78767=LINE('',#516530,#117782); #78768=LINE('',#516536,#117783); #78769=LINE('',#516542,#117784); #78770=LINE('',#516548,#117785); #78771=LINE('',#516554,#117786); #78772=LINE('',#516560,#117787); #78773=LINE('',#516566,#117788); #78774=LINE('',#516571,#117789); #78775=LINE('',#516573,#117790); #78776=LINE('',#516575,#117791); #78777=LINE('',#516576,#117792); #78778=LINE('',#516579,#117793); #78779=LINE('',#516581,#117794); #78780=LINE('',#516582,#117795); #78781=LINE('',#516585,#117796); #78782=LINE('',#516587,#117797); #78783=LINE('',#516588,#117798); #78784=LINE('',#516591,#117799); #78785=LINE('',#516593,#117800); #78786=LINE('',#516594,#117801); #78787=LINE('',#516597,#117802); #78788=LINE('',#516599,#117803); #78789=LINE('',#516600,#117804); #78790=LINE('',#516603,#117805); #78791=LINE('',#516605,#117806); #78792=LINE('',#516606,#117807); #78793=LINE('',#516609,#117808); #78794=LINE('',#516611,#117809); #78795=LINE('',#516612,#117810); #78796=LINE('',#516615,#117811); #78797=LINE('',#516617,#117812); #78798=LINE('',#516618,#117813); #78799=LINE('',#516621,#117814); #78800=LINE('',#516623,#117815); #78801=LINE('',#516624,#117816); #78802=LINE('',#516627,#117817); #78803=LINE('',#516629,#117818); #78804=LINE('',#516630,#117819); #78805=LINE('',#516633,#117820); #78806=LINE('',#516635,#117821); #78807=LINE('',#516636,#117822); #78808=LINE('',#516639,#117823); #78809=LINE('',#516641,#117824); #78810=LINE('',#516642,#117825); #78811=LINE('',#516645,#117826); #78812=LINE('',#516647,#117827); #78813=LINE('',#516648,#117828); #78814=LINE('',#516651,#117829); #78815=LINE('',#516653,#117830); #78816=LINE('',#516654,#117831); #78817=LINE('',#516657,#117832); #78818=LINE('',#516659,#117833); #78819=LINE('',#516660,#117834); #78820=LINE('',#516662,#117835); #78821=LINE('',#516663,#117836); #78822=LINE('',#516667,#117837); #78823=LINE('',#516669,#117838); #78824=LINE('',#516671,#117839); #78825=LINE('',#516672,#117840); #78826=LINE('',#516675,#117841); #78827=LINE('',#516677,#117842); #78828=LINE('',#516678,#117843); #78829=LINE('',#516681,#117844); #78830=LINE('',#516683,#117845); #78831=LINE('',#516684,#117846); #78832=LINE('',#516687,#117847); #78833=LINE('',#516689,#117848); #78834=LINE('',#516690,#117849); #78835=LINE('',#516693,#117850); #78836=LINE('',#516695,#117851); #78837=LINE('',#516696,#117852); #78838=LINE('',#516699,#117853); #78839=LINE('',#516701,#117854); #78840=LINE('',#516702,#117855); #78841=LINE('',#516705,#117856); #78842=LINE('',#516707,#117857); #78843=LINE('',#516708,#117858); #78844=LINE('',#516711,#117859); #78845=LINE('',#516713,#117860); #78846=LINE('',#516714,#117861); #78847=LINE('',#516717,#117862); #78848=LINE('',#516719,#117863); #78849=LINE('',#516720,#117864); #78850=LINE('',#516723,#117865); #78851=LINE('',#516725,#117866); #78852=LINE('',#516726,#117867); #78853=LINE('',#516729,#117868); #78854=LINE('',#516731,#117869); #78855=LINE('',#516732,#117870); #78856=LINE('',#516735,#117871); #78857=LINE('',#516737,#117872); #78858=LINE('',#516738,#117873); #78859=LINE('',#516741,#117874); #78860=LINE('',#516743,#117875); #78861=LINE('',#516744,#117876); #78862=LINE('',#516747,#117877); #78863=LINE('',#516749,#117878); #78864=LINE('',#516750,#117879); #78865=LINE('',#516753,#117880); #78866=LINE('',#516755,#117881); #78867=LINE('',#516756,#117882); #78868=LINE('',#516759,#117883); #78869=LINE('',#516761,#117884); #78870=LINE('',#516762,#117885); #78871=LINE('',#516765,#117886); #78872=LINE('',#516767,#117887); #78873=LINE('',#516768,#117888); #78874=LINE('',#516771,#117889); #78875=LINE('',#516773,#117890); #78876=LINE('',#516774,#117891); #78877=LINE('',#516777,#117892); #78878=LINE('',#516779,#117893); #78879=LINE('',#516780,#117894); #78880=LINE('',#516783,#117895); #78881=LINE('',#516785,#117896); #78882=LINE('',#516786,#117897); #78883=LINE('',#516789,#117898); #78884=LINE('',#516791,#117899); #78885=LINE('',#516792,#117900); #78886=LINE('',#516795,#117901); #78887=LINE('',#516797,#117902); #78888=LINE('',#516798,#117903); #78889=LINE('',#516801,#117904); #78890=LINE('',#516803,#117905); #78891=LINE('',#516804,#117906); #78892=LINE('',#516807,#117907); #78893=LINE('',#516809,#117908); #78894=LINE('',#516810,#117909); #78895=LINE('',#516813,#117910); #78896=LINE('',#516815,#117911); #78897=LINE('',#516816,#117912); #78898=LINE('',#516819,#117913); #78899=LINE('',#516821,#117914); #78900=LINE('',#516822,#117915); #78901=LINE('',#516825,#117916); #78902=LINE('',#516827,#117917); #78903=LINE('',#516828,#117918); #78904=LINE('',#516831,#117919); #78905=LINE('',#516833,#117920); #78906=LINE('',#516834,#117921); #78907=LINE('',#516837,#117922); #78908=LINE('',#516839,#117923); #78909=LINE('',#516840,#117924); #78910=LINE('',#516843,#117925); #78911=LINE('',#516845,#117926); #78912=LINE('',#516846,#117927); #78913=LINE('',#516849,#117928); #78914=LINE('',#516851,#117929); #78915=LINE('',#516852,#117930); #78916=LINE('',#516855,#117931); #78917=LINE('',#516857,#117932); #78918=LINE('',#516858,#117933); #78919=LINE('',#516861,#117934); #78920=LINE('',#516863,#117935); #78921=LINE('',#516864,#117936); #78922=LINE('',#516867,#117937); #78923=LINE('',#516869,#117938); #78924=LINE('',#516870,#117939); #78925=LINE('',#516873,#117940); #78926=LINE('',#516875,#117941); #78927=LINE('',#516876,#117942); #78928=LINE('',#516879,#117943); #78929=LINE('',#516881,#117944); #78930=LINE('',#516882,#117945); #78931=LINE('',#516885,#117946); #78932=LINE('',#516887,#117947); #78933=LINE('',#516888,#117948); #78934=LINE('',#516891,#117949); #78935=LINE('',#516893,#117950); #78936=LINE('',#516894,#117951); #78937=LINE('',#516897,#117952); #78938=LINE('',#516899,#117953); #78939=LINE('',#516900,#117954); #78940=LINE('',#516903,#117955); #78941=LINE('',#516905,#117956); #78942=LINE('',#516906,#117957); #78943=LINE('',#516909,#117958); #78944=LINE('',#516911,#117959); #78945=LINE('',#516912,#117960); #78946=LINE('',#516915,#117961); #78947=LINE('',#516917,#117962); #78948=LINE('',#516918,#117963); #78949=LINE('',#516921,#117964); #78950=LINE('',#516923,#117965); #78951=LINE('',#516924,#117966); #78952=LINE('',#516927,#117967); #78953=LINE('',#516929,#117968); #78954=LINE('',#516930,#117969); #78955=LINE('',#516933,#117970); #78956=LINE('',#516935,#117971); #78957=LINE('',#516936,#117972); #78958=LINE('',#516939,#117973); #78959=LINE('',#516941,#117974); #78960=LINE('',#516942,#117975); #78961=LINE('',#516945,#117976); #78962=LINE('',#516947,#117977); #78963=LINE('',#516948,#117978); #78964=LINE('',#516951,#117979); #78965=LINE('',#516953,#117980); #78966=LINE('',#516954,#117981); #78967=LINE('',#516957,#117982); #78968=LINE('',#516959,#117983); #78969=LINE('',#516960,#117984); #78970=LINE('',#516963,#117985); #78971=LINE('',#516965,#117986); #78972=LINE('',#516966,#117987); #78973=LINE('',#516969,#117988); #78974=LINE('',#516971,#117989); #78975=LINE('',#516972,#117990); #78976=LINE('',#516975,#117991); #78977=LINE('',#516977,#117992); #78978=LINE('',#516978,#117993); #78979=LINE('',#516981,#117994); #78980=LINE('',#516983,#117995); #78981=LINE('',#516984,#117996); #78982=LINE('',#516987,#117997); #78983=LINE('',#516989,#117998); #78984=LINE('',#516990,#117999); #78985=LINE('',#516993,#118000); #78986=LINE('',#516995,#118001); #78987=LINE('',#516996,#118002); #78988=LINE('',#516999,#118003); #78989=LINE('',#517001,#118004); #78990=LINE('',#517002,#118005); #78991=LINE('',#517005,#118006); #78992=LINE('',#517007,#118007); #78993=LINE('',#517008,#118008); #78994=LINE('',#517011,#118009); #78995=LINE('',#517013,#118010); #78996=LINE('',#517014,#118011); #78997=LINE('',#517017,#118012); #78998=LINE('',#517019,#118013); #78999=LINE('',#517020,#118014); #79000=LINE('',#517023,#118015); #79001=LINE('',#517025,#118016); #79002=LINE('',#517026,#118017); #79003=LINE('',#517029,#118018); #79004=LINE('',#517031,#118019); #79005=LINE('',#517032,#118020); #79006=LINE('',#517035,#118021); #79007=LINE('',#517037,#118022); #79008=LINE('',#517038,#118023); #79009=LINE('',#517041,#118024); #79010=LINE('',#517043,#118025); #79011=LINE('',#517044,#118026); #79012=LINE('',#517047,#118027); #79013=LINE('',#517049,#118028); #79014=LINE('',#517050,#118029); #79015=LINE('',#517053,#118030); #79016=LINE('',#517055,#118031); #79017=LINE('',#517056,#118032); #79018=LINE('',#517059,#118033); #79019=LINE('',#517061,#118034); #79020=LINE('',#517062,#118035); #79021=LINE('',#517065,#118036); #79022=LINE('',#517067,#118037); #79023=LINE('',#517068,#118038); #79024=LINE('',#517071,#118039); #79025=LINE('',#517073,#118040); #79026=LINE('',#517074,#118041); #79027=LINE('',#517077,#118042); #79028=LINE('',#517079,#118043); #79029=LINE('',#517080,#118044); #79030=LINE('',#517083,#118045); #79031=LINE('',#517085,#118046); #79032=LINE('',#517086,#118047); #79033=LINE('',#517089,#118048); #79034=LINE('',#517091,#118049); #79035=LINE('',#517092,#118050); #79036=LINE('',#517095,#118051); #79037=LINE('',#517097,#118052); #79038=LINE('',#517098,#118053); #79039=LINE('',#517101,#118054); #79040=LINE('',#517103,#118055); #79041=LINE('',#517104,#118056); #79042=LINE('',#517107,#118057); #79043=LINE('',#517109,#118058); #79044=LINE('',#517110,#118059); #79045=LINE('',#517113,#118060); #79046=LINE('',#517115,#118061); #79047=LINE('',#517116,#118062); #79048=LINE('',#517119,#118063); #79049=LINE('',#517121,#118064); #79050=LINE('',#517122,#118065); #79051=LINE('',#517125,#118066); #79052=LINE('',#517127,#118067); #79053=LINE('',#517128,#118068); #79054=LINE('',#517131,#118069); #79055=LINE('',#517133,#118070); #79056=LINE('',#517134,#118071); #79057=LINE('',#517137,#118072); #79058=LINE('',#517139,#118073); #79059=LINE('',#517140,#118074); #79060=LINE('',#517143,#118075); #79061=LINE('',#517145,#118076); #79062=LINE('',#517146,#118077); #79063=LINE('',#517149,#118078); #79064=LINE('',#517151,#118079); #79065=LINE('',#517152,#118080); #79066=LINE('',#517155,#118081); #79067=LINE('',#517157,#118082); #79068=LINE('',#517158,#118083); #79069=LINE('',#517161,#118084); #79070=LINE('',#517163,#118085); #79071=LINE('',#517164,#118086); #79072=LINE('',#517167,#118087); #79073=LINE('',#517169,#118088); #79074=LINE('',#517170,#118089); #79075=LINE('',#517173,#118090); #79076=LINE('',#517175,#118091); #79077=LINE('',#517176,#118092); #79078=LINE('',#517179,#118093); #79079=LINE('',#517181,#118094); #79080=LINE('',#517182,#118095); #79081=LINE('',#517185,#118096); #79082=LINE('',#517187,#118097); #79083=LINE('',#517188,#118098); #79084=LINE('',#517191,#118099); #79085=LINE('',#517193,#118100); #79086=LINE('',#517194,#118101); #79087=LINE('',#517197,#118102); #79088=LINE('',#517199,#118103); #79089=LINE('',#517200,#118104); #79090=LINE('',#517203,#118105); #79091=LINE('',#517205,#118106); #79092=LINE('',#517206,#118107); #79093=LINE('',#517209,#118108); #79094=LINE('',#517211,#118109); #79095=LINE('',#517212,#118110); #79096=LINE('',#517215,#118111); #79097=LINE('',#517217,#118112); #79098=LINE('',#517218,#118113); #79099=LINE('',#517221,#118114); #79100=LINE('',#517223,#118115); #79101=LINE('',#517224,#118116); #79102=LINE('',#517227,#118117); #79103=LINE('',#517229,#118118); #79104=LINE('',#517230,#118119); #79105=LINE('',#517233,#118120); #79106=LINE('',#517235,#118121); #79107=LINE('',#517236,#118122); #79108=LINE('',#517239,#118123); #79109=LINE('',#517241,#118124); #79110=LINE('',#517242,#118125); #79111=LINE('',#517245,#118126); #79112=LINE('',#517247,#118127); #79113=LINE('',#517248,#118128); #79114=LINE('',#517251,#118129); #79115=LINE('',#517253,#118130); #79116=LINE('',#517254,#118131); #79117=LINE('',#517257,#118132); #79118=LINE('',#517259,#118133); #79119=LINE('',#517260,#118134); #79120=LINE('',#517263,#118135); #79121=LINE('',#517265,#118136); #79122=LINE('',#517266,#118137); #79123=LINE('',#517269,#118138); #79124=LINE('',#517271,#118139); #79125=LINE('',#517272,#118140); #79126=LINE('',#517275,#118141); #79127=LINE('',#517277,#118142); #79128=LINE('',#517278,#118143); #79129=LINE('',#517281,#118144); #79130=LINE('',#517283,#118145); #79131=LINE('',#517284,#118146); #79132=LINE('',#517287,#118147); #79133=LINE('',#517289,#118148); #79134=LINE('',#517290,#118149); #79135=LINE('',#517293,#118150); #79136=LINE('',#517295,#118151); #79137=LINE('',#517296,#118152); #79138=LINE('',#517299,#118153); #79139=LINE('',#517301,#118154); #79140=LINE('',#517302,#118155); #79141=LINE('',#517305,#118156); #79142=LINE('',#517307,#118157); #79143=LINE('',#517308,#118158); #79144=LINE('',#517311,#118159); #79145=LINE('',#517313,#118160); #79146=LINE('',#517314,#118161); #79147=LINE('',#517317,#118162); #79148=LINE('',#517319,#118163); #79149=LINE('',#517320,#118164); #79150=LINE('',#517323,#118165); #79151=LINE('',#517325,#118166); #79152=LINE('',#517326,#118167); #79153=LINE('',#517329,#118168); #79154=LINE('',#517331,#118169); #79155=LINE('',#517332,#118170); #79156=LINE('',#517335,#118171); #79157=LINE('',#517337,#118172); #79158=LINE('',#517338,#118173); #79159=LINE('',#517341,#118174); #79160=LINE('',#517343,#118175); #79161=LINE('',#517344,#118176); #79162=LINE('',#517347,#118177); #79163=LINE('',#517349,#118178); #79164=LINE('',#517350,#118179); #79165=LINE('',#517353,#118180); #79166=LINE('',#517355,#118181); #79167=LINE('',#517356,#118182); #79168=LINE('',#517359,#118183); #79169=LINE('',#517361,#118184); #79170=LINE('',#517362,#118185); #79171=LINE('',#517365,#118186); #79172=LINE('',#517367,#118187); #79173=LINE('',#517368,#118188); #79174=LINE('',#517371,#118189); #79175=LINE('',#517373,#118190); #79176=LINE('',#517374,#118191); #79177=LINE('',#517377,#118192); #79178=LINE('',#517379,#118193); #79179=LINE('',#517380,#118194); #79180=LINE('',#517383,#118195); #79181=LINE('',#517385,#118196); #79182=LINE('',#517386,#118197); #79183=LINE('',#517389,#118198); #79184=LINE('',#517391,#118199); #79185=LINE('',#517392,#118200); #79186=LINE('',#517395,#118201); #79187=LINE('',#517397,#118202); #79188=LINE('',#517398,#118203); #79189=LINE('',#517401,#118204); #79190=LINE('',#517403,#118205); #79191=LINE('',#517404,#118206); #79192=LINE('',#517407,#118207); #79193=LINE('',#517409,#118208); #79194=LINE('',#517410,#118209); #79195=LINE('',#517413,#118210); #79196=LINE('',#517415,#118211); #79197=LINE('',#517416,#118212); #79198=LINE('',#517419,#118213); #79199=LINE('',#517421,#118214); #79200=LINE('',#517422,#118215); #79201=LINE('',#517425,#118216); #79202=LINE('',#517427,#118217); #79203=LINE('',#517428,#118218); #79204=LINE('',#517431,#118219); #79205=LINE('',#517433,#118220); #79206=LINE('',#517434,#118221); #79207=LINE('',#517437,#118222); #79208=LINE('',#517439,#118223); #79209=LINE('',#517440,#118224); #79210=LINE('',#517443,#118225); #79211=LINE('',#517445,#118226); #79212=LINE('',#517446,#118227); #79213=LINE('',#517449,#118228); #79214=LINE('',#517451,#118229); #79215=LINE('',#517452,#118230); #79216=LINE('',#517455,#118231); #79217=LINE('',#517457,#118232); #79218=LINE('',#517458,#118233); #79219=LINE('',#517461,#118234); #79220=LINE('',#517463,#118235); #79221=LINE('',#517464,#118236); #79222=LINE('',#517467,#118237); #79223=LINE('',#517469,#118238); #79224=LINE('',#517470,#118239); #79225=LINE('',#517473,#118240); #79226=LINE('',#517475,#118241); #79227=LINE('',#517476,#118242); #79228=LINE('',#517479,#118243); #79229=LINE('',#517481,#118244); #79230=LINE('',#517482,#118245); #79231=LINE('',#517485,#118246); #79232=LINE('',#517487,#118247); #79233=LINE('',#517488,#118248); #79234=LINE('',#517491,#118249); #79235=LINE('',#517493,#118250); #79236=LINE('',#517494,#118251); #79237=LINE('',#517497,#118252); #79238=LINE('',#517499,#118253); #79239=LINE('',#517500,#118254); #79240=LINE('',#517503,#118255); #79241=LINE('',#517505,#118256); #79242=LINE('',#517506,#118257); #79243=LINE('',#517509,#118258); #79244=LINE('',#517511,#118259); #79245=LINE('',#517512,#118260); #79246=LINE('',#517515,#118261); #79247=LINE('',#517517,#118262); #79248=LINE('',#517518,#118263); #79249=LINE('',#517521,#118264); #79250=LINE('',#517523,#118265); #79251=LINE('',#517524,#118266); #79252=LINE('',#517527,#118267); #79253=LINE('',#517529,#118268); #79254=LINE('',#517530,#118269); #79255=LINE('',#517533,#118270); #79256=LINE('',#517535,#118271); #79257=LINE('',#517536,#118272); #79258=LINE('',#517539,#118273); #79259=LINE('',#517541,#118274); #79260=LINE('',#517542,#118275); #79261=LINE('',#517545,#118276); #79262=LINE('',#517547,#118277); #79263=LINE('',#517548,#118278); #79264=LINE('',#517551,#118279); #79265=LINE('',#517553,#118280); #79266=LINE('',#517554,#118281); #79267=LINE('',#517557,#118282); #79268=LINE('',#517559,#118283); #79269=LINE('',#517560,#118284); #79270=LINE('',#517563,#118285); #79271=LINE('',#517565,#118286); #79272=LINE('',#517566,#118287); #79273=LINE('',#517569,#118288); #79274=LINE('',#517571,#118289); #79275=LINE('',#517572,#118290); #79276=LINE('',#517575,#118291); #79277=LINE('',#517577,#118292); #79278=LINE('',#517578,#118293); #79279=LINE('',#517581,#118294); #79280=LINE('',#517583,#118295); #79281=LINE('',#517584,#118296); #79282=LINE('',#517587,#118297); #79283=LINE('',#517589,#118298); #79284=LINE('',#517590,#118299); #79285=LINE('',#517593,#118300); #79286=LINE('',#517595,#118301); #79287=LINE('',#517596,#118302); #79288=LINE('',#517599,#118303); #79289=LINE('',#517601,#118304); #79290=LINE('',#517602,#118305); #79291=LINE('',#517605,#118306); #79292=LINE('',#517607,#118307); #79293=LINE('',#517608,#118308); #79294=LINE('',#517611,#118309); #79295=LINE('',#517613,#118310); #79296=LINE('',#517614,#118311); #79297=LINE('',#517617,#118312); #79298=LINE('',#517619,#118313); #79299=LINE('',#517620,#118314); #79300=LINE('',#517623,#118315); #79301=LINE('',#517625,#118316); #79302=LINE('',#517626,#118317); #79303=LINE('',#517629,#118318); #79304=LINE('',#517631,#118319); #79305=LINE('',#517632,#118320); #79306=LINE('',#517635,#118321); #79307=LINE('',#517637,#118322); #79308=LINE('',#517638,#118323); #79309=LINE('',#517641,#118324); #79310=LINE('',#517643,#118325); #79311=LINE('',#517644,#118326); #79312=LINE('',#517647,#118327); #79313=LINE('',#517649,#118328); #79314=LINE('',#517650,#118329); #79315=LINE('',#517653,#118330); #79316=LINE('',#517655,#118331); #79317=LINE('',#517656,#118332); #79318=LINE('',#517659,#118333); #79319=LINE('',#517661,#118334); #79320=LINE('',#517662,#118335); #79321=LINE('',#517665,#118336); #79322=LINE('',#517667,#118337); #79323=LINE('',#517668,#118338); #79324=LINE('',#517671,#118339); #79325=LINE('',#517673,#118340); #79326=LINE('',#517674,#118341); #79327=LINE('',#517677,#118342); #79328=LINE('',#517679,#118343); #79329=LINE('',#517680,#118344); #79330=LINE('',#517683,#118345); #79331=LINE('',#517685,#118346); #79332=LINE('',#517686,#118347); #79333=LINE('',#517689,#118348); #79334=LINE('',#517691,#118349); #79335=LINE('',#517692,#118350); #79336=LINE('',#517695,#118351); #79337=LINE('',#517697,#118352); #79338=LINE('',#517698,#118353); #79339=LINE('',#517701,#118354); #79340=LINE('',#517703,#118355); #79341=LINE('',#517704,#118356); #79342=LINE('',#517707,#118357); #79343=LINE('',#517709,#118358); #79344=LINE('',#517710,#118359); #79345=LINE('',#517713,#118360); #79346=LINE('',#517715,#118361); #79347=LINE('',#517716,#118362); #79348=LINE('',#517719,#118363); #79349=LINE('',#517721,#118364); #79350=LINE('',#517722,#118365); #79351=LINE('',#517725,#118366); #79352=LINE('',#517727,#118367); #79353=LINE('',#517728,#118368); #79354=LINE('',#517731,#118369); #79355=LINE('',#517733,#118370); #79356=LINE('',#517734,#118371); #79357=LINE('',#517737,#118372); #79358=LINE('',#517739,#118373); #79359=LINE('',#517740,#118374); #79360=LINE('',#517743,#118375); #79361=LINE('',#517745,#118376); #79362=LINE('',#517746,#118377); #79363=LINE('',#517749,#118378); #79364=LINE('',#517751,#118379); #79365=LINE('',#517752,#118380); #79366=LINE('',#517755,#118381); #79367=LINE('',#517757,#118382); #79368=LINE('',#517758,#118383); #79369=LINE('',#517761,#118384); #79370=LINE('',#517763,#118385); #79371=LINE('',#517764,#118386); #79372=LINE('',#517767,#118387); #79373=LINE('',#517769,#118388); #79374=LINE('',#517770,#118389); #79375=LINE('',#517773,#118390); #79376=LINE('',#517775,#118391); #79377=LINE('',#517776,#118392); #79378=LINE('',#517779,#118393); #79379=LINE('',#517781,#118394); #79380=LINE('',#517782,#118395); #79381=LINE('',#517785,#118396); #79382=LINE('',#517787,#118397); #79383=LINE('',#517788,#118398); #79384=LINE('',#517791,#118399); #79385=LINE('',#517793,#118400); #79386=LINE('',#517794,#118401); #79387=LINE('',#517797,#118402); #79388=LINE('',#517799,#118403); #79389=LINE('',#517800,#118404); #79390=LINE('',#517803,#118405); #79391=LINE('',#517805,#118406); #79392=LINE('',#517806,#118407); #79393=LINE('',#517809,#118408); #79394=LINE('',#517811,#118409); #79395=LINE('',#517812,#118410); #79396=LINE('',#517815,#118411); #79397=LINE('',#517817,#118412); #79398=LINE('',#517818,#118413); #79399=LINE('',#517821,#118414); #79400=LINE('',#517823,#118415); #79401=LINE('',#517824,#118416); #79402=LINE('',#517827,#118417); #79403=LINE('',#517829,#118418); #79404=LINE('',#517830,#118419); #79405=LINE('',#517833,#118420); #79406=LINE('',#517835,#118421); #79407=LINE('',#517836,#118422); #79408=LINE('',#517839,#118423); #79409=LINE('',#517841,#118424); #79410=LINE('',#517842,#118425); #79411=LINE('',#517845,#118426); #79412=LINE('',#517847,#118427); #79413=LINE('',#517848,#118428); #79414=LINE('',#517851,#118429); #79415=LINE('',#517853,#118430); #79416=LINE('',#517854,#118431); #79417=LINE('',#517857,#118432); #79418=LINE('',#517859,#118433); #79419=LINE('',#517860,#118434); #79420=LINE('',#517863,#118435); #79421=LINE('',#517865,#118436); #79422=LINE('',#517866,#118437); #79423=LINE('',#517869,#118438); #79424=LINE('',#517871,#118439); #79425=LINE('',#517872,#118440); #79426=LINE('',#517875,#118441); #79427=LINE('',#517877,#118442); #79428=LINE('',#517878,#118443); #79429=LINE('',#517881,#118444); #79430=LINE('',#517883,#118445); #79431=LINE('',#517884,#118446); #79432=LINE('',#517887,#118447); #79433=LINE('',#517889,#118448); #79434=LINE('',#517890,#118449); #79435=LINE('',#517893,#118450); #79436=LINE('',#517895,#118451); #79437=LINE('',#517896,#118452); #79438=LINE('',#517899,#118453); #79439=LINE('',#517901,#118454); #79440=LINE('',#517902,#118455); #79441=LINE('',#517905,#118456); #79442=LINE('',#517907,#118457); #79443=LINE('',#517908,#118458); #79444=LINE('',#517911,#118459); #79445=LINE('',#517913,#118460); #79446=LINE('',#517914,#118461); #79447=LINE('',#517917,#118462); #79448=LINE('',#517919,#118463); #79449=LINE('',#517920,#118464); #79450=LINE('',#517923,#118465); #79451=LINE('',#517925,#118466); #79452=LINE('',#517926,#118467); #79453=LINE('',#517928,#118468); #79454=LINE('',#517929,#118469); #79455=LINE('',#517935,#118470); #79456=LINE('',#517937,#118471); #79457=LINE('',#517939,#118472); #79458=LINE('',#517940,#118473); #79459=LINE('',#517943,#118474); #79460=LINE('',#517945,#118475); #79461=LINE('',#517946,#118476); #79462=LINE('',#517949,#118477); #79463=LINE('',#517951,#118478); #79464=LINE('',#517952,#118479); #79465=LINE('',#517955,#118480); #79466=LINE('',#517957,#118481); #79467=LINE('',#517958,#118482); #79468=LINE('',#517961,#118483); #79469=LINE('',#517963,#118484); #79470=LINE('',#517964,#118485); #79471=LINE('',#517967,#118486); #79472=LINE('',#517969,#118487); #79473=LINE('',#517970,#118488); #79474=LINE('',#517973,#118489); #79475=LINE('',#517975,#118490); #79476=LINE('',#517976,#118491); #79477=LINE('',#517979,#118492); #79478=LINE('',#517981,#118493); #79479=LINE('',#517982,#118494); #79480=LINE('',#517985,#118495); #79481=LINE('',#517987,#118496); #79482=LINE('',#517988,#118497); #79483=LINE('',#517991,#118498); #79484=LINE('',#517993,#118499); #79485=LINE('',#517994,#118500); #79486=LINE('',#517997,#118501); #79487=LINE('',#517999,#118502); #79488=LINE('',#518000,#118503); #79489=LINE('',#518003,#118504); #79490=LINE('',#518005,#118505); #79491=LINE('',#518006,#118506); #79492=LINE('',#518009,#118507); #79493=LINE('',#518011,#118508); #79494=LINE('',#518012,#118509); #79495=LINE('',#518015,#118510); #79496=LINE('',#518017,#118511); #79497=LINE('',#518018,#118512); #79498=LINE('',#518021,#118513); #79499=LINE('',#518023,#118514); #79500=LINE('',#518024,#118515); #79501=LINE('',#518027,#118516); #79502=LINE('',#518029,#118517); #79503=LINE('',#518030,#118518); #79504=LINE('',#518033,#118519); #79505=LINE('',#518035,#118520); #79506=LINE('',#518036,#118521); #79507=LINE('',#518039,#118522); #79508=LINE('',#518041,#118523); #79509=LINE('',#518042,#118524); #79510=LINE('',#518045,#118525); #79511=LINE('',#518047,#118526); #79512=LINE('',#518048,#118527); #79513=LINE('',#518051,#118528); #79514=LINE('',#518053,#118529); #79515=LINE('',#518054,#118530); #79516=LINE('',#518056,#118531); #79517=LINE('',#518057,#118532); #79518=LINE('',#518063,#118533); #79519=LINE('',#518065,#118534); #79520=LINE('',#518067,#118535); #79521=LINE('',#518068,#118536); #79522=LINE('',#518071,#118537); #79523=LINE('',#518073,#118538); #79524=LINE('',#518074,#118539); #79525=LINE('',#518077,#118540); #79526=LINE('',#518079,#118541); #79527=LINE('',#518080,#118542); #79528=LINE('',#518083,#118543); #79529=LINE('',#518085,#118544); #79530=LINE('',#518086,#118545); #79531=LINE('',#518089,#118546); #79532=LINE('',#518091,#118547); #79533=LINE('',#518092,#118548); #79534=LINE('',#518095,#118549); #79535=LINE('',#518097,#118550); #79536=LINE('',#518098,#118551); #79537=LINE('',#518101,#118552); #79538=LINE('',#518103,#118553); #79539=LINE('',#518104,#118554); #79540=LINE('',#518107,#118555); #79541=LINE('',#518109,#118556); #79542=LINE('',#518110,#118557); #79543=LINE('',#518113,#118558); #79544=LINE('',#518115,#118559); #79545=LINE('',#518116,#118560); #79546=LINE('',#518119,#118561); #79547=LINE('',#518121,#118562); #79548=LINE('',#518122,#118563); #79549=LINE('',#518125,#118564); #79550=LINE('',#518127,#118565); #79551=LINE('',#518128,#118566); #79552=LINE('',#518131,#118567); #79553=LINE('',#518133,#118568); #79554=LINE('',#518134,#118569); #79555=LINE('',#518137,#118570); #79556=LINE('',#518139,#118571); #79557=LINE('',#518140,#118572); #79558=LINE('',#518143,#118573); #79559=LINE('',#518145,#118574); #79560=LINE('',#518146,#118575); #79561=LINE('',#518149,#118576); #79562=LINE('',#518151,#118577); #79563=LINE('',#518152,#118578); #79564=LINE('',#518155,#118579); #79565=LINE('',#518157,#118580); #79566=LINE('',#518158,#118581); #79567=LINE('',#518161,#118582); #79568=LINE('',#518163,#118583); #79569=LINE('',#518164,#118584); #79570=LINE('',#518167,#118585); #79571=LINE('',#518169,#118586); #79572=LINE('',#518170,#118587); #79573=LINE('',#518173,#118588); #79574=LINE('',#518175,#118589); #79575=LINE('',#518176,#118590); #79576=LINE('',#518178,#118591); #79577=LINE('',#518179,#118592); #79578=LINE('',#518186,#118593); #79579=LINE('',#518192,#118594); #79580=LINE('',#518198,#118595); #79581=LINE('',#518204,#118596); #79582=LINE('',#518210,#118597); #79583=LINE('',#518216,#118598); #79584=LINE('',#518222,#118599); #79585=LINE('',#518228,#118600); #79586=LINE('',#518234,#118601); #79587=LINE('',#518240,#118602); #79588=LINE('',#518246,#118603); #79589=LINE('',#518252,#118604); #79590=LINE('',#518258,#118605); #79591=LINE('',#518264,#118606); #79592=LINE('',#518270,#118607); #79593=LINE('',#518276,#118608); #79594=LINE('',#518282,#118609); #79595=LINE('',#518288,#118610); #79596=LINE('',#518294,#118611); #79597=LINE('',#518300,#118612); #79598=LINE('',#518306,#118613); #79599=LINE('',#518312,#118614); #79600=LINE('',#518318,#118615); #79601=LINE('',#518324,#118616); #79602=LINE('',#518330,#118617); #79603=LINE('',#518336,#118618); #79604=LINE('',#518342,#118619); #79605=LINE('',#518348,#118620); #79606=LINE('',#518354,#118621); #79607=LINE('',#518360,#118622); #79608=LINE('',#518366,#118623); #79609=LINE('',#518372,#118624); #79610=LINE('',#518378,#118625); #79611=LINE('',#518384,#118626); #79612=LINE('',#518390,#118627); #79613=LINE('',#518395,#118628); #79614=LINE('',#518397,#118629); #79615=LINE('',#518399,#118630); #79616=LINE('',#518400,#118631); #79617=LINE('',#518406,#118632); #79618=LINE('',#518409,#118633); #79619=LINE('',#518411,#118634); #79620=LINE('',#518412,#118635); #79621=LINE('',#518420,#118636); #79622=LINE('',#518426,#118637); #79623=LINE('',#518432,#118638); #79624=LINE('',#518438,#118639); #79625=LINE('',#518443,#118640); #79626=LINE('',#518445,#118641); #79627=LINE('',#518447,#118642); #79628=LINE('',#518448,#118643); #79629=LINE('',#518454,#118644); #79630=LINE('',#518457,#118645); #79631=LINE('',#518459,#118646); #79632=LINE('',#518460,#118647); #79633=LINE('',#518467,#118648); #79634=LINE('',#518469,#118649); #79635=LINE('',#518471,#118650); #79636=LINE('',#518472,#118651); #79637=LINE('',#518478,#118652); #79638=LINE('',#518481,#118653); #79639=LINE('',#518483,#118654); #79640=LINE('',#518484,#118655); #79641=LINE('',#518491,#118656); #79642=LINE('',#518493,#118657); #79643=LINE('',#518495,#118658); #79644=LINE('',#518496,#118659); #79645=LINE('',#518502,#118660); #79646=LINE('',#518505,#118661); #79647=LINE('',#518507,#118662); #79648=LINE('',#518508,#118663); #79649=LINE('',#518516,#118664); #79650=LINE('',#518522,#118665); #79651=LINE('',#518528,#118666); #79652=LINE('',#518534,#118667); #79653=LINE('',#518540,#118668); #79654=LINE('',#518546,#118669); #79655=LINE('',#518552,#118670); #79656=LINE('',#518558,#118671); #79657=LINE('',#518564,#118672); #79658=LINE('',#518570,#118673); #79659=LINE('',#518576,#118674); #79660=LINE('',#518582,#118675); #79661=LINE('',#518588,#118676); #79662=LINE('',#518594,#118677); #79663=LINE('',#518600,#118678); #79664=LINE('',#518606,#118679); #79665=LINE('',#518612,#118680); #79666=LINE('',#518618,#118681); #79667=LINE('',#518624,#118682); #79668=LINE('',#518629,#118683); #79669=LINE('',#518631,#118684); #79670=LINE('',#518633,#118685); #79671=LINE('',#518634,#118686); #79672=LINE('',#518637,#118687); #79673=LINE('',#518639,#118688); #79674=LINE('',#518640,#118689); #79675=LINE('',#518643,#118690); #79676=LINE('',#518645,#118691); #79677=LINE('',#518646,#118692); #79678=LINE('',#518649,#118693); #79679=LINE('',#518651,#118694); #79680=LINE('',#518652,#118695); #79681=LINE('',#518655,#118696); #79682=LINE('',#518657,#118697); #79683=LINE('',#518658,#118698); #79684=LINE('',#518661,#118699); #79685=LINE('',#518663,#118700); #79686=LINE('',#518664,#118701); #79687=LINE('',#518667,#118702); #79688=LINE('',#518669,#118703); #79689=LINE('',#518670,#118704); #79690=LINE('',#518672,#118705); #79691=LINE('',#518673,#118706); #79692=LINE('',#518677,#118707); #79693=LINE('',#518679,#118708); #79694=LINE('',#518681,#118709); #79695=LINE('',#518682,#118710); #79696=LINE('',#518685,#118711); #79697=LINE('',#518687,#118712); #79698=LINE('',#518688,#118713); #79699=LINE('',#518691,#118714); #79700=LINE('',#518693,#118715); #79701=LINE('',#518694,#118716); #79702=LINE('',#518697,#118717); #79703=LINE('',#518699,#118718); #79704=LINE('',#518700,#118719); #79705=LINE('',#518703,#118720); #79706=LINE('',#518705,#118721); #79707=LINE('',#518706,#118722); #79708=LINE('',#518709,#118723); #79709=LINE('',#518711,#118724); #79710=LINE('',#518712,#118725); #79711=LINE('',#518715,#118726); #79712=LINE('',#518717,#118727); #79713=LINE('',#518718,#118728); #79714=LINE('',#518721,#118729); #79715=LINE('',#518723,#118730); #79716=LINE('',#518724,#118731); #79717=LINE('',#518727,#118732); #79718=LINE('',#518729,#118733); #79719=LINE('',#518730,#118734); #79720=LINE('',#518733,#118735); #79721=LINE('',#518735,#118736); #79722=LINE('',#518736,#118737); #79723=LINE('',#518739,#118738); #79724=LINE('',#518741,#118739); #79725=LINE('',#518742,#118740); #79726=LINE('',#518745,#118741); #79727=LINE('',#518747,#118742); #79728=LINE('',#518748,#118743); #79729=LINE('',#518751,#118744); #79730=LINE('',#518753,#118745); #79731=LINE('',#518754,#118746); #79732=LINE('',#518757,#118747); #79733=LINE('',#518759,#118748); #79734=LINE('',#518760,#118749); #79735=LINE('',#518763,#118750); #79736=LINE('',#518765,#118751); #79737=LINE('',#518766,#118752); #79738=LINE('',#518768,#118753); #79739=LINE('',#518769,#118754); #79740=LINE('',#518773,#118755); #79741=LINE('',#518775,#118756); #79742=LINE('',#518777,#118757); #79743=LINE('',#518778,#118758); #79744=LINE('',#518781,#118759); #79745=LINE('',#518783,#118760); #79746=LINE('',#518784,#118761); #79747=LINE('',#518787,#118762); #79748=LINE('',#518789,#118763); #79749=LINE('',#518790,#118764); #79750=LINE('',#518793,#118765); #79751=LINE('',#518795,#118766); #79752=LINE('',#518796,#118767); #79753=LINE('',#518799,#118768); #79754=LINE('',#518801,#118769); #79755=LINE('',#518802,#118770); #79756=LINE('',#518805,#118771); #79757=LINE('',#518807,#118772); #79758=LINE('',#518808,#118773); #79759=LINE('',#518811,#118774); #79760=LINE('',#518813,#118775); #79761=LINE('',#518814,#118776); #79762=LINE('',#518817,#118777); #79763=LINE('',#518819,#118778); #79764=LINE('',#518820,#118779); #79765=LINE('',#518823,#118780); #79766=LINE('',#518825,#118781); #79767=LINE('',#518826,#118782); #79768=LINE('',#518829,#118783); #79769=LINE('',#518831,#118784); #79770=LINE('',#518832,#118785); #79771=LINE('',#518835,#118786); #79772=LINE('',#518837,#118787); #79773=LINE('',#518838,#118788); #79774=LINE('',#518841,#118789); #79775=LINE('',#518843,#118790); #79776=LINE('',#518844,#118791); #79777=LINE('',#518847,#118792); #79778=LINE('',#518849,#118793); #79779=LINE('',#518850,#118794); #79780=LINE('',#518853,#118795); #79781=LINE('',#518855,#118796); #79782=LINE('',#518856,#118797); #79783=LINE('',#518859,#118798); #79784=LINE('',#518861,#118799); #79785=LINE('',#518862,#118800); #79786=LINE('',#518864,#118801); #79787=LINE('',#518865,#118802); #79788=LINE('',#518869,#118803); #79789=LINE('',#518871,#118804); #79790=LINE('',#518873,#118805); #79791=LINE('',#518874,#118806); #79792=LINE('',#518877,#118807); #79793=LINE('',#518879,#118808); #79794=LINE('',#518880,#118809); #79795=LINE('',#518883,#118810); #79796=LINE('',#518885,#118811); #79797=LINE('',#518886,#118812); #79798=LINE('',#518889,#118813); #79799=LINE('',#518891,#118814); #79800=LINE('',#518892,#118815); #79801=LINE('',#518895,#118816); #79802=LINE('',#518897,#118817); #79803=LINE('',#518898,#118818); #79804=LINE('',#518901,#118819); #79805=LINE('',#518903,#118820); #79806=LINE('',#518904,#118821); #79807=LINE('',#518907,#118822); #79808=LINE('',#518909,#118823); #79809=LINE('',#518910,#118824); #79810=LINE('',#518913,#118825); #79811=LINE('',#518915,#118826); #79812=LINE('',#518916,#118827); #79813=LINE('',#518919,#118828); #79814=LINE('',#518921,#118829); #79815=LINE('',#518922,#118830); #79816=LINE('',#518925,#118831); #79817=LINE('',#518927,#118832); #79818=LINE('',#518928,#118833); #79819=LINE('',#518931,#118834); #79820=LINE('',#518933,#118835); #79821=LINE('',#518934,#118836); #79822=LINE('',#518937,#118837); #79823=LINE('',#518939,#118838); #79824=LINE('',#518940,#118839); #79825=LINE('',#518943,#118840); #79826=LINE('',#518945,#118841); #79827=LINE('',#518946,#118842); #79828=LINE('',#518949,#118843); #79829=LINE('',#518951,#118844); #79830=LINE('',#518952,#118845); #79831=LINE('',#518955,#118846); #79832=LINE('',#518957,#118847); #79833=LINE('',#518958,#118848); #79834=LINE('',#518961,#118849); #79835=LINE('',#518963,#118850); #79836=LINE('',#518964,#118851); #79837=LINE('',#518967,#118852); #79838=LINE('',#518969,#118853); #79839=LINE('',#518970,#118854); #79840=LINE('',#518973,#118855); #79841=LINE('',#518975,#118856); #79842=LINE('',#518976,#118857); #79843=LINE('',#518979,#118858); #79844=LINE('',#518981,#118859); #79845=LINE('',#518982,#118860); #79846=LINE('',#518985,#118861); #79847=LINE('',#518987,#118862); #79848=LINE('',#518988,#118863); #79849=LINE('',#518991,#118864); #79850=LINE('',#518993,#118865); #79851=LINE('',#518994,#118866); #79852=LINE('',#518997,#118867); #79853=LINE('',#518999,#118868); #79854=LINE('',#519000,#118869); #79855=LINE('',#519003,#118870); #79856=LINE('',#519005,#118871); #79857=LINE('',#519006,#118872); #79858=LINE('',#519009,#118873); #79859=LINE('',#519011,#118874); #79860=LINE('',#519012,#118875); #79861=LINE('',#519015,#118876); #79862=LINE('',#519017,#118877); #79863=LINE('',#519018,#118878); #79864=LINE('',#519021,#118879); #79865=LINE('',#519023,#118880); #79866=LINE('',#519024,#118881); #79867=LINE('',#519027,#118882); #79868=LINE('',#519029,#118883); #79869=LINE('',#519030,#118884); #79870=LINE('',#519033,#118885); #79871=LINE('',#519035,#118886); #79872=LINE('',#519036,#118887); #79873=LINE('',#519039,#118888); #79874=LINE('',#519041,#118889); #79875=LINE('',#519042,#118890); #79876=LINE('',#519045,#118891); #79877=LINE('',#519047,#118892); #79878=LINE('',#519048,#118893); #79879=LINE('',#519051,#118894); #79880=LINE('',#519053,#118895); #79881=LINE('',#519054,#118896); #79882=LINE('',#519057,#118897); #79883=LINE('',#519059,#118898); #79884=LINE('',#519060,#118899); #79885=LINE('',#519063,#118900); #79886=LINE('',#519065,#118901); #79887=LINE('',#519066,#118902); #79888=LINE('',#519069,#118903); #79889=LINE('',#519071,#118904); #79890=LINE('',#519072,#118905); #79891=LINE('',#519075,#118906); #79892=LINE('',#519077,#118907); #79893=LINE('',#519078,#118908); #79894=LINE('',#519080,#118909); #79895=LINE('',#519081,#118910); #79896=LINE('',#519085,#118911); #79897=LINE('',#519087,#118912); #79898=LINE('',#519089,#118913); #79899=LINE('',#519090,#118914); #79900=LINE('',#519093,#118915); #79901=LINE('',#519095,#118916); #79902=LINE('',#519096,#118917); #79903=LINE('',#519099,#118918); #79904=LINE('',#519101,#118919); #79905=LINE('',#519102,#118920); #79906=LINE('',#519105,#118921); #79907=LINE('',#519107,#118922); #79908=LINE('',#519108,#118923); #79909=LINE('',#519111,#118924); #79910=LINE('',#519113,#118925); #79911=LINE('',#519114,#118926); #79912=LINE('',#519117,#118927); #79913=LINE('',#519119,#118928); #79914=LINE('',#519120,#118929); #79915=LINE('',#519123,#118930); #79916=LINE('',#519125,#118931); #79917=LINE('',#519126,#118932); #79918=LINE('',#519129,#118933); #79919=LINE('',#519131,#118934); #79920=LINE('',#519132,#118935); #79921=LINE('',#519135,#118936); #79922=LINE('',#519137,#118937); #79923=LINE('',#519138,#118938); #79924=LINE('',#519141,#118939); #79925=LINE('',#519143,#118940); #79926=LINE('',#519144,#118941); #79927=LINE('',#519147,#118942); #79928=LINE('',#519149,#118943); #79929=LINE('',#519150,#118944); #79930=LINE('',#519153,#118945); #79931=LINE('',#519155,#118946); #79932=LINE('',#519156,#118947); #79933=LINE('',#519159,#118948); #79934=LINE('',#519161,#118949); #79935=LINE('',#519162,#118950); #79936=LINE('',#519165,#118951); #79937=LINE('',#519167,#118952); #79938=LINE('',#519168,#118953); #79939=LINE('',#519171,#118954); #79940=LINE('',#519173,#118955); #79941=LINE('',#519174,#118956); #79942=LINE('',#519177,#118957); #79943=LINE('',#519179,#118958); #79944=LINE('',#519180,#118959); #79945=LINE('',#519183,#118960); #79946=LINE('',#519185,#118961); #79947=LINE('',#519186,#118962); #79948=LINE('',#519189,#118963); #79949=LINE('',#519191,#118964); #79950=LINE('',#519192,#118965); #79951=LINE('',#519195,#118966); #79952=LINE('',#519197,#118967); #79953=LINE('',#519198,#118968); #79954=LINE('',#519201,#118969); #79955=LINE('',#519203,#118970); #79956=LINE('',#519204,#118971); #79957=LINE('',#519207,#118972); #79958=LINE('',#519209,#118973); #79959=LINE('',#519210,#118974); #79960=LINE('',#519213,#118975); #79961=LINE('',#519215,#118976); #79962=LINE('',#519216,#118977); #79963=LINE('',#519219,#118978); #79964=LINE('',#519221,#118979); #79965=LINE('',#519222,#118980); #79966=LINE('',#519225,#118981); #79967=LINE('',#519227,#118982); #79968=LINE('',#519228,#118983); #79969=LINE('',#519231,#118984); #79970=LINE('',#519233,#118985); #79971=LINE('',#519234,#118986); #79972=LINE('',#519237,#118987); #79973=LINE('',#519239,#118988); #79974=LINE('',#519240,#118989); #79975=LINE('',#519243,#118990); #79976=LINE('',#519245,#118991); #79977=LINE('',#519246,#118992); #79978=LINE('',#519249,#118993); #79979=LINE('',#519251,#118994); #79980=LINE('',#519252,#118995); #79981=LINE('',#519255,#118996); #79982=LINE('',#519257,#118997); #79983=LINE('',#519258,#118998); #79984=LINE('',#519261,#118999); #79985=LINE('',#519263,#119000); #79986=LINE('',#519264,#119001); #79987=LINE('',#519267,#119002); #79988=LINE('',#519269,#119003); #79989=LINE('',#519270,#119004); #79990=LINE('',#519273,#119005); #79991=LINE('',#519275,#119006); #79992=LINE('',#519276,#119007); #79993=LINE('',#519279,#119008); #79994=LINE('',#519281,#119009); #79995=LINE('',#519282,#119010); #79996=LINE('',#519285,#119011); #79997=LINE('',#519287,#119012); #79998=LINE('',#519288,#119013); #79999=LINE('',#519291,#119014); #80000=LINE('',#519293,#119015); #80001=LINE('',#519294,#119016); #80002=LINE('',#519296,#119017); #80003=LINE('',#519297,#119018); #80004=LINE('',#519301,#119019); #80005=LINE('',#519303,#119020); #80006=LINE('',#519305,#119021); #80007=LINE('',#519306,#119022); #80008=LINE('',#519309,#119023); #80009=LINE('',#519311,#119024); #80010=LINE('',#519312,#119025); #80011=LINE('',#519315,#119026); #80012=LINE('',#519317,#119027); #80013=LINE('',#519318,#119028); #80014=LINE('',#519321,#119029); #80015=LINE('',#519323,#119030); #80016=LINE('',#519324,#119031); #80017=LINE('',#519327,#119032); #80018=LINE('',#519329,#119033); #80019=LINE('',#519330,#119034); #80020=LINE('',#519333,#119035); #80021=LINE('',#519335,#119036); #80022=LINE('',#519336,#119037); #80023=LINE('',#519339,#119038); #80024=LINE('',#519341,#119039); #80025=LINE('',#519342,#119040); #80026=LINE('',#519345,#119041); #80027=LINE('',#519347,#119042); #80028=LINE('',#519348,#119043); #80029=LINE('',#519351,#119044); #80030=LINE('',#519353,#119045); #80031=LINE('',#519354,#119046); #80032=LINE('',#519357,#119047); #80033=LINE('',#519359,#119048); #80034=LINE('',#519360,#119049); #80035=LINE('',#519363,#119050); #80036=LINE('',#519365,#119051); #80037=LINE('',#519366,#119052); #80038=LINE('',#519369,#119053); #80039=LINE('',#519371,#119054); #80040=LINE('',#519372,#119055); #80041=LINE('',#519375,#119056); #80042=LINE('',#519377,#119057); #80043=LINE('',#519378,#119058); #80044=LINE('',#519381,#119059); #80045=LINE('',#519383,#119060); #80046=LINE('',#519384,#119061); #80047=LINE('',#519387,#119062); #80048=LINE('',#519389,#119063); #80049=LINE('',#519390,#119064); #80050=LINE('',#519393,#119065); #80051=LINE('',#519395,#119066); #80052=LINE('',#519396,#119067); #80053=LINE('',#519399,#119068); #80054=LINE('',#519401,#119069); #80055=LINE('',#519402,#119070); #80056=LINE('',#519405,#119071); #80057=LINE('',#519407,#119072); #80058=LINE('',#519408,#119073); #80059=LINE('',#519411,#119074); #80060=LINE('',#519413,#119075); #80061=LINE('',#519414,#119076); #80062=LINE('',#519417,#119077); #80063=LINE('',#519419,#119078); #80064=LINE('',#519420,#119079); #80065=LINE('',#519423,#119080); #80066=LINE('',#519425,#119081); #80067=LINE('',#519426,#119082); #80068=LINE('',#519429,#119083); #80069=LINE('',#519431,#119084); #80070=LINE('',#519432,#119085); #80071=LINE('',#519435,#119086); #80072=LINE('',#519437,#119087); #80073=LINE('',#519438,#119088); #80074=LINE('',#519441,#119089); #80075=LINE('',#519443,#119090); #80076=LINE('',#519444,#119091); #80077=LINE('',#519447,#119092); #80078=LINE('',#519449,#119093); #80079=LINE('',#519450,#119094); #80080=LINE('',#519453,#119095); #80081=LINE('',#519455,#119096); #80082=LINE('',#519456,#119097); #80083=LINE('',#519459,#119098); #80084=LINE('',#519461,#119099); #80085=LINE('',#519462,#119100); #80086=LINE('',#519465,#119101); #80087=LINE('',#519467,#119102); #80088=LINE('',#519468,#119103); #80089=LINE('',#519471,#119104); #80090=LINE('',#519473,#119105); #80091=LINE('',#519474,#119106); #80092=LINE('',#519477,#119107); #80093=LINE('',#519479,#119108); #80094=LINE('',#519480,#119109); #80095=LINE('',#519483,#119110); #80096=LINE('',#519485,#119111); #80097=LINE('',#519486,#119112); #80098=LINE('',#519489,#119113); #80099=LINE('',#519491,#119114); #80100=LINE('',#519492,#119115); #80101=LINE('',#519495,#119116); #80102=LINE('',#519497,#119117); #80103=LINE('',#519498,#119118); #80104=LINE('',#519501,#119119); #80105=LINE('',#519503,#119120); #80106=LINE('',#519504,#119121); #80107=LINE('',#519507,#119122); #80108=LINE('',#519509,#119123); #80109=LINE('',#519510,#119124); #80110=LINE('',#519513,#119125); #80111=LINE('',#519515,#119126); #80112=LINE('',#519516,#119127); #80113=LINE('',#519519,#119128); #80114=LINE('',#519521,#119129); #80115=LINE('',#519522,#119130); #80116=LINE('',#519525,#119131); #80117=LINE('',#519527,#119132); #80118=LINE('',#519528,#119133); #80119=LINE('',#519531,#119134); #80120=LINE('',#519533,#119135); #80121=LINE('',#519534,#119136); #80122=LINE('',#519537,#119137); #80123=LINE('',#519539,#119138); #80124=LINE('',#519540,#119139); #80125=LINE('',#519543,#119140); #80126=LINE('',#519545,#119141); #80127=LINE('',#519546,#119142); #80128=LINE('',#519549,#119143); #80129=LINE('',#519551,#119144); #80130=LINE('',#519552,#119145); #80131=LINE('',#519555,#119146); #80132=LINE('',#519557,#119147); #80133=LINE('',#519558,#119148); #80134=LINE('',#519561,#119149); #80135=LINE('',#519563,#119150); #80136=LINE('',#519564,#119151); #80137=LINE('',#519567,#119152); #80138=LINE('',#519569,#119153); #80139=LINE('',#519570,#119154); #80140=LINE('',#519573,#119155); #80141=LINE('',#519575,#119156); #80142=LINE('',#519576,#119157); #80143=LINE('',#519579,#119158); #80144=LINE('',#519581,#119159); #80145=LINE('',#519582,#119160); #80146=LINE('',#519585,#119161); #80147=LINE('',#519587,#119162); #80148=LINE('',#519588,#119163); #80149=LINE('',#519591,#119164); #80150=LINE('',#519593,#119165); #80151=LINE('',#519594,#119166); #80152=LINE('',#519597,#119167); #80153=LINE('',#519599,#119168); #80154=LINE('',#519600,#119169); #80155=LINE('',#519603,#119170); #80156=LINE('',#519605,#119171); #80157=LINE('',#519606,#119172); #80158=LINE('',#519609,#119173); #80159=LINE('',#519611,#119174); #80160=LINE('',#519612,#119175); #80161=LINE('',#519615,#119176); #80162=LINE('',#519617,#119177); #80163=LINE('',#519618,#119178); #80164=LINE('',#519621,#119179); #80165=LINE('',#519623,#119180); #80166=LINE('',#519624,#119181); #80167=LINE('',#519627,#119182); #80168=LINE('',#519629,#119183); #80169=LINE('',#519630,#119184); #80170=LINE('',#519633,#119185); #80171=LINE('',#519635,#119186); #80172=LINE('',#519636,#119187); #80173=LINE('',#519639,#119188); #80174=LINE('',#519641,#119189); #80175=LINE('',#519642,#119190); #80176=LINE('',#519645,#119191); #80177=LINE('',#519647,#119192); #80178=LINE('',#519648,#119193); #80179=LINE('',#519651,#119194); #80180=LINE('',#519653,#119195); #80181=LINE('',#519654,#119196); #80182=LINE('',#519657,#119197); #80183=LINE('',#519659,#119198); #80184=LINE('',#519660,#119199); #80185=LINE('',#519663,#119200); #80186=LINE('',#519665,#119201); #80187=LINE('',#519666,#119202); #80188=LINE('',#519669,#119203); #80189=LINE('',#519671,#119204); #80190=LINE('',#519672,#119205); #80191=LINE('',#519675,#119206); #80192=LINE('',#519677,#119207); #80193=LINE('',#519678,#119208); #80194=LINE('',#519681,#119209); #80195=LINE('',#519683,#119210); #80196=LINE('',#519684,#119211); #80197=LINE('',#519687,#119212); #80198=LINE('',#519689,#119213); #80199=LINE('',#519690,#119214); #80200=LINE('',#519693,#119215); #80201=LINE('',#519695,#119216); #80202=LINE('',#519696,#119217); #80203=LINE('',#519699,#119218); #80204=LINE('',#519701,#119219); #80205=LINE('',#519702,#119220); #80206=LINE('',#519705,#119221); #80207=LINE('',#519707,#119222); #80208=LINE('',#519708,#119223); #80209=LINE('',#519711,#119224); #80210=LINE('',#519713,#119225); #80211=LINE('',#519714,#119226); #80212=LINE('',#519717,#119227); #80213=LINE('',#519719,#119228); #80214=LINE('',#519720,#119229); #80215=LINE('',#519723,#119230); #80216=LINE('',#519725,#119231); #80217=LINE('',#519726,#119232); #80218=LINE('',#519729,#119233); #80219=LINE('',#519731,#119234); #80220=LINE('',#519732,#119235); #80221=LINE('',#519735,#119236); #80222=LINE('',#519737,#119237); #80223=LINE('',#519738,#119238); #80224=LINE('',#519741,#119239); #80225=LINE('',#519743,#119240); #80226=LINE('',#519744,#119241); #80227=LINE('',#519747,#119242); #80228=LINE('',#519749,#119243); #80229=LINE('',#519750,#119244); #80230=LINE('',#519753,#119245); #80231=LINE('',#519755,#119246); #80232=LINE('',#519756,#119247); #80233=LINE('',#519759,#119248); #80234=LINE('',#519761,#119249); #80235=LINE('',#519762,#119250); #80236=LINE('',#519765,#119251); #80237=LINE('',#519767,#119252); #80238=LINE('',#519768,#119253); #80239=LINE('',#519771,#119254); #80240=LINE('',#519773,#119255); #80241=LINE('',#519774,#119256); #80242=LINE('',#519777,#119257); #80243=LINE('',#519779,#119258); #80244=LINE('',#519780,#119259); #80245=LINE('',#519783,#119260); #80246=LINE('',#519785,#119261); #80247=LINE('',#519786,#119262); #80248=LINE('',#519789,#119263); #80249=LINE('',#519791,#119264); #80250=LINE('',#519792,#119265); #80251=LINE('',#519795,#119266); #80252=LINE('',#519797,#119267); #80253=LINE('',#519798,#119268); #80254=LINE('',#519801,#119269); #80255=LINE('',#519803,#119270); #80256=LINE('',#519804,#119271); #80257=LINE('',#519807,#119272); #80258=LINE('',#519809,#119273); #80259=LINE('',#519810,#119274); #80260=LINE('',#519813,#119275); #80261=LINE('',#519815,#119276); #80262=LINE('',#519816,#119277); #80263=LINE('',#519819,#119278); #80264=LINE('',#519821,#119279); #80265=LINE('',#519822,#119280); #80266=LINE('',#519825,#119281); #80267=LINE('',#519827,#119282); #80268=LINE('',#519828,#119283); #80269=LINE('',#519831,#119284); #80270=LINE('',#519833,#119285); #80271=LINE('',#519834,#119286); #80272=LINE('',#519837,#119287); #80273=LINE('',#519839,#119288); #80274=LINE('',#519840,#119289); #80275=LINE('',#519843,#119290); #80276=LINE('',#519845,#119291); #80277=LINE('',#519846,#119292); #80278=LINE('',#519849,#119293); #80279=LINE('',#519851,#119294); #80280=LINE('',#519852,#119295); #80281=LINE('',#519855,#119296); #80282=LINE('',#519857,#119297); #80283=LINE('',#519858,#119298); #80284=LINE('',#519861,#119299); #80285=LINE('',#519863,#119300); #80286=LINE('',#519864,#119301); #80287=LINE('',#519867,#119302); #80288=LINE('',#519869,#119303); #80289=LINE('',#519870,#119304); #80290=LINE('',#519873,#119305); #80291=LINE('',#519875,#119306); #80292=LINE('',#519876,#119307); #80293=LINE('',#519879,#119308); #80294=LINE('',#519881,#119309); #80295=LINE('',#519882,#119310); #80296=LINE('',#519885,#119311); #80297=LINE('',#519887,#119312); #80298=LINE('',#519888,#119313); #80299=LINE('',#519891,#119314); #80300=LINE('',#519893,#119315); #80301=LINE('',#519894,#119316); #80302=LINE('',#519897,#119317); #80303=LINE('',#519899,#119318); #80304=LINE('',#519900,#119319); #80305=LINE('',#519903,#119320); #80306=LINE('',#519905,#119321); #80307=LINE('',#519906,#119322); #80308=LINE('',#519909,#119323); #80309=LINE('',#519911,#119324); #80310=LINE('',#519912,#119325); #80311=LINE('',#519915,#119326); #80312=LINE('',#519917,#119327); #80313=LINE('',#519918,#119328); #80314=LINE('',#519921,#119329); #80315=LINE('',#519923,#119330); #80316=LINE('',#519924,#119331); #80317=LINE('',#519927,#119332); #80318=LINE('',#519929,#119333); #80319=LINE('',#519930,#119334); #80320=LINE('',#519933,#119335); #80321=LINE('',#519935,#119336); #80322=LINE('',#519936,#119337); #80323=LINE('',#519939,#119338); #80324=LINE('',#519941,#119339); #80325=LINE('',#519942,#119340); #80326=LINE('',#519945,#119341); #80327=LINE('',#519947,#119342); #80328=LINE('',#519948,#119343); #80329=LINE('',#519951,#119344); #80330=LINE('',#519953,#119345); #80331=LINE('',#519954,#119346); #80332=LINE('',#519957,#119347); #80333=LINE('',#519959,#119348); #80334=LINE('',#519960,#119349); #80335=LINE('',#519963,#119350); #80336=LINE('',#519965,#119351); #80337=LINE('',#519966,#119352); #80338=LINE('',#519969,#119353); #80339=LINE('',#519971,#119354); #80340=LINE('',#519972,#119355); #80341=LINE('',#519975,#119356); #80342=LINE('',#519977,#119357); #80343=LINE('',#519978,#119358); #80344=LINE('',#519981,#119359); #80345=LINE('',#519983,#119360); #80346=LINE('',#519984,#119361); #80347=LINE('',#519987,#119362); #80348=LINE('',#519989,#119363); #80349=LINE('',#519990,#119364); #80350=LINE('',#519993,#119365); #80351=LINE('',#519995,#119366); #80352=LINE('',#519996,#119367); #80353=LINE('',#519999,#119368); #80354=LINE('',#520001,#119369); #80355=LINE('',#520002,#119370); #80356=LINE('',#520005,#119371); #80357=LINE('',#520007,#119372); #80358=LINE('',#520008,#119373); #80359=LINE('',#520011,#119374); #80360=LINE('',#520013,#119375); #80361=LINE('',#520014,#119376); #80362=LINE('',#520017,#119377); #80363=LINE('',#520019,#119378); #80364=LINE('',#520020,#119379); #80365=LINE('',#520023,#119380); #80366=LINE('',#520025,#119381); #80367=LINE('',#520026,#119382); #80368=LINE('',#520029,#119383); #80369=LINE('',#520031,#119384); #80370=LINE('',#520032,#119385); #80371=LINE('',#520035,#119386); #80372=LINE('',#520037,#119387); #80373=LINE('',#520038,#119388); #80374=LINE('',#520041,#119389); #80375=LINE('',#520043,#119390); #80376=LINE('',#520044,#119391); #80377=LINE('',#520047,#119392); #80378=LINE('',#520049,#119393); #80379=LINE('',#520050,#119394); #80380=LINE('',#520053,#119395); #80381=LINE('',#520055,#119396); #80382=LINE('',#520056,#119397); #80383=LINE('',#520059,#119398); #80384=LINE('',#520061,#119399); #80385=LINE('',#520062,#119400); #80386=LINE('',#520065,#119401); #80387=LINE('',#520067,#119402); #80388=LINE('',#520068,#119403); #80389=LINE('',#520071,#119404); #80390=LINE('',#520073,#119405); #80391=LINE('',#520074,#119406); #80392=LINE('',#520077,#119407); #80393=LINE('',#520079,#119408); #80394=LINE('',#520080,#119409); #80395=LINE('',#520083,#119410); #80396=LINE('',#520085,#119411); #80397=LINE('',#520086,#119412); #80398=LINE('',#520089,#119413); #80399=LINE('',#520091,#119414); #80400=LINE('',#520092,#119415); #80401=LINE('',#520095,#119416); #80402=LINE('',#520097,#119417); #80403=LINE('',#520098,#119418); #80404=LINE('',#520101,#119419); #80405=LINE('',#520103,#119420); #80406=LINE('',#520104,#119421); #80407=LINE('',#520107,#119422); #80408=LINE('',#520109,#119423); #80409=LINE('',#520110,#119424); #80410=LINE('',#520113,#119425); #80411=LINE('',#520115,#119426); #80412=LINE('',#520116,#119427); #80413=LINE('',#520119,#119428); #80414=LINE('',#520121,#119429); #80415=LINE('',#520122,#119430); #80416=LINE('',#520125,#119431); #80417=LINE('',#520127,#119432); #80418=LINE('',#520128,#119433); #80419=LINE('',#520131,#119434); #80420=LINE('',#520133,#119435); #80421=LINE('',#520134,#119436); #80422=LINE('',#520137,#119437); #80423=LINE('',#520139,#119438); #80424=LINE('',#520140,#119439); #80425=LINE('',#520143,#119440); #80426=LINE('',#520145,#119441); #80427=LINE('',#520146,#119442); #80428=LINE('',#520149,#119443); #80429=LINE('',#520151,#119444); #80430=LINE('',#520152,#119445); #80431=LINE('',#520155,#119446); #80432=LINE('',#520157,#119447); #80433=LINE('',#520158,#119448); #80434=LINE('',#520161,#119449); #80435=LINE('',#520163,#119450); #80436=LINE('',#520164,#119451); #80437=LINE('',#520167,#119452); #80438=LINE('',#520169,#119453); #80439=LINE('',#520170,#119454); #80440=LINE('',#520173,#119455); #80441=LINE('',#520175,#119456); #80442=LINE('',#520176,#119457); #80443=LINE('',#520179,#119458); #80444=LINE('',#520181,#119459); #80445=LINE('',#520182,#119460); #80446=LINE('',#520185,#119461); #80447=LINE('',#520187,#119462); #80448=LINE('',#520188,#119463); #80449=LINE('',#520191,#119464); #80450=LINE('',#520193,#119465); #80451=LINE('',#520194,#119466); #80452=LINE('',#520197,#119467); #80453=LINE('',#520199,#119468); #80454=LINE('',#520200,#119469); #80455=LINE('',#520203,#119470); #80456=LINE('',#520205,#119471); #80457=LINE('',#520206,#119472); #80458=LINE('',#520209,#119473); #80459=LINE('',#520211,#119474); #80460=LINE('',#520212,#119475); #80461=LINE('',#520215,#119476); #80462=LINE('',#520217,#119477); #80463=LINE('',#520218,#119478); #80464=LINE('',#520221,#119479); #80465=LINE('',#520223,#119480); #80466=LINE('',#520224,#119481); #80467=LINE('',#520227,#119482); #80468=LINE('',#520229,#119483); #80469=LINE('',#520230,#119484); #80470=LINE('',#520233,#119485); #80471=LINE('',#520235,#119486); #80472=LINE('',#520236,#119487); #80473=LINE('',#520239,#119488); #80474=LINE('',#520241,#119489); #80475=LINE('',#520242,#119490); #80476=LINE('',#520245,#119491); #80477=LINE('',#520247,#119492); #80478=LINE('',#520248,#119493); #80479=LINE('',#520251,#119494); #80480=LINE('',#520253,#119495); #80481=LINE('',#520254,#119496); #80482=LINE('',#520257,#119497); #80483=LINE('',#520259,#119498); #80484=LINE('',#520260,#119499); #80485=LINE('',#520263,#119500); #80486=LINE('',#520265,#119501); #80487=LINE('',#520266,#119502); #80488=LINE('',#520269,#119503); #80489=LINE('',#520271,#119504); #80490=LINE('',#520272,#119505); #80491=LINE('',#520275,#119506); #80492=LINE('',#520277,#119507); #80493=LINE('',#520278,#119508); #80494=LINE('',#520281,#119509); #80495=LINE('',#520283,#119510); #80496=LINE('',#520284,#119511); #80497=LINE('',#520287,#119512); #80498=LINE('',#520289,#119513); #80499=LINE('',#520290,#119514); #80500=LINE('',#520293,#119515); #80501=LINE('',#520295,#119516); #80502=LINE('',#520296,#119517); #80503=LINE('',#520299,#119518); #80504=LINE('',#520301,#119519); #80505=LINE('',#520302,#119520); #80506=LINE('',#520305,#119521); #80507=LINE('',#520307,#119522); #80508=LINE('',#520308,#119523); #80509=LINE('',#520311,#119524); #80510=LINE('',#520313,#119525); #80511=LINE('',#520314,#119526); #80512=LINE('',#520317,#119527); #80513=LINE('',#520319,#119528); #80514=LINE('',#520320,#119529); #80515=LINE('',#520323,#119530); #80516=LINE('',#520325,#119531); #80517=LINE('',#520326,#119532); #80518=LINE('',#520329,#119533); #80519=LINE('',#520331,#119534); #80520=LINE('',#520332,#119535); #80521=LINE('',#520335,#119536); #80522=LINE('',#520337,#119537); #80523=LINE('',#520338,#119538); #80524=LINE('',#520341,#119539); #80525=LINE('',#520343,#119540); #80526=LINE('',#520344,#119541); #80527=LINE('',#520347,#119542); #80528=LINE('',#520349,#119543); #80529=LINE('',#520350,#119544); #80530=LINE('',#520353,#119545); #80531=LINE('',#520355,#119546); #80532=LINE('',#520356,#119547); #80533=LINE('',#520359,#119548); #80534=LINE('',#520361,#119549); #80535=LINE('',#520362,#119550); #80536=LINE('',#520365,#119551); #80537=LINE('',#520367,#119552); #80538=LINE('',#520368,#119553); #80539=LINE('',#520371,#119554); #80540=LINE('',#520373,#119555); #80541=LINE('',#520374,#119556); #80542=LINE('',#520377,#119557); #80543=LINE('',#520379,#119558); #80544=LINE('',#520380,#119559); #80545=LINE('',#520383,#119560); #80546=LINE('',#520385,#119561); #80547=LINE('',#520386,#119562); #80548=LINE('',#520389,#119563); #80549=LINE('',#520391,#119564); #80550=LINE('',#520392,#119565); #80551=LINE('',#520395,#119566); #80552=LINE('',#520397,#119567); #80553=LINE('',#520398,#119568); #80554=LINE('',#520401,#119569); #80555=LINE('',#520403,#119570); #80556=LINE('',#520404,#119571); #80557=LINE('',#520407,#119572); #80558=LINE('',#520409,#119573); #80559=LINE('',#520410,#119574); #80560=LINE('',#520413,#119575); #80561=LINE('',#520415,#119576); #80562=LINE('',#520416,#119577); #80563=LINE('',#520419,#119578); #80564=LINE('',#520421,#119579); #80565=LINE('',#520422,#119580); #80566=LINE('',#520425,#119581); #80567=LINE('',#520427,#119582); #80568=LINE('',#520428,#119583); #80569=LINE('',#520431,#119584); #80570=LINE('',#520433,#119585); #80571=LINE('',#520434,#119586); #80572=LINE('',#520437,#119587); #80573=LINE('',#520439,#119588); #80574=LINE('',#520440,#119589); #80575=LINE('',#520443,#119590); #80576=LINE('',#520445,#119591); #80577=LINE('',#520446,#119592); #80578=LINE('',#520449,#119593); #80579=LINE('',#520451,#119594); #80580=LINE('',#520452,#119595); #80581=LINE('',#520455,#119596); #80582=LINE('',#520457,#119597); #80583=LINE('',#520458,#119598); #80584=LINE('',#520461,#119599); #80585=LINE('',#520463,#119600); #80586=LINE('',#520464,#119601); #80587=LINE('',#520467,#119602); #80588=LINE('',#520469,#119603); #80589=LINE('',#520470,#119604); #80590=LINE('',#520473,#119605); #80591=LINE('',#520475,#119606); #80592=LINE('',#520476,#119607); #80593=LINE('',#520479,#119608); #80594=LINE('',#520481,#119609); #80595=LINE('',#520482,#119610); #80596=LINE('',#520485,#119611); #80597=LINE('',#520487,#119612); #80598=LINE('',#520488,#119613); #80599=LINE('',#520491,#119614); #80600=LINE('',#520493,#119615); #80601=LINE('',#520494,#119616); #80602=LINE('',#520497,#119617); #80603=LINE('',#520499,#119618); #80604=LINE('',#520500,#119619); #80605=LINE('',#520503,#119620); #80606=LINE('',#520505,#119621); #80607=LINE('',#520506,#119622); #80608=LINE('',#520509,#119623); #80609=LINE('',#520511,#119624); #80610=LINE('',#520512,#119625); #80611=LINE('',#520515,#119626); #80612=LINE('',#520517,#119627); #80613=LINE('',#520518,#119628); #80614=LINE('',#520521,#119629); #80615=LINE('',#520523,#119630); #80616=LINE('',#520524,#119631); #80617=LINE('',#520527,#119632); #80618=LINE('',#520529,#119633); #80619=LINE('',#520530,#119634); #80620=LINE('',#520533,#119635); #80621=LINE('',#520535,#119636); #80622=LINE('',#520536,#119637); #80623=LINE('',#520539,#119638); #80624=LINE('',#520541,#119639); #80625=LINE('',#520542,#119640); #80626=LINE('',#520545,#119641); #80627=LINE('',#520547,#119642); #80628=LINE('',#520548,#119643); #80629=LINE('',#520551,#119644); #80630=LINE('',#520553,#119645); #80631=LINE('',#520554,#119646); #80632=LINE('',#520557,#119647); #80633=LINE('',#520559,#119648); #80634=LINE('',#520560,#119649); #80635=LINE('',#520563,#119650); #80636=LINE('',#520565,#119651); #80637=LINE('',#520566,#119652); #80638=LINE('',#520569,#119653); #80639=LINE('',#520571,#119654); #80640=LINE('',#520572,#119655); #80641=LINE('',#520575,#119656); #80642=LINE('',#520577,#119657); #80643=LINE('',#520578,#119658); #80644=LINE('',#520581,#119659); #80645=LINE('',#520583,#119660); #80646=LINE('',#520584,#119661); #80647=LINE('',#520587,#119662); #80648=LINE('',#520589,#119663); #80649=LINE('',#520590,#119664); #80650=LINE('',#520593,#119665); #80651=LINE('',#520595,#119666); #80652=LINE('',#520596,#119667); #80653=LINE('',#520599,#119668); #80654=LINE('',#520601,#119669); #80655=LINE('',#520602,#119670); #80656=LINE('',#520605,#119671); #80657=LINE('',#520607,#119672); #80658=LINE('',#520608,#119673); #80659=LINE('',#520611,#119674); #80660=LINE('',#520613,#119675); #80661=LINE('',#520614,#119676); #80662=LINE('',#520617,#119677); #80663=LINE('',#520619,#119678); #80664=LINE('',#520620,#119679); #80665=LINE('',#520623,#119680); #80666=LINE('',#520625,#119681); #80667=LINE('',#520626,#119682); #80668=LINE('',#520629,#119683); #80669=LINE('',#520631,#119684); #80670=LINE('',#520632,#119685); #80671=LINE('',#520635,#119686); #80672=LINE('',#520637,#119687); #80673=LINE('',#520638,#119688); #80674=LINE('',#520641,#119689); #80675=LINE('',#520643,#119690); #80676=LINE('',#520644,#119691); #80677=LINE('',#520647,#119692); #80678=LINE('',#520649,#119693); #80679=LINE('',#520650,#119694); #80680=LINE('',#520653,#119695); #80681=LINE('',#520655,#119696); #80682=LINE('',#520656,#119697); #80683=LINE('',#520659,#119698); #80684=LINE('',#520661,#119699); #80685=LINE('',#520662,#119700); #80686=LINE('',#520665,#119701); #80687=LINE('',#520667,#119702); #80688=LINE('',#520668,#119703); #80689=LINE('',#520671,#119704); #80690=LINE('',#520673,#119705); #80691=LINE('',#520674,#119706); #80692=LINE('',#520677,#119707); #80693=LINE('',#520679,#119708); #80694=LINE('',#520680,#119709); #80695=LINE('',#520683,#119710); #80696=LINE('',#520685,#119711); #80697=LINE('',#520686,#119712); #80698=LINE('',#520689,#119713); #80699=LINE('',#520691,#119714); #80700=LINE('',#520692,#119715); #80701=LINE('',#520695,#119716); #80702=LINE('',#520697,#119717); #80703=LINE('',#520698,#119718); #80704=LINE('',#520701,#119719); #80705=LINE('',#520703,#119720); #80706=LINE('',#520704,#119721); #80707=LINE('',#520707,#119722); #80708=LINE('',#520709,#119723); #80709=LINE('',#520710,#119724); #80710=LINE('',#520713,#119725); #80711=LINE('',#520715,#119726); #80712=LINE('',#520716,#119727); #80713=LINE('',#520719,#119728); #80714=LINE('',#520721,#119729); #80715=LINE('',#520722,#119730); #80716=LINE('',#520725,#119731); #80717=LINE('',#520727,#119732); #80718=LINE('',#520728,#119733); #80719=LINE('',#520731,#119734); #80720=LINE('',#520733,#119735); #80721=LINE('',#520734,#119736); #80722=LINE('',#520737,#119737); #80723=LINE('',#520739,#119738); #80724=LINE('',#520740,#119739); #80725=LINE('',#520743,#119740); #80726=LINE('',#520745,#119741); #80727=LINE('',#520746,#119742); #80728=LINE('',#520749,#119743); #80729=LINE('',#520751,#119744); #80730=LINE('',#520752,#119745); #80731=LINE('',#520755,#119746); #80732=LINE('',#520757,#119747); #80733=LINE('',#520758,#119748); #80734=LINE('',#520761,#119749); #80735=LINE('',#520763,#119750); #80736=LINE('',#520764,#119751); #80737=LINE('',#520767,#119752); #80738=LINE('',#520769,#119753); #80739=LINE('',#520770,#119754); #80740=LINE('',#520773,#119755); #80741=LINE('',#520775,#119756); #80742=LINE('',#520776,#119757); #80743=LINE('',#520779,#119758); #80744=LINE('',#520781,#119759); #80745=LINE('',#520782,#119760); #80746=LINE('',#520785,#119761); #80747=LINE('',#520787,#119762); #80748=LINE('',#520788,#119763); #80749=LINE('',#520791,#119764); #80750=LINE('',#520793,#119765); #80751=LINE('',#520794,#119766); #80752=LINE('',#520797,#119767); #80753=LINE('',#520799,#119768); #80754=LINE('',#520800,#119769); #80755=LINE('',#520803,#119770); #80756=LINE('',#520805,#119771); #80757=LINE('',#520806,#119772); #80758=LINE('',#520809,#119773); #80759=LINE('',#520811,#119774); #80760=LINE('',#520812,#119775); #80761=LINE('',#520815,#119776); #80762=LINE('',#520817,#119777); #80763=LINE('',#520818,#119778); #80764=LINE('',#520821,#119779); #80765=LINE('',#520823,#119780); #80766=LINE('',#520824,#119781); #80767=LINE('',#520827,#119782); #80768=LINE('',#520829,#119783); #80769=LINE('',#520830,#119784); #80770=LINE('',#520833,#119785); #80771=LINE('',#520835,#119786); #80772=LINE('',#520836,#119787); #80773=LINE('',#520839,#119788); #80774=LINE('',#520841,#119789); #80775=LINE('',#520842,#119790); #80776=LINE('',#520845,#119791); #80777=LINE('',#520847,#119792); #80778=LINE('',#520848,#119793); #80779=LINE('',#520851,#119794); #80780=LINE('',#520853,#119795); #80781=LINE('',#520854,#119796); #80782=LINE('',#520857,#119797); #80783=LINE('',#520859,#119798); #80784=LINE('',#520860,#119799); #80785=LINE('',#520863,#119800); #80786=LINE('',#520865,#119801); #80787=LINE('',#520866,#119802); #80788=LINE('',#520869,#119803); #80789=LINE('',#520871,#119804); #80790=LINE('',#520872,#119805); #80791=LINE('',#520875,#119806); #80792=LINE('',#520877,#119807); #80793=LINE('',#520878,#119808); #80794=LINE('',#520881,#119809); #80795=LINE('',#520883,#119810); #80796=LINE('',#520884,#119811); #80797=LINE('',#520887,#119812); #80798=LINE('',#520889,#119813); #80799=LINE('',#520890,#119814); #80800=LINE('',#520893,#119815); #80801=LINE('',#520895,#119816); #80802=LINE('',#520896,#119817); #80803=LINE('',#520899,#119818); #80804=LINE('',#520901,#119819); #80805=LINE('',#520902,#119820); #80806=LINE('',#520905,#119821); #80807=LINE('',#520907,#119822); #80808=LINE('',#520908,#119823); #80809=LINE('',#520911,#119824); #80810=LINE('',#520913,#119825); #80811=LINE('',#520914,#119826); #80812=LINE('',#520917,#119827); #80813=LINE('',#520919,#119828); #80814=LINE('',#520920,#119829); #80815=LINE('',#520923,#119830); #80816=LINE('',#520925,#119831); #80817=LINE('',#520926,#119832); #80818=LINE('',#520929,#119833); #80819=LINE('',#520931,#119834); #80820=LINE('',#520932,#119835); #80821=LINE('',#520935,#119836); #80822=LINE('',#520937,#119837); #80823=LINE('',#520938,#119838); #80824=LINE('',#520941,#119839); #80825=LINE('',#520943,#119840); #80826=LINE('',#520944,#119841); #80827=LINE('',#520947,#119842); #80828=LINE('',#520949,#119843); #80829=LINE('',#520950,#119844); #80830=LINE('',#520953,#119845); #80831=LINE('',#520955,#119846); #80832=LINE('',#520956,#119847); #80833=LINE('',#520959,#119848); #80834=LINE('',#520961,#119849); #80835=LINE('',#520962,#119850); #80836=LINE('',#520965,#119851); #80837=LINE('',#520967,#119852); #80838=LINE('',#520968,#119853); #80839=LINE('',#520971,#119854); #80840=LINE('',#520973,#119855); #80841=LINE('',#520974,#119856); #80842=LINE('',#520977,#119857); #80843=LINE('',#520979,#119858); #80844=LINE('',#520980,#119859); #80845=LINE('',#520983,#119860); #80846=LINE('',#520985,#119861); #80847=LINE('',#520986,#119862); #80848=LINE('',#520989,#119863); #80849=LINE('',#520991,#119864); #80850=LINE('',#520992,#119865); #80851=LINE('',#520995,#119866); #80852=LINE('',#520997,#119867); #80853=LINE('',#520998,#119868); #80854=LINE('',#521001,#119869); #80855=LINE('',#521003,#119870); #80856=LINE('',#521004,#119871); #80857=LINE('',#521007,#119872); #80858=LINE('',#521009,#119873); #80859=LINE('',#521010,#119874); #80860=LINE('',#521013,#119875); #80861=LINE('',#521015,#119876); #80862=LINE('',#521016,#119877); #80863=LINE('',#521019,#119878); #80864=LINE('',#521021,#119879); #80865=LINE('',#521022,#119880); #80866=LINE('',#521025,#119881); #80867=LINE('',#521027,#119882); #80868=LINE('',#521028,#119883); #80869=LINE('',#521031,#119884); #80870=LINE('',#521033,#119885); #80871=LINE('',#521034,#119886); #80872=LINE('',#521037,#119887); #80873=LINE('',#521039,#119888); #80874=LINE('',#521040,#119889); #80875=LINE('',#521043,#119890); #80876=LINE('',#521045,#119891); #80877=LINE('',#521046,#119892); #80878=LINE('',#521049,#119893); #80879=LINE('',#521051,#119894); #80880=LINE('',#521052,#119895); #80881=LINE('',#521055,#119896); #80882=LINE('',#521057,#119897); #80883=LINE('',#521058,#119898); #80884=LINE('',#521061,#119899); #80885=LINE('',#521063,#119900); #80886=LINE('',#521064,#119901); #80887=LINE('',#521067,#119902); #80888=LINE('',#521069,#119903); #80889=LINE('',#521070,#119904); #80890=LINE('',#521073,#119905); #80891=LINE('',#521075,#119906); #80892=LINE('',#521076,#119907); #80893=LINE('',#521079,#119908); #80894=LINE('',#521081,#119909); #80895=LINE('',#521082,#119910); #80896=LINE('',#521085,#119911); #80897=LINE('',#521087,#119912); #80898=LINE('',#521088,#119913); #80899=LINE('',#521091,#119914); #80900=LINE('',#521093,#119915); #80901=LINE('',#521094,#119916); #80902=LINE('',#521097,#119917); #80903=LINE('',#521099,#119918); #80904=LINE('',#521100,#119919); #80905=LINE('',#521103,#119920); #80906=LINE('',#521105,#119921); #80907=LINE('',#521106,#119922); #80908=LINE('',#521109,#119923); #80909=LINE('',#521111,#119924); #80910=LINE('',#521112,#119925); #80911=LINE('',#521115,#119926); #80912=LINE('',#521117,#119927); #80913=LINE('',#521118,#119928); #80914=LINE('',#521121,#119929); #80915=LINE('',#521123,#119930); #80916=LINE('',#521124,#119931); #80917=LINE('',#521127,#119932); #80918=LINE('',#521129,#119933); #80919=LINE('',#521130,#119934); #80920=LINE('',#521133,#119935); #80921=LINE('',#521135,#119936); #80922=LINE('',#521136,#119937); #80923=LINE('',#521139,#119938); #80924=LINE('',#521141,#119939); #80925=LINE('',#521142,#119940); #80926=LINE('',#521145,#119941); #80927=LINE('',#521147,#119942); #80928=LINE('',#521148,#119943); #80929=LINE('',#521151,#119944); #80930=LINE('',#521153,#119945); #80931=LINE('',#521154,#119946); #80932=LINE('',#521157,#119947); #80933=LINE('',#521159,#119948); #80934=LINE('',#521160,#119949); #80935=LINE('',#521163,#119950); #80936=LINE('',#521165,#119951); #80937=LINE('',#521166,#119952); #80938=LINE('',#521169,#119953); #80939=LINE('',#521171,#119954); #80940=LINE('',#521172,#119955); #80941=LINE('',#521175,#119956); #80942=LINE('',#521177,#119957); #80943=LINE('',#521178,#119958); #80944=LINE('',#521181,#119959); #80945=LINE('',#521183,#119960); #80946=LINE('',#521184,#119961); #80947=LINE('',#521187,#119962); #80948=LINE('',#521189,#119963); #80949=LINE('',#521190,#119964); #80950=LINE('',#521193,#119965); #80951=LINE('',#521195,#119966); #80952=LINE('',#521196,#119967); #80953=LINE('',#521199,#119968); #80954=LINE('',#521201,#119969); #80955=LINE('',#521202,#119970); #80956=LINE('',#521205,#119971); #80957=LINE('',#521207,#119972); #80958=LINE('',#521208,#119973); #80959=LINE('',#521211,#119974); #80960=LINE('',#521213,#119975); #80961=LINE('',#521214,#119976); #80962=LINE('',#521217,#119977); #80963=LINE('',#521219,#119978); #80964=LINE('',#521220,#119979); #80965=LINE('',#521223,#119980); #80966=LINE('',#521225,#119981); #80967=LINE('',#521226,#119982); #80968=LINE('',#521229,#119983); #80969=LINE('',#521231,#119984); #80970=LINE('',#521232,#119985); #80971=LINE('',#521235,#119986); #80972=LINE('',#521237,#119987); #80973=LINE('',#521238,#119988); #80974=LINE('',#521241,#119989); #80975=LINE('',#521243,#119990); #80976=LINE('',#521244,#119991); #80977=LINE('',#521247,#119992); #80978=LINE('',#521249,#119993); #80979=LINE('',#521250,#119994); #80980=LINE('',#521253,#119995); #80981=LINE('',#521255,#119996); #80982=LINE('',#521256,#119997); #80983=LINE('',#521259,#119998); #80984=LINE('',#521261,#119999); #80985=LINE('',#521262,#120000); #80986=LINE('',#521265,#120001); #80987=LINE('',#521267,#120002); #80988=LINE('',#521268,#120003); #80989=LINE('',#521271,#120004); #80990=LINE('',#521273,#120005); #80991=LINE('',#521274,#120006); #80992=LINE('',#521277,#120007); #80993=LINE('',#521279,#120008); #80994=LINE('',#521280,#120009); #80995=LINE('',#521283,#120010); #80996=LINE('',#521285,#120011); #80997=LINE('',#521286,#120012); #80998=LINE('',#521289,#120013); #80999=LINE('',#521291,#120014); #81000=LINE('',#521292,#120015); #81001=LINE('',#521295,#120016); #81002=LINE('',#521297,#120017); #81003=LINE('',#521298,#120018); #81004=LINE('',#521301,#120019); #81005=LINE('',#521303,#120020); #81006=LINE('',#521304,#120021); #81007=LINE('',#521307,#120022); #81008=LINE('',#521309,#120023); #81009=LINE('',#521310,#120024); #81010=LINE('',#521313,#120025); #81011=LINE('',#521315,#120026); #81012=LINE('',#521316,#120027); #81013=LINE('',#521319,#120028); #81014=LINE('',#521321,#120029); #81015=LINE('',#521322,#120030); #81016=LINE('',#521325,#120031); #81017=LINE('',#521327,#120032); #81018=LINE('',#521328,#120033); #81019=LINE('',#521331,#120034); #81020=LINE('',#521333,#120035); #81021=LINE('',#521334,#120036); #81022=LINE('',#521337,#120037); #81023=LINE('',#521339,#120038); #81024=LINE('',#521340,#120039); #81025=LINE('',#521343,#120040); #81026=LINE('',#521345,#120041); #81027=LINE('',#521346,#120042); #81028=LINE('',#521349,#120043); #81029=LINE('',#521351,#120044); #81030=LINE('',#521352,#120045); #81031=LINE('',#521355,#120046); #81032=LINE('',#521357,#120047); #81033=LINE('',#521358,#120048); #81034=LINE('',#521361,#120049); #81035=LINE('',#521363,#120050); #81036=LINE('',#521364,#120051); #81037=LINE('',#521367,#120052); #81038=LINE('',#521369,#120053); #81039=LINE('',#521370,#120054); #81040=LINE('',#521373,#120055); #81041=LINE('',#521375,#120056); #81042=LINE('',#521376,#120057); #81043=LINE('',#521379,#120058); #81044=LINE('',#521381,#120059); #81045=LINE('',#521382,#120060); #81046=LINE('',#521385,#120061); #81047=LINE('',#521387,#120062); #81048=LINE('',#521388,#120063); #81049=LINE('',#521391,#120064); #81050=LINE('',#521393,#120065); #81051=LINE('',#521394,#120066); #81052=LINE('',#521397,#120067); #81053=LINE('',#521399,#120068); #81054=LINE('',#521400,#120069); #81055=LINE('',#521403,#120070); #81056=LINE('',#521405,#120071); #81057=LINE('',#521406,#120072); #81058=LINE('',#521409,#120073); #81059=LINE('',#521411,#120074); #81060=LINE('',#521412,#120075); #81061=LINE('',#521415,#120076); #81062=LINE('',#521417,#120077); #81063=LINE('',#521418,#120078); #81064=LINE('',#521421,#120079); #81065=LINE('',#521423,#120080); #81066=LINE('',#521424,#120081); #81067=LINE('',#521427,#120082); #81068=LINE('',#521429,#120083); #81069=LINE('',#521430,#120084); #81070=LINE('',#521433,#120085); #81071=LINE('',#521435,#120086); #81072=LINE('',#521436,#120087); #81073=LINE('',#521439,#120088); #81074=LINE('',#521441,#120089); #81075=LINE('',#521442,#120090); #81076=LINE('',#521445,#120091); #81077=LINE('',#521447,#120092); #81078=LINE('',#521448,#120093); #81079=LINE('',#521451,#120094); #81080=LINE('',#521453,#120095); #81081=LINE('',#521454,#120096); #81082=LINE('',#521457,#120097); #81083=LINE('',#521459,#120098); #81084=LINE('',#521460,#120099); #81085=LINE('',#521463,#120100); #81086=LINE('',#521465,#120101); #81087=LINE('',#521466,#120102); #81088=LINE('',#521469,#120103); #81089=LINE('',#521471,#120104); #81090=LINE('',#521472,#120105); #81091=LINE('',#521475,#120106); #81092=LINE('',#521477,#120107); #81093=LINE('',#521478,#120108); #81094=LINE('',#521481,#120109); #81095=LINE('',#521483,#120110); #81096=LINE('',#521484,#120111); #81097=LINE('',#521487,#120112); #81098=LINE('',#521489,#120113); #81099=LINE('',#521490,#120114); #81100=LINE('',#521493,#120115); #81101=LINE('',#521495,#120116); #81102=LINE('',#521496,#120117); #81103=LINE('',#521499,#120118); #81104=LINE('',#521501,#120119); #81105=LINE('',#521502,#120120); #81106=LINE('',#521505,#120121); #81107=LINE('',#521507,#120122); #81108=LINE('',#521508,#120123); #81109=LINE('',#521511,#120124); #81110=LINE('',#521513,#120125); #81111=LINE('',#521514,#120126); #81112=LINE('',#521517,#120127); #81113=LINE('',#521519,#120128); #81114=LINE('',#521520,#120129); #81115=LINE('',#521523,#120130); #81116=LINE('',#521525,#120131); #81117=LINE('',#521526,#120132); #81118=LINE('',#521529,#120133); #81119=LINE('',#521531,#120134); #81120=LINE('',#521532,#120135); #81121=LINE('',#521535,#120136); #81122=LINE('',#521537,#120137); #81123=LINE('',#521538,#120138); #81124=LINE('',#521541,#120139); #81125=LINE('',#521543,#120140); #81126=LINE('',#521544,#120141); #81127=LINE('',#521547,#120142); #81128=LINE('',#521549,#120143); #81129=LINE('',#521550,#120144); #81130=LINE('',#521553,#120145); #81131=LINE('',#521555,#120146); #81132=LINE('',#521556,#120147); #81133=LINE('',#521559,#120148); #81134=LINE('',#521561,#120149); #81135=LINE('',#521562,#120150); #81136=LINE('',#521565,#120151); #81137=LINE('',#521567,#120152); #81138=LINE('',#521568,#120153); #81139=LINE('',#521571,#120154); #81140=LINE('',#521573,#120155); #81141=LINE('',#521574,#120156); #81142=LINE('',#521577,#120157); #81143=LINE('',#521579,#120158); #81144=LINE('',#521580,#120159); #81145=LINE('',#521583,#120160); #81146=LINE('',#521585,#120161); #81147=LINE('',#521586,#120162); #81148=LINE('',#521589,#120163); #81149=LINE('',#521591,#120164); #81150=LINE('',#521592,#120165); #81151=LINE('',#521595,#120166); #81152=LINE('',#521597,#120167); #81153=LINE('',#521598,#120168); #81154=LINE('',#521601,#120169); #81155=LINE('',#521603,#120170); #81156=LINE('',#521604,#120171); #81157=LINE('',#521607,#120172); #81158=LINE('',#521609,#120173); #81159=LINE('',#521610,#120174); #81160=LINE('',#521613,#120175); #81161=LINE('',#521615,#120176); #81162=LINE('',#521616,#120177); #81163=LINE('',#521619,#120178); #81164=LINE('',#521621,#120179); #81165=LINE('',#521622,#120180); #81166=LINE('',#521625,#120181); #81167=LINE('',#521627,#120182); #81168=LINE('',#521628,#120183); #81169=LINE('',#521631,#120184); #81170=LINE('',#521633,#120185); #81171=LINE('',#521634,#120186); #81172=LINE('',#521637,#120187); #81173=LINE('',#521639,#120188); #81174=LINE('',#521640,#120189); #81175=LINE('',#521643,#120190); #81176=LINE('',#521645,#120191); #81177=LINE('',#521646,#120192); #81178=LINE('',#521649,#120193); #81179=LINE('',#521651,#120194); #81180=LINE('',#521652,#120195); #81181=LINE('',#521655,#120196); #81182=LINE('',#521657,#120197); #81183=LINE('',#521658,#120198); #81184=LINE('',#521661,#120199); #81185=LINE('',#521663,#120200); #81186=LINE('',#521664,#120201); #81187=LINE('',#521667,#120202); #81188=LINE('',#521669,#120203); #81189=LINE('',#521670,#120204); #81190=LINE('',#521673,#120205); #81191=LINE('',#521675,#120206); #81192=LINE('',#521676,#120207); #81193=LINE('',#521679,#120208); #81194=LINE('',#521681,#120209); #81195=LINE('',#521682,#120210); #81196=LINE('',#521685,#120211); #81197=LINE('',#521687,#120212); #81198=LINE('',#521688,#120213); #81199=LINE('',#521691,#120214); #81200=LINE('',#521693,#120215); #81201=LINE('',#521694,#120216); #81202=LINE('',#521697,#120217); #81203=LINE('',#521699,#120218); #81204=LINE('',#521700,#120219); #81205=LINE('',#521703,#120220); #81206=LINE('',#521705,#120221); #81207=LINE('',#521706,#120222); #81208=LINE('',#521709,#120223); #81209=LINE('',#521711,#120224); #81210=LINE('',#521712,#120225); #81211=LINE('',#521715,#120226); #81212=LINE('',#521717,#120227); #81213=LINE('',#521718,#120228); #81214=LINE('',#521721,#120229); #81215=LINE('',#521723,#120230); #81216=LINE('',#521724,#120231); #81217=LINE('',#521727,#120232); #81218=LINE('',#521729,#120233); #81219=LINE('',#521730,#120234); #81220=LINE('',#521733,#120235); #81221=LINE('',#521735,#120236); #81222=LINE('',#521736,#120237); #81223=LINE('',#521739,#120238); #81224=LINE('',#521741,#120239); #81225=LINE('',#521742,#120240); #81226=LINE('',#521745,#120241); #81227=LINE('',#521747,#120242); #81228=LINE('',#521748,#120243); #81229=LINE('',#521751,#120244); #81230=LINE('',#521753,#120245); #81231=LINE('',#521754,#120246); #81232=LINE('',#521757,#120247); #81233=LINE('',#521759,#120248); #81234=LINE('',#521760,#120249); #81235=LINE('',#521763,#120250); #81236=LINE('',#521765,#120251); #81237=LINE('',#521766,#120252); #81238=LINE('',#521769,#120253); #81239=LINE('',#521771,#120254); #81240=LINE('',#521772,#120255); #81241=LINE('',#521775,#120256); #81242=LINE('',#521777,#120257); #81243=LINE('',#521778,#120258); #81244=LINE('',#521781,#120259); #81245=LINE('',#521783,#120260); #81246=LINE('',#521784,#120261); #81247=LINE('',#521787,#120262); #81248=LINE('',#521789,#120263); #81249=LINE('',#521790,#120264); #81250=LINE('',#521793,#120265); #81251=LINE('',#521795,#120266); #81252=LINE('',#521796,#120267); #81253=LINE('',#521799,#120268); #81254=LINE('',#521801,#120269); #81255=LINE('',#521802,#120270); #81256=LINE('',#521805,#120271); #81257=LINE('',#521807,#120272); #81258=LINE('',#521808,#120273); #81259=LINE('',#521811,#120274); #81260=LINE('',#521813,#120275); #81261=LINE('',#521814,#120276); #81262=LINE('',#521817,#120277); #81263=LINE('',#521819,#120278); #81264=LINE('',#521820,#120279); #81265=LINE('',#521823,#120280); #81266=LINE('',#521825,#120281); #81267=LINE('',#521826,#120282); #81268=LINE('',#521829,#120283); #81269=LINE('',#521831,#120284); #81270=LINE('',#521832,#120285); #81271=LINE('',#521835,#120286); #81272=LINE('',#521837,#120287); #81273=LINE('',#521838,#120288); #81274=LINE('',#521841,#120289); #81275=LINE('',#521843,#120290); #81276=LINE('',#521844,#120291); #81277=LINE('',#521847,#120292); #81278=LINE('',#521849,#120293); #81279=LINE('',#521850,#120294); #81280=LINE('',#521853,#120295); #81281=LINE('',#521855,#120296); #81282=LINE('',#521856,#120297); #81283=LINE('',#521859,#120298); #81284=LINE('',#521861,#120299); #81285=LINE('',#521862,#120300); #81286=LINE('',#521865,#120301); #81287=LINE('',#521867,#120302); #81288=LINE('',#521868,#120303); #81289=LINE('',#521871,#120304); #81290=LINE('',#521873,#120305); #81291=LINE('',#521874,#120306); #81292=LINE('',#521877,#120307); #81293=LINE('',#521879,#120308); #81294=LINE('',#521880,#120309); #81295=LINE('',#521883,#120310); #81296=LINE('',#521885,#120311); #81297=LINE('',#521886,#120312); #81298=LINE('',#521889,#120313); #81299=LINE('',#521891,#120314); #81300=LINE('',#521892,#120315); #81301=LINE('',#521895,#120316); #81302=LINE('',#521897,#120317); #81303=LINE('',#521898,#120318); #81304=LINE('',#521901,#120319); #81305=LINE('',#521903,#120320); #81306=LINE('',#521904,#120321); #81307=LINE('',#521907,#120322); #81308=LINE('',#521909,#120323); #81309=LINE('',#521910,#120324); #81310=LINE('',#521913,#120325); #81311=LINE('',#521915,#120326); #81312=LINE('',#521916,#120327); #81313=LINE('',#521919,#120328); #81314=LINE('',#521921,#120329); #81315=LINE('',#521922,#120330); #81316=LINE('',#521925,#120331); #81317=LINE('',#521927,#120332); #81318=LINE('',#521928,#120333); #81319=LINE('',#521931,#120334); #81320=LINE('',#521933,#120335); #81321=LINE('',#521934,#120336); #81322=LINE('',#521937,#120337); #81323=LINE('',#521939,#120338); #81324=LINE('',#521940,#120339); #81325=LINE('',#521943,#120340); #81326=LINE('',#521945,#120341); #81327=LINE('',#521946,#120342); #81328=LINE('',#521949,#120343); #81329=LINE('',#521951,#120344); #81330=LINE('',#521952,#120345); #81331=LINE('',#521955,#120346); #81332=LINE('',#521957,#120347); #81333=LINE('',#521958,#120348); #81334=LINE('',#521961,#120349); #81335=LINE('',#521963,#120350); #81336=LINE('',#521964,#120351); #81337=LINE('',#521967,#120352); #81338=LINE('',#521969,#120353); #81339=LINE('',#521970,#120354); #81340=LINE('',#521973,#120355); #81341=LINE('',#521975,#120356); #81342=LINE('',#521976,#120357); #81343=LINE('',#521979,#120358); #81344=LINE('',#521981,#120359); #81345=LINE('',#521982,#120360); #81346=LINE('',#521985,#120361); #81347=LINE('',#521987,#120362); #81348=LINE('',#521988,#120363); #81349=LINE('',#521991,#120364); #81350=LINE('',#521993,#120365); #81351=LINE('',#521994,#120366); #81352=LINE('',#521997,#120367); #81353=LINE('',#521999,#120368); #81354=LINE('',#522000,#120369); #81355=LINE('',#522003,#120370); #81356=LINE('',#522005,#120371); #81357=LINE('',#522006,#120372); #81358=LINE('',#522009,#120373); #81359=LINE('',#522011,#120374); #81360=LINE('',#522012,#120375); #81361=LINE('',#522015,#120376); #81362=LINE('',#522017,#120377); #81363=LINE('',#522018,#120378); #81364=LINE('',#522021,#120379); #81365=LINE('',#522023,#120380); #81366=LINE('',#522024,#120381); #81367=LINE('',#522027,#120382); #81368=LINE('',#522029,#120383); #81369=LINE('',#522030,#120384); #81370=LINE('',#522033,#120385); #81371=LINE('',#522035,#120386); #81372=LINE('',#522036,#120387); #81373=LINE('',#522039,#120388); #81374=LINE('',#522041,#120389); #81375=LINE('',#522042,#120390); #81376=LINE('',#522045,#120391); #81377=LINE('',#522047,#120392); #81378=LINE('',#522048,#120393); #81379=LINE('',#522051,#120394); #81380=LINE('',#522053,#120395); #81381=LINE('',#522054,#120396); #81382=LINE('',#522057,#120397); #81383=LINE('',#522059,#120398); #81384=LINE('',#522060,#120399); #81385=LINE('',#522063,#120400); #81386=LINE('',#522065,#120401); #81387=LINE('',#522066,#120402); #81388=LINE('',#522069,#120403); #81389=LINE('',#522071,#120404); #81390=LINE('',#522072,#120405); #81391=LINE('',#522075,#120406); #81392=LINE('',#522077,#120407); #81393=LINE('',#522078,#120408); #81394=LINE('',#522081,#120409); #81395=LINE('',#522083,#120410); #81396=LINE('',#522084,#120411); #81397=LINE('',#522087,#120412); #81398=LINE('',#522089,#120413); #81399=LINE('',#522090,#120414); #81400=LINE('',#522093,#120415); #81401=LINE('',#522095,#120416); #81402=LINE('',#522096,#120417); #81403=LINE('',#522099,#120418); #81404=LINE('',#522101,#120419); #81405=LINE('',#522102,#120420); #81406=LINE('',#522105,#120421); #81407=LINE('',#522107,#120422); #81408=LINE('',#522108,#120423); #81409=LINE('',#522111,#120424); #81410=LINE('',#522113,#120425); #81411=LINE('',#522114,#120426); #81412=LINE('',#522117,#120427); #81413=LINE('',#522119,#120428); #81414=LINE('',#522120,#120429); #81415=LINE('',#522123,#120430); #81416=LINE('',#522125,#120431); #81417=LINE('',#522126,#120432); #81418=LINE('',#522129,#120433); #81419=LINE('',#522131,#120434); #81420=LINE('',#522132,#120435); #81421=LINE('',#522135,#120436); #81422=LINE('',#522137,#120437); #81423=LINE('',#522138,#120438); #81424=LINE('',#522141,#120439); #81425=LINE('',#522143,#120440); #81426=LINE('',#522144,#120441); #81427=LINE('',#522147,#120442); #81428=LINE('',#522149,#120443); #81429=LINE('',#522150,#120444); #81430=LINE('',#522153,#120445); #81431=LINE('',#522155,#120446); #81432=LINE('',#522156,#120447); #81433=LINE('',#522159,#120448); #81434=LINE('',#522161,#120449); #81435=LINE('',#522162,#120450); #81436=LINE('',#522165,#120451); #81437=LINE('',#522167,#120452); #81438=LINE('',#522168,#120453); #81439=LINE('',#522171,#120454); #81440=LINE('',#522173,#120455); #81441=LINE('',#522174,#120456); #81442=LINE('',#522177,#120457); #81443=LINE('',#522179,#120458); #81444=LINE('',#522180,#120459); #81445=LINE('',#522183,#120460); #81446=LINE('',#522185,#120461); #81447=LINE('',#522186,#120462); #81448=LINE('',#522189,#120463); #81449=LINE('',#522191,#120464); #81450=LINE('',#522192,#120465); #81451=LINE('',#522195,#120466); #81452=LINE('',#522197,#120467); #81453=LINE('',#522198,#120468); #81454=LINE('',#522201,#120469); #81455=LINE('',#522203,#120470); #81456=LINE('',#522204,#120471); #81457=LINE('',#522207,#120472); #81458=LINE('',#522209,#120473); #81459=LINE('',#522210,#120474); #81460=LINE('',#522213,#120475); #81461=LINE('',#522215,#120476); #81462=LINE('',#522216,#120477); #81463=LINE('',#522219,#120478); #81464=LINE('',#522221,#120479); #81465=LINE('',#522222,#120480); #81466=LINE('',#522225,#120481); #81467=LINE('',#522227,#120482); #81468=LINE('',#522228,#120483); #81469=LINE('',#522231,#120484); #81470=LINE('',#522233,#120485); #81471=LINE('',#522234,#120486); #81472=LINE('',#522237,#120487); #81473=LINE('',#522239,#120488); #81474=LINE('',#522240,#120489); #81475=LINE('',#522243,#120490); #81476=LINE('',#522245,#120491); #81477=LINE('',#522246,#120492); #81478=LINE('',#522249,#120493); #81479=LINE('',#522251,#120494); #81480=LINE('',#522252,#120495); #81481=LINE('',#522255,#120496); #81482=LINE('',#522257,#120497); #81483=LINE('',#522258,#120498); #81484=LINE('',#522261,#120499); #81485=LINE('',#522263,#120500); #81486=LINE('',#522264,#120501); #81487=LINE('',#522267,#120502); #81488=LINE('',#522269,#120503); #81489=LINE('',#522270,#120504); #81490=LINE('',#522273,#120505); #81491=LINE('',#522275,#120506); #81492=LINE('',#522276,#120507); #81493=LINE('',#522279,#120508); #81494=LINE('',#522281,#120509); #81495=LINE('',#522282,#120510); #81496=LINE('',#522285,#120511); #81497=LINE('',#522287,#120512); #81498=LINE('',#522288,#120513); #81499=LINE('',#522291,#120514); #81500=LINE('',#522293,#120515); #81501=LINE('',#522294,#120516); #81502=LINE('',#522297,#120517); #81503=LINE('',#522299,#120518); #81504=LINE('',#522300,#120519); #81505=LINE('',#522303,#120520); #81506=LINE('',#522305,#120521); #81507=LINE('',#522306,#120522); #81508=LINE('',#522309,#120523); #81509=LINE('',#522311,#120524); #81510=LINE('',#522312,#120525); #81511=LINE('',#522315,#120526); #81512=LINE('',#522317,#120527); #81513=LINE('',#522318,#120528); #81514=LINE('',#522321,#120529); #81515=LINE('',#522323,#120530); #81516=LINE('',#522324,#120531); #81517=LINE('',#522327,#120532); #81518=LINE('',#522329,#120533); #81519=LINE('',#522330,#120534); #81520=LINE('',#522333,#120535); #81521=LINE('',#522335,#120536); #81522=LINE('',#522336,#120537); #81523=LINE('',#522339,#120538); #81524=LINE('',#522341,#120539); #81525=LINE('',#522342,#120540); #81526=LINE('',#522345,#120541); #81527=LINE('',#522347,#120542); #81528=LINE('',#522348,#120543); #81529=LINE('',#522351,#120544); #81530=LINE('',#522353,#120545); #81531=LINE('',#522354,#120546); #81532=LINE('',#522357,#120547); #81533=LINE('',#522359,#120548); #81534=LINE('',#522360,#120549); #81535=LINE('',#522363,#120550); #81536=LINE('',#522365,#120551); #81537=LINE('',#522366,#120552); #81538=LINE('',#522369,#120553); #81539=LINE('',#522371,#120554); #81540=LINE('',#522372,#120555); #81541=LINE('',#522375,#120556); #81542=LINE('',#522377,#120557); #81543=LINE('',#522378,#120558); #81544=LINE('',#522381,#120559); #81545=LINE('',#522383,#120560); #81546=LINE('',#522384,#120561); #81547=LINE('',#522387,#120562); #81548=LINE('',#522389,#120563); #81549=LINE('',#522390,#120564); #81550=LINE('',#522393,#120565); #81551=LINE('',#522395,#120566); #81552=LINE('',#522396,#120567); #81553=LINE('',#522399,#120568); #81554=LINE('',#522401,#120569); #81555=LINE('',#522402,#120570); #81556=LINE('',#522405,#120571); #81557=LINE('',#522407,#120572); #81558=LINE('',#522408,#120573); #81559=LINE('',#522411,#120574); #81560=LINE('',#522413,#120575); #81561=LINE('',#522414,#120576); #81562=LINE('',#522417,#120577); #81563=LINE('',#522419,#120578); #81564=LINE('',#522420,#120579); #81565=LINE('',#522423,#120580); #81566=LINE('',#522425,#120581); #81567=LINE('',#522426,#120582); #81568=LINE('',#522429,#120583); #81569=LINE('',#522431,#120584); #81570=LINE('',#522432,#120585); #81571=LINE('',#522435,#120586); #81572=LINE('',#522437,#120587); #81573=LINE('',#522438,#120588); #81574=LINE('',#522441,#120589); #81575=LINE('',#522443,#120590); #81576=LINE('',#522444,#120591); #81577=LINE('',#522447,#120592); #81578=LINE('',#522449,#120593); #81579=LINE('',#522450,#120594); #81580=LINE('',#522453,#120595); #81581=LINE('',#522455,#120596); #81582=LINE('',#522456,#120597); #81583=LINE('',#522459,#120598); #81584=LINE('',#522461,#120599); #81585=LINE('',#522462,#120600); #81586=LINE('',#522465,#120601); #81587=LINE('',#522467,#120602); #81588=LINE('',#522468,#120603); #81589=LINE('',#522471,#120604); #81590=LINE('',#522473,#120605); #81591=LINE('',#522474,#120606); #81592=LINE('',#522477,#120607); #81593=LINE('',#522479,#120608); #81594=LINE('',#522480,#120609); #81595=LINE('',#522483,#120610); #81596=LINE('',#522485,#120611); #81597=LINE('',#522486,#120612); #81598=LINE('',#522489,#120613); #81599=LINE('',#522491,#120614); #81600=LINE('',#522492,#120615); #81601=LINE('',#522495,#120616); #81602=LINE('',#522497,#120617); #81603=LINE('',#522498,#120618); #81604=LINE('',#522501,#120619); #81605=LINE('',#522503,#120620); #81606=LINE('',#522504,#120621); #81607=LINE('',#522507,#120622); #81608=LINE('',#522509,#120623); #81609=LINE('',#522510,#120624); #81610=LINE('',#522513,#120625); #81611=LINE('',#522515,#120626); #81612=LINE('',#522516,#120627); #81613=LINE('',#522519,#120628); #81614=LINE('',#522521,#120629); #81615=LINE('',#522522,#120630); #81616=LINE('',#522525,#120631); #81617=LINE('',#522527,#120632); #81618=LINE('',#522528,#120633); #81619=LINE('',#522531,#120634); #81620=LINE('',#522533,#120635); #81621=LINE('',#522534,#120636); #81622=LINE('',#522537,#120637); #81623=LINE('',#522539,#120638); #81624=LINE('',#522540,#120639); #81625=LINE('',#522543,#120640); #81626=LINE('',#522545,#120641); #81627=LINE('',#522546,#120642); #81628=LINE('',#522549,#120643); #81629=LINE('',#522551,#120644); #81630=LINE('',#522552,#120645); #81631=LINE('',#522555,#120646); #81632=LINE('',#522557,#120647); #81633=LINE('',#522558,#120648); #81634=LINE('',#522561,#120649); #81635=LINE('',#522563,#120650); #81636=LINE('',#522564,#120651); #81637=LINE('',#522567,#120652); #81638=LINE('',#522569,#120653); #81639=LINE('',#522570,#120654); #81640=LINE('',#522573,#120655); #81641=LINE('',#522575,#120656); #81642=LINE('',#522576,#120657); #81643=LINE('',#522579,#120658); #81644=LINE('',#522581,#120659); #81645=LINE('',#522582,#120660); #81646=LINE('',#522585,#120661); #81647=LINE('',#522587,#120662); #81648=LINE('',#522588,#120663); #81649=LINE('',#522591,#120664); #81650=LINE('',#522593,#120665); #81651=LINE('',#522594,#120666); #81652=LINE('',#522597,#120667); #81653=LINE('',#522599,#120668); #81654=LINE('',#522600,#120669); #81655=LINE('',#522603,#120670); #81656=LINE('',#522605,#120671); #81657=LINE('',#522606,#120672); #81658=LINE('',#522609,#120673); #81659=LINE('',#522611,#120674); #81660=LINE('',#522612,#120675); #81661=LINE('',#522615,#120676); #81662=LINE('',#522617,#120677); #81663=LINE('',#522618,#120678); #81664=LINE('',#522621,#120679); #81665=LINE('',#522623,#120680); #81666=LINE('',#522624,#120681); #81667=LINE('',#522627,#120682); #81668=LINE('',#522629,#120683); #81669=LINE('',#522630,#120684); #81670=LINE('',#522633,#120685); #81671=LINE('',#522635,#120686); #81672=LINE('',#522636,#120687); #81673=LINE('',#522639,#120688); #81674=LINE('',#522641,#120689); #81675=LINE('',#522642,#120690); #81676=LINE('',#522645,#120691); #81677=LINE('',#522647,#120692); #81678=LINE('',#522648,#120693); #81679=LINE('',#522651,#120694); #81680=LINE('',#522653,#120695); #81681=LINE('',#522654,#120696); #81682=LINE('',#522657,#120697); #81683=LINE('',#522659,#120698); #81684=LINE('',#522660,#120699); #81685=LINE('',#522663,#120700); #81686=LINE('',#522665,#120701); #81687=LINE('',#522666,#120702); #81688=LINE('',#522669,#120703); #81689=LINE('',#522671,#120704); #81690=LINE('',#522672,#120705); #81691=LINE('',#522675,#120706); #81692=LINE('',#522677,#120707); #81693=LINE('',#522678,#120708); #81694=LINE('',#522681,#120709); #81695=LINE('',#522683,#120710); #81696=LINE('',#522684,#120711); #81697=LINE('',#522687,#120712); #81698=LINE('',#522689,#120713); #81699=LINE('',#522690,#120714); #81700=LINE('',#522693,#120715); #81701=LINE('',#522695,#120716); #81702=LINE('',#522696,#120717); #81703=LINE('',#522699,#120718); #81704=LINE('',#522701,#120719); #81705=LINE('',#522702,#120720); #81706=LINE('',#522705,#120721); #81707=LINE('',#522707,#120722); #81708=LINE('',#522708,#120723); #81709=LINE('',#522711,#120724); #81710=LINE('',#522713,#120725); #81711=LINE('',#522714,#120726); #81712=LINE('',#522717,#120727); #81713=LINE('',#522719,#120728); #81714=LINE('',#522720,#120729); #81715=LINE('',#522723,#120730); #81716=LINE('',#522725,#120731); #81717=LINE('',#522726,#120732); #81718=LINE('',#522729,#120733); #81719=LINE('',#522731,#120734); #81720=LINE('',#522732,#120735); #81721=LINE('',#522735,#120736); #81722=LINE('',#522737,#120737); #81723=LINE('',#522738,#120738); #81724=LINE('',#522741,#120739); #81725=LINE('',#522743,#120740); #81726=LINE('',#522744,#120741); #81727=LINE('',#522747,#120742); #81728=LINE('',#522749,#120743); #81729=LINE('',#522750,#120744); #81730=LINE('',#522753,#120745); #81731=LINE('',#522755,#120746); #81732=LINE('',#522756,#120747); #81733=LINE('',#522759,#120748); #81734=LINE('',#522761,#120749); #81735=LINE('',#522762,#120750); #81736=LINE('',#522764,#120751); #81737=LINE('',#522765,#120752); #81738=LINE('',#522769,#120753); #81739=LINE('',#522771,#120754); #81740=LINE('',#522773,#120755); #81741=LINE('',#522774,#120756); #81742=LINE('',#522777,#120757); #81743=LINE('',#522779,#120758); #81744=LINE('',#522780,#120759); #81745=LINE('',#522783,#120760); #81746=LINE('',#522785,#120761); #81747=LINE('',#522786,#120762); #81748=LINE('',#522789,#120763); #81749=LINE('',#522791,#120764); #81750=LINE('',#522792,#120765); #81751=LINE('',#522795,#120766); #81752=LINE('',#522797,#120767); #81753=LINE('',#522798,#120768); #81754=LINE('',#522801,#120769); #81755=LINE('',#522803,#120770); #81756=LINE('',#522804,#120771); #81757=LINE('',#522807,#120772); #81758=LINE('',#522809,#120773); #81759=LINE('',#522810,#120774); #81760=LINE('',#522813,#120775); #81761=LINE('',#522815,#120776); #81762=LINE('',#522816,#120777); #81763=LINE('',#522819,#120778); #81764=LINE('',#522821,#120779); #81765=LINE('',#522822,#120780); #81766=LINE('',#522825,#120781); #81767=LINE('',#522827,#120782); #81768=LINE('',#522828,#120783); #81769=LINE('',#522831,#120784); #81770=LINE('',#522833,#120785); #81771=LINE('',#522834,#120786); #81772=LINE('',#522837,#120787); #81773=LINE('',#522839,#120788); #81774=LINE('',#522840,#120789); #81775=LINE('',#522843,#120790); #81776=LINE('',#522845,#120791); #81777=LINE('',#522846,#120792); #81778=LINE('',#522849,#120793); #81779=LINE('',#522851,#120794); #81780=LINE('',#522852,#120795); #81781=LINE('',#522855,#120796); #81782=LINE('',#522857,#120797); #81783=LINE('',#522858,#120798); #81784=LINE('',#522861,#120799); #81785=LINE('',#522863,#120800); #81786=LINE('',#522864,#120801); #81787=LINE('',#522867,#120802); #81788=LINE('',#522869,#120803); #81789=LINE('',#522870,#120804); #81790=LINE('',#522873,#120805); #81791=LINE('',#522875,#120806); #81792=LINE('',#522876,#120807); #81793=LINE('',#522879,#120808); #81794=LINE('',#522881,#120809); #81795=LINE('',#522882,#120810); #81796=LINE('',#522885,#120811); #81797=LINE('',#522887,#120812); #81798=LINE('',#522888,#120813); #81799=LINE('',#522891,#120814); #81800=LINE('',#522893,#120815); #81801=LINE('',#522894,#120816); #81802=LINE('',#522897,#120817); #81803=LINE('',#522899,#120818); #81804=LINE('',#522900,#120819); #81805=LINE('',#522903,#120820); #81806=LINE('',#522905,#120821); #81807=LINE('',#522906,#120822); #81808=LINE('',#522909,#120823); #81809=LINE('',#522911,#120824); #81810=LINE('',#522912,#120825); #81811=LINE('',#522915,#120826); #81812=LINE('',#522917,#120827); #81813=LINE('',#522918,#120828); #81814=LINE('',#522921,#120829); #81815=LINE('',#522923,#120830); #81816=LINE('',#522924,#120831); #81817=LINE('',#522927,#120832); #81818=LINE('',#522929,#120833); #81819=LINE('',#522930,#120834); #81820=LINE('',#522933,#120835); #81821=LINE('',#522935,#120836); #81822=LINE('',#522936,#120837); #81823=LINE('',#522939,#120838); #81824=LINE('',#522941,#120839); #81825=LINE('',#522942,#120840); #81826=LINE('',#522945,#120841); #81827=LINE('',#522947,#120842); #81828=LINE('',#522948,#120843); #81829=LINE('',#522951,#120844); #81830=LINE('',#522953,#120845); #81831=LINE('',#522954,#120846); #81832=LINE('',#522957,#120847); #81833=LINE('',#522959,#120848); #81834=LINE('',#522960,#120849); #81835=LINE('',#522963,#120850); #81836=LINE('',#522965,#120851); #81837=LINE('',#522966,#120852); #81838=LINE('',#522969,#120853); #81839=LINE('',#522971,#120854); #81840=LINE('',#522972,#120855); #81841=LINE('',#522975,#120856); #81842=LINE('',#522977,#120857); #81843=LINE('',#522978,#120858); #81844=LINE('',#522981,#120859); #81845=LINE('',#522983,#120860); #81846=LINE('',#522984,#120861); #81847=LINE('',#522987,#120862); #81848=LINE('',#522989,#120863); #81849=LINE('',#522990,#120864); #81850=LINE('',#522993,#120865); #81851=LINE('',#522995,#120866); #81852=LINE('',#522996,#120867); #81853=LINE('',#522999,#120868); #81854=LINE('',#523001,#120869); #81855=LINE('',#523002,#120870); #81856=LINE('',#523005,#120871); #81857=LINE('',#523007,#120872); #81858=LINE('',#523008,#120873); #81859=LINE('',#523011,#120874); #81860=LINE('',#523013,#120875); #81861=LINE('',#523014,#120876); #81862=LINE('',#523017,#120877); #81863=LINE('',#523019,#120878); #81864=LINE('',#523020,#120879); #81865=LINE('',#523023,#120880); #81866=LINE('',#523025,#120881); #81867=LINE('',#523026,#120882); #81868=LINE('',#523029,#120883); #81869=LINE('',#523031,#120884); #81870=LINE('',#523032,#120885); #81871=LINE('',#523035,#120886); #81872=LINE('',#523037,#120887); #81873=LINE('',#523038,#120888); #81874=LINE('',#523041,#120889); #81875=LINE('',#523043,#120890); #81876=LINE('',#523044,#120891); #81877=LINE('',#523047,#120892); #81878=LINE('',#523049,#120893); #81879=LINE('',#523050,#120894); #81880=LINE('',#523053,#120895); #81881=LINE('',#523055,#120896); #81882=LINE('',#523056,#120897); #81883=LINE('',#523059,#120898); #81884=LINE('',#523061,#120899); #81885=LINE('',#523062,#120900); #81886=LINE('',#523065,#120901); #81887=LINE('',#523067,#120902); #81888=LINE('',#523068,#120903); #81889=LINE('',#523071,#120904); #81890=LINE('',#523073,#120905); #81891=LINE('',#523074,#120906); #81892=LINE('',#523077,#120907); #81893=LINE('',#523079,#120908); #81894=LINE('',#523080,#120909); #81895=LINE('',#523083,#120910); #81896=LINE('',#523085,#120911); #81897=LINE('',#523086,#120912); #81898=LINE('',#523089,#120913); #81899=LINE('',#523091,#120914); #81900=LINE('',#523092,#120915); #81901=LINE('',#523095,#120916); #81902=LINE('',#523097,#120917); #81903=LINE('',#523098,#120918); #81904=LINE('',#523101,#120919); #81905=LINE('',#523103,#120920); #81906=LINE('',#523104,#120921); #81907=LINE('',#523107,#120922); #81908=LINE('',#523109,#120923); #81909=LINE('',#523110,#120924); #81910=LINE('',#523113,#120925); #81911=LINE('',#523115,#120926); #81912=LINE('',#523116,#120927); #81913=LINE('',#523119,#120928); #81914=LINE('',#523121,#120929); #81915=LINE('',#523122,#120930); #81916=LINE('',#523125,#120931); #81917=LINE('',#523127,#120932); #81918=LINE('',#523128,#120933); #81919=LINE('',#523131,#120934); #81920=LINE('',#523133,#120935); #81921=LINE('',#523134,#120936); #81922=LINE('',#523137,#120937); #81923=LINE('',#523139,#120938); #81924=LINE('',#523140,#120939); #81925=LINE('',#523143,#120940); #81926=LINE('',#523145,#120941); #81927=LINE('',#523146,#120942); #81928=LINE('',#523149,#120943); #81929=LINE('',#523151,#120944); #81930=LINE('',#523152,#120945); #81931=LINE('',#523155,#120946); #81932=LINE('',#523157,#120947); #81933=LINE('',#523158,#120948); #81934=LINE('',#523161,#120949); #81935=LINE('',#523163,#120950); #81936=LINE('',#523164,#120951); #81937=LINE('',#523167,#120952); #81938=LINE('',#523169,#120953); #81939=LINE('',#523170,#120954); #81940=LINE('',#523173,#120955); #81941=LINE('',#523175,#120956); #81942=LINE('',#523176,#120957); #81943=LINE('',#523179,#120958); #81944=LINE('',#523181,#120959); #81945=LINE('',#523182,#120960); #81946=LINE('',#523185,#120961); #81947=LINE('',#523187,#120962); #81948=LINE('',#523188,#120963); #81949=LINE('',#523191,#120964); #81950=LINE('',#523193,#120965); #81951=LINE('',#523194,#120966); #81952=LINE('',#523197,#120967); #81953=LINE('',#523199,#120968); #81954=LINE('',#523200,#120969); #81955=LINE('',#523203,#120970); #81956=LINE('',#523205,#120971); #81957=LINE('',#523206,#120972); #81958=LINE('',#523209,#120973); #81959=LINE('',#523211,#120974); #81960=LINE('',#523212,#120975); #81961=LINE('',#523215,#120976); #81962=LINE('',#523217,#120977); #81963=LINE('',#523218,#120978); #81964=LINE('',#523221,#120979); #81965=LINE('',#523223,#120980); #81966=LINE('',#523224,#120981); #81967=LINE('',#523227,#120982); #81968=LINE('',#523229,#120983); #81969=LINE('',#523230,#120984); #81970=LINE('',#523233,#120985); #81971=LINE('',#523235,#120986); #81972=LINE('',#523236,#120987); #81973=LINE('',#523239,#120988); #81974=LINE('',#523241,#120989); #81975=LINE('',#523242,#120990); #81976=LINE('',#523245,#120991); #81977=LINE('',#523247,#120992); #81978=LINE('',#523248,#120993); #81979=LINE('',#523251,#120994); #81980=LINE('',#523253,#120995); #81981=LINE('',#523254,#120996); #81982=LINE('',#523257,#120997); #81983=LINE('',#523259,#120998); #81984=LINE('',#523260,#120999); #81985=LINE('',#523263,#121000); #81986=LINE('',#523265,#121001); #81987=LINE('',#523266,#121002); #81988=LINE('',#523269,#121003); #81989=LINE('',#523271,#121004); #81990=LINE('',#523272,#121005); #81991=LINE('',#523275,#121006); #81992=LINE('',#523277,#121007); #81993=LINE('',#523278,#121008); #81994=LINE('',#523281,#121009); #81995=LINE('',#523283,#121010); #81996=LINE('',#523284,#121011); #81997=LINE('',#523287,#121012); #81998=LINE('',#523289,#121013); #81999=LINE('',#523290,#121014); #82000=LINE('',#523293,#121015); #82001=LINE('',#523295,#121016); #82002=LINE('',#523296,#121017); #82003=LINE('',#523299,#121018); #82004=LINE('',#523301,#121019); #82005=LINE('',#523302,#121020); #82006=LINE('',#523305,#121021); #82007=LINE('',#523307,#121022); #82008=LINE('',#523308,#121023); #82009=LINE('',#523311,#121024); #82010=LINE('',#523313,#121025); #82011=LINE('',#523314,#121026); #82012=LINE('',#523317,#121027); #82013=LINE('',#523319,#121028); #82014=LINE('',#523320,#121029); #82015=LINE('',#523323,#121030); #82016=LINE('',#523325,#121031); #82017=LINE('',#523326,#121032); #82018=LINE('',#523329,#121033); #82019=LINE('',#523331,#121034); #82020=LINE('',#523332,#121035); #82021=LINE('',#523335,#121036); #82022=LINE('',#523337,#121037); #82023=LINE('',#523338,#121038); #82024=LINE('',#523341,#121039); #82025=LINE('',#523343,#121040); #82026=LINE('',#523344,#121041); #82027=LINE('',#523347,#121042); #82028=LINE('',#523349,#121043); #82029=LINE('',#523350,#121044); #82030=LINE('',#523353,#121045); #82031=LINE('',#523355,#121046); #82032=LINE('',#523356,#121047); #82033=LINE('',#523359,#121048); #82034=LINE('',#523361,#121049); #82035=LINE('',#523362,#121050); #82036=LINE('',#523365,#121051); #82037=LINE('',#523367,#121052); #82038=LINE('',#523368,#121053); #82039=LINE('',#523371,#121054); #82040=LINE('',#523373,#121055); #82041=LINE('',#523374,#121056); #82042=LINE('',#523377,#121057); #82043=LINE('',#523379,#121058); #82044=LINE('',#523380,#121059); #82045=LINE('',#523383,#121060); #82046=LINE('',#523385,#121061); #82047=LINE('',#523386,#121062); #82048=LINE('',#523389,#121063); #82049=LINE('',#523391,#121064); #82050=LINE('',#523392,#121065); #82051=LINE('',#523395,#121066); #82052=LINE('',#523397,#121067); #82053=LINE('',#523398,#121068); #82054=LINE('',#523401,#121069); #82055=LINE('',#523403,#121070); #82056=LINE('',#523404,#121071); #82057=LINE('',#523407,#121072); #82058=LINE('',#523409,#121073); #82059=LINE('',#523410,#121074); #82060=LINE('',#523413,#121075); #82061=LINE('',#523415,#121076); #82062=LINE('',#523416,#121077); #82063=LINE('',#523419,#121078); #82064=LINE('',#523421,#121079); #82065=LINE('',#523422,#121080); #82066=LINE('',#523425,#121081); #82067=LINE('',#523427,#121082); #82068=LINE('',#523428,#121083); #82069=LINE('',#523431,#121084); #82070=LINE('',#523433,#121085); #82071=LINE('',#523434,#121086); #82072=LINE('',#523437,#121087); #82073=LINE('',#523439,#121088); #82074=LINE('',#523440,#121089); #82075=LINE('',#523443,#121090); #82076=LINE('',#523445,#121091); #82077=LINE('',#523446,#121092); #82078=LINE('',#523449,#121093); #82079=LINE('',#523451,#121094); #82080=LINE('',#523452,#121095); #82081=LINE('',#523455,#121096); #82082=LINE('',#523457,#121097); #82083=LINE('',#523458,#121098); #82084=LINE('',#523461,#121099); #82085=LINE('',#523463,#121100); #82086=LINE('',#523464,#121101); #82087=LINE('',#523467,#121102); #82088=LINE('',#523469,#121103); #82089=LINE('',#523470,#121104); #82090=LINE('',#523473,#121105); #82091=LINE('',#523475,#121106); #82092=LINE('',#523476,#121107); #82093=LINE('',#523478,#121108); #82094=LINE('',#523479,#121109); #82095=LINE('',#523483,#121110); #82096=LINE('',#523485,#121111); #82097=LINE('',#523487,#121112); #82098=LINE('',#523488,#121113); #82099=LINE('',#523491,#121114); #82100=LINE('',#523493,#121115); #82101=LINE('',#523494,#121116); #82102=LINE('',#523497,#121117); #82103=LINE('',#523499,#121118); #82104=LINE('',#523500,#121119); #82105=LINE('',#523503,#121120); #82106=LINE('',#523505,#121121); #82107=LINE('',#523506,#121122); #82108=LINE('',#523509,#121123); #82109=LINE('',#523511,#121124); #82110=LINE('',#523512,#121125); #82111=LINE('',#523515,#121126); #82112=LINE('',#523517,#121127); #82113=LINE('',#523518,#121128); #82114=LINE('',#523521,#121129); #82115=LINE('',#523523,#121130); #82116=LINE('',#523524,#121131); #82117=LINE('',#523526,#121132); #82118=LINE('',#523527,#121133); #82119=LINE('',#523531,#121134); #82120=LINE('',#523533,#121135); #82121=LINE('',#523535,#121136); #82122=LINE('',#523536,#121137); #82123=LINE('',#523539,#121138); #82124=LINE('',#523541,#121139); #82125=LINE('',#523542,#121140); #82126=LINE('',#523545,#121141); #82127=LINE('',#523547,#121142); #82128=LINE('',#523548,#121143); #82129=LINE('',#523551,#121144); #82130=LINE('',#523553,#121145); #82131=LINE('',#523554,#121146); #82132=LINE('',#523557,#121147); #82133=LINE('',#523559,#121148); #82134=LINE('',#523560,#121149); #82135=LINE('',#523563,#121150); #82136=LINE('',#523565,#121151); #82137=LINE('',#523566,#121152); #82138=LINE('',#523569,#121153); #82139=LINE('',#523571,#121154); #82140=LINE('',#523572,#121155); #82141=LINE('',#523575,#121156); #82142=LINE('',#523577,#121157); #82143=LINE('',#523578,#121158); #82144=LINE('',#523581,#121159); #82145=LINE('',#523583,#121160); #82146=LINE('',#523584,#121161); #82147=LINE('',#523587,#121162); #82148=LINE('',#523589,#121163); #82149=LINE('',#523590,#121164); #82150=LINE('',#523593,#121165); #82151=LINE('',#523595,#121166); #82152=LINE('',#523596,#121167); #82153=LINE('',#523599,#121168); #82154=LINE('',#523601,#121169); #82155=LINE('',#523602,#121170); #82156=LINE('',#523605,#121171); #82157=LINE('',#523607,#121172); #82158=LINE('',#523608,#121173); #82159=LINE('',#523611,#121174); #82160=LINE('',#523613,#121175); #82161=LINE('',#523614,#121176); #82162=LINE('',#523617,#121177); #82163=LINE('',#523619,#121178); #82164=LINE('',#523620,#121179); #82165=LINE('',#523623,#121180); #82166=LINE('',#523625,#121181); #82167=LINE('',#523626,#121182); #82168=LINE('',#523629,#121183); #82169=LINE('',#523631,#121184); #82170=LINE('',#523632,#121185); #82171=LINE('',#523635,#121186); #82172=LINE('',#523637,#121187); #82173=LINE('',#523638,#121188); #82174=LINE('',#523641,#121189); #82175=LINE('',#523643,#121190); #82176=LINE('',#523644,#121191); #82177=LINE('',#523647,#121192); #82178=LINE('',#523649,#121193); #82179=LINE('',#523650,#121194); #82180=LINE('',#523653,#121195); #82181=LINE('',#523655,#121196); #82182=LINE('',#523656,#121197); #82183=LINE('',#523659,#121198); #82184=LINE('',#523661,#121199); #82185=LINE('',#523662,#121200); #82186=LINE('',#523665,#121201); #82187=LINE('',#523667,#121202); #82188=LINE('',#523668,#121203); #82189=LINE('',#523671,#121204); #82190=LINE('',#523673,#121205); #82191=LINE('',#523674,#121206); #82192=LINE('',#523677,#121207); #82193=LINE('',#523679,#121208); #82194=LINE('',#523680,#121209); #82195=LINE('',#523683,#121210); #82196=LINE('',#523685,#121211); #82197=LINE('',#523686,#121212); #82198=LINE('',#523689,#121213); #82199=LINE('',#523691,#121214); #82200=LINE('',#523692,#121215); #82201=LINE('',#523695,#121216); #82202=LINE('',#523697,#121217); #82203=LINE('',#523698,#121218); #82204=LINE('',#523701,#121219); #82205=LINE('',#523703,#121220); #82206=LINE('',#523704,#121221); #82207=LINE('',#523707,#121222); #82208=LINE('',#523709,#121223); #82209=LINE('',#523710,#121224); #82210=LINE('',#523713,#121225); #82211=LINE('',#523715,#121226); #82212=LINE('',#523716,#121227); #82213=LINE('',#523719,#121228); #82214=LINE('',#523721,#121229); #82215=LINE('',#523722,#121230); #82216=LINE('',#523725,#121231); #82217=LINE('',#523727,#121232); #82218=LINE('',#523728,#121233); #82219=LINE('',#523731,#121234); #82220=LINE('',#523733,#121235); #82221=LINE('',#523734,#121236); #82222=LINE('',#523737,#121237); #82223=LINE('',#523739,#121238); #82224=LINE('',#523740,#121239); #82225=LINE('',#523743,#121240); #82226=LINE('',#523745,#121241); #82227=LINE('',#523746,#121242); #82228=LINE('',#523749,#121243); #82229=LINE('',#523751,#121244); #82230=LINE('',#523752,#121245); #82231=LINE('',#523755,#121246); #82232=LINE('',#523757,#121247); #82233=LINE('',#523758,#121248); #82234=LINE('',#523761,#121249); #82235=LINE('',#523763,#121250); #82236=LINE('',#523764,#121251); #82237=LINE('',#523767,#121252); #82238=LINE('',#523769,#121253); #82239=LINE('',#523770,#121254); #82240=LINE('',#523773,#121255); #82241=LINE('',#523775,#121256); #82242=LINE('',#523776,#121257); #82243=LINE('',#523779,#121258); #82244=LINE('',#523781,#121259); #82245=LINE('',#523782,#121260); #82246=LINE('',#523785,#121261); #82247=LINE('',#523787,#121262); #82248=LINE('',#523788,#121263); #82249=LINE('',#523791,#121264); #82250=LINE('',#523793,#121265); #82251=LINE('',#523794,#121266); #82252=LINE('',#523797,#121267); #82253=LINE('',#523799,#121268); #82254=LINE('',#523800,#121269); #82255=LINE('',#523803,#121270); #82256=LINE('',#523805,#121271); #82257=LINE('',#523806,#121272); #82258=LINE('',#523809,#121273); #82259=LINE('',#523811,#121274); #82260=LINE('',#523812,#121275); #82261=LINE('',#523815,#121276); #82262=LINE('',#523817,#121277); #82263=LINE('',#523818,#121278); #82264=LINE('',#523821,#121279); #82265=LINE('',#523823,#121280); #82266=LINE('',#523824,#121281); #82267=LINE('',#523827,#121282); #82268=LINE('',#523829,#121283); #82269=LINE('',#523830,#121284); #82270=LINE('',#523833,#121285); #82271=LINE('',#523835,#121286); #82272=LINE('',#523836,#121287); #82273=LINE('',#523839,#121288); #82274=LINE('',#523841,#121289); #82275=LINE('',#523842,#121290); #82276=LINE('',#523845,#121291); #82277=LINE('',#523847,#121292); #82278=LINE('',#523848,#121293); #82279=LINE('',#523851,#121294); #82280=LINE('',#523853,#121295); #82281=LINE('',#523854,#121296); #82282=LINE('',#523857,#121297); #82283=LINE('',#523859,#121298); #82284=LINE('',#523860,#121299); #82285=LINE('',#523863,#121300); #82286=LINE('',#523865,#121301); #82287=LINE('',#523866,#121302); #82288=LINE('',#523869,#121303); #82289=LINE('',#523871,#121304); #82290=LINE('',#523872,#121305); #82291=LINE('',#523875,#121306); #82292=LINE('',#523877,#121307); #82293=LINE('',#523878,#121308); #82294=LINE('',#523881,#121309); #82295=LINE('',#523883,#121310); #82296=LINE('',#523884,#121311); #82297=LINE('',#523887,#121312); #82298=LINE('',#523889,#121313); #82299=LINE('',#523890,#121314); #82300=LINE('',#523893,#121315); #82301=LINE('',#523895,#121316); #82302=LINE('',#523896,#121317); #82303=LINE('',#523899,#121318); #82304=LINE('',#523901,#121319); #82305=LINE('',#523902,#121320); #82306=LINE('',#523905,#121321); #82307=LINE('',#523907,#121322); #82308=LINE('',#523908,#121323); #82309=LINE('',#523911,#121324); #82310=LINE('',#523913,#121325); #82311=LINE('',#523914,#121326); #82312=LINE('',#523917,#121327); #82313=LINE('',#523919,#121328); #82314=LINE('',#523920,#121329); #82315=LINE('',#523923,#121330); #82316=LINE('',#523925,#121331); #82317=LINE('',#523926,#121332); #82318=LINE('',#523929,#121333); #82319=LINE('',#523931,#121334); #82320=LINE('',#523932,#121335); #82321=LINE('',#523935,#121336); #82322=LINE('',#523937,#121337); #82323=LINE('',#523938,#121338); #82324=LINE('',#523941,#121339); #82325=LINE('',#523943,#121340); #82326=LINE('',#523944,#121341); #82327=LINE('',#523947,#121342); #82328=LINE('',#523949,#121343); #82329=LINE('',#523950,#121344); #82330=LINE('',#523953,#121345); #82331=LINE('',#523955,#121346); #82332=LINE('',#523956,#121347); #82333=LINE('',#523959,#121348); #82334=LINE('',#523961,#121349); #82335=LINE('',#523962,#121350); #82336=LINE('',#523965,#121351); #82337=LINE('',#523967,#121352); #82338=LINE('',#523968,#121353); #82339=LINE('',#523971,#121354); #82340=LINE('',#523973,#121355); #82341=LINE('',#523974,#121356); #82342=LINE('',#523977,#121357); #82343=LINE('',#523979,#121358); #82344=LINE('',#523980,#121359); #82345=LINE('',#523983,#121360); #82346=LINE('',#523985,#121361); #82347=LINE('',#523986,#121362); #82348=LINE('',#523989,#121363); #82349=LINE('',#523991,#121364); #82350=LINE('',#523992,#121365); #82351=LINE('',#523995,#121366); #82352=LINE('',#523997,#121367); #82353=LINE('',#523998,#121368); #82354=LINE('',#524001,#121369); #82355=LINE('',#524003,#121370); #82356=LINE('',#524004,#121371); #82357=LINE('',#524007,#121372); #82358=LINE('',#524009,#121373); #82359=LINE('',#524010,#121374); #82360=LINE('',#524013,#121375); #82361=LINE('',#524015,#121376); #82362=LINE('',#524016,#121377); #82363=LINE('',#524019,#121378); #82364=LINE('',#524021,#121379); #82365=LINE('',#524022,#121380); #82366=LINE('',#524025,#121381); #82367=LINE('',#524027,#121382); #82368=LINE('',#524028,#121383); #82369=LINE('',#524031,#121384); #82370=LINE('',#524033,#121385); #82371=LINE('',#524034,#121386); #82372=LINE('',#524037,#121387); #82373=LINE('',#524039,#121388); #82374=LINE('',#524040,#121389); #82375=LINE('',#524043,#121390); #82376=LINE('',#524045,#121391); #82377=LINE('',#524046,#121392); #82378=LINE('',#524049,#121393); #82379=LINE('',#524051,#121394); #82380=LINE('',#524052,#121395); #82381=LINE('',#524055,#121396); #82382=LINE('',#524057,#121397); #82383=LINE('',#524058,#121398); #82384=LINE('',#524061,#121399); #82385=LINE('',#524063,#121400); #82386=LINE('',#524064,#121401); #82387=LINE('',#524067,#121402); #82388=LINE('',#524069,#121403); #82389=LINE('',#524070,#121404); #82390=LINE('',#524073,#121405); #82391=LINE('',#524075,#121406); #82392=LINE('',#524076,#121407); #82393=LINE('',#524079,#121408); #82394=LINE('',#524081,#121409); #82395=LINE('',#524082,#121410); #82396=LINE('',#524085,#121411); #82397=LINE('',#524087,#121412); #82398=LINE('',#524088,#121413); #82399=LINE('',#524091,#121414); #82400=LINE('',#524093,#121415); #82401=LINE('',#524094,#121416); #82402=LINE('',#524097,#121417); #82403=LINE('',#524099,#121418); #82404=LINE('',#524100,#121419); #82405=LINE('',#524103,#121420); #82406=LINE('',#524105,#121421); #82407=LINE('',#524106,#121422); #82408=LINE('',#524109,#121423); #82409=LINE('',#524111,#121424); #82410=LINE('',#524112,#121425); #82411=LINE('',#524115,#121426); #82412=LINE('',#524117,#121427); #82413=LINE('',#524118,#121428); #82414=LINE('',#524121,#121429); #82415=LINE('',#524123,#121430); #82416=LINE('',#524124,#121431); #82417=LINE('',#524127,#121432); #82418=LINE('',#524129,#121433); #82419=LINE('',#524130,#121434); #82420=LINE('',#524133,#121435); #82421=LINE('',#524135,#121436); #82422=LINE('',#524136,#121437); #82423=LINE('',#524139,#121438); #82424=LINE('',#524141,#121439); #82425=LINE('',#524142,#121440); #82426=LINE('',#524145,#121441); #82427=LINE('',#524147,#121442); #82428=LINE('',#524148,#121443); #82429=LINE('',#524151,#121444); #82430=LINE('',#524153,#121445); #82431=LINE('',#524154,#121446); #82432=LINE('',#524157,#121447); #82433=LINE('',#524159,#121448); #82434=LINE('',#524160,#121449); #82435=LINE('',#524163,#121450); #82436=LINE('',#524165,#121451); #82437=LINE('',#524166,#121452); #82438=LINE('',#524169,#121453); #82439=LINE('',#524171,#121454); #82440=LINE('',#524172,#121455); #82441=LINE('',#524175,#121456); #82442=LINE('',#524177,#121457); #82443=LINE('',#524178,#121458); #82444=LINE('',#524181,#121459); #82445=LINE('',#524183,#121460); #82446=LINE('',#524184,#121461); #82447=LINE('',#524187,#121462); #82448=LINE('',#524189,#121463); #82449=LINE('',#524190,#121464); #82450=LINE('',#524193,#121465); #82451=LINE('',#524195,#121466); #82452=LINE('',#524196,#121467); #82453=LINE('',#524199,#121468); #82454=LINE('',#524201,#121469); #82455=LINE('',#524202,#121470); #82456=LINE('',#524205,#121471); #82457=LINE('',#524207,#121472); #82458=LINE('',#524208,#121473); #82459=LINE('',#524211,#121474); #82460=LINE('',#524213,#121475); #82461=LINE('',#524214,#121476); #82462=LINE('',#524217,#121477); #82463=LINE('',#524219,#121478); #82464=LINE('',#524220,#121479); #82465=LINE('',#524223,#121480); #82466=LINE('',#524225,#121481); #82467=LINE('',#524226,#121482); #82468=LINE('',#524229,#121483); #82469=LINE('',#524231,#121484); #82470=LINE('',#524232,#121485); #82471=LINE('',#524235,#121486); #82472=LINE('',#524237,#121487); #82473=LINE('',#524238,#121488); #82474=LINE('',#524241,#121489); #82475=LINE('',#524243,#121490); #82476=LINE('',#524244,#121491); #82477=LINE('',#524246,#121492); #82478=LINE('',#524247,#121493); #82479=LINE('',#524253,#121494); #82480=LINE('',#524255,#121495); #82481=LINE('',#524257,#121496); #82482=LINE('',#524258,#121497); #82483=LINE('',#524261,#121498); #82484=LINE('',#524263,#121499); #82485=LINE('',#524264,#121500); #82486=LINE('',#524267,#121501); #82487=LINE('',#524269,#121502); #82488=LINE('',#524270,#121503); #82489=LINE('',#524273,#121504); #82490=LINE('',#524275,#121505); #82491=LINE('',#524276,#121506); #82492=LINE('',#524279,#121507); #82493=LINE('',#524281,#121508); #82494=LINE('',#524282,#121509); #82495=LINE('',#524285,#121510); #82496=LINE('',#524287,#121511); #82497=LINE('',#524288,#121512); #82498=LINE('',#524291,#121513); #82499=LINE('',#524293,#121514); #82500=LINE('',#524294,#121515); #82501=LINE('',#524297,#121516); #82502=LINE('',#524299,#121517); #82503=LINE('',#524300,#121518); #82504=LINE('',#524303,#121519); #82505=LINE('',#524305,#121520); #82506=LINE('',#524306,#121521); #82507=LINE('',#524309,#121522); #82508=LINE('',#524311,#121523); #82509=LINE('',#524312,#121524); #82510=LINE('',#524315,#121525); #82511=LINE('',#524317,#121526); #82512=LINE('',#524318,#121527); #82513=LINE('',#524321,#121528); #82514=LINE('',#524323,#121529); #82515=LINE('',#524324,#121530); #82516=LINE('',#524327,#121531); #82517=LINE('',#524329,#121532); #82518=LINE('',#524330,#121533); #82519=LINE('',#524333,#121534); #82520=LINE('',#524335,#121535); #82521=LINE('',#524336,#121536); #82522=LINE('',#524339,#121537); #82523=LINE('',#524341,#121538); #82524=LINE('',#524342,#121539); #82525=LINE('',#524345,#121540); #82526=LINE('',#524347,#121541); #82527=LINE('',#524348,#121542); #82528=LINE('',#524351,#121543); #82529=LINE('',#524353,#121544); #82530=LINE('',#524354,#121545); #82531=LINE('',#524357,#121546); #82532=LINE('',#524359,#121547); #82533=LINE('',#524360,#121548); #82534=LINE('',#524363,#121549); #82535=LINE('',#524365,#121550); #82536=LINE('',#524366,#121551); #82537=LINE('',#524369,#121552); #82538=LINE('',#524371,#121553); #82539=LINE('',#524372,#121554); #82540=LINE('',#524374,#121555); #82541=LINE('',#524375,#121556); #82542=LINE('',#524381,#121557); #82543=LINE('',#524383,#121558); #82544=LINE('',#524385,#121559); #82545=LINE('',#524386,#121560); #82546=LINE('',#524389,#121561); #82547=LINE('',#524391,#121562); #82548=LINE('',#524392,#121563); #82549=LINE('',#524395,#121564); #82550=LINE('',#524397,#121565); #82551=LINE('',#524398,#121566); #82552=LINE('',#524401,#121567); #82553=LINE('',#524403,#121568); #82554=LINE('',#524404,#121569); #82555=LINE('',#524407,#121570); #82556=LINE('',#524409,#121571); #82557=LINE('',#524410,#121572); #82558=LINE('',#524413,#121573); #82559=LINE('',#524415,#121574); #82560=LINE('',#524416,#121575); #82561=LINE('',#524419,#121576); #82562=LINE('',#524421,#121577); #82563=LINE('',#524422,#121578); #82564=LINE('',#524425,#121579); #82565=LINE('',#524427,#121580); #82566=LINE('',#524428,#121581); #82567=LINE('',#524431,#121582); #82568=LINE('',#524433,#121583); #82569=LINE('',#524434,#121584); #82570=LINE('',#524437,#121585); #82571=LINE('',#524439,#121586); #82572=LINE('',#524440,#121587); #82573=LINE('',#524443,#121588); #82574=LINE('',#524445,#121589); #82575=LINE('',#524446,#121590); #82576=LINE('',#524449,#121591); #82577=LINE('',#524451,#121592); #82578=LINE('',#524452,#121593); #82579=LINE('',#524455,#121594); #82580=LINE('',#524457,#121595); #82581=LINE('',#524458,#121596); #82582=LINE('',#524461,#121597); #82583=LINE('',#524463,#121598); #82584=LINE('',#524464,#121599); #82585=LINE('',#524467,#121600); #82586=LINE('',#524469,#121601); #82587=LINE('',#524470,#121602); #82588=LINE('',#524473,#121603); #82589=LINE('',#524475,#121604); #82590=LINE('',#524476,#121605); #82591=LINE('',#524479,#121606); #82592=LINE('',#524481,#121607); #82593=LINE('',#524482,#121608); #82594=LINE('',#524485,#121609); #82595=LINE('',#524487,#121610); #82596=LINE('',#524488,#121611); #82597=LINE('',#524491,#121612); #82598=LINE('',#524493,#121613); #82599=LINE('',#524494,#121614); #82600=LINE('',#524497,#121615); #82601=LINE('',#524499,#121616); #82602=LINE('',#524500,#121617); #82603=LINE('',#524503,#121618); #82604=LINE('',#524505,#121619); #82605=LINE('',#524506,#121620); #82606=LINE('',#524509,#121621); #82607=LINE('',#524511,#121622); #82608=LINE('',#524512,#121623); #82609=LINE('',#524515,#121624); #82610=LINE('',#524517,#121625); #82611=LINE('',#524518,#121626); #82612=LINE('',#524521,#121627); #82613=LINE('',#524523,#121628); #82614=LINE('',#524524,#121629); #82615=LINE('',#524527,#121630); #82616=LINE('',#524529,#121631); #82617=LINE('',#524530,#121632); #82618=LINE('',#524532,#121633); #82619=LINE('',#524533,#121634); #82620=LINE('',#524539,#121635); #82621=LINE('',#524541,#121636); #82622=LINE('',#524543,#121637); #82623=LINE('',#524544,#121638); #82624=LINE('',#524547,#121639); #82625=LINE('',#524549,#121640); #82626=LINE('',#524550,#121641); #82627=LINE('',#524553,#121642); #82628=LINE('',#524555,#121643); #82629=LINE('',#524556,#121644); #82630=LINE('',#524559,#121645); #82631=LINE('',#524561,#121646); #82632=LINE('',#524562,#121647); #82633=LINE('',#524565,#121648); #82634=LINE('',#524567,#121649); #82635=LINE('',#524568,#121650); #82636=LINE('',#524571,#121651); #82637=LINE('',#524573,#121652); #82638=LINE('',#524574,#121653); #82639=LINE('',#524577,#121654); #82640=LINE('',#524579,#121655); #82641=LINE('',#524580,#121656); #82642=LINE('',#524583,#121657); #82643=LINE('',#524585,#121658); #82644=LINE('',#524586,#121659); #82645=LINE('',#524589,#121660); #82646=LINE('',#524591,#121661); #82647=LINE('',#524592,#121662); #82648=LINE('',#524595,#121663); #82649=LINE('',#524597,#121664); #82650=LINE('',#524598,#121665); #82651=LINE('',#524601,#121666); #82652=LINE('',#524603,#121667); #82653=LINE('',#524604,#121668); #82654=LINE('',#524607,#121669); #82655=LINE('',#524609,#121670); #82656=LINE('',#524610,#121671); #82657=LINE('',#524613,#121672); #82658=LINE('',#524615,#121673); #82659=LINE('',#524616,#121674); #82660=LINE('',#524619,#121675); #82661=LINE('',#524621,#121676); #82662=LINE('',#524622,#121677); #82663=LINE('',#524625,#121678); #82664=LINE('',#524627,#121679); #82665=LINE('',#524628,#121680); #82666=LINE('',#524631,#121681); #82667=LINE('',#524633,#121682); #82668=LINE('',#524634,#121683); #82669=LINE('',#524637,#121684); #82670=LINE('',#524639,#121685); #82671=LINE('',#524640,#121686); #82672=LINE('',#524643,#121687); #82673=LINE('',#524645,#121688); #82674=LINE('',#524646,#121689); #82675=LINE('',#524649,#121690); #82676=LINE('',#524651,#121691); #82677=LINE('',#524652,#121692); #82678=LINE('',#524654,#121693); #82679=LINE('',#524655,#121694); #82680=LINE('',#524661,#121695); #82681=LINE('',#524663,#121696); #82682=LINE('',#524665,#121697); #82683=LINE('',#524666,#121698); #82684=LINE('',#524669,#121699); #82685=LINE('',#524671,#121700); #82686=LINE('',#524672,#121701); #82687=LINE('',#524675,#121702); #82688=LINE('',#524677,#121703); #82689=LINE('',#524678,#121704); #82690=LINE('',#524681,#121705); #82691=LINE('',#524683,#121706); #82692=LINE('',#524684,#121707); #82693=LINE('',#524687,#121708); #82694=LINE('',#524689,#121709); #82695=LINE('',#524690,#121710); #82696=LINE('',#524693,#121711); #82697=LINE('',#524695,#121712); #82698=LINE('',#524696,#121713); #82699=LINE('',#524699,#121714); #82700=LINE('',#524701,#121715); #82701=LINE('',#524702,#121716); #82702=LINE('',#524705,#121717); #82703=LINE('',#524707,#121718); #82704=LINE('',#524708,#121719); #82705=LINE('',#524711,#121720); #82706=LINE('',#524713,#121721); #82707=LINE('',#524714,#121722); #82708=LINE('',#524717,#121723); #82709=LINE('',#524719,#121724); #82710=LINE('',#524720,#121725); #82711=LINE('',#524723,#121726); #82712=LINE('',#524725,#121727); #82713=LINE('',#524726,#121728); #82714=LINE('',#524729,#121729); #82715=LINE('',#524731,#121730); #82716=LINE('',#524732,#121731); #82717=LINE('',#524735,#121732); #82718=LINE('',#524737,#121733); #82719=LINE('',#524738,#121734); #82720=LINE('',#524741,#121735); #82721=LINE('',#524743,#121736); #82722=LINE('',#524744,#121737); #82723=LINE('',#524747,#121738); #82724=LINE('',#524749,#121739); #82725=LINE('',#524750,#121740); #82726=LINE('',#524753,#121741); #82727=LINE('',#524755,#121742); #82728=LINE('',#524756,#121743); #82729=LINE('',#524759,#121744); #82730=LINE('',#524761,#121745); #82731=LINE('',#524762,#121746); #82732=LINE('',#524765,#121747); #82733=LINE('',#524767,#121748); #82734=LINE('',#524768,#121749); #82735=LINE('',#524771,#121750); #82736=LINE('',#524773,#121751); #82737=LINE('',#524774,#121752); #82738=LINE('',#524777,#121753); #82739=LINE('',#524779,#121754); #82740=LINE('',#524780,#121755); #82741=LINE('',#524783,#121756); #82742=LINE('',#524785,#121757); #82743=LINE('',#524786,#121758); #82744=LINE('',#524789,#121759); #82745=LINE('',#524791,#121760); #82746=LINE('',#524792,#121761); #82747=LINE('',#524794,#121762); #82748=LINE('',#524795,#121763); #82749=LINE('',#524801,#121764); #82750=LINE('',#524803,#121765); #82751=LINE('',#524805,#121766); #82752=LINE('',#524806,#121767); #82753=LINE('',#524809,#121768); #82754=LINE('',#524811,#121769); #82755=LINE('',#524812,#121770); #82756=LINE('',#524815,#121771); #82757=LINE('',#524817,#121772); #82758=LINE('',#524818,#121773); #82759=LINE('',#524821,#121774); #82760=LINE('',#524823,#121775); #82761=LINE('',#524824,#121776); #82762=LINE('',#524827,#121777); #82763=LINE('',#524829,#121778); #82764=LINE('',#524830,#121779); #82765=LINE('',#524833,#121780); #82766=LINE('',#524835,#121781); #82767=LINE('',#524836,#121782); #82768=LINE('',#524839,#121783); #82769=LINE('',#524841,#121784); #82770=LINE('',#524842,#121785); #82771=LINE('',#524845,#121786); #82772=LINE('',#524847,#121787); #82773=LINE('',#524848,#121788); #82774=LINE('',#524851,#121789); #82775=LINE('',#524853,#121790); #82776=LINE('',#524854,#121791); #82777=LINE('',#524857,#121792); #82778=LINE('',#524859,#121793); #82779=LINE('',#524860,#121794); #82780=LINE('',#524863,#121795); #82781=LINE('',#524865,#121796); #82782=LINE('',#524866,#121797); #82783=LINE('',#524869,#121798); #82784=LINE('',#524871,#121799); #82785=LINE('',#524872,#121800); #82786=LINE('',#524875,#121801); #82787=LINE('',#524877,#121802); #82788=LINE('',#524878,#121803); #82789=LINE('',#524881,#121804); #82790=LINE('',#524883,#121805); #82791=LINE('',#524884,#121806); #82792=LINE('',#524887,#121807); #82793=LINE('',#524889,#121808); #82794=LINE('',#524890,#121809); #82795=LINE('',#524893,#121810); #82796=LINE('',#524895,#121811); #82797=LINE('',#524896,#121812); #82798=LINE('',#524899,#121813); #82799=LINE('',#524901,#121814); #82800=LINE('',#524902,#121815); #82801=LINE('',#524905,#121816); #82802=LINE('',#524907,#121817); #82803=LINE('',#524908,#121818); #82804=LINE('',#524911,#121819); #82805=LINE('',#524913,#121820); #82806=LINE('',#524914,#121821); #82807=LINE('',#524917,#121822); #82808=LINE('',#524919,#121823); #82809=LINE('',#524920,#121824); #82810=LINE('',#524922,#121825); #82811=LINE('',#524923,#121826); #82812=LINE('',#524929,#121827); #82813=LINE('',#524931,#121828); #82814=LINE('',#524933,#121829); #82815=LINE('',#524934,#121830); #82816=LINE('',#524937,#121831); #82817=LINE('',#524939,#121832); #82818=LINE('',#524940,#121833); #82819=LINE('',#524943,#121834); #82820=LINE('',#524945,#121835); #82821=LINE('',#524946,#121836); #82822=LINE('',#524949,#121837); #82823=LINE('',#524951,#121838); #82824=LINE('',#524952,#121839); #82825=LINE('',#524955,#121840); #82826=LINE('',#524957,#121841); #82827=LINE('',#524958,#121842); #82828=LINE('',#524961,#121843); #82829=LINE('',#524963,#121844); #82830=LINE('',#524964,#121845); #82831=LINE('',#524967,#121846); #82832=LINE('',#524969,#121847); #82833=LINE('',#524970,#121848); #82834=LINE('',#524973,#121849); #82835=LINE('',#524975,#121850); #82836=LINE('',#524976,#121851); #82837=LINE('',#524979,#121852); #82838=LINE('',#524981,#121853); #82839=LINE('',#524982,#121854); #82840=LINE('',#524985,#121855); #82841=LINE('',#524987,#121856); #82842=LINE('',#524988,#121857); #82843=LINE('',#524991,#121858); #82844=LINE('',#524993,#121859); #82845=LINE('',#524994,#121860); #82846=LINE('',#524997,#121861); #82847=LINE('',#524999,#121862); #82848=LINE('',#525000,#121863); #82849=LINE('',#525003,#121864); #82850=LINE('',#525005,#121865); #82851=LINE('',#525006,#121866); #82852=LINE('',#525009,#121867); #82853=LINE('',#525011,#121868); #82854=LINE('',#525012,#121869); #82855=LINE('',#525015,#121870); #82856=LINE('',#525017,#121871); #82857=LINE('',#525018,#121872); #82858=LINE('',#525021,#121873); #82859=LINE('',#525023,#121874); #82860=LINE('',#525024,#121875); #82861=LINE('',#525027,#121876); #82862=LINE('',#525029,#121877); #82863=LINE('',#525030,#121878); #82864=LINE('',#525033,#121879); #82865=LINE('',#525035,#121880); #82866=LINE('',#525036,#121881); #82867=LINE('',#525039,#121882); #82868=LINE('',#525041,#121883); #82869=LINE('',#525042,#121884); #82870=LINE('',#525045,#121885); #82871=LINE('',#525047,#121886); #82872=LINE('',#525048,#121887); #82873=LINE('',#525051,#121888); #82874=LINE('',#525053,#121889); #82875=LINE('',#525054,#121890); #82876=LINE('',#525057,#121891); #82877=LINE('',#525059,#121892); #82878=LINE('',#525060,#121893); #82879=LINE('',#525063,#121894); #82880=LINE('',#525065,#121895); #82881=LINE('',#525066,#121896); #82882=LINE('',#525069,#121897); #82883=LINE('',#525071,#121898); #82884=LINE('',#525072,#121899); #82885=LINE('',#525075,#121900); #82886=LINE('',#525077,#121901); #82887=LINE('',#525078,#121902); #82888=LINE('',#525081,#121903); #82889=LINE('',#525083,#121904); #82890=LINE('',#525084,#121905); #82891=LINE('',#525087,#121906); #82892=LINE('',#525089,#121907); #82893=LINE('',#525090,#121908); #82894=LINE('',#525093,#121909); #82895=LINE('',#525095,#121910); #82896=LINE('',#525096,#121911); #82897=LINE('',#525099,#121912); #82898=LINE('',#525101,#121913); #82899=LINE('',#525102,#121914); #82900=LINE('',#525104,#121915); #82901=LINE('',#525105,#121916); #82902=LINE('',#525111,#121917); #82903=LINE('',#525113,#121918); #82904=LINE('',#525115,#121919); #82905=LINE('',#525116,#121920); #82906=LINE('',#525119,#121921); #82907=LINE('',#525121,#121922); #82908=LINE('',#525122,#121923); #82909=LINE('',#525125,#121924); #82910=LINE('',#525127,#121925); #82911=LINE('',#525128,#121926); #82912=LINE('',#525131,#121927); #82913=LINE('',#525133,#121928); #82914=LINE('',#525134,#121929); #82915=LINE('',#525137,#121930); #82916=LINE('',#525139,#121931); #82917=LINE('',#525140,#121932); #82918=LINE('',#525143,#121933); #82919=LINE('',#525145,#121934); #82920=LINE('',#525146,#121935); #82921=LINE('',#525149,#121936); #82922=LINE('',#525151,#121937); #82923=LINE('',#525152,#121938); #82924=LINE('',#525155,#121939); #82925=LINE('',#525157,#121940); #82926=LINE('',#525158,#121941); #82927=LINE('',#525161,#121942); #82928=LINE('',#525163,#121943); #82929=LINE('',#525164,#121944); #82930=LINE('',#525167,#121945); #82931=LINE('',#525169,#121946); #82932=LINE('',#525170,#121947); #82933=LINE('',#525173,#121948); #82934=LINE('',#525175,#121949); #82935=LINE('',#525176,#121950); #82936=LINE('',#525179,#121951); #82937=LINE('',#525181,#121952); #82938=LINE('',#525182,#121953); #82939=LINE('',#525185,#121954); #82940=LINE('',#525187,#121955); #82941=LINE('',#525188,#121956); #82942=LINE('',#525191,#121957); #82943=LINE('',#525193,#121958); #82944=LINE('',#525194,#121959); #82945=LINE('',#525197,#121960); #82946=LINE('',#525199,#121961); #82947=LINE('',#525200,#121962); #82948=LINE('',#525203,#121963); #82949=LINE('',#525205,#121964); #82950=LINE('',#525206,#121965); #82951=LINE('',#525209,#121966); #82952=LINE('',#525211,#121967); #82953=LINE('',#525212,#121968); #82954=LINE('',#525215,#121969); #82955=LINE('',#525217,#121970); #82956=LINE('',#525218,#121971); #82957=LINE('',#525221,#121972); #82958=LINE('',#525223,#121973); #82959=LINE('',#525224,#121974); #82960=LINE('',#525226,#121975); #82961=LINE('',#525227,#121976); #82962=LINE('',#525233,#121977); #82963=LINE('',#525235,#121978); #82964=LINE('',#525237,#121979); #82965=LINE('',#525238,#121980); #82966=LINE('',#525241,#121981); #82967=LINE('',#525243,#121982); #82968=LINE('',#525244,#121983); #82969=LINE('',#525247,#121984); #82970=LINE('',#525249,#121985); #82971=LINE('',#525250,#121986); #82972=LINE('',#525253,#121987); #82973=LINE('',#525255,#121988); #82974=LINE('',#525256,#121989); #82975=LINE('',#525259,#121990); #82976=LINE('',#525261,#121991); #82977=LINE('',#525262,#121992); #82978=LINE('',#525265,#121993); #82979=LINE('',#525267,#121994); #82980=LINE('',#525268,#121995); #82981=LINE('',#525271,#121996); #82982=LINE('',#525273,#121997); #82983=LINE('',#525274,#121998); #82984=LINE('',#525277,#121999); #82985=LINE('',#525279,#122000); #82986=LINE('',#525280,#122001); #82987=LINE('',#525283,#122002); #82988=LINE('',#525285,#122003); #82989=LINE('',#525286,#122004); #82990=LINE('',#525289,#122005); #82991=LINE('',#525291,#122006); #82992=LINE('',#525292,#122007); #82993=LINE('',#525295,#122008); #82994=LINE('',#525297,#122009); #82995=LINE('',#525298,#122010); #82996=LINE('',#525301,#122011); #82997=LINE('',#525303,#122012); #82998=LINE('',#525304,#122013); #82999=LINE('',#525307,#122014); #83000=LINE('',#525309,#122015); #83001=LINE('',#525310,#122016); #83002=LINE('',#525313,#122017); #83003=LINE('',#525315,#122018); #83004=LINE('',#525316,#122019); #83005=LINE('',#525319,#122020); #83006=LINE('',#525321,#122021); #83007=LINE('',#525322,#122022); #83008=LINE('',#525325,#122023); #83009=LINE('',#525327,#122024); #83010=LINE('',#525328,#122025); #83011=LINE('',#525331,#122026); #83012=LINE('',#525333,#122027); #83013=LINE('',#525334,#122028); #83014=LINE('',#525337,#122029); #83015=LINE('',#525339,#122030); #83016=LINE('',#525340,#122031); #83017=LINE('',#525343,#122032); #83018=LINE('',#525345,#122033); #83019=LINE('',#525346,#122034); #83020=LINE('',#525349,#122035); #83021=LINE('',#525351,#122036); #83022=LINE('',#525352,#122037); #83023=LINE('',#525355,#122038); #83024=LINE('',#525357,#122039); #83025=LINE('',#525358,#122040); #83026=LINE('',#525361,#122041); #83027=LINE('',#525363,#122042); #83028=LINE('',#525364,#122043); #83029=LINE('',#525367,#122044); #83030=LINE('',#525369,#122045); #83031=LINE('',#525370,#122046); #83032=LINE('',#525373,#122047); #83033=LINE('',#525375,#122048); #83034=LINE('',#525376,#122049); #83035=LINE('',#525379,#122050); #83036=LINE('',#525381,#122051); #83037=LINE('',#525382,#122052); #83038=LINE('',#525385,#122053); #83039=LINE('',#525387,#122054); #83040=LINE('',#525388,#122055); #83041=LINE('',#525391,#122056); #83042=LINE('',#525393,#122057); #83043=LINE('',#525394,#122058); #83044=LINE('',#525397,#122059); #83045=LINE('',#525399,#122060); #83046=LINE('',#525400,#122061); #83047=LINE('',#525403,#122062); #83048=LINE('',#525405,#122063); #83049=LINE('',#525406,#122064); #83050=LINE('',#525409,#122065); #83051=LINE('',#525411,#122066); #83052=LINE('',#525412,#122067); #83053=LINE('',#525415,#122068); #83054=LINE('',#525417,#122069); #83055=LINE('',#525418,#122070); #83056=LINE('',#525421,#122071); #83057=LINE('',#525423,#122072); #83058=LINE('',#525424,#122073); #83059=LINE('',#525426,#122074); #83060=LINE('',#525427,#122075); #83061=LINE('',#525434,#122076); #83062=LINE('',#525440,#122077); #83063=LINE('',#525446,#122078); #83064=LINE('',#525451,#122079); #83065=LINE('',#525453,#122080); #83066=LINE('',#525455,#122081); #83067=LINE('',#525456,#122082); #83068=LINE('',#525459,#122083); #83069=LINE('',#525461,#122084); #83070=LINE('',#525462,#122085); #83071=LINE('',#525465,#122086); #83072=LINE('',#525467,#122087); #83073=LINE('',#525468,#122088); #83074=LINE('',#525471,#122089); #83075=LINE('',#525473,#122090); #83076=LINE('',#525474,#122091); #83077=LINE('',#525477,#122092); #83078=LINE('',#525479,#122093); #83079=LINE('',#525480,#122094); #83080=LINE('',#525483,#122095); #83081=LINE('',#525485,#122096); #83082=LINE('',#525486,#122097); #83083=LINE('',#525489,#122098); #83084=LINE('',#525491,#122099); #83085=LINE('',#525492,#122100); #83086=LINE('',#525495,#122101); #83087=LINE('',#525497,#122102); #83088=LINE('',#525498,#122103); #83089=LINE('',#525501,#122104); #83090=LINE('',#525503,#122105); #83091=LINE('',#525504,#122106); #83092=LINE('',#525507,#122107); #83093=LINE('',#525509,#122108); #83094=LINE('',#525510,#122109); #83095=LINE('',#525513,#122110); #83096=LINE('',#525515,#122111); #83097=LINE('',#525516,#122112); #83098=LINE('',#525519,#122113); #83099=LINE('',#525521,#122114); #83100=LINE('',#525522,#122115); #83101=LINE('',#525525,#122116); #83102=LINE('',#525527,#122117); #83103=LINE('',#525528,#122118); #83104=LINE('',#525531,#122119); #83105=LINE('',#525533,#122120); #83106=LINE('',#525534,#122121); #83107=LINE('',#525537,#122122); #83108=LINE('',#525539,#122123); #83109=LINE('',#525540,#122124); #83110=LINE('',#525543,#122125); #83111=LINE('',#525545,#122126); #83112=LINE('',#525546,#122127); #83113=LINE('',#525549,#122128); #83114=LINE('',#525551,#122129); #83115=LINE('',#525552,#122130); #83116=LINE('',#525555,#122131); #83117=LINE('',#525557,#122132); #83118=LINE('',#525558,#122133); #83119=LINE('',#525561,#122134); #83120=LINE('',#525563,#122135); #83121=LINE('',#525564,#122136); #83122=LINE('',#525567,#122137); #83123=LINE('',#525569,#122138); #83124=LINE('',#525570,#122139); #83125=LINE('',#525573,#122140); #83126=LINE('',#525575,#122141); #83127=LINE('',#525576,#122142); #83128=LINE('',#525579,#122143); #83129=LINE('',#525581,#122144); #83130=LINE('',#525582,#122145); #83131=LINE('',#525585,#122146); #83132=LINE('',#525587,#122147); #83133=LINE('',#525588,#122148); #83134=LINE('',#525591,#122149); #83135=LINE('',#525593,#122150); #83136=LINE('',#525594,#122151); #83137=LINE('',#525597,#122152); #83138=LINE('',#525599,#122153); #83139=LINE('',#525600,#122154); #83140=LINE('',#525603,#122155); #83141=LINE('',#525605,#122156); #83142=LINE('',#525606,#122157); #83143=LINE('',#525609,#122158); #83144=LINE('',#525611,#122159); #83145=LINE('',#525612,#122160); #83146=LINE('',#525615,#122161); #83147=LINE('',#525617,#122162); #83148=LINE('',#525618,#122163); #83149=LINE('',#525621,#122164); #83150=LINE('',#525623,#122165); #83151=LINE('',#525624,#122166); #83152=LINE('',#525627,#122167); #83153=LINE('',#525629,#122168); #83154=LINE('',#525630,#122169); #83155=LINE('',#525633,#122170); #83156=LINE('',#525635,#122171); #83157=LINE('',#525636,#122172); #83158=LINE('',#525639,#122173); #83159=LINE('',#525641,#122174); #83160=LINE('',#525642,#122175); #83161=LINE('',#525645,#122176); #83162=LINE('',#525647,#122177); #83163=LINE('',#525648,#122178); #83164=LINE('',#525651,#122179); #83165=LINE('',#525653,#122180); #83166=LINE('',#525654,#122181); #83167=LINE('',#525657,#122182); #83168=LINE('',#525659,#122183); #83169=LINE('',#525660,#122184); #83170=LINE('',#525663,#122185); #83171=LINE('',#525665,#122186); #83172=LINE('',#525666,#122187); #83173=LINE('',#525669,#122188); #83174=LINE('',#525671,#122189); #83175=LINE('',#525672,#122190); #83176=LINE('',#525675,#122191); #83177=LINE('',#525677,#122192); #83178=LINE('',#525678,#122193); #83179=LINE('',#525681,#122194); #83180=LINE('',#525683,#122195); #83181=LINE('',#525684,#122196); #83182=LINE('',#525687,#122197); #83183=LINE('',#525689,#122198); #83184=LINE('',#525690,#122199); #83185=LINE('',#525693,#122200); #83186=LINE('',#525695,#122201); #83187=LINE('',#525696,#122202); #83188=LINE('',#525699,#122203); #83189=LINE('',#525701,#122204); #83190=LINE('',#525702,#122205); #83191=LINE('',#525705,#122206); #83192=LINE('',#525707,#122207); #83193=LINE('',#525708,#122208); #83194=LINE('',#525711,#122209); #83195=LINE('',#525713,#122210); #83196=LINE('',#525714,#122211); #83197=LINE('',#525717,#122212); #83198=LINE('',#525719,#122213); #83199=LINE('',#525720,#122214); #83200=LINE('',#525723,#122215); #83201=LINE('',#525725,#122216); #83202=LINE('',#525726,#122217); #83203=LINE('',#525729,#122218); #83204=LINE('',#525731,#122219); #83205=LINE('',#525732,#122220); #83206=LINE('',#525735,#122221); #83207=LINE('',#525737,#122222); #83208=LINE('',#525738,#122223); #83209=LINE('',#525741,#122224); #83210=LINE('',#525743,#122225); #83211=LINE('',#525744,#122226); #83212=LINE('',#525747,#122227); #83213=LINE('',#525749,#122228); #83214=LINE('',#525750,#122229); #83215=LINE('',#525753,#122230); #83216=LINE('',#525755,#122231); #83217=LINE('',#525756,#122232); #83218=LINE('',#525759,#122233); #83219=LINE('',#525761,#122234); #83220=LINE('',#525762,#122235); #83221=LINE('',#525765,#122236); #83222=LINE('',#525767,#122237); #83223=LINE('',#525768,#122238); #83224=LINE('',#525771,#122239); #83225=LINE('',#525773,#122240); #83226=LINE('',#525774,#122241); #83227=LINE('',#525777,#122242); #83228=LINE('',#525779,#122243); #83229=LINE('',#525780,#122244); #83230=LINE('',#525783,#122245); #83231=LINE('',#525785,#122246); #83232=LINE('',#525786,#122247); #83233=LINE('',#525789,#122248); #83234=LINE('',#525791,#122249); #83235=LINE('',#525792,#122250); #83236=LINE('',#525795,#122251); #83237=LINE('',#525797,#122252); #83238=LINE('',#525798,#122253); #83239=LINE('',#525801,#122254); #83240=LINE('',#525803,#122255); #83241=LINE('',#525804,#122256); #83242=LINE('',#525807,#122257); #83243=LINE('',#525809,#122258); #83244=LINE('',#525810,#122259); #83245=LINE('',#525813,#122260); #83246=LINE('',#525815,#122261); #83247=LINE('',#525816,#122262); #83248=LINE('',#525819,#122263); #83249=LINE('',#525821,#122264); #83250=LINE('',#525822,#122265); #83251=LINE('',#525825,#122266); #83252=LINE('',#525827,#122267); #83253=LINE('',#525828,#122268); #83254=LINE('',#525831,#122269); #83255=LINE('',#525833,#122270); #83256=LINE('',#525834,#122271); #83257=LINE('',#525837,#122272); #83258=LINE('',#525839,#122273); #83259=LINE('',#525840,#122274); #83260=LINE('',#525843,#122275); #83261=LINE('',#525845,#122276); #83262=LINE('',#525846,#122277); #83263=LINE('',#525849,#122278); #83264=LINE('',#525851,#122279); #83265=LINE('',#525852,#122280); #83266=LINE('',#525855,#122281); #83267=LINE('',#525857,#122282); #83268=LINE('',#525858,#122283); #83269=LINE('',#525861,#122284); #83270=LINE('',#525863,#122285); #83271=LINE('',#525864,#122286); #83272=LINE('',#525867,#122287); #83273=LINE('',#525869,#122288); #83274=LINE('',#525870,#122289); #83275=LINE('',#525873,#122290); #83276=LINE('',#525875,#122291); #83277=LINE('',#525876,#122292); #83278=LINE('',#525879,#122293); #83279=LINE('',#525881,#122294); #83280=LINE('',#525882,#122295); #83281=LINE('',#525885,#122296); #83282=LINE('',#525887,#122297); #83283=LINE('',#525888,#122298); #83284=LINE('',#525891,#122299); #83285=LINE('',#525893,#122300); #83286=LINE('',#525894,#122301); #83287=LINE('',#525897,#122302); #83288=LINE('',#525899,#122303); #83289=LINE('',#525900,#122304); #83290=LINE('',#525903,#122305); #83291=LINE('',#525905,#122306); #83292=LINE('',#525906,#122307); #83293=LINE('',#525909,#122308); #83294=LINE('',#525911,#122309); #83295=LINE('',#525912,#122310); #83296=LINE('',#525915,#122311); #83297=LINE('',#525917,#122312); #83298=LINE('',#525918,#122313); #83299=LINE('',#525921,#122314); #83300=LINE('',#525923,#122315); #83301=LINE('',#525924,#122316); #83302=LINE('',#525927,#122317); #83303=LINE('',#525929,#122318); #83304=LINE('',#525930,#122319); #83305=LINE('',#525933,#122320); #83306=LINE('',#525935,#122321); #83307=LINE('',#525936,#122322); #83308=LINE('',#525939,#122323); #83309=LINE('',#525941,#122324); #83310=LINE('',#525942,#122325); #83311=LINE('',#525945,#122326); #83312=LINE('',#525947,#122327); #83313=LINE('',#525948,#122328); #83314=LINE('',#525951,#122329); #83315=LINE('',#525953,#122330); #83316=LINE('',#525954,#122331); #83317=LINE('',#525957,#122332); #83318=LINE('',#525959,#122333); #83319=LINE('',#525960,#122334); #83320=LINE('',#525963,#122335); #83321=LINE('',#525965,#122336); #83322=LINE('',#525966,#122337); #83323=LINE('',#525968,#122338); #83324=LINE('',#525969,#122339); #83325=LINE('',#525975,#122340); #83326=LINE('',#525977,#122341); #83327=LINE('',#525979,#122342); #83328=LINE('',#525980,#122343); #83329=LINE('',#525983,#122344); #83330=LINE('',#525985,#122345); #83331=LINE('',#525986,#122346); #83332=LINE('',#525989,#122347); #83333=LINE('',#525991,#122348); #83334=LINE('',#525992,#122349); #83335=LINE('',#525995,#122350); #83336=LINE('',#525997,#122351); #83337=LINE('',#525998,#122352); #83338=LINE('',#526001,#122353); #83339=LINE('',#526003,#122354); #83340=LINE('',#526004,#122355); #83341=LINE('',#526007,#122356); #83342=LINE('',#526009,#122357); #83343=LINE('',#526010,#122358); #83344=LINE('',#526013,#122359); #83345=LINE('',#526015,#122360); #83346=LINE('',#526016,#122361); #83347=LINE('',#526019,#122362); #83348=LINE('',#526021,#122363); #83349=LINE('',#526022,#122364); #83350=LINE('',#526025,#122365); #83351=LINE('',#526027,#122366); #83352=LINE('',#526028,#122367); #83353=LINE('',#526031,#122368); #83354=LINE('',#526033,#122369); #83355=LINE('',#526034,#122370); #83356=LINE('',#526037,#122371); #83357=LINE('',#526039,#122372); #83358=LINE('',#526040,#122373); #83359=LINE('',#526043,#122374); #83360=LINE('',#526045,#122375); #83361=LINE('',#526046,#122376); #83362=LINE('',#526049,#122377); #83363=LINE('',#526051,#122378); #83364=LINE('',#526052,#122379); #83365=LINE('',#526055,#122380); #83366=LINE('',#526057,#122381); #83367=LINE('',#526058,#122382); #83368=LINE('',#526061,#122383); #83369=LINE('',#526063,#122384); #83370=LINE('',#526064,#122385); #83371=LINE('',#526067,#122386); #83372=LINE('',#526069,#122387); #83373=LINE('',#526070,#122388); #83374=LINE('',#526073,#122389); #83375=LINE('',#526075,#122390); #83376=LINE('',#526076,#122391); #83377=LINE('',#526079,#122392); #83378=LINE('',#526081,#122393); #83379=LINE('',#526082,#122394); #83380=LINE('',#526085,#122395); #83381=LINE('',#526087,#122396); #83382=LINE('',#526088,#122397); #83383=LINE('',#526091,#122398); #83384=LINE('',#526093,#122399); #83385=LINE('',#526094,#122400); #83386=LINE('',#526097,#122401); #83387=LINE('',#526099,#122402); #83388=LINE('',#526100,#122403); #83389=LINE('',#526103,#122404); #83390=LINE('',#526105,#122405); #83391=LINE('',#526106,#122406); #83392=LINE('',#526109,#122407); #83393=LINE('',#526111,#122408); #83394=LINE('',#526112,#122409); #83395=LINE('',#526115,#122410); #83396=LINE('',#526117,#122411); #83397=LINE('',#526118,#122412); #83398=LINE('',#526121,#122413); #83399=LINE('',#526123,#122414); #83400=LINE('',#526124,#122415); #83401=LINE('',#526127,#122416); #83402=LINE('',#526129,#122417); #83403=LINE('',#526130,#122418); #83404=LINE('',#526133,#122419); #83405=LINE('',#526135,#122420); #83406=LINE('',#526136,#122421); #83407=LINE('',#526139,#122422); #83408=LINE('',#526141,#122423); #83409=LINE('',#526142,#122424); #83410=LINE('',#526144,#122425); #83411=LINE('',#526145,#122426); #83412=LINE('',#526151,#122427); #83413=LINE('',#526153,#122428); #83414=LINE('',#526155,#122429); #83415=LINE('',#526156,#122430); #83416=LINE('',#526159,#122431); #83417=LINE('',#526161,#122432); #83418=LINE('',#526162,#122433); #83419=LINE('',#526165,#122434); #83420=LINE('',#526167,#122435); #83421=LINE('',#526168,#122436); #83422=LINE('',#526171,#122437); #83423=LINE('',#526173,#122438); #83424=LINE('',#526174,#122439); #83425=LINE('',#526177,#122440); #83426=LINE('',#526179,#122441); #83427=LINE('',#526180,#122442); #83428=LINE('',#526183,#122443); #83429=LINE('',#526185,#122444); #83430=LINE('',#526186,#122445); #83431=LINE('',#526189,#122446); #83432=LINE('',#526191,#122447); #83433=LINE('',#526192,#122448); #83434=LINE('',#526195,#122449); #83435=LINE('',#526197,#122450); #83436=LINE('',#526198,#122451); #83437=LINE('',#526201,#122452); #83438=LINE('',#526203,#122453); #83439=LINE('',#526204,#122454); #83440=LINE('',#526207,#122455); #83441=LINE('',#526209,#122456); #83442=LINE('',#526210,#122457); #83443=LINE('',#526213,#122458); #83444=LINE('',#526215,#122459); #83445=LINE('',#526216,#122460); #83446=LINE('',#526219,#122461); #83447=LINE('',#526221,#122462); #83448=LINE('',#526222,#122463); #83449=LINE('',#526225,#122464); #83450=LINE('',#526227,#122465); #83451=LINE('',#526228,#122466); #83452=LINE('',#526231,#122467); #83453=LINE('',#526233,#122468); #83454=LINE('',#526234,#122469); #83455=LINE('',#526237,#122470); #83456=LINE('',#526239,#122471); #83457=LINE('',#526240,#122472); #83458=LINE('',#526243,#122473); #83459=LINE('',#526245,#122474); #83460=LINE('',#526246,#122475); #83461=LINE('',#526249,#122476); #83462=LINE('',#526251,#122477); #83463=LINE('',#526252,#122478); #83464=LINE('',#526255,#122479); #83465=LINE('',#526257,#122480); #83466=LINE('',#526258,#122481); #83467=LINE('',#526260,#122482); #83468=LINE('',#526261,#122483); #83469=LINE('',#526268,#122484); #83470=LINE('',#526274,#122485); #83471=LINE('',#526280,#122486); #83472=LINE('',#526286,#122487); #83473=LINE('',#526292,#122488); #83474=LINE('',#526298,#122489); #83475=LINE('',#526304,#122490); #83476=LINE('',#526310,#122491); #83477=LINE('',#526316,#122492); #83478=LINE('',#526322,#122493); #83479=LINE('',#526328,#122494); #83480=LINE('',#526334,#122495); #83481=LINE('',#526340,#122496); #83482=LINE('',#526346,#122497); #83483=LINE('',#526352,#122498); #83484=LINE('',#526358,#122499); #83485=LINE('',#526364,#122500); #83486=LINE('',#526370,#122501); #83487=LINE('',#526376,#122502); #83488=LINE('',#526382,#122503); #83489=LINE('',#526388,#122504); #83490=LINE('',#526394,#122505); #83491=LINE('',#526400,#122506); #83492=LINE('',#526406,#122507); #83493=LINE('',#526412,#122508); #83494=LINE('',#526418,#122509); #83495=LINE('',#526424,#122510); #83496=LINE('',#526430,#122511); #83497=LINE('',#526436,#122512); #83498=LINE('',#526442,#122513); #83499=LINE('',#526448,#122514); #83500=LINE('',#526454,#122515); #83501=LINE('',#526460,#122516); #83502=LINE('',#526466,#122517); #83503=LINE('',#526472,#122518); #83504=LINE('',#526478,#122519); #83505=LINE('',#526484,#122520); #83506=LINE('',#526490,#122521); #83507=LINE('',#526496,#122522); #83508=LINE('',#526502,#122523); #83509=LINE('',#526508,#122524); #83510=LINE('',#526514,#122525); #83511=LINE('',#526520,#122526); #83512=LINE('',#526526,#122527); #83513=LINE('',#526532,#122528); #83514=LINE('',#526538,#122529); #83515=LINE('',#526544,#122530); #83516=LINE('',#526550,#122531); #83517=LINE('',#526556,#122532); #83518=LINE('',#526561,#122533); #83519=LINE('',#526563,#122534); #83520=LINE('',#526565,#122535); #83521=LINE('',#526566,#122536); #83522=LINE('',#526569,#122537); #83523=LINE('',#526571,#122538); #83524=LINE('',#526572,#122539); #83525=LINE('',#526575,#122540); #83526=LINE('',#526577,#122541); #83527=LINE('',#526578,#122542); #83528=LINE('',#526581,#122543); #83529=LINE('',#526583,#122544); #83530=LINE('',#526584,#122545); #83531=LINE('',#526587,#122546); #83532=LINE('',#526589,#122547); #83533=LINE('',#526590,#122548); #83534=LINE('',#526593,#122549); #83535=LINE('',#526595,#122550); #83536=LINE('',#526596,#122551); #83537=LINE('',#526599,#122552); #83538=LINE('',#526601,#122553); #83539=LINE('',#526602,#122554); #83540=LINE('',#526605,#122555); #83541=LINE('',#526607,#122556); #83542=LINE('',#526608,#122557); #83543=LINE('',#526611,#122558); #83544=LINE('',#526613,#122559); #83545=LINE('',#526614,#122560); #83546=LINE('',#526617,#122561); #83547=LINE('',#526619,#122562); #83548=LINE('',#526620,#122563); #83549=LINE('',#526623,#122564); #83550=LINE('',#526625,#122565); #83551=LINE('',#526626,#122566); #83552=LINE('',#526629,#122567); #83553=LINE('',#526631,#122568); #83554=LINE('',#526632,#122569); #83555=LINE('',#526635,#122570); #83556=LINE('',#526637,#122571); #83557=LINE('',#526638,#122572); #83558=LINE('',#526641,#122573); #83559=LINE('',#526643,#122574); #83560=LINE('',#526644,#122575); #83561=LINE('',#526647,#122576); #83562=LINE('',#526649,#122577); #83563=LINE('',#526650,#122578); #83564=LINE('',#526653,#122579); #83565=LINE('',#526655,#122580); #83566=LINE('',#526656,#122581); #83567=LINE('',#526659,#122582); #83568=LINE('',#526661,#122583); #83569=LINE('',#526662,#122584); #83570=LINE('',#526665,#122585); #83571=LINE('',#526667,#122586); #83572=LINE('',#526668,#122587); #83573=LINE('',#526671,#122588); #83574=LINE('',#526673,#122589); #83575=LINE('',#526674,#122590); #83576=LINE('',#526677,#122591); #83577=LINE('',#526679,#122592); #83578=LINE('',#526680,#122593); #83579=LINE('',#526683,#122594); #83580=LINE('',#526685,#122595); #83581=LINE('',#526686,#122596); #83582=LINE('',#526689,#122597); #83583=LINE('',#526691,#122598); #83584=LINE('',#526692,#122599); #83585=LINE('',#526695,#122600); #83586=LINE('',#526697,#122601); #83587=LINE('',#526698,#122602); #83588=LINE('',#526701,#122603); #83589=LINE('',#526703,#122604); #83590=LINE('',#526704,#122605); #83591=LINE('',#526707,#122606); #83592=LINE('',#526709,#122607); #83593=LINE('',#526710,#122608); #83594=LINE('',#526713,#122609); #83595=LINE('',#526715,#122610); #83596=LINE('',#526716,#122611); #83597=LINE('',#526719,#122612); #83598=LINE('',#526721,#122613); #83599=LINE('',#526722,#122614); #83600=LINE('',#526725,#122615); #83601=LINE('',#526727,#122616); #83602=LINE('',#526728,#122617); #83603=LINE('',#526731,#122618); #83604=LINE('',#526733,#122619); #83605=LINE('',#526734,#122620); #83606=LINE('',#526737,#122621); #83607=LINE('',#526739,#122622); #83608=LINE('',#526740,#122623); #83609=LINE('',#526743,#122624); #83610=LINE('',#526745,#122625); #83611=LINE('',#526746,#122626); #83612=LINE('',#526749,#122627); #83613=LINE('',#526751,#122628); #83614=LINE('',#526752,#122629); #83615=LINE('',#526755,#122630); #83616=LINE('',#526757,#122631); #83617=LINE('',#526758,#122632); #83618=LINE('',#526761,#122633); #83619=LINE('',#526763,#122634); #83620=LINE('',#526764,#122635); #83621=LINE('',#526767,#122636); #83622=LINE('',#526769,#122637); #83623=LINE('',#526770,#122638); #83624=LINE('',#526773,#122639); #83625=LINE('',#526775,#122640); #83626=LINE('',#526776,#122641); #83627=LINE('',#526779,#122642); #83628=LINE('',#526781,#122643); #83629=LINE('',#526782,#122644); #83630=LINE('',#526785,#122645); #83631=LINE('',#526787,#122646); #83632=LINE('',#526788,#122647); #83633=LINE('',#526791,#122648); #83634=LINE('',#526793,#122649); #83635=LINE('',#526794,#122650); #83636=LINE('',#526797,#122651); #83637=LINE('',#526799,#122652); #83638=LINE('',#526800,#122653); #83639=LINE('',#526803,#122654); #83640=LINE('',#526805,#122655); #83641=LINE('',#526806,#122656); #83642=LINE('',#526809,#122657); #83643=LINE('',#526811,#122658); #83644=LINE('',#526812,#122659); #83645=LINE('',#526815,#122660); #83646=LINE('',#526817,#122661); #83647=LINE('',#526818,#122662); #83648=LINE('',#526821,#122663); #83649=LINE('',#526823,#122664); #83650=LINE('',#526824,#122665); #83651=LINE('',#526827,#122666); #83652=LINE('',#526829,#122667); #83653=LINE('',#526830,#122668); #83654=LINE('',#526833,#122669); #83655=LINE('',#526835,#122670); #83656=LINE('',#526836,#122671); #83657=LINE('',#526839,#122672); #83658=LINE('',#526841,#122673); #83659=LINE('',#526842,#122674); #83660=LINE('',#526845,#122675); #83661=LINE('',#526847,#122676); #83662=LINE('',#526848,#122677); #83663=LINE('',#526851,#122678); #83664=LINE('',#526853,#122679); #83665=LINE('',#526854,#122680); #83666=LINE('',#526857,#122681); #83667=LINE('',#526859,#122682); #83668=LINE('',#526860,#122683); #83669=LINE('',#526863,#122684); #83670=LINE('',#526865,#122685); #83671=LINE('',#526866,#122686); #83672=LINE('',#526869,#122687); #83673=LINE('',#526871,#122688); #83674=LINE('',#526872,#122689); #83675=LINE('',#526875,#122690); #83676=LINE('',#526877,#122691); #83677=LINE('',#526878,#122692); #83678=LINE('',#526881,#122693); #83679=LINE('',#526883,#122694); #83680=LINE('',#526884,#122695); #83681=LINE('',#526887,#122696); #83682=LINE('',#526889,#122697); #83683=LINE('',#526890,#122698); #83684=LINE('',#526893,#122699); #83685=LINE('',#526895,#122700); #83686=LINE('',#526896,#122701); #83687=LINE('',#526899,#122702); #83688=LINE('',#526901,#122703); #83689=LINE('',#526902,#122704); #83690=LINE('',#526905,#122705); #83691=LINE('',#526907,#122706); #83692=LINE('',#526908,#122707); #83693=LINE('',#526911,#122708); #83694=LINE('',#526913,#122709); #83695=LINE('',#526914,#122710); #83696=LINE('',#526917,#122711); #83697=LINE('',#526919,#122712); #83698=LINE('',#526920,#122713); #83699=LINE('',#526923,#122714); #83700=LINE('',#526925,#122715); #83701=LINE('',#526926,#122716); #83702=LINE('',#526929,#122717); #83703=LINE('',#526931,#122718); #83704=LINE('',#526932,#122719); #83705=LINE('',#526935,#122720); #83706=LINE('',#526937,#122721); #83707=LINE('',#526938,#122722); #83708=LINE('',#526941,#122723); #83709=LINE('',#526943,#122724); #83710=LINE('',#526944,#122725); #83711=LINE('',#526947,#122726); #83712=LINE('',#526949,#122727); #83713=LINE('',#526950,#122728); #83714=LINE('',#526953,#122729); #83715=LINE('',#526955,#122730); #83716=LINE('',#526956,#122731); #83717=LINE('',#526959,#122732); #83718=LINE('',#526961,#122733); #83719=LINE('',#526962,#122734); #83720=LINE('',#526965,#122735); #83721=LINE('',#526967,#122736); #83722=LINE('',#526968,#122737); #83723=LINE('',#526971,#122738); #83724=LINE('',#526973,#122739); #83725=LINE('',#526974,#122740); #83726=LINE('',#526977,#122741); #83727=LINE('',#526979,#122742); #83728=LINE('',#526980,#122743); #83729=LINE('',#526983,#122744); #83730=LINE('',#526985,#122745); #83731=LINE('',#526986,#122746); #83732=LINE('',#526989,#122747); #83733=LINE('',#526991,#122748); #83734=LINE('',#526992,#122749); #83735=LINE('',#526995,#122750); #83736=LINE('',#526997,#122751); #83737=LINE('',#526998,#122752); #83738=LINE('',#527001,#122753); #83739=LINE('',#527003,#122754); #83740=LINE('',#527004,#122755); #83741=LINE('',#527007,#122756); #83742=LINE('',#527009,#122757); #83743=LINE('',#527010,#122758); #83744=LINE('',#527013,#122759); #83745=LINE('',#527015,#122760); #83746=LINE('',#527016,#122761); #83747=LINE('',#527019,#122762); #83748=LINE('',#527021,#122763); #83749=LINE('',#527022,#122764); #83750=LINE('',#527025,#122765); #83751=LINE('',#527027,#122766); #83752=LINE('',#527028,#122767); #83753=LINE('',#527031,#122768); #83754=LINE('',#527033,#122769); #83755=LINE('',#527034,#122770); #83756=LINE('',#527037,#122771); #83757=LINE('',#527039,#122772); #83758=LINE('',#527040,#122773); #83759=LINE('',#527043,#122774); #83760=LINE('',#527045,#122775); #83761=LINE('',#527046,#122776); #83762=LINE('',#527049,#122777); #83763=LINE('',#527051,#122778); #83764=LINE('',#527052,#122779); #83765=LINE('',#527055,#122780); #83766=LINE('',#527057,#122781); #83767=LINE('',#527058,#122782); #83768=LINE('',#527061,#122783); #83769=LINE('',#527063,#122784); #83770=LINE('',#527064,#122785); #83771=LINE('',#527067,#122786); #83772=LINE('',#527069,#122787); #83773=LINE('',#527070,#122788); #83774=LINE('',#527073,#122789); #83775=LINE('',#527075,#122790); #83776=LINE('',#527076,#122791); #83777=LINE('',#527079,#122792); #83778=LINE('',#527081,#122793); #83779=LINE('',#527082,#122794); #83780=LINE('',#527085,#122795); #83781=LINE('',#527087,#122796); #83782=LINE('',#527088,#122797); #83783=LINE('',#527091,#122798); #83784=LINE('',#527093,#122799); #83785=LINE('',#527094,#122800); #83786=LINE('',#527097,#122801); #83787=LINE('',#527099,#122802); #83788=LINE('',#527100,#122803); #83789=LINE('',#527103,#122804); #83790=LINE('',#527105,#122805); #83791=LINE('',#527106,#122806); #83792=LINE('',#527109,#122807); #83793=LINE('',#527111,#122808); #83794=LINE('',#527112,#122809); #83795=LINE('',#527115,#122810); #83796=LINE('',#527117,#122811); #83797=LINE('',#527118,#122812); #83798=LINE('',#527121,#122813); #83799=LINE('',#527123,#122814); #83800=LINE('',#527124,#122815); #83801=LINE('',#527127,#122816); #83802=LINE('',#527129,#122817); #83803=LINE('',#527130,#122818); #83804=LINE('',#527133,#122819); #83805=LINE('',#527135,#122820); #83806=LINE('',#527136,#122821); #83807=LINE('',#527139,#122822); #83808=LINE('',#527141,#122823); #83809=LINE('',#527142,#122824); #83810=LINE('',#527145,#122825); #83811=LINE('',#527147,#122826); #83812=LINE('',#527148,#122827); #83813=LINE('',#527151,#122828); #83814=LINE('',#527153,#122829); #83815=LINE('',#527154,#122830); #83816=LINE('',#527157,#122831); #83817=LINE('',#527159,#122832); #83818=LINE('',#527160,#122833); #83819=LINE('',#527163,#122834); #83820=LINE('',#527165,#122835); #83821=LINE('',#527166,#122836); #83822=LINE('',#527169,#122837); #83823=LINE('',#527171,#122838); #83824=LINE('',#527172,#122839); #83825=LINE('',#527175,#122840); #83826=LINE('',#527177,#122841); #83827=LINE('',#527178,#122842); #83828=LINE('',#527181,#122843); #83829=LINE('',#527183,#122844); #83830=LINE('',#527184,#122845); #83831=LINE('',#527187,#122846); #83832=LINE('',#527189,#122847); #83833=LINE('',#527190,#122848); #83834=LINE('',#527193,#122849); #83835=LINE('',#527195,#122850); #83836=LINE('',#527196,#122851); #83837=LINE('',#527199,#122852); #83838=LINE('',#527201,#122853); #83839=LINE('',#527202,#122854); #83840=LINE('',#527205,#122855); #83841=LINE('',#527207,#122856); #83842=LINE('',#527208,#122857); #83843=LINE('',#527211,#122858); #83844=LINE('',#527213,#122859); #83845=LINE('',#527214,#122860); #83846=LINE('',#527217,#122861); #83847=LINE('',#527219,#122862); #83848=LINE('',#527220,#122863); #83849=LINE('',#527223,#122864); #83850=LINE('',#527225,#122865); #83851=LINE('',#527226,#122866); #83852=LINE('',#527229,#122867); #83853=LINE('',#527231,#122868); #83854=LINE('',#527232,#122869); #83855=LINE('',#527235,#122870); #83856=LINE('',#527237,#122871); #83857=LINE('',#527238,#122872); #83858=LINE('',#527241,#122873); #83859=LINE('',#527243,#122874); #83860=LINE('',#527244,#122875); #83861=LINE('',#527247,#122876); #83862=LINE('',#527249,#122877); #83863=LINE('',#527250,#122878); #83864=LINE('',#527253,#122879); #83865=LINE('',#527255,#122880); #83866=LINE('',#527256,#122881); #83867=LINE('',#527259,#122882); #83868=LINE('',#527261,#122883); #83869=LINE('',#527262,#122884); #83870=LINE('',#527265,#122885); #83871=LINE('',#527267,#122886); #83872=LINE('',#527268,#122887); #83873=LINE('',#527271,#122888); #83874=LINE('',#527273,#122889); #83875=LINE('',#527274,#122890); #83876=LINE('',#527277,#122891); #83877=LINE('',#527279,#122892); #83878=LINE('',#527280,#122893); #83879=LINE('',#527283,#122894); #83880=LINE('',#527285,#122895); #83881=LINE('',#527286,#122896); #83882=LINE('',#527289,#122897); #83883=LINE('',#527291,#122898); #83884=LINE('',#527292,#122899); #83885=LINE('',#527295,#122900); #83886=LINE('',#527297,#122901); #83887=LINE('',#527298,#122902); #83888=LINE('',#527301,#122903); #83889=LINE('',#527303,#122904); #83890=LINE('',#527304,#122905); #83891=LINE('',#527307,#122906); #83892=LINE('',#527309,#122907); #83893=LINE('',#527310,#122908); #83894=LINE('',#527313,#122909); #83895=LINE('',#527315,#122910); #83896=LINE('',#527316,#122911); #83897=LINE('',#527319,#122912); #83898=LINE('',#527321,#122913); #83899=LINE('',#527322,#122914); #83900=LINE('',#527325,#122915); #83901=LINE('',#527327,#122916); #83902=LINE('',#527328,#122917); #83903=LINE('',#527331,#122918); #83904=LINE('',#527333,#122919); #83905=LINE('',#527334,#122920); #83906=LINE('',#527337,#122921); #83907=LINE('',#527339,#122922); #83908=LINE('',#527340,#122923); #83909=LINE('',#527343,#122924); #83910=LINE('',#527345,#122925); #83911=LINE('',#527346,#122926); #83912=LINE('',#527349,#122927); #83913=LINE('',#527351,#122928); #83914=LINE('',#527352,#122929); #83915=LINE('',#527355,#122930); #83916=LINE('',#527357,#122931); #83917=LINE('',#527358,#122932); #83918=LINE('',#527361,#122933); #83919=LINE('',#527363,#122934); #83920=LINE('',#527364,#122935); #83921=LINE('',#527367,#122936); #83922=LINE('',#527369,#122937); #83923=LINE('',#527370,#122938); #83924=LINE('',#527373,#122939); #83925=LINE('',#527375,#122940); #83926=LINE('',#527376,#122941); #83927=LINE('',#527379,#122942); #83928=LINE('',#527381,#122943); #83929=LINE('',#527382,#122944); #83930=LINE('',#527385,#122945); #83931=LINE('',#527387,#122946); #83932=LINE('',#527388,#122947); #83933=LINE('',#527391,#122948); #83934=LINE('',#527393,#122949); #83935=LINE('',#527394,#122950); #83936=LINE('',#527397,#122951); #83937=LINE('',#527399,#122952); #83938=LINE('',#527400,#122953); #83939=LINE('',#527403,#122954); #83940=LINE('',#527405,#122955); #83941=LINE('',#527406,#122956); #83942=LINE('',#527409,#122957); #83943=LINE('',#527411,#122958); #83944=LINE('',#527412,#122959); #83945=LINE('',#527415,#122960); #83946=LINE('',#527417,#122961); #83947=LINE('',#527418,#122962); #83948=LINE('',#527421,#122963); #83949=LINE('',#527423,#122964); #83950=LINE('',#527424,#122965); #83951=LINE('',#527427,#122966); #83952=LINE('',#527429,#122967); #83953=LINE('',#527430,#122968); #83954=LINE('',#527433,#122969); #83955=LINE('',#527435,#122970); #83956=LINE('',#527436,#122971); #83957=LINE('',#527439,#122972); #83958=LINE('',#527441,#122973); #83959=LINE('',#527442,#122974); #83960=LINE('',#527445,#122975); #83961=LINE('',#527447,#122976); #83962=LINE('',#527448,#122977); #83963=LINE('',#527451,#122978); #83964=LINE('',#527453,#122979); #83965=LINE('',#527454,#122980); #83966=LINE('',#527457,#122981); #83967=LINE('',#527459,#122982); #83968=LINE('',#527460,#122983); #83969=LINE('',#527463,#122984); #83970=LINE('',#527465,#122985); #83971=LINE('',#527466,#122986); #83972=LINE('',#527469,#122987); #83973=LINE('',#527471,#122988); #83974=LINE('',#527472,#122989); #83975=LINE('',#527475,#122990); #83976=LINE('',#527477,#122991); #83977=LINE('',#527478,#122992); #83978=LINE('',#527481,#122993); #83979=LINE('',#527483,#122994); #83980=LINE('',#527484,#122995); #83981=LINE('',#527487,#122996); #83982=LINE('',#527489,#122997); #83983=LINE('',#527490,#122998); #83984=LINE('',#527493,#122999); #83985=LINE('',#527495,#123000); #83986=LINE('',#527496,#123001); #83987=LINE('',#527499,#123002); #83988=LINE('',#527501,#123003); #83989=LINE('',#527502,#123004); #83990=LINE('',#527505,#123005); #83991=LINE('',#527507,#123006); #83992=LINE('',#527508,#123007); #83993=LINE('',#527511,#123008); #83994=LINE('',#527513,#123009); #83995=LINE('',#527514,#123010); #83996=LINE('',#527517,#123011); #83997=LINE('',#527519,#123012); #83998=LINE('',#527520,#123013); #83999=LINE('',#527523,#123014); #84000=LINE('',#527525,#123015); #84001=LINE('',#527526,#123016); #84002=LINE('',#527529,#123017); #84003=LINE('',#527531,#123018); #84004=LINE('',#527532,#123019); #84005=LINE('',#527535,#123020); #84006=LINE('',#527537,#123021); #84007=LINE('',#527538,#123022); #84008=LINE('',#527541,#123023); #84009=LINE('',#527543,#123024); #84010=LINE('',#527544,#123025); #84011=LINE('',#527547,#123026); #84012=LINE('',#527549,#123027); #84013=LINE('',#527550,#123028); #84014=LINE('',#527553,#123029); #84015=LINE('',#527555,#123030); #84016=LINE('',#527556,#123031); #84017=LINE('',#527559,#123032); #84018=LINE('',#527561,#123033); #84019=LINE('',#527562,#123034); #84020=LINE('',#527565,#123035); #84021=LINE('',#527567,#123036); #84022=LINE('',#527568,#123037); #84023=LINE('',#527571,#123038); #84024=LINE('',#527573,#123039); #84025=LINE('',#527574,#123040); #84026=LINE('',#527577,#123041); #84027=LINE('',#527579,#123042); #84028=LINE('',#527580,#123043); #84029=LINE('',#527583,#123044); #84030=LINE('',#527585,#123045); #84031=LINE('',#527586,#123046); #84032=LINE('',#527589,#123047); #84033=LINE('',#527591,#123048); #84034=LINE('',#527592,#123049); #84035=LINE('',#527595,#123050); #84036=LINE('',#527597,#123051); #84037=LINE('',#527598,#123052); #84038=LINE('',#527601,#123053); #84039=LINE('',#527603,#123054); #84040=LINE('',#527604,#123055); #84041=LINE('',#527607,#123056); #84042=LINE('',#527609,#123057); #84043=LINE('',#527610,#123058); #84044=LINE('',#527613,#123059); #84045=LINE('',#527615,#123060); #84046=LINE('',#527616,#123061); #84047=LINE('',#527619,#123062); #84048=LINE('',#527621,#123063); #84049=LINE('',#527622,#123064); #84050=LINE('',#527625,#123065); #84051=LINE('',#527627,#123066); #84052=LINE('',#527628,#123067); #84053=LINE('',#527631,#123068); #84054=LINE('',#527633,#123069); #84055=LINE('',#527634,#123070); #84056=LINE('',#527637,#123071); #84057=LINE('',#527639,#123072); #84058=LINE('',#527640,#123073); #84059=LINE('',#527643,#123074); #84060=LINE('',#527645,#123075); #84061=LINE('',#527646,#123076); #84062=LINE('',#527649,#123077); #84063=LINE('',#527651,#123078); #84064=LINE('',#527652,#123079); #84065=LINE('',#527655,#123080); #84066=LINE('',#527657,#123081); #84067=LINE('',#527658,#123082); #84068=LINE('',#527661,#123083); #84069=LINE('',#527663,#123084); #84070=LINE('',#527664,#123085); #84071=LINE('',#527667,#123086); #84072=LINE('',#527669,#123087); #84073=LINE('',#527670,#123088); #84074=LINE('',#527673,#123089); #84075=LINE('',#527675,#123090); #84076=LINE('',#527676,#123091); #84077=LINE('',#527679,#123092); #84078=LINE('',#527681,#123093); #84079=LINE('',#527682,#123094); #84080=LINE('',#527685,#123095); #84081=LINE('',#527687,#123096); #84082=LINE('',#527688,#123097); #84083=LINE('',#527691,#123098); #84084=LINE('',#527693,#123099); #84085=LINE('',#527694,#123100); #84086=LINE('',#527697,#123101); #84087=LINE('',#527699,#123102); #84088=LINE('',#527700,#123103); #84089=LINE('',#527703,#123104); #84090=LINE('',#527705,#123105); #84091=LINE('',#527706,#123106); #84092=LINE('',#527709,#123107); #84093=LINE('',#527711,#123108); #84094=LINE('',#527712,#123109); #84095=LINE('',#527715,#123110); #84096=LINE('',#527717,#123111); #84097=LINE('',#527718,#123112); #84098=LINE('',#527721,#123113); #84099=LINE('',#527723,#123114); #84100=LINE('',#527724,#123115); #84101=LINE('',#527727,#123116); #84102=LINE('',#527729,#123117); #84103=LINE('',#527730,#123118); #84104=LINE('',#527733,#123119); #84105=LINE('',#527735,#123120); #84106=LINE('',#527736,#123121); #84107=LINE('',#527739,#123122); #84108=LINE('',#527741,#123123); #84109=LINE('',#527742,#123124); #84110=LINE('',#527745,#123125); #84111=LINE('',#527747,#123126); #84112=LINE('',#527748,#123127); #84113=LINE('',#527751,#123128); #84114=LINE('',#527753,#123129); #84115=LINE('',#527754,#123130); #84116=LINE('',#527757,#123131); #84117=LINE('',#527759,#123132); #84118=LINE('',#527760,#123133); #84119=LINE('',#527763,#123134); #84120=LINE('',#527765,#123135); #84121=LINE('',#527766,#123136); #84122=LINE('',#527769,#123137); #84123=LINE('',#527771,#123138); #84124=LINE('',#527772,#123139); #84125=LINE('',#527775,#123140); #84126=LINE('',#527777,#123141); #84127=LINE('',#527778,#123142); #84128=LINE('',#527781,#123143); #84129=LINE('',#527783,#123144); #84130=LINE('',#527784,#123145); #84131=LINE('',#527787,#123146); #84132=LINE('',#527789,#123147); #84133=LINE('',#527790,#123148); #84134=LINE('',#527793,#123149); #84135=LINE('',#527795,#123150); #84136=LINE('',#527796,#123151); #84137=LINE('',#527799,#123152); #84138=LINE('',#527801,#123153); #84139=LINE('',#527802,#123154); #84140=LINE('',#527805,#123155); #84141=LINE('',#527807,#123156); #84142=LINE('',#527808,#123157); #84143=LINE('',#527811,#123158); #84144=LINE('',#527813,#123159); #84145=LINE('',#527814,#123160); #84146=LINE('',#527817,#123161); #84147=LINE('',#527819,#123162); #84148=LINE('',#527820,#123163); #84149=LINE('',#527823,#123164); #84150=LINE('',#527825,#123165); #84151=LINE('',#527826,#123166); #84152=LINE('',#527829,#123167); #84153=LINE('',#527831,#123168); #84154=LINE('',#527832,#123169); #84155=LINE('',#527835,#123170); #84156=LINE('',#527837,#123171); #84157=LINE('',#527838,#123172); #84158=LINE('',#527841,#123173); #84159=LINE('',#527843,#123174); #84160=LINE('',#527844,#123175); #84161=LINE('',#527847,#123176); #84162=LINE('',#527849,#123177); #84163=LINE('',#527850,#123178); #84164=LINE('',#527853,#123179); #84165=LINE('',#527855,#123180); #84166=LINE('',#527856,#123181); #84167=LINE('',#527859,#123182); #84168=LINE('',#527861,#123183); #84169=LINE('',#527862,#123184); #84170=LINE('',#527865,#123185); #84171=LINE('',#527867,#123186); #84172=LINE('',#527868,#123187); #84173=LINE('',#527871,#123188); #84174=LINE('',#527873,#123189); #84175=LINE('',#527874,#123190); #84176=LINE('',#527877,#123191); #84177=LINE('',#527879,#123192); #84178=LINE('',#527880,#123193); #84179=LINE('',#527883,#123194); #84180=LINE('',#527885,#123195); #84181=LINE('',#527886,#123196); #84182=LINE('',#527889,#123197); #84183=LINE('',#527891,#123198); #84184=LINE('',#527892,#123199); #84185=LINE('',#527895,#123200); #84186=LINE('',#527897,#123201); #84187=LINE('',#527898,#123202); #84188=LINE('',#527901,#123203); #84189=LINE('',#527903,#123204); #84190=LINE('',#527904,#123205); #84191=LINE('',#527907,#123206); #84192=LINE('',#527909,#123207); #84193=LINE('',#527910,#123208); #84194=LINE('',#527913,#123209); #84195=LINE('',#527915,#123210); #84196=LINE('',#527916,#123211); #84197=LINE('',#527919,#123212); #84198=LINE('',#527921,#123213); #84199=LINE('',#527922,#123214); #84200=LINE('',#527925,#123215); #84201=LINE('',#527927,#123216); #84202=LINE('',#527928,#123217); #84203=LINE('',#527931,#123218); #84204=LINE('',#527933,#123219); #84205=LINE('',#527934,#123220); #84206=LINE('',#527937,#123221); #84207=LINE('',#527939,#123222); #84208=LINE('',#527940,#123223); #84209=LINE('',#527943,#123224); #84210=LINE('',#527945,#123225); #84211=LINE('',#527946,#123226); #84212=LINE('',#527949,#123227); #84213=LINE('',#527951,#123228); #84214=LINE('',#527952,#123229); #84215=LINE('',#527955,#123230); #84216=LINE('',#527957,#123231); #84217=LINE('',#527958,#123232); #84218=LINE('',#527961,#123233); #84219=LINE('',#527963,#123234); #84220=LINE('',#527964,#123235); #84221=LINE('',#527967,#123236); #84222=LINE('',#527969,#123237); #84223=LINE('',#527970,#123238); #84224=LINE('',#527973,#123239); #84225=LINE('',#527975,#123240); #84226=LINE('',#527976,#123241); #84227=LINE('',#527979,#123242); #84228=LINE('',#527981,#123243); #84229=LINE('',#527982,#123244); #84230=LINE('',#527985,#123245); #84231=LINE('',#527987,#123246); #84232=LINE('',#527988,#123247); #84233=LINE('',#527991,#123248); #84234=LINE('',#527993,#123249); #84235=LINE('',#527994,#123250); #84236=LINE('',#527997,#123251); #84237=LINE('',#527999,#123252); #84238=LINE('',#528000,#123253); #84239=LINE('',#528003,#123254); #84240=LINE('',#528005,#123255); #84241=LINE('',#528006,#123256); #84242=LINE('',#528009,#123257); #84243=LINE('',#528011,#123258); #84244=LINE('',#528012,#123259); #84245=LINE('',#528015,#123260); #84246=LINE('',#528017,#123261); #84247=LINE('',#528018,#123262); #84248=LINE('',#528021,#123263); #84249=LINE('',#528023,#123264); #84250=LINE('',#528024,#123265); #84251=LINE('',#528027,#123266); #84252=LINE('',#528029,#123267); #84253=LINE('',#528030,#123268); #84254=LINE('',#528033,#123269); #84255=LINE('',#528035,#123270); #84256=LINE('',#528036,#123271); #84257=LINE('',#528039,#123272); #84258=LINE('',#528041,#123273); #84259=LINE('',#528042,#123274); #84260=LINE('',#528045,#123275); #84261=LINE('',#528047,#123276); #84262=LINE('',#528048,#123277); #84263=LINE('',#528051,#123278); #84264=LINE('',#528053,#123279); #84265=LINE('',#528054,#123280); #84266=LINE('',#528057,#123281); #84267=LINE('',#528059,#123282); #84268=LINE('',#528060,#123283); #84269=LINE('',#528063,#123284); #84270=LINE('',#528065,#123285); #84271=LINE('',#528066,#123286); #84272=LINE('',#528069,#123287); #84273=LINE('',#528071,#123288); #84274=LINE('',#528072,#123289); #84275=LINE('',#528075,#123290); #84276=LINE('',#528077,#123291); #84277=LINE('',#528078,#123292); #84278=LINE('',#528081,#123293); #84279=LINE('',#528083,#123294); #84280=LINE('',#528084,#123295); #84281=LINE('',#528087,#123296); #84282=LINE('',#528089,#123297); #84283=LINE('',#528090,#123298); #84284=LINE('',#528093,#123299); #84285=LINE('',#528095,#123300); #84286=LINE('',#528096,#123301); #84287=LINE('',#528099,#123302); #84288=LINE('',#528101,#123303); #84289=LINE('',#528102,#123304); #84290=LINE('',#528105,#123305); #84291=LINE('',#528107,#123306); #84292=LINE('',#528108,#123307); #84293=LINE('',#528111,#123308); #84294=LINE('',#528113,#123309); #84295=LINE('',#528114,#123310); #84296=LINE('',#528117,#123311); #84297=LINE('',#528119,#123312); #84298=LINE('',#528120,#123313); #84299=LINE('',#528123,#123314); #84300=LINE('',#528125,#123315); #84301=LINE('',#528126,#123316); #84302=LINE('',#528129,#123317); #84303=LINE('',#528131,#123318); #84304=LINE('',#528132,#123319); #84305=LINE('',#528135,#123320); #84306=LINE('',#528137,#123321); #84307=LINE('',#528138,#123322); #84308=LINE('',#528141,#123323); #84309=LINE('',#528143,#123324); #84310=LINE('',#528144,#123325); #84311=LINE('',#528147,#123326); #84312=LINE('',#528149,#123327); #84313=LINE('',#528150,#123328); #84314=LINE('',#528153,#123329); #84315=LINE('',#528155,#123330); #84316=LINE('',#528156,#123331); #84317=LINE('',#528159,#123332); #84318=LINE('',#528161,#123333); #84319=LINE('',#528162,#123334); #84320=LINE('',#528165,#123335); #84321=LINE('',#528167,#123336); #84322=LINE('',#528168,#123337); #84323=LINE('',#528171,#123338); #84324=LINE('',#528173,#123339); #84325=LINE('',#528174,#123340); #84326=LINE('',#528177,#123341); #84327=LINE('',#528179,#123342); #84328=LINE('',#528180,#123343); #84329=LINE('',#528183,#123344); #84330=LINE('',#528185,#123345); #84331=LINE('',#528186,#123346); #84332=LINE('',#528189,#123347); #84333=LINE('',#528191,#123348); #84334=LINE('',#528192,#123349); #84335=LINE('',#528195,#123350); #84336=LINE('',#528197,#123351); #84337=LINE('',#528198,#123352); #84338=LINE('',#528201,#123353); #84339=LINE('',#528203,#123354); #84340=LINE('',#528204,#123355); #84341=LINE('',#528207,#123356); #84342=LINE('',#528209,#123357); #84343=LINE('',#528210,#123358); #84344=LINE('',#528213,#123359); #84345=LINE('',#528215,#123360); #84346=LINE('',#528216,#123361); #84347=LINE('',#528219,#123362); #84348=LINE('',#528221,#123363); #84349=LINE('',#528222,#123364); #84350=LINE('',#528225,#123365); #84351=LINE('',#528227,#123366); #84352=LINE('',#528228,#123367); #84353=LINE('',#528231,#123368); #84354=LINE('',#528233,#123369); #84355=LINE('',#528234,#123370); #84356=LINE('',#528237,#123371); #84357=LINE('',#528239,#123372); #84358=LINE('',#528240,#123373); #84359=LINE('',#528243,#123374); #84360=LINE('',#528245,#123375); #84361=LINE('',#528246,#123376); #84362=LINE('',#528249,#123377); #84363=LINE('',#528251,#123378); #84364=LINE('',#528252,#123379); #84365=LINE('',#528255,#123380); #84366=LINE('',#528257,#123381); #84367=LINE('',#528258,#123382); #84368=LINE('',#528261,#123383); #84369=LINE('',#528263,#123384); #84370=LINE('',#528264,#123385); #84371=LINE('',#528267,#123386); #84372=LINE('',#528269,#123387); #84373=LINE('',#528270,#123388); #84374=LINE('',#528273,#123389); #84375=LINE('',#528275,#123390); #84376=LINE('',#528276,#123391); #84377=LINE('',#528279,#123392); #84378=LINE('',#528281,#123393); #84379=LINE('',#528282,#123394); #84380=LINE('',#528285,#123395); #84381=LINE('',#528287,#123396); #84382=LINE('',#528288,#123397); #84383=LINE('',#528291,#123398); #84384=LINE('',#528293,#123399); #84385=LINE('',#528294,#123400); #84386=LINE('',#528297,#123401); #84387=LINE('',#528299,#123402); #84388=LINE('',#528300,#123403); #84389=LINE('',#528303,#123404); #84390=LINE('',#528305,#123405); #84391=LINE('',#528306,#123406); #84392=LINE('',#528309,#123407); #84393=LINE('',#528311,#123408); #84394=LINE('',#528312,#123409); #84395=LINE('',#528315,#123410); #84396=LINE('',#528317,#123411); #84397=LINE('',#528318,#123412); #84398=LINE('',#528321,#123413); #84399=LINE('',#528323,#123414); #84400=LINE('',#528324,#123415); #84401=LINE('',#528327,#123416); #84402=LINE('',#528329,#123417); #84403=LINE('',#528330,#123418); #84404=LINE('',#528332,#123419); #84405=LINE('',#528333,#123420); #84406=LINE('',#528339,#123421); #84407=LINE('',#528341,#123422); #84408=LINE('',#528343,#123423); #84409=LINE('',#528344,#123424); #84410=LINE('',#528347,#123425); #84411=LINE('',#528349,#123426); #84412=LINE('',#528350,#123427); #84413=LINE('',#528353,#123428); #84414=LINE('',#528355,#123429); #84415=LINE('',#528356,#123430); #84416=LINE('',#528359,#123431); #84417=LINE('',#528361,#123432); #84418=LINE('',#528362,#123433); #84419=LINE('',#528365,#123434); #84420=LINE('',#528367,#123435); #84421=LINE('',#528368,#123436); #84422=LINE('',#528371,#123437); #84423=LINE('',#528373,#123438); #84424=LINE('',#528374,#123439); #84425=LINE('',#528377,#123440); #84426=LINE('',#528379,#123441); #84427=LINE('',#528380,#123442); #84428=LINE('',#528383,#123443); #84429=LINE('',#528385,#123444); #84430=LINE('',#528386,#123445); #84431=LINE('',#528389,#123446); #84432=LINE('',#528391,#123447); #84433=LINE('',#528392,#123448); #84434=LINE('',#528395,#123449); #84435=LINE('',#528397,#123450); #84436=LINE('',#528398,#123451); #84437=LINE('',#528401,#123452); #84438=LINE('',#528403,#123453); #84439=LINE('',#528404,#123454); #84440=LINE('',#528407,#123455); #84441=LINE('',#528409,#123456); #84442=LINE('',#528410,#123457); #84443=LINE('',#528413,#123458); #84444=LINE('',#528415,#123459); #84445=LINE('',#528416,#123460); #84446=LINE('',#528419,#123461); #84447=LINE('',#528421,#123462); #84448=LINE('',#528422,#123463); #84449=LINE('',#528425,#123464); #84450=LINE('',#528427,#123465); #84451=LINE('',#528428,#123466); #84452=LINE('',#528431,#123467); #84453=LINE('',#528433,#123468); #84454=LINE('',#528434,#123469); #84455=LINE('',#528437,#123470); #84456=LINE('',#528439,#123471); #84457=LINE('',#528440,#123472); #84458=LINE('',#528443,#123473); #84459=LINE('',#528445,#123474); #84460=LINE('',#528446,#123475); #84461=LINE('',#528449,#123476); #84462=LINE('',#528451,#123477); #84463=LINE('',#528452,#123478); #84464=LINE('',#528455,#123479); #84465=LINE('',#528457,#123480); #84466=LINE('',#528458,#123481); #84467=LINE('',#528460,#123482); #84468=LINE('',#528461,#123483); #84469=LINE('',#528467,#123484); #84470=LINE('',#528469,#123485); #84471=LINE('',#528471,#123486); #84472=LINE('',#528472,#123487); #84473=LINE('',#528475,#123488); #84474=LINE('',#528477,#123489); #84475=LINE('',#528478,#123490); #84476=LINE('',#528481,#123491); #84477=LINE('',#528483,#123492); #84478=LINE('',#528484,#123493); #84479=LINE('',#528487,#123494); #84480=LINE('',#528489,#123495); #84481=LINE('',#528490,#123496); #84482=LINE('',#528493,#123497); #84483=LINE('',#528495,#123498); #84484=LINE('',#528496,#123499); #84485=LINE('',#528499,#123500); #84486=LINE('',#528501,#123501); #84487=LINE('',#528502,#123502); #84488=LINE('',#528505,#123503); #84489=LINE('',#528507,#123504); #84490=LINE('',#528508,#123505); #84491=LINE('',#528511,#123506); #84492=LINE('',#528513,#123507); #84493=LINE('',#528514,#123508); #84494=LINE('',#528517,#123509); #84495=LINE('',#528519,#123510); #84496=LINE('',#528520,#123511); #84497=LINE('',#528523,#123512); #84498=LINE('',#528525,#123513); #84499=LINE('',#528526,#123514); #84500=LINE('',#528529,#123515); #84501=LINE('',#528531,#123516); #84502=LINE('',#528532,#123517); #84503=LINE('',#528535,#123518); #84504=LINE('',#528537,#123519); #84505=LINE('',#528538,#123520); #84506=LINE('',#528541,#123521); #84507=LINE('',#528543,#123522); #84508=LINE('',#528544,#123523); #84509=LINE('',#528547,#123524); #84510=LINE('',#528549,#123525); #84511=LINE('',#528550,#123526); #84512=LINE('',#528553,#123527); #84513=LINE('',#528555,#123528); #84514=LINE('',#528556,#123529); #84515=LINE('',#528559,#123530); #84516=LINE('',#528561,#123531); #84517=LINE('',#528562,#123532); #84518=LINE('',#528565,#123533); #84519=LINE('',#528567,#123534); #84520=LINE('',#528568,#123535); #84521=LINE('',#528571,#123536); #84522=LINE('',#528573,#123537); #84523=LINE('',#528574,#123538); #84524=LINE('',#528577,#123539); #84525=LINE('',#528579,#123540); #84526=LINE('',#528580,#123541); #84527=LINE('',#528583,#123542); #84528=LINE('',#528585,#123543); #84529=LINE('',#528586,#123544); #84530=LINE('',#528588,#123545); #84531=LINE('',#528589,#123546); #84532=LINE('',#528595,#123547); #84533=LINE('',#528597,#123548); #84534=LINE('',#528599,#123549); #84535=LINE('',#528600,#123550); #84536=LINE('',#528603,#123551); #84537=LINE('',#528605,#123552); #84538=LINE('',#528606,#123553); #84539=LINE('',#528609,#123554); #84540=LINE('',#528611,#123555); #84541=LINE('',#528612,#123556); #84542=LINE('',#528615,#123557); #84543=LINE('',#528617,#123558); #84544=LINE('',#528618,#123559); #84545=LINE('',#528621,#123560); #84546=LINE('',#528623,#123561); #84547=LINE('',#528624,#123562); #84548=LINE('',#528627,#123563); #84549=LINE('',#528629,#123564); #84550=LINE('',#528630,#123565); #84551=LINE('',#528633,#123566); #84552=LINE('',#528635,#123567); #84553=LINE('',#528636,#123568); #84554=LINE('',#528639,#123569); #84555=LINE('',#528641,#123570); #84556=LINE('',#528642,#123571); #84557=LINE('',#528645,#123572); #84558=LINE('',#528647,#123573); #84559=LINE('',#528648,#123574); #84560=LINE('',#528651,#123575); #84561=LINE('',#528653,#123576); #84562=LINE('',#528654,#123577); #84563=LINE('',#528657,#123578); #84564=LINE('',#528659,#123579); #84565=LINE('',#528660,#123580); #84566=LINE('',#528663,#123581); #84567=LINE('',#528665,#123582); #84568=LINE('',#528666,#123583); #84569=LINE('',#528669,#123584); #84570=LINE('',#528671,#123585); #84571=LINE('',#528672,#123586); #84572=LINE('',#528675,#123587); #84573=LINE('',#528677,#123588); #84574=LINE('',#528678,#123589); #84575=LINE('',#528681,#123590); #84576=LINE('',#528683,#123591); #84577=LINE('',#528684,#123592); #84578=LINE('',#528687,#123593); #84579=LINE('',#528689,#123594); #84580=LINE('',#528690,#123595); #84581=LINE('',#528693,#123596); #84582=LINE('',#528695,#123597); #84583=LINE('',#528696,#123598); #84584=LINE('',#528699,#123599); #84585=LINE('',#528701,#123600); #84586=LINE('',#528702,#123601); #84587=LINE('',#528704,#123602); #84588=LINE('',#528705,#123603); #84589=LINE('',#528711,#123604); #84590=LINE('',#528713,#123605); #84591=LINE('',#528715,#123606); #84592=LINE('',#528716,#123607); #84593=LINE('',#528719,#123608); #84594=LINE('',#528721,#123609); #84595=LINE('',#528722,#123610); #84596=LINE('',#528725,#123611); #84597=LINE('',#528727,#123612); #84598=LINE('',#528728,#123613); #84599=LINE('',#528731,#123614); #84600=LINE('',#528733,#123615); #84601=LINE('',#528734,#123616); #84602=LINE('',#528737,#123617); #84603=LINE('',#528739,#123618); #84604=LINE('',#528740,#123619); #84605=LINE('',#528743,#123620); #84606=LINE('',#528745,#123621); #84607=LINE('',#528746,#123622); #84608=LINE('',#528749,#123623); #84609=LINE('',#528751,#123624); #84610=LINE('',#528752,#123625); #84611=LINE('',#528755,#123626); #84612=LINE('',#528757,#123627); #84613=LINE('',#528758,#123628); #84614=LINE('',#528761,#123629); #84615=LINE('',#528763,#123630); #84616=LINE('',#528764,#123631); #84617=LINE('',#528767,#123632); #84618=LINE('',#528769,#123633); #84619=LINE('',#528770,#123634); #84620=LINE('',#528773,#123635); #84621=LINE('',#528775,#123636); #84622=LINE('',#528776,#123637); #84623=LINE('',#528779,#123638); #84624=LINE('',#528781,#123639); #84625=LINE('',#528782,#123640); #84626=LINE('',#528785,#123641); #84627=LINE('',#528787,#123642); #84628=LINE('',#528788,#123643); #84629=LINE('',#528791,#123644); #84630=LINE('',#528793,#123645); #84631=LINE('',#528794,#123646); #84632=LINE('',#528797,#123647); #84633=LINE('',#528799,#123648); #84634=LINE('',#528800,#123649); #84635=LINE('',#528803,#123650); #84636=LINE('',#528805,#123651); #84637=LINE('',#528806,#123652); #84638=LINE('',#528809,#123653); #84639=LINE('',#528811,#123654); #84640=LINE('',#528812,#123655); #84641=LINE('',#528815,#123656); #84642=LINE('',#528817,#123657); #84643=LINE('',#528818,#123658); #84644=LINE('',#528820,#123659); #84645=LINE('',#528821,#123660); #84646=LINE('',#528827,#123661); #84647=LINE('',#528829,#123662); #84648=LINE('',#528831,#123663); #84649=LINE('',#528832,#123664); #84650=LINE('',#528835,#123665); #84651=LINE('',#528837,#123666); #84652=LINE('',#528838,#123667); #84653=LINE('',#528841,#123668); #84654=LINE('',#528843,#123669); #84655=LINE('',#528844,#123670); #84656=LINE('',#528847,#123671); #84657=LINE('',#528849,#123672); #84658=LINE('',#528850,#123673); #84659=LINE('',#528853,#123674); #84660=LINE('',#528855,#123675); #84661=LINE('',#528856,#123676); #84662=LINE('',#528859,#123677); #84663=LINE('',#528861,#123678); #84664=LINE('',#528862,#123679); #84665=LINE('',#528865,#123680); #84666=LINE('',#528867,#123681); #84667=LINE('',#528868,#123682); #84668=LINE('',#528871,#123683); #84669=LINE('',#528873,#123684); #84670=LINE('',#528874,#123685); #84671=LINE('',#528877,#123686); #84672=LINE('',#528879,#123687); #84673=LINE('',#528880,#123688); #84674=LINE('',#528883,#123689); #84675=LINE('',#528885,#123690); #84676=LINE('',#528886,#123691); #84677=LINE('',#528889,#123692); #84678=LINE('',#528891,#123693); #84679=LINE('',#528892,#123694); #84680=LINE('',#528895,#123695); #84681=LINE('',#528897,#123696); #84682=LINE('',#528898,#123697); #84683=LINE('',#528901,#123698); #84684=LINE('',#528903,#123699); #84685=LINE('',#528904,#123700); #84686=LINE('',#528907,#123701); #84687=LINE('',#528909,#123702); #84688=LINE('',#528910,#123703); #84689=LINE('',#528913,#123704); #84690=LINE('',#528915,#123705); #84691=LINE('',#528916,#123706); #84692=LINE('',#528919,#123707); #84693=LINE('',#528921,#123708); #84694=LINE('',#528922,#123709); #84695=LINE('',#528925,#123710); #84696=LINE('',#528927,#123711); #84697=LINE('',#528928,#123712); #84698=LINE('',#528931,#123713); #84699=LINE('',#528933,#123714); #84700=LINE('',#528934,#123715); #84701=LINE('',#528936,#123716); #84702=LINE('',#528937,#123717); #84703=LINE('',#528943,#123718); #84704=LINE('',#528945,#123719); #84705=LINE('',#528947,#123720); #84706=LINE('',#528948,#123721); #84707=LINE('',#528951,#123722); #84708=LINE('',#528953,#123723); #84709=LINE('',#528954,#123724); #84710=LINE('',#528957,#123725); #84711=LINE('',#528959,#123726); #84712=LINE('',#528960,#123727); #84713=LINE('',#528963,#123728); #84714=LINE('',#528965,#123729); #84715=LINE('',#528966,#123730); #84716=LINE('',#528969,#123731); #84717=LINE('',#528971,#123732); #84718=LINE('',#528972,#123733); #84719=LINE('',#528975,#123734); #84720=LINE('',#528977,#123735); #84721=LINE('',#528978,#123736); #84722=LINE('',#528981,#123737); #84723=LINE('',#528983,#123738); #84724=LINE('',#528984,#123739); #84725=LINE('',#528987,#123740); #84726=LINE('',#528989,#123741); #84727=LINE('',#528990,#123742); #84728=LINE('',#528993,#123743); #84729=LINE('',#528995,#123744); #84730=LINE('',#528996,#123745); #84731=LINE('',#528999,#123746); #84732=LINE('',#529001,#123747); #84733=LINE('',#529002,#123748); #84734=LINE('',#529005,#123749); #84735=LINE('',#529007,#123750); #84736=LINE('',#529008,#123751); #84737=LINE('',#529011,#123752); #84738=LINE('',#529013,#123753); #84739=LINE('',#529014,#123754); #84740=LINE('',#529017,#123755); #84741=LINE('',#529019,#123756); #84742=LINE('',#529020,#123757); #84743=LINE('',#529023,#123758); #84744=LINE('',#529025,#123759); #84745=LINE('',#529026,#123760); #84746=LINE('',#529029,#123761); #84747=LINE('',#529031,#123762); #84748=LINE('',#529032,#123763); #84749=LINE('',#529035,#123764); #84750=LINE('',#529037,#123765); #84751=LINE('',#529038,#123766); #84752=LINE('',#529041,#123767); #84753=LINE('',#529043,#123768); #84754=LINE('',#529044,#123769); #84755=LINE('',#529047,#123770); #84756=LINE('',#529049,#123771); #84757=LINE('',#529050,#123772); #84758=LINE('',#529053,#123773); #84759=LINE('',#529055,#123774); #84760=LINE('',#529056,#123775); #84761=LINE('',#529059,#123776); #84762=LINE('',#529061,#123777); #84763=LINE('',#529062,#123778); #84764=LINE('',#529065,#123779); #84765=LINE('',#529067,#123780); #84766=LINE('',#529068,#123781); #84767=LINE('',#529071,#123782); #84768=LINE('',#529073,#123783); #84769=LINE('',#529074,#123784); #84770=LINE('',#529077,#123785); #84771=LINE('',#529079,#123786); #84772=LINE('',#529080,#123787); #84773=LINE('',#529083,#123788); #84774=LINE('',#529085,#123789); #84775=LINE('',#529086,#123790); #84776=LINE('',#529089,#123791); #84777=LINE('',#529091,#123792); #84778=LINE('',#529092,#123793); #84779=LINE('',#529095,#123794); #84780=LINE('',#529097,#123795); #84781=LINE('',#529098,#123796); #84782=LINE('',#529101,#123797); #84783=LINE('',#529103,#123798); #84784=LINE('',#529104,#123799); #84785=LINE('',#529107,#123800); #84786=LINE('',#529109,#123801); #84787=LINE('',#529110,#123802); #84788=LINE('',#529113,#123803); #84789=LINE('',#529115,#123804); #84790=LINE('',#529116,#123805); #84791=LINE('',#529119,#123806); #84792=LINE('',#529121,#123807); #84793=LINE('',#529122,#123808); #84794=LINE('',#529125,#123809); #84795=LINE('',#529127,#123810); #84796=LINE('',#529128,#123811); #84797=LINE('',#529131,#123812); #84798=LINE('',#529133,#123813); #84799=LINE('',#529134,#123814); #84800=LINE('',#529137,#123815); #84801=LINE('',#529139,#123816); #84802=LINE('',#529140,#123817); #84803=LINE('',#529143,#123818); #84804=LINE('',#529145,#123819); #84805=LINE('',#529146,#123820); #84806=LINE('',#529149,#123821); #84807=LINE('',#529151,#123822); #84808=LINE('',#529152,#123823); #84809=LINE('',#529155,#123824); #84810=LINE('',#529157,#123825); #84811=LINE('',#529158,#123826); #84812=LINE('',#529160,#123827); #84813=LINE('',#529161,#123828); #84814=LINE('',#529167,#123829); #84815=LINE('',#529169,#123830); #84816=LINE('',#529171,#123831); #84817=LINE('',#529172,#123832); #84818=LINE('',#529175,#123833); #84819=LINE('',#529177,#123834); #84820=LINE('',#529178,#123835); #84821=LINE('',#529181,#123836); #84822=LINE('',#529183,#123837); #84823=LINE('',#529184,#123838); #84824=LINE('',#529187,#123839); #84825=LINE('',#529189,#123840); #84826=LINE('',#529190,#123841); #84827=LINE('',#529193,#123842); #84828=LINE('',#529195,#123843); #84829=LINE('',#529196,#123844); #84830=LINE('',#529199,#123845); #84831=LINE('',#529201,#123846); #84832=LINE('',#529202,#123847); #84833=LINE('',#529205,#123848); #84834=LINE('',#529207,#123849); #84835=LINE('',#529208,#123850); #84836=LINE('',#529211,#123851); #84837=LINE('',#529213,#123852); #84838=LINE('',#529214,#123853); #84839=LINE('',#529217,#123854); #84840=LINE('',#529219,#123855); #84841=LINE('',#529220,#123856); #84842=LINE('',#529223,#123857); #84843=LINE('',#529225,#123858); #84844=LINE('',#529226,#123859); #84845=LINE('',#529229,#123860); #84846=LINE('',#529231,#123861); #84847=LINE('',#529232,#123862); #84848=LINE('',#529235,#123863); #84849=LINE('',#529237,#123864); #84850=LINE('',#529238,#123865); #84851=LINE('',#529241,#123866); #84852=LINE('',#529243,#123867); #84853=LINE('',#529244,#123868); #84854=LINE('',#529247,#123869); #84855=LINE('',#529249,#123870); #84856=LINE('',#529250,#123871); #84857=LINE('',#529253,#123872); #84858=LINE('',#529255,#123873); #84859=LINE('',#529256,#123874); #84860=LINE('',#529259,#123875); #84861=LINE('',#529261,#123876); #84862=LINE('',#529262,#123877); #84863=LINE('',#529265,#123878); #84864=LINE('',#529267,#123879); #84865=LINE('',#529268,#123880); #84866=LINE('',#529271,#123881); #84867=LINE('',#529273,#123882); #84868=LINE('',#529274,#123883); #84869=LINE('',#529277,#123884); #84870=LINE('',#529279,#123885); #84871=LINE('',#529280,#123886); #84872=LINE('',#529282,#123887); #84873=LINE('',#529283,#123888); #84874=LINE('',#529289,#123889); #84875=LINE('',#529291,#123890); #84876=LINE('',#529293,#123891); #84877=LINE('',#529294,#123892); #84878=LINE('',#529297,#123893); #84879=LINE('',#529299,#123894); #84880=LINE('',#529300,#123895); #84881=LINE('',#529303,#123896); #84882=LINE('',#529305,#123897); #84883=LINE('',#529306,#123898); #84884=LINE('',#529309,#123899); #84885=LINE('',#529311,#123900); #84886=LINE('',#529312,#123901); #84887=LINE('',#529315,#123902); #84888=LINE('',#529317,#123903); #84889=LINE('',#529318,#123904); #84890=LINE('',#529321,#123905); #84891=LINE('',#529323,#123906); #84892=LINE('',#529324,#123907); #84893=LINE('',#529327,#123908); #84894=LINE('',#529329,#123909); #84895=LINE('',#529330,#123910); #84896=LINE('',#529333,#123911); #84897=LINE('',#529335,#123912); #84898=LINE('',#529336,#123913); #84899=LINE('',#529339,#123914); #84900=LINE('',#529341,#123915); #84901=LINE('',#529342,#123916); #84902=LINE('',#529345,#123917); #84903=LINE('',#529347,#123918); #84904=LINE('',#529348,#123919); #84905=LINE('',#529351,#123920); #84906=LINE('',#529353,#123921); #84907=LINE('',#529354,#123922); #84908=LINE('',#529357,#123923); #84909=LINE('',#529359,#123924); #84910=LINE('',#529360,#123925); #84911=LINE('',#529363,#123926); #84912=LINE('',#529365,#123927); #84913=LINE('',#529366,#123928); #84914=LINE('',#529369,#123929); #84915=LINE('',#529371,#123930); #84916=LINE('',#529372,#123931); #84917=LINE('',#529375,#123932); #84918=LINE('',#529377,#123933); #84919=LINE('',#529378,#123934); #84920=LINE('',#529381,#123935); #84921=LINE('',#529383,#123936); #84922=LINE('',#529384,#123937); #84923=LINE('',#529387,#123938); #84924=LINE('',#529389,#123939); #84925=LINE('',#529390,#123940); #84926=LINE('',#529393,#123941); #84927=LINE('',#529395,#123942); #84928=LINE('',#529396,#123943); #84929=LINE('',#529399,#123944); #84930=LINE('',#529401,#123945); #84931=LINE('',#529402,#123946); #84932=LINE('',#529405,#123947); #84933=LINE('',#529407,#123948); #84934=LINE('',#529408,#123949); #84935=LINE('',#529411,#123950); #84936=LINE('',#529413,#123951); #84937=LINE('',#529414,#123952); #84938=LINE('',#529417,#123953); #84939=LINE('',#529419,#123954); #84940=LINE('',#529420,#123955); #84941=LINE('',#529423,#123956); #84942=LINE('',#529425,#123957); #84943=LINE('',#529426,#123958); #84944=LINE('',#529429,#123959); #84945=LINE('',#529431,#123960); #84946=LINE('',#529432,#123961); #84947=LINE('',#529435,#123962); #84948=LINE('',#529437,#123963); #84949=LINE('',#529438,#123964); #84950=LINE('',#529441,#123965); #84951=LINE('',#529443,#123966); #84952=LINE('',#529444,#123967); #84953=LINE('',#529447,#123968); #84954=LINE('',#529449,#123969); #84955=LINE('',#529450,#123970); #84956=LINE('',#529453,#123971); #84957=LINE('',#529455,#123972); #84958=LINE('',#529456,#123973); #84959=LINE('',#529459,#123974); #84960=LINE('',#529461,#123975); #84961=LINE('',#529462,#123976); #84962=LINE('',#529465,#123977); #84963=LINE('',#529467,#123978); #84964=LINE('',#529468,#123979); #84965=LINE('',#529471,#123980); #84966=LINE('',#529473,#123981); #84967=LINE('',#529474,#123982); #84968=LINE('',#529477,#123983); #84969=LINE('',#529479,#123984); #84970=LINE('',#529480,#123985); #84971=LINE('',#529483,#123986); #84972=LINE('',#529485,#123987); #84973=LINE('',#529486,#123988); #84974=LINE('',#529489,#123989); #84975=LINE('',#529491,#123990); #84976=LINE('',#529492,#123991); #84977=LINE('',#529495,#123992); #84978=LINE('',#529497,#123993); #84979=LINE('',#529498,#123994); #84980=LINE('',#529501,#123995); #84981=LINE('',#529503,#123996); #84982=LINE('',#529504,#123997); #84983=LINE('',#529507,#123998); #84984=LINE('',#529509,#123999); #84985=LINE('',#529510,#124000); #84986=LINE('',#529513,#124001); #84987=LINE('',#529515,#124002); #84988=LINE('',#529516,#124003); #84989=LINE('',#529519,#124004); #84990=LINE('',#529521,#124005); #84991=LINE('',#529522,#124006); #84992=LINE('',#529525,#124007); #84993=LINE('',#529527,#124008); #84994=LINE('',#529528,#124009); #84995=LINE('',#529531,#124010); #84996=LINE('',#529533,#124011); #84997=LINE('',#529534,#124012); #84998=LINE('',#529537,#124013); #84999=LINE('',#529539,#124014); #85000=LINE('',#529540,#124015); #85001=LINE('',#529543,#124016); #85002=LINE('',#529545,#124017); #85003=LINE('',#529546,#124018); #85004=LINE('',#529549,#124019); #85005=LINE('',#529551,#124020); #85006=LINE('',#529552,#124021); #85007=LINE('',#529555,#124022); #85008=LINE('',#529557,#124023); #85009=LINE('',#529558,#124024); #85010=LINE('',#529561,#124025); #85011=LINE('',#529563,#124026); #85012=LINE('',#529564,#124027); #85013=LINE('',#529567,#124028); #85014=LINE('',#529569,#124029); #85015=LINE('',#529570,#124030); #85016=LINE('',#529572,#124031); #85017=LINE('',#529573,#124032); #85018=LINE('',#529579,#124033); #85019=LINE('',#529581,#124034); #85020=LINE('',#529583,#124035); #85021=LINE('',#529584,#124036); #85022=LINE('',#529587,#124037); #85023=LINE('',#529589,#124038); #85024=LINE('',#529590,#124039); #85025=LINE('',#529593,#124040); #85026=LINE('',#529595,#124041); #85027=LINE('',#529596,#124042); #85028=LINE('',#529599,#124043); #85029=LINE('',#529601,#124044); #85030=LINE('',#529602,#124045); #85031=LINE('',#529605,#124046); #85032=LINE('',#529607,#124047); #85033=LINE('',#529608,#124048); #85034=LINE('',#529611,#124049); #85035=LINE('',#529613,#124050); #85036=LINE('',#529614,#124051); #85037=LINE('',#529617,#124052); #85038=LINE('',#529619,#124053); #85039=LINE('',#529620,#124054); #85040=LINE('',#529623,#124055); #85041=LINE('',#529625,#124056); #85042=LINE('',#529626,#124057); #85043=LINE('',#529629,#124058); #85044=LINE('',#529631,#124059); #85045=LINE('',#529632,#124060); #85046=LINE('',#529635,#124061); #85047=LINE('',#529637,#124062); #85048=LINE('',#529638,#124063); #85049=LINE('',#529641,#124064); #85050=LINE('',#529643,#124065); #85051=LINE('',#529644,#124066); #85052=LINE('',#529647,#124067); #85053=LINE('',#529649,#124068); #85054=LINE('',#529650,#124069); #85055=LINE('',#529653,#124070); #85056=LINE('',#529655,#124071); #85057=LINE('',#529656,#124072); #85058=LINE('',#529659,#124073); #85059=LINE('',#529661,#124074); #85060=LINE('',#529662,#124075); #85061=LINE('',#529665,#124076); #85062=LINE('',#529667,#124077); #85063=LINE('',#529668,#124078); #85064=LINE('',#529671,#124079); #85065=LINE('',#529673,#124080); #85066=LINE('',#529674,#124081); #85067=LINE('',#529677,#124082); #85068=LINE('',#529679,#124083); #85069=LINE('',#529680,#124084); #85070=LINE('',#529683,#124085); #85071=LINE('',#529685,#124086); #85072=LINE('',#529686,#124087); #85073=LINE('',#529689,#124088); #85074=LINE('',#529691,#124089); #85075=LINE('',#529692,#124090); #85076=LINE('',#529694,#124091); #85077=LINE('',#529695,#124092); #85078=LINE('',#529701,#124093); #85079=LINE('',#529703,#124094); #85080=LINE('',#529705,#124095); #85081=LINE('',#529706,#124096); #85082=LINE('',#529709,#124097); #85083=LINE('',#529711,#124098); #85084=LINE('',#529712,#124099); #85085=LINE('',#529715,#124100); #85086=LINE('',#529717,#124101); #85087=LINE('',#529718,#124102); #85088=LINE('',#529721,#124103); #85089=LINE('',#529723,#124104); #85090=LINE('',#529724,#124105); #85091=LINE('',#529727,#124106); #85092=LINE('',#529729,#124107); #85093=LINE('',#529730,#124108); #85094=LINE('',#529733,#124109); #85095=LINE('',#529735,#124110); #85096=LINE('',#529736,#124111); #85097=LINE('',#529739,#124112); #85098=LINE('',#529741,#124113); #85099=LINE('',#529742,#124114); #85100=LINE('',#529745,#124115); #85101=LINE('',#529747,#124116); #85102=LINE('',#529748,#124117); #85103=LINE('',#529751,#124118); #85104=LINE('',#529753,#124119); #85105=LINE('',#529754,#124120); #85106=LINE('',#529757,#124121); #85107=LINE('',#529759,#124122); #85108=LINE('',#529760,#124123); #85109=LINE('',#529763,#124124); #85110=LINE('',#529765,#124125); #85111=LINE('',#529766,#124126); #85112=LINE('',#529769,#124127); #85113=LINE('',#529771,#124128); #85114=LINE('',#529772,#124129); #85115=LINE('',#529775,#124130); #85116=LINE('',#529777,#124131); #85117=LINE('',#529778,#124132); #85118=LINE('',#529781,#124133); #85119=LINE('',#529783,#124134); #85120=LINE('',#529784,#124135); #85121=LINE('',#529787,#124136); #85122=LINE('',#529789,#124137); #85123=LINE('',#529790,#124138); #85124=LINE('',#529793,#124139); #85125=LINE('',#529795,#124140); #85126=LINE('',#529796,#124141); #85127=LINE('',#529799,#124142); #85128=LINE('',#529801,#124143); #85129=LINE('',#529802,#124144); #85130=LINE('',#529805,#124145); #85131=LINE('',#529807,#124146); #85132=LINE('',#529808,#124147); #85133=LINE('',#529811,#124148); #85134=LINE('',#529813,#124149); #85135=LINE('',#529814,#124150); #85136=LINE('',#529817,#124151); #85137=LINE('',#529819,#124152); #85138=LINE('',#529820,#124153); #85139=LINE('',#529823,#124154); #85140=LINE('',#529825,#124155); #85141=LINE('',#529826,#124156); #85142=LINE('',#529829,#124157); #85143=LINE('',#529831,#124158); #85144=LINE('',#529832,#124159); #85145=LINE('',#529835,#124160); #85146=LINE('',#529837,#124161); #85147=LINE('',#529838,#124162); #85148=LINE('',#529841,#124163); #85149=LINE('',#529843,#124164); #85150=LINE('',#529844,#124165); #85151=LINE('',#529847,#124166); #85152=LINE('',#529849,#124167); #85153=LINE('',#529850,#124168); #85154=LINE('',#529853,#124169); #85155=LINE('',#529855,#124170); #85156=LINE('',#529856,#124171); #85157=LINE('',#529859,#124172); #85158=LINE('',#529861,#124173); #85159=LINE('',#529862,#124174); #85160=LINE('',#529865,#124175); #85161=LINE('',#529867,#124176); #85162=LINE('',#529868,#124177); #85163=LINE('',#529871,#124178); #85164=LINE('',#529873,#124179); #85165=LINE('',#529874,#124180); #85166=LINE('',#529877,#124181); #85167=LINE('',#529879,#124182); #85168=LINE('',#529880,#124183); #85169=LINE('',#529882,#124184); #85170=LINE('',#529883,#124185); #85171=LINE('',#529889,#124186); #85172=LINE('',#529891,#124187); #85173=LINE('',#529893,#124188); #85174=LINE('',#529894,#124189); #85175=LINE('',#529897,#124190); #85176=LINE('',#529899,#124191); #85177=LINE('',#529900,#124192); #85178=LINE('',#529903,#124193); #85179=LINE('',#529905,#124194); #85180=LINE('',#529906,#124195); #85181=LINE('',#529909,#124196); #85182=LINE('',#529911,#124197); #85183=LINE('',#529912,#124198); #85184=LINE('',#529915,#124199); #85185=LINE('',#529917,#124200); #85186=LINE('',#529918,#124201); #85187=LINE('',#529921,#124202); #85188=LINE('',#529923,#124203); #85189=LINE('',#529924,#124204); #85190=LINE('',#529927,#124205); #85191=LINE('',#529929,#124206); #85192=LINE('',#529930,#124207); #85193=LINE('',#529933,#124208); #85194=LINE('',#529935,#124209); #85195=LINE('',#529936,#124210); #85196=LINE('',#529939,#124211); #85197=LINE('',#529941,#124212); #85198=LINE('',#529942,#124213); #85199=LINE('',#529945,#124214); #85200=LINE('',#529947,#124215); #85201=LINE('',#529948,#124216); #85202=LINE('',#529951,#124217); #85203=LINE('',#529953,#124218); #85204=LINE('',#529954,#124219); #85205=LINE('',#529957,#124220); #85206=LINE('',#529959,#124221); #85207=LINE('',#529960,#124222); #85208=LINE('',#529963,#124223); #85209=LINE('',#529965,#124224); #85210=LINE('',#529966,#124225); #85211=LINE('',#529969,#124226); #85212=LINE('',#529971,#124227); #85213=LINE('',#529972,#124228); #85214=LINE('',#529975,#124229); #85215=LINE('',#529977,#124230); #85216=LINE('',#529978,#124231); #85217=LINE('',#529981,#124232); #85218=LINE('',#529983,#124233); #85219=LINE('',#529984,#124234); #85220=LINE('',#529987,#124235); #85221=LINE('',#529989,#124236); #85222=LINE('',#529990,#124237); #85223=LINE('',#529993,#124238); #85224=LINE('',#529995,#124239); #85225=LINE('',#529996,#124240); #85226=LINE('',#529999,#124241); #85227=LINE('',#530001,#124242); #85228=LINE('',#530002,#124243); #85229=LINE('',#530004,#124244); #85230=LINE('',#530005,#124245); #85231=LINE('',#530011,#124246); #85232=LINE('',#530013,#124247); #85233=LINE('',#530015,#124248); #85234=LINE('',#530016,#124249); #85235=LINE('',#530019,#124250); #85236=LINE('',#530021,#124251); #85237=LINE('',#530022,#124252); #85238=LINE('',#530025,#124253); #85239=LINE('',#530027,#124254); #85240=LINE('',#530028,#124255); #85241=LINE('',#530031,#124256); #85242=LINE('',#530033,#124257); #85243=LINE('',#530034,#124258); #85244=LINE('',#530037,#124259); #85245=LINE('',#530039,#124260); #85246=LINE('',#530040,#124261); #85247=LINE('',#530043,#124262); #85248=LINE('',#530045,#124263); #85249=LINE('',#530046,#124264); #85250=LINE('',#530049,#124265); #85251=LINE('',#530051,#124266); #85252=LINE('',#530052,#124267); #85253=LINE('',#530055,#124268); #85254=LINE('',#530057,#124269); #85255=LINE('',#530058,#124270); #85256=LINE('',#530061,#124271); #85257=LINE('',#530063,#124272); #85258=LINE('',#530064,#124273); #85259=LINE('',#530067,#124274); #85260=LINE('',#530069,#124275); #85261=LINE('',#530070,#124276); #85262=LINE('',#530073,#124277); #85263=LINE('',#530075,#124278); #85264=LINE('',#530076,#124279); #85265=LINE('',#530079,#124280); #85266=LINE('',#530081,#124281); #85267=LINE('',#530082,#124282); #85268=LINE('',#530085,#124283); #85269=LINE('',#530087,#124284); #85270=LINE('',#530088,#124285); #85271=LINE('',#530091,#124286); #85272=LINE('',#530093,#124287); #85273=LINE('',#530094,#124288); #85274=LINE('',#530097,#124289); #85275=LINE('',#530099,#124290); #85276=LINE('',#530100,#124291); #85277=LINE('',#530103,#124292); #85278=LINE('',#530105,#124293); #85279=LINE('',#530106,#124294); #85280=LINE('',#530109,#124295); #85281=LINE('',#530111,#124296); #85282=LINE('',#530112,#124297); #85283=LINE('',#530115,#124298); #85284=LINE('',#530117,#124299); #85285=LINE('',#530118,#124300); #85286=LINE('',#530121,#124301); #85287=LINE('',#530123,#124302); #85288=LINE('',#530124,#124303); #85289=LINE('',#530127,#124304); #85290=LINE('',#530129,#124305); #85291=LINE('',#530130,#124306); #85292=LINE('',#530133,#124307); #85293=LINE('',#530135,#124308); #85294=LINE('',#530136,#124309); #85295=LINE('',#530139,#124310); #85296=LINE('',#530141,#124311); #85297=LINE('',#530142,#124312); #85298=LINE('',#530145,#124313); #85299=LINE('',#530147,#124314); #85300=LINE('',#530148,#124315); #85301=LINE('',#530151,#124316); #85302=LINE('',#530153,#124317); #85303=LINE('',#530154,#124318); #85304=LINE('',#530157,#124319); #85305=LINE('',#530159,#124320); #85306=LINE('',#530160,#124321); #85307=LINE('',#530163,#124322); #85308=LINE('',#530165,#124323); #85309=LINE('',#530166,#124324); #85310=LINE('',#530169,#124325); #85311=LINE('',#530171,#124326); #85312=LINE('',#530172,#124327); #85313=LINE('',#530175,#124328); #85314=LINE('',#530177,#124329); #85315=LINE('',#530178,#124330); #85316=LINE('',#530181,#124331); #85317=LINE('',#530183,#124332); #85318=LINE('',#530184,#124333); #85319=LINE('',#530187,#124334); #85320=LINE('',#530189,#124335); #85321=LINE('',#530190,#124336); #85322=LINE('',#530192,#124337); #85323=LINE('',#530193,#124338); #85324=LINE('',#530199,#124339); #85325=LINE('',#530201,#124340); #85326=LINE('',#530203,#124341); #85327=LINE('',#530204,#124342); #85328=LINE('',#530207,#124343); #85329=LINE('',#530209,#124344); #85330=LINE('',#530210,#124345); #85331=LINE('',#530213,#124346); #85332=LINE('',#530215,#124347); #85333=LINE('',#530216,#124348); #85334=LINE('',#530219,#124349); #85335=LINE('',#530221,#124350); #85336=LINE('',#530222,#124351); #85337=LINE('',#530225,#124352); #85338=LINE('',#530227,#124353); #85339=LINE('',#530228,#124354); #85340=LINE('',#530231,#124355); #85341=LINE('',#530233,#124356); #85342=LINE('',#530234,#124357); #85343=LINE('',#530237,#124358); #85344=LINE('',#530239,#124359); #85345=LINE('',#530240,#124360); #85346=LINE('',#530243,#124361); #85347=LINE('',#530245,#124362); #85348=LINE('',#530246,#124363); #85349=LINE('',#530249,#124364); #85350=LINE('',#530251,#124365); #85351=LINE('',#530252,#124366); #85352=LINE('',#530255,#124367); #85353=LINE('',#530257,#124368); #85354=LINE('',#530258,#124369); #85355=LINE('',#530261,#124370); #85356=LINE('',#530263,#124371); #85357=LINE('',#530264,#124372); #85358=LINE('',#530267,#124373); #85359=LINE('',#530269,#124374); #85360=LINE('',#530270,#124375); #85361=LINE('',#530273,#124376); #85362=LINE('',#530275,#124377); #85363=LINE('',#530276,#124378); #85364=LINE('',#530279,#124379); #85365=LINE('',#530281,#124380); #85366=LINE('',#530282,#124381); #85367=LINE('',#530285,#124382); #85368=LINE('',#530287,#124383); #85369=LINE('',#530288,#124384); #85370=LINE('',#530291,#124385); #85371=LINE('',#530293,#124386); #85372=LINE('',#530294,#124387); #85373=LINE('',#530297,#124388); #85374=LINE('',#530299,#124389); #85375=LINE('',#530300,#124390); #85376=LINE('',#530303,#124391); #85377=LINE('',#530305,#124392); #85378=LINE('',#530306,#124393); #85379=LINE('',#530309,#124394); #85380=LINE('',#530311,#124395); #85381=LINE('',#530312,#124396); #85382=LINE('',#530315,#124397); #85383=LINE('',#530317,#124398); #85384=LINE('',#530318,#124399); #85385=LINE('',#530320,#124400); #85386=LINE('',#530321,#124401); #85387=LINE('',#530327,#124402); #85388=LINE('',#530329,#124403); #85389=LINE('',#530331,#124404); #85390=LINE('',#530332,#124405); #85391=LINE('',#530335,#124406); #85392=LINE('',#530337,#124407); #85393=LINE('',#530338,#124408); #85394=LINE('',#530341,#124409); #85395=LINE('',#530343,#124410); #85396=LINE('',#530344,#124411); #85397=LINE('',#530347,#124412); #85398=LINE('',#530349,#124413); #85399=LINE('',#530350,#124414); #85400=LINE('',#530353,#124415); #85401=LINE('',#530355,#124416); #85402=LINE('',#530356,#124417); #85403=LINE('',#530359,#124418); #85404=LINE('',#530361,#124419); #85405=LINE('',#530362,#124420); #85406=LINE('',#530365,#124421); #85407=LINE('',#530367,#124422); #85408=LINE('',#530368,#124423); #85409=LINE('',#530371,#124424); #85410=LINE('',#530373,#124425); #85411=LINE('',#530374,#124426); #85412=LINE('',#530377,#124427); #85413=LINE('',#530379,#124428); #85414=LINE('',#530380,#124429); #85415=LINE('',#530383,#124430); #85416=LINE('',#530385,#124431); #85417=LINE('',#530386,#124432); #85418=LINE('',#530389,#124433); #85419=LINE('',#530391,#124434); #85420=LINE('',#530392,#124435); #85421=LINE('',#530395,#124436); #85422=LINE('',#530397,#124437); #85423=LINE('',#530398,#124438); #85424=LINE('',#530401,#124439); #85425=LINE('',#530403,#124440); #85426=LINE('',#530404,#124441); #85427=LINE('',#530407,#124442); #85428=LINE('',#530409,#124443); #85429=LINE('',#530410,#124444); #85430=LINE('',#530413,#124445); #85431=LINE('',#530415,#124446); #85432=LINE('',#530416,#124447); #85433=LINE('',#530419,#124448); #85434=LINE('',#530421,#124449); #85435=LINE('',#530422,#124450); #85436=LINE('',#530425,#124451); #85437=LINE('',#530427,#124452); #85438=LINE('',#530428,#124453); #85439=LINE('',#530431,#124454); #85440=LINE('',#530433,#124455); #85441=LINE('',#530434,#124456); #85442=LINE('',#530437,#124457); #85443=LINE('',#530439,#124458); #85444=LINE('',#530440,#124459); #85445=LINE('',#530443,#124460); #85446=LINE('',#530445,#124461); #85447=LINE('',#530446,#124462); #85448=LINE('',#530449,#124463); #85449=LINE('',#530451,#124464); #85450=LINE('',#530452,#124465); #85451=LINE('',#530455,#124466); #85452=LINE('',#530457,#124467); #85453=LINE('',#530458,#124468); #85454=LINE('',#530461,#124469); #85455=LINE('',#530463,#124470); #85456=LINE('',#530464,#124471); #85457=LINE('',#530467,#124472); #85458=LINE('',#530469,#124473); #85459=LINE('',#530470,#124474); #85460=LINE('',#530473,#124475); #85461=LINE('',#530475,#124476); #85462=LINE('',#530476,#124477); #85463=LINE('',#530479,#124478); #85464=LINE('',#530481,#124479); #85465=LINE('',#530482,#124480); #85466=LINE('',#530485,#124481); #85467=LINE('',#530487,#124482); #85468=LINE('',#530488,#124483); #85469=LINE('',#530491,#124484); #85470=LINE('',#530493,#124485); #85471=LINE('',#530494,#124486); #85472=LINE('',#530497,#124487); #85473=LINE('',#530499,#124488); #85474=LINE('',#530500,#124489); #85475=LINE('',#530503,#124490); #85476=LINE('',#530505,#124491); #85477=LINE('',#530506,#124492); #85478=LINE('',#530509,#124493); #85479=LINE('',#530511,#124494); #85480=LINE('',#530512,#124495); #85481=LINE('',#530515,#124496); #85482=LINE('',#530517,#124497); #85483=LINE('',#530518,#124498); #85484=LINE('',#530521,#124499); #85485=LINE('',#530523,#124500); #85486=LINE('',#530524,#124501); #85487=LINE('',#530527,#124502); #85488=LINE('',#530529,#124503); #85489=LINE('',#530530,#124504); #85490=LINE('',#530533,#124505); #85491=LINE('',#530535,#124506); #85492=LINE('',#530536,#124507); #85493=LINE('',#530539,#124508); #85494=LINE('',#530541,#124509); #85495=LINE('',#530542,#124510); #85496=LINE('',#530545,#124511); #85497=LINE('',#530547,#124512); #85498=LINE('',#530548,#124513); #85499=LINE('',#530551,#124514); #85500=LINE('',#530553,#124515); #85501=LINE('',#530554,#124516); #85502=LINE('',#530557,#124517); #85503=LINE('',#530559,#124518); #85504=LINE('',#530560,#124519); #85505=LINE('',#530562,#124520); #85506=LINE('',#530563,#124521); #85507=LINE('',#530569,#124522); #85508=LINE('',#530571,#124523); #85509=LINE('',#530573,#124524); #85510=LINE('',#530574,#124525); #85511=LINE('',#530577,#124526); #85512=LINE('',#530579,#124527); #85513=LINE('',#530580,#124528); #85514=LINE('',#530583,#124529); #85515=LINE('',#530585,#124530); #85516=LINE('',#530586,#124531); #85517=LINE('',#530589,#124532); #85518=LINE('',#530591,#124533); #85519=LINE('',#530592,#124534); #85520=LINE('',#530595,#124535); #85521=LINE('',#530597,#124536); #85522=LINE('',#530598,#124537); #85523=LINE('',#530601,#124538); #85524=LINE('',#530603,#124539); #85525=LINE('',#530604,#124540); #85526=LINE('',#530607,#124541); #85527=LINE('',#530609,#124542); #85528=LINE('',#530610,#124543); #85529=LINE('',#530613,#124544); #85530=LINE('',#530615,#124545); #85531=LINE('',#530616,#124546); #85532=LINE('',#530619,#124547); #85533=LINE('',#530621,#124548); #85534=LINE('',#530622,#124549); #85535=LINE('',#530625,#124550); #85536=LINE('',#530627,#124551); #85537=LINE('',#530628,#124552); #85538=LINE('',#530631,#124553); #85539=LINE('',#530633,#124554); #85540=LINE('',#530634,#124555); #85541=LINE('',#530637,#124556); #85542=LINE('',#530639,#124557); #85543=LINE('',#530640,#124558); #85544=LINE('',#530643,#124559); #85545=LINE('',#530645,#124560); #85546=LINE('',#530646,#124561); #85547=LINE('',#530649,#124562); #85548=LINE('',#530651,#124563); #85549=LINE('',#530652,#124564); #85550=LINE('',#530655,#124565); #85551=LINE('',#530657,#124566); #85552=LINE('',#530658,#124567); #85553=LINE('',#530661,#124568); #85554=LINE('',#530663,#124569); #85555=LINE('',#530664,#124570); #85556=LINE('',#530667,#124571); #85557=LINE('',#530669,#124572); #85558=LINE('',#530670,#124573); #85559=LINE('',#530673,#124574); #85560=LINE('',#530675,#124575); #85561=LINE('',#530676,#124576); #85562=LINE('',#530679,#124577); #85563=LINE('',#530681,#124578); #85564=LINE('',#530682,#124579); #85565=LINE('',#530684,#124580); #85566=LINE('',#530685,#124581); #85567=LINE('',#530691,#124582); #85568=LINE('',#530693,#124583); #85569=LINE('',#530695,#124584); #85570=LINE('',#530696,#124585); #85571=LINE('',#530699,#124586); #85572=LINE('',#530701,#124587); #85573=LINE('',#530702,#124588); #85574=LINE('',#530705,#124589); #85575=LINE('',#530707,#124590); #85576=LINE('',#530708,#124591); #85577=LINE('',#530711,#124592); #85578=LINE('',#530713,#124593); #85579=LINE('',#530714,#124594); #85580=LINE('',#530717,#124595); #85581=LINE('',#530719,#124596); #85582=LINE('',#530720,#124597); #85583=LINE('',#530723,#124598); #85584=LINE('',#530725,#124599); #85585=LINE('',#530726,#124600); #85586=LINE('',#530729,#124601); #85587=LINE('',#530731,#124602); #85588=LINE('',#530732,#124603); #85589=LINE('',#530735,#124604); #85590=LINE('',#530737,#124605); #85591=LINE('',#530738,#124606); #85592=LINE('',#530741,#124607); #85593=LINE('',#530743,#124608); #85594=LINE('',#530744,#124609); #85595=LINE('',#530747,#124610); #85596=LINE('',#530749,#124611); #85597=LINE('',#530750,#124612); #85598=LINE('',#530753,#124613); #85599=LINE('',#530755,#124614); #85600=LINE('',#530756,#124615); #85601=LINE('',#530759,#124616); #85602=LINE('',#530761,#124617); #85603=LINE('',#530762,#124618); #85604=LINE('',#530765,#124619); #85605=LINE('',#530767,#124620); #85606=LINE('',#530768,#124621); #85607=LINE('',#530771,#124622); #85608=LINE('',#530773,#124623); #85609=LINE('',#530774,#124624); #85610=LINE('',#530777,#124625); #85611=LINE('',#530779,#124626); #85612=LINE('',#530780,#124627); #85613=LINE('',#530783,#124628); #85614=LINE('',#530785,#124629); #85615=LINE('',#530786,#124630); #85616=LINE('',#530789,#124631); #85617=LINE('',#530791,#124632); #85618=LINE('',#530792,#124633); #85619=LINE('',#530795,#124634); #85620=LINE('',#530797,#124635); #85621=LINE('',#530798,#124636); #85622=LINE('',#530801,#124637); #85623=LINE('',#530803,#124638); #85624=LINE('',#530804,#124639); #85625=LINE('',#530806,#124640); #85626=LINE('',#530807,#124641); #85627=LINE('',#530813,#124642); #85628=LINE('',#530815,#124643); #85629=LINE('',#530817,#124644); #85630=LINE('',#530818,#124645); #85631=LINE('',#530821,#124646); #85632=LINE('',#530823,#124647); #85633=LINE('',#530824,#124648); #85634=LINE('',#530827,#124649); #85635=LINE('',#530829,#124650); #85636=LINE('',#530830,#124651); #85637=LINE('',#530833,#124652); #85638=LINE('',#530835,#124653); #85639=LINE('',#530836,#124654); #85640=LINE('',#530839,#124655); #85641=LINE('',#530841,#124656); #85642=LINE('',#530842,#124657); #85643=LINE('',#530845,#124658); #85644=LINE('',#530847,#124659); #85645=LINE('',#530848,#124660); #85646=LINE('',#530851,#124661); #85647=LINE('',#530853,#124662); #85648=LINE('',#530854,#124663); #85649=LINE('',#530857,#124664); #85650=LINE('',#530859,#124665); #85651=LINE('',#530860,#124666); #85652=LINE('',#530863,#124667); #85653=LINE('',#530865,#124668); #85654=LINE('',#530866,#124669); #85655=LINE('',#530869,#124670); #85656=LINE('',#530871,#124671); #85657=LINE('',#530872,#124672); #85658=LINE('',#530875,#124673); #85659=LINE('',#530877,#124674); #85660=LINE('',#530878,#124675); #85661=LINE('',#530881,#124676); #85662=LINE('',#530883,#124677); #85663=LINE('',#530884,#124678); #85664=LINE('',#530887,#124679); #85665=LINE('',#530889,#124680); #85666=LINE('',#530890,#124681); #85667=LINE('',#530893,#124682); #85668=LINE('',#530895,#124683); #85669=LINE('',#530896,#124684); #85670=LINE('',#530899,#124685); #85671=LINE('',#530901,#124686); #85672=LINE('',#530902,#124687); #85673=LINE('',#530905,#124688); #85674=LINE('',#530907,#124689); #85675=LINE('',#530908,#124690); #85676=LINE('',#530911,#124691); #85677=LINE('',#530913,#124692); #85678=LINE('',#530914,#124693); #85679=LINE('',#530917,#124694); #85680=LINE('',#530919,#124695); #85681=LINE('',#530920,#124696); #85682=LINE('',#530923,#124697); #85683=LINE('',#530925,#124698); #85684=LINE('',#530926,#124699); #85685=LINE('',#530928,#124700); #85686=LINE('',#530929,#124701); #85687=LINE('',#530935,#124702); #85688=LINE('',#530937,#124703); #85689=LINE('',#530939,#124704); #85690=LINE('',#530940,#124705); #85691=LINE('',#530943,#124706); #85692=LINE('',#530945,#124707); #85693=LINE('',#530946,#124708); #85694=LINE('',#530949,#124709); #85695=LINE('',#530951,#124710); #85696=LINE('',#530952,#124711); #85697=LINE('',#530955,#124712); #85698=LINE('',#530957,#124713); #85699=LINE('',#530958,#124714); #85700=LINE('',#530961,#124715); #85701=LINE('',#530963,#124716); #85702=LINE('',#530964,#124717); #85703=LINE('',#530967,#124718); #85704=LINE('',#530969,#124719); #85705=LINE('',#530970,#124720); #85706=LINE('',#530973,#124721); #85707=LINE('',#530975,#124722); #85708=LINE('',#530976,#124723); #85709=LINE('',#530979,#124724); #85710=LINE('',#530981,#124725); #85711=LINE('',#530982,#124726); #85712=LINE('',#530985,#124727); #85713=LINE('',#530987,#124728); #85714=LINE('',#530988,#124729); #85715=LINE('',#530991,#124730); #85716=LINE('',#530993,#124731); #85717=LINE('',#530994,#124732); #85718=LINE('',#530997,#124733); #85719=LINE('',#530999,#124734); #85720=LINE('',#531000,#124735); #85721=LINE('',#531003,#124736); #85722=LINE('',#531005,#124737); #85723=LINE('',#531006,#124738); #85724=LINE('',#531009,#124739); #85725=LINE('',#531011,#124740); #85726=LINE('',#531012,#124741); #85727=LINE('',#531015,#124742); #85728=LINE('',#531017,#124743); #85729=LINE('',#531018,#124744); #85730=LINE('',#531021,#124745); #85731=LINE('',#531023,#124746); #85732=LINE('',#531024,#124747); #85733=LINE('',#531027,#124748); #85734=LINE('',#531029,#124749); #85735=LINE('',#531030,#124750); #85736=LINE('',#531033,#124751); #85737=LINE('',#531035,#124752); #85738=LINE('',#531036,#124753); #85739=LINE('',#531039,#124754); #85740=LINE('',#531041,#124755); #85741=LINE('',#531042,#124756); #85742=LINE('',#531045,#124757); #85743=LINE('',#531047,#124758); #85744=LINE('',#531048,#124759); #85745=LINE('',#531051,#124760); #85746=LINE('',#531053,#124761); #85747=LINE('',#531054,#124762); #85748=LINE('',#531057,#124763); #85749=LINE('',#531059,#124764); #85750=LINE('',#531060,#124765); #85751=LINE('',#531063,#124766); #85752=LINE('',#531065,#124767); #85753=LINE('',#531066,#124768); #85754=LINE('',#531069,#124769); #85755=LINE('',#531071,#124770); #85756=LINE('',#531072,#124771); #85757=LINE('',#531075,#124772); #85758=LINE('',#531077,#124773); #85759=LINE('',#531078,#124774); #85760=LINE('',#531081,#124775); #85761=LINE('',#531083,#124776); #85762=LINE('',#531084,#124777); #85763=LINE('',#531087,#124778); #85764=LINE('',#531089,#124779); #85765=LINE('',#531090,#124780); #85766=LINE('',#531093,#124781); #85767=LINE('',#531095,#124782); #85768=LINE('',#531096,#124783); #85769=LINE('',#531099,#124784); #85770=LINE('',#531101,#124785); #85771=LINE('',#531102,#124786); #85772=LINE('',#531105,#124787); #85773=LINE('',#531107,#124788); #85774=LINE('',#531108,#124789); #85775=LINE('',#531111,#124790); #85776=LINE('',#531113,#124791); #85777=LINE('',#531114,#124792); #85778=LINE('',#531117,#124793); #85779=LINE('',#531119,#124794); #85780=LINE('',#531120,#124795); #85781=LINE('',#531123,#124796); #85782=LINE('',#531125,#124797); #85783=LINE('',#531126,#124798); #85784=LINE('',#531129,#124799); #85785=LINE('',#531131,#124800); #85786=LINE('',#531132,#124801); #85787=LINE('',#531135,#124802); #85788=LINE('',#531137,#124803); #85789=LINE('',#531138,#124804); #85790=LINE('',#531141,#124805); #85791=LINE('',#531143,#124806); #85792=LINE('',#531144,#124807); #85793=LINE('',#531147,#124808); #85794=LINE('',#531149,#124809); #85795=LINE('',#531150,#124810); #85796=LINE('',#531153,#124811); #85797=LINE('',#531155,#124812); #85798=LINE('',#531156,#124813); #85799=LINE('',#531159,#124814); #85800=LINE('',#531161,#124815); #85801=LINE('',#531162,#124816); #85802=LINE('',#531165,#124817); #85803=LINE('',#531167,#124818); #85804=LINE('',#531168,#124819); #85805=LINE('',#531170,#124820); #85806=LINE('',#531171,#124821); #85807=LINE('',#531178,#124822); #85808=LINE('',#531183,#124823); #85809=LINE('',#531185,#124824); #85810=LINE('',#531187,#124825); #85811=LINE('',#531188,#124826); #85812=LINE('',#531194,#124827); #85813=LINE('',#531197,#124828); #85814=LINE('',#531199,#124829); #85815=LINE('',#531200,#124830); #85816=LINE('',#531207,#124831); #85817=LINE('',#531209,#124832); #85818=LINE('',#531211,#124833); #85819=LINE('',#531212,#124834); #85820=LINE('',#531215,#124835); #85821=LINE('',#531217,#124836); #85822=LINE('',#531218,#124837); #85823=LINE('',#531221,#124838); #85824=LINE('',#531223,#124839); #85825=LINE('',#531224,#124840); #85826=LINE('',#531227,#124841); #85827=LINE('',#531229,#124842); #85828=LINE('',#531230,#124843); #85829=LINE('',#531233,#124844); #85830=LINE('',#531235,#124845); #85831=LINE('',#531236,#124846); #85832=LINE('',#531239,#124847); #85833=LINE('',#531241,#124848); #85834=LINE('',#531242,#124849); #85835=LINE('',#531245,#124850); #85836=LINE('',#531247,#124851); #85837=LINE('',#531248,#124852); #85838=LINE('',#531251,#124853); #85839=LINE('',#531253,#124854); #85840=LINE('',#531254,#124855); #85841=LINE('',#531257,#124856); #85842=LINE('',#531259,#124857); #85843=LINE('',#531260,#124858); #85844=LINE('',#531263,#124859); #85845=LINE('',#531265,#124860); #85846=LINE('',#531266,#124861); #85847=LINE('',#531269,#124862); #85848=LINE('',#531271,#124863); #85849=LINE('',#531272,#124864); #85850=LINE('',#531275,#124865); #85851=LINE('',#531277,#124866); #85852=LINE('',#531278,#124867); #85853=LINE('',#531281,#124868); #85854=LINE('',#531283,#124869); #85855=LINE('',#531284,#124870); #85856=LINE('',#531287,#124871); #85857=LINE('',#531289,#124872); #85858=LINE('',#531290,#124873); #85859=LINE('',#531293,#124874); #85860=LINE('',#531295,#124875); #85861=LINE('',#531296,#124876); #85862=LINE('',#531299,#124877); #85863=LINE('',#531301,#124878); #85864=LINE('',#531302,#124879); #85865=LINE('',#531305,#124880); #85866=LINE('',#531307,#124881); #85867=LINE('',#531308,#124882); #85868=LINE('',#531311,#124883); #85869=LINE('',#531313,#124884); #85870=LINE('',#531314,#124885); #85871=LINE('',#531317,#124886); #85872=LINE('',#531319,#124887); #85873=LINE('',#531320,#124888); #85874=LINE('',#531323,#124889); #85875=LINE('',#531325,#124890); #85876=LINE('',#531326,#124891); #85877=LINE('',#531329,#124892); #85878=LINE('',#531331,#124893); #85879=LINE('',#531332,#124894); #85880=LINE('',#531335,#124895); #85881=LINE('',#531337,#124896); #85882=LINE('',#531338,#124897); #85883=LINE('',#531341,#124898); #85884=LINE('',#531343,#124899); #85885=LINE('',#531344,#124900); #85886=LINE('',#531347,#124901); #85887=LINE('',#531349,#124902); #85888=LINE('',#531350,#124903); #85889=LINE('',#531353,#124904); #85890=LINE('',#531355,#124905); #85891=LINE('',#531356,#124906); #85892=LINE('',#531359,#124907); #85893=LINE('',#531361,#124908); #85894=LINE('',#531362,#124909); #85895=LINE('',#531365,#124910); #85896=LINE('',#531367,#124911); #85897=LINE('',#531368,#124912); #85898=LINE('',#531371,#124913); #85899=LINE('',#531373,#124914); #85900=LINE('',#531374,#124915); #85901=LINE('',#531377,#124916); #85902=LINE('',#531379,#124917); #85903=LINE('',#531380,#124918); #85904=LINE('',#531383,#124919); #85905=LINE('',#531385,#124920); #85906=LINE('',#531386,#124921); #85907=LINE('',#531389,#124922); #85908=LINE('',#531391,#124923); #85909=LINE('',#531392,#124924); #85910=LINE('',#531395,#124925); #85911=LINE('',#531397,#124926); #85912=LINE('',#531398,#124927); #85913=LINE('',#531401,#124928); #85914=LINE('',#531403,#124929); #85915=LINE('',#531404,#124930); #85916=LINE('',#531407,#124931); #85917=LINE('',#531409,#124932); #85918=LINE('',#531410,#124933); #85919=LINE('',#531413,#124934); #85920=LINE('',#531415,#124935); #85921=LINE('',#531416,#124936); #85922=LINE('',#531419,#124937); #85923=LINE('',#531421,#124938); #85924=LINE('',#531422,#124939); #85925=LINE('',#531425,#124940); #85926=LINE('',#531427,#124941); #85927=LINE('',#531428,#124942); #85928=LINE('',#531431,#124943); #85929=LINE('',#531433,#124944); #85930=LINE('',#531434,#124945); #85931=LINE('',#531437,#124946); #85932=LINE('',#531439,#124947); #85933=LINE('',#531440,#124948); #85934=LINE('',#531443,#124949); #85935=LINE('',#531445,#124950); #85936=LINE('',#531446,#124951); #85937=LINE('',#531449,#124952); #85938=LINE('',#531451,#124953); #85939=LINE('',#531452,#124954); #85940=LINE('',#531455,#124955); #85941=LINE('',#531457,#124956); #85942=LINE('',#531458,#124957); #85943=LINE('',#531461,#124958); #85944=LINE('',#531463,#124959); #85945=LINE('',#531464,#124960); #85946=LINE('',#531467,#124961); #85947=LINE('',#531469,#124962); #85948=LINE('',#531470,#124963); #85949=LINE('',#531473,#124964); #85950=LINE('',#531475,#124965); #85951=LINE('',#531476,#124966); #85952=LINE('',#531479,#124967); #85953=LINE('',#531481,#124968); #85954=LINE('',#531482,#124969); #85955=LINE('',#531485,#124970); #85956=LINE('',#531487,#124971); #85957=LINE('',#531488,#124972); #85958=LINE('',#531491,#124973); #85959=LINE('',#531493,#124974); #85960=LINE('',#531494,#124975); #85961=LINE('',#531497,#124976); #85962=LINE('',#531499,#124977); #85963=LINE('',#531500,#124978); #85964=LINE('',#531503,#124979); #85965=LINE('',#531505,#124980); #85966=LINE('',#531506,#124981); #85967=LINE('',#531509,#124982); #85968=LINE('',#531511,#124983); #85969=LINE('',#531512,#124984); #85970=LINE('',#531515,#124985); #85971=LINE('',#531517,#124986); #85972=LINE('',#531518,#124987); #85973=LINE('',#531521,#124988); #85974=LINE('',#531523,#124989); #85975=LINE('',#531524,#124990); #85976=LINE('',#531527,#124991); #85977=LINE('',#531529,#124992); #85978=LINE('',#531530,#124993); #85979=LINE('',#531533,#124994); #85980=LINE('',#531535,#124995); #85981=LINE('',#531536,#124996); #85982=LINE('',#531539,#124997); #85983=LINE('',#531541,#124998); #85984=LINE('',#531542,#124999); #85985=LINE('',#531545,#125000); #85986=LINE('',#531547,#125001); #85987=LINE('',#531548,#125002); #85988=LINE('',#531551,#125003); #85989=LINE('',#531553,#125004); #85990=LINE('',#531554,#125005); #85991=LINE('',#531557,#125006); #85992=LINE('',#531559,#125007); #85993=LINE('',#531560,#125008); #85994=LINE('',#531563,#125009); #85995=LINE('',#531565,#125010); #85996=LINE('',#531566,#125011); #85997=LINE('',#531569,#125012); #85998=LINE('',#531571,#125013); #85999=LINE('',#531572,#125014); #86000=LINE('',#531575,#125015); #86001=LINE('',#531577,#125016); #86002=LINE('',#531578,#125017); #86003=LINE('',#531581,#125018); #86004=LINE('',#531583,#125019); #86005=LINE('',#531584,#125020); #86006=LINE('',#531587,#125021); #86007=LINE('',#531589,#125022); #86008=LINE('',#531590,#125023); #86009=LINE('',#531593,#125024); #86010=LINE('',#531595,#125025); #86011=LINE('',#531596,#125026); #86012=LINE('',#531599,#125027); #86013=LINE('',#531601,#125028); #86014=LINE('',#531602,#125029); #86015=LINE('',#531605,#125030); #86016=LINE('',#531607,#125031); #86017=LINE('',#531608,#125032); #86018=LINE('',#531611,#125033); #86019=LINE('',#531613,#125034); #86020=LINE('',#531614,#125035); #86021=LINE('',#531617,#125036); #86022=LINE('',#531619,#125037); #86023=LINE('',#531620,#125038); #86024=LINE('',#531623,#125039); #86025=LINE('',#531625,#125040); #86026=LINE('',#531626,#125041); #86027=LINE('',#531629,#125042); #86028=LINE('',#531631,#125043); #86029=LINE('',#531632,#125044); #86030=LINE('',#531635,#125045); #86031=LINE('',#531637,#125046); #86032=LINE('',#531638,#125047); #86033=LINE('',#531641,#125048); #86034=LINE('',#531643,#125049); #86035=LINE('',#531644,#125050); #86036=LINE('',#531647,#125051); #86037=LINE('',#531649,#125052); #86038=LINE('',#531650,#125053); #86039=LINE('',#531653,#125054); #86040=LINE('',#531655,#125055); #86041=LINE('',#531656,#125056); #86042=LINE('',#531659,#125057); #86043=LINE('',#531661,#125058); #86044=LINE('',#531662,#125059); #86045=LINE('',#531665,#125060); #86046=LINE('',#531667,#125061); #86047=LINE('',#531668,#125062); #86048=LINE('',#531671,#125063); #86049=LINE('',#531673,#125064); #86050=LINE('',#531674,#125065); #86051=LINE('',#531677,#125066); #86052=LINE('',#531679,#125067); #86053=LINE('',#531680,#125068); #86054=LINE('',#531683,#125069); #86055=LINE('',#531685,#125070); #86056=LINE('',#531686,#125071); #86057=LINE('',#531689,#125072); #86058=LINE('',#531691,#125073); #86059=LINE('',#531692,#125074); #86060=LINE('',#531695,#125075); #86061=LINE('',#531697,#125076); #86062=LINE('',#531698,#125077); #86063=LINE('',#531701,#125078); #86064=LINE('',#531703,#125079); #86065=LINE('',#531704,#125080); #86066=LINE('',#531707,#125081); #86067=LINE('',#531709,#125082); #86068=LINE('',#531710,#125083); #86069=LINE('',#531713,#125084); #86070=LINE('',#531715,#125085); #86071=LINE('',#531716,#125086); #86072=LINE('',#531719,#125087); #86073=LINE('',#531721,#125088); #86074=LINE('',#531722,#125089); #86075=LINE('',#531725,#125090); #86076=LINE('',#531727,#125091); #86077=LINE('',#531728,#125092); #86078=LINE('',#531731,#125093); #86079=LINE('',#531733,#125094); #86080=LINE('',#531734,#125095); #86081=LINE('',#531737,#125096); #86082=LINE('',#531739,#125097); #86083=LINE('',#531740,#125098); #86084=LINE('',#531743,#125099); #86085=LINE('',#531745,#125100); #86086=LINE('',#531746,#125101); #86087=LINE('',#531749,#125102); #86088=LINE('',#531751,#125103); #86089=LINE('',#531752,#125104); #86090=LINE('',#531755,#125105); #86091=LINE('',#531757,#125106); #86092=LINE('',#531758,#125107); #86093=LINE('',#531760,#125108); #86094=LINE('',#531761,#125109); #86095=LINE('',#531767,#125110); #86096=LINE('',#531769,#125111); #86097=LINE('',#531771,#125112); #86098=LINE('',#531772,#125113); #86099=LINE('',#531775,#125114); #86100=LINE('',#531777,#125115); #86101=LINE('',#531778,#125116); #86102=LINE('',#531781,#125117); #86103=LINE('',#531783,#125118); #86104=LINE('',#531784,#125119); #86105=LINE('',#531787,#125120); #86106=LINE('',#531789,#125121); #86107=LINE('',#531790,#125122); #86108=LINE('',#531793,#125123); #86109=LINE('',#531795,#125124); #86110=LINE('',#531796,#125125); #86111=LINE('',#531799,#125126); #86112=LINE('',#531801,#125127); #86113=LINE('',#531802,#125128); #86114=LINE('',#531805,#125129); #86115=LINE('',#531807,#125130); #86116=LINE('',#531808,#125131); #86117=LINE('',#531811,#125132); #86118=LINE('',#531813,#125133); #86119=LINE('',#531814,#125134); #86120=LINE('',#531817,#125135); #86121=LINE('',#531819,#125136); #86122=LINE('',#531820,#125137); #86123=LINE('',#531823,#125138); #86124=LINE('',#531825,#125139); #86125=LINE('',#531826,#125140); #86126=LINE('',#531829,#125141); #86127=LINE('',#531831,#125142); #86128=LINE('',#531832,#125143); #86129=LINE('',#531835,#125144); #86130=LINE('',#531837,#125145); #86131=LINE('',#531838,#125146); #86132=LINE('',#531841,#125147); #86133=LINE('',#531843,#125148); #86134=LINE('',#531844,#125149); #86135=LINE('',#531847,#125150); #86136=LINE('',#531849,#125151); #86137=LINE('',#531850,#125152); #86138=LINE('',#531853,#125153); #86139=LINE('',#531855,#125154); #86140=LINE('',#531856,#125155); #86141=LINE('',#531859,#125156); #86142=LINE('',#531861,#125157); #86143=LINE('',#531862,#125158); #86144=LINE('',#531865,#125159); #86145=LINE('',#531867,#125160); #86146=LINE('',#531868,#125161); #86147=LINE('',#531871,#125162); #86148=LINE('',#531873,#125163); #86149=LINE('',#531874,#125164); #86150=LINE('',#531877,#125165); #86151=LINE('',#531879,#125166); #86152=LINE('',#531880,#125167); #86153=LINE('',#531882,#125168); #86154=LINE('',#531883,#125169); #86155=LINE('',#531890,#125170); #86156=LINE('',#531896,#125171); #86157=LINE('',#531902,#125172); #86158=LINE('',#531908,#125173); #86159=LINE('',#531914,#125174); #86160=LINE('',#531920,#125175); #86161=LINE('',#531926,#125176); #86162=LINE('',#531932,#125177); #86163=LINE('',#531938,#125178); #86164=LINE('',#531944,#125179); #86165=LINE('',#531950,#125180); #86166=LINE('',#531956,#125181); #86167=LINE('',#531962,#125182); #86168=LINE('',#531968,#125183); #86169=LINE('',#531974,#125184); #86170=LINE('',#531979,#125185); #86171=LINE('',#531981,#125186); #86172=LINE('',#531983,#125187); #86173=LINE('',#531984,#125188); #86174=LINE('',#531987,#125189); #86175=LINE('',#531989,#125190); #86176=LINE('',#531990,#125191); #86177=LINE('',#531993,#125192); #86178=LINE('',#531995,#125193); #86179=LINE('',#531996,#125194); #86180=LINE('',#531999,#125195); #86181=LINE('',#532001,#125196); #86182=LINE('',#532002,#125197); #86183=LINE('',#532005,#125198); #86184=LINE('',#532007,#125199); #86185=LINE('',#532008,#125200); #86186=LINE('',#532011,#125201); #86187=LINE('',#532013,#125202); #86188=LINE('',#532014,#125203); #86189=LINE('',#532017,#125204); #86190=LINE('',#532019,#125205); #86191=LINE('',#532020,#125206); #86192=LINE('',#532023,#125207); #86193=LINE('',#532025,#125208); #86194=LINE('',#532026,#125209); #86195=LINE('',#532029,#125210); #86196=LINE('',#532031,#125211); #86197=LINE('',#532032,#125212); #86198=LINE('',#532035,#125213); #86199=LINE('',#532037,#125214); #86200=LINE('',#532038,#125215); #86201=LINE('',#532041,#125216); #86202=LINE('',#532043,#125217); #86203=LINE('',#532044,#125218); #86204=LINE('',#532047,#125219); #86205=LINE('',#532049,#125220); #86206=LINE('',#532050,#125221); #86207=LINE('',#532053,#125222); #86208=LINE('',#532055,#125223); #86209=LINE('',#532056,#125224); #86210=LINE('',#532059,#125225); #86211=LINE('',#532061,#125226); #86212=LINE('',#532062,#125227); #86213=LINE('',#532065,#125228); #86214=LINE('',#532067,#125229); #86215=LINE('',#532068,#125230); #86216=LINE('',#532071,#125231); #86217=LINE('',#532073,#125232); #86218=LINE('',#532074,#125233); #86219=LINE('',#532077,#125234); #86220=LINE('',#532079,#125235); #86221=LINE('',#532080,#125236); #86222=LINE('',#532083,#125237); #86223=LINE('',#532085,#125238); #86224=LINE('',#532086,#125239); #86225=LINE('',#532089,#125240); #86226=LINE('',#532091,#125241); #86227=LINE('',#532092,#125242); #86228=LINE('',#532095,#125243); #86229=LINE('',#532097,#125244); #86230=LINE('',#532098,#125245); #86231=LINE('',#532101,#125246); #86232=LINE('',#532103,#125247); #86233=LINE('',#532104,#125248); #86234=LINE('',#532107,#125249); #86235=LINE('',#532109,#125250); #86236=LINE('',#532110,#125251); #86237=LINE('',#532113,#125252); #86238=LINE('',#532115,#125253); #86239=LINE('',#532116,#125254); #86240=LINE('',#532119,#125255); #86241=LINE('',#532121,#125256); #86242=LINE('',#532122,#125257); #86243=LINE('',#532125,#125258); #86244=LINE('',#532127,#125259); #86245=LINE('',#532128,#125260); #86246=LINE('',#532131,#125261); #86247=LINE('',#532133,#125262); #86248=LINE('',#532134,#125263); #86249=LINE('',#532137,#125264); #86250=LINE('',#532139,#125265); #86251=LINE('',#532140,#125266); #86252=LINE('',#532143,#125267); #86253=LINE('',#532145,#125268); #86254=LINE('',#532146,#125269); #86255=LINE('',#532149,#125270); #86256=LINE('',#532151,#125271); #86257=LINE('',#532152,#125272); #86258=LINE('',#532155,#125273); #86259=LINE('',#532157,#125274); #86260=LINE('',#532158,#125275); #86261=LINE('',#532161,#125276); #86262=LINE('',#532163,#125277); #86263=LINE('',#532164,#125278); #86264=LINE('',#532167,#125279); #86265=LINE('',#532169,#125280); #86266=LINE('',#532170,#125281); #86267=LINE('',#532173,#125282); #86268=LINE('',#532175,#125283); #86269=LINE('',#532176,#125284); #86270=LINE('',#532179,#125285); #86271=LINE('',#532181,#125286); #86272=LINE('',#532182,#125287); #86273=LINE('',#532185,#125288); #86274=LINE('',#532187,#125289); #86275=LINE('',#532188,#125290); #86276=LINE('',#532191,#125291); #86277=LINE('',#532193,#125292); #86278=LINE('',#532194,#125293); #86279=LINE('',#532197,#125294); #86280=LINE('',#532199,#125295); #86281=LINE('',#532200,#125296); #86282=LINE('',#532203,#125297); #86283=LINE('',#532205,#125298); #86284=LINE('',#532206,#125299); #86285=LINE('',#532209,#125300); #86286=LINE('',#532211,#125301); #86287=LINE('',#532212,#125302); #86288=LINE('',#532215,#125303); #86289=LINE('',#532217,#125304); #86290=LINE('',#532218,#125305); #86291=LINE('',#532220,#125306); #86292=LINE('',#532221,#125307); #86293=LINE('',#532228,#125308); #86294=LINE('',#532233,#125309); #86295=LINE('',#532235,#125310); #86296=LINE('',#532237,#125311); #86297=LINE('',#532238,#125312); #86298=LINE('',#532241,#125313); #86299=LINE('',#532243,#125314); #86300=LINE('',#532244,#125315); #86301=LINE('',#532247,#125316); #86302=LINE('',#532249,#125317); #86303=LINE('',#532250,#125318); #86304=LINE('',#532253,#125319); #86305=LINE('',#532255,#125320); #86306=LINE('',#532256,#125321); #86307=LINE('',#532259,#125322); #86308=LINE('',#532261,#125323); #86309=LINE('',#532262,#125324); #86310=LINE('',#532268,#125325); #86311=LINE('',#532271,#125326); #86312=LINE('',#532273,#125327); #86313=LINE('',#532274,#125328); #86314=LINE('',#532277,#125329); #86315=LINE('',#532279,#125330); #86316=LINE('',#532280,#125331); #86317=LINE('',#532286,#125332); #86318=LINE('',#532289,#125333); #86319=LINE('',#532291,#125334); #86320=LINE('',#532292,#125335); #86321=LINE('',#532295,#125336); #86322=LINE('',#532297,#125337); #86323=LINE('',#532298,#125338); #86324=LINE('',#532301,#125339); #86325=LINE('',#532303,#125340); #86326=LINE('',#532304,#125341); #86327=LINE('',#532307,#125342); #86328=LINE('',#532309,#125343); #86329=LINE('',#532310,#125344); #86330=LINE('',#532313,#125345); #86331=LINE('',#532315,#125346); #86332=LINE('',#532316,#125347); #86333=LINE('',#532319,#125348); #86334=LINE('',#532321,#125349); #86335=LINE('',#532322,#125350); #86336=LINE('',#532325,#125351); #86337=LINE('',#532327,#125352); #86338=LINE('',#532328,#125353); #86339=LINE('',#532331,#125354); #86340=LINE('',#532333,#125355); #86341=LINE('',#532334,#125356); #86342=LINE('',#532337,#125357); #86343=LINE('',#532339,#125358); #86344=LINE('',#532340,#125359); #86345=LINE('',#532343,#125360); #86346=LINE('',#532345,#125361); #86347=LINE('',#532346,#125362); #86348=LINE('',#532349,#125363); #86349=LINE('',#532351,#125364); #86350=LINE('',#532352,#125365); #86351=LINE('',#532355,#125366); #86352=LINE('',#532357,#125367); #86353=LINE('',#532358,#125368); #86354=LINE('',#532361,#125369); #86355=LINE('',#532363,#125370); #86356=LINE('',#532364,#125371); #86357=LINE('',#532367,#125372); #86358=LINE('',#532369,#125373); #86359=LINE('',#532370,#125374); #86360=LINE('',#532373,#125375); #86361=LINE('',#532375,#125376); #86362=LINE('',#532376,#125377); #86363=LINE('',#532379,#125378); #86364=LINE('',#532381,#125379); #86365=LINE('',#532382,#125380); #86366=LINE('',#532388,#125381); #86367=LINE('',#532391,#125382); #86368=LINE('',#532393,#125383); #86369=LINE('',#532394,#125384); #86370=LINE('',#532400,#125385); #86371=LINE('',#532403,#125386); #86372=LINE('',#532405,#125387); #86373=LINE('',#532406,#125388); #86374=LINE('',#532412,#125389); #86375=LINE('',#532415,#125390); #86376=LINE('',#532417,#125391); #86377=LINE('',#532418,#125392); #86378=LINE('',#532421,#125393); #86379=LINE('',#532423,#125394); #86380=LINE('',#532424,#125395); #86381=LINE('',#532427,#125396); #86382=LINE('',#532429,#125397); #86383=LINE('',#532430,#125398); #86384=LINE('',#532436,#125399); #86385=LINE('',#532439,#125400); #86386=LINE('',#532441,#125401); #86387=LINE('',#532442,#125402); #86388=LINE('',#532448,#125403); #86389=LINE('',#532451,#125404); #86390=LINE('',#532453,#125405); #86391=LINE('',#532454,#125406); #86392=LINE('',#532457,#125407); #86393=LINE('',#532459,#125408); #86394=LINE('',#532460,#125409); #86395=LINE('',#532466,#125410); #86396=LINE('',#532469,#125411); #86397=LINE('',#532471,#125412); #86398=LINE('',#532472,#125413); #86399=LINE('',#532475,#125414); #86400=LINE('',#532477,#125415); #86401=LINE('',#532478,#125416); #86402=LINE('',#532480,#125417); #86403=LINE('',#532481,#125418); #86404=LINE('',#532488,#125419); #86405=LINE('',#532494,#125420); #86406=LINE('',#532500,#125421); #86407=LINE('',#532506,#125422); #86408=LINE('',#532512,#125423); #86409=LINE('',#532518,#125424); #86410=LINE('',#532524,#125425); #86411=LINE('',#532530,#125426); #86412=LINE('',#532536,#125427); #86413=LINE('',#532542,#125428); #86414=LINE('',#532548,#125429); #86415=LINE('',#532554,#125430); #86416=LINE('',#532560,#125431); #86417=LINE('',#532566,#125432); #86418=LINE('',#532572,#125433); #86419=LINE('',#532577,#125434); #86420=LINE('',#532579,#125435); #86421=LINE('',#532581,#125436); #86422=LINE('',#532582,#125437); #86423=LINE('',#532585,#125438); #86424=LINE('',#532587,#125439); #86425=LINE('',#532588,#125440); #86426=LINE('',#532591,#125441); #86427=LINE('',#532593,#125442); #86428=LINE('',#532594,#125443); #86429=LINE('',#532597,#125444); #86430=LINE('',#532599,#125445); #86431=LINE('',#532600,#125446); #86432=LINE('',#532603,#125447); #86433=LINE('',#532605,#125448); #86434=LINE('',#532606,#125449); #86435=LINE('',#532609,#125450); #86436=LINE('',#532611,#125451); #86437=LINE('',#532612,#125452); #86438=LINE('',#532615,#125453); #86439=LINE('',#532617,#125454); #86440=LINE('',#532618,#125455); #86441=LINE('',#532621,#125456); #86442=LINE('',#532623,#125457); #86443=LINE('',#532624,#125458); #86444=LINE('',#532627,#125459); #86445=LINE('',#532629,#125460); #86446=LINE('',#532630,#125461); #86447=LINE('',#532633,#125462); #86448=LINE('',#532635,#125463); #86449=LINE('',#532636,#125464); #86450=LINE('',#532639,#125465); #86451=LINE('',#532641,#125466); #86452=LINE('',#532642,#125467); #86453=LINE('',#532645,#125468); #86454=LINE('',#532647,#125469); #86455=LINE('',#532648,#125470); #86456=LINE('',#532651,#125471); #86457=LINE('',#532653,#125472); #86458=LINE('',#532654,#125473); #86459=LINE('',#532657,#125474); #86460=LINE('',#532659,#125475); #86461=LINE('',#532660,#125476); #86462=LINE('',#532663,#125477); #86463=LINE('',#532665,#125478); #86464=LINE('',#532666,#125479); #86465=LINE('',#532669,#125480); #86466=LINE('',#532671,#125481); #86467=LINE('',#532672,#125482); #86468=LINE('',#532675,#125483); #86469=LINE('',#532677,#125484); #86470=LINE('',#532678,#125485); #86471=LINE('',#532681,#125486); #86472=LINE('',#532683,#125487); #86473=LINE('',#532684,#125488); #86474=LINE('',#532687,#125489); #86475=LINE('',#532689,#125490); #86476=LINE('',#532690,#125491); #86477=LINE('',#532693,#125492); #86478=LINE('',#532695,#125493); #86479=LINE('',#532696,#125494); #86480=LINE('',#532699,#125495); #86481=LINE('',#532701,#125496); #86482=LINE('',#532702,#125497); #86483=LINE('',#532705,#125498); #86484=LINE('',#532707,#125499); #86485=LINE('',#532708,#125500); #86486=LINE('',#532711,#125501); #86487=LINE('',#532713,#125502); #86488=LINE('',#532714,#125503); #86489=LINE('',#532717,#125504); #86490=LINE('',#532719,#125505); #86491=LINE('',#532720,#125506); #86492=LINE('',#532723,#125507); #86493=LINE('',#532725,#125508); #86494=LINE('',#532726,#125509); #86495=LINE('',#532729,#125510); #86496=LINE('',#532731,#125511); #86497=LINE('',#532732,#125512); #86498=LINE('',#532735,#125513); #86499=LINE('',#532737,#125514); #86500=LINE('',#532738,#125515); #86501=LINE('',#532741,#125516); #86502=LINE('',#532743,#125517); #86503=LINE('',#532744,#125518); #86504=LINE('',#532747,#125519); #86505=LINE('',#532749,#125520); #86506=LINE('',#532750,#125521); #86507=LINE('',#532753,#125522); #86508=LINE('',#532755,#125523); #86509=LINE('',#532756,#125524); #86510=LINE('',#532759,#125525); #86511=LINE('',#532761,#125526); #86512=LINE('',#532762,#125527); #86513=LINE('',#532765,#125528); #86514=LINE('',#532767,#125529); #86515=LINE('',#532768,#125530); #86516=LINE('',#532771,#125531); #86517=LINE('',#532773,#125532); #86518=LINE('',#532774,#125533); #86519=LINE('',#532777,#125534); #86520=LINE('',#532779,#125535); #86521=LINE('',#532780,#125536); #86522=LINE('',#532783,#125537); #86523=LINE('',#532785,#125538); #86524=LINE('',#532786,#125539); #86525=LINE('',#532789,#125540); #86526=LINE('',#532791,#125541); #86527=LINE('',#532792,#125542); #86528=LINE('',#532795,#125543); #86529=LINE('',#532797,#125544); #86530=LINE('',#532798,#125545); #86531=LINE('',#532801,#125546); #86532=LINE('',#532803,#125547); #86533=LINE('',#532804,#125548); #86534=LINE('',#532807,#125549); #86535=LINE('',#532809,#125550); #86536=LINE('',#532810,#125551); #86537=LINE('',#532813,#125552); #86538=LINE('',#532815,#125553); #86539=LINE('',#532816,#125554); #86540=LINE('',#532819,#125555); #86541=LINE('',#532821,#125556); #86542=LINE('',#532822,#125557); #86543=LINE('',#532825,#125558); #86544=LINE('',#532827,#125559); #86545=LINE('',#532828,#125560); #86546=LINE('',#532831,#125561); #86547=LINE('',#532833,#125562); #86548=LINE('',#532834,#125563); #86549=LINE('',#532837,#125564); #86550=LINE('',#532839,#125565); #86551=LINE('',#532840,#125566); #86552=LINE('',#532843,#125567); #86553=LINE('',#532845,#125568); #86554=LINE('',#532846,#125569); #86555=LINE('',#532849,#125570); #86556=LINE('',#532851,#125571); #86557=LINE('',#532852,#125572); #86558=LINE('',#532855,#125573); #86559=LINE('',#532857,#125574); #86560=LINE('',#532858,#125575); #86561=LINE('',#532861,#125576); #86562=LINE('',#532863,#125577); #86563=LINE('',#532864,#125578); #86564=LINE('',#532866,#125579); #86565=LINE('',#532867,#125580); #86566=LINE('',#532874,#125581); #86567=LINE('',#532879,#125582); #86568=LINE('',#532881,#125583); #86569=LINE('',#532883,#125584); #86570=LINE('',#532884,#125585); #86571=LINE('',#532887,#125586); #86572=LINE('',#532889,#125587); #86573=LINE('',#532890,#125588); #86574=LINE('',#532893,#125589); #86575=LINE('',#532895,#125590); #86576=LINE('',#532896,#125591); #86577=LINE('',#532899,#125592); #86578=LINE('',#532901,#125593); #86579=LINE('',#532902,#125594); #86580=LINE('',#532905,#125595); #86581=LINE('',#532907,#125596); #86582=LINE('',#532908,#125597); #86583=LINE('',#532911,#125598); #86584=LINE('',#532913,#125599); #86585=LINE('',#532914,#125600); #86586=LINE('',#532920,#125601); #86587=LINE('',#532923,#125602); #86588=LINE('',#532925,#125603); #86589=LINE('',#532926,#125604); #86590=LINE('',#532929,#125605); #86591=LINE('',#532931,#125606); #86592=LINE('',#532932,#125607); #86593=LINE('',#532938,#125608); #86594=LINE('',#532941,#125609); #86595=LINE('',#532943,#125610); #86596=LINE('',#532944,#125611); #86597=LINE('',#532950,#125612); #86598=LINE('',#532953,#125613); #86599=LINE('',#532955,#125614); #86600=LINE('',#532956,#125615); #86601=LINE('',#532959,#125616); #86602=LINE('',#532961,#125617); #86603=LINE('',#532962,#125618); #86604=LINE('',#532965,#125619); #86605=LINE('',#532967,#125620); #86606=LINE('',#532968,#125621); #86607=LINE('',#532974,#125622); #86608=LINE('',#532977,#125623); #86609=LINE('',#532979,#125624); #86610=LINE('',#532980,#125625); #86611=LINE('',#532986,#125626); #86612=LINE('',#532989,#125627); #86613=LINE('',#532991,#125628); #86614=LINE('',#532992,#125629); #86615=LINE('',#532998,#125630); #86616=LINE('',#533001,#125631); #86617=LINE('',#533003,#125632); #86618=LINE('',#533004,#125633); #86619=LINE('',#533007,#125634); #86620=LINE('',#533009,#125635); #86621=LINE('',#533010,#125636); #86622=LINE('',#533013,#125637); #86623=LINE('',#533015,#125638); #86624=LINE('',#533016,#125639); #86625=LINE('',#533019,#125640); #86626=LINE('',#533021,#125641); #86627=LINE('',#533022,#125642); #86628=LINE('',#533025,#125643); #86629=LINE('',#533027,#125644); #86630=LINE('',#533028,#125645); #86631=LINE('',#533031,#125646); #86632=LINE('',#533033,#125647); #86633=LINE('',#533034,#125648); #86634=LINE('',#533037,#125649); #86635=LINE('',#533039,#125650); #86636=LINE('',#533040,#125651); #86637=LINE('',#533043,#125652); #86638=LINE('',#533045,#125653); #86639=LINE('',#533046,#125654); #86640=LINE('',#533049,#125655); #86641=LINE('',#533051,#125656); #86642=LINE('',#533052,#125657); #86643=LINE('',#533055,#125658); #86644=LINE('',#533057,#125659); #86645=LINE('',#533058,#125660); #86646=LINE('',#533061,#125661); #86647=LINE('',#533063,#125662); #86648=LINE('',#533064,#125663); #86649=LINE('',#533067,#125664); #86650=LINE('',#533069,#125665); #86651=LINE('',#533070,#125666); #86652=LINE('',#533073,#125667); #86653=LINE('',#533075,#125668); #86654=LINE('',#533076,#125669); #86655=LINE('',#533079,#125670); #86656=LINE('',#533081,#125671); #86657=LINE('',#533082,#125672); #86658=LINE('',#533085,#125673); #86659=LINE('',#533087,#125674); #86660=LINE('',#533088,#125675); #86661=LINE('',#533091,#125676); #86662=LINE('',#533093,#125677); #86663=LINE('',#533094,#125678); #86664=LINE('',#533100,#125679); #86665=LINE('',#533103,#125680); #86666=LINE('',#533105,#125681); #86667=LINE('',#533106,#125682); #86668=LINE('',#533109,#125683); #86669=LINE('',#533111,#125684); #86670=LINE('',#533112,#125685); #86671=LINE('',#533118,#125686); #86672=LINE('',#533121,#125687); #86673=LINE('',#533123,#125688); #86674=LINE('',#533124,#125689); #86675=LINE('',#533126,#125690); #86676=LINE('',#533127,#125691); #86677=LINE('',#533134,#125692); #86678=LINE('',#533140,#125693); #86679=LINE('',#533146,#125694); #86680=LINE('',#533152,#125695); #86681=LINE('',#533158,#125696); #86682=LINE('',#533164,#125697); #86683=LINE('',#533170,#125698); #86684=LINE('',#533176,#125699); #86685=LINE('',#533182,#125700); #86686=LINE('',#533188,#125701); #86687=LINE('',#533194,#125702); #86688=LINE('',#533200,#125703); #86689=LINE('',#533206,#125704); #86690=LINE('',#533212,#125705); #86691=LINE('',#533218,#125706); #86692=LINE('',#533224,#125707); #86693=LINE('',#533230,#125708); #86694=LINE('',#533236,#125709); #86695=LINE('',#533242,#125710); #86696=LINE('',#533248,#125711); #86697=LINE('',#533254,#125712); #86698=LINE('',#533260,#125713); #86699=LINE('',#533266,#125714); #86700=LINE('',#533272,#125715); #86701=LINE('',#533278,#125716); #86702=LINE('',#533284,#125717); #86703=LINE('',#533290,#125718); #86704=LINE('',#533296,#125719); #86705=LINE('',#533302,#125720); #86706=LINE('',#533308,#125721); #86707=LINE('',#533314,#125722); #86708=LINE('',#533319,#125723); #86709=LINE('',#533321,#125724); #86710=LINE('',#533323,#125725); #86711=LINE('',#533324,#125726); #86712=LINE('',#533330,#125727); #86713=LINE('',#533333,#125728); #86714=LINE('',#533335,#125729); #86715=LINE('',#533336,#125730); #86716=LINE('',#533339,#125731); #86717=LINE('',#533341,#125732); #86718=LINE('',#533342,#125733); #86719=LINE('',#533345,#125734); #86720=LINE('',#533347,#125735); #86721=LINE('',#533348,#125736); #86722=LINE('',#533354,#125737); #86723=LINE('',#533357,#125738); #86724=LINE('',#533359,#125739); #86725=LINE('',#533360,#125740); #86726=LINE('',#533366,#125741); #86727=LINE('',#533369,#125742); #86728=LINE('',#533371,#125743); #86729=LINE('',#533372,#125744); #86730=LINE('',#533375,#125745); #86731=LINE('',#533377,#125746); #86732=LINE('',#533378,#125747); #86733=LINE('',#533381,#125748); #86734=LINE('',#533383,#125749); #86735=LINE('',#533384,#125750); #86736=LINE('',#533387,#125751); #86737=LINE('',#533389,#125752); #86738=LINE('',#533390,#125753); #86739=LINE('',#533393,#125754); #86740=LINE('',#533395,#125755); #86741=LINE('',#533396,#125756); #86742=LINE('',#533399,#125757); #86743=LINE('',#533401,#125758); #86744=LINE('',#533402,#125759); #86745=LINE('',#533405,#125760); #86746=LINE('',#533407,#125761); #86747=LINE('',#533408,#125762); #86748=LINE('',#533411,#125763); #86749=LINE('',#533413,#125764); #86750=LINE('',#533414,#125765); #86751=LINE('',#533417,#125766); #86752=LINE('',#533419,#125767); #86753=LINE('',#533420,#125768); #86754=LINE('',#533423,#125769); #86755=LINE('',#533425,#125770); #86756=LINE('',#533426,#125771); #86757=LINE('',#533429,#125772); #86758=LINE('',#533431,#125773); #86759=LINE('',#533432,#125774); #86760=LINE('',#533435,#125775); #86761=LINE('',#533437,#125776); #86762=LINE('',#533438,#125777); #86763=LINE('',#533441,#125778); #86764=LINE('',#533443,#125779); #86765=LINE('',#533444,#125780); #86766=LINE('',#533447,#125781); #86767=LINE('',#533449,#125782); #86768=LINE('',#533450,#125783); #86769=LINE('',#533453,#125784); #86770=LINE('',#533455,#125785); #86771=LINE('',#533456,#125786); #86772=LINE('',#533459,#125787); #86773=LINE('',#533461,#125788); #86774=LINE('',#533462,#125789); #86775=LINE('',#533465,#125790); #86776=LINE('',#533467,#125791); #86777=LINE('',#533468,#125792); #86778=LINE('',#533471,#125793); #86779=LINE('',#533473,#125794); #86780=LINE('',#533474,#125795); #86781=LINE('',#533477,#125796); #86782=LINE('',#533479,#125797); #86783=LINE('',#533480,#125798); #86784=LINE('',#533483,#125799); #86785=LINE('',#533485,#125800); #86786=LINE('',#533486,#125801); #86787=LINE('',#533489,#125802); #86788=LINE('',#533491,#125803); #86789=LINE('',#533492,#125804); #86790=LINE('',#533495,#125805); #86791=LINE('',#533497,#125806); #86792=LINE('',#533498,#125807); #86793=LINE('',#533501,#125808); #86794=LINE('',#533503,#125809); #86795=LINE('',#533504,#125810); #86796=LINE('',#533507,#125811); #86797=LINE('',#533509,#125812); #86798=LINE('',#533510,#125813); #86799=LINE('',#533513,#125814); #86800=LINE('',#533515,#125815); #86801=LINE('',#533516,#125816); #86802=LINE('',#533519,#125817); #86803=LINE('',#533521,#125818); #86804=LINE('',#533522,#125819); #86805=LINE('',#533525,#125820); #86806=LINE('',#533527,#125821); #86807=LINE('',#533528,#125822); #86808=LINE('',#533531,#125823); #86809=LINE('',#533533,#125824); #86810=LINE('',#533534,#125825); #86811=LINE('',#533537,#125826); #86812=LINE('',#533539,#125827); #86813=LINE('',#533540,#125828); #86814=LINE('',#533543,#125829); #86815=LINE('',#533545,#125830); #86816=LINE('',#533546,#125831); #86817=LINE('',#533549,#125832); #86818=LINE('',#533551,#125833); #86819=LINE('',#533552,#125834); #86820=LINE('',#533555,#125835); #86821=LINE('',#533557,#125836); #86822=LINE('',#533558,#125837); #86823=LINE('',#533561,#125838); #86824=LINE('',#533563,#125839); #86825=LINE('',#533564,#125840); #86826=LINE('',#533567,#125841); #86827=LINE('',#533569,#125842); #86828=LINE('',#533570,#125843); #86829=LINE('',#533573,#125844); #86830=LINE('',#533575,#125845); #86831=LINE('',#533576,#125846); #86832=LINE('',#533579,#125847); #86833=LINE('',#533581,#125848); #86834=LINE('',#533582,#125849); #86835=LINE('',#533585,#125850); #86836=LINE('',#533587,#125851); #86837=LINE('',#533588,#125852); #86838=LINE('',#533591,#125853); #86839=LINE('',#533593,#125854); #86840=LINE('',#533594,#125855); #86841=LINE('',#533597,#125856); #86842=LINE('',#533599,#125857); #86843=LINE('',#533600,#125858); #86844=LINE('',#533603,#125859); #86845=LINE('',#533605,#125860); #86846=LINE('',#533606,#125861); #86847=LINE('',#533609,#125862); #86848=LINE('',#533611,#125863); #86849=LINE('',#533612,#125864); #86850=LINE('',#533615,#125865); #86851=LINE('',#533617,#125866); #86852=LINE('',#533618,#125867); #86853=LINE('',#533621,#125868); #86854=LINE('',#533623,#125869); #86855=LINE('',#533624,#125870); #86856=LINE('',#533627,#125871); #86857=LINE('',#533629,#125872); #86858=LINE('',#533630,#125873); #86859=LINE('',#533633,#125874); #86860=LINE('',#533635,#125875); #86861=LINE('',#533636,#125876); #86862=LINE('',#533639,#125877); #86863=LINE('',#533641,#125878); #86864=LINE('',#533642,#125879); #86865=LINE('',#533645,#125880); #86866=LINE('',#533647,#125881); #86867=LINE('',#533648,#125882); #86868=LINE('',#533651,#125883); #86869=LINE('',#533653,#125884); #86870=LINE('',#533654,#125885); #86871=LINE('',#533657,#125886); #86872=LINE('',#533659,#125887); #86873=LINE('',#533660,#125888); #86874=LINE('',#533663,#125889); #86875=LINE('',#533665,#125890); #86876=LINE('',#533666,#125891); #86877=LINE('',#533669,#125892); #86878=LINE('',#533671,#125893); #86879=LINE('',#533672,#125894); #86880=LINE('',#533675,#125895); #86881=LINE('',#533677,#125896); #86882=LINE('',#533678,#125897); #86883=LINE('',#533681,#125898); #86884=LINE('',#533683,#125899); #86885=LINE('',#533684,#125900); #86886=LINE('',#533687,#125901); #86887=LINE('',#533689,#125902); #86888=LINE('',#533690,#125903); #86889=LINE('',#533693,#125904); #86890=LINE('',#533695,#125905); #86891=LINE('',#533696,#125906); #86892=LINE('',#533699,#125907); #86893=LINE('',#533701,#125908); #86894=LINE('',#533702,#125909); #86895=LINE('',#533705,#125910); #86896=LINE('',#533707,#125911); #86897=LINE('',#533708,#125912); #86898=LINE('',#533711,#125913); #86899=LINE('',#533713,#125914); #86900=LINE('',#533714,#125915); #86901=LINE('',#533717,#125916); #86902=LINE('',#533719,#125917); #86903=LINE('',#533720,#125918); #86904=LINE('',#533723,#125919); #86905=LINE('',#533725,#125920); #86906=LINE('',#533726,#125921); #86907=LINE('',#533729,#125922); #86908=LINE('',#533731,#125923); #86909=LINE('',#533732,#125924); #86910=LINE('',#533735,#125925); #86911=LINE('',#533737,#125926); #86912=LINE('',#533738,#125927); #86913=LINE('',#533741,#125928); #86914=LINE('',#533743,#125929); #86915=LINE('',#533744,#125930); #86916=LINE('',#533747,#125931); #86917=LINE('',#533749,#125932); #86918=LINE('',#533750,#125933); #86919=LINE('',#533753,#125934); #86920=LINE('',#533755,#125935); #86921=LINE('',#533756,#125936); #86922=LINE('',#533759,#125937); #86923=LINE('',#533761,#125938); #86924=LINE('',#533762,#125939); #86925=LINE('',#533765,#125940); #86926=LINE('',#533767,#125941); #86927=LINE('',#533768,#125942); #86928=LINE('',#533771,#125943); #86929=LINE('',#533773,#125944); #86930=LINE('',#533774,#125945); #86931=LINE('',#533777,#125946); #86932=LINE('',#533779,#125947); #86933=LINE('',#533780,#125948); #86934=LINE('',#533783,#125949); #86935=LINE('',#533785,#125950); #86936=LINE('',#533786,#125951); #86937=LINE('',#533789,#125952); #86938=LINE('',#533791,#125953); #86939=LINE('',#533792,#125954); #86940=LINE('',#533795,#125955); #86941=LINE('',#533797,#125956); #86942=LINE('',#533798,#125957); #86943=LINE('',#533804,#125958); #86944=LINE('',#533807,#125959); #86945=LINE('',#533809,#125960); #86946=LINE('',#533810,#125961); #86947=LINE('',#533816,#125962); #86948=LINE('',#533819,#125963); #86949=LINE('',#533821,#125964); #86950=LINE('',#533822,#125965); #86951=LINE('',#533832,#125966); #86952=LINE('',#533837,#125967); #86953=LINE('',#533839,#125968); #86954=LINE('',#533841,#125969); #86955=LINE('',#533842,#125970); #86956=LINE('',#533845,#125971); #86957=LINE('',#533847,#125972); #86958=LINE('',#533848,#125973); #86959=LINE('',#533851,#125974); #86960=LINE('',#533853,#125975); #86961=LINE('',#533854,#125976); #86962=LINE('',#533857,#125977); #86963=LINE('',#533859,#125978); #86964=LINE('',#533860,#125979); #86965=LINE('',#533863,#125980); #86966=LINE('',#533865,#125981); #86967=LINE('',#533866,#125982); #86968=LINE('',#533869,#125983); #86969=LINE('',#533871,#125984); #86970=LINE('',#533872,#125985); #86971=LINE('',#533878,#125986); #86972=LINE('',#533881,#125987); #86973=LINE('',#533883,#125988); #86974=LINE('',#533884,#125989); #86975=LINE('',#533887,#125990); #86976=LINE('',#533889,#125991); #86977=LINE('',#533890,#125992); #86978=LINE('',#533896,#125993); #86979=LINE('',#533899,#125994); #86980=LINE('',#533901,#125995); #86981=LINE('',#533902,#125996); #86982=LINE('',#533908,#125997); #86983=LINE('',#533914,#125998); #86984=LINE('',#533917,#125999); #86985=LINE('',#533919,#126000); #86986=LINE('',#533920,#126001); #86987=LINE('',#533923,#126002); #86988=LINE('',#533925,#126003); #86989=LINE('',#533926,#126004); #86990=LINE('',#533932,#126005); #86991=LINE('',#533935,#126006); #86992=LINE('',#533937,#126007); #86993=LINE('',#533938,#126008); #86994=LINE('',#533941,#126009); #86995=LINE('',#533943,#126010); #86996=LINE('',#533944,#126011); #86997=LINE('',#533950,#126012); #86998=LINE('',#533953,#126013); #86999=LINE('',#533955,#126014); #87000=LINE('',#533956,#126015); #87001=LINE('',#533958,#126016); #87002=LINE('',#533959,#126017); #87003=LINE('',#533966,#126018); #87004=LINE('',#533972,#126019); #87005=LINE('',#533978,#126020); #87006=LINE('',#533984,#126021); #87007=LINE('',#533990,#126022); #87008=LINE('',#533996,#126023); #87009=LINE('',#534002,#126024); #87010=LINE('',#534008,#126025); #87011=LINE('',#534014,#126026); #87012=LINE('',#534020,#126027); #87013=LINE('',#534026,#126028); #87014=LINE('',#534031,#126029); #87015=LINE('',#534033,#126030); #87016=LINE('',#534035,#126031); #87017=LINE('',#534036,#126032); #87018=LINE('',#534039,#126033); #87019=LINE('',#534041,#126034); #87020=LINE('',#534042,#126035); #87021=LINE('',#534045,#126036); #87022=LINE('',#534047,#126037); #87023=LINE('',#534048,#126038); #87024=LINE('',#534051,#126039); #87025=LINE('',#534053,#126040); #87026=LINE('',#534054,#126041); #87027=LINE('',#534057,#126042); #87028=LINE('',#534059,#126043); #87029=LINE('',#534060,#126044); #87030=LINE('',#534063,#126045); #87031=LINE('',#534065,#126046); #87032=LINE('',#534066,#126047); #87033=LINE('',#534069,#126048); #87034=LINE('',#534071,#126049); #87035=LINE('',#534072,#126050); #87036=LINE('',#534075,#126051); #87037=LINE('',#534077,#126052); #87038=LINE('',#534078,#126053); #87039=LINE('',#534081,#126054); #87040=LINE('',#534083,#126055); #87041=LINE('',#534084,#126056); #87042=LINE('',#534087,#126057); #87043=LINE('',#534089,#126058); #87044=LINE('',#534090,#126059); #87045=LINE('',#534093,#126060); #87046=LINE('',#534095,#126061); #87047=LINE('',#534096,#126062); #87048=LINE('',#534099,#126063); #87049=LINE('',#534101,#126064); #87050=LINE('',#534102,#126065); #87051=LINE('',#534105,#126066); #87052=LINE('',#534107,#126067); #87053=LINE('',#534108,#126068); #87054=LINE('',#534111,#126069); #87055=LINE('',#534113,#126070); #87056=LINE('',#534114,#126071); #87057=LINE('',#534117,#126072); #87058=LINE('',#534119,#126073); #87059=LINE('',#534120,#126074); #87060=LINE('',#534123,#126075); #87061=LINE('',#534125,#126076); #87062=LINE('',#534126,#126077); #87063=LINE('',#534129,#126078); #87064=LINE('',#534131,#126079); #87065=LINE('',#534132,#126080); #87066=LINE('',#534135,#126081); #87067=LINE('',#534137,#126082); #87068=LINE('',#534138,#126083); #87069=LINE('',#534141,#126084); #87070=LINE('',#534143,#126085); #87071=LINE('',#534144,#126086); #87072=LINE('',#534147,#126087); #87073=LINE('',#534149,#126088); #87074=LINE('',#534150,#126089); #87075=LINE('',#534153,#126090); #87076=LINE('',#534155,#126091); #87077=LINE('',#534156,#126092); #87078=LINE('',#534159,#126093); #87079=LINE('',#534161,#126094); #87080=LINE('',#534162,#126095); #87081=LINE('',#534165,#126096); #87082=LINE('',#534167,#126097); #87083=LINE('',#534168,#126098); #87084=LINE('',#534171,#126099); #87085=LINE('',#534173,#126100); #87086=LINE('',#534174,#126101); #87087=LINE('',#534180,#126102); #87088=LINE('',#534183,#126103); #87089=LINE('',#534185,#126104); #87090=LINE('',#534186,#126105); #87091=LINE('',#534189,#126106); #87092=LINE('',#534191,#126107); #87093=LINE('',#534192,#126108); #87094=LINE('',#534198,#126109); #87095=LINE('',#534201,#126110); #87096=LINE('',#534203,#126111); #87097=LINE('',#534204,#126112); #87098=LINE('',#534207,#126113); #87099=LINE('',#534209,#126114); #87100=LINE('',#534210,#126115); #87101=LINE('',#534213,#126116); #87102=LINE('',#534215,#126117); #87103=LINE('',#534216,#126118); #87104=LINE('',#534219,#126119); #87105=LINE('',#534221,#126120); #87106=LINE('',#534222,#126121); #87107=LINE('',#534225,#126122); #87108=LINE('',#534227,#126123); #87109=LINE('',#534228,#126124); #87110=LINE('',#534231,#126125); #87111=LINE('',#534233,#126126); #87112=LINE('',#534234,#126127); #87113=LINE('',#534237,#126128); #87114=LINE('',#534239,#126129); #87115=LINE('',#534240,#126130); #87116=LINE('',#534243,#126131); #87117=LINE('',#534245,#126132); #87118=LINE('',#534246,#126133); #87119=LINE('',#534252,#126134); #87120=LINE('',#534255,#126135); #87121=LINE('',#534257,#126136); #87122=LINE('',#534258,#126137); #87123=LINE('',#534264,#126138); #87124=LINE('',#534267,#126139); #87125=LINE('',#534269,#126140); #87126=LINE('',#534270,#126141); #87127=LINE('',#534273,#126142); #87128=LINE('',#534275,#126143); #87129=LINE('',#534276,#126144); #87130=LINE('',#534279,#126145); #87131=LINE('',#534281,#126146); #87132=LINE('',#534282,#126147); #87133=LINE('',#534285,#126148); #87134=LINE('',#534287,#126149); #87135=LINE('',#534288,#126150); #87136=LINE('',#534291,#126151); #87137=LINE('',#534293,#126152); #87138=LINE('',#534294,#126153); #87139=LINE('',#534297,#126154); #87140=LINE('',#534299,#126155); #87141=LINE('',#534300,#126156); #87142=LINE('',#534303,#126157); #87143=LINE('',#534305,#126158); #87144=LINE('',#534306,#126159); #87145=LINE('',#534309,#126160); #87146=LINE('',#534311,#126161); #87147=LINE('',#534312,#126162); #87148=LINE('',#534315,#126163); #87149=LINE('',#534317,#126164); #87150=LINE('',#534318,#126165); #87151=LINE('',#534321,#126166); #87152=LINE('',#534323,#126167); #87153=LINE('',#534324,#126168); #87154=LINE('',#534327,#126169); #87155=LINE('',#534329,#126170); #87156=LINE('',#534330,#126171); #87157=LINE('',#534333,#126172); #87158=LINE('',#534335,#126173); #87159=LINE('',#534336,#126174); #87160=LINE('',#534339,#126175); #87161=LINE('',#534341,#126176); #87162=LINE('',#534342,#126177); #87163=LINE('',#534345,#126178); #87164=LINE('',#534347,#126179); #87165=LINE('',#534348,#126180); #87166=LINE('',#534351,#126181); #87167=LINE('',#534353,#126182); #87168=LINE('',#534354,#126183); #87169=LINE('',#534360,#126184); #87170=LINE('',#534363,#126185); #87171=LINE('',#534365,#126186); #87172=LINE('',#534366,#126187); #87173=LINE('',#534372,#126188); #87174=LINE('',#534375,#126189); #87175=LINE('',#534377,#126190); #87176=LINE('',#534378,#126191); #87177=LINE('',#534381,#126192); #87178=LINE('',#534383,#126193); #87179=LINE('',#534384,#126194); #87180=LINE('',#534387,#126195); #87181=LINE('',#534389,#126196); #87182=LINE('',#534390,#126197); #87183=LINE('',#534393,#126198); #87184=LINE('',#534395,#126199); #87185=LINE('',#534396,#126200); #87186=LINE('',#534399,#126201); #87187=LINE('',#534401,#126202); #87188=LINE('',#534402,#126203); #87189=LINE('',#534405,#126204); #87190=LINE('',#534407,#126205); #87191=LINE('',#534408,#126206); #87192=LINE('',#534411,#126207); #87193=LINE('',#534413,#126208); #87194=LINE('',#534414,#126209); #87195=LINE('',#534417,#126210); #87196=LINE('',#534419,#126211); #87197=LINE('',#534420,#126212); #87198=LINE('',#534423,#126213); #87199=LINE('',#534425,#126214); #87200=LINE('',#534426,#126215); #87201=LINE('',#534429,#126216); #87202=LINE('',#534431,#126217); #87203=LINE('',#534432,#126218); #87204=LINE('',#534435,#126219); #87205=LINE('',#534437,#126220); #87206=LINE('',#534438,#126221); #87207=LINE('',#534441,#126222); #87208=LINE('',#534443,#126223); #87209=LINE('',#534444,#126224); #87210=LINE('',#534447,#126225); #87211=LINE('',#534449,#126226); #87212=LINE('',#534450,#126227); #87213=LINE('',#534453,#126228); #87214=LINE('',#534455,#126229); #87215=LINE('',#534456,#126230); #87216=LINE('',#534459,#126231); #87217=LINE('',#534461,#126232); #87218=LINE('',#534462,#126233); #87219=LINE('',#534465,#126234); #87220=LINE('',#534467,#126235); #87221=LINE('',#534468,#126236); #87222=LINE('',#534471,#126237); #87223=LINE('',#534473,#126238); #87224=LINE('',#534474,#126239); #87225=LINE('',#534477,#126240); #87226=LINE('',#534479,#126241); #87227=LINE('',#534480,#126242); #87228=LINE('',#534483,#126243); #87229=LINE('',#534485,#126244); #87230=LINE('',#534486,#126245); #87231=LINE('',#534489,#126246); #87232=LINE('',#534491,#126247); #87233=LINE('',#534492,#126248); #87234=LINE('',#534495,#126249); #87235=LINE('',#534497,#126250); #87236=LINE('',#534498,#126251); #87237=LINE('',#534501,#126252); #87238=LINE('',#534503,#126253); #87239=LINE('',#534504,#126254); #87240=LINE('',#534507,#126255); #87241=LINE('',#534509,#126256); #87242=LINE('',#534510,#126257); #87243=LINE('',#534513,#126258); #87244=LINE('',#534515,#126259); #87245=LINE('',#534516,#126260); #87246=LINE('',#534519,#126261); #87247=LINE('',#534521,#126262); #87248=LINE('',#534522,#126263); #87249=LINE('',#534525,#126264); #87250=LINE('',#534527,#126265); #87251=LINE('',#534528,#126266); #87252=LINE('',#534531,#126267); #87253=LINE('',#534533,#126268); #87254=LINE('',#534534,#126269); #87255=LINE('',#534537,#126270); #87256=LINE('',#534539,#126271); #87257=LINE('',#534540,#126272); #87258=LINE('',#534543,#126273); #87259=LINE('',#534545,#126274); #87260=LINE('',#534546,#126275); #87261=LINE('',#534549,#126276); #87262=LINE('',#534551,#126277); #87263=LINE('',#534552,#126278); #87264=LINE('',#534555,#126279); #87265=LINE('',#534557,#126280); #87266=LINE('',#534558,#126281); #87267=LINE('',#534561,#126282); #87268=LINE('',#534563,#126283); #87269=LINE('',#534564,#126284); #87270=LINE('',#534567,#126285); #87271=LINE('',#534569,#126286); #87272=LINE('',#534570,#126287); #87273=LINE('',#534573,#126288); #87274=LINE('',#534575,#126289); #87275=LINE('',#534576,#126290); #87276=LINE('',#534579,#126291); #87277=LINE('',#534581,#126292); #87278=LINE('',#534582,#126293); #87279=LINE('',#534585,#126294); #87280=LINE('',#534587,#126295); #87281=LINE('',#534588,#126296); #87282=LINE('',#534591,#126297); #87283=LINE('',#534593,#126298); #87284=LINE('',#534594,#126299); #87285=LINE('',#534597,#126300); #87286=LINE('',#534599,#126301); #87287=LINE('',#534600,#126302); #87288=LINE('',#534603,#126303); #87289=LINE('',#534605,#126304); #87290=LINE('',#534606,#126305); #87291=LINE('',#534609,#126306); #87292=LINE('',#534611,#126307); #87293=LINE('',#534612,#126308); #87294=LINE('',#534615,#126309); #87295=LINE('',#534617,#126310); #87296=LINE('',#534618,#126311); #87297=LINE('',#534621,#126312); #87298=LINE('',#534623,#126313); #87299=LINE('',#534624,#126314); #87300=LINE('',#534627,#126315); #87301=LINE('',#534629,#126316); #87302=LINE('',#534630,#126317); #87303=LINE('',#534633,#126318); #87304=LINE('',#534635,#126319); #87305=LINE('',#534636,#126320); #87306=LINE('',#534639,#126321); #87307=LINE('',#534641,#126322); #87308=LINE('',#534642,#126323); #87309=LINE('',#534645,#126324); #87310=LINE('',#534647,#126325); #87311=LINE('',#534648,#126326); #87312=LINE('',#534651,#126327); #87313=LINE('',#534653,#126328); #87314=LINE('',#534654,#126329); #87315=LINE('',#534657,#126330); #87316=LINE('',#534659,#126331); #87317=LINE('',#534660,#126332); #87318=LINE('',#534663,#126333); #87319=LINE('',#534665,#126334); #87320=LINE('',#534666,#126335); #87321=LINE('',#534669,#126336); #87322=LINE('',#534671,#126337); #87323=LINE('',#534672,#126338); #87324=LINE('',#534675,#126339); #87325=LINE('',#534677,#126340); #87326=LINE('',#534678,#126341); #87327=LINE('',#534681,#126342); #87328=LINE('',#534683,#126343); #87329=LINE('',#534684,#126344); #87330=LINE('',#534687,#126345); #87331=LINE('',#534689,#126346); #87332=LINE('',#534690,#126347); #87333=LINE('',#534693,#126348); #87334=LINE('',#534695,#126349); #87335=LINE('',#534696,#126350); #87336=LINE('',#534699,#126351); #87337=LINE('',#534701,#126352); #87338=LINE('',#534702,#126353); #87339=LINE('',#534705,#126354); #87340=LINE('',#534707,#126355); #87341=LINE('',#534708,#126356); #87342=LINE('',#534711,#126357); #87343=LINE('',#534713,#126358); #87344=LINE('',#534714,#126359); #87345=LINE('',#534717,#126360); #87346=LINE('',#534719,#126361); #87347=LINE('',#534720,#126362); #87348=LINE('',#534723,#126363); #87349=LINE('',#534725,#126364); #87350=LINE('',#534726,#126365); #87351=LINE('',#534729,#126366); #87352=LINE('',#534731,#126367); #87353=LINE('',#534732,#126368); #87354=LINE('',#534735,#126369); #87355=LINE('',#534737,#126370); #87356=LINE('',#534738,#126371); #87357=LINE('',#534741,#126372); #87358=LINE('',#534743,#126373); #87359=LINE('',#534744,#126374); #87360=LINE('',#534747,#126375); #87361=LINE('',#534749,#126376); #87362=LINE('',#534750,#126377); #87363=LINE('',#534753,#126378); #87364=LINE('',#534755,#126379); #87365=LINE('',#534756,#126380); #87366=LINE('',#534759,#126381); #87367=LINE('',#534761,#126382); #87368=LINE('',#534762,#126383); #87369=LINE('',#534765,#126384); #87370=LINE('',#534767,#126385); #87371=LINE('',#534768,#126386); #87372=LINE('',#534770,#126387); #87373=LINE('',#534771,#126388); #87374=LINE('',#534778,#126389); #87375=LINE('',#534784,#126390); #87376=LINE('',#534790,#126391); #87377=LINE('',#534796,#126392); #87378=LINE('',#534802,#126393); #87379=LINE('',#534808,#126394); #87380=LINE('',#534814,#126395); #87381=LINE('',#534820,#126396); #87382=LINE('',#534826,#126397); #87383=LINE('',#534832,#126398); #87384=LINE('',#534838,#126399); #87385=LINE('',#534844,#126400); #87386=LINE('',#534850,#126401); #87387=LINE('',#534856,#126402); #87388=LINE('',#534862,#126403); #87389=LINE('',#534868,#126404); #87390=LINE('',#534874,#126405); #87391=LINE('',#534880,#126406); #87392=LINE('',#534886,#126407); #87393=LINE('',#534892,#126408); #87394=LINE('',#534898,#126409); #87395=LINE('',#534904,#126410); #87396=LINE('',#534910,#126411); #87397=LINE('',#534916,#126412); #87398=LINE('',#534922,#126413); #87399=LINE('',#534928,#126414); #87400=LINE('',#534934,#126415); #87401=LINE('',#534940,#126416); #87402=LINE('',#534946,#126417); #87403=LINE('',#534952,#126418); #87404=LINE('',#534958,#126419); #87405=LINE('',#534963,#126420); #87406=LINE('',#534965,#126421); #87407=LINE('',#534967,#126422); #87408=LINE('',#534968,#126423); #87409=LINE('',#534974,#126424); #87410=LINE('',#534977,#126425); #87411=LINE('',#534979,#126426); #87412=LINE('',#534980,#126427); #87413=LINE('',#534986,#126428); #87414=LINE('',#534989,#126429); #87415=LINE('',#534991,#126430); #87416=LINE('',#534992,#126431); #87417=LINE('',#534998,#126432); #87418=LINE('',#535001,#126433); #87419=LINE('',#535003,#126434); #87420=LINE('',#535004,#126435); #87421=LINE('',#535007,#126436); #87422=LINE('',#535009,#126437); #87423=LINE('',#535010,#126438); #87424=LINE('',#535013,#126439); #87425=LINE('',#535015,#126440); #87426=LINE('',#535016,#126441); #87427=LINE('',#535019,#126442); #87428=LINE('',#535021,#126443); #87429=LINE('',#535022,#126444); #87430=LINE('',#535025,#126445); #87431=LINE('',#535027,#126446); #87432=LINE('',#535028,#126447); #87433=LINE('',#535031,#126448); #87434=LINE('',#535033,#126449); #87435=LINE('',#535034,#126450); #87436=LINE('',#535037,#126451); #87437=LINE('',#535039,#126452); #87438=LINE('',#535040,#126453); #87439=LINE('',#535043,#126454); #87440=LINE('',#535045,#126455); #87441=LINE('',#535046,#126456); #87442=LINE('',#535049,#126457); #87443=LINE('',#535051,#126458); #87444=LINE('',#535052,#126459); #87445=LINE('',#535055,#126460); #87446=LINE('',#535057,#126461); #87447=LINE('',#535058,#126462); #87448=LINE('',#535061,#126463); #87449=LINE('',#535063,#126464); #87450=LINE('',#535064,#126465); #87451=LINE('',#535067,#126466); #87452=LINE('',#535069,#126467); #87453=LINE('',#535070,#126468); #87454=LINE('',#535073,#126469); #87455=LINE('',#535075,#126470); #87456=LINE('',#535076,#126471); #87457=LINE('',#535079,#126472); #87458=LINE('',#535081,#126473); #87459=LINE('',#535082,#126474); #87460=LINE('',#535085,#126475); #87461=LINE('',#535087,#126476); #87462=LINE('',#535088,#126477); #87463=LINE('',#535091,#126478); #87464=LINE('',#535093,#126479); #87465=LINE('',#535094,#126480); #87466=LINE('',#535097,#126481); #87467=LINE('',#535099,#126482); #87468=LINE('',#535100,#126483); #87469=LINE('',#535103,#126484); #87470=LINE('',#535105,#126485); #87471=LINE('',#535106,#126486); #87472=LINE('',#535109,#126487); #87473=LINE('',#535111,#126488); #87474=LINE('',#535112,#126489); #87475=LINE('',#535115,#126490); #87476=LINE('',#535117,#126491); #87477=LINE('',#535118,#126492); #87478=LINE('',#535121,#126493); #87479=LINE('',#535123,#126494); #87480=LINE('',#535124,#126495); #87481=LINE('',#535127,#126496); #87482=LINE('',#535129,#126497); #87483=LINE('',#535130,#126498); #87484=LINE('',#535133,#126499); #87485=LINE('',#535135,#126500); #87486=LINE('',#535136,#126501); #87487=LINE('',#535139,#126502); #87488=LINE('',#535141,#126503); #87489=LINE('',#535142,#126504); #87490=LINE('',#535145,#126505); #87491=LINE('',#535147,#126506); #87492=LINE('',#535148,#126507); #87493=LINE('',#535151,#126508); #87494=LINE('',#535153,#126509); #87495=LINE('',#535154,#126510); #87496=LINE('',#535157,#126511); #87497=LINE('',#535159,#126512); #87498=LINE('',#535160,#126513); #87499=LINE('',#535163,#126514); #87500=LINE('',#535165,#126515); #87501=LINE('',#535166,#126516); #87502=LINE('',#535169,#126517); #87503=LINE('',#535171,#126518); #87504=LINE('',#535172,#126519); #87505=LINE('',#535175,#126520); #87506=LINE('',#535177,#126521); #87507=LINE('',#535178,#126522); #87508=LINE('',#535181,#126523); #87509=LINE('',#535183,#126524); #87510=LINE('',#535184,#126525); #87511=LINE('',#535187,#126526); #87512=LINE('',#535189,#126527); #87513=LINE('',#535190,#126528); #87514=LINE('',#535193,#126529); #87515=LINE('',#535195,#126530); #87516=LINE('',#535196,#126531); #87517=LINE('',#535199,#126532); #87518=LINE('',#535201,#126533); #87519=LINE('',#535202,#126534); #87520=LINE('',#535205,#126535); #87521=LINE('',#535207,#126536); #87522=LINE('',#535208,#126537); #87523=LINE('',#535211,#126538); #87524=LINE('',#535213,#126539); #87525=LINE('',#535214,#126540); #87526=LINE('',#535217,#126541); #87527=LINE('',#535219,#126542); #87528=LINE('',#535220,#126543); #87529=LINE('',#535223,#126544); #87530=LINE('',#535225,#126545); #87531=LINE('',#535226,#126546); #87532=LINE('',#535229,#126547); #87533=LINE('',#535231,#126548); #87534=LINE('',#535232,#126549); #87535=LINE('',#535235,#126550); #87536=LINE('',#535237,#126551); #87537=LINE('',#535238,#126552); #87538=LINE('',#535241,#126553); #87539=LINE('',#535243,#126554); #87540=LINE('',#535244,#126555); #87541=LINE('',#535247,#126556); #87542=LINE('',#535249,#126557); #87543=LINE('',#535250,#126558); #87544=LINE('',#535253,#126559); #87545=LINE('',#535255,#126560); #87546=LINE('',#535256,#126561); #87547=LINE('',#535259,#126562); #87548=LINE('',#535261,#126563); #87549=LINE('',#535262,#126564); #87550=LINE('',#535265,#126565); #87551=LINE('',#535267,#126566); #87552=LINE('',#535268,#126567); #87553=LINE('',#535271,#126568); #87554=LINE('',#535273,#126569); #87555=LINE('',#535274,#126570); #87556=LINE('',#535277,#126571); #87557=LINE('',#535279,#126572); #87558=LINE('',#535280,#126573); #87559=LINE('',#535283,#126574); #87560=LINE('',#535285,#126575); #87561=LINE('',#535286,#126576); #87562=LINE('',#535289,#126577); #87563=LINE('',#535291,#126578); #87564=LINE('',#535292,#126579); #87565=LINE('',#535295,#126580); #87566=LINE('',#535297,#126581); #87567=LINE('',#535298,#126582); #87568=LINE('',#535301,#126583); #87569=LINE('',#535303,#126584); #87570=LINE('',#535304,#126585); #87571=LINE('',#535307,#126586); #87572=LINE('',#535309,#126587); #87573=LINE('',#535310,#126588); #87574=LINE('',#535313,#126589); #87575=LINE('',#535315,#126590); #87576=LINE('',#535316,#126591); #87577=LINE('',#535319,#126592); #87578=LINE('',#535321,#126593); #87579=LINE('',#535322,#126594); #87580=LINE('',#535325,#126595); #87581=LINE('',#535327,#126596); #87582=LINE('',#535328,#126597); #87583=LINE('',#535331,#126598); #87584=LINE('',#535333,#126599); #87585=LINE('',#535334,#126600); #87586=LINE('',#535337,#126601); #87587=LINE('',#535339,#126602); #87588=LINE('',#535340,#126603); #87589=LINE('',#535343,#126604); #87590=LINE('',#535345,#126605); #87591=LINE('',#535346,#126606); #87592=LINE('',#535349,#126607); #87593=LINE('',#535351,#126608); #87594=LINE('',#535352,#126609); #87595=LINE('',#535355,#126610); #87596=LINE('',#535357,#126611); #87597=LINE('',#535358,#126612); #87598=LINE('',#535361,#126613); #87599=LINE('',#535363,#126614); #87600=LINE('',#535364,#126615); #87601=LINE('',#535367,#126616); #87602=LINE('',#535369,#126617); #87603=LINE('',#535370,#126618); #87604=LINE('',#535373,#126619); #87605=LINE('',#535375,#126620); #87606=LINE('',#535376,#126621); #87607=LINE('',#535379,#126622); #87608=LINE('',#535381,#126623); #87609=LINE('',#535382,#126624); #87610=LINE('',#535385,#126625); #87611=LINE('',#535387,#126626); #87612=LINE('',#535388,#126627); #87613=LINE('',#535391,#126628); #87614=LINE('',#535393,#126629); #87615=LINE('',#535394,#126630); #87616=LINE('',#535397,#126631); #87617=LINE('',#535399,#126632); #87618=LINE('',#535400,#126633); #87619=LINE('',#535406,#126634); #87620=LINE('',#535409,#126635); #87621=LINE('',#535411,#126636); #87622=LINE('',#535412,#126637); #87623=LINE('',#535418,#126638); #87624=LINE('',#535421,#126639); #87625=LINE('',#535423,#126640); #87626=LINE('',#535424,#126641); #87627=LINE('',#535427,#126642); #87628=LINE('',#535429,#126643); #87629=LINE('',#535430,#126644); #87630=LINE('',#535433,#126645); #87631=LINE('',#535435,#126646); #87632=LINE('',#535436,#126647); #87633=LINE('',#535446,#126648); #87634=LINE('',#535451,#126649); #87635=LINE('',#535453,#126650); #87636=LINE('',#535455,#126651); #87637=LINE('',#535456,#126652); #87638=LINE('',#535459,#126653); #87639=LINE('',#535461,#126654); #87640=LINE('',#535462,#126655); #87641=LINE('',#535465,#126656); #87642=LINE('',#535467,#126657); #87643=LINE('',#535468,#126658); #87644=LINE('',#535471,#126659); #87645=LINE('',#535473,#126660); #87646=LINE('',#535474,#126661); #87647=LINE('',#535477,#126662); #87648=LINE('',#535479,#126663); #87649=LINE('',#535480,#126664); #87650=LINE('',#535486,#126665); #87651=LINE('',#535489,#126666); #87652=LINE('',#535491,#126667); #87653=LINE('',#535492,#126668); #87654=LINE('',#535495,#126669); #87655=LINE('',#535497,#126670); #87656=LINE('',#535498,#126671); #87657=LINE('',#535504,#126672); #87658=LINE('',#535507,#126673); #87659=LINE('',#535509,#126674); #87660=LINE('',#535510,#126675); #87661=LINE('',#535513,#126676); #87662=LINE('',#535515,#126677); #87663=LINE('',#535516,#126678); #87664=LINE('',#535522,#126679); #87665=LINE('',#535528,#126680); #87666=LINE('',#535531,#126681); #87667=LINE('',#535533,#126682); #87668=LINE('',#535534,#126683); #87669=LINE('',#535540,#126684); #87670=LINE('',#535543,#126685); #87671=LINE('',#535545,#126686); #87672=LINE('',#535546,#126687); #87673=LINE('',#535549,#126688); #87674=LINE('',#535551,#126689); #87675=LINE('',#535552,#126690); #87676=LINE('',#535558,#126691); #87677=LINE('',#535561,#126692); #87678=LINE('',#535563,#126693); #87679=LINE('',#535564,#126694); #87680=LINE('',#535567,#126695); #87681=LINE('',#535569,#126696); #87682=LINE('',#535570,#126697); #87683=LINE('',#535572,#126698); #87684=LINE('',#535573,#126699); #87685=LINE('',#535580,#126700); #87686=LINE('',#535586,#126701); #87687=LINE('',#535592,#126702); #87688=LINE('',#535598,#126703); #87689=LINE('',#535604,#126704); #87690=LINE('',#535610,#126705); #87691=LINE('',#535616,#126706); #87692=LINE('',#535622,#126707); #87693=LINE('',#535628,#126708); #87694=LINE('',#535634,#126709); #87695=LINE('',#535640,#126710); #87696=LINE('',#535646,#126711); #87697=LINE('',#535652,#126712); #87698=LINE('',#535658,#126713); #87699=LINE('',#535664,#126714); #87700=LINE('',#535670,#126715); #87701=LINE('',#535675,#126716); #87702=LINE('',#535677,#126717); #87703=LINE('',#535679,#126718); #87704=LINE('',#535680,#126719); #87705=LINE('',#535683,#126720); #87706=LINE('',#535685,#126721); #87707=LINE('',#535686,#126722); #87708=LINE('',#535689,#126723); #87709=LINE('',#535691,#126724); #87710=LINE('',#535692,#126725); #87711=LINE('',#535695,#126726); #87712=LINE('',#535697,#126727); #87713=LINE('',#535698,#126728); #87714=LINE('',#535701,#126729); #87715=LINE('',#535703,#126730); #87716=LINE('',#535704,#126731); #87717=LINE('',#535707,#126732); #87718=LINE('',#535709,#126733); #87719=LINE('',#535710,#126734); #87720=LINE('',#535713,#126735); #87721=LINE('',#535715,#126736); #87722=LINE('',#535716,#126737); #87723=LINE('',#535719,#126738); #87724=LINE('',#535721,#126739); #87725=LINE('',#535722,#126740); #87726=LINE('',#535725,#126741); #87727=LINE('',#535727,#126742); #87728=LINE('',#535728,#126743); #87729=LINE('',#535731,#126744); #87730=LINE('',#535733,#126745); #87731=LINE('',#535734,#126746); #87732=LINE('',#535737,#126747); #87733=LINE('',#535739,#126748); #87734=LINE('',#535740,#126749); #87735=LINE('',#535743,#126750); #87736=LINE('',#535745,#126751); #87737=LINE('',#535746,#126752); #87738=LINE('',#535749,#126753); #87739=LINE('',#535751,#126754); #87740=LINE('',#535752,#126755); #87741=LINE('',#535755,#126756); #87742=LINE('',#535757,#126757); #87743=LINE('',#535758,#126758); #87744=LINE('',#535761,#126759); #87745=LINE('',#535763,#126760); #87746=LINE('',#535764,#126761); #87747=LINE('',#535767,#126762); #87748=LINE('',#535769,#126763); #87749=LINE('',#535770,#126764); #87750=LINE('',#535773,#126765); #87751=LINE('',#535775,#126766); #87752=LINE('',#535776,#126767); #87753=LINE('',#535779,#126768); #87754=LINE('',#535781,#126769); #87755=LINE('',#535782,#126770); #87756=LINE('',#535785,#126771); #87757=LINE('',#535787,#126772); #87758=LINE('',#535788,#126773); #87759=LINE('',#535791,#126774); #87760=LINE('',#535793,#126775); #87761=LINE('',#535794,#126776); #87762=LINE('',#535797,#126777); #87763=LINE('',#535799,#126778); #87764=LINE('',#535800,#126779); #87765=LINE('',#535803,#126780); #87766=LINE('',#535805,#126781); #87767=LINE('',#535806,#126782); #87768=LINE('',#535809,#126783); #87769=LINE('',#535811,#126784); #87770=LINE('',#535812,#126785); #87771=LINE('',#535814,#126786); #87772=LINE('',#535815,#126787); #87773=LINE('',#535819,#126788); #87774=LINE('',#535821,#126789); #87775=LINE('',#535823,#126790); #87776=LINE('',#535824,#126791); #87777=LINE('',#535827,#126792); #87778=LINE('',#535829,#126793); #87779=LINE('',#535830,#126794); #87780=LINE('',#535833,#126795); #87781=LINE('',#535835,#126796); #87782=LINE('',#535836,#126797); #87783=LINE('',#535839,#126798); #87784=LINE('',#535841,#126799); #87785=LINE('',#535842,#126800); #87786=LINE('',#535845,#126801); #87787=LINE('',#535847,#126802); #87788=LINE('',#535848,#126803); #87789=LINE('',#535851,#126804); #87790=LINE('',#535853,#126805); #87791=LINE('',#535854,#126806); #87792=LINE('',#535857,#126807); #87793=LINE('',#535859,#126808); #87794=LINE('',#535860,#126809); #87795=LINE('',#535863,#126810); #87796=LINE('',#535865,#126811); #87797=LINE('',#535866,#126812); #87798=LINE('',#535869,#126813); #87799=LINE('',#535871,#126814); #87800=LINE('',#535872,#126815); #87801=LINE('',#535875,#126816); #87802=LINE('',#535877,#126817); #87803=LINE('',#535878,#126818); #87804=LINE('',#535881,#126819); #87805=LINE('',#535883,#126820); #87806=LINE('',#535884,#126821); #87807=LINE('',#535887,#126822); #87808=LINE('',#535889,#126823); #87809=LINE('',#535890,#126824); #87810=LINE('',#535893,#126825); #87811=LINE('',#535895,#126826); #87812=LINE('',#535896,#126827); #87813=LINE('',#535899,#126828); #87814=LINE('',#535901,#126829); #87815=LINE('',#535902,#126830); #87816=LINE('',#535905,#126831); #87817=LINE('',#535907,#126832); #87818=LINE('',#535908,#126833); #87819=LINE('',#535911,#126834); #87820=LINE('',#535913,#126835); #87821=LINE('',#535914,#126836); #87822=LINE('',#535917,#126837); #87823=LINE('',#535919,#126838); #87824=LINE('',#535920,#126839); #87825=LINE('',#535923,#126840); #87826=LINE('',#535925,#126841); #87827=LINE('',#535926,#126842); #87828=LINE('',#535929,#126843); #87829=LINE('',#535931,#126844); #87830=LINE('',#535932,#126845); #87831=LINE('',#535935,#126846); #87832=LINE('',#535937,#126847); #87833=LINE('',#535938,#126848); #87834=LINE('',#535941,#126849); #87835=LINE('',#535943,#126850); #87836=LINE('',#535944,#126851); #87837=LINE('',#535947,#126852); #87838=LINE('',#535949,#126853); #87839=LINE('',#535950,#126854); #87840=LINE('',#535953,#126855); #87841=LINE('',#535955,#126856); #87842=LINE('',#535956,#126857); #87843=LINE('',#535959,#126858); #87844=LINE('',#535961,#126859); #87845=LINE('',#535962,#126860); #87846=LINE('',#535965,#126861); #87847=LINE('',#535967,#126862); #87848=LINE('',#535968,#126863); #87849=LINE('',#535971,#126864); #87850=LINE('',#535973,#126865); #87851=LINE('',#535974,#126866); #87852=LINE('',#535977,#126867); #87853=LINE('',#535979,#126868); #87854=LINE('',#535980,#126869); #87855=LINE('',#535983,#126870); #87856=LINE('',#535985,#126871); #87857=LINE('',#535986,#126872); #87858=LINE('',#535989,#126873); #87859=LINE('',#535991,#126874); #87860=LINE('',#535992,#126875); #87861=LINE('',#535995,#126876); #87862=LINE('',#535997,#126877); #87863=LINE('',#535998,#126878); #87864=LINE('',#536001,#126879); #87865=LINE('',#536003,#126880); #87866=LINE('',#536004,#126881); #87867=LINE('',#536007,#126882); #87868=LINE('',#536009,#126883); #87869=LINE('',#536010,#126884); #87870=LINE('',#536013,#126885); #87871=LINE('',#536015,#126886); #87872=LINE('',#536016,#126887); #87873=LINE('',#536019,#126888); #87874=LINE('',#536021,#126889); #87875=LINE('',#536022,#126890); #87876=LINE('',#536025,#126891); #87877=LINE('',#536027,#126892); #87878=LINE('',#536028,#126893); #87879=LINE('',#536031,#126894); #87880=LINE('',#536033,#126895); #87881=LINE('',#536034,#126896); #87882=LINE('',#536037,#126897); #87883=LINE('',#536039,#126898); #87884=LINE('',#536040,#126899); #87885=LINE('',#536043,#126900); #87886=LINE('',#536045,#126901); #87887=LINE('',#536046,#126902); #87888=LINE('',#536049,#126903); #87889=LINE('',#536051,#126904); #87890=LINE('',#536052,#126905); #87891=LINE('',#536055,#126906); #87892=LINE('',#536057,#126907); #87893=LINE('',#536058,#126908); #87894=LINE('',#536061,#126909); #87895=LINE('',#536063,#126910); #87896=LINE('',#536064,#126911); #87897=LINE('',#536067,#126912); #87898=LINE('',#536069,#126913); #87899=LINE('',#536070,#126914); #87900=LINE('',#536073,#126915); #87901=LINE('',#536075,#126916); #87902=LINE('',#536076,#126917); #87903=LINE('',#536079,#126918); #87904=LINE('',#536081,#126919); #87905=LINE('',#536082,#126920); #87906=LINE('',#536085,#126921); #87907=LINE('',#536087,#126922); #87908=LINE('',#536088,#126923); #87909=LINE('',#536091,#126924); #87910=LINE('',#536093,#126925); #87911=LINE('',#536094,#126926); #87912=LINE('',#536097,#126927); #87913=LINE('',#536099,#126928); #87914=LINE('',#536100,#126929); #87915=LINE('',#536103,#126930); #87916=LINE('',#536105,#126931); #87917=LINE('',#536106,#126932); #87918=LINE('',#536109,#126933); #87919=LINE('',#536111,#126934); #87920=LINE('',#536112,#126935); #87921=LINE('',#536115,#126936); #87922=LINE('',#536117,#126937); #87923=LINE('',#536118,#126938); #87924=LINE('',#536121,#126939); #87925=LINE('',#536123,#126940); #87926=LINE('',#536124,#126941); #87927=LINE('',#536127,#126942); #87928=LINE('',#536129,#126943); #87929=LINE('',#536130,#126944); #87930=LINE('',#536133,#126945); #87931=LINE('',#536135,#126946); #87932=LINE('',#536136,#126947); #87933=LINE('',#536139,#126948); #87934=LINE('',#536141,#126949); #87935=LINE('',#536142,#126950); #87936=LINE('',#536145,#126951); #87937=LINE('',#536147,#126952); #87938=LINE('',#536148,#126953); #87939=LINE('',#536151,#126954); #87940=LINE('',#536153,#126955); #87941=LINE('',#536154,#126956); #87942=LINE('',#536157,#126957); #87943=LINE('',#536159,#126958); #87944=LINE('',#536160,#126959); #87945=LINE('',#536163,#126960); #87946=LINE('',#536165,#126961); #87947=LINE('',#536166,#126962); #87948=LINE('',#536169,#126963); #87949=LINE('',#536171,#126964); #87950=LINE('',#536172,#126965); #87951=LINE('',#536175,#126966); #87952=LINE('',#536177,#126967); #87953=LINE('',#536178,#126968); #87954=LINE('',#536181,#126969); #87955=LINE('',#536183,#126970); #87956=LINE('',#536184,#126971); #87957=LINE('',#536187,#126972); #87958=LINE('',#536189,#126973); #87959=LINE('',#536190,#126974); #87960=LINE('',#536193,#126975); #87961=LINE('',#536195,#126976); #87962=LINE('',#536196,#126977); #87963=LINE('',#536199,#126978); #87964=LINE('',#536201,#126979); #87965=LINE('',#536202,#126980); #87966=LINE('',#536205,#126981); #87967=LINE('',#536207,#126982); #87968=LINE('',#536208,#126983); #87969=LINE('',#536211,#126984); #87970=LINE('',#536213,#126985); #87971=LINE('',#536214,#126986); #87972=LINE('',#536217,#126987); #87973=LINE('',#536219,#126988); #87974=LINE('',#536220,#126989); #87975=LINE('',#536223,#126990); #87976=LINE('',#536225,#126991); #87977=LINE('',#536226,#126992); #87978=LINE('',#536229,#126993); #87979=LINE('',#536231,#126994); #87980=LINE('',#536232,#126995); #87981=LINE('',#536235,#126996); #87982=LINE('',#536237,#126997); #87983=LINE('',#536238,#126998); #87984=LINE('',#536241,#126999); #87985=LINE('',#536243,#127000); #87986=LINE('',#536244,#127001); #87987=LINE('',#536247,#127002); #87988=LINE('',#536249,#127003); #87989=LINE('',#536250,#127004); #87990=LINE('',#536253,#127005); #87991=LINE('',#536255,#127006); #87992=LINE('',#536256,#127007); #87993=LINE('',#536259,#127008); #87994=LINE('',#536261,#127009); #87995=LINE('',#536262,#127010); #87996=LINE('',#536265,#127011); #87997=LINE('',#536267,#127012); #87998=LINE('',#536268,#127013); #87999=LINE('',#536271,#127014); #88000=LINE('',#536273,#127015); #88001=LINE('',#536274,#127016); #88002=LINE('',#536277,#127017); #88003=LINE('',#536279,#127018); #88004=LINE('',#536280,#127019); #88005=LINE('',#536283,#127020); #88006=LINE('',#536285,#127021); #88007=LINE('',#536286,#127022); #88008=LINE('',#536289,#127023); #88009=LINE('',#536291,#127024); #88010=LINE('',#536292,#127025); #88011=LINE('',#536295,#127026); #88012=LINE('',#536297,#127027); #88013=LINE('',#536298,#127028); #88014=LINE('',#536301,#127029); #88015=LINE('',#536303,#127030); #88016=LINE('',#536304,#127031); #88017=LINE('',#536307,#127032); #88018=LINE('',#536309,#127033); #88019=LINE('',#536310,#127034); #88020=LINE('',#536313,#127035); #88021=LINE('',#536315,#127036); #88022=LINE('',#536316,#127037); #88023=LINE('',#536319,#127038); #88024=LINE('',#536321,#127039); #88025=LINE('',#536322,#127040); #88026=LINE('',#536325,#127041); #88027=LINE('',#536327,#127042); #88028=LINE('',#536328,#127043); #88029=LINE('',#536331,#127044); #88030=LINE('',#536333,#127045); #88031=LINE('',#536334,#127046); #88032=LINE('',#536337,#127047); #88033=LINE('',#536339,#127048); #88034=LINE('',#536340,#127049); #88035=LINE('',#536343,#127050); #88036=LINE('',#536345,#127051); #88037=LINE('',#536346,#127052); #88038=LINE('',#536349,#127053); #88039=LINE('',#536351,#127054); #88040=LINE('',#536352,#127055); #88041=LINE('',#536355,#127056); #88042=LINE('',#536357,#127057); #88043=LINE('',#536358,#127058); #88044=LINE('',#536361,#127059); #88045=LINE('',#536363,#127060); #88046=LINE('',#536364,#127061); #88047=LINE('',#536367,#127062); #88048=LINE('',#536369,#127063); #88049=LINE('',#536370,#127064); #88050=LINE('',#536373,#127065); #88051=LINE('',#536375,#127066); #88052=LINE('',#536376,#127067); #88053=LINE('',#536379,#127068); #88054=LINE('',#536381,#127069); #88055=LINE('',#536382,#127070); #88056=LINE('',#536385,#127071); #88057=LINE('',#536387,#127072); #88058=LINE('',#536388,#127073); #88059=LINE('',#536391,#127074); #88060=LINE('',#536393,#127075); #88061=LINE('',#536394,#127076); #88062=LINE('',#536397,#127077); #88063=LINE('',#536399,#127078); #88064=LINE('',#536400,#127079); #88065=LINE('',#536403,#127080); #88066=LINE('',#536405,#127081); #88067=LINE('',#536406,#127082); #88068=LINE('',#536409,#127083); #88069=LINE('',#536411,#127084); #88070=LINE('',#536412,#127085); #88071=LINE('',#536415,#127086); #88072=LINE('',#536417,#127087); #88073=LINE('',#536418,#127088); #88074=LINE('',#536421,#127089); #88075=LINE('',#536423,#127090); #88076=LINE('',#536424,#127091); #88077=LINE('',#536427,#127092); #88078=LINE('',#536429,#127093); #88079=LINE('',#536430,#127094); #88080=LINE('',#536433,#127095); #88081=LINE('',#536435,#127096); #88082=LINE('',#536436,#127097); #88083=LINE('',#536439,#127098); #88084=LINE('',#536441,#127099); #88085=LINE('',#536442,#127100); #88086=LINE('',#536445,#127101); #88087=LINE('',#536447,#127102); #88088=LINE('',#536448,#127103); #88089=LINE('',#536451,#127104); #88090=LINE('',#536453,#127105); #88091=LINE('',#536454,#127106); #88092=LINE('',#536457,#127107); #88093=LINE('',#536459,#127108); #88094=LINE('',#536460,#127109); #88095=LINE('',#536463,#127110); #88096=LINE('',#536465,#127111); #88097=LINE('',#536466,#127112); #88098=LINE('',#536469,#127113); #88099=LINE('',#536471,#127114); #88100=LINE('',#536472,#127115); #88101=LINE('',#536475,#127116); #88102=LINE('',#536477,#127117); #88103=LINE('',#536478,#127118); #88104=LINE('',#536481,#127119); #88105=LINE('',#536483,#127120); #88106=LINE('',#536484,#127121); #88107=LINE('',#536487,#127122); #88108=LINE('',#536489,#127123); #88109=LINE('',#536490,#127124); #88110=LINE('',#536493,#127125); #88111=LINE('',#536495,#127126); #88112=LINE('',#536496,#127127); #88113=LINE('',#536499,#127128); #88114=LINE('',#536501,#127129); #88115=LINE('',#536502,#127130); #88116=LINE('',#536505,#127131); #88117=LINE('',#536507,#127132); #88118=LINE('',#536508,#127133); #88119=LINE('',#536511,#127134); #88120=LINE('',#536513,#127135); #88121=LINE('',#536514,#127136); #88122=LINE('',#536517,#127137); #88123=LINE('',#536519,#127138); #88124=LINE('',#536520,#127139); #88125=LINE('',#536523,#127140); #88126=LINE('',#536525,#127141); #88127=LINE('',#536526,#127142); #88128=LINE('',#536529,#127143); #88129=LINE('',#536531,#127144); #88130=LINE('',#536532,#127145); #88131=LINE('',#536535,#127146); #88132=LINE('',#536537,#127147); #88133=LINE('',#536538,#127148); #88134=LINE('',#536541,#127149); #88135=LINE('',#536543,#127150); #88136=LINE('',#536544,#127151); #88137=LINE('',#536547,#127152); #88138=LINE('',#536549,#127153); #88139=LINE('',#536550,#127154); #88140=LINE('',#536553,#127155); #88141=LINE('',#536555,#127156); #88142=LINE('',#536556,#127157); #88143=LINE('',#536559,#127158); #88144=LINE('',#536561,#127159); #88145=LINE('',#536562,#127160); #88146=LINE('',#536565,#127161); #88147=LINE('',#536567,#127162); #88148=LINE('',#536568,#127163); #88149=LINE('',#536571,#127164); #88150=LINE('',#536573,#127165); #88151=LINE('',#536574,#127166); #88152=LINE('',#536577,#127167); #88153=LINE('',#536579,#127168); #88154=LINE('',#536580,#127169); #88155=LINE('',#536583,#127170); #88156=LINE('',#536585,#127171); #88157=LINE('',#536586,#127172); #88158=LINE('',#536589,#127173); #88159=LINE('',#536591,#127174); #88160=LINE('',#536592,#127175); #88161=LINE('',#536595,#127176); #88162=LINE('',#536597,#127177); #88163=LINE('',#536598,#127178); #88164=LINE('',#536601,#127179); #88165=LINE('',#536603,#127180); #88166=LINE('',#536604,#127181); #88167=LINE('',#536607,#127182); #88168=LINE('',#536609,#127183); #88169=LINE('',#536610,#127184); #88170=LINE('',#536613,#127185); #88171=LINE('',#536615,#127186); #88172=LINE('',#536616,#127187); #88173=LINE('',#536619,#127188); #88174=LINE('',#536621,#127189); #88175=LINE('',#536622,#127190); #88176=LINE('',#536625,#127191); #88177=LINE('',#536627,#127192); #88178=LINE('',#536628,#127193); #88179=LINE('',#536631,#127194); #88180=LINE('',#536633,#127195); #88181=LINE('',#536634,#127196); #88182=LINE('',#536637,#127197); #88183=LINE('',#536639,#127198); #88184=LINE('',#536640,#127199); #88185=LINE('',#536643,#127200); #88186=LINE('',#536645,#127201); #88187=LINE('',#536646,#127202); #88188=LINE('',#536649,#127203); #88189=LINE('',#536651,#127204); #88190=LINE('',#536652,#127205); #88191=LINE('',#536655,#127206); #88192=LINE('',#536657,#127207); #88193=LINE('',#536658,#127208); #88194=LINE('',#536661,#127209); #88195=LINE('',#536663,#127210); #88196=LINE('',#536664,#127211); #88197=LINE('',#536667,#127212); #88198=LINE('',#536669,#127213); #88199=LINE('',#536670,#127214); #88200=LINE('',#536673,#127215); #88201=LINE('',#536675,#127216); #88202=LINE('',#536676,#127217); #88203=LINE('',#536679,#127218); #88204=LINE('',#536681,#127219); #88205=LINE('',#536682,#127220); #88206=LINE('',#536685,#127221); #88207=LINE('',#536687,#127222); #88208=LINE('',#536688,#127223); #88209=LINE('',#536691,#127224); #88210=LINE('',#536693,#127225); #88211=LINE('',#536694,#127226); #88212=LINE('',#536697,#127227); #88213=LINE('',#536699,#127228); #88214=LINE('',#536700,#127229); #88215=LINE('',#536703,#127230); #88216=LINE('',#536705,#127231); #88217=LINE('',#536706,#127232); #88218=LINE('',#536709,#127233); #88219=LINE('',#536711,#127234); #88220=LINE('',#536712,#127235); #88221=LINE('',#536715,#127236); #88222=LINE('',#536717,#127237); #88223=LINE('',#536718,#127238); #88224=LINE('',#536721,#127239); #88225=LINE('',#536723,#127240); #88226=LINE('',#536724,#127241); #88227=LINE('',#536727,#127242); #88228=LINE('',#536729,#127243); #88229=LINE('',#536730,#127244); #88230=LINE('',#536733,#127245); #88231=LINE('',#536735,#127246); #88232=LINE('',#536736,#127247); #88233=LINE('',#536739,#127248); #88234=LINE('',#536741,#127249); #88235=LINE('',#536742,#127250); #88236=LINE('',#536745,#127251); #88237=LINE('',#536747,#127252); #88238=LINE('',#536748,#127253); #88239=LINE('',#536751,#127254); #88240=LINE('',#536753,#127255); #88241=LINE('',#536754,#127256); #88242=LINE('',#536757,#127257); #88243=LINE('',#536759,#127258); #88244=LINE('',#536760,#127259); #88245=LINE('',#536763,#127260); #88246=LINE('',#536765,#127261); #88247=LINE('',#536766,#127262); #88248=LINE('',#536769,#127263); #88249=LINE('',#536771,#127264); #88250=LINE('',#536772,#127265); #88251=LINE('',#536775,#127266); #88252=LINE('',#536777,#127267); #88253=LINE('',#536778,#127268); #88254=LINE('',#536781,#127269); #88255=LINE('',#536783,#127270); #88256=LINE('',#536784,#127271); #88257=LINE('',#536787,#127272); #88258=LINE('',#536789,#127273); #88259=LINE('',#536790,#127274); #88260=LINE('',#536793,#127275); #88261=LINE('',#536795,#127276); #88262=LINE('',#536796,#127277); #88263=LINE('',#536799,#127278); #88264=LINE('',#536801,#127279); #88265=LINE('',#536802,#127280); #88266=LINE('',#536805,#127281); #88267=LINE('',#536807,#127282); #88268=LINE('',#536808,#127283); #88269=LINE('',#536811,#127284); #88270=LINE('',#536813,#127285); #88271=LINE('',#536814,#127286); #88272=LINE('',#536817,#127287); #88273=LINE('',#536819,#127288); #88274=LINE('',#536820,#127289); #88275=LINE('',#536823,#127290); #88276=LINE('',#536825,#127291); #88277=LINE('',#536826,#127292); #88278=LINE('',#536829,#127293); #88279=LINE('',#536831,#127294); #88280=LINE('',#536832,#127295); #88281=LINE('',#536835,#127296); #88282=LINE('',#536837,#127297); #88283=LINE('',#536838,#127298); #88284=LINE('',#536841,#127299); #88285=LINE('',#536843,#127300); #88286=LINE('',#536844,#127301); #88287=LINE('',#536847,#127302); #88288=LINE('',#536849,#127303); #88289=LINE('',#536850,#127304); #88290=LINE('',#536853,#127305); #88291=LINE('',#536855,#127306); #88292=LINE('',#536856,#127307); #88293=LINE('',#536859,#127308); #88294=LINE('',#536861,#127309); #88295=LINE('',#536862,#127310); #88296=LINE('',#536865,#127311); #88297=LINE('',#536867,#127312); #88298=LINE('',#536868,#127313); #88299=LINE('',#536871,#127314); #88300=LINE('',#536873,#127315); #88301=LINE('',#536874,#127316); #88302=LINE('',#536877,#127317); #88303=LINE('',#536879,#127318); #88304=LINE('',#536880,#127319); #88305=LINE('',#536883,#127320); #88306=LINE('',#536885,#127321); #88307=LINE('',#536886,#127322); #88308=LINE('',#536889,#127323); #88309=LINE('',#536891,#127324); #88310=LINE('',#536892,#127325); #88311=LINE('',#536895,#127326); #88312=LINE('',#536897,#127327); #88313=LINE('',#536898,#127328); #88314=LINE('',#536901,#127329); #88315=LINE('',#536903,#127330); #88316=LINE('',#536904,#127331); #88317=LINE('',#536907,#127332); #88318=LINE('',#536909,#127333); #88319=LINE('',#536910,#127334); #88320=LINE('',#536913,#127335); #88321=LINE('',#536915,#127336); #88322=LINE('',#536916,#127337); #88323=LINE('',#536919,#127338); #88324=LINE('',#536921,#127339); #88325=LINE('',#536922,#127340); #88326=LINE('',#536925,#127341); #88327=LINE('',#536927,#127342); #88328=LINE('',#536928,#127343); #88329=LINE('',#536931,#127344); #88330=LINE('',#536933,#127345); #88331=LINE('',#536934,#127346); #88332=LINE('',#536937,#127347); #88333=LINE('',#536939,#127348); #88334=LINE('',#536940,#127349); #88335=LINE('',#536943,#127350); #88336=LINE('',#536945,#127351); #88337=LINE('',#536946,#127352); #88338=LINE('',#536949,#127353); #88339=LINE('',#536951,#127354); #88340=LINE('',#536952,#127355); #88341=LINE('',#536955,#127356); #88342=LINE('',#536957,#127357); #88343=LINE('',#536958,#127358); #88344=LINE('',#536961,#127359); #88345=LINE('',#536963,#127360); #88346=LINE('',#536964,#127361); #88347=LINE('',#536967,#127362); #88348=LINE('',#536969,#127363); #88349=LINE('',#536970,#127364); #88350=LINE('',#536973,#127365); #88351=LINE('',#536975,#127366); #88352=LINE('',#536976,#127367); #88353=LINE('',#536979,#127368); #88354=LINE('',#536981,#127369); #88355=LINE('',#536982,#127370); #88356=LINE('',#536985,#127371); #88357=LINE('',#536987,#127372); #88358=LINE('',#536988,#127373); #88359=LINE('',#536991,#127374); #88360=LINE('',#536993,#127375); #88361=LINE('',#536994,#127376); #88362=LINE('',#536997,#127377); #88363=LINE('',#536999,#127378); #88364=LINE('',#537000,#127379); #88365=LINE('',#537003,#127380); #88366=LINE('',#537005,#127381); #88367=LINE('',#537006,#127382); #88368=LINE('',#537009,#127383); #88369=LINE('',#537011,#127384); #88370=LINE('',#537012,#127385); #88371=LINE('',#537018,#127386); #88372=LINE('',#537021,#127387); #88373=LINE('',#537023,#127388); #88374=LINE('',#537024,#127389); #88375=LINE('',#537030,#127390); #88376=LINE('',#537033,#127391); #88377=LINE('',#537035,#127392); #88378=LINE('',#537036,#127393); #88379=LINE('',#537039,#127394); #88380=LINE('',#537041,#127395); #88381=LINE('',#537042,#127396); #88382=LINE('',#537045,#127397); #88383=LINE('',#537047,#127398); #88384=LINE('',#537048,#127399); #88385=LINE('',#537051,#127400); #88386=LINE('',#537053,#127401); #88387=LINE('',#537054,#127402); #88388=LINE('',#537057,#127403); #88389=LINE('',#537059,#127404); #88390=LINE('',#537060,#127405); #88391=LINE('',#537063,#127406); #88392=LINE('',#537065,#127407); #88393=LINE('',#537066,#127408); #88394=LINE('',#537069,#127409); #88395=LINE('',#537071,#127410); #88396=LINE('',#537072,#127411); #88397=LINE('',#537075,#127412); #88398=LINE('',#537077,#127413); #88399=LINE('',#537078,#127414); #88400=LINE('',#537084,#127415); #88401=LINE('',#537087,#127416); #88402=LINE('',#537089,#127417); #88403=LINE('',#537090,#127418); #88404=LINE('',#537093,#127419); #88405=LINE('',#537095,#127420); #88406=LINE('',#537096,#127421); #88407=LINE('',#537102,#127422); #88408=LINE('',#537105,#127423); #88409=LINE('',#537107,#127424); #88410=LINE('',#537108,#127425); #88411=LINE('',#537111,#127426); #88412=LINE('',#537113,#127427); #88413=LINE('',#537114,#127428); #88414=LINE('',#537117,#127429); #88415=LINE('',#537119,#127430); #88416=LINE('',#537120,#127431); #88417=LINE('',#537123,#127432); #88418=LINE('',#537125,#127433); #88419=LINE('',#537126,#127434); #88420=LINE('',#537129,#127435); #88421=LINE('',#537131,#127436); #88422=LINE('',#537132,#127437); #88423=LINE('',#537135,#127438); #88424=LINE('',#537137,#127439); #88425=LINE('',#537138,#127440); #88426=LINE('',#537141,#127441); #88427=LINE('',#537143,#127442); #88428=LINE('',#537144,#127443); #88429=LINE('',#537147,#127444); #88430=LINE('',#537149,#127445); #88431=LINE('',#537150,#127446); #88432=LINE('',#537153,#127447); #88433=LINE('',#537155,#127448); #88434=LINE('',#537156,#127449); #88435=LINE('',#537159,#127450); #88436=LINE('',#537161,#127451); #88437=LINE('',#537162,#127452); #88438=LINE('',#537165,#127453); #88439=LINE('',#537167,#127454); #88440=LINE('',#537168,#127455); #88441=LINE('',#537171,#127456); #88442=LINE('',#537173,#127457); #88443=LINE('',#537174,#127458); #88444=LINE('',#537177,#127459); #88445=LINE('',#537179,#127460); #88446=LINE('',#537180,#127461); #88447=LINE('',#537183,#127462); #88448=LINE('',#537185,#127463); #88449=LINE('',#537186,#127464); #88450=LINE('',#537189,#127465); #88451=LINE('',#537191,#127466); #88452=LINE('',#537192,#127467); #88453=LINE('',#537195,#127468); #88454=LINE('',#537197,#127469); #88455=LINE('',#537198,#127470); #88456=LINE('',#537201,#127471); #88457=LINE('',#537203,#127472); #88458=LINE('',#537204,#127473); #88459=LINE('',#537207,#127474); #88460=LINE('',#537209,#127475); #88461=LINE('',#537210,#127476); #88462=LINE('',#537213,#127477); #88463=LINE('',#537215,#127478); #88464=LINE('',#537216,#127479); #88465=LINE('',#537219,#127480); #88466=LINE('',#537221,#127481); #88467=LINE('',#537222,#127482); #88468=LINE('',#537225,#127483); #88469=LINE('',#537227,#127484); #88470=LINE('',#537228,#127485); #88471=LINE('',#537231,#127486); #88472=LINE('',#537233,#127487); #88473=LINE('',#537234,#127488); #88474=LINE('',#537237,#127489); #88475=LINE('',#537239,#127490); #88476=LINE('',#537240,#127491); #88477=LINE('',#537243,#127492); #88478=LINE('',#537245,#127493); #88479=LINE('',#537246,#127494); #88480=LINE('',#537249,#127495); #88481=LINE('',#537251,#127496); #88482=LINE('',#537252,#127497); #88483=LINE('',#537255,#127498); #88484=LINE('',#537257,#127499); #88485=LINE('',#537258,#127500); #88486=LINE('',#537261,#127501); #88487=LINE('',#537263,#127502); #88488=LINE('',#537264,#127503); #88489=LINE('',#537267,#127504); #88490=LINE('',#537269,#127505); #88491=LINE('',#537270,#127506); #88492=LINE('',#537273,#127507); #88493=LINE('',#537275,#127508); #88494=LINE('',#537276,#127509); #88495=LINE('',#537279,#127510); #88496=LINE('',#537281,#127511); #88497=LINE('',#537282,#127512); #88498=LINE('',#537285,#127513); #88499=LINE('',#537287,#127514); #88500=LINE('',#537288,#127515); #88501=LINE('',#537291,#127516); #88502=LINE('',#537293,#127517); #88503=LINE('',#537294,#127518); #88504=LINE('',#537297,#127519); #88505=LINE('',#537299,#127520); #88506=LINE('',#537300,#127521); #88507=LINE('',#537303,#127522); #88508=LINE('',#537305,#127523); #88509=LINE('',#537306,#127524); #88510=LINE('',#537309,#127525); #88511=LINE('',#537311,#127526); #88512=LINE('',#537312,#127527); #88513=LINE('',#537315,#127528); #88514=LINE('',#537317,#127529); #88515=LINE('',#537318,#127530); #88516=LINE('',#537321,#127531); #88517=LINE('',#537323,#127532); #88518=LINE('',#537324,#127533); #88519=LINE('',#537327,#127534); #88520=LINE('',#537329,#127535); #88521=LINE('',#537330,#127536); #88522=LINE('',#537333,#127537); #88523=LINE('',#537335,#127538); #88524=LINE('',#537336,#127539); #88525=LINE('',#537339,#127540); #88526=LINE('',#537341,#127541); #88527=LINE('',#537342,#127542); #88528=LINE('',#537345,#127543); #88529=LINE('',#537347,#127544); #88530=LINE('',#537348,#127545); #88531=LINE('',#537351,#127546); #88532=LINE('',#537353,#127547); #88533=LINE('',#537354,#127548); #88534=LINE('',#537357,#127549); #88535=LINE('',#537359,#127550); #88536=LINE('',#537360,#127551); #88537=LINE('',#537363,#127552); #88538=LINE('',#537365,#127553); #88539=LINE('',#537366,#127554); #88540=LINE('',#537369,#127555); #88541=LINE('',#537371,#127556); #88542=LINE('',#537372,#127557); #88543=LINE('',#537375,#127558); #88544=LINE('',#537377,#127559); #88545=LINE('',#537378,#127560); #88546=LINE('',#537381,#127561); #88547=LINE('',#537383,#127562); #88548=LINE('',#537384,#127563); #88549=LINE('',#537387,#127564); #88550=LINE('',#537389,#127565); #88551=LINE('',#537390,#127566); #88552=LINE('',#537393,#127567); #88553=LINE('',#537395,#127568); #88554=LINE('',#537396,#127569); #88555=LINE('',#537399,#127570); #88556=LINE('',#537401,#127571); #88557=LINE('',#537402,#127572); #88558=LINE('',#537405,#127573); #88559=LINE('',#537407,#127574); #88560=LINE('',#537408,#127575); #88561=LINE('',#537411,#127576); #88562=LINE('',#537413,#127577); #88563=LINE('',#537414,#127578); #88564=LINE('',#537417,#127579); #88565=LINE('',#537419,#127580); #88566=LINE('',#537420,#127581); #88567=LINE('',#537423,#127582); #88568=LINE('',#537425,#127583); #88569=LINE('',#537426,#127584); #88570=LINE('',#537429,#127585); #88571=LINE('',#537431,#127586); #88572=LINE('',#537432,#127587); #88573=LINE('',#537435,#127588); #88574=LINE('',#537437,#127589); #88575=LINE('',#537438,#127590); #88576=LINE('',#537441,#127591); #88577=LINE('',#537443,#127592); #88578=LINE('',#537444,#127593); #88579=LINE('',#537447,#127594); #88580=LINE('',#537449,#127595); #88581=LINE('',#537450,#127596); #88582=LINE('',#537453,#127597); #88583=LINE('',#537455,#127598); #88584=LINE('',#537456,#127599); #88585=LINE('',#537459,#127600); #88586=LINE('',#537461,#127601); #88587=LINE('',#537462,#127602); #88588=LINE('',#537465,#127603); #88589=LINE('',#537467,#127604); #88590=LINE('',#537468,#127605); #88591=LINE('',#537471,#127606); #88592=LINE('',#537473,#127607); #88593=LINE('',#537474,#127608); #88594=LINE('',#537477,#127609); #88595=LINE('',#537479,#127610); #88596=LINE('',#537480,#127611); #88597=LINE('',#537483,#127612); #88598=LINE('',#537485,#127613); #88599=LINE('',#537486,#127614); #88600=LINE('',#537489,#127615); #88601=LINE('',#537491,#127616); #88602=LINE('',#537492,#127617); #88603=LINE('',#537495,#127618); #88604=LINE('',#537497,#127619); #88605=LINE('',#537498,#127620); #88606=LINE('',#537501,#127621); #88607=LINE('',#537503,#127622); #88608=LINE('',#537504,#127623); #88609=LINE('',#537507,#127624); #88610=LINE('',#537509,#127625); #88611=LINE('',#537510,#127626); #88612=LINE('',#537513,#127627); #88613=LINE('',#537515,#127628); #88614=LINE('',#537516,#127629); #88615=LINE('',#537519,#127630); #88616=LINE('',#537521,#127631); #88617=LINE('',#537522,#127632); #88618=LINE('',#537525,#127633); #88619=LINE('',#537527,#127634); #88620=LINE('',#537528,#127635); #88621=LINE('',#537531,#127636); #88622=LINE('',#537533,#127637); #88623=LINE('',#537534,#127638); #88624=LINE('',#537537,#127639); #88625=LINE('',#537539,#127640); #88626=LINE('',#537540,#127641); #88627=LINE('',#537543,#127642); #88628=LINE('',#537545,#127643); #88629=LINE('',#537546,#127644); #88630=LINE('',#537549,#127645); #88631=LINE('',#537551,#127646); #88632=LINE('',#537552,#127647); #88633=LINE('',#537555,#127648); #88634=LINE('',#537557,#127649); #88635=LINE('',#537558,#127650); #88636=LINE('',#537561,#127651); #88637=LINE('',#537563,#127652); #88638=LINE('',#537564,#127653); #88639=LINE('',#537567,#127654); #88640=LINE('',#537569,#127655); #88641=LINE('',#537570,#127656); #88642=LINE('',#537573,#127657); #88643=LINE('',#537575,#127658); #88644=LINE('',#537576,#127659); #88645=LINE('',#537579,#127660); #88646=LINE('',#537581,#127661); #88647=LINE('',#537582,#127662); #88648=LINE('',#537585,#127663); #88649=LINE('',#537587,#127664); #88650=LINE('',#537588,#127665); #88651=LINE('',#537591,#127666); #88652=LINE('',#537593,#127667); #88653=LINE('',#537594,#127668); #88654=LINE('',#537597,#127669); #88655=LINE('',#537599,#127670); #88656=LINE('',#537600,#127671); #88657=LINE('',#537602,#127672); #88658=LINE('',#537603,#127673); #88659=LINE('',#537609,#127674); #88660=LINE('',#537611,#127675); #88661=LINE('',#537613,#127676); #88662=LINE('',#537614,#127677); #88663=LINE('',#537617,#127678); #88664=LINE('',#537619,#127679); #88665=LINE('',#537620,#127680); #88666=LINE('',#537623,#127681); #88667=LINE('',#537625,#127682); #88668=LINE('',#537626,#127683); #88669=LINE('',#537629,#127684); #88670=LINE('',#537631,#127685); #88671=LINE('',#537632,#127686); #88672=LINE('',#537635,#127687); #88673=LINE('',#537637,#127688); #88674=LINE('',#537638,#127689); #88675=LINE('',#537641,#127690); #88676=LINE('',#537643,#127691); #88677=LINE('',#537644,#127692); #88678=LINE('',#537647,#127693); #88679=LINE('',#537649,#127694); #88680=LINE('',#537650,#127695); #88681=LINE('',#537653,#127696); #88682=LINE('',#537655,#127697); #88683=LINE('',#537656,#127698); #88684=LINE('',#537659,#127699); #88685=LINE('',#537661,#127700); #88686=LINE('',#537662,#127701); #88687=LINE('',#537665,#127702); #88688=LINE('',#537667,#127703); #88689=LINE('',#537668,#127704); #88690=LINE('',#537671,#127705); #88691=LINE('',#537673,#127706); #88692=LINE('',#537674,#127707); #88693=LINE('',#537677,#127708); #88694=LINE('',#537679,#127709); #88695=LINE('',#537680,#127710); #88696=LINE('',#537683,#127711); #88697=LINE('',#537685,#127712); #88698=LINE('',#537686,#127713); #88699=LINE('',#537689,#127714); #88700=LINE('',#537691,#127715); #88701=LINE('',#537692,#127716); #88702=LINE('',#537695,#127717); #88703=LINE('',#537697,#127718); #88704=LINE('',#537698,#127719); #88705=LINE('',#537701,#127720); #88706=LINE('',#537703,#127721); #88707=LINE('',#537704,#127722); #88708=LINE('',#537707,#127723); #88709=LINE('',#537709,#127724); #88710=LINE('',#537710,#127725); #88711=LINE('',#537713,#127726); #88712=LINE('',#537715,#127727); #88713=LINE('',#537716,#127728); #88714=LINE('',#537719,#127729); #88715=LINE('',#537721,#127730); #88716=LINE('',#537722,#127731); #88717=LINE('',#537724,#127732); #88718=LINE('',#537725,#127733); #88719=LINE('',#537731,#127734); #88720=LINE('',#537733,#127735); #88721=LINE('',#537735,#127736); #88722=LINE('',#537736,#127737); #88723=LINE('',#537739,#127738); #88724=LINE('',#537741,#127739); #88725=LINE('',#537742,#127740); #88726=LINE('',#537745,#127741); #88727=LINE('',#537747,#127742); #88728=LINE('',#537748,#127743); #88729=LINE('',#537751,#127744); #88730=LINE('',#537753,#127745); #88731=LINE('',#537754,#127746); #88732=LINE('',#537757,#127747); #88733=LINE('',#537759,#127748); #88734=LINE('',#537760,#127749); #88735=LINE('',#537763,#127750); #88736=LINE('',#537765,#127751); #88737=LINE('',#537766,#127752); #88738=LINE('',#537769,#127753); #88739=LINE('',#537771,#127754); #88740=LINE('',#537772,#127755); #88741=LINE('',#537775,#127756); #88742=LINE('',#537777,#127757); #88743=LINE('',#537778,#127758); #88744=LINE('',#537781,#127759); #88745=LINE('',#537783,#127760); #88746=LINE('',#537784,#127761); #88747=LINE('',#537787,#127762); #88748=LINE('',#537789,#127763); #88749=LINE('',#537790,#127764); #88750=LINE('',#537793,#127765); #88751=LINE('',#537795,#127766); #88752=LINE('',#537796,#127767); #88753=LINE('',#537799,#127768); #88754=LINE('',#537801,#127769); #88755=LINE('',#537802,#127770); #88756=LINE('',#537805,#127771); #88757=LINE('',#537807,#127772); #88758=LINE('',#537808,#127773); #88759=LINE('',#537811,#127774); #88760=LINE('',#537813,#127775); #88761=LINE('',#537814,#127776); #88762=LINE('',#537817,#127777); #88763=LINE('',#537819,#127778); #88764=LINE('',#537820,#127779); #88765=LINE('',#537823,#127780); #88766=LINE('',#537825,#127781); #88767=LINE('',#537826,#127782); #88768=LINE('',#537829,#127783); #88769=LINE('',#537831,#127784); #88770=LINE('',#537832,#127785); #88771=LINE('',#537835,#127786); #88772=LINE('',#537837,#127787); #88773=LINE('',#537838,#127788); #88774=LINE('',#537841,#127789); #88775=LINE('',#537843,#127790); #88776=LINE('',#537844,#127791); #88777=LINE('',#537846,#127792); #88778=LINE('',#537847,#127793); #88779=LINE('',#537853,#127794); #88780=LINE('',#537855,#127795); #88781=LINE('',#537857,#127796); #88782=LINE('',#537858,#127797); #88783=LINE('',#537861,#127798); #88784=LINE('',#537863,#127799); #88785=LINE('',#537864,#127800); #88786=LINE('',#537867,#127801); #88787=LINE('',#537869,#127802); #88788=LINE('',#537870,#127803); #88789=LINE('',#537873,#127804); #88790=LINE('',#537875,#127805); #88791=LINE('',#537876,#127806); #88792=LINE('',#537879,#127807); #88793=LINE('',#537881,#127808); #88794=LINE('',#537882,#127809); #88795=LINE('',#537885,#127810); #88796=LINE('',#537887,#127811); #88797=LINE('',#537888,#127812); #88798=LINE('',#537891,#127813); #88799=LINE('',#537893,#127814); #88800=LINE('',#537894,#127815); #88801=LINE('',#537897,#127816); #88802=LINE('',#537899,#127817); #88803=LINE('',#537900,#127818); #88804=LINE('',#537903,#127819); #88805=LINE('',#537905,#127820); #88806=LINE('',#537906,#127821); #88807=LINE('',#537909,#127822); #88808=LINE('',#537911,#127823); #88809=LINE('',#537912,#127824); #88810=LINE('',#537915,#127825); #88811=LINE('',#537917,#127826); #88812=LINE('',#537918,#127827); #88813=LINE('',#537921,#127828); #88814=LINE('',#537923,#127829); #88815=LINE('',#537924,#127830); #88816=LINE('',#537927,#127831); #88817=LINE('',#537929,#127832); #88818=LINE('',#537930,#127833); #88819=LINE('',#537933,#127834); #88820=LINE('',#537935,#127835); #88821=LINE('',#537936,#127836); #88822=LINE('',#537939,#127837); #88823=LINE('',#537941,#127838); #88824=LINE('',#537942,#127839); #88825=LINE('',#537945,#127840); #88826=LINE('',#537947,#127841); #88827=LINE('',#537948,#127842); #88828=LINE('',#537951,#127843); #88829=LINE('',#537953,#127844); #88830=LINE('',#537954,#127845); #88831=LINE('',#537957,#127846); #88832=LINE('',#537959,#127847); #88833=LINE('',#537960,#127848); #88834=LINE('',#537963,#127849); #88835=LINE('',#537965,#127850); #88836=LINE('',#537966,#127851); #88837=LINE('',#537968,#127852); #88838=LINE('',#537969,#127853); #88839=LINE('',#537975,#127854); #88840=LINE('',#537977,#127855); #88841=LINE('',#537979,#127856); #88842=LINE('',#537980,#127857); #88843=LINE('',#537983,#127858); #88844=LINE('',#537985,#127859); #88845=LINE('',#537986,#127860); #88846=LINE('',#537989,#127861); #88847=LINE('',#537991,#127862); #88848=LINE('',#537992,#127863); #88849=LINE('',#537995,#127864); #88850=LINE('',#537997,#127865); #88851=LINE('',#537998,#127866); #88852=LINE('',#538001,#127867); #88853=LINE('',#538003,#127868); #88854=LINE('',#538004,#127869); #88855=LINE('',#538007,#127870); #88856=LINE('',#538009,#127871); #88857=LINE('',#538010,#127872); #88858=LINE('',#538013,#127873); #88859=LINE('',#538015,#127874); #88860=LINE('',#538016,#127875); #88861=LINE('',#538019,#127876); #88862=LINE('',#538021,#127877); #88863=LINE('',#538022,#127878); #88864=LINE('',#538025,#127879); #88865=LINE('',#538027,#127880); #88866=LINE('',#538028,#127881); #88867=LINE('',#538031,#127882); #88868=LINE('',#538033,#127883); #88869=LINE('',#538034,#127884); #88870=LINE('',#538037,#127885); #88871=LINE('',#538039,#127886); #88872=LINE('',#538040,#127887); #88873=LINE('',#538043,#127888); #88874=LINE('',#538045,#127889); #88875=LINE('',#538046,#127890); #88876=LINE('',#538049,#127891); #88877=LINE('',#538051,#127892); #88878=LINE('',#538052,#127893); #88879=LINE('',#538055,#127894); #88880=LINE('',#538057,#127895); #88881=LINE('',#538058,#127896); #88882=LINE('',#538061,#127897); #88883=LINE('',#538063,#127898); #88884=LINE('',#538064,#127899); #88885=LINE('',#538067,#127900); #88886=LINE('',#538069,#127901); #88887=LINE('',#538070,#127902); #88888=LINE('',#538073,#127903); #88889=LINE('',#538075,#127904); #88890=LINE('',#538076,#127905); #88891=LINE('',#538079,#127906); #88892=LINE('',#538081,#127907); #88893=LINE('',#538082,#127908); #88894=LINE('',#538085,#127909); #88895=LINE('',#538087,#127910); #88896=LINE('',#538088,#127911); #88897=LINE('',#538091,#127912); #88898=LINE('',#538093,#127913); #88899=LINE('',#538094,#127914); #88900=LINE('',#538097,#127915); #88901=LINE('',#538099,#127916); #88902=LINE('',#538100,#127917); #88903=LINE('',#538103,#127918); #88904=LINE('',#538105,#127919); #88905=LINE('',#538106,#127920); #88906=LINE('',#538108,#127921); #88907=LINE('',#538109,#127922); #88908=LINE('',#538115,#127923); #88909=LINE('',#538117,#127924); #88910=LINE('',#538119,#127925); #88911=LINE('',#538120,#127926); #88912=LINE('',#538123,#127927); #88913=LINE('',#538125,#127928); #88914=LINE('',#538126,#127929); #88915=LINE('',#538129,#127930); #88916=LINE('',#538131,#127931); #88917=LINE('',#538132,#127932); #88918=LINE('',#538135,#127933); #88919=LINE('',#538137,#127934); #88920=LINE('',#538138,#127935); #88921=LINE('',#538141,#127936); #88922=LINE('',#538143,#127937); #88923=LINE('',#538144,#127938); #88924=LINE('',#538147,#127939); #88925=LINE('',#538149,#127940); #88926=LINE('',#538150,#127941); #88927=LINE('',#538153,#127942); #88928=LINE('',#538155,#127943); #88929=LINE('',#538156,#127944); #88930=LINE('',#538159,#127945); #88931=LINE('',#538161,#127946); #88932=LINE('',#538162,#127947); #88933=LINE('',#538165,#127948); #88934=LINE('',#538167,#127949); #88935=LINE('',#538168,#127950); #88936=LINE('',#538171,#127951); #88937=LINE('',#538173,#127952); #88938=LINE('',#538174,#127953); #88939=LINE('',#538177,#127954); #88940=LINE('',#538179,#127955); #88941=LINE('',#538180,#127956); #88942=LINE('',#538183,#127957); #88943=LINE('',#538185,#127958); #88944=LINE('',#538186,#127959); #88945=LINE('',#538189,#127960); #88946=LINE('',#538191,#127961); #88947=LINE('',#538192,#127962); #88948=LINE('',#538195,#127963); #88949=LINE('',#538197,#127964); #88950=LINE('',#538198,#127965); #88951=LINE('',#538201,#127966); #88952=LINE('',#538203,#127967); #88953=LINE('',#538204,#127968); #88954=LINE('',#538207,#127969); #88955=LINE('',#538209,#127970); #88956=LINE('',#538210,#127971); #88957=LINE('',#538213,#127972); #88958=LINE('',#538215,#127973); #88959=LINE('',#538216,#127974); #88960=LINE('',#538219,#127975); #88961=LINE('',#538221,#127976); #88962=LINE('',#538222,#127977); #88963=LINE('',#538225,#127978); #88964=LINE('',#538227,#127979); #88965=LINE('',#538228,#127980); #88966=LINE('',#538230,#127981); #88967=LINE('',#538231,#127982); #88968=LINE('',#538237,#127983); #88969=LINE('',#538239,#127984); #88970=LINE('',#538241,#127985); #88971=LINE('',#538242,#127986); #88972=LINE('',#538245,#127987); #88973=LINE('',#538247,#127988); #88974=LINE('',#538248,#127989); #88975=LINE('',#538251,#127990); #88976=LINE('',#538253,#127991); #88977=LINE('',#538254,#127992); #88978=LINE('',#538257,#127993); #88979=LINE('',#538259,#127994); #88980=LINE('',#538260,#127995); #88981=LINE('',#538263,#127996); #88982=LINE('',#538265,#127997); #88983=LINE('',#538266,#127998); #88984=LINE('',#538269,#127999); #88985=LINE('',#538271,#128000); #88986=LINE('',#538272,#128001); #88987=LINE('',#538275,#128002); #88988=LINE('',#538277,#128003); #88989=LINE('',#538278,#128004); #88990=LINE('',#538281,#128005); #88991=LINE('',#538283,#128006); #88992=LINE('',#538284,#128007); #88993=LINE('',#538287,#128008); #88994=LINE('',#538289,#128009); #88995=LINE('',#538290,#128010); #88996=LINE('',#538293,#128011); #88997=LINE('',#538295,#128012); #88998=LINE('',#538296,#128013); #88999=LINE('',#538299,#128014); #89000=LINE('',#538301,#128015); #89001=LINE('',#538302,#128016); #89002=LINE('',#538305,#128017); #89003=LINE('',#538307,#128018); #89004=LINE('',#538308,#128019); #89005=LINE('',#538311,#128020); #89006=LINE('',#538313,#128021); #89007=LINE('',#538314,#128022); #89008=LINE('',#538317,#128023); #89009=LINE('',#538319,#128024); #89010=LINE('',#538320,#128025); #89011=LINE('',#538323,#128026); #89012=LINE('',#538325,#128027); #89013=LINE('',#538326,#128028); #89014=LINE('',#538329,#128029); #89015=LINE('',#538331,#128030); #89016=LINE('',#538332,#128031); #89017=LINE('',#538335,#128032); #89018=LINE('',#538337,#128033); #89019=LINE('',#538338,#128034); #89020=LINE('',#538341,#128035); #89021=LINE('',#538343,#128036); #89022=LINE('',#538344,#128037); #89023=LINE('',#538347,#128038); #89024=LINE('',#538349,#128039); #89025=LINE('',#538350,#128040); #89026=LINE('',#538353,#128041); #89027=LINE('',#538355,#128042); #89028=LINE('',#538356,#128043); #89029=LINE('',#538358,#128044); #89030=LINE('',#538359,#128045); #89031=LINE('',#538366,#128046); #89032=LINE('',#538371,#128047); #89033=LINE('',#538373,#128048); #89034=LINE('',#538375,#128049); #89035=LINE('',#538376,#128050); #89036=LINE('',#538382,#128051); #89037=LINE('',#538392,#128052); #89038=LINE('',#538397,#128053); #89039=LINE('',#538399,#128054); #89040=LINE('',#538401,#128055); #89041=LINE('',#538402,#128056); #89042=LINE('',#538408,#128057); #89043=LINE('',#538418,#128058); #89044=LINE('',#538423,#128059); #89045=LINE('',#538425,#128060); #89046=LINE('',#538427,#128061); #89047=LINE('',#538428,#128062); #89048=LINE('',#538434,#128063); #89049=LINE('',#538444,#128064); #89050=LINE('',#538449,#128065); #89051=LINE('',#538451,#128066); #89052=LINE('',#538453,#128067); #89053=LINE('',#538454,#128068); #89054=LINE('',#538460,#128069); #89055=LINE('',#538470,#128070); #89056=LINE('',#538475,#128071); #89057=LINE('',#538477,#128072); #89058=LINE('',#538479,#128073); #89059=LINE('',#538480,#128074); #89060=LINE('',#538483,#128075); #89061=LINE('',#538485,#128076); #89062=LINE('',#538486,#128077); #89063=LINE('',#538489,#128078); #89064=LINE('',#538491,#128079); #89065=LINE('',#538492,#128080); #89066=LINE('',#538494,#128081); #89067=LINE('',#538495,#128082); #89068=LINE('',#538502,#128083); #89069=LINE('',#538507,#128084); #89070=LINE('',#538509,#128085); #89071=LINE('',#538511,#128086); #89072=LINE('',#538512,#128087); #89073=LINE('',#538518,#128088); #89074=LINE('',#538528,#128089); #89075=LINE('',#538533,#128090); #89076=LINE('',#538535,#128091); #89077=LINE('',#538537,#128092); #89078=LINE('',#538538,#128093); #89079=LINE('',#538544,#128094); #89080=LINE('',#538554,#128095); #89081=LINE('',#538559,#128096); #89082=LINE('',#538561,#128097); #89083=LINE('',#538563,#128098); #89084=LINE('',#538564,#128099); #89085=LINE('',#538570,#128100); #89086=LINE('',#538580,#128101); #89087=LINE('',#538585,#128102); #89088=LINE('',#538587,#128103); #89089=LINE('',#538589,#128104); #89090=LINE('',#538590,#128105); #89091=LINE('',#538596,#128106); #89092=LINE('',#538605,#128107); #89093=LINE('',#538607,#128108); #89094=LINE('',#538609,#128109); #89095=LINE('',#538610,#128110); #89096=LINE('',#538616,#128111); #89097=LINE('',#538619,#128112); #89098=LINE('',#538621,#128113); #89099=LINE('',#538622,#128114); #89100=LINE('',#538629,#128115); #89101=LINE('',#538631,#128116); #89102=LINE('',#538633,#128117); #89103=LINE('',#538634,#128118); #89104=LINE('',#538637,#128119); #89105=LINE('',#538639,#128120); #89106=LINE('',#538640,#128121); #89107=LINE('',#538643,#128122); #89108=LINE('',#538645,#128123); #89109=LINE('',#538646,#128124); #89110=LINE('',#538649,#128125); #89111=LINE('',#538651,#128126); #89112=LINE('',#538652,#128127); #89113=LINE('',#538655,#128128); #89114=LINE('',#538657,#128129); #89115=LINE('',#538658,#128130); #89116=LINE('',#538661,#128131); #89117=LINE('',#538663,#128132); #89118=LINE('',#538664,#128133); #89119=LINE('',#538667,#128134); #89120=LINE('',#538669,#128135); #89121=LINE('',#538670,#128136); #89122=LINE('',#538673,#128137); #89123=LINE('',#538675,#128138); #89124=LINE('',#538676,#128139); #89125=LINE('',#538679,#128140); #89126=LINE('',#538681,#128141); #89127=LINE('',#538682,#128142); #89128=LINE('',#538685,#128143); #89129=LINE('',#538687,#128144); #89130=LINE('',#538688,#128145); #89131=LINE('',#538691,#128146); #89132=LINE('',#538693,#128147); #89133=LINE('',#538694,#128148); #89134=LINE('',#538697,#128149); #89135=LINE('',#538699,#128150); #89136=LINE('',#538700,#128151); #89137=LINE('',#538703,#128152); #89138=LINE('',#538705,#128153); #89139=LINE('',#538706,#128154); #89140=LINE('',#538709,#128155); #89141=LINE('',#538711,#128156); #89142=LINE('',#538712,#128157); #89143=LINE('',#538715,#128158); #89144=LINE('',#538717,#128159); #89145=LINE('',#538718,#128160); #89146=LINE('',#538721,#128161); #89147=LINE('',#538723,#128162); #89148=LINE('',#538724,#128163); #89149=LINE('',#538727,#128164); #89150=LINE('',#538729,#128165); #89151=LINE('',#538730,#128166); #89152=LINE('',#538733,#128167); #89153=LINE('',#538735,#128168); #89154=LINE('',#538736,#128169); #89155=LINE('',#538739,#128170); #89156=LINE('',#538741,#128171); #89157=LINE('',#538742,#128172); #89158=LINE('',#538745,#128173); #89159=LINE('',#538747,#128174); #89160=LINE('',#538748,#128175); #89161=LINE('',#538751,#128176); #89162=LINE('',#538753,#128177); #89163=LINE('',#538754,#128178); #89164=LINE('',#538757,#128179); #89165=LINE('',#538759,#128180); #89166=LINE('',#538760,#128181); #89167=LINE('',#538763,#128182); #89168=LINE('',#538765,#128183); #89169=LINE('',#538766,#128184); #89170=LINE('',#538769,#128185); #89171=LINE('',#538771,#128186); #89172=LINE('',#538772,#128187); #89173=LINE('',#538775,#128188); #89174=LINE('',#538777,#128189); #89175=LINE('',#538778,#128190); #89176=LINE('',#538781,#128191); #89177=LINE('',#538783,#128192); #89178=LINE('',#538784,#128193); #89179=LINE('',#538787,#128194); #89180=LINE('',#538789,#128195); #89181=LINE('',#538790,#128196); #89182=LINE('',#538793,#128197); #89183=LINE('',#538795,#128198); #89184=LINE('',#538796,#128199); #89185=LINE('',#538799,#128200); #89186=LINE('',#538801,#128201); #89187=LINE('',#538802,#128202); #89188=LINE('',#538805,#128203); #89189=LINE('',#538807,#128204); #89190=LINE('',#538808,#128205); #89191=LINE('',#538811,#128206); #89192=LINE('',#538813,#128207); #89193=LINE('',#538814,#128208); #89194=LINE('',#538817,#128209); #89195=LINE('',#538819,#128210); #89196=LINE('',#538820,#128211); #89197=LINE('',#538823,#128212); #89198=LINE('',#538825,#128213); #89199=LINE('',#538826,#128214); #89200=LINE('',#538829,#128215); #89201=LINE('',#538831,#128216); #89202=LINE('',#538832,#128217); #89203=LINE('',#538835,#128218); #89204=LINE('',#538837,#128219); #89205=LINE('',#538838,#128220); #89206=LINE('',#538841,#128221); #89207=LINE('',#538843,#128222); #89208=LINE('',#538844,#128223); #89209=LINE('',#538847,#128224); #89210=LINE('',#538849,#128225); #89211=LINE('',#538850,#128226); #89212=LINE('',#538853,#128227); #89213=LINE('',#538855,#128228); #89214=LINE('',#538856,#128229); #89215=LINE('',#538859,#128230); #89216=LINE('',#538861,#128231); #89217=LINE('',#538862,#128232); #89218=LINE('',#538865,#128233); #89219=LINE('',#538867,#128234); #89220=LINE('',#538868,#128235); #89221=LINE('',#538871,#128236); #89222=LINE('',#538873,#128237); #89223=LINE('',#538874,#128238); #89224=LINE('',#538877,#128239); #89225=LINE('',#538879,#128240); #89226=LINE('',#538880,#128241); #89227=LINE('',#538883,#128242); #89228=LINE('',#538885,#128243); #89229=LINE('',#538886,#128244); #89230=LINE('',#538889,#128245); #89231=LINE('',#538891,#128246); #89232=LINE('',#538892,#128247); #89233=LINE('',#538895,#128248); #89234=LINE('',#538897,#128249); #89235=LINE('',#538898,#128250); #89236=LINE('',#538901,#128251); #89237=LINE('',#538903,#128252); #89238=LINE('',#538904,#128253); #89239=LINE('',#538907,#128254); #89240=LINE('',#538909,#128255); #89241=LINE('',#538910,#128256); #89242=LINE('',#538913,#128257); #89243=LINE('',#538915,#128258); #89244=LINE('',#538916,#128259); #89245=LINE('',#538919,#128260); #89246=LINE('',#538921,#128261); #89247=LINE('',#538922,#128262); #89248=LINE('',#538925,#128263); #89249=LINE('',#538927,#128264); #89250=LINE('',#538928,#128265); #89251=LINE('',#538931,#128266); #89252=LINE('',#538933,#128267); #89253=LINE('',#538934,#128268); #89254=LINE('',#538937,#128269); #89255=LINE('',#538939,#128270); #89256=LINE('',#538940,#128271); #89257=LINE('',#538943,#128272); #89258=LINE('',#538945,#128273); #89259=LINE('',#538946,#128274); #89260=LINE('',#538949,#128275); #89261=LINE('',#538951,#128276); #89262=LINE('',#538952,#128277); #89263=LINE('',#538955,#128278); #89264=LINE('',#538957,#128279); #89265=LINE('',#538958,#128280); #89266=LINE('',#538960,#128281); #89267=LINE('',#538961,#128282); #89268=LINE('',#538967,#128283); #89269=LINE('',#538969,#128284); #89270=LINE('',#538971,#128285); #89271=LINE('',#538972,#128286); #89272=LINE('',#538978,#128287); #89273=LINE('',#538981,#128288); #89274=LINE('',#538983,#128289); #89275=LINE('',#538984,#128290); #89276=LINE('',#538991,#128291); #89277=LINE('',#538993,#128292); #89278=LINE('',#538995,#128293); #89279=LINE('',#538996,#128294); #89280=LINE('',#538999,#128295); #89281=LINE('',#539001,#128296); #89282=LINE('',#539002,#128297); #89283=LINE('',#539005,#128298); #89284=LINE('',#539007,#128299); #89285=LINE('',#539008,#128300); #89286=LINE('',#539011,#128301); #89287=LINE('',#539013,#128302); #89288=LINE('',#539014,#128303); #89289=LINE('',#539017,#128304); #89290=LINE('',#539019,#128305); #89291=LINE('',#539020,#128306); #89292=LINE('',#539023,#128307); #89293=LINE('',#539025,#128308); #89294=LINE('',#539026,#128309); #89295=LINE('',#539029,#128310); #89296=LINE('',#539031,#128311); #89297=LINE('',#539032,#128312); #89298=LINE('',#539035,#128313); #89299=LINE('',#539037,#128314); #89300=LINE('',#539038,#128315); #89301=LINE('',#539041,#128316); #89302=LINE('',#539043,#128317); #89303=LINE('',#539044,#128318); #89304=LINE('',#539047,#128319); #89305=LINE('',#539049,#128320); #89306=LINE('',#539050,#128321); #89307=LINE('',#539053,#128322); #89308=LINE('',#539055,#128323); #89309=LINE('',#539056,#128324); #89310=LINE('',#539059,#128325); #89311=LINE('',#539061,#128326); #89312=LINE('',#539062,#128327); #89313=LINE('',#539065,#128328); #89314=LINE('',#539067,#128329); #89315=LINE('',#539068,#128330); #89316=LINE('',#539071,#128331); #89317=LINE('',#539073,#128332); #89318=LINE('',#539074,#128333); #89319=LINE('',#539077,#128334); #89320=LINE('',#539079,#128335); #89321=LINE('',#539080,#128336); #89322=LINE('',#539083,#128337); #89323=LINE('',#539085,#128338); #89324=LINE('',#539086,#128339); #89325=LINE('',#539089,#128340); #89326=LINE('',#539091,#128341); #89327=LINE('',#539092,#128342); #89328=LINE('',#539095,#128343); #89329=LINE('',#539097,#128344); #89330=LINE('',#539098,#128345); #89331=LINE('',#539101,#128346); #89332=LINE('',#539103,#128347); #89333=LINE('',#539104,#128348); #89334=LINE('',#539107,#128349); #89335=LINE('',#539109,#128350); #89336=LINE('',#539110,#128351); #89337=LINE('',#539113,#128352); #89338=LINE('',#539115,#128353); #89339=LINE('',#539116,#128354); #89340=LINE('',#539119,#128355); #89341=LINE('',#539121,#128356); #89342=LINE('',#539122,#128357); #89343=LINE('',#539125,#128358); #89344=LINE('',#539127,#128359); #89345=LINE('',#539128,#128360); #89346=LINE('',#539131,#128361); #89347=LINE('',#539133,#128362); #89348=LINE('',#539134,#128363); #89349=LINE('',#539137,#128364); #89350=LINE('',#539139,#128365); #89351=LINE('',#539140,#128366); #89352=LINE('',#539143,#128367); #89353=LINE('',#539145,#128368); #89354=LINE('',#539146,#128369); #89355=LINE('',#539149,#128370); #89356=LINE('',#539151,#128371); #89357=LINE('',#539152,#128372); #89358=LINE('',#539155,#128373); #89359=LINE('',#539157,#128374); #89360=LINE('',#539158,#128375); #89361=LINE('',#539161,#128376); #89362=LINE('',#539163,#128377); #89363=LINE('',#539164,#128378); #89364=LINE('',#539167,#128379); #89365=LINE('',#539169,#128380); #89366=LINE('',#539170,#128381); #89367=LINE('',#539173,#128382); #89368=LINE('',#539175,#128383); #89369=LINE('',#539176,#128384); #89370=LINE('',#539179,#128385); #89371=LINE('',#539181,#128386); #89372=LINE('',#539182,#128387); #89373=LINE('',#539185,#128388); #89374=LINE('',#539187,#128389); #89375=LINE('',#539188,#128390); #89376=LINE('',#539191,#128391); #89377=LINE('',#539193,#128392); #89378=LINE('',#539194,#128393); #89379=LINE('',#539197,#128394); #89380=LINE('',#539199,#128395); #89381=LINE('',#539200,#128396); #89382=LINE('',#539203,#128397); #89383=LINE('',#539205,#128398); #89384=LINE('',#539206,#128399); #89385=LINE('',#539209,#128400); #89386=LINE('',#539211,#128401); #89387=LINE('',#539212,#128402); #89388=LINE('',#539215,#128403); #89389=LINE('',#539217,#128404); #89390=LINE('',#539218,#128405); #89391=LINE('',#539221,#128406); #89392=LINE('',#539223,#128407); #89393=LINE('',#539224,#128408); #89394=LINE('',#539227,#128409); #89395=LINE('',#539229,#128410); #89396=LINE('',#539230,#128411); #89397=LINE('',#539233,#128412); #89398=LINE('',#539235,#128413); #89399=LINE('',#539236,#128414); #89400=LINE('',#539239,#128415); #89401=LINE('',#539241,#128416); #89402=LINE('',#539242,#128417); #89403=LINE('',#539245,#128418); #89404=LINE('',#539247,#128419); #89405=LINE('',#539248,#128420); #89406=LINE('',#539251,#128421); #89407=LINE('',#539253,#128422); #89408=LINE('',#539254,#128423); #89409=LINE('',#539257,#128424); #89410=LINE('',#539259,#128425); #89411=LINE('',#539260,#128426); #89412=LINE('',#539263,#128427); #89413=LINE('',#539265,#128428); #89414=LINE('',#539266,#128429); #89415=LINE('',#539269,#128430); #89416=LINE('',#539271,#128431); #89417=LINE('',#539272,#128432); #89418=LINE('',#539275,#128433); #89419=LINE('',#539277,#128434); #89420=LINE('',#539278,#128435); #89421=LINE('',#539281,#128436); #89422=LINE('',#539283,#128437); #89423=LINE('',#539284,#128438); #89424=LINE('',#539287,#128439); #89425=LINE('',#539289,#128440); #89426=LINE('',#539290,#128441); #89427=LINE('',#539293,#128442); #89428=LINE('',#539295,#128443); #89429=LINE('',#539296,#128444); #89430=LINE('',#539299,#128445); #89431=LINE('',#539301,#128446); #89432=LINE('',#539302,#128447); #89433=LINE('',#539305,#128448); #89434=LINE('',#539307,#128449); #89435=LINE('',#539308,#128450); #89436=LINE('',#539311,#128451); #89437=LINE('',#539313,#128452); #89438=LINE('',#539314,#128453); #89439=LINE('',#539317,#128454); #89440=LINE('',#539319,#128455); #89441=LINE('',#539320,#128456); #89442=LINE('',#539323,#128457); #89443=LINE('',#539325,#128458); #89444=LINE('',#539326,#128459); #89445=LINE('',#539329,#128460); #89446=LINE('',#539331,#128461); #89447=LINE('',#539332,#128462); #89448=LINE('',#539335,#128463); #89449=LINE('',#539337,#128464); #89450=LINE('',#539338,#128465); #89451=LINE('',#539341,#128466); #89452=LINE('',#539343,#128467); #89453=LINE('',#539344,#128468); #89454=LINE('',#539347,#128469); #89455=LINE('',#539349,#128470); #89456=LINE('',#539350,#128471); #89457=LINE('',#539353,#128472); #89458=LINE('',#539355,#128473); #89459=LINE('',#539356,#128474); #89460=LINE('',#539359,#128475); #89461=LINE('',#539361,#128476); #89462=LINE('',#539362,#128477); #89463=LINE('',#539365,#128478); #89464=LINE('',#539367,#128479); #89465=LINE('',#539368,#128480); #89466=LINE('',#539371,#128481); #89467=LINE('',#539373,#128482); #89468=LINE('',#539374,#128483); #89469=LINE('',#539377,#128484); #89470=LINE('',#539379,#128485); #89471=LINE('',#539380,#128486); #89472=LINE('',#539383,#128487); #89473=LINE('',#539385,#128488); #89474=LINE('',#539386,#128489); #89475=LINE('',#539389,#128490); #89476=LINE('',#539391,#128491); #89477=LINE('',#539392,#128492); #89478=LINE('',#539395,#128493); #89479=LINE('',#539397,#128494); #89480=LINE('',#539398,#128495); #89481=LINE('',#539401,#128496); #89482=LINE('',#539403,#128497); #89483=LINE('',#539404,#128498); #89484=LINE('',#539407,#128499); #89485=LINE('',#539409,#128500); #89486=LINE('',#539410,#128501); #89487=LINE('',#539413,#128502); #89488=LINE('',#539415,#128503); #89489=LINE('',#539416,#128504); #89490=LINE('',#539418,#128505); #89491=LINE('',#539419,#128506); #89492=LINE('',#539425,#128507); #89493=LINE('',#539427,#128508); #89494=LINE('',#539429,#128509); #89495=LINE('',#539430,#128510); #89496=LINE('',#539436,#128511); #89497=LINE('',#539439,#128512); #89498=LINE('',#539441,#128513); #89499=LINE('',#539442,#128514); #89500=LINE('',#539449,#128515); #89501=LINE('',#539451,#128516); #89502=LINE('',#539453,#128517); #89503=LINE('',#539454,#128518); #89504=LINE('',#539457,#128519); #89505=LINE('',#539459,#128520); #89506=LINE('',#539460,#128521); #89507=LINE('',#539463,#128522); #89508=LINE('',#539465,#128523); #89509=LINE('',#539466,#128524); #89510=LINE('',#539469,#128525); #89511=LINE('',#539471,#128526); #89512=LINE('',#539472,#128527); #89513=LINE('',#539475,#128528); #89514=LINE('',#539477,#128529); #89515=LINE('',#539478,#128530); #89516=LINE('',#539481,#128531); #89517=LINE('',#539483,#128532); #89518=LINE('',#539484,#128533); #89519=LINE('',#539487,#128534); #89520=LINE('',#539489,#128535); #89521=LINE('',#539490,#128536); #89522=LINE('',#539493,#128537); #89523=LINE('',#539495,#128538); #89524=LINE('',#539496,#128539); #89525=LINE('',#539499,#128540); #89526=LINE('',#539501,#128541); #89527=LINE('',#539502,#128542); #89528=LINE('',#539505,#128543); #89529=LINE('',#539507,#128544); #89530=LINE('',#539508,#128545); #89531=LINE('',#539511,#128546); #89532=LINE('',#539513,#128547); #89533=LINE('',#539514,#128548); #89534=LINE('',#539517,#128549); #89535=LINE('',#539519,#128550); #89536=LINE('',#539520,#128551); #89537=LINE('',#539523,#128552); #89538=LINE('',#539525,#128553); #89539=LINE('',#539526,#128554); #89540=LINE('',#539529,#128555); #89541=LINE('',#539531,#128556); #89542=LINE('',#539532,#128557); #89543=LINE('',#539535,#128558); #89544=LINE('',#539537,#128559); #89545=LINE('',#539538,#128560); #89546=LINE('',#539541,#128561); #89547=LINE('',#539543,#128562); #89548=LINE('',#539544,#128563); #89549=LINE('',#539547,#128564); #89550=LINE('',#539549,#128565); #89551=LINE('',#539550,#128566); #89552=LINE('',#539553,#128567); #89553=LINE('',#539555,#128568); #89554=LINE('',#539556,#128569); #89555=LINE('',#539559,#128570); #89556=LINE('',#539561,#128571); #89557=LINE('',#539562,#128572); #89558=LINE('',#539565,#128573); #89559=LINE('',#539567,#128574); #89560=LINE('',#539568,#128575); #89561=LINE('',#539571,#128576); #89562=LINE('',#539573,#128577); #89563=LINE('',#539574,#128578); #89564=LINE('',#539577,#128579); #89565=LINE('',#539579,#128580); #89566=LINE('',#539580,#128581); #89567=LINE('',#539583,#128582); #89568=LINE('',#539585,#128583); #89569=LINE('',#539586,#128584); #89570=LINE('',#539589,#128585); #89571=LINE('',#539591,#128586); #89572=LINE('',#539592,#128587); #89573=LINE('',#539595,#128588); #89574=LINE('',#539597,#128589); #89575=LINE('',#539598,#128590); #89576=LINE('',#539601,#128591); #89577=LINE('',#539603,#128592); #89578=LINE('',#539604,#128593); #89579=LINE('',#539607,#128594); #89580=LINE('',#539609,#128595); #89581=LINE('',#539610,#128596); #89582=LINE('',#539613,#128597); #89583=LINE('',#539615,#128598); #89584=LINE('',#539616,#128599); #89585=LINE('',#539619,#128600); #89586=LINE('',#539621,#128601); #89587=LINE('',#539622,#128602); #89588=LINE('',#539625,#128603); #89589=LINE('',#539627,#128604); #89590=LINE('',#539628,#128605); #89591=LINE('',#539631,#128606); #89592=LINE('',#539633,#128607); #89593=LINE('',#539634,#128608); #89594=LINE('',#539637,#128609); #89595=LINE('',#539639,#128610); #89596=LINE('',#539640,#128611); #89597=LINE('',#539643,#128612); #89598=LINE('',#539645,#128613); #89599=LINE('',#539646,#128614); #89600=LINE('',#539649,#128615); #89601=LINE('',#539651,#128616); #89602=LINE('',#539652,#128617); #89603=LINE('',#539655,#128618); #89604=LINE('',#539657,#128619); #89605=LINE('',#539658,#128620); #89606=LINE('',#539661,#128621); #89607=LINE('',#539663,#128622); #89608=LINE('',#539664,#128623); #89609=LINE('',#539667,#128624); #89610=LINE('',#539669,#128625); #89611=LINE('',#539670,#128626); #89612=LINE('',#539673,#128627); #89613=LINE('',#539675,#128628); #89614=LINE('',#539676,#128629); #89615=LINE('',#539679,#128630); #89616=LINE('',#539681,#128631); #89617=LINE('',#539682,#128632); #89618=LINE('',#539685,#128633); #89619=LINE('',#539687,#128634); #89620=LINE('',#539688,#128635); #89621=LINE('',#539691,#128636); #89622=LINE('',#539693,#128637); #89623=LINE('',#539694,#128638); #89624=LINE('',#539697,#128639); #89625=LINE('',#539699,#128640); #89626=LINE('',#539700,#128641); #89627=LINE('',#539703,#128642); #89628=LINE('',#539705,#128643); #89629=LINE('',#539706,#128644); #89630=LINE('',#539709,#128645); #89631=LINE('',#539711,#128646); #89632=LINE('',#539712,#128647); #89633=LINE('',#539715,#128648); #89634=LINE('',#539717,#128649); #89635=LINE('',#539718,#128650); #89636=LINE('',#539721,#128651); #89637=LINE('',#539723,#128652); #89638=LINE('',#539724,#128653); #89639=LINE('',#539727,#128654); #89640=LINE('',#539729,#128655); #89641=LINE('',#539730,#128656); #89642=LINE('',#539733,#128657); #89643=LINE('',#539735,#128658); #89644=LINE('',#539736,#128659); #89645=LINE('',#539739,#128660); #89646=LINE('',#539741,#128661); #89647=LINE('',#539742,#128662); #89648=LINE('',#539745,#128663); #89649=LINE('',#539747,#128664); #89650=LINE('',#539748,#128665); #89651=LINE('',#539751,#128666); #89652=LINE('',#539753,#128667); #89653=LINE('',#539754,#128668); #89654=LINE('',#539757,#128669); #89655=LINE('',#539759,#128670); #89656=LINE('',#539760,#128671); #89657=LINE('',#539763,#128672); #89658=LINE('',#539765,#128673); #89659=LINE('',#539766,#128674); #89660=LINE('',#539769,#128675); #89661=LINE('',#539771,#128676); #89662=LINE('',#539772,#128677); #89663=LINE('',#539775,#128678); #89664=LINE('',#539777,#128679); #89665=LINE('',#539778,#128680); #89666=LINE('',#539780,#128681); #89667=LINE('',#539781,#128682); #89668=LINE('',#539788,#128683); #89669=LINE('',#539793,#128684); #89670=LINE('',#539795,#128685); #89671=LINE('',#539797,#128686); #89672=LINE('',#539798,#128687); #89673=LINE('',#539801,#128688); #89674=LINE('',#539803,#128689); #89675=LINE('',#539804,#128690); #89676=LINE('',#539807,#128691); #89677=LINE('',#539809,#128692); #89678=LINE('',#539810,#128693); #89679=LINE('',#539812,#128694); #89680=LINE('',#539813,#128695); #89681=LINE('',#539819,#128696); #89682=LINE('',#539821,#128697); #89683=LINE('',#539823,#128698); #89684=LINE('',#539824,#128699); #89685=LINE('',#539830,#128700); #89686=LINE('',#539833,#128701); #89687=LINE('',#539835,#128702); #89688=LINE('',#539836,#128703); #89689=LINE('',#539843,#128704); #89690=LINE('',#539845,#128705); #89691=LINE('',#539847,#128706); #89692=LINE('',#539848,#128707); #89693=LINE('',#539851,#128708); #89694=LINE('',#539853,#128709); #89695=LINE('',#539854,#128710); #89696=LINE('',#539857,#128711); #89697=LINE('',#539859,#128712); #89698=LINE('',#539860,#128713); #89699=LINE('',#539863,#128714); #89700=LINE('',#539865,#128715); #89701=LINE('',#539866,#128716); #89702=LINE('',#539869,#128717); #89703=LINE('',#539871,#128718); #89704=LINE('',#539872,#128719); #89705=LINE('',#539875,#128720); #89706=LINE('',#539877,#128721); #89707=LINE('',#539878,#128722); #89708=LINE('',#539881,#128723); #89709=LINE('',#539883,#128724); #89710=LINE('',#539884,#128725); #89711=LINE('',#539887,#128726); #89712=LINE('',#539889,#128727); #89713=LINE('',#539890,#128728); #89714=LINE('',#539893,#128729); #89715=LINE('',#539895,#128730); #89716=LINE('',#539896,#128731); #89717=LINE('',#539899,#128732); #89718=LINE('',#539901,#128733); #89719=LINE('',#539902,#128734); #89720=LINE('',#539905,#128735); #89721=LINE('',#539907,#128736); #89722=LINE('',#539908,#128737); #89723=LINE('',#539911,#128738); #89724=LINE('',#539913,#128739); #89725=LINE('',#539914,#128740); #89726=LINE('',#539917,#128741); #89727=LINE('',#539919,#128742); #89728=LINE('',#539920,#128743); #89729=LINE('',#539923,#128744); #89730=LINE('',#539925,#128745); #89731=LINE('',#539926,#128746); #89732=LINE('',#539929,#128747); #89733=LINE('',#539931,#128748); #89734=LINE('',#539932,#128749); #89735=LINE('',#539935,#128750); #89736=LINE('',#539937,#128751); #89737=LINE('',#539938,#128752); #89738=LINE('',#539941,#128753); #89739=LINE('',#539943,#128754); #89740=LINE('',#539944,#128755); #89741=LINE('',#539947,#128756); #89742=LINE('',#539949,#128757); #89743=LINE('',#539950,#128758); #89744=LINE('',#539953,#128759); #89745=LINE('',#539955,#128760); #89746=LINE('',#539956,#128761); #89747=LINE('',#539959,#128762); #89748=LINE('',#539961,#128763); #89749=LINE('',#539962,#128764); #89750=LINE('',#539965,#128765); #89751=LINE('',#539967,#128766); #89752=LINE('',#539968,#128767); #89753=LINE('',#539971,#128768); #89754=LINE('',#539973,#128769); #89755=LINE('',#539974,#128770); #89756=LINE('',#539977,#128771); #89757=LINE('',#539979,#128772); #89758=LINE('',#539980,#128773); #89759=LINE('',#539983,#128774); #89760=LINE('',#539985,#128775); #89761=LINE('',#539986,#128776); #89762=LINE('',#539989,#128777); #89763=LINE('',#539991,#128778); #89764=LINE('',#539992,#128779); #89765=LINE('',#539995,#128780); #89766=LINE('',#539997,#128781); #89767=LINE('',#539998,#128782); #89768=LINE('',#540001,#128783); #89769=LINE('',#540003,#128784); #89770=LINE('',#540004,#128785); #89771=LINE('',#540007,#128786); #89772=LINE('',#540009,#128787); #89773=LINE('',#540010,#128788); #89774=LINE('',#540013,#128789); #89775=LINE('',#540015,#128790); #89776=LINE('',#540016,#128791); #89777=LINE('',#540019,#128792); #89778=LINE('',#540021,#128793); #89779=LINE('',#540022,#128794); #89780=LINE('',#540025,#128795); #89781=LINE('',#540027,#128796); #89782=LINE('',#540028,#128797); #89783=LINE('',#540031,#128798); #89784=LINE('',#540033,#128799); #89785=LINE('',#540034,#128800); #89786=LINE('',#540037,#128801); #89787=LINE('',#540039,#128802); #89788=LINE('',#540040,#128803); #89789=LINE('',#540043,#128804); #89790=LINE('',#540045,#128805); #89791=LINE('',#540046,#128806); #89792=LINE('',#540049,#128807); #89793=LINE('',#540051,#128808); #89794=LINE('',#540052,#128809); #89795=LINE('',#540055,#128810); #89796=LINE('',#540057,#128811); #89797=LINE('',#540058,#128812); #89798=LINE('',#540061,#128813); #89799=LINE('',#540063,#128814); #89800=LINE('',#540064,#128815); #89801=LINE('',#540067,#128816); #89802=LINE('',#540069,#128817); #89803=LINE('',#540070,#128818); #89804=LINE('',#540073,#128819); #89805=LINE('',#540075,#128820); #89806=LINE('',#540076,#128821); #89807=LINE('',#540079,#128822); #89808=LINE('',#540081,#128823); #89809=LINE('',#540082,#128824); #89810=LINE('',#540085,#128825); #89811=LINE('',#540087,#128826); #89812=LINE('',#540088,#128827); #89813=LINE('',#540091,#128828); #89814=LINE('',#540093,#128829); #89815=LINE('',#540094,#128830); #89816=LINE('',#540097,#128831); #89817=LINE('',#540099,#128832); #89818=LINE('',#540100,#128833); #89819=LINE('',#540103,#128834); #89820=LINE('',#540105,#128835); #89821=LINE('',#540106,#128836); #89822=LINE('',#540109,#128837); #89823=LINE('',#540111,#128838); #89824=LINE('',#540112,#128839); #89825=LINE('',#540115,#128840); #89826=LINE('',#540117,#128841); #89827=LINE('',#540118,#128842); #89828=LINE('',#540121,#128843); #89829=LINE('',#540123,#128844); #89830=LINE('',#540124,#128845); #89831=LINE('',#540127,#128846); #89832=LINE('',#540129,#128847); #89833=LINE('',#540130,#128848); #89834=LINE('',#540133,#128849); #89835=LINE('',#540135,#128850); #89836=LINE('',#540136,#128851); #89837=LINE('',#540139,#128852); #89838=LINE('',#540141,#128853); #89839=LINE('',#540142,#128854); #89840=LINE('',#540145,#128855); #89841=LINE('',#540147,#128856); #89842=LINE('',#540148,#128857); #89843=LINE('',#540151,#128858); #89844=LINE('',#540153,#128859); #89845=LINE('',#540154,#128860); #89846=LINE('',#540157,#128861); #89847=LINE('',#540159,#128862); #89848=LINE('',#540160,#128863); #89849=LINE('',#540163,#128864); #89850=LINE('',#540165,#128865); #89851=LINE('',#540166,#128866); #89852=LINE('',#540169,#128867); #89853=LINE('',#540171,#128868); #89854=LINE('',#540172,#128869); #89855=LINE('',#540174,#128870); #89856=LINE('',#540175,#128871); #89857=LINE('',#540181,#128872); #89858=LINE('',#540183,#128873); #89859=LINE('',#540185,#128874); #89860=LINE('',#540186,#128875); #89861=LINE('',#540192,#128876); #89862=LINE('',#540195,#128877); #89863=LINE('',#540197,#128878); #89864=LINE('',#540198,#128879); #89865=LINE('',#540205,#128880); #89866=LINE('',#540207,#128881); #89867=LINE('',#540209,#128882); #89868=LINE('',#540210,#128883); #89869=LINE('',#540213,#128884); #89870=LINE('',#540215,#128885); #89871=LINE('',#540216,#128886); #89872=LINE('',#540219,#128887); #89873=LINE('',#540221,#128888); #89874=LINE('',#540222,#128889); #89875=LINE('',#540225,#128890); #89876=LINE('',#540227,#128891); #89877=LINE('',#540228,#128892); #89878=LINE('',#540231,#128893); #89879=LINE('',#540233,#128894); #89880=LINE('',#540234,#128895); #89881=LINE('',#540237,#128896); #89882=LINE('',#540239,#128897); #89883=LINE('',#540240,#128898); #89884=LINE('',#540243,#128899); #89885=LINE('',#540245,#128900); #89886=LINE('',#540246,#128901); #89887=LINE('',#540249,#128902); #89888=LINE('',#540251,#128903); #89889=LINE('',#540252,#128904); #89890=LINE('',#540255,#128905); #89891=LINE('',#540257,#128906); #89892=LINE('',#540258,#128907); #89893=LINE('',#540261,#128908); #89894=LINE('',#540263,#128909); #89895=LINE('',#540264,#128910); #89896=LINE('',#540267,#128911); #89897=LINE('',#540269,#128912); #89898=LINE('',#540270,#128913); #89899=LINE('',#540273,#128914); #89900=LINE('',#540275,#128915); #89901=LINE('',#540276,#128916); #89902=LINE('',#540279,#128917); #89903=LINE('',#540281,#128918); #89904=LINE('',#540282,#128919); #89905=LINE('',#540285,#128920); #89906=LINE('',#540287,#128921); #89907=LINE('',#540288,#128922); #89908=LINE('',#540291,#128923); #89909=LINE('',#540293,#128924); #89910=LINE('',#540294,#128925); #89911=LINE('',#540297,#128926); #89912=LINE('',#540299,#128927); #89913=LINE('',#540300,#128928); #89914=LINE('',#540303,#128929); #89915=LINE('',#540305,#128930); #89916=LINE('',#540306,#128931); #89917=LINE('',#540309,#128932); #89918=LINE('',#540311,#128933); #89919=LINE('',#540312,#128934); #89920=LINE('',#540315,#128935); #89921=LINE('',#540317,#128936); #89922=LINE('',#540318,#128937); #89923=LINE('',#540321,#128938); #89924=LINE('',#540323,#128939); #89925=LINE('',#540324,#128940); #89926=LINE('',#540327,#128941); #89927=LINE('',#540329,#128942); #89928=LINE('',#540330,#128943); #89929=LINE('',#540333,#128944); #89930=LINE('',#540335,#128945); #89931=LINE('',#540336,#128946); #89932=LINE('',#540339,#128947); #89933=LINE('',#540341,#128948); #89934=LINE('',#540342,#128949); #89935=LINE('',#540345,#128950); #89936=LINE('',#540347,#128951); #89937=LINE('',#540348,#128952); #89938=LINE('',#540351,#128953); #89939=LINE('',#540353,#128954); #89940=LINE('',#540354,#128955); #89941=LINE('',#540357,#128956); #89942=LINE('',#540359,#128957); #89943=LINE('',#540360,#128958); #89944=LINE('',#540363,#128959); #89945=LINE('',#540365,#128960); #89946=LINE('',#540366,#128961); #89947=LINE('',#540369,#128962); #89948=LINE('',#540371,#128963); #89949=LINE('',#540372,#128964); #89950=LINE('',#540375,#128965); #89951=LINE('',#540377,#128966); #89952=LINE('',#540378,#128967); #89953=LINE('',#540381,#128968); #89954=LINE('',#540383,#128969); #89955=LINE('',#540384,#128970); #89956=LINE('',#540387,#128971); #89957=LINE('',#540389,#128972); #89958=LINE('',#540390,#128973); #89959=LINE('',#540393,#128974); #89960=LINE('',#540395,#128975); #89961=LINE('',#540396,#128976); #89962=LINE('',#540399,#128977); #89963=LINE('',#540401,#128978); #89964=LINE('',#540402,#128979); #89965=LINE('',#540405,#128980); #89966=LINE('',#540407,#128981); #89967=LINE('',#540408,#128982); #89968=LINE('',#540411,#128983); #89969=LINE('',#540413,#128984); #89970=LINE('',#540414,#128985); #89971=LINE('',#540417,#128986); #89972=LINE('',#540419,#128987); #89973=LINE('',#540420,#128988); #89974=LINE('',#540423,#128989); #89975=LINE('',#540425,#128990); #89976=LINE('',#540426,#128991); #89977=LINE('',#540429,#128992); #89978=LINE('',#540431,#128993); #89979=LINE('',#540432,#128994); #89980=LINE('',#540435,#128995); #89981=LINE('',#540437,#128996); #89982=LINE('',#540438,#128997); #89983=LINE('',#540441,#128998); #89984=LINE('',#540443,#128999); #89985=LINE('',#540444,#129000); #89986=LINE('',#540447,#129001); #89987=LINE('',#540449,#129002); #89988=LINE('',#540450,#129003); #89989=LINE('',#540453,#129004); #89990=LINE('',#540455,#129005); #89991=LINE('',#540456,#129006); #89992=LINE('',#540459,#129007); #89993=LINE('',#540461,#129008); #89994=LINE('',#540462,#129009); #89995=LINE('',#540465,#129010); #89996=LINE('',#540467,#129011); #89997=LINE('',#540468,#129012); #89998=LINE('',#540471,#129013); #89999=LINE('',#540473,#129014); #90000=LINE('',#540474,#129015); #90001=LINE('',#540477,#129016); #90002=LINE('',#540479,#129017); #90003=LINE('',#540480,#129018); #90004=LINE('',#540483,#129019); #90005=LINE('',#540485,#129020); #90006=LINE('',#540486,#129021); #90007=LINE('',#540489,#129022); #90008=LINE('',#540491,#129023); #90009=LINE('',#540492,#129024); #90010=LINE('',#540495,#129025); #90011=LINE('',#540497,#129026); #90012=LINE('',#540498,#129027); #90013=LINE('',#540501,#129028); #90014=LINE('',#540503,#129029); #90015=LINE('',#540504,#129030); #90016=LINE('',#540507,#129031); #90017=LINE('',#540509,#129032); #90018=LINE('',#540510,#129033); #90019=LINE('',#540513,#129034); #90020=LINE('',#540515,#129035); #90021=LINE('',#540516,#129036); #90022=LINE('',#540519,#129037); #90023=LINE('',#540521,#129038); #90024=LINE('',#540522,#129039); #90025=LINE('',#540525,#129040); #90026=LINE('',#540527,#129041); #90027=LINE('',#540528,#129042); #90028=LINE('',#540531,#129043); #90029=LINE('',#540533,#129044); #90030=LINE('',#540534,#129045); #90031=LINE('',#540537,#129046); #90032=LINE('',#540539,#129047); #90033=LINE('',#540540,#129048); #90034=LINE('',#540543,#129049); #90035=LINE('',#540545,#129050); #90036=LINE('',#540546,#129051); #90037=LINE('',#540549,#129052); #90038=LINE('',#540551,#129053); #90039=LINE('',#540552,#129054); #90040=LINE('',#540555,#129055); #90041=LINE('',#540557,#129056); #90042=LINE('',#540558,#129057); #90043=LINE('',#540561,#129058); #90044=LINE('',#540563,#129059); #90045=LINE('',#540564,#129060); #90046=LINE('',#540567,#129061); #90047=LINE('',#540569,#129062); #90048=LINE('',#540570,#129063); #90049=LINE('',#540573,#129064); #90050=LINE('',#540575,#129065); #90051=LINE('',#540576,#129066); #90052=LINE('',#540579,#129067); #90053=LINE('',#540581,#129068); #90054=LINE('',#540582,#129069); #90055=LINE('',#540585,#129070); #90056=LINE('',#540587,#129071); #90057=LINE('',#540588,#129072); #90058=LINE('',#540591,#129073); #90059=LINE('',#540593,#129074); #90060=LINE('',#540594,#129075); #90061=LINE('',#540597,#129076); #90062=LINE('',#540599,#129077); #90063=LINE('',#540600,#129078); #90064=LINE('',#540603,#129079); #90065=LINE('',#540605,#129080); #90066=LINE('',#540606,#129081); #90067=LINE('',#540609,#129082); #90068=LINE('',#540611,#129083); #90069=LINE('',#540612,#129084); #90070=LINE('',#540615,#129085); #90071=LINE('',#540617,#129086); #90072=LINE('',#540618,#129087); #90073=LINE('',#540621,#129088); #90074=LINE('',#540623,#129089); #90075=LINE('',#540624,#129090); #90076=LINE('',#540627,#129091); #90077=LINE('',#540629,#129092); #90078=LINE('',#540630,#129093); #90079=LINE('',#540632,#129094); #90080=LINE('',#540633,#129095); #90081=LINE('',#540640,#129096); #90082=LINE('',#540645,#129097); #90083=LINE('',#540647,#129098); #90084=LINE('',#540649,#129099); #90085=LINE('',#540650,#129100); #90086=LINE('',#540656,#129101); #90087=LINE('',#540666,#129102); #90088=LINE('',#540671,#129103); #90089=LINE('',#540673,#129104); #90090=LINE('',#540675,#129105); #90091=LINE('',#540676,#129106); #90092=LINE('',#540682,#129107); #90093=LINE('',#540691,#129108); #90094=LINE('',#540693,#129109); #90095=LINE('',#540695,#129110); #90096=LINE('',#540696,#129111); #90097=LINE('',#540702,#129112); #90098=LINE('',#540705,#129113); #90099=LINE('',#540707,#129114); #90100=LINE('',#540708,#129115); #90101=LINE('',#540715,#129116); #90102=LINE('',#540717,#129117); #90103=LINE('',#540719,#129118); #90104=LINE('',#540720,#129119); #90105=LINE('',#540723,#129120); #90106=LINE('',#540725,#129121); #90107=LINE('',#540726,#129122); #90108=LINE('',#540729,#129123); #90109=LINE('',#540731,#129124); #90110=LINE('',#540732,#129125); #90111=LINE('',#540735,#129126); #90112=LINE('',#540737,#129127); #90113=LINE('',#540738,#129128); #90114=LINE('',#540741,#129129); #90115=LINE('',#540743,#129130); #90116=LINE('',#540744,#129131); #90117=LINE('',#540747,#129132); #90118=LINE('',#540749,#129133); #90119=LINE('',#540750,#129134); #90120=LINE('',#540753,#129135); #90121=LINE('',#540755,#129136); #90122=LINE('',#540756,#129137); #90123=LINE('',#540759,#129138); #90124=LINE('',#540761,#129139); #90125=LINE('',#540762,#129140); #90126=LINE('',#540765,#129141); #90127=LINE('',#540767,#129142); #90128=LINE('',#540768,#129143); #90129=LINE('',#540771,#129144); #90130=LINE('',#540773,#129145); #90131=LINE('',#540774,#129146); #90132=LINE('',#540777,#129147); #90133=LINE('',#540779,#129148); #90134=LINE('',#540780,#129149); #90135=LINE('',#540783,#129150); #90136=LINE('',#540785,#129151); #90137=LINE('',#540786,#129152); #90138=LINE('',#540789,#129153); #90139=LINE('',#540791,#129154); #90140=LINE('',#540792,#129155); #90141=LINE('',#540795,#129156); #90142=LINE('',#540797,#129157); #90143=LINE('',#540798,#129158); #90144=LINE('',#540801,#129159); #90145=LINE('',#540803,#129160); #90146=LINE('',#540804,#129161); #90147=LINE('',#540807,#129162); #90148=LINE('',#540809,#129163); #90149=LINE('',#540810,#129164); #90150=LINE('',#540813,#129165); #90151=LINE('',#540815,#129166); #90152=LINE('',#540816,#129167); #90153=LINE('',#540819,#129168); #90154=LINE('',#540821,#129169); #90155=LINE('',#540822,#129170); #90156=LINE('',#540825,#129171); #90157=LINE('',#540827,#129172); #90158=LINE('',#540828,#129173); #90159=LINE('',#540831,#129174); #90160=LINE('',#540833,#129175); #90161=LINE('',#540834,#129176); #90162=LINE('',#540837,#129177); #90163=LINE('',#540839,#129178); #90164=LINE('',#540840,#129179); #90165=LINE('',#540843,#129180); #90166=LINE('',#540845,#129181); #90167=LINE('',#540846,#129182); #90168=LINE('',#540849,#129183); #90169=LINE('',#540851,#129184); #90170=LINE('',#540852,#129185); #90171=LINE('',#540855,#129186); #90172=LINE('',#540857,#129187); #90173=LINE('',#540858,#129188); #90174=LINE('',#540861,#129189); #90175=LINE('',#540863,#129190); #90176=LINE('',#540864,#129191); #90177=LINE('',#540867,#129192); #90178=LINE('',#540869,#129193); #90179=LINE('',#540870,#129194); #90180=LINE('',#540873,#129195); #90181=LINE('',#540875,#129196); #90182=LINE('',#540876,#129197); #90183=LINE('',#540879,#129198); #90184=LINE('',#540881,#129199); #90185=LINE('',#540882,#129200); #90186=LINE('',#540885,#129201); #90187=LINE('',#540887,#129202); #90188=LINE('',#540888,#129203); #90189=LINE('',#540891,#129204); #90190=LINE('',#540893,#129205); #90191=LINE('',#540894,#129206); #90192=LINE('',#540897,#129207); #90193=LINE('',#540899,#129208); #90194=LINE('',#540900,#129209); #90195=LINE('',#540903,#129210); #90196=LINE('',#540905,#129211); #90197=LINE('',#540906,#129212); #90198=LINE('',#540909,#129213); #90199=LINE('',#540911,#129214); #90200=LINE('',#540912,#129215); #90201=LINE('',#540915,#129216); #90202=LINE('',#540917,#129217); #90203=LINE('',#540918,#129218); #90204=LINE('',#540921,#129219); #90205=LINE('',#540923,#129220); #90206=LINE('',#540924,#129221); #90207=LINE('',#540927,#129222); #90208=LINE('',#540929,#129223); #90209=LINE('',#540930,#129224); #90210=LINE('',#540933,#129225); #90211=LINE('',#540935,#129226); #90212=LINE('',#540936,#129227); #90213=LINE('',#540939,#129228); #90214=LINE('',#540941,#129229); #90215=LINE('',#540942,#129230); #90216=LINE('',#540945,#129231); #90217=LINE('',#540947,#129232); #90218=LINE('',#540948,#129233); #90219=LINE('',#540951,#129234); #90220=LINE('',#540953,#129235); #90221=LINE('',#540954,#129236); #90222=LINE('',#540957,#129237); #90223=LINE('',#540959,#129238); #90224=LINE('',#540960,#129239); #90225=LINE('',#540963,#129240); #90226=LINE('',#540965,#129241); #90227=LINE('',#540966,#129242); #90228=LINE('',#540969,#129243); #90229=LINE('',#540971,#129244); #90230=LINE('',#540972,#129245); #90231=LINE('',#540975,#129246); #90232=LINE('',#540977,#129247); #90233=LINE('',#540978,#129248); #90234=LINE('',#540981,#129249); #90235=LINE('',#540983,#129250); #90236=LINE('',#540984,#129251); #90237=LINE('',#540987,#129252); #90238=LINE('',#540989,#129253); #90239=LINE('',#540990,#129254); #90240=LINE('',#540993,#129255); #90241=LINE('',#540995,#129256); #90242=LINE('',#540996,#129257); #90243=LINE('',#540999,#129258); #90244=LINE('',#541001,#129259); #90245=LINE('',#541002,#129260); #90246=LINE('',#541005,#129261); #90247=LINE('',#541007,#129262); #90248=LINE('',#541008,#129263); #90249=LINE('',#541011,#129264); #90250=LINE('',#541013,#129265); #90251=LINE('',#541014,#129266); #90252=LINE('',#541017,#129267); #90253=LINE('',#541019,#129268); #90254=LINE('',#541020,#129269); #90255=LINE('',#541023,#129270); #90256=LINE('',#541025,#129271); #90257=LINE('',#541026,#129272); #90258=LINE('',#541029,#129273); #90259=LINE('',#541031,#129274); #90260=LINE('',#541032,#129275); #90261=LINE('',#541035,#129276); #90262=LINE('',#541037,#129277); #90263=LINE('',#541038,#129278); #90264=LINE('',#541041,#129279); #90265=LINE('',#541043,#129280); #90266=LINE('',#541044,#129281); #90267=LINE('',#541046,#129282); #90268=LINE('',#541047,#129283); #90269=LINE('',#541054,#129284); #90270=LINE('',#541059,#129285); #90271=LINE('',#541061,#129286); #90272=LINE('',#541063,#129287); #90273=LINE('',#541064,#129288); #90274=LINE('',#541070,#129289); #90275=LINE('',#541080,#129290); #90276=LINE('',#541085,#129291); #90277=LINE('',#541087,#129292); #90278=LINE('',#541089,#129293); #90279=LINE('',#541090,#129294); #90280=LINE('',#541096,#129295); #90281=LINE('',#541106,#129296); #90282=LINE('',#541111,#129297); #90283=LINE('',#541113,#129298); #90284=LINE('',#541115,#129299); #90285=LINE('',#541116,#129300); #90286=LINE('',#541122,#129301); #90287=LINE('',#541132,#129302); #90288=LINE('',#541137,#129303); #90289=LINE('',#541139,#129304); #90290=LINE('',#541141,#129305); #90291=LINE('',#541142,#129306); #90292=LINE('',#541145,#129307); #90293=LINE('',#541147,#129308); #90294=LINE('',#541148,#129309); #90295=LINE('',#541151,#129310); #90296=LINE('',#541153,#129311); #90297=LINE('',#541154,#129312); #90298=LINE('',#541156,#129313); #90299=LINE('',#541157,#129314); #90300=LINE('',#541164,#129315); #90301=LINE('',#541169,#129316); #90302=LINE('',#541171,#129317); #90303=LINE('',#541173,#129318); #90304=LINE('',#541174,#129319); #90305=LINE('',#541180,#129320); #90306=LINE('',#541189,#129321); #90307=LINE('',#541191,#129322); #90308=LINE('',#541193,#129323); #90309=LINE('',#541194,#129324); #90310=LINE('',#541197,#129325); #90311=LINE('',#541199,#129326); #90312=LINE('',#541200,#129327); #90313=LINE('',#541203,#129328); #90314=LINE('',#541205,#129329); #90315=LINE('',#541206,#129330); #90316=LINE('',#541208,#129331); #90317=LINE('',#541209,#129332); #90318=LINE('',#541215,#129333); #90319=LINE('',#541217,#129334); #90320=LINE('',#541219,#129335); #90321=LINE('',#541220,#129336); #90322=LINE('',#541223,#129337); #90323=LINE('',#541225,#129338); #90324=LINE('',#541226,#129339); #90325=LINE('',#541229,#129340); #90326=LINE('',#541231,#129341); #90327=LINE('',#541232,#129342); #90328=LINE('',#541234,#129343); #90329=LINE('',#541235,#129344); #90330=LINE('',#541241,#129345); #90331=LINE('',#541243,#129346); #90332=LINE('',#541245,#129347); #90333=LINE('',#541246,#129348); #90334=LINE('',#541249,#129349); #90335=LINE('',#541251,#129350); #90336=LINE('',#541252,#129351); #90337=LINE('',#541255,#129352); #90338=LINE('',#541257,#129353); #90339=LINE('',#541258,#129354); #90340=LINE('',#541260,#129355); #90341=LINE('',#541261,#129356); #90342=LINE('',#541267,#129357); #90343=LINE('',#541269,#129358); #90344=LINE('',#541271,#129359); #90345=LINE('',#541272,#129360); #90346=LINE('',#541275,#129361); #90347=LINE('',#541277,#129362); #90348=LINE('',#541278,#129363); #90349=LINE('',#541281,#129364); #90350=LINE('',#541283,#129365); #90351=LINE('',#541284,#129366); #90352=LINE('',#541286,#129367); #90353=LINE('',#541287,#129368); #90354=LINE('',#541293,#129369); #90355=LINE('',#541295,#129370); #90356=LINE('',#541297,#129371); #90357=LINE('',#541298,#129372); #90358=LINE('',#541301,#129373); #90359=LINE('',#541303,#129374); #90360=LINE('',#541304,#129375); #90361=LINE('',#541307,#129376); #90362=LINE('',#541309,#129377); #90363=LINE('',#541310,#129378); #90364=LINE('',#541312,#129379); #90365=LINE('',#541313,#129380); #90366=LINE('',#541319,#129381); #90367=LINE('',#541321,#129382); #90368=LINE('',#541323,#129383); #90369=LINE('',#541324,#129384); #90370=LINE('',#541327,#129385); #90371=LINE('',#541329,#129386); #90372=LINE('',#541330,#129387); #90373=LINE('',#541333,#129388); #90374=LINE('',#541335,#129389); #90375=LINE('',#541336,#129390); #90376=LINE('',#541338,#129391); #90377=LINE('',#541339,#129392); #90378=LINE('',#541345,#129393); #90379=LINE('',#541347,#129394); #90380=LINE('',#541349,#129395); #90381=LINE('',#541350,#129396); #90382=LINE('',#541353,#129397); #90383=LINE('',#541355,#129398); #90384=LINE('',#541356,#129399); #90385=LINE('',#541359,#129400); #90386=LINE('',#541361,#129401); #90387=LINE('',#541362,#129402); #90388=LINE('',#541364,#129403); #90389=LINE('',#541365,#129404); #90390=LINE('',#541371,#129405); #90391=LINE('',#541373,#129406); #90392=LINE('',#541375,#129407); #90393=LINE('',#541376,#129408); #90394=LINE('',#541379,#129409); #90395=LINE('',#541381,#129410); #90396=LINE('',#541382,#129411); #90397=LINE('',#541385,#129412); #90398=LINE('',#541387,#129413); #90399=LINE('',#541388,#129414); #90400=LINE('',#541390,#129415); #90401=LINE('',#541391,#129416); #90402=LINE('',#541397,#129417); #90403=LINE('',#541399,#129418); #90404=LINE('',#541401,#129419); #90405=LINE('',#541402,#129420); #90406=LINE('',#541405,#129421); #90407=LINE('',#541407,#129422); #90408=LINE('',#541408,#129423); #90409=LINE('',#541411,#129424); #90410=LINE('',#541413,#129425); #90411=LINE('',#541414,#129426); #90412=LINE('',#541416,#129427); #90413=LINE('',#541417,#129428); #90414=LINE('',#541423,#129429); #90415=LINE('',#541425,#129430); #90416=LINE('',#541427,#129431); #90417=LINE('',#541428,#129432); #90418=LINE('',#541431,#129433); #90419=LINE('',#541433,#129434); #90420=LINE('',#541434,#129435); #90421=LINE('',#541437,#129436); #90422=LINE('',#541439,#129437); #90423=LINE('',#541440,#129438); #90424=LINE('',#541442,#129439); #90425=LINE('',#541443,#129440); #90426=LINE('',#541449,#129441); #90427=LINE('',#541451,#129442); #90428=LINE('',#541453,#129443); #90429=LINE('',#541454,#129444); #90430=LINE('',#541457,#129445); #90431=LINE('',#541459,#129446); #90432=LINE('',#541460,#129447); #90433=LINE('',#541463,#129448); #90434=LINE('',#541465,#129449); #90435=LINE('',#541466,#129450); #90436=LINE('',#541468,#129451); #90437=LINE('',#541469,#129452); #90438=LINE('',#541475,#129453); #90439=LINE('',#541477,#129454); #90440=LINE('',#541479,#129455); #90441=LINE('',#541480,#129456); #90442=LINE('',#541483,#129457); #90443=LINE('',#541485,#129458); #90444=LINE('',#541486,#129459); #90445=LINE('',#541489,#129460); #90446=LINE('',#541491,#129461); #90447=LINE('',#541492,#129462); #90448=LINE('',#541494,#129463); #90449=LINE('',#541495,#129464); #90450=LINE('',#541501,#129465); #90451=LINE('',#541503,#129466); #90452=LINE('',#541505,#129467); #90453=LINE('',#541506,#129468); #90454=LINE('',#541509,#129469); #90455=LINE('',#541511,#129470); #90456=LINE('',#541512,#129471); #90457=LINE('',#541515,#129472); #90458=LINE('',#541517,#129473); #90459=LINE('',#541518,#129474); #90460=LINE('',#541520,#129475); #90461=LINE('',#541521,#129476); #90462=LINE('',#541528,#129477); #90463=LINE('',#541534,#129478); #90464=LINE('',#541540,#129479); #90465=LINE('',#541546,#129480); #90466=LINE('',#541552,#129481); #90467=LINE('',#541558,#129482); #90468=LINE('',#541563,#129483); #90469=LINE('',#541565,#129484); #90470=LINE('',#541567,#129485); #90471=LINE('',#541568,#129486); #90472=LINE('',#541571,#129487); #90473=LINE('',#541573,#129488); #90474=LINE('',#541574,#129489); #90475=LINE('',#541577,#129490); #90476=LINE('',#541579,#129491); #90477=LINE('',#541580,#129492); #90478=LINE('',#541582,#129493); #90479=LINE('',#541583,#129494); #90480=LINE('',#541589,#129495); #90481=LINE('',#541591,#129496); #90482=LINE('',#541593,#129497); #90483=LINE('',#541594,#129498); #90484=LINE('',#541597,#129499); #90485=LINE('',#541599,#129500); #90486=LINE('',#541600,#129501); #90487=LINE('',#541603,#129502); #90488=LINE('',#541605,#129503); #90489=LINE('',#541606,#129504); #90490=LINE('',#541608,#129505); #90491=LINE('',#541609,#129506); #90492=LINE('',#541615,#129507); #90493=LINE('',#541617,#129508); #90494=LINE('',#541619,#129509); #90495=LINE('',#541620,#129510); #90496=LINE('',#541623,#129511); #90497=LINE('',#541625,#129512); #90498=LINE('',#541626,#129513); #90499=LINE('',#541629,#129514); #90500=LINE('',#541631,#129515); #90501=LINE('',#541632,#129516); #90502=LINE('',#541634,#129517); #90503=LINE('',#541635,#129518); #90504=LINE('',#541641,#129519); #90505=LINE('',#541643,#129520); #90506=LINE('',#541645,#129521); #90507=LINE('',#541646,#129522); #90508=LINE('',#541649,#129523); #90509=LINE('',#541651,#129524); #90510=LINE('',#541652,#129525); #90511=LINE('',#541655,#129526); #90512=LINE('',#541657,#129527); #90513=LINE('',#541658,#129528); #90514=LINE('',#541660,#129529); #90515=LINE('',#541661,#129530); #90516=LINE('',#541667,#129531); #90517=LINE('',#541669,#129532); #90518=LINE('',#541671,#129533); #90519=LINE('',#541672,#129534); #90520=LINE('',#541675,#129535); #90521=LINE('',#541677,#129536); #90522=LINE('',#541678,#129537); #90523=LINE('',#541681,#129538); #90524=LINE('',#541683,#129539); #90525=LINE('',#541684,#129540); #90526=LINE('',#541686,#129541); #90527=LINE('',#541687,#129542); #90528=LINE('',#541693,#129543); #90529=LINE('',#541695,#129544); #90530=LINE('',#541697,#129545); #90531=LINE('',#541698,#129546); #90532=LINE('',#541701,#129547); #90533=LINE('',#541703,#129548); #90534=LINE('',#541704,#129549); #90535=LINE('',#541707,#129550); #90536=LINE('',#541709,#129551); #90537=LINE('',#541710,#129552); #90538=LINE('',#541712,#129553); #90539=LINE('',#541713,#129554); #90540=LINE('',#541719,#129555); #90541=LINE('',#541721,#129556); #90542=LINE('',#541723,#129557); #90543=LINE('',#541724,#129558); #90544=LINE('',#541727,#129559); #90545=LINE('',#541729,#129560); #90546=LINE('',#541730,#129561); #90547=LINE('',#541733,#129562); #90548=LINE('',#541735,#129563); #90549=LINE('',#541736,#129564); #90550=LINE('',#541738,#129565); #90551=LINE('',#541739,#129566); #90552=LINE('',#541745,#129567); #90553=LINE('',#541747,#129568); #90554=LINE('',#541749,#129569); #90555=LINE('',#541750,#129570); #90556=LINE('',#541753,#129571); #90557=LINE('',#541755,#129572); #90558=LINE('',#541756,#129573); #90559=LINE('',#541759,#129574); #90560=LINE('',#541761,#129575); #90561=LINE('',#541762,#129576); #90562=LINE('',#541764,#129577); #90563=LINE('',#541765,#129578); #90564=LINE('',#541771,#129579); #90565=LINE('',#541773,#129580); #90566=LINE('',#541775,#129581); #90567=LINE('',#541776,#129582); #90568=LINE('',#541779,#129583); #90569=LINE('',#541781,#129584); #90570=LINE('',#541782,#129585); #90571=LINE('',#541785,#129586); #90572=LINE('',#541787,#129587); #90573=LINE('',#541788,#129588); #90574=LINE('',#541790,#129589); #90575=LINE('',#541791,#129590); #90576=LINE('',#541797,#129591); #90577=LINE('',#541799,#129592); #90578=LINE('',#541801,#129593); #90579=LINE('',#541802,#129594); #90580=LINE('',#541805,#129595); #90581=LINE('',#541807,#129596); #90582=LINE('',#541808,#129597); #90583=LINE('',#541811,#129598); #90584=LINE('',#541813,#129599); #90585=LINE('',#541814,#129600); #90586=LINE('',#541816,#129601); #90587=LINE('',#541817,#129602); #90588=LINE('',#541823,#129603); #90589=LINE('',#541825,#129604); #90590=LINE('',#541827,#129605); #90591=LINE('',#541828,#129606); #90592=LINE('',#541831,#129607); #90593=LINE('',#541833,#129608); #90594=LINE('',#541834,#129609); #90595=LINE('',#541837,#129610); #90596=LINE('',#541839,#129611); #90597=LINE('',#541840,#129612); #90598=LINE('',#541842,#129613); #90599=LINE('',#541843,#129614); #90600=LINE('',#541849,#129615); #90601=LINE('',#541851,#129616); #90602=LINE('',#541853,#129617); #90603=LINE('',#541854,#129618); #90604=LINE('',#541857,#129619); #90605=LINE('',#541859,#129620); #90606=LINE('',#541860,#129621); #90607=LINE('',#541863,#129622); #90608=LINE('',#541865,#129623); #90609=LINE('',#541866,#129624); #90610=LINE('',#541868,#129625); #90611=LINE('',#541869,#129626); #90612=LINE('',#541875,#129627); #90613=LINE('',#541877,#129628); #90614=LINE('',#541879,#129629); #90615=LINE('',#541880,#129630); #90616=LINE('',#541883,#129631); #90617=LINE('',#541885,#129632); #90618=LINE('',#541886,#129633); #90619=LINE('',#541889,#129634); #90620=LINE('',#541891,#129635); #90621=LINE('',#541892,#129636); #90622=LINE('',#541894,#129637); #90623=LINE('',#541895,#129638); #90624=LINE('',#541901,#129639); #90625=LINE('',#541903,#129640); #90626=LINE('',#541905,#129641); #90627=LINE('',#541906,#129642); #90628=LINE('',#541909,#129643); #90629=LINE('',#541911,#129644); #90630=LINE('',#541912,#129645); #90631=LINE('',#541915,#129646); #90632=LINE('',#541917,#129647); #90633=LINE('',#541918,#129648); #90634=LINE('',#541920,#129649); #90635=LINE('',#541921,#129650); #90636=LINE('',#541927,#129651); #90637=LINE('',#541929,#129652); #90638=LINE('',#541931,#129653); #90639=LINE('',#541932,#129654); #90640=LINE('',#541935,#129655); #90641=LINE('',#541937,#129656); #90642=LINE('',#541938,#129657); #90643=LINE('',#541941,#129658); #90644=LINE('',#541943,#129659); #90645=LINE('',#541944,#129660); #90646=LINE('',#541946,#129661); #90647=LINE('',#541947,#129662); #90648=LINE('',#541953,#129663); #90649=LINE('',#541955,#129664); #90650=LINE('',#541957,#129665); #90651=LINE('',#541958,#129666); #90652=LINE('',#541961,#129667); #90653=LINE('',#541963,#129668); #90654=LINE('',#541964,#129669); #90655=LINE('',#541967,#129670); #90656=LINE('',#541969,#129671); #90657=LINE('',#541970,#129672); #90658=LINE('',#541972,#129673); #90659=LINE('',#541973,#129674); #90660=LINE('',#541979,#129675); #90661=LINE('',#541981,#129676); #90662=LINE('',#541983,#129677); #90663=LINE('',#541984,#129678); #90664=LINE('',#541987,#129679); #90665=LINE('',#541989,#129680); #90666=LINE('',#541990,#129681); #90667=LINE('',#541993,#129682); #90668=LINE('',#541995,#129683); #90669=LINE('',#541996,#129684); #90670=LINE('',#541998,#129685); #90671=LINE('',#541999,#129686); #90672=LINE('',#542005,#129687); #90673=LINE('',#542007,#129688); #90674=LINE('',#542009,#129689); #90675=LINE('',#542010,#129690); #90676=LINE('',#542013,#129691); #90677=LINE('',#542015,#129692); #90678=LINE('',#542016,#129693); #90679=LINE('',#542019,#129694); #90680=LINE('',#542021,#129695); #90681=LINE('',#542022,#129696); #90682=LINE('',#542024,#129697); #90683=LINE('',#542025,#129698); #90684=LINE('',#542031,#129699); #90685=LINE('',#542033,#129700); #90686=LINE('',#542035,#129701); #90687=LINE('',#542036,#129702); #90688=LINE('',#542039,#129703); #90689=LINE('',#542041,#129704); #90690=LINE('',#542042,#129705); #90691=LINE('',#542045,#129706); #90692=LINE('',#542047,#129707); #90693=LINE('',#542048,#129708); #90694=LINE('',#542050,#129709); #90695=LINE('',#542051,#129710); #90696=LINE('',#542057,#129711); #90697=LINE('',#542059,#129712); #90698=LINE('',#542061,#129713); #90699=LINE('',#542062,#129714); #90700=LINE('',#542065,#129715); #90701=LINE('',#542067,#129716); #90702=LINE('',#542068,#129717); #90703=LINE('',#542071,#129718); #90704=LINE('',#542073,#129719); #90705=LINE('',#542074,#129720); #90706=LINE('',#542076,#129721); #90707=LINE('',#542077,#129722); #90708=LINE('',#542083,#129723); #90709=LINE('',#542085,#129724); #90710=LINE('',#542087,#129725); #90711=LINE('',#542088,#129726); #90712=LINE('',#542091,#129727); #90713=LINE('',#542093,#129728); #90714=LINE('',#542094,#129729); #90715=LINE('',#542097,#129730); #90716=LINE('',#542099,#129731); #90717=LINE('',#542100,#129732); #90718=LINE('',#542102,#129733); #90719=LINE('',#542103,#129734); #90720=LINE('',#542109,#129735); #90721=LINE('',#542111,#129736); #90722=LINE('',#542113,#129737); #90723=LINE('',#542114,#129738); #90724=LINE('',#542117,#129739); #90725=LINE('',#542119,#129740); #90726=LINE('',#542120,#129741); #90727=LINE('',#542123,#129742); #90728=LINE('',#542125,#129743); #90729=LINE('',#542126,#129744); #90730=LINE('',#542128,#129745); #90731=LINE('',#542129,#129746); #90732=LINE('',#542135,#129747); #90733=LINE('',#542137,#129748); #90734=LINE('',#542139,#129749); #90735=LINE('',#542140,#129750); #90736=LINE('',#542143,#129751); #90737=LINE('',#542145,#129752); #90738=LINE('',#542146,#129753); #90739=LINE('',#542149,#129754); #90740=LINE('',#542151,#129755); #90741=LINE('',#542152,#129756); #90742=LINE('',#542154,#129757); #90743=LINE('',#542155,#129758); #90744=LINE('',#542161,#129759); #90745=LINE('',#542163,#129760); #90746=LINE('',#542165,#129761); #90747=LINE('',#542166,#129762); #90748=LINE('',#542169,#129763); #90749=LINE('',#542171,#129764); #90750=LINE('',#542172,#129765); #90751=LINE('',#542175,#129766); #90752=LINE('',#542177,#129767); #90753=LINE('',#542178,#129768); #90754=LINE('',#542180,#129769); #90755=LINE('',#542181,#129770); #90756=LINE('',#542187,#129771); #90757=LINE('',#542189,#129772); #90758=LINE('',#542191,#129773); #90759=LINE('',#542192,#129774); #90760=LINE('',#542195,#129775); #90761=LINE('',#542197,#129776); #90762=LINE('',#542198,#129777); #90763=LINE('',#542201,#129778); #90764=LINE('',#542203,#129779); #90765=LINE('',#542204,#129780); #90766=LINE('',#542206,#129781); #90767=LINE('',#542207,#129782); #90768=LINE('',#542213,#129783); #90769=LINE('',#542215,#129784); #90770=LINE('',#542217,#129785); #90771=LINE('',#542218,#129786); #90772=LINE('',#542221,#129787); #90773=LINE('',#542223,#129788); #90774=LINE('',#542224,#129789); #90775=LINE('',#542227,#129790); #90776=LINE('',#542229,#129791); #90777=LINE('',#542230,#129792); #90778=LINE('',#542232,#129793); #90779=LINE('',#542233,#129794); #90780=LINE('',#542239,#129795); #90781=LINE('',#542241,#129796); #90782=LINE('',#542243,#129797); #90783=LINE('',#542244,#129798); #90784=LINE('',#542247,#129799); #90785=LINE('',#542249,#129800); #90786=LINE('',#542250,#129801); #90787=LINE('',#542253,#129802); #90788=LINE('',#542255,#129803); #90789=LINE('',#542256,#129804); #90790=LINE('',#542258,#129805); #90791=LINE('',#542259,#129806); #90792=LINE('',#542266,#129807); #90793=LINE('',#542272,#129808); #90794=LINE('',#542278,#129809); #90795=LINE('',#542284,#129810); #90796=LINE('',#542290,#129811); #90797=LINE('',#542296,#129812); #90798=LINE('',#542301,#129813); #90799=LINE('',#542303,#129814); #90800=LINE('',#542305,#129815); #90801=LINE('',#542306,#129816); #90802=LINE('',#542309,#129817); #90803=LINE('',#542311,#129818); #90804=LINE('',#542312,#129819); #90805=LINE('',#542315,#129820); #90806=LINE('',#542317,#129821); #90807=LINE('',#542318,#129822); #90808=LINE('',#542320,#129823); #90809=LINE('',#542321,#129824); #90810=LINE('',#542327,#129825); #90811=LINE('',#542329,#129826); #90812=LINE('',#542331,#129827); #90813=LINE('',#542332,#129828); #90814=LINE('',#542335,#129829); #90815=LINE('',#542337,#129830); #90816=LINE('',#542338,#129831); #90817=LINE('',#542341,#129832); #90818=LINE('',#542343,#129833); #90819=LINE('',#542344,#129834); #90820=LINE('',#542346,#129835); #90821=LINE('',#542347,#129836); #90822=LINE('',#542353,#129837); #90823=LINE('',#542355,#129838); #90824=LINE('',#542357,#129839); #90825=LINE('',#542358,#129840); #90826=LINE('',#542361,#129841); #90827=LINE('',#542363,#129842); #90828=LINE('',#542364,#129843); #90829=LINE('',#542367,#129844); #90830=LINE('',#542369,#129845); #90831=LINE('',#542370,#129846); #90832=LINE('',#542372,#129847); #90833=LINE('',#542373,#129848); #90834=LINE('',#542379,#129849); #90835=LINE('',#542381,#129850); #90836=LINE('',#542383,#129851); #90837=LINE('',#542384,#129852); #90838=LINE('',#542387,#129853); #90839=LINE('',#542389,#129854); #90840=LINE('',#542390,#129855); #90841=LINE('',#542393,#129856); #90842=LINE('',#542395,#129857); #90843=LINE('',#542396,#129858); #90844=LINE('',#542398,#129859); #90845=LINE('',#542399,#129860); #90846=LINE('',#542405,#129861); #90847=LINE('',#542407,#129862); #90848=LINE('',#542409,#129863); #90849=LINE('',#542410,#129864); #90850=LINE('',#542413,#129865); #90851=LINE('',#542415,#129866); #90852=LINE('',#542416,#129867); #90853=LINE('',#542419,#129868); #90854=LINE('',#542421,#129869); #90855=LINE('',#542422,#129870); #90856=LINE('',#542424,#129871); #90857=LINE('',#542425,#129872); #90858=LINE('',#542431,#129873); #90859=LINE('',#542433,#129874); #90860=LINE('',#542435,#129875); #90861=LINE('',#542436,#129876); #90862=LINE('',#542439,#129877); #90863=LINE('',#542441,#129878); #90864=LINE('',#542442,#129879); #90865=LINE('',#542445,#129880); #90866=LINE('',#542447,#129881); #90867=LINE('',#542448,#129882); #90868=LINE('',#542450,#129883); #90869=LINE('',#542451,#129884); #90870=LINE('',#542457,#129885); #90871=LINE('',#542459,#129886); #90872=LINE('',#542461,#129887); #90873=LINE('',#542462,#129888); #90874=LINE('',#542465,#129889); #90875=LINE('',#542467,#129890); #90876=LINE('',#542468,#129891); #90877=LINE('',#542471,#129892); #90878=LINE('',#542473,#129893); #90879=LINE('',#542474,#129894); #90880=LINE('',#542476,#129895); #90881=LINE('',#542477,#129896); #90882=LINE('',#542484,#129897); #90883=LINE('',#542490,#129898); #90884=LINE('',#542496,#129899); #90885=LINE('',#542502,#129900); #90886=LINE('',#542508,#129901); #90887=LINE('',#542514,#129902); #90888=LINE('',#542519,#129903); #90889=LINE('',#542521,#129904); #90890=LINE('',#542523,#129905); #90891=LINE('',#542524,#129906); #90892=LINE('',#542527,#129907); #90893=LINE('',#542529,#129908); #90894=LINE('',#542530,#129909); #90895=LINE('',#542533,#129910); #90896=LINE('',#542535,#129911); #90897=LINE('',#542536,#129912); #90898=LINE('',#542538,#129913); #90899=LINE('',#542539,#129914); #90900=LINE('',#542545,#129915); #90901=LINE('',#542547,#129916); #90902=LINE('',#542549,#129917); #90903=LINE('',#542550,#129918); #90904=LINE('',#542553,#129919); #90905=LINE('',#542555,#129920); #90906=LINE('',#542556,#129921); #90907=LINE('',#542559,#129922); #90908=LINE('',#542561,#129923); #90909=LINE('',#542562,#129924); #90910=LINE('',#542564,#129925); #90911=LINE('',#542565,#129926); #90912=LINE('',#542571,#129927); #90913=LINE('',#542573,#129928); #90914=LINE('',#542575,#129929); #90915=LINE('',#542576,#129930); #90916=LINE('',#542579,#129931); #90917=LINE('',#542581,#129932); #90918=LINE('',#542582,#129933); #90919=LINE('',#542585,#129934); #90920=LINE('',#542587,#129935); #90921=LINE('',#542588,#129936); #90922=LINE('',#542590,#129937); #90923=LINE('',#542591,#129938); #90924=LINE('',#542598,#129939); #90925=LINE('',#542604,#129940); #90926=LINE('',#542610,#129941); #90927=LINE('',#542616,#129942); #90928=LINE('',#542622,#129943); #90929=LINE('',#542628,#129944); #90930=LINE('',#542634,#129945); #90931=LINE('',#542640,#129946); #90932=LINE('',#542646,#129947); #90933=LINE('',#542652,#129948); #90934=LINE('',#542658,#129949); #90935=LINE('',#542664,#129950); #90936=LINE('',#542670,#129951); #90937=LINE('',#542676,#129952); #90938=LINE('',#542682,#129953); #90939=LINE('',#542688,#129954); #90940=LINE('',#542694,#129955); #90941=LINE('',#542700,#129956); #90942=LINE('',#542706,#129957); #90943=LINE('',#542712,#129958); #90944=LINE('',#542718,#129959); #90945=LINE('',#542724,#129960); #90946=LINE('',#542730,#129961); #90947=LINE('',#542736,#129962); #90948=LINE('',#542742,#129963); #90949=LINE('',#542748,#129964); #90950=LINE('',#542754,#129965); #90951=LINE('',#542760,#129966); #90952=LINE('',#542766,#129967); #90953=LINE('',#542772,#129968); #90954=LINE('',#542778,#129969); #90955=LINE('',#542784,#129970); #90956=LINE('',#542790,#129971); #90957=LINE('',#542796,#129972); #90958=LINE('',#542802,#129973); #90959=LINE('',#542808,#129974); #90960=LINE('',#542814,#129975); #90961=LINE('',#542820,#129976); #90962=LINE('',#542826,#129977); #90963=LINE('',#542832,#129978); #90964=LINE('',#542838,#129979); #90965=LINE('',#542844,#129980); #90966=LINE('',#542850,#129981); #90967=LINE('',#542856,#129982); #90968=LINE('',#542862,#129983); #90969=LINE('',#542868,#129984); #90970=LINE('',#542874,#129985); #90971=LINE('',#542880,#129986); #90972=LINE('',#542886,#129987); #90973=LINE('',#542891,#129988); #90974=LINE('',#542893,#129989); #90975=LINE('',#542895,#129990); #90976=LINE('',#542896,#129991); #90977=LINE('',#542899,#129992); #90978=LINE('',#542901,#129993); #90979=LINE('',#542902,#129994); #90980=LINE('',#542905,#129995); #90981=LINE('',#542907,#129996); #90982=LINE('',#542908,#129997); #90983=LINE('',#542910,#129998); #90984=LINE('',#542911,#129999); #90985=LINE('',#542917,#130000); #90986=LINE('',#542919,#130001); #90987=LINE('',#542921,#130002); #90988=LINE('',#542922,#130003); #90989=LINE('',#542925,#130004); #90990=LINE('',#542927,#130005); #90991=LINE('',#542928,#130006); #90992=LINE('',#542931,#130007); #90993=LINE('',#542933,#130008); #90994=LINE('',#542934,#130009); #90995=LINE('',#542936,#130010); #90996=LINE('',#542937,#130011); #90997=LINE('',#542943,#130012); #90998=LINE('',#542945,#130013); #90999=LINE('',#542947,#130014); #91000=LINE('',#542948,#130015); #91001=LINE('',#542951,#130016); #91002=LINE('',#542953,#130017); #91003=LINE('',#542954,#130018); #91004=LINE('',#542957,#130019); #91005=LINE('',#542959,#130020); #91006=LINE('',#542960,#130021); #91007=LINE('',#542962,#130022); #91008=LINE('',#542963,#130023); #91009=LINE('',#542969,#130024); #91010=LINE('',#542971,#130025); #91011=LINE('',#542973,#130026); #91012=LINE('',#542974,#130027); #91013=LINE('',#542977,#130028); #91014=LINE('',#542979,#130029); #91015=LINE('',#542980,#130030); #91016=LINE('',#542983,#130031); #91017=LINE('',#542985,#130032); #91018=LINE('',#542986,#130033); #91019=LINE('',#542988,#130034); #91020=LINE('',#542989,#130035); #91021=LINE('',#542995,#130036); #91022=LINE('',#542997,#130037); #91023=LINE('',#542999,#130038); #91024=LINE('',#543000,#130039); #91025=LINE('',#543003,#130040); #91026=LINE('',#543005,#130041); #91027=LINE('',#543006,#130042); #91028=LINE('',#543009,#130043); #91029=LINE('',#543011,#130044); #91030=LINE('',#543012,#130045); #91031=LINE('',#543014,#130046); #91032=LINE('',#543015,#130047); #91033=LINE('',#543021,#130048); #91034=LINE('',#543023,#130049); #91035=LINE('',#543025,#130050); #91036=LINE('',#543026,#130051); #91037=LINE('',#543029,#130052); #91038=LINE('',#543031,#130053); #91039=LINE('',#543032,#130054); #91040=LINE('',#543035,#130055); #91041=LINE('',#543037,#130056); #91042=LINE('',#543038,#130057); #91043=LINE('',#543040,#130058); #91044=LINE('',#543041,#130059); #91045=LINE('',#543047,#130060); #91046=LINE('',#543049,#130061); #91047=LINE('',#543051,#130062); #91048=LINE('',#543052,#130063); #91049=LINE('',#543055,#130064); #91050=LINE('',#543057,#130065); #91051=LINE('',#543058,#130066); #91052=LINE('',#543061,#130067); #91053=LINE('',#543063,#130068); #91054=LINE('',#543064,#130069); #91055=LINE('',#543066,#130070); #91056=LINE('',#543067,#130071); #91057=LINE('',#543073,#130072); #91058=LINE('',#543075,#130073); #91059=LINE('',#543077,#130074); #91060=LINE('',#543078,#130075); #91061=LINE('',#543081,#130076); #91062=LINE('',#543083,#130077); #91063=LINE('',#543084,#130078); #91064=LINE('',#543087,#130079); #91065=LINE('',#543089,#130080); #91066=LINE('',#543090,#130081); #91067=LINE('',#543092,#130082); #91068=LINE('',#543093,#130083); #91069=LINE('',#543099,#130084); #91070=LINE('',#543101,#130085); #91071=LINE('',#543103,#130086); #91072=LINE('',#543104,#130087); #91073=LINE('',#543107,#130088); #91074=LINE('',#543109,#130089); #91075=LINE('',#543110,#130090); #91076=LINE('',#543113,#130091); #91077=LINE('',#543115,#130092); #91078=LINE('',#543116,#130093); #91079=LINE('',#543118,#130094); #91080=LINE('',#543119,#130095); #91081=LINE('',#543125,#130096); #91082=LINE('',#543127,#130097); #91083=LINE('',#543129,#130098); #91084=LINE('',#543130,#130099); #91085=LINE('',#543133,#130100); #91086=LINE('',#543135,#130101); #91087=LINE('',#543136,#130102); #91088=LINE('',#543139,#130103); #91089=LINE('',#543141,#130104); #91090=LINE('',#543142,#130105); #91091=LINE('',#543144,#130106); #91092=LINE('',#543145,#130107); #91093=LINE('',#543151,#130108); #91094=LINE('',#543153,#130109); #91095=LINE('',#543155,#130110); #91096=LINE('',#543156,#130111); #91097=LINE('',#543159,#130112); #91098=LINE('',#543161,#130113); #91099=LINE('',#543162,#130114); #91100=LINE('',#543165,#130115); #91101=LINE('',#543167,#130116); #91102=LINE('',#543168,#130117); #91103=LINE('',#543170,#130118); #91104=LINE('',#543171,#130119); #91105=LINE('',#543177,#130120); #91106=LINE('',#543179,#130121); #91107=LINE('',#543181,#130122); #91108=LINE('',#543182,#130123); #91109=LINE('',#543185,#130124); #91110=LINE('',#543187,#130125); #91111=LINE('',#543188,#130126); #91112=LINE('',#543191,#130127); #91113=LINE('',#543193,#130128); #91114=LINE('',#543194,#130129); #91115=LINE('',#543196,#130130); #91116=LINE('',#543197,#130131); #91117=LINE('',#543203,#130132); #91118=LINE('',#543205,#130133); #91119=LINE('',#543207,#130134); #91120=LINE('',#543208,#130135); #91121=LINE('',#543211,#130136); #91122=LINE('',#543213,#130137); #91123=LINE('',#543214,#130138); #91124=LINE('',#543217,#130139); #91125=LINE('',#543219,#130140); #91126=LINE('',#543220,#130141); #91127=LINE('',#543222,#130142); #91128=LINE('',#543223,#130143); #91129=LINE('',#543229,#130144); #91130=LINE('',#543231,#130145); #91131=LINE('',#543233,#130146); #91132=LINE('',#543234,#130147); #91133=LINE('',#543237,#130148); #91134=LINE('',#543239,#130149); #91135=LINE('',#543240,#130150); #91136=LINE('',#543243,#130151); #91137=LINE('',#543245,#130152); #91138=LINE('',#543246,#130153); #91139=LINE('',#543248,#130154); #91140=LINE('',#543249,#130155); #91141=LINE('',#543255,#130156); #91142=LINE('',#543257,#130157); #91143=LINE('',#543259,#130158); #91144=LINE('',#543260,#130159); #91145=LINE('',#543263,#130160); #91146=LINE('',#543265,#130161); #91147=LINE('',#543266,#130162); #91148=LINE('',#543269,#130163); #91149=LINE('',#543271,#130164); #91150=LINE('',#543272,#130165); #91151=LINE('',#543274,#130166); #91152=LINE('',#543275,#130167); #91153=LINE('',#543281,#130168); #91154=LINE('',#543283,#130169); #91155=LINE('',#543285,#130170); #91156=LINE('',#543286,#130171); #91157=LINE('',#543289,#130172); #91158=LINE('',#543291,#130173); #91159=LINE('',#543292,#130174); #91160=LINE('',#543295,#130175); #91161=LINE('',#543297,#130176); #91162=LINE('',#543298,#130177); #91163=LINE('',#543300,#130178); #91164=LINE('',#543301,#130179); #91165=LINE('',#543307,#130180); #91166=LINE('',#543309,#130181); #91167=LINE('',#543311,#130182); #91168=LINE('',#543312,#130183); #91169=LINE('',#543315,#130184); #91170=LINE('',#543317,#130185); #91171=LINE('',#543318,#130186); #91172=LINE('',#543321,#130187); #91173=LINE('',#543323,#130188); #91174=LINE('',#543324,#130189); #91175=LINE('',#543326,#130190); #91176=LINE('',#543327,#130191); #91177=LINE('',#543333,#130192); #91178=LINE('',#543335,#130193); #91179=LINE('',#543337,#130194); #91180=LINE('',#543338,#130195); #91181=LINE('',#543341,#130196); #91182=LINE('',#543343,#130197); #91183=LINE('',#543344,#130198); #91184=LINE('',#543347,#130199); #91185=LINE('',#543349,#130200); #91186=LINE('',#543350,#130201); #91187=LINE('',#543352,#130202); #91188=LINE('',#543353,#130203); #91189=LINE('',#543359,#130204); #91190=LINE('',#543361,#130205); #91191=LINE('',#543363,#130206); #91192=LINE('',#543364,#130207); #91193=LINE('',#543367,#130208); #91194=LINE('',#543369,#130209); #91195=LINE('',#543370,#130210); #91196=LINE('',#543373,#130211); #91197=LINE('',#543375,#130212); #91198=LINE('',#543376,#130213); #91199=LINE('',#543378,#130214); #91200=LINE('',#543379,#130215); #91201=LINE('',#543386,#130216); #91202=LINE('',#543391,#130217); #91203=LINE('',#543393,#130218); #91204=LINE('',#543395,#130219); #91205=LINE('',#543396,#130220); #91206=LINE('',#543399,#130221); #91207=LINE('',#543401,#130222); #91208=LINE('',#543402,#130223); #91209=LINE('',#543405,#130224); #91210=LINE('',#543407,#130225); #91211=LINE('',#543408,#130226); #91212=LINE('',#543410,#130227); #91213=LINE('',#543411,#130228); #91214=LINE('',#543417,#130229); #91215=LINE('',#543419,#130230); #91216=LINE('',#543421,#130231); #91217=LINE('',#543422,#130232); #91218=LINE('',#543425,#130233); #91219=LINE('',#543427,#130234); #91220=LINE('',#543428,#130235); #91221=LINE('',#543431,#130236); #91222=LINE('',#543433,#130237); #91223=LINE('',#543434,#130238); #91224=LINE('',#543436,#130239); #91225=LINE('',#543437,#130240); #91226=LINE('',#543443,#130241); #91227=LINE('',#543445,#130242); #91228=LINE('',#543447,#130243); #91229=LINE('',#543448,#130244); #91230=LINE('',#543451,#130245); #91231=LINE('',#543453,#130246); #91232=LINE('',#543454,#130247); #91233=LINE('',#543457,#130248); #91234=LINE('',#543459,#130249); #91235=LINE('',#543460,#130250); #91236=LINE('',#543462,#130251); #91237=LINE('',#543463,#130252); #91238=LINE('',#543470,#130253); #91239=LINE('',#543475,#130254); #91240=LINE('',#543477,#130255); #91241=LINE('',#543479,#130256); #91242=LINE('',#543480,#130257); #91243=LINE('',#543483,#130258); #91244=LINE('',#543485,#130259); #91245=LINE('',#543486,#130260); #91246=LINE('',#543489,#130261); #91247=LINE('',#543491,#130262); #91248=LINE('',#543492,#130263); #91249=LINE('',#543494,#130264); #91250=LINE('',#543495,#130265); #91251=LINE('',#543501,#130266); #91252=LINE('',#543503,#130267); #91253=LINE('',#543505,#130268); #91254=LINE('',#543506,#130269); #91255=LINE('',#543509,#130270); #91256=LINE('',#543511,#130271); #91257=LINE('',#543512,#130272); #91258=LINE('',#543515,#130273); #91259=LINE('',#543517,#130274); #91260=LINE('',#543518,#130275); #91261=LINE('',#543520,#130276); #91262=LINE('',#543521,#130277); #91263=LINE('',#543527,#130278); #91264=LINE('',#543529,#130279); #91265=LINE('',#543531,#130280); #91266=LINE('',#543532,#130281); #91267=LINE('',#543535,#130282); #91268=LINE('',#543537,#130283); #91269=LINE('',#543538,#130284); #91270=LINE('',#543541,#130285); #91271=LINE('',#543543,#130286); #91272=LINE('',#543544,#130287); #91273=LINE('',#543546,#130288); #91274=LINE('',#543547,#130289); #91275=LINE('',#543553,#130290); #91276=LINE('',#543555,#130291); #91277=LINE('',#543557,#130292); #91278=LINE('',#543558,#130293); #91279=LINE('',#543561,#130294); #91280=LINE('',#543563,#130295); #91281=LINE('',#543564,#130296); #91282=LINE('',#543567,#130297); #91283=LINE('',#543569,#130298); #91284=LINE('',#543570,#130299); #91285=LINE('',#543572,#130300); #91286=LINE('',#543573,#130301); #91287=LINE('',#543579,#130302); #91288=LINE('',#543581,#130303); #91289=LINE('',#543583,#130304); #91290=LINE('',#543584,#130305); #91291=LINE('',#543587,#130306); #91292=LINE('',#543589,#130307); #91293=LINE('',#543590,#130308); #91294=LINE('',#543593,#130309); #91295=LINE('',#543595,#130310); #91296=LINE('',#543596,#130311); #91297=LINE('',#543598,#130312); #91298=LINE('',#543599,#130313); #91299=LINE('',#543605,#130314); #91300=LINE('',#543607,#130315); #91301=LINE('',#543609,#130316); #91302=LINE('',#543610,#130317); #91303=LINE('',#543613,#130318); #91304=LINE('',#543615,#130319); #91305=LINE('',#543616,#130320); #91306=LINE('',#543619,#130321); #91307=LINE('',#543621,#130322); #91308=LINE('',#543622,#130323); #91309=LINE('',#543624,#130324); #91310=LINE('',#543625,#130325); #91311=LINE('',#543631,#130326); #91312=LINE('',#543633,#130327); #91313=LINE('',#543635,#130328); #91314=LINE('',#543636,#130329); #91315=LINE('',#543639,#130330); #91316=LINE('',#543641,#130331); #91317=LINE('',#543642,#130332); #91318=LINE('',#543645,#130333); #91319=LINE('',#543647,#130334); #91320=LINE('',#543648,#130335); #91321=LINE('',#543650,#130336); #91322=LINE('',#543651,#130337); #91323=LINE('',#543657,#130338); #91324=LINE('',#543659,#130339); #91325=LINE('',#543661,#130340); #91326=LINE('',#543662,#130341); #91327=LINE('',#543665,#130342); #91328=LINE('',#543667,#130343); #91329=LINE('',#543668,#130344); #91330=LINE('',#543671,#130345); #91331=LINE('',#543673,#130346); #91332=LINE('',#543674,#130347); #91333=LINE('',#543676,#130348); #91334=LINE('',#543677,#130349); #91335=LINE('',#543683,#130350); #91336=LINE('',#543685,#130351); #91337=LINE('',#543687,#130352); #91338=LINE('',#543688,#130353); #91339=LINE('',#543691,#130354); #91340=LINE('',#543693,#130355); #91341=LINE('',#543694,#130356); #91342=LINE('',#543697,#130357); #91343=LINE('',#543699,#130358); #91344=LINE('',#543700,#130359); #91345=LINE('',#543702,#130360); #91346=LINE('',#543703,#130361); #91347=LINE('',#543709,#130362); #91348=LINE('',#543711,#130363); #91349=LINE('',#543713,#130364); #91350=LINE('',#543714,#130365); #91351=LINE('',#543717,#130366); #91352=LINE('',#543719,#130367); #91353=LINE('',#543720,#130368); #91354=LINE('',#543723,#130369); #91355=LINE('',#543725,#130370); #91356=LINE('',#543726,#130371); #91357=LINE('',#543728,#130372); #91358=LINE('',#543729,#130373); #91359=LINE('',#543735,#130374); #91360=LINE('',#543737,#130375); #91361=LINE('',#543739,#130376); #91362=LINE('',#543740,#130377); #91363=LINE('',#543743,#130378); #91364=LINE('',#543745,#130379); #91365=LINE('',#543746,#130380); #91366=LINE('',#543749,#130381); #91367=LINE('',#543751,#130382); #91368=LINE('',#543752,#130383); #91369=LINE('',#543754,#130384); #91370=LINE('',#543755,#130385); #91371=LINE('',#543761,#130386); #91372=LINE('',#543763,#130387); #91373=LINE('',#543765,#130388); #91374=LINE('',#543766,#130389); #91375=LINE('',#543769,#130390); #91376=LINE('',#543771,#130391); #91377=LINE('',#543772,#130392); #91378=LINE('',#543775,#130393); #91379=LINE('',#543777,#130394); #91380=LINE('',#543778,#130395); #91381=LINE('',#543780,#130396); #91382=LINE('',#543781,#130397); #91383=LINE('',#543787,#130398); #91384=LINE('',#543791,#130399); #91385=LINE('',#543796,#130400); #91386=LINE('',#543800,#130401); #91387=LINE('',#543806,#130402); #91388=LINE('',#543811,#130403); #91389=LINE('',#543815,#130404); #91390=LINE('',#543820,#130405); #91391=LINE('',#543824,#130406); #91392=LINE('',#543829,#130407); #91393=LINE('',#543833,#130408); #91394=LINE('',#543838,#130409); #91395=LINE('',#543842,#130410); #91396=LINE('',#543847,#130411); #91397=LINE('',#543851,#130412); #91398=LINE('',#543856,#130413); #91399=LINE('',#543860,#130414); #91400=LINE('',#543864,#130415); #91401=LINE('',#543866,#130416); #91402=LINE('',#543867,#130417); #91403=LINE('',#543869,#130418); #91404=LINE('',#543870,#130419); #91405=LINE('',#543873,#130420); #91406=LINE('',#543875,#130421); #91407=LINE('',#543876,#130422); #91408=LINE('',#543878,#130423); #91409=LINE('',#543879,#130424); #91410=LINE('',#543881,#130425); #91411=LINE('',#543882,#130426); #91412=LINE('',#543884,#130427); #91413=LINE('',#543885,#130428); #91414=LINE('',#543887,#130429); #91415=LINE('',#543888,#130430); #91416=LINE('',#543890,#130431); #91417=LINE('',#543891,#130432); #91418=LINE('',#543893,#130433); #91419=LINE('',#543894,#130434); #91420=LINE('',#543896,#130435); #91421=LINE('',#543897,#130436); #91422=LINE('',#543904,#130437); #91423=LINE('',#543909,#130438); #91424=LINE('',#543911,#130439); #91425=LINE('',#543913,#130440); #91426=LINE('',#543914,#130441); #91427=LINE('',#543917,#130442); #91428=LINE('',#543919,#130443); #91429=LINE('',#543920,#130444); #91430=LINE('',#543923,#130445); #91431=LINE('',#543925,#130446); #91432=LINE('',#543926,#130447); #91433=LINE('',#543928,#130448); #91434=LINE('',#543929,#130449); #91435=LINE('',#543935,#130450); #91436=LINE('',#543937,#130451); #91437=LINE('',#543939,#130452); #91438=LINE('',#543940,#130453); #91439=LINE('',#543943,#130454); #91440=LINE('',#543945,#130455); #91441=LINE('',#543946,#130456); #91442=LINE('',#543949,#130457); #91443=LINE('',#543951,#130458); #91444=LINE('',#543952,#130459); #91445=LINE('',#543954,#130460); #91446=LINE('',#543955,#130461); #91447=LINE('',#543961,#130462); #91448=LINE('',#543963,#130463); #91449=LINE('',#543965,#130464); #91450=LINE('',#543966,#130465); #91451=LINE('',#543969,#130466); #91452=LINE('',#543971,#130467); #91453=LINE('',#543972,#130468); #91454=LINE('',#543975,#130469); #91455=LINE('',#543977,#130470); #91456=LINE('',#543978,#130471); #91457=LINE('',#543980,#130472); #91458=LINE('',#543981,#130473); #91459=LINE('',#543987,#130474); #91460=LINE('',#543989,#130475); #91461=LINE('',#543991,#130476); #91462=LINE('',#543992,#130477); #91463=LINE('',#543995,#130478); #91464=LINE('',#543997,#130479); #91465=LINE('',#543998,#130480); #91466=LINE('',#544001,#130481); #91467=LINE('',#544003,#130482); #91468=LINE('',#544004,#130483); #91469=LINE('',#544006,#130484); #91470=LINE('',#544007,#130485); #91471=LINE('',#544013,#130486); #91472=LINE('',#544015,#130487); #91473=LINE('',#544017,#130488); #91474=LINE('',#544018,#130489); #91475=LINE('',#544021,#130490); #91476=LINE('',#544023,#130491); #91477=LINE('',#544024,#130492); #91478=LINE('',#544027,#130493); #91479=LINE('',#544029,#130494); #91480=LINE('',#544030,#130495); #91481=LINE('',#544032,#130496); #91482=LINE('',#544033,#130497); #91483=LINE('',#544039,#130498); #91484=LINE('',#544041,#130499); #91485=LINE('',#544043,#130500); #91486=LINE('',#544044,#130501); #91487=LINE('',#544047,#130502); #91488=LINE('',#544049,#130503); #91489=LINE('',#544050,#130504); #91490=LINE('',#544053,#130505); #91491=LINE('',#544055,#130506); #91492=LINE('',#544056,#130507); #91493=LINE('',#544058,#130508); #91494=LINE('',#544059,#130509); #91495=LINE('',#544066,#130510); #91496=LINE('',#544071,#130511); #91497=LINE('',#544073,#130512); #91498=LINE('',#544075,#130513); #91499=LINE('',#544076,#130514); #91500=LINE('',#544079,#130515); #91501=LINE('',#544081,#130516); #91502=LINE('',#544082,#130517); #91503=LINE('',#544085,#130518); #91504=LINE('',#544087,#130519); #91505=LINE('',#544088,#130520); #91506=LINE('',#544090,#130521); #91507=LINE('',#544091,#130522); #91508=LINE('',#544098,#130523); #91509=LINE('',#544103,#130524); #91510=LINE('',#544105,#130525); #91511=LINE('',#544107,#130526); #91512=LINE('',#544108,#130527); #91513=LINE('',#544111,#130528); #91514=LINE('',#544113,#130529); #91515=LINE('',#544114,#130530); #91516=LINE('',#544117,#130531); #91517=LINE('',#544119,#130532); #91518=LINE('',#544120,#130533); #91519=LINE('',#544122,#130534); #91520=LINE('',#544123,#130535); #91521=LINE('',#544129,#130536); #91522=LINE('',#544131,#130537); #91523=LINE('',#544133,#130538); #91524=LINE('',#544134,#130539); #91525=LINE('',#544137,#130540); #91526=LINE('',#544139,#130541); #91527=LINE('',#544140,#130542); #91528=LINE('',#544143,#130543); #91529=LINE('',#544145,#130544); #91530=LINE('',#544146,#130545); #91531=LINE('',#544148,#130546); #91532=LINE('',#544149,#130547); #91533=LINE('',#544155,#130548); #91534=LINE('',#544157,#130549); #91535=LINE('',#544159,#130550); #91536=LINE('',#544160,#130551); #91537=LINE('',#544163,#130552); #91538=LINE('',#544165,#130553); #91539=LINE('',#544166,#130554); #91540=LINE('',#544169,#130555); #91541=LINE('',#544171,#130556); #91542=LINE('',#544172,#130557); #91543=LINE('',#544174,#130558); #91544=LINE('',#544175,#130559); #91545=LINE('',#544181,#130560); #91546=LINE('',#544183,#130561); #91547=LINE('',#544185,#130562); #91548=LINE('',#544186,#130563); #91549=LINE('',#544189,#130564); #91550=LINE('',#544191,#130565); #91551=LINE('',#544192,#130566); #91552=LINE('',#544195,#130567); #91553=LINE('',#544197,#130568); #91554=LINE('',#544198,#130569); #91555=LINE('',#544200,#130570); #91556=LINE('',#544201,#130571); #91557=LINE('',#544207,#130572); #91558=LINE('',#544209,#130573); #91559=LINE('',#544211,#130574); #91560=LINE('',#544212,#130575); #91561=LINE('',#544215,#130576); #91562=LINE('',#544217,#130577); #91563=LINE('',#544218,#130578); #91564=LINE('',#544221,#130579); #91565=LINE('',#544223,#130580); #91566=LINE('',#544224,#130581); #91567=LINE('',#544226,#130582); #91568=LINE('',#544227,#130583); #91569=LINE('',#544233,#130584); #91570=LINE('',#544235,#130585); #91571=LINE('',#544237,#130586); #91572=LINE('',#544238,#130587); #91573=LINE('',#544241,#130588); #91574=LINE('',#544243,#130589); #91575=LINE('',#544244,#130590); #91576=LINE('',#544247,#130591); #91577=LINE('',#544249,#130592); #91578=LINE('',#544250,#130593); #91579=LINE('',#544252,#130594); #91580=LINE('',#544253,#130595); #91581=LINE('',#544259,#130596); #91582=LINE('',#544261,#130597); #91583=LINE('',#544263,#130598); #91584=LINE('',#544264,#130599); #91585=LINE('',#544267,#130600); #91586=LINE('',#544269,#130601); #91587=LINE('',#544270,#130602); #91588=LINE('',#544273,#130603); #91589=LINE('',#544275,#130604); #91590=LINE('',#544276,#130605); #91591=LINE('',#544278,#130606); #91592=LINE('',#544279,#130607); #91593=LINE('',#544285,#130608); #91594=LINE('',#544287,#130609); #91595=LINE('',#544289,#130610); #91596=LINE('',#544290,#130611); #91597=LINE('',#544293,#130612); #91598=LINE('',#544295,#130613); #91599=LINE('',#544296,#130614); #91600=LINE('',#544299,#130615); #91601=LINE('',#544301,#130616); #91602=LINE('',#544302,#130617); #91603=LINE('',#544304,#130618); #91604=LINE('',#544305,#130619); #91605=LINE('',#544311,#130620); #91606=LINE('',#544313,#130621); #91607=LINE('',#544315,#130622); #91608=LINE('',#544316,#130623); #91609=LINE('',#544319,#130624); #91610=LINE('',#544321,#130625); #91611=LINE('',#544322,#130626); #91612=LINE('',#544325,#130627); #91613=LINE('',#544327,#130628); #91614=LINE('',#544328,#130629); #91615=LINE('',#544330,#130630); #91616=LINE('',#544331,#130631); #91617=LINE('',#544337,#130632); #91618=LINE('',#544339,#130633); #91619=LINE('',#544341,#130634); #91620=LINE('',#544342,#130635); #91621=LINE('',#544345,#130636); #91622=LINE('',#544347,#130637); #91623=LINE('',#544348,#130638); #91624=LINE('',#544351,#130639); #91625=LINE('',#544353,#130640); #91626=LINE('',#544354,#130641); #91627=LINE('',#544356,#130642); #91628=LINE('',#544357,#130643); #91629=LINE('',#544363,#130644); #91630=LINE('',#544365,#130645); #91631=LINE('',#544367,#130646); #91632=LINE('',#544368,#130647); #91633=LINE('',#544371,#130648); #91634=LINE('',#544373,#130649); #91635=LINE('',#544374,#130650); #91636=LINE('',#544377,#130651); #91637=LINE('',#544379,#130652); #91638=LINE('',#544380,#130653); #91639=LINE('',#544382,#130654); #91640=LINE('',#544383,#130655); #91641=LINE('',#544389,#130656); #91642=LINE('',#544391,#130657); #91643=LINE('',#544393,#130658); #91644=LINE('',#544394,#130659); #91645=LINE('',#544397,#130660); #91646=LINE('',#544399,#130661); #91647=LINE('',#544400,#130662); #91648=LINE('',#544403,#130663); #91649=LINE('',#544405,#130664); #91650=LINE('',#544406,#130665); #91651=LINE('',#544408,#130666); #91652=LINE('',#544409,#130667); #91653=LINE('',#544416,#130668); #91654=LINE('',#544422,#130669); #91655=LINE('',#544428,#130670); #91656=LINE('',#544434,#130671); #91657=LINE('',#544440,#130672); #91658=LINE('',#544446,#130673); #91659=LINE('',#544451,#130674); #91660=LINE('',#544453,#130675); #91661=LINE('',#544455,#130676); #91662=LINE('',#544456,#130677); #91663=LINE('',#544459,#130678); #91664=LINE('',#544461,#130679); #91665=LINE('',#544462,#130680); #91666=LINE('',#544465,#130681); #91667=LINE('',#544467,#130682); #91668=LINE('',#544468,#130683); #91669=LINE('',#544470,#130684); #91670=LINE('',#544471,#130685); #91671=LINE('',#544477,#130686); #91672=LINE('',#544479,#130687); #91673=LINE('',#544481,#130688); #91674=LINE('',#544482,#130689); #91675=LINE('',#544485,#130690); #91676=LINE('',#544487,#130691); #91677=LINE('',#544488,#130692); #91678=LINE('',#544491,#130693); #91679=LINE('',#544493,#130694); #91680=LINE('',#544494,#130695); #91681=LINE('',#544496,#130696); #91682=LINE('',#544497,#130697); #91683=LINE('',#544503,#130698); #91684=LINE('',#544505,#130699); #91685=LINE('',#544507,#130700); #91686=LINE('',#544508,#130701); #91687=LINE('',#544511,#130702); #91688=LINE('',#544513,#130703); #91689=LINE('',#544514,#130704); #91690=LINE('',#544517,#130705); #91691=LINE('',#544519,#130706); #91692=LINE('',#544520,#130707); #91693=LINE('',#544522,#130708); #91694=LINE('',#544523,#130709); #91695=LINE('',#544529,#130710); #91696=LINE('',#544531,#130711); #91697=LINE('',#544533,#130712); #91698=LINE('',#544534,#130713); #91699=LINE('',#544537,#130714); #91700=LINE('',#544539,#130715); #91701=LINE('',#544540,#130716); #91702=LINE('',#544543,#130717); #91703=LINE('',#544545,#130718); #91704=LINE('',#544546,#130719); #91705=LINE('',#544548,#130720); #91706=LINE('',#544549,#130721); #91707=LINE('',#544555,#130722); #91708=LINE('',#544559,#130723); #91709=LINE('',#544565,#130724); #91710=LINE('',#544570,#130725); #91711=LINE('',#544574,#130726); #91712=LINE('',#544579,#130727); #91713=LINE('',#544583,#130728); #91714=LINE('',#544588,#130729); #91715=LINE('',#544592,#130730); #91716=LINE('',#544598,#130731); #91717=LINE('',#544602,#130732); #91718=LINE('',#544604,#130733); #91719=LINE('',#544605,#130734); #91720=LINE('',#544608,#130735); #91721=LINE('',#544610,#130736); #91722=LINE('',#544611,#130737); #91723=LINE('',#544613,#130738); #91724=LINE('',#544614,#130739); #91725=LINE('',#544617,#130740); #91726=LINE('',#544619,#130741); #91727=LINE('',#544620,#130742); #91728=LINE('',#544623,#130743); #91729=LINE('',#544625,#130744); #91730=LINE('',#544626,#130745); #91731=LINE('',#544628,#130746); #91732=LINE('',#544629,#130747); #91733=LINE('',#544631,#130748); #91734=LINE('',#544632,#130749); #91735=LINE('',#544634,#130750); #91736=LINE('',#544636,#130751); #91737=LINE('',#544641,#130752); #91738=LINE('',#544643,#130753); #91739=LINE('',#544645,#130754); #91740=LINE('',#544646,#130755); #91741=LINE('',#544649,#130756); #91742=LINE('',#544651,#130757); #91743=LINE('',#544652,#130758); #91744=LINE('',#544655,#130759); #91745=LINE('',#544657,#130760); #91746=LINE('',#544658,#130761); #91747=LINE('',#544660,#130762); #91748=LINE('',#544661,#130763); #91749=LINE('',#544667,#130764); #91750=LINE('',#544669,#130765); #91751=LINE('',#544671,#130766); #91752=LINE('',#544672,#130767); #91753=LINE('',#544675,#130768); #91754=LINE('',#544677,#130769); #91755=LINE('',#544678,#130770); #91756=LINE('',#544681,#130771); #91757=LINE('',#544683,#130772); #91758=LINE('',#544684,#130773); #91759=LINE('',#544686,#130774); #91760=LINE('',#544687,#130775); #91761=LINE('',#544693,#130776); #91762=LINE('',#544695,#130777); #91763=LINE('',#544697,#130778); #91764=LINE('',#544698,#130779); #91765=LINE('',#544701,#130780); #91766=LINE('',#544703,#130781); #91767=LINE('',#544704,#130782); #91768=LINE('',#544707,#130783); #91769=LINE('',#544709,#130784); #91770=LINE('',#544710,#130785); #91771=LINE('',#544712,#130786); #91772=LINE('',#544713,#130787); #91773=LINE('',#544719,#130788); #91774=LINE('',#544721,#130789); #91775=LINE('',#544723,#130790); #91776=LINE('',#544724,#130791); #91777=LINE('',#544727,#130792); #91778=LINE('',#544729,#130793); #91779=LINE('',#544730,#130794); #91780=LINE('',#544733,#130795); #91781=LINE('',#544735,#130796); #91782=LINE('',#544736,#130797); #91783=LINE('',#544738,#130798); #91784=LINE('',#544739,#130799); #91785=LINE('',#544745,#130800); #91786=LINE('',#544747,#130801); #91787=LINE('',#544749,#130802); #91788=LINE('',#544750,#130803); #91789=LINE('',#544753,#130804); #91790=LINE('',#544755,#130805); #91791=LINE('',#544756,#130806); #91792=LINE('',#544759,#130807); #91793=LINE('',#544761,#130808); #91794=LINE('',#544762,#130809); #91795=LINE('',#544764,#130810); #91796=LINE('',#544765,#130811); #91797=LINE('',#544771,#130812); #91798=LINE('',#544773,#130813); #91799=LINE('',#544775,#130814); #91800=LINE('',#544776,#130815); #91801=LINE('',#544779,#130816); #91802=LINE('',#544781,#130817); #91803=LINE('',#544782,#130818); #91804=LINE('',#544785,#130819); #91805=LINE('',#544787,#130820); #91806=LINE('',#544788,#130821); #91807=LINE('',#544790,#130822); #91808=LINE('',#544791,#130823); #91809=LINE('',#544797,#130824); #91810=LINE('',#544799,#130825); #91811=LINE('',#544801,#130826); #91812=LINE('',#544802,#130827); #91813=LINE('',#544805,#130828); #91814=LINE('',#544807,#130829); #91815=LINE('',#544808,#130830); #91816=LINE('',#544811,#130831); #91817=LINE('',#544813,#130832); #91818=LINE('',#544814,#130833); #91819=LINE('',#544816,#130834); #91820=LINE('',#544817,#130835); #91821=LINE('',#544823,#130836); #91822=LINE('',#544825,#130837); #91823=LINE('',#544827,#130838); #91824=LINE('',#544828,#130839); #91825=LINE('',#544831,#130840); #91826=LINE('',#544833,#130841); #91827=LINE('',#544834,#130842); #91828=LINE('',#544837,#130843); #91829=LINE('',#544839,#130844); #91830=LINE('',#544840,#130845); #91831=LINE('',#544842,#130846); #91832=LINE('',#544843,#130847); #91833=LINE('',#544849,#130848); #91834=LINE('',#544851,#130849); #91835=LINE('',#544853,#130850); #91836=LINE('',#544854,#130851); #91837=LINE('',#544857,#130852); #91838=LINE('',#544859,#130853); #91839=LINE('',#544860,#130854); #91840=LINE('',#544863,#130855); #91841=LINE('',#544865,#130856); #91842=LINE('',#544866,#130857); #91843=LINE('',#544868,#130858); #91844=LINE('',#544869,#130859); #91845=LINE('',#544875,#130860); #91846=LINE('',#544877,#130861); #91847=LINE('',#544879,#130862); #91848=LINE('',#544880,#130863); #91849=LINE('',#544883,#130864); #91850=LINE('',#544885,#130865); #91851=LINE('',#544886,#130866); #91852=LINE('',#544889,#130867); #91853=LINE('',#544891,#130868); #91854=LINE('',#544892,#130869); #91855=LINE('',#544894,#130870); #91856=LINE('',#544895,#130871); #91857=LINE('',#544901,#130872); #91858=LINE('',#544903,#130873); #91859=LINE('',#544905,#130874); #91860=LINE('',#544906,#130875); #91861=LINE('',#544909,#130876); #91862=LINE('',#544911,#130877); #91863=LINE('',#544912,#130878); #91864=LINE('',#544915,#130879); #91865=LINE('',#544917,#130880); #91866=LINE('',#544918,#130881); #91867=LINE('',#544920,#130882); #91868=LINE('',#544921,#130883); #91869=LINE('',#544927,#130884); #91870=LINE('',#544929,#130885); #91871=LINE('',#544931,#130886); #91872=LINE('',#544932,#130887); #91873=LINE('',#544935,#130888); #91874=LINE('',#544937,#130889); #91875=LINE('',#544938,#130890); #91876=LINE('',#544941,#130891); #91877=LINE('',#544943,#130892); #91878=LINE('',#544944,#130893); #91879=LINE('',#544946,#130894); #91880=LINE('',#544947,#130895); #91881=LINE('',#544953,#130896); #91882=LINE('',#544955,#130897); #91883=LINE('',#544957,#130898); #91884=LINE('',#544958,#130899); #91885=LINE('',#544961,#130900); #91886=LINE('',#544963,#130901); #91887=LINE('',#544964,#130902); #91888=LINE('',#544967,#130903); #91889=LINE('',#544969,#130904); #91890=LINE('',#544970,#130905); #91891=LINE('',#544972,#130906); #91892=LINE('',#544973,#130907); #91893=LINE('',#544979,#130908); #91894=LINE('',#544981,#130909); #91895=LINE('',#544983,#130910); #91896=LINE('',#544984,#130911); #91897=LINE('',#544987,#130912); #91898=LINE('',#544989,#130913); #91899=LINE('',#544990,#130914); #91900=LINE('',#544993,#130915); #91901=LINE('',#544995,#130916); #91902=LINE('',#544996,#130917); #91903=LINE('',#544998,#130918); #91904=LINE('',#544999,#130919); #91905=LINE('',#545005,#130920); #91906=LINE('',#545007,#130921); #91907=LINE('',#545009,#130922); #91908=LINE('',#545010,#130923); #91909=LINE('',#545013,#130924); #91910=LINE('',#545015,#130925); #91911=LINE('',#545016,#130926); #91912=LINE('',#545019,#130927); #91913=LINE('',#545021,#130928); #91914=LINE('',#545022,#130929); #91915=LINE('',#545024,#130930); #91916=LINE('',#545025,#130931); #91917=LINE('',#545031,#130932); #91918=LINE('',#545033,#130933); #91919=LINE('',#545035,#130934); #91920=LINE('',#545036,#130935); #91921=LINE('',#545039,#130936); #91922=LINE('',#545041,#130937); #91923=LINE('',#545042,#130938); #91924=LINE('',#545045,#130939); #91925=LINE('',#545047,#130940); #91926=LINE('',#545048,#130941); #91927=LINE('',#545050,#130942); #91928=LINE('',#545051,#130943); #91929=LINE('',#545057,#130944); #91930=LINE('',#545059,#130945); #91931=LINE('',#545061,#130946); #91932=LINE('',#545062,#130947); #91933=LINE('',#545065,#130948); #91934=LINE('',#545067,#130949); #91935=LINE('',#545068,#130950); #91936=LINE('',#545071,#130951); #91937=LINE('',#545073,#130952); #91938=LINE('',#545074,#130953); #91939=LINE('',#545076,#130954); #91940=LINE('',#545077,#130955); #91941=LINE('',#545083,#130956); #91942=LINE('',#545085,#130957); #91943=LINE('',#545087,#130958); #91944=LINE('',#545088,#130959); #91945=LINE('',#545091,#130960); #91946=LINE('',#545093,#130961); #91947=LINE('',#545094,#130962); #91948=LINE('',#545097,#130963); #91949=LINE('',#545099,#130964); #91950=LINE('',#545100,#130965); #91951=LINE('',#545102,#130966); #91952=LINE('',#545103,#130967); #91953=LINE('',#545109,#130968); #91954=LINE('',#545111,#130969); #91955=LINE('',#545113,#130970); #91956=LINE('',#545114,#130971); #91957=LINE('',#545117,#130972); #91958=LINE('',#545119,#130973); #91959=LINE('',#545120,#130974); #91960=LINE('',#545123,#130975); #91961=LINE('',#545125,#130976); #91962=LINE('',#545126,#130977); #91963=LINE('',#545128,#130978); #91964=LINE('',#545129,#130979); #91965=LINE('',#545135,#130980); #91966=LINE('',#545137,#130981); #91967=LINE('',#545139,#130982); #91968=LINE('',#545140,#130983); #91969=LINE('',#545143,#130984); #91970=LINE('',#545145,#130985); #91971=LINE('',#545146,#130986); #91972=LINE('',#545149,#130987); #91973=LINE('',#545151,#130988); #91974=LINE('',#545152,#130989); #91975=LINE('',#545154,#130990); #91976=LINE('',#545155,#130991); #91977=LINE('',#545161,#130992); #91978=LINE('',#545163,#130993); #91979=LINE('',#545165,#130994); #91980=LINE('',#545166,#130995); #91981=LINE('',#545169,#130996); #91982=LINE('',#545171,#130997); #91983=LINE('',#545172,#130998); #91984=LINE('',#545175,#130999); #91985=LINE('',#545177,#131000); #91986=LINE('',#545178,#131001); #91987=LINE('',#545180,#131002); #91988=LINE('',#545181,#131003); #91989=LINE('',#545189,#131004); #91990=LINE('',#545194,#131005); #91991=LINE('',#545196,#131006); #91992=LINE('',#545198,#131007); #91993=LINE('',#545199,#131008); #91994=LINE('',#545205,#131009); #91995=LINE('',#545214,#131010); #91996=LINE('',#545216,#131011); #91997=LINE('',#545218,#131012); #91998=LINE('',#545219,#131013); #91999=LINE('',#545222,#131014); #92000=LINE('',#545224,#131015); #92001=LINE('',#545225,#131016); #92002=LINE('',#545228,#131017); #92003=LINE('',#545230,#131018); #92004=LINE('',#545231,#131019); #92005=LINE('',#545233,#131020); #92006=LINE('',#545234,#131021); #92007=LINE('',#545240,#131022); #92008=LINE('',#545242,#131023); #92009=LINE('',#545244,#131024); #92010=LINE('',#545245,#131025); #92011=LINE('',#545248,#131026); #92012=LINE('',#545250,#131027); #92013=LINE('',#545251,#131028); #92014=LINE('',#545254,#131029); #92015=LINE('',#545256,#131030); #92016=LINE('',#545257,#131031); #92017=LINE('',#545259,#131032); #92018=LINE('',#545260,#131033); #92019=LINE('',#545267,#131034); #92020=LINE('',#545273,#131035); #92021=LINE('',#545278,#131036); #92022=LINE('',#545280,#131037); #92023=LINE('',#545282,#131038); #92024=LINE('',#545283,#131039); #92025=LINE('',#545286,#131040); #92026=LINE('',#545288,#131041); #92027=LINE('',#545289,#131042); #92028=LINE('',#545292,#131043); #92029=LINE('',#545294,#131044); #92030=LINE('',#545295,#131045); #92031=LINE('',#545297,#131046); #92032=LINE('',#545298,#131047); #92033=LINE('',#545304,#131048); #92034=LINE('',#545306,#131049); #92035=LINE('',#545308,#131050); #92036=LINE('',#545309,#131051); #92037=LINE('',#545312,#131052); #92038=LINE('',#545314,#131053); #92039=LINE('',#545315,#131054); #92040=LINE('',#545318,#131055); #92041=LINE('',#545320,#131056); #92042=LINE('',#545321,#131057); #92043=LINE('',#545323,#131058); #92044=LINE('',#545324,#131059); #92045=LINE('',#545331,#131060); #92046=LINE('',#545337,#131061); #92047=LINE('',#545342,#131062); #92048=LINE('',#545344,#131063); #92049=LINE('',#545346,#131064); #92050=LINE('',#545347,#131065); #92051=LINE('',#545350,#131066); #92052=LINE('',#545352,#131067); #92053=LINE('',#545353,#131068); #92054=LINE('',#545356,#131069); #92055=LINE('',#545358,#131070); #92056=LINE('',#545359,#131071); #92057=LINE('',#545361,#131072); #92058=LINE('',#545362,#131073); #92059=LINE('',#545368,#131074); #92060=LINE('',#545370,#131075); #92061=LINE('',#545372,#131076); #92062=LINE('',#545373,#131077); #92063=LINE('',#545376,#131078); #92064=LINE('',#545378,#131079); #92065=LINE('',#545379,#131080); #92066=LINE('',#545382,#131081); #92067=LINE('',#545384,#131082); #92068=LINE('',#545385,#131083); #92069=LINE('',#545387,#131084); #92070=LINE('',#545388,#131085); #92071=LINE('',#545395,#131086); #92072=LINE('',#545401,#131087); #92073=LINE('',#545406,#131088); #92074=LINE('',#545408,#131089); #92075=LINE('',#545410,#131090); #92076=LINE('',#545411,#131091); #92077=LINE('',#545414,#131092); #92078=LINE('',#545416,#131093); #92079=LINE('',#545417,#131094); #92080=LINE('',#545420,#131095); #92081=LINE('',#545422,#131096); #92082=LINE('',#545423,#131097); #92083=LINE('',#545425,#131098); #92084=LINE('',#545426,#131099); #92085=LINE('',#545432,#131100); #92086=LINE('',#545434,#131101); #92087=LINE('',#545436,#131102); #92088=LINE('',#545437,#131103); #92089=LINE('',#545440,#131104); #92090=LINE('',#545442,#131105); #92091=LINE('',#545443,#131106); #92092=LINE('',#545446,#131107); #92093=LINE('',#545448,#131108); #92094=LINE('',#545449,#131109); #92095=LINE('',#545451,#131110); #92096=LINE('',#545452,#131111); #92097=LINE('',#545459,#131112); #92098=LINE('',#545465,#131113); #92099=LINE('',#545470,#131114); #92100=LINE('',#545472,#131115); #92101=LINE('',#545474,#131116); #92102=LINE('',#545475,#131117); #92103=LINE('',#545478,#131118); #92104=LINE('',#545480,#131119); #92105=LINE('',#545481,#131120); #92106=LINE('',#545484,#131121); #92107=LINE('',#545486,#131122); #92108=LINE('',#545487,#131123); #92109=LINE('',#545489,#131124); #92110=LINE('',#545490,#131125); #92111=LINE('',#545496,#131126); #92112=LINE('',#545498,#131127); #92113=LINE('',#545500,#131128); #92114=LINE('',#545501,#131129); #92115=LINE('',#545504,#131130); #92116=LINE('',#545506,#131131); #92117=LINE('',#545507,#131132); #92118=LINE('',#545510,#131133); #92119=LINE('',#545512,#131134); #92120=LINE('',#545513,#131135); #92121=LINE('',#545515,#131136); #92122=LINE('',#545516,#131137); #92123=LINE('',#545522,#131138); #92124=LINE('',#545524,#131139); #92125=LINE('',#545526,#131140); #92126=LINE('',#545527,#131141); #92127=LINE('',#545530,#131142); #92128=LINE('',#545532,#131143); #92129=LINE('',#545533,#131144); #92130=LINE('',#545536,#131145); #92131=LINE('',#545538,#131146); #92132=LINE('',#545539,#131147); #92133=LINE('',#545542,#131148); #92134=LINE('',#545544,#131149); #92135=LINE('',#545545,#131150); #92136=LINE('',#545548,#131151); #92137=LINE('',#545550,#131152); #92138=LINE('',#545551,#131153); #92139=LINE('',#545554,#131154); #92140=LINE('',#545556,#131155); #92141=LINE('',#545557,#131156); #92142=LINE('',#545563,#131157); #92143=LINE('',#545566,#131158); #92144=LINE('',#545568,#131159); #92145=LINE('',#545569,#131160); #92146=LINE('',#545572,#131161); #92147=LINE('',#545574,#131162); #92148=LINE('',#545575,#131163); #92149=LINE('',#545578,#131164); #92150=LINE('',#545580,#131165); #92151=LINE('',#545581,#131166); #92152=LINE('',#545584,#131167); #92153=LINE('',#545586,#131168); #92154=LINE('',#545587,#131169); #92155=LINE('',#545590,#131170); #92156=LINE('',#545592,#131171); #92157=LINE('',#545593,#131172); #92158=LINE('',#545599,#131173); #92159=LINE('',#545602,#131174); #92160=LINE('',#545604,#131175); #92161=LINE('',#545605,#131176); #92162=LINE('',#545608,#131177); #92163=LINE('',#545610,#131178); #92164=LINE('',#545611,#131179); #92165=LINE('',#545617,#131180); #92166=LINE('',#545620,#131181); #92167=LINE('',#545622,#131182); #92168=LINE('',#545623,#131183); #92169=LINE('',#545626,#131184); #92170=LINE('',#545628,#131185); #92171=LINE('',#545629,#131186); #92172=LINE('',#545632,#131187); #92173=LINE('',#545634,#131188); #92174=LINE('',#545635,#131189); #92175=LINE('',#545638,#131190); #92176=LINE('',#545640,#131191); #92177=LINE('',#545641,#131192); #92178=LINE('',#545644,#131193); #92179=LINE('',#545646,#131194); #92180=LINE('',#545647,#131195); #92181=LINE('',#545650,#131196); #92182=LINE('',#545652,#131197); #92183=LINE('',#545653,#131198); #92184=LINE('',#545656,#131199); #92185=LINE('',#545658,#131200); #92186=LINE('',#545659,#131201); #92187=LINE('',#545665,#131202); #92188=LINE('',#545668,#131203); #92189=LINE('',#545670,#131204); #92190=LINE('',#545671,#131205); #92191=LINE('',#545677,#131206); #92192=LINE('',#545680,#131207); #92193=LINE('',#545682,#131208); #92194=LINE('',#545683,#131209); #92195=LINE('',#545686,#131210); #92196=LINE('',#545688,#131211); #92197=LINE('',#545689,#131212); #92198=LINE('',#545692,#131213); #92199=LINE('',#545694,#131214); #92200=LINE('',#545695,#131215); #92201=LINE('',#545698,#131216); #92202=LINE('',#545700,#131217); #92203=LINE('',#545701,#131218); #92204=LINE('',#545704,#131219); #92205=LINE('',#545706,#131220); #92206=LINE('',#545707,#131221); #92207=LINE('',#545710,#131222); #92208=LINE('',#545712,#131223); #92209=LINE('',#545713,#131224); #92210=LINE('',#545716,#131225); #92211=LINE('',#545718,#131226); #92212=LINE('',#545719,#131227); #92213=LINE('',#545722,#131228); #92214=LINE('',#545724,#131229); #92215=LINE('',#545725,#131230); #92216=LINE('',#545731,#131231); #92217=LINE('',#545734,#131232); #92218=LINE('',#545736,#131233); #92219=LINE('',#545737,#131234); #92220=LINE('',#545743,#131235); #92221=LINE('',#545746,#131236); #92222=LINE('',#545748,#131237); #92223=LINE('',#545749,#131238); #92224=LINE('',#545752,#131239); #92225=LINE('',#545754,#131240); #92226=LINE('',#545755,#131241); #92227=LINE('',#545758,#131242); #92228=LINE('',#545760,#131243); #92229=LINE('',#545761,#131244); #92230=LINE('',#545767,#131245); #92231=LINE('',#545770,#131246); #92232=LINE('',#545772,#131247); #92233=LINE('',#545773,#131248); #92234=LINE('',#545779,#131249); #92235=LINE('',#545782,#131250); #92236=LINE('',#545784,#131251); #92237=LINE('',#545785,#131252); #92238=LINE('',#545788,#131253); #92239=LINE('',#545790,#131254); #92240=LINE('',#545791,#131255); #92241=LINE('',#545794,#131256); #92242=LINE('',#545796,#131257); #92243=LINE('',#545797,#131258); #92244=LINE('',#545800,#131259); #92245=LINE('',#545802,#131260); #92246=LINE('',#545803,#131261); #92247=LINE('',#545806,#131262); #92248=LINE('',#545808,#131263); #92249=LINE('',#545809,#131264); #92250=LINE('',#545815,#131265); #92251=LINE('',#545818,#131266); #92252=LINE('',#545820,#131267); #92253=LINE('',#545821,#131268); #92254=LINE('',#545824,#131269); #92255=LINE('',#545826,#131270); #92256=LINE('',#545827,#131271); #92257=LINE('',#545830,#131272); #92258=LINE('',#545832,#131273); #92259=LINE('',#545833,#131274); #92260=LINE('',#545836,#131275); #92261=LINE('',#545838,#131276); #92262=LINE('',#545839,#131277); #92263=LINE('',#545842,#131278); #92264=LINE('',#545844,#131279); #92265=LINE('',#545845,#131280); #92266=LINE('',#545848,#131281); #92267=LINE('',#545850,#131282); #92268=LINE('',#545851,#131283); #92269=LINE('',#545857,#131284); #92270=LINE('',#545860,#131285); #92271=LINE('',#545862,#131286); #92272=LINE('',#545863,#131287); #92273=LINE('',#545865,#131288); #92274=LINE('',#545866,#131289); #92275=LINE('',#545873,#131290); #92276=LINE('',#545879,#131291); #92277=LINE('',#545884,#131292); #92278=LINE('',#545886,#131293); #92279=LINE('',#545888,#131294); #92280=LINE('',#545889,#131295); #92281=LINE('',#545895,#131296); #92282=LINE('',#545901,#131297); #92283=LINE('',#545904,#131298); #92284=LINE('',#545906,#131299); #92285=LINE('',#545907,#131300); #92286=LINE('',#545913,#131301); #92287=LINE('',#545919,#131302); #92288=LINE('',#545922,#131303); #92289=LINE('',#545924,#131304); #92290=LINE('',#545925,#131305); #92291=LINE('',#545935,#131306); #92292=LINE('',#545940,#131307); #92293=LINE('',#545942,#131308); #92294=LINE('',#545944,#131309); #92295=LINE('',#545945,#131310); #92296=LINE('',#545951,#131311); #92297=LINE('',#545954,#131312); #92298=LINE('',#545956,#131313); #92299=LINE('',#545957,#131314); #92300=LINE('',#545960,#131315); #92301=LINE('',#545962,#131316); #92302=LINE('',#545963,#131317); #92303=LINE('',#545966,#131318); #92304=LINE('',#545968,#131319); #92305=LINE('',#545969,#131320); #92306=LINE('',#545972,#131321); #92307=LINE('',#545974,#131322); #92308=LINE('',#545975,#131323); #92309=LINE('',#545978,#131324); #92310=LINE('',#545980,#131325); #92311=LINE('',#545981,#131326); #92312=LINE('',#545984,#131327); #92313=LINE('',#545986,#131328); #92314=LINE('',#545987,#131329); #92315=LINE('',#545990,#131330); #92316=LINE('',#545992,#131331); #92317=LINE('',#545993,#131332); #92318=LINE('',#546003,#131333); #92319=LINE('',#546008,#131334); #92320=LINE('',#546010,#131335); #92321=LINE('',#546012,#131336); #92322=LINE('',#546013,#131337); #92323=LINE('',#546016,#131338); #92324=LINE('',#546018,#131339); #92325=LINE('',#546019,#131340); #92326=LINE('',#546022,#131341); #92327=LINE('',#546024,#131342); #92328=LINE('',#546025,#131343); #92329=LINE('',#546028,#131344); #92330=LINE('',#546030,#131345); #92331=LINE('',#546031,#131346); #92332=LINE('',#546034,#131347); #92333=LINE('',#546036,#131348); #92334=LINE('',#546037,#131349); #92335=LINE('',#546043,#131350); #92336=LINE('',#546046,#131351); #92337=LINE('',#546048,#131352); #92338=LINE('',#546049,#131353); #92339=LINE('',#546055,#131354); #92340=LINE('',#546061,#131355); #92341=LINE('',#546067,#131356); #92342=LINE('',#546070,#131357); #92343=LINE('',#546072,#131358); #92344=LINE('',#546073,#131359); #92345=LINE('',#546076,#131360); #92346=LINE('',#546078,#131361); #92347=LINE('',#546079,#131362); #92348=LINE('',#546081,#131363); #92349=LINE('',#546082,#131364); #92350=LINE('',#546089,#131365); #92351=LINE('',#546095,#131366); #92352=LINE('',#546100,#131367); #92353=LINE('',#546102,#131368); #92354=LINE('',#546104,#131369); #92355=LINE('',#546105,#131370); #92356=LINE('',#546111,#131371); #92357=LINE('',#546117,#131372); #92358=LINE('',#546120,#131373); #92359=LINE('',#546122,#131374); #92360=LINE('',#546123,#131375); #92361=LINE('',#546126,#131376); #92362=LINE('',#546128,#131377); #92363=LINE('',#546129,#131378); #92364=LINE('',#546132,#131379); #92365=LINE('',#546134,#131380); #92366=LINE('',#546135,#131381); #92367=LINE('',#546138,#131382); #92368=LINE('',#546140,#131383); #92369=LINE('',#546141,#131384); #92370=LINE('',#546144,#131385); #92371=LINE('',#546146,#131386); #92372=LINE('',#546147,#131387); #92373=LINE('',#546153,#131388); #92374=LINE('',#546156,#131389); #92375=LINE('',#546158,#131390); #92376=LINE('',#546159,#131391); #92377=LINE('',#546162,#131392); #92378=LINE('',#546164,#131393); #92379=LINE('',#546165,#131394); #92380=LINE('',#546171,#131395); #92381=LINE('',#546174,#131396); #92382=LINE('',#546176,#131397); #92383=LINE('',#546177,#131398); #92384=LINE('',#546183,#131399); #92385=LINE('',#546186,#131400); #92386=LINE('',#546188,#131401); #92387=LINE('',#546189,#131402); #92388=LINE('',#546192,#131403); #92389=LINE('',#546194,#131404); #92390=LINE('',#546195,#131405); #92391=LINE('',#546198,#131406); #92392=LINE('',#546200,#131407); #92393=LINE('',#546201,#131408); #92394=LINE('',#546207,#131409); #92395=LINE('',#546210,#131410); #92396=LINE('',#546212,#131411); #92397=LINE('',#546213,#131412); #92398=LINE('',#546219,#131413); #92399=LINE('',#546222,#131414); #92400=LINE('',#546224,#131415); #92401=LINE('',#546225,#131416); #92402=LINE('',#546231,#131417); #92403=LINE('',#546237,#131418); #92404=LINE('',#546240,#131419); #92405=LINE('',#546242,#131420); #92406=LINE('',#546243,#131421); #92407=LINE('',#546246,#131422); #92408=LINE('',#546248,#131423); #92409=LINE('',#546249,#131424); #92410=LINE('',#546252,#131425); #92411=LINE('',#546254,#131426); #92412=LINE('',#546255,#131427); #92413=LINE('',#546261,#131428); #92414=LINE('',#546264,#131429); #92415=LINE('',#546266,#131430); #92416=LINE('',#546267,#131431); #92417=LINE('',#546273,#131432); #92418=LINE('',#546276,#131433); #92419=LINE('',#546278,#131434); #92420=LINE('',#546279,#131435); #92421=LINE('',#546282,#131436); #92422=LINE('',#546284,#131437); #92423=LINE('',#546285,#131438); #92424=LINE('',#546288,#131439); #92425=LINE('',#546290,#131440); #92426=LINE('',#546291,#131441); #92427=LINE('',#546297,#131442); #92428=LINE('',#546300,#131443); #92429=LINE('',#546302,#131444); #92430=LINE('',#546303,#131445); #92431=LINE('',#546306,#131446); #92432=LINE('',#546308,#131447); #92433=LINE('',#546309,#131448); #92434=LINE('',#546315,#131449); #92435=LINE('',#546318,#131450); #92436=LINE('',#546320,#131451); #92437=LINE('',#546321,#131452); #92438=LINE('',#546327,#131453); #92439=LINE('',#546330,#131454); #92440=LINE('',#546332,#131455); #92441=LINE('',#546333,#131456); #92442=LINE('',#546339,#131457); #92443=LINE('',#546342,#131458); #92444=LINE('',#546344,#131459); #92445=LINE('',#546345,#131460); #92446=LINE('',#546351,#131461); #92447=LINE('',#546354,#131462); #92448=LINE('',#546356,#131463); #92449=LINE('',#546357,#131464); #92450=LINE('',#546367,#131465); #92451=LINE('',#546373,#131466); #92452=LINE('',#546378,#131467); #92453=LINE('',#546380,#131468); #92454=LINE('',#546382,#131469); #92455=LINE('',#546383,#131470); #92456=LINE('',#546389,#131471); #92457=LINE('',#546395,#131472); #92458=LINE('',#546398,#131473); #92459=LINE('',#546400,#131474); #92460=LINE('',#546401,#131475); #92461=LINE('',#546404,#131476); #92462=LINE('',#546406,#131477); #92463=LINE('',#546407,#131478); #92464=LINE('',#546413,#131479); #92465=LINE('',#546419,#131480); #92466=LINE('',#546422,#131481); #92467=LINE('',#546424,#131482); #92468=LINE('',#546425,#131483); #92469=LINE('',#546431,#131484); #92470=LINE('',#546434,#131485); #92471=LINE('',#546436,#131486); #92472=LINE('',#546437,#131487); #92473=LINE('',#546447,#131488); #92474=LINE('',#546452,#131489); #92475=LINE('',#546454,#131490); #92476=LINE('',#546456,#131491); #92477=LINE('',#546457,#131492); #92478=LINE('',#546460,#131493); #92479=LINE('',#546462,#131494); #92480=LINE('',#546463,#131495); #92481=LINE('',#546466,#131496); #92482=LINE('',#546468,#131497); #92483=LINE('',#546469,#131498); #92484=LINE('',#546472,#131499); #92485=LINE('',#546474,#131500); #92486=LINE('',#546475,#131501); #92487=LINE('',#546478,#131502); #92488=LINE('',#546480,#131503); #92489=LINE('',#546481,#131504); #92490=LINE('',#546487,#131505); #92491=LINE('',#546490,#131506); #92492=LINE('',#546492,#131507); #92493=LINE('',#546493,#131508); #92494=LINE('',#546499,#131509); #92495=LINE('',#546505,#131510); #92496=LINE('',#546511,#131511); #92497=LINE('',#546514,#131512); #92498=LINE('',#546516,#131513); #92499=LINE('',#546517,#131514); #92500=LINE('',#546523,#131515); #92501=LINE('',#546526,#131516); #92502=LINE('',#546528,#131517); #92503=LINE('',#546529,#131518); #92504=LINE('',#546532,#131519); #92505=LINE('',#546534,#131520); #92506=LINE('',#546535,#131521); #92507=LINE('',#546538,#131522); #92508=LINE('',#546540,#131523); #92509=LINE('',#546541,#131524); #92510=LINE('',#546544,#131525); #92511=LINE('',#546546,#131526); #92512=LINE('',#546547,#131527); #92513=LINE('',#546550,#131528); #92514=LINE('',#546552,#131529); #92515=LINE('',#546553,#131530); #92516=LINE('',#546556,#131531); #92517=LINE('',#546558,#131532); #92518=LINE('',#546559,#131533); #92519=LINE('',#546562,#131534); #92520=LINE('',#546564,#131535); #92521=LINE('',#546565,#131536); #92522=LINE('',#546568,#131537); #92523=LINE('',#546570,#131538); #92524=LINE('',#546571,#131539); #92525=LINE('',#546577,#131540); #92526=LINE('',#546580,#131541); #92527=LINE('',#546582,#131542); #92528=LINE('',#546583,#131543); #92529=LINE('',#546586,#131544); #92530=LINE('',#546588,#131545); #92531=LINE('',#546589,#131546); #92532=LINE('',#546591,#131547); #92533=LINE('',#546592,#131548); #92534=LINE('',#546599,#131549); #92535=LINE('',#546604,#131550); #92536=LINE('',#546606,#131551); #92537=LINE('',#546608,#131552); #92538=LINE('',#546609,#131553); #92539=LINE('',#546615,#131554); #92540=LINE('',#546621,#131555); #92541=LINE('',#546624,#131556); #92542=LINE('',#546626,#131557); #92543=LINE('',#546627,#131558); #92544=LINE('',#546633,#131559); #92545=LINE('',#546636,#131560); #92546=LINE('',#546638,#131561); #92547=LINE('',#546639,#131562); #92548=LINE('',#546642,#131563); #92549=LINE('',#546644,#131564); #92550=LINE('',#546645,#131565); #92551=LINE('',#546648,#131566); #92552=LINE('',#546650,#131567); #92553=LINE('',#546651,#131568); #92554=LINE('',#546654,#131569); #92555=LINE('',#546656,#131570); #92556=LINE('',#546657,#131571); #92557=LINE('',#546660,#131572); #92558=LINE('',#546662,#131573); #92559=LINE('',#546663,#131574); #92560=LINE('',#546666,#131575); #92561=LINE('',#546668,#131576); #92562=LINE('',#546669,#131577); #92563=LINE('',#546672,#131578); #92564=LINE('',#546674,#131579); #92565=LINE('',#546675,#131580); #92566=LINE('',#546678,#131581); #92567=LINE('',#546680,#131582); #92568=LINE('',#546681,#131583); #92569=LINE('',#546687,#131584); #92570=LINE('',#546690,#131585); #92571=LINE('',#546692,#131586); #92572=LINE('',#546693,#131587); #92573=LINE('',#546696,#131588); #92574=LINE('',#546698,#131589); #92575=LINE('',#546699,#131590); #92576=LINE('',#546702,#131591); #92577=LINE('',#546704,#131592); #92578=LINE('',#546705,#131593); #92579=LINE('',#546708,#131594); #92580=LINE('',#546710,#131595); #92581=LINE('',#546711,#131596); #92582=LINE('',#546714,#131597); #92583=LINE('',#546716,#131598); #92584=LINE('',#546717,#131599); #92585=LINE('',#546720,#131600); #92586=LINE('',#546722,#131601); #92587=LINE('',#546723,#131602); #92588=LINE('',#546726,#131603); #92589=LINE('',#546728,#131604); #92590=LINE('',#546729,#131605); #92591=LINE('',#546732,#131606); #92592=LINE('',#546734,#131607); #92593=LINE('',#546735,#131608); #92594=LINE('',#546741,#131609); #92595=LINE('',#546744,#131610); #92596=LINE('',#546746,#131611); #92597=LINE('',#546747,#131612); #92598=LINE('',#546753,#131613); #92599=LINE('',#546763,#131614); #92600=LINE('',#546769,#131615); #92601=LINE('',#546774,#131616); #92602=LINE('',#546776,#131617); #92603=LINE('',#546778,#131618); #92604=LINE('',#546779,#131619); #92605=LINE('',#546782,#131620); #92606=LINE('',#546784,#131621); #92607=LINE('',#546785,#131622); #92608=LINE('',#546791,#131623); #92609=LINE('',#546794,#131624); #92610=LINE('',#546796,#131625); #92611=LINE('',#546797,#131626); #92612=LINE('',#546800,#131627); #92613=LINE('',#546802,#131628); #92614=LINE('',#546803,#131629); #92615=LINE('',#546809,#131630); #92616=LINE('',#546815,#131631); #92617=LINE('',#546818,#131632); #92618=LINE('',#546820,#131633); #92619=LINE('',#546821,#131634); #92620=LINE('',#546827,#131635); #92621=LINE('',#546830,#131636); #92622=LINE('',#546832,#131637); #92623=LINE('',#546833,#131638); #92624=LINE('',#546836,#131639); #92625=LINE('',#546838,#131640); #92626=LINE('',#546839,#131641); #92627=LINE('',#546842,#131642); #92628=LINE('',#546844,#131643); #92629=LINE('',#546845,#131644); #92630=LINE('',#546851,#131645); #92631=LINE('',#546854,#131646); #92632=LINE('',#546856,#131647); #92633=LINE('',#546857,#131648); #92634=LINE('',#546860,#131649); #92635=LINE('',#546862,#131650); #92636=LINE('',#546863,#131651); #92637=LINE('',#546866,#131652); #92638=LINE('',#546868,#131653); #92639=LINE('',#546869,#131654); #92640=LINE('',#546872,#131655); #92641=LINE('',#546874,#131656); #92642=LINE('',#546875,#131657); #92643=LINE('',#546878,#131658); #92644=LINE('',#546880,#131659); #92645=LINE('',#546881,#131660); #92646=LINE('',#546887,#131661); #92647=LINE('',#546890,#131662); #92648=LINE('',#546892,#131663); #92649=LINE('',#546893,#131664); #92650=LINE('',#546896,#131665); #92651=LINE('',#546898,#131666); #92652=LINE('',#546899,#131667); #92653=LINE('',#546905,#131668); #92654=LINE('',#546908,#131669); #92655=LINE('',#546910,#131670); #92656=LINE('',#546911,#131671); #92657=LINE('',#546917,#131672); #92658=LINE('',#546920,#131673); #92659=LINE('',#546922,#131674); #92660=LINE('',#546923,#131675); #92661=LINE('',#546926,#131676); #92662=LINE('',#546928,#131677); #92663=LINE('',#546929,#131678); #92664=LINE('',#546932,#131679); #92665=LINE('',#546934,#131680); #92666=LINE('',#546935,#131681); #92667=LINE('',#546941,#131682); #92668=LINE('',#546944,#131683); #92669=LINE('',#546946,#131684); #92670=LINE('',#546947,#131685); #92671=LINE('',#546953,#131686); #92672=LINE('',#546956,#131687); #92673=LINE('',#546958,#131688); #92674=LINE('',#546959,#131689); #92675=LINE('',#546965,#131690); #92676=LINE('',#546968,#131691); #92677=LINE('',#546970,#131692); #92678=LINE('',#546971,#131693); #92679=LINE('',#546974,#131694); #92680=LINE('',#546976,#131695); #92681=LINE('',#546977,#131696); #92682=LINE('',#546983,#131697); #92683=LINE('',#546989,#131698); #92684=LINE('',#546992,#131699); #92685=LINE('',#546994,#131700); #92686=LINE('',#546995,#131701); #92687=LINE('',#547001,#131702); #92688=LINE('',#547004,#131703); #92689=LINE('',#547006,#131704); #92690=LINE('',#547007,#131705); #92691=LINE('',#547010,#131706); #92692=LINE('',#547012,#131707); #92693=LINE('',#547013,#131708); #92694=LINE('',#547016,#131709); #92695=LINE('',#547018,#131710); #92696=LINE('',#547019,#131711); #92697=LINE('',#547022,#131712); #92698=LINE('',#547024,#131713); #92699=LINE('',#547025,#131714); #92700=LINE('',#547031,#131715); #92701=LINE('',#547034,#131716); #92702=LINE('',#547036,#131717); #92703=LINE('',#547037,#131718); #92704=LINE('',#547043,#131719); #92705=LINE('',#547046,#131720); #92706=LINE('',#547048,#131721); #92707=LINE('',#547049,#131722); #92708=LINE('',#547052,#131723); #92709=LINE('',#547054,#131724); #92710=LINE('',#547055,#131725); #92711=LINE('',#547058,#131726); #92712=LINE('',#547060,#131727); #92713=LINE('',#547061,#131728); #92714=LINE('',#547067,#131729); #92715=LINE('',#547070,#131730); #92716=LINE('',#547072,#131731); #92717=LINE('',#547073,#131732); #92718=LINE('',#547076,#131733); #92719=LINE('',#547078,#131734); #92720=LINE('',#547079,#131735); #92721=LINE('',#547085,#131736); #92722=LINE('',#547088,#131737); #92723=LINE('',#547090,#131738); #92724=LINE('',#547091,#131739); #92725=LINE('',#547097,#131740); #92726=LINE('',#547100,#131741); #92727=LINE('',#547102,#131742); #92728=LINE('',#547103,#131743); #92729=LINE('',#547109,#131744); #92730=LINE('',#547112,#131745); #92731=LINE('',#547114,#131746); #92732=LINE('',#547115,#131747); #92733=LINE('',#547121,#131748); #92734=LINE('',#547124,#131749); #92735=LINE('',#547126,#131750); #92736=LINE('',#547127,#131751); #92737=LINE('',#547130,#131752); #92738=LINE('',#547132,#131753); #92739=LINE('',#547133,#131754); #92740=LINE('',#547143,#131755); #92741=LINE('',#547149,#131756); #92742=LINE('',#547154,#131757); #92743=LINE('',#547156,#131758); #92744=LINE('',#547158,#131759); #92745=LINE('',#547159,#131760); #92746=LINE('',#547165,#131761); #92747=LINE('',#547171,#131762); #92748=LINE('',#547174,#131763); #92749=LINE('',#547176,#131764); #92750=LINE('',#547177,#131765); #92751=LINE('',#547183,#131766); #92752=LINE('',#547189,#131767); #92753=LINE('',#547192,#131768); #92754=LINE('',#547194,#131769); #92755=LINE('',#547195,#131770); #92756=LINE('',#547205,#131771); #92757=LINE('',#547211,#131772); #92758=LINE('',#547216,#131773); #92759=LINE('',#547218,#131774); #92760=LINE('',#547220,#131775); #92761=LINE('',#547221,#131776); #92762=LINE('',#547227,#131777); #92763=LINE('',#547233,#131778); #92764=LINE('',#547236,#131779); #92765=LINE('',#547238,#131780); #92766=LINE('',#547239,#131781); #92767=LINE('',#547245,#131782); #92768=LINE('',#547251,#131783); #92769=LINE('',#547254,#131784); #92770=LINE('',#547256,#131785); #92771=LINE('',#547257,#131786); #92772=LINE('',#547267,#131787); #92773=LINE('',#547273,#131788); #92774=LINE('',#547278,#131789); #92775=LINE('',#547280,#131790); #92776=LINE('',#547282,#131791); #92777=LINE('',#547283,#131792); #92778=LINE('',#547289,#131793); #92779=LINE('',#547295,#131794); #92780=LINE('',#547298,#131795); #92781=LINE('',#547300,#131796); #92782=LINE('',#547301,#131797); #92783=LINE('',#547307,#131798); #92784=LINE('',#547313,#131799); #92785=LINE('',#547316,#131800); #92786=LINE('',#547318,#131801); #92787=LINE('',#547319,#131802); #92788=LINE('',#547329,#131803); #92789=LINE('',#547335,#131804); #92790=LINE('',#547340,#131805); #92791=LINE('',#547342,#131806); #92792=LINE('',#547344,#131807); #92793=LINE('',#547345,#131808); #92794=LINE('',#547351,#131809); #92795=LINE('',#547354,#131810); #92796=LINE('',#547356,#131811); #92797=LINE('',#547357,#131812); #92798=LINE('',#547360,#131813); #92799=LINE('',#547362,#131814); #92800=LINE('',#547363,#131815); #92801=LINE('',#547366,#131816); #92802=LINE('',#547368,#131817); #92803=LINE('',#547369,#131818); #92804=LINE('',#547375,#131819); #92805=LINE('',#547381,#131820); #92806=LINE('',#547384,#131821); #92807=LINE('',#547386,#131822); #92808=LINE('',#547387,#131823); #92809=LINE('',#547393,#131824); #92810=LINE('',#547396,#131825); #92811=LINE('',#547398,#131826); #92812=LINE('',#547399,#131827); #92813=LINE('',#547405,#131828); #92814=LINE('',#547408,#131829); #92815=LINE('',#547410,#131830); #92816=LINE('',#547411,#131831); #92817=LINE('',#547421,#131832); #92818=LINE('',#547426,#131833); #92819=LINE('',#547428,#131834); #92820=LINE('',#547430,#131835); #92821=LINE('',#547431,#131836); #92822=LINE('',#547434,#131837); #92823=LINE('',#547436,#131838); #92824=LINE('',#547437,#131839); #92825=LINE('',#547440,#131840); #92826=LINE('',#547442,#131841); #92827=LINE('',#547443,#131842); #92828=LINE('',#547445,#131843); #92829=LINE('',#547446,#131844); #92830=LINE('',#547453,#131845); #92831=LINE('',#547458,#131846); #92832=LINE('',#547460,#131847); #92833=LINE('',#547462,#131848); #92834=LINE('',#547463,#131849); #92835=LINE('',#547466,#131850); #92836=LINE('',#547468,#131851); #92837=LINE('',#547469,#131852); #92838=LINE('',#547472,#131853); #92839=LINE('',#547474,#131854); #92840=LINE('',#547475,#131855); #92841=LINE('',#547477,#131856); #92842=LINE('',#547478,#131857); #92843=LINE('',#547485,#131858); #92844=LINE('',#547490,#131859); #92845=LINE('',#547492,#131860); #92846=LINE('',#547494,#131861); #92847=LINE('',#547495,#131862); #92848=LINE('',#547498,#131863); #92849=LINE('',#547500,#131864); #92850=LINE('',#547501,#131865); #92851=LINE('',#547504,#131866); #92852=LINE('',#547506,#131867); #92853=LINE('',#547507,#131868); #92854=LINE('',#547509,#131869); #92855=LINE('',#547510,#131870); #92856=LINE('',#547517,#131871); #92857=LINE('',#547522,#131872); #92858=LINE('',#547524,#131873); #92859=LINE('',#547526,#131874); #92860=LINE('',#547527,#131875); #92861=LINE('',#547533,#131876); #92862=LINE('',#547536,#131877); #92863=LINE('',#547538,#131878); #92864=LINE('',#547539,#131879); #92865=LINE('',#547542,#131880); #92866=LINE('',#547544,#131881); #92867=LINE('',#547545,#131882); #92868=LINE('',#547548,#131883); #92869=LINE('',#547550,#131884); #92870=LINE('',#547551,#131885); #92871=LINE('',#547554,#131886); #92872=LINE('',#547556,#131887); #92873=LINE('',#547557,#131888); #92874=LINE('',#547560,#131889); #92875=LINE('',#547562,#131890); #92876=LINE('',#547563,#131891); #92877=LINE('',#547566,#131892); #92878=LINE('',#547568,#131893); #92879=LINE('',#547569,#131894); #92880=LINE('',#547572,#131895); #92881=LINE('',#547574,#131896); #92882=LINE('',#547575,#131897); #92883=LINE('',#547585,#131898); #92884=LINE('',#547591,#131899); #92885=LINE('',#547596,#131900); #92886=LINE('',#547598,#131901); #92887=LINE('',#547600,#131902); #92888=LINE('',#547601,#131903); #92889=LINE('',#547604,#131904); #92890=LINE('',#547606,#131905); #92891=LINE('',#547607,#131906); #92892=LINE('',#547613,#131907); #92893=LINE('',#547616,#131908); #92894=LINE('',#547618,#131909); #92895=LINE('',#547619,#131910); #92896=LINE('',#547622,#131911); #92897=LINE('',#547624,#131912); #92898=LINE('',#547625,#131913); #92899=LINE('',#547631,#131914); #92900=LINE('',#547634,#131915); #92901=LINE('',#547636,#131916); #92902=LINE('',#547637,#131917); #92903=LINE('',#547640,#131918); #92904=LINE('',#547642,#131919); #92905=LINE('',#547643,#131920); #92906=LINE('',#547649,#131921); #92907=LINE('',#547655,#131922); #92908=LINE('',#547658,#131923); #92909=LINE('',#547660,#131924); #92910=LINE('',#547661,#131925); #92911=LINE('',#547667,#131926); #92912=LINE('',#547670,#131927); #92913=LINE('',#547672,#131928); #92914=LINE('',#547673,#131929); #92915=LINE('',#547676,#131930); #92916=LINE('',#547678,#131931); #92917=LINE('',#547679,#131932); #92918=LINE('',#547685,#131933); #92919=LINE('',#547688,#131934); #92920=LINE('',#547690,#131935); #92921=LINE('',#547691,#131936); #92922=LINE('',#547694,#131937); #92923=LINE('',#547696,#131938); #92924=LINE('',#547697,#131939); #92925=LINE('',#547700,#131940); #92926=LINE('',#547702,#131941); #92927=LINE('',#547703,#131942); #92928=LINE('',#547706,#131943); #92929=LINE('',#547708,#131944); #92930=LINE('',#547709,#131945); #92931=LINE('',#547712,#131946); #92932=LINE('',#547714,#131947); #92933=LINE('',#547715,#131948); #92934=LINE('',#547718,#131949); #92935=LINE('',#547720,#131950); #92936=LINE('',#547721,#131951); #92937=LINE('',#547727,#131952); #92938=LINE('',#547730,#131953); #92939=LINE('',#547732,#131954); #92940=LINE('',#547733,#131955); #92941=LINE('',#547736,#131956); #92942=LINE('',#547738,#131957); #92943=LINE('',#547739,#131958); #92944=LINE('',#547745,#131959); #92945=LINE('',#547748,#131960); #92946=LINE('',#547750,#131961); #92947=LINE('',#547751,#131962); #92948=LINE('',#547757,#131963); #92949=LINE('',#547760,#131964); #92950=LINE('',#547762,#131965); #92951=LINE('',#547763,#131966); #92952=LINE('',#547766,#131967); #92953=LINE('',#547768,#131968); #92954=LINE('',#547769,#131969); #92955=LINE('',#547772,#131970); #92956=LINE('',#547774,#131971); #92957=LINE('',#547775,#131972); #92958=LINE('',#547781,#131973); #92959=LINE('',#547784,#131974); #92960=LINE('',#547786,#131975); #92961=LINE('',#547787,#131976); #92962=LINE('',#547793,#131977); #92963=LINE('',#547796,#131978); #92964=LINE('',#547798,#131979); #92965=LINE('',#547799,#131980); #92966=LINE('',#547802,#131981); #92967=LINE('',#547804,#131982); #92968=LINE('',#547805,#131983); #92969=LINE('',#547811,#131984); #92970=LINE('',#547814,#131985); #92971=LINE('',#547816,#131986); #92972=LINE('',#547817,#131987); #92973=LINE('',#547823,#131988); #92974=LINE('',#547829,#131989); #92975=LINE('',#547832,#131990); #92976=LINE('',#547834,#131991); #92977=LINE('',#547835,#131992); #92978=LINE('',#547838,#131993); #92979=LINE('',#547840,#131994); #92980=LINE('',#547841,#131995); #92981=LINE('',#547847,#131996); #92982=LINE('',#547850,#131997); #92983=LINE('',#547852,#131998); #92984=LINE('',#547853,#131999); #92985=LINE('',#547856,#132000); #92986=LINE('',#547858,#132001); #92987=LINE('',#547859,#132002); #92988=LINE('',#547862,#132003); #92989=LINE('',#547864,#132004); #92990=LINE('',#547865,#132005); #92991=LINE('',#547871,#132006); #92992=LINE('',#547874,#132007); #92993=LINE('',#547876,#132008); #92994=LINE('',#547877,#132009); #92995=LINE('',#547883,#132010); #92996=LINE('',#547886,#132011); #92997=LINE('',#547888,#132012); #92998=LINE('',#547889,#132013); #92999=LINE('',#547892,#132014); #93000=LINE('',#547894,#132015); #93001=LINE('',#547895,#132016); #93002=LINE('',#547898,#132017); #93003=LINE('',#547900,#132018); #93004=LINE('',#547901,#132019); #93005=LINE('',#547907,#132020); #93006=LINE('',#547910,#132021); #93007=LINE('',#547912,#132022); #93008=LINE('',#547913,#132023); #93009=LINE('',#547916,#132024); #93010=LINE('',#547918,#132025); #93011=LINE('',#547919,#132026); #93012=LINE('',#547925,#132027); #93013=LINE('',#547928,#132028); #93014=LINE('',#547930,#132029); #93015=LINE('',#547931,#132030); #93016=LINE('',#547937,#132031); #93017=LINE('',#547940,#132032); #93018=LINE('',#547942,#132033); #93019=LINE('',#547943,#132034); #93020=LINE('',#547949,#132035); #93021=LINE('',#547952,#132036); #93022=LINE('',#547954,#132037); #93023=LINE('',#547955,#132038); #93024=LINE('',#547965,#132039); #93025=LINE('',#547971,#132040); #93026=LINE('',#547976,#132041); #93027=LINE('',#547978,#132042); #93028=LINE('',#547980,#132043); #93029=LINE('',#547981,#132044); #93030=LINE('',#547987,#132045); #93031=LINE('',#547990,#132046); #93032=LINE('',#547992,#132047); #93033=LINE('',#547993,#132048); #93034=LINE('',#547999,#132049); #93035=LINE('',#548005,#132050); #93036=LINE('',#548008,#132051); #93037=LINE('',#548010,#132052); #93038=LINE('',#548011,#132053); #93039=LINE('',#548021,#132054); #93040=LINE('',#548026,#132055); #93041=LINE('',#548028,#132056); #93042=LINE('',#548030,#132057); #93043=LINE('',#548031,#132058); #93044=LINE('',#548037,#132059); #93045=LINE('',#548040,#132060); #93046=LINE('',#548042,#132061); #93047=LINE('',#548043,#132062); #93048=LINE('',#548046,#132063); #93049=LINE('',#548048,#132064); #93050=LINE('',#548049,#132065); #93051=LINE('',#548052,#132066); #93052=LINE('',#548054,#132067); #93053=LINE('',#548055,#132068); #93054=LINE('',#548058,#132069); #93055=LINE('',#548060,#132070); #93056=LINE('',#548061,#132071); #93057=LINE('',#548064,#132072); #93058=LINE('',#548066,#132073); #93059=LINE('',#548067,#132074); #93060=LINE('',#548070,#132075); #93061=LINE('',#548072,#132076); #93062=LINE('',#548073,#132077); #93063=LINE('',#548076,#132078); #93064=LINE('',#548078,#132079); #93065=LINE('',#548079,#132080); #93066=LINE('',#548089,#132081); #93067=LINE('',#548094,#132082); #93068=LINE('',#548096,#132083); #93069=LINE('',#548098,#132084); #93070=LINE('',#548099,#132085); #93071=LINE('',#548105,#132086); #93072=LINE('',#548108,#132087); #93073=LINE('',#548110,#132088); #93074=LINE('',#548111,#132089); #93075=LINE('',#548114,#132090); #93076=LINE('',#548116,#132091); #93077=LINE('',#548117,#132092); #93078=LINE('',#548120,#132093); #93079=LINE('',#548122,#132094); #93080=LINE('',#548123,#132095); #93081=LINE('',#548126,#132096); #93082=LINE('',#548128,#132097); #93083=LINE('',#548129,#132098); #93084=LINE('',#548132,#132099); #93085=LINE('',#548134,#132100); #93086=LINE('',#548135,#132101); #93087=LINE('',#548138,#132102); #93088=LINE('',#548140,#132103); #93089=LINE('',#548141,#132104); #93090=LINE('',#548144,#132105); #93091=LINE('',#548146,#132106); #93092=LINE('',#548147,#132107); #93093=LINE('',#548157,#132108); #93094=LINE('',#548162,#132109); #93095=LINE('',#548164,#132110); #93096=LINE('',#548166,#132111); #93097=LINE('',#548167,#132112); #93098=LINE('',#548173,#132113); #93099=LINE('',#548176,#132114); #93100=LINE('',#548178,#132115); #93101=LINE('',#548179,#132116); #93102=LINE('',#548185,#132117); #93103=LINE('',#548188,#132118); #93104=LINE('',#548190,#132119); #93105=LINE('',#548191,#132120); #93106=LINE('',#548197,#132121); #93107=LINE('',#548200,#132122); #93108=LINE('',#548202,#132123); #93109=LINE('',#548203,#132124); #93110=LINE('',#548206,#132125); #93111=LINE('',#548208,#132126); #93112=LINE('',#548209,#132127); #93113=LINE('',#548212,#132128); #93114=LINE('',#548214,#132129); #93115=LINE('',#548215,#132130); #93116=LINE('',#548218,#132131); #93117=LINE('',#548220,#132132); #93118=LINE('',#548221,#132133); #93119=LINE('',#548224,#132134); #93120=LINE('',#548226,#132135); #93121=LINE('',#548227,#132136); #93122=LINE('',#548230,#132137); #93123=LINE('',#548232,#132138); #93124=LINE('',#548233,#132139); #93125=LINE('',#548236,#132140); #93126=LINE('',#548238,#132141); #93127=LINE('',#548239,#132142); #93128=LINE('',#548242,#132143); #93129=LINE('',#548244,#132144); #93130=LINE('',#548245,#132145); #93131=LINE('',#548248,#132146); #93132=LINE('',#548250,#132147); #93133=LINE('',#548251,#132148); #93134=LINE('',#548257,#132149); #93135=LINE('',#548267,#132150); #93136=LINE('',#548272,#132151); #93137=LINE('',#548274,#132152); #93138=LINE('',#548276,#132153); #93139=LINE('',#548277,#132154); #93140=LINE('',#548280,#132155); #93141=LINE('',#548282,#132156); #93142=LINE('',#548283,#132157); #93143=LINE('',#548286,#132158); #93144=LINE('',#548288,#132159); #93145=LINE('',#548289,#132160); #93146=LINE('',#548292,#132161); #93147=LINE('',#548294,#132162); #93148=LINE('',#548295,#132163); #93149=LINE('',#548298,#132164); #93150=LINE('',#548300,#132165); #93151=LINE('',#548301,#132166); #93152=LINE('',#548304,#132167); #93153=LINE('',#548306,#132168); #93154=LINE('',#548307,#132169); #93155=LINE('',#548310,#132170); #93156=LINE('',#548312,#132171); #93157=LINE('',#548313,#132172); #93158=LINE('',#548316,#132173); #93159=LINE('',#548318,#132174); #93160=LINE('',#548319,#132175); #93161=LINE('',#548325,#132176); #93162=LINE('',#548331,#132177); #93163=LINE('',#548334,#132178); #93164=LINE('',#548336,#132179); #93165=LINE('',#548337,#132180); #93166=LINE('',#548343,#132181); #93167=LINE('',#548346,#132182); #93168=LINE('',#548348,#132183); #93169=LINE('',#548349,#132184); #93170=LINE('',#548355,#132185); #93171=LINE('',#548357,#132186); #93172=LINE('',#548358,#132187); #93173=LINE('',#548365,#132188); #93174=LINE('',#548370,#132189); #93175=LINE('',#548372,#132190); #93176=LINE('',#548374,#132191); #93177=LINE('',#548375,#132192); #93178=LINE('',#548381,#132193); #93179=LINE('',#548391,#132194); #93180=LINE('',#548396,#132195); #93181=LINE('',#548398,#132196); #93182=LINE('',#548400,#132197); #93183=LINE('',#548401,#132198); #93184=LINE('',#548404,#132199); #93185=LINE('',#548406,#132200); #93186=LINE('',#548407,#132201); #93187=LINE('',#548410,#132202); #93188=LINE('',#548412,#132203); #93189=LINE('',#548413,#132204); #93190=LINE('',#548416,#132205); #93191=LINE('',#548418,#132206); #93192=LINE('',#548419,#132207); #93193=LINE('',#548422,#132208); #93194=LINE('',#548424,#132209); #93195=LINE('',#548425,#132210); #93196=LINE('',#548428,#132211); #93197=LINE('',#548430,#132212); #93198=LINE('',#548431,#132213); #93199=LINE('',#548434,#132214); #93200=LINE('',#548436,#132215); #93201=LINE('',#548437,#132216); #93202=LINE('',#548443,#132217); #93203=LINE('',#548446,#132218); #93204=LINE('',#548448,#132219); #93205=LINE('',#548449,#132220); #93206=LINE('',#548452,#132221); #93207=LINE('',#548454,#132222); #93208=LINE('',#548455,#132223); #93209=LINE('',#548461,#132224); #93210=LINE('',#548467,#132225); #93211=LINE('',#548470,#132226); #93212=LINE('',#548472,#132227); #93213=LINE('',#548473,#132228); #93214=LINE('',#548479,#132229); #93215=LINE('',#548482,#132230); #93216=LINE('',#548484,#132231); #93217=LINE('',#548485,#132232); #93218=LINE('',#548488,#132233); #93219=LINE('',#548490,#132234); #93220=LINE('',#548491,#132235); #93221=LINE('',#548497,#132236); #93222=LINE('',#548500,#132237); #93223=LINE('',#548502,#132238); #93224=LINE('',#548503,#132239); #93225=LINE('',#548509,#132240); #93226=LINE('',#548512,#132241); #93227=LINE('',#548514,#132242); #93228=LINE('',#548515,#132243); #93229=LINE('',#548517,#132244); #93230=LINE('',#548518,#132245); #93231=LINE('',#548525,#132246); #93232=LINE('',#548530,#132247); #93233=LINE('',#548532,#132248); #93234=LINE('',#548534,#132249); #93235=LINE('',#548535,#132250); #93236=LINE('',#548538,#132251); #93237=LINE('',#548540,#132252); #93238=LINE('',#548541,#132253); #93239=LINE('',#548544,#132254); #93240=LINE('',#548546,#132255); #93241=LINE('',#548547,#132256); #93242=LINE('',#548550,#132257); #93243=LINE('',#548552,#132258); #93244=LINE('',#548553,#132259); #93245=LINE('',#548559,#132260); #93246=LINE('',#548562,#132261); #93247=LINE('',#548564,#132262); #93248=LINE('',#548565,#132263); #93249=LINE('',#548571,#132264); #93250=LINE('',#548574,#132265); #93251=LINE('',#548576,#132266); #93252=LINE('',#548577,#132267); #93253=LINE('',#548583,#132268); #93254=LINE('',#548589,#132269); #93255=LINE('',#548592,#132270); #93256=LINE('',#548594,#132271); #93257=LINE('',#548595,#132272); #93258=LINE('',#548598,#132273); #93259=LINE('',#548600,#132274); #93260=LINE('',#548601,#132275); #93261=LINE('',#548604,#132276); #93262=LINE('',#548606,#132277); #93263=LINE('',#548607,#132278); #93264=LINE('',#548610,#132279); #93265=LINE('',#548612,#132280); #93266=LINE('',#548613,#132281); #93267=LINE('',#548615,#132282); #93268=LINE('',#548616,#132283); #93269=LINE('',#548622,#132284); #93270=LINE('',#548624,#132285); #93271=LINE('',#548626,#132286); #93272=LINE('',#548627,#132287); #93273=LINE('',#548630,#132288); #93274=LINE('',#548632,#132289); #93275=LINE('',#548633,#132290); #93276=LINE('',#548636,#132291); #93277=LINE('',#548638,#132292); #93278=LINE('',#548639,#132293); #93279=LINE('',#548642,#132294); #93280=LINE('',#548644,#132295); #93281=LINE('',#548645,#132296); #93282=LINE('',#548648,#132297); #93283=LINE('',#548650,#132298); #93284=LINE('',#548651,#132299); #93285=LINE('',#548657,#132300); #93286=LINE('',#548660,#132301); #93287=LINE('',#548662,#132302); #93288=LINE('',#548663,#132303); #93289=LINE('',#548666,#132304); #93290=LINE('',#548668,#132305); #93291=LINE('',#548669,#132306); #93292=LINE('',#548672,#132307); #93293=LINE('',#548674,#132308); #93294=LINE('',#548675,#132309); #93295=LINE('',#548678,#132310); #93296=LINE('',#548680,#132311); #93297=LINE('',#548681,#132312); #93298=LINE('',#548684,#132313); #93299=LINE('',#548686,#132314); #93300=LINE('',#548687,#132315); #93301=LINE('',#548690,#132316); #93302=LINE('',#548692,#132317); #93303=LINE('',#548693,#132318); #93304=LINE('',#548696,#132319); #93305=LINE('',#548698,#132320); #93306=LINE('',#548699,#132321); #93307=LINE('',#548701,#132322); #93308=LINE('',#548702,#132323); #93309=LINE('',#548708,#132324); #93310=LINE('',#548710,#132325); #93311=LINE('',#548712,#132326); #93312=LINE('',#548713,#132327); #93313=LINE('',#548716,#132328); #93314=LINE('',#548718,#132329); #93315=LINE('',#548719,#132330); #93316=LINE('',#548722,#132331); #93317=LINE('',#548724,#132332); #93318=LINE('',#548725,#132333); #93319=LINE('',#548728,#132334); #93320=LINE('',#548730,#132335); #93321=LINE('',#548731,#132336); #93322=LINE('',#548734,#132337); #93323=LINE('',#548736,#132338); #93324=LINE('',#548737,#132339); #93325=LINE('',#548740,#132340); #93326=LINE('',#548742,#132341); #93327=LINE('',#548743,#132342); #93328=LINE('',#548746,#132343); #93329=LINE('',#548748,#132344); #93330=LINE('',#548749,#132345); #93331=LINE('',#548752,#132346); #93332=LINE('',#548754,#132347); #93333=LINE('',#548755,#132348); #93334=LINE('',#548758,#132349); #93335=LINE('',#548760,#132350); #93336=LINE('',#548761,#132351); #93337=LINE('',#548764,#132352); #93338=LINE('',#548766,#132353); #93339=LINE('',#548767,#132354); #93340=LINE('',#548770,#132355); #93341=LINE('',#548772,#132356); #93342=LINE('',#548773,#132357); #93343=LINE('',#548779,#132358); #93344=LINE('',#548782,#132359); #93345=LINE('',#548784,#132360); #93346=LINE('',#548785,#132361); #93347=LINE('',#548787,#132362); #93348=LINE('',#548788,#132363); #93349=LINE('',#548795,#132364); #93350=LINE('',#548800,#132365); #93351=LINE('',#548802,#132366); #93352=LINE('',#548804,#132367); #93353=LINE('',#548805,#132368); #93354=LINE('',#548808,#132369); #93355=LINE('',#548810,#132370); #93356=LINE('',#548811,#132371); #93357=LINE('',#548817,#132372); #93358=LINE('',#548820,#132373); #93359=LINE('',#548822,#132374); #93360=LINE('',#548823,#132375); #93361=LINE('',#548829,#132376); #93362=LINE('',#548832,#132377); #93363=LINE('',#548834,#132378); #93364=LINE('',#548835,#132379); #93365=LINE('',#548838,#132380); #93366=LINE('',#548840,#132381); #93367=LINE('',#548841,#132382); #93368=LINE('',#548847,#132383); #93369=LINE('',#548850,#132384); #93370=LINE('',#548852,#132385); #93371=LINE('',#548853,#132386); #93372=LINE('',#548856,#132387); #93373=LINE('',#548858,#132388); #93374=LINE('',#548859,#132389); #93375=LINE('',#548862,#132390); #93376=LINE('',#548864,#132391); #93377=LINE('',#548865,#132392); #93378=LINE('',#548868,#132393); #93379=LINE('',#548870,#132394); #93380=LINE('',#548871,#132395); #93381=LINE('',#548874,#132396); #93382=LINE('',#548876,#132397); #93383=LINE('',#548877,#132398); #93384=LINE('',#548880,#132399); #93385=LINE('',#548882,#132400); #93386=LINE('',#548883,#132401); #93387=LINE('',#548886,#132402); #93388=LINE('',#548888,#132403); #93389=LINE('',#548889,#132404); #93390=LINE('',#548892,#132405); #93391=LINE('',#548894,#132406); #93392=LINE('',#548895,#132407); #93393=LINE('',#548901,#132408); #93394=LINE('',#548904,#132409); #93395=LINE('',#548906,#132410); #93396=LINE('',#548907,#132411); #93397=LINE('',#548910,#132412); #93398=LINE('',#548912,#132413); #93399=LINE('',#548913,#132414); #93400=LINE('',#548916,#132415); #93401=LINE('',#548918,#132416); #93402=LINE('',#548919,#132417); #93403=LINE('',#548922,#132418); #93404=LINE('',#548924,#132419); #93405=LINE('',#548925,#132420); #93406=LINE('',#548931,#132421); #93407=LINE('',#548934,#132422); #93408=LINE('',#548936,#132423); #93409=LINE('',#548937,#132424); #93410=LINE('',#548940,#132425); #93411=LINE('',#548942,#132426); #93412=LINE('',#548943,#132427); #93413=LINE('',#548946,#132428); #93414=LINE('',#548948,#132429); #93415=LINE('',#548949,#132430); #93416=LINE('',#548952,#132431); #93417=LINE('',#548954,#132432); #93418=LINE('',#548955,#132433); #93419=LINE('',#548958,#132434); #93420=LINE('',#548960,#132435); #93421=LINE('',#548961,#132436); #93422=LINE('',#548964,#132437); #93423=LINE('',#548966,#132438); #93424=LINE('',#548967,#132439); #93425=LINE('',#548970,#132440); #93426=LINE('',#548972,#132441); #93427=LINE('',#548973,#132442); #93428=LINE('',#548976,#132443); #93429=LINE('',#548978,#132444); #93430=LINE('',#548979,#132445); #93431=LINE('',#548982,#132446); #93432=LINE('',#548984,#132447); #93433=LINE('',#548985,#132448); #93434=LINE('',#548988,#132449); #93435=LINE('',#548990,#132450); #93436=LINE('',#548991,#132451); #93437=LINE('',#548994,#132452); #93438=LINE('',#548996,#132453); #93439=LINE('',#548997,#132454); #93440=LINE('',#549000,#132455); #93441=LINE('',#549002,#132456); #93442=LINE('',#549003,#132457); #93443=LINE('',#549006,#132458); #93444=LINE('',#549008,#132459); #93445=LINE('',#549009,#132460); #93446=LINE('',#549012,#132461); #93447=LINE('',#549014,#132462); #93448=LINE('',#549015,#132463); #93449=LINE('',#549018,#132464); #93450=LINE('',#549020,#132465); #93451=LINE('',#549021,#132466); #93452=LINE('',#549024,#132467); #93453=LINE('',#549026,#132468); #93454=LINE('',#549027,#132469); #93455=LINE('',#549037,#132470); #93456=LINE('',#549043,#132471); #93457=LINE('',#549048,#132472); #93458=LINE('',#549050,#132473); #93459=LINE('',#549052,#132474); #93460=LINE('',#549053,#132475); #93461=LINE('',#549059,#132476); #93462=LINE('',#549062,#132477); #93463=LINE('',#549064,#132478); #93464=LINE('',#549065,#132479); #93465=LINE('',#549071,#132480); #93466=LINE('',#549077,#132481); #93467=LINE('',#549080,#132482); #93468=LINE('',#549082,#132483); #93469=LINE('',#549083,#132484); #93470=LINE('',#549093,#132485); #93471=LINE('',#549098,#132486); #93472=LINE('',#549100,#132487); #93473=LINE('',#549102,#132488); #93474=LINE('',#549103,#132489); #93475=LINE('',#549106,#132490); #93476=LINE('',#549108,#132491); #93477=LINE('',#549109,#132492); #93478=LINE('',#549112,#132493); #93479=LINE('',#549114,#132494); #93480=LINE('',#549115,#132495); #93481=LINE('',#549117,#132496); #93482=LINE('',#549118,#132497); #93483=LINE('',#549125,#132498); #93484=LINE('',#549131,#132499); #93485=LINE('',#549136,#132500); #93486=LINE('',#549138,#132501); #93487=LINE('',#549140,#132502); #93488=LINE('',#549141,#132503); #93489=LINE('',#549147,#132504); #93490=LINE('',#549150,#132505); #93491=LINE('',#549152,#132506); #93492=LINE('',#549153,#132507); #93493=LINE('',#549159,#132508); #93494=LINE('',#549162,#132509); #93495=LINE('',#549164,#132510); #93496=LINE('',#549165,#132511); #93497=LINE('',#549171,#132512); #93498=LINE('',#549174,#132513); #93499=LINE('',#549176,#132514); #93500=LINE('',#549177,#132515); #93501=LINE('',#549183,#132516); #93502=LINE('',#549186,#132517); #93503=LINE('',#549188,#132518); #93504=LINE('',#549189,#132519); #93505=LINE('',#549195,#132520); #93506=LINE('',#549198,#132521); #93507=LINE('',#549200,#132522); #93508=LINE('',#549201,#132523); #93509=LINE('',#549207,#132524); #93510=LINE('',#549210,#132525); #93511=LINE('',#549212,#132526); #93512=LINE('',#549213,#132527); #93513=LINE('',#549219,#132528); #93514=LINE('',#549225,#132529); #93515=LINE('',#549228,#132530); #93516=LINE('',#549230,#132531); #93517=LINE('',#549231,#132532); #93518=LINE('',#549234,#132533); #93519=LINE('',#549236,#132534); #93520=LINE('',#549237,#132535); #93521=LINE('',#549240,#132536); #93522=LINE('',#549242,#132537); #93523=LINE('',#549243,#132538); #93524=LINE('',#549246,#132539); #93525=LINE('',#549248,#132540); #93526=LINE('',#549249,#132541); #93527=LINE('',#549252,#132542); #93528=LINE('',#549254,#132543); #93529=LINE('',#549255,#132544); #93530=LINE('',#549258,#132545); #93531=LINE('',#549260,#132546); #93532=LINE('',#549261,#132547); #93533=LINE('',#549267,#132548); #93534=LINE('',#549277,#132549); #93535=LINE('',#549283,#132550); #93536=LINE('',#549288,#132551); #93537=LINE('',#549290,#132552); #93538=LINE('',#549292,#132553); #93539=LINE('',#549293,#132554); #93540=LINE('',#549299,#132555); #93541=LINE('',#549302,#132556); #93542=LINE('',#549304,#132557); #93543=LINE('',#549305,#132558); #93544=LINE('',#549311,#132559); #93545=LINE('',#549317,#132560); #93546=LINE('',#549320,#132561); #93547=LINE('',#549322,#132562); #93548=LINE('',#549323,#132563); #93549=LINE('',#549326,#132564); #93550=LINE('',#549328,#132565); #93551=LINE('',#549329,#132566); #93552=LINE('',#549339,#132567); #93553=LINE('',#549344,#132568); #93554=LINE('',#549346,#132569); #93555=LINE('',#549348,#132570); #93556=LINE('',#549349,#132571); #93557=LINE('',#549352,#132572); #93558=LINE('',#549354,#132573); #93559=LINE('',#549355,#132574); #93560=LINE('',#549358,#132575); #93561=LINE('',#549360,#132576); #93562=LINE('',#549361,#132577); #93563=LINE('',#549363,#132578); #93564=LINE('',#549364,#132579); #93565=LINE('',#549371,#132580); #93566=LINE('',#549377,#132581); #93567=LINE('',#549383,#132582); #93568=LINE('',#549389,#132583); #93569=LINE('',#549394,#132584); #93570=LINE('',#549396,#132585); #93571=LINE('',#549398,#132586); #93572=LINE('',#549399,#132587); #93573=LINE('',#549402,#132588); #93574=LINE('',#549404,#132589); #93575=LINE('',#549405,#132590); #93576=LINE('',#549408,#132591); #93577=LINE('',#549410,#132592); #93578=LINE('',#549411,#132593); #93579=LINE('',#549414,#132594); #93580=LINE('',#549416,#132595); #93581=LINE('',#549417,#132596); #93582=LINE('',#549420,#132597); #93583=LINE('',#549422,#132598); #93584=LINE('',#549423,#132599); #93585=LINE('',#549426,#132600); #93586=LINE('',#549428,#132601); #93587=LINE('',#549429,#132602); #93588=LINE('',#549432,#132603); #93589=LINE('',#549434,#132604); #93590=LINE('',#549435,#132605); #93591=LINE('',#549438,#132606); #93592=LINE('',#549440,#132607); #93593=LINE('',#549441,#132608); #93594=LINE('',#549444,#132609); #93595=LINE('',#549446,#132610); #93596=LINE('',#549447,#132611); #93597=LINE('',#549450,#132612); #93598=LINE('',#549452,#132613); #93599=LINE('',#549453,#132614); #93600=LINE('',#549456,#132615); #93601=LINE('',#549458,#132616); #93602=LINE('',#549459,#132617); #93603=LINE('',#549462,#132618); #93604=LINE('',#549464,#132619); #93605=LINE('',#549465,#132620); #93606=LINE('',#549468,#132621); #93607=LINE('',#549470,#132622); #93608=LINE('',#549471,#132623); #93609=LINE('',#549474,#132624); #93610=LINE('',#549476,#132625); #93611=LINE('',#549477,#132626); #93612=LINE('',#549480,#132627); #93613=LINE('',#549482,#132628); #93614=LINE('',#549483,#132629); #93615=LINE('',#549485,#132630); #93616=LINE('',#549486,#132631); #93617=LINE('',#549490,#132632); #93618=LINE('',#549492,#132633); #93619=LINE('',#549494,#132634); #93620=LINE('',#549495,#132635); #93621=LINE('',#549498,#132636); #93622=LINE('',#549500,#132637); #93623=LINE('',#549501,#132638); #93624=LINE('',#549504,#132639); #93625=LINE('',#549506,#132640); #93626=LINE('',#549507,#132641); #93627=LINE('',#549510,#132642); #93628=LINE('',#549512,#132643); #93629=LINE('',#549513,#132644); #93630=LINE('',#549516,#132645); #93631=LINE('',#549518,#132646); #93632=LINE('',#549519,#132647); #93633=LINE('',#549522,#132648); #93634=LINE('',#549524,#132649); #93635=LINE('',#549525,#132650); #93636=LINE('',#549528,#132651); #93637=LINE('',#549530,#132652); #93638=LINE('',#549531,#132653); #93639=LINE('',#549534,#132654); #93640=LINE('',#549536,#132655); #93641=LINE('',#549537,#132656); #93642=LINE('',#549540,#132657); #93643=LINE('',#549542,#132658); #93644=LINE('',#549543,#132659); #93645=LINE('',#549546,#132660); #93646=LINE('',#549548,#132661); #93647=LINE('',#549549,#132662); #93648=LINE('',#549552,#132663); #93649=LINE('',#549554,#132664); #93650=LINE('',#549555,#132665); #93651=LINE('',#549558,#132666); #93652=LINE('',#549560,#132667); #93653=LINE('',#549561,#132668); #93654=LINE('',#549564,#132669); #93655=LINE('',#549566,#132670); #93656=LINE('',#549567,#132671); #93657=LINE('',#549570,#132672); #93658=LINE('',#549572,#132673); #93659=LINE('',#549573,#132674); #93660=LINE('',#549576,#132675); #93661=LINE('',#549578,#132676); #93662=LINE('',#549579,#132677); #93663=LINE('',#549582,#132678); #93664=LINE('',#549584,#132679); #93665=LINE('',#549585,#132680); #93666=LINE('',#549588,#132681); #93667=LINE('',#549590,#132682); #93668=LINE('',#549591,#132683); #93669=LINE('',#549594,#132684); #93670=LINE('',#549596,#132685); #93671=LINE('',#549597,#132686); #93672=LINE('',#549600,#132687); #93673=LINE('',#549602,#132688); #93674=LINE('',#549603,#132689); #93675=LINE('',#549606,#132690); #93676=LINE('',#549608,#132691); #93677=LINE('',#549609,#132692); #93678=LINE('',#549612,#132693); #93679=LINE('',#549614,#132694); #93680=LINE('',#549615,#132695); #93681=LINE('',#549618,#132696); #93682=LINE('',#549620,#132697); #93683=LINE('',#549621,#132698); #93684=LINE('',#549624,#132699); #93685=LINE('',#549626,#132700); #93686=LINE('',#549627,#132701); #93687=LINE('',#549630,#132702); #93688=LINE('',#549632,#132703); #93689=LINE('',#549633,#132704); #93690=LINE('',#549636,#132705); #93691=LINE('',#549638,#132706); #93692=LINE('',#549639,#132707); #93693=LINE('',#549642,#132708); #93694=LINE('',#549644,#132709); #93695=LINE('',#549645,#132710); #93696=LINE('',#549648,#132711); #93697=LINE('',#549650,#132712); #93698=LINE('',#549651,#132713); #93699=LINE('',#549654,#132714); #93700=LINE('',#549656,#132715); #93701=LINE('',#549657,#132716); #93702=LINE('',#549660,#132717); #93703=LINE('',#549662,#132718); #93704=LINE('',#549663,#132719); #93705=LINE('',#549666,#132720); #93706=LINE('',#549668,#132721); #93707=LINE('',#549669,#132722); #93708=LINE('',#549672,#132723); #93709=LINE('',#549674,#132724); #93710=LINE('',#549675,#132725); #93711=LINE('',#549678,#132726); #93712=LINE('',#549680,#132727); #93713=LINE('',#549681,#132728); #93714=LINE('',#549684,#132729); #93715=LINE('',#549686,#132730); #93716=LINE('',#549687,#132731); #93717=LINE('',#549690,#132732); #93718=LINE('',#549692,#132733); #93719=LINE('',#549693,#132734); #93720=LINE('',#549696,#132735); #93721=LINE('',#549698,#132736); #93722=LINE('',#549699,#132737); #93723=LINE('',#549702,#132738); #93724=LINE('',#549704,#132739); #93725=LINE('',#549705,#132740); #93726=LINE('',#549708,#132741); #93727=LINE('',#549710,#132742); #93728=LINE('',#549711,#132743); #93729=LINE('',#549714,#132744); #93730=LINE('',#549716,#132745); #93731=LINE('',#549717,#132746); #93732=LINE('',#549720,#132747); #93733=LINE('',#549722,#132748); #93734=LINE('',#549723,#132749); #93735=LINE('',#549726,#132750); #93736=LINE('',#549728,#132751); #93737=LINE('',#549729,#132752); #93738=LINE('',#549732,#132753); #93739=LINE('',#549734,#132754); #93740=LINE('',#549735,#132755); #93741=LINE('',#549738,#132756); #93742=LINE('',#549740,#132757); #93743=LINE('',#549741,#132758); #93744=LINE('',#549744,#132759); #93745=LINE('',#549746,#132760); #93746=LINE('',#549747,#132761); #93747=LINE('',#549750,#132762); #93748=LINE('',#549752,#132763); #93749=LINE('',#549753,#132764); #93750=LINE('',#549756,#132765); #93751=LINE('',#549758,#132766); #93752=LINE('',#549759,#132767); #93753=LINE('',#549762,#132768); #93754=LINE('',#549764,#132769); #93755=LINE('',#549765,#132770); #93756=LINE('',#549768,#132771); #93757=LINE('',#549770,#132772); #93758=LINE('',#549771,#132773); #93759=LINE('',#549774,#132774); #93760=LINE('',#549776,#132775); #93761=LINE('',#549777,#132776); #93762=LINE('',#549780,#132777); #93763=LINE('',#549782,#132778); #93764=LINE('',#549783,#132779); #93765=LINE('',#549786,#132780); #93766=LINE('',#549788,#132781); #93767=LINE('',#549789,#132782); #93768=LINE('',#549792,#132783); #93769=LINE('',#549794,#132784); #93770=LINE('',#549795,#132785); #93771=LINE('',#549798,#132786); #93772=LINE('',#549800,#132787); #93773=LINE('',#549801,#132788); #93774=LINE('',#549804,#132789); #93775=LINE('',#549806,#132790); #93776=LINE('',#549807,#132791); #93777=LINE('',#549810,#132792); #93778=LINE('',#549812,#132793); #93779=LINE('',#549813,#132794); #93780=LINE('',#549819,#132795); #93781=LINE('',#549822,#132796); #93782=LINE('',#549824,#132797); #93783=LINE('',#549825,#132798); #93784=LINE('',#549828,#132799); #93785=LINE('',#549830,#132800); #93786=LINE('',#549831,#132801); #93787=LINE('',#549834,#132802); #93788=LINE('',#549836,#132803); #93789=LINE('',#549837,#132804); #93790=LINE('',#549843,#132805); #93791=LINE('',#549849,#132806); #93792=LINE('',#549852,#132807); #93793=LINE('',#549854,#132808); #93794=LINE('',#549855,#132809); #93795=LINE('',#549861,#132810); #93796=LINE('',#549864,#132811); #93797=LINE('',#549866,#132812); #93798=LINE('',#549867,#132813); #93799=LINE('',#549873,#132814); #93800=LINE('',#549876,#132815); #93801=LINE('',#549878,#132816); #93802=LINE('',#549879,#132817); #93803=LINE('',#549885,#132818); #93804=LINE('',#549888,#132819); #93805=LINE('',#549890,#132820); #93806=LINE('',#549891,#132821); #93807=LINE('',#549894,#132822); #93808=LINE('',#549896,#132823); #93809=LINE('',#549897,#132824); #93810=LINE('',#549900,#132825); #93811=LINE('',#549902,#132826); #93812=LINE('',#549903,#132827); #93813=LINE('',#549906,#132828); #93814=LINE('',#549908,#132829); #93815=LINE('',#549909,#132830); #93816=LINE('',#549912,#132831); #93817=LINE('',#549914,#132832); #93818=LINE('',#549915,#132833); #93819=LINE('',#549918,#132834); #93820=LINE('',#549920,#132835); #93821=LINE('',#549921,#132836); #93822=LINE('',#549924,#132837); #93823=LINE('',#549926,#132838); #93824=LINE('',#549927,#132839); #93825=LINE('',#549929,#132840); #93826=LINE('',#549930,#132841); #93827=LINE('',#549937,#132842); #93828=LINE('',#549942,#132843); #93829=LINE('',#549944,#132844); #93830=LINE('',#549946,#132845); #93831=LINE('',#549947,#132846); #93832=LINE('',#549950,#132847); #93833=LINE('',#549952,#132848); #93834=LINE('',#549953,#132849); #93835=LINE('',#549959,#132850); #93836=LINE('',#549962,#132851); #93837=LINE('',#549964,#132852); #93838=LINE('',#549965,#132853); #93839=LINE('',#549968,#132854); #93840=LINE('',#549970,#132855); #93841=LINE('',#549971,#132856); #93842=LINE('',#549974,#132857); #93843=LINE('',#549976,#132858); #93844=LINE('',#549977,#132859); #93845=LINE('',#549980,#132860); #93846=LINE('',#549982,#132861); #93847=LINE('',#549983,#132862); #93848=LINE('',#549986,#132863); #93849=LINE('',#549988,#132864); #93850=LINE('',#549989,#132865); #93851=LINE('',#549992,#132866); #93852=LINE('',#549994,#132867); #93853=LINE('',#549995,#132868); #93854=LINE('',#549998,#132869); #93855=LINE('',#550000,#132870); #93856=LINE('',#550001,#132871); #93857=LINE('',#550004,#132872); #93858=LINE('',#550006,#132873); #93859=LINE('',#550007,#132874); #93860=LINE('',#550010,#132875); #93861=LINE('',#550012,#132876); #93862=LINE('',#550013,#132877); #93863=LINE('',#550016,#132878); #93864=LINE('',#550018,#132879); #93865=LINE('',#550019,#132880); #93866=LINE('',#550022,#132881); #93867=LINE('',#550024,#132882); #93868=LINE('',#550025,#132883); #93869=LINE('',#550028,#132884); #93870=LINE('',#550030,#132885); #93871=LINE('',#550031,#132886); #93872=LINE('',#550034,#132887); #93873=LINE('',#550036,#132888); #93874=LINE('',#550037,#132889); #93875=LINE('',#550040,#132890); #93876=LINE('',#550042,#132891); #93877=LINE('',#550043,#132892); #93878=LINE('',#550046,#132893); #93879=LINE('',#550048,#132894); #93880=LINE('',#550049,#132895); #93881=LINE('',#550052,#132896); #93882=LINE('',#550054,#132897); #93883=LINE('',#550055,#132898); #93884=LINE('',#550058,#132899); #93885=LINE('',#550060,#132900); #93886=LINE('',#550061,#132901); #93887=LINE('',#550064,#132902); #93888=LINE('',#550066,#132903); #93889=LINE('',#550067,#132904); #93890=LINE('',#550070,#132905); #93891=LINE('',#550072,#132906); #93892=LINE('',#550073,#132907); #93893=LINE('',#550076,#132908); #93894=LINE('',#550078,#132909); #93895=LINE('',#550079,#132910); #93896=LINE('',#550085,#132911); #93897=LINE('',#550088,#132912); #93898=LINE('',#550090,#132913); #93899=LINE('',#550091,#132914); #93900=LINE('',#550094,#132915); #93901=LINE('',#550096,#132916); #93902=LINE('',#550097,#132917); #93903=LINE('',#550100,#132918); #93904=LINE('',#550102,#132919); #93905=LINE('',#550103,#132920); #93906=LINE('',#550109,#132921); #93907=LINE('',#550112,#132922); #93908=LINE('',#550114,#132923); #93909=LINE('',#550115,#132924); #93910=LINE('',#550118,#132925); #93911=LINE('',#550120,#132926); #93912=LINE('',#550121,#132927); #93913=LINE('',#550127,#132928); #93914=LINE('',#550130,#132929); #93915=LINE('',#550132,#132930); #93916=LINE('',#550133,#132931); #93917=LINE('',#550139,#132932); #93918=LINE('',#550145,#132933); #93919=LINE('',#550148,#132934); #93920=LINE('',#550150,#132935); #93921=LINE('',#550151,#132936); #93922=LINE('',#550154,#132937); #93923=LINE('',#550156,#132938); #93924=LINE('',#550157,#132939); #93925=LINE('',#550163,#132940); #93926=LINE('',#550166,#132941); #93927=LINE('',#550168,#132942); #93928=LINE('',#550169,#132943); #93929=LINE('',#550172,#132944); #93930=LINE('',#550174,#132945); #93931=LINE('',#550175,#132946); #93932=LINE('',#550181,#132947); #93933=LINE('',#550184,#132948); #93934=LINE('',#550186,#132949); #93935=LINE('',#550187,#132950); #93936=LINE('',#550193,#132951); #93937=LINE('',#550196,#132952); #93938=LINE('',#550198,#132953); #93939=LINE('',#550199,#132954); #93940=LINE('',#550202,#132955); #93941=LINE('',#550204,#132956); #93942=LINE('',#550205,#132957); #93943=LINE('',#550208,#132958); #93944=LINE('',#550210,#132959); #93945=LINE('',#550211,#132960); #93946=LINE('',#550214,#132961); #93947=LINE('',#550216,#132962); #93948=LINE('',#550217,#132963); #93949=LINE('',#550220,#132964); #93950=LINE('',#550222,#132965); #93951=LINE('',#550223,#132966); #93952=LINE('',#550233,#132967); #93953=LINE('',#550239,#132968); #93954=LINE('',#550245,#132969); #93955=LINE('',#550251,#132970); #93956=LINE('',#550257,#132971); #93957=LINE('',#550263,#132972); #93958=LINE('',#550269,#132973); #93959=LINE('',#550275,#132974); #93960=LINE('',#550281,#132975); #93961=LINE('',#550287,#132976); #93962=LINE('',#550293,#132977); #93963=LINE('',#550299,#132978); #93964=LINE('',#550304,#132979); #93965=LINE('',#550306,#132980); #93966=LINE('',#550308,#132981); #93967=LINE('',#550309,#132982); #93968=LINE('',#550312,#132983); #93969=LINE('',#550314,#132984); #93970=LINE('',#550315,#132985); #93971=LINE('',#550318,#132986); #93972=LINE('',#550320,#132987); #93973=LINE('',#550321,#132988); #93974=LINE('',#550324,#132989); #93975=LINE('',#550326,#132990); #93976=LINE('',#550327,#132991); #93977=LINE('',#550330,#132992); #93978=LINE('',#550332,#132993); #93979=LINE('',#550333,#132994); #93980=LINE('',#550336,#132995); #93981=LINE('',#550338,#132996); #93982=LINE('',#550339,#132997); #93983=LINE('',#550342,#132998); #93984=LINE('',#550344,#132999); #93985=LINE('',#550345,#133000); #93986=LINE('',#550348,#133001); #93987=LINE('',#550350,#133002); #93988=LINE('',#550351,#133003); #93989=LINE('',#550354,#133004); #93990=LINE('',#550356,#133005); #93991=LINE('',#550357,#133006); #93992=LINE('',#550360,#133007); #93993=LINE('',#550362,#133008); #93994=LINE('',#550363,#133009); #93995=LINE('',#550366,#133010); #93996=LINE('',#550368,#133011); #93997=LINE('',#550369,#133012); #93998=LINE('',#550372,#133013); #93999=LINE('',#550374,#133014); #94000=LINE('',#550375,#133015); #94001=LINE('',#550378,#133016); #94002=LINE('',#550380,#133017); #94003=LINE('',#550381,#133018); #94004=LINE('',#550384,#133019); #94005=LINE('',#550386,#133020); #94006=LINE('',#550387,#133021); #94007=LINE('',#550390,#133022); #94008=LINE('',#550392,#133023); #94009=LINE('',#550393,#133024); #94010=LINE('',#550396,#133025); #94011=LINE('',#550398,#133026); #94012=LINE('',#550399,#133027); #94013=LINE('',#550402,#133028); #94014=LINE('',#550404,#133029); #94015=LINE('',#550405,#133030); #94016=LINE('',#550408,#133031); #94017=LINE('',#550410,#133032); #94018=LINE('',#550411,#133033); #94019=LINE('',#550414,#133034); #94020=LINE('',#550416,#133035); #94021=LINE('',#550417,#133036); #94022=LINE('',#550420,#133037); #94023=LINE('',#550422,#133038); #94024=LINE('',#550423,#133039); #94025=LINE('',#550426,#133040); #94026=LINE('',#550428,#133041); #94027=LINE('',#550429,#133042); #94028=LINE('',#550432,#133043); #94029=LINE('',#550434,#133044); #94030=LINE('',#550435,#133045); #94031=LINE('',#550438,#133046); #94032=LINE('',#550440,#133047); #94033=LINE('',#550441,#133048); #94034=LINE('',#550444,#133049); #94035=LINE('',#550446,#133050); #94036=LINE('',#550447,#133051); #94037=LINE('',#550450,#133052); #94038=LINE('',#550452,#133053); #94039=LINE('',#550453,#133054); #94040=LINE('',#550456,#133055); #94041=LINE('',#550458,#133056); #94042=LINE('',#550459,#133057); #94043=LINE('',#550462,#133058); #94044=LINE('',#550464,#133059); #94045=LINE('',#550465,#133060); #94046=LINE('',#550468,#133061); #94047=LINE('',#550470,#133062); #94048=LINE('',#550471,#133063); #94049=LINE('',#550474,#133064); #94050=LINE('',#550476,#133065); #94051=LINE('',#550477,#133066); #94052=LINE('',#550480,#133067); #94053=LINE('',#550482,#133068); #94054=LINE('',#550483,#133069); #94055=LINE('',#550486,#133070); #94056=LINE('',#550488,#133071); #94057=LINE('',#550489,#133072); #94058=LINE('',#550492,#133073); #94059=LINE('',#550494,#133074); #94060=LINE('',#550495,#133075); #94061=LINE('',#550498,#133076); #94062=LINE('',#550500,#133077); #94063=LINE('',#550501,#133078); #94064=LINE('',#550504,#133079); #94065=LINE('',#550506,#133080); #94066=LINE('',#550507,#133081); #94067=LINE('',#550510,#133082); #94068=LINE('',#550512,#133083); #94069=LINE('',#550513,#133084); #94070=LINE('',#550516,#133085); #94071=LINE('',#550518,#133086); #94072=LINE('',#550519,#133087); #94073=LINE('',#550522,#133088); #94074=LINE('',#550524,#133089); #94075=LINE('',#550525,#133090); #94076=LINE('',#550528,#133091); #94077=LINE('',#550530,#133092); #94078=LINE('',#550531,#133093); #94079=LINE('',#550534,#133094); #94080=LINE('',#550536,#133095); #94081=LINE('',#550537,#133096); #94082=LINE('',#550540,#133097); #94083=LINE('',#550542,#133098); #94084=LINE('',#550543,#133099); #94085=LINE('',#550546,#133100); #94086=LINE('',#550548,#133101); #94087=LINE('',#550549,#133102); #94088=LINE('',#550552,#133103); #94089=LINE('',#550554,#133104); #94090=LINE('',#550555,#133105); #94091=LINE('',#550558,#133106); #94092=LINE('',#550560,#133107); #94093=LINE('',#550561,#133108); #94094=LINE('',#550564,#133109); #94095=LINE('',#550566,#133110); #94096=LINE('',#550567,#133111); #94097=LINE('',#550570,#133112); #94098=LINE('',#550572,#133113); #94099=LINE('',#550573,#133114); #94100=LINE('',#550576,#133115); #94101=LINE('',#550578,#133116); #94102=LINE('',#550579,#133117); #94103=LINE('',#550582,#133118); #94104=LINE('',#550584,#133119); #94105=LINE('',#550585,#133120); #94106=LINE('',#550588,#133121); #94107=LINE('',#550590,#133122); #94108=LINE('',#550591,#133123); #94109=LINE('',#550594,#133124); #94110=LINE('',#550596,#133125); #94111=LINE('',#550597,#133126); #94112=LINE('',#550600,#133127); #94113=LINE('',#550602,#133128); #94114=LINE('',#550603,#133129); #94115=LINE('',#550606,#133130); #94116=LINE('',#550608,#133131); #94117=LINE('',#550609,#133132); #94118=LINE('',#550612,#133133); #94119=LINE('',#550614,#133134); #94120=LINE('',#550615,#133135); #94121=LINE('',#550618,#133136); #94122=LINE('',#550620,#133137); #94123=LINE('',#550621,#133138); #94124=LINE('',#550624,#133139); #94125=LINE('',#550626,#133140); #94126=LINE('',#550627,#133141); #94127=LINE('',#550630,#133142); #94128=LINE('',#550632,#133143); #94129=LINE('',#550633,#133144); #94130=LINE('',#550636,#133145); #94131=LINE('',#550638,#133146); #94132=LINE('',#550639,#133147); #94133=LINE('',#550642,#133148); #94134=LINE('',#550644,#133149); #94135=LINE('',#550645,#133150); #94136=LINE('',#550648,#133151); #94137=LINE('',#550650,#133152); #94138=LINE('',#550651,#133153); #94139=LINE('',#550654,#133154); #94140=LINE('',#550656,#133155); #94141=LINE('',#550657,#133156); #94142=LINE('',#550660,#133157); #94143=LINE('',#550662,#133158); #94144=LINE('',#550663,#133159); #94145=LINE('',#550666,#133160); #94146=LINE('',#550668,#133161); #94147=LINE('',#550669,#133162); #94148=LINE('',#550672,#133163); #94149=LINE('',#550674,#133164); #94150=LINE('',#550675,#133165); #94151=LINE('',#550678,#133166); #94152=LINE('',#550680,#133167); #94153=LINE('',#550681,#133168); #94154=LINE('',#550684,#133169); #94155=LINE('',#550686,#133170); #94156=LINE('',#550687,#133171); #94157=LINE('',#550690,#133172); #94158=LINE('',#550692,#133173); #94159=LINE('',#550693,#133174); #94160=LINE('',#550696,#133175); #94161=LINE('',#550698,#133176); #94162=LINE('',#550699,#133177); #94163=LINE('',#550702,#133178); #94164=LINE('',#550704,#133179); #94165=LINE('',#550705,#133180); #94166=LINE('',#550708,#133181); #94167=LINE('',#550710,#133182); #94168=LINE('',#550711,#133183); #94169=LINE('',#550714,#133184); #94170=LINE('',#550716,#133185); #94171=LINE('',#550717,#133186); #94172=LINE('',#550720,#133187); #94173=LINE('',#550722,#133188); #94174=LINE('',#550723,#133189); #94175=LINE('',#550726,#133190); #94176=LINE('',#550728,#133191); #94177=LINE('',#550729,#133192); #94178=LINE('',#550732,#133193); #94179=LINE('',#550734,#133194); #94180=LINE('',#550735,#133195); #94181=LINE('',#550738,#133196); #94182=LINE('',#550740,#133197); #94183=LINE('',#550741,#133198); #94184=LINE('',#550744,#133199); #94185=LINE('',#550746,#133200); #94186=LINE('',#550747,#133201); #94187=LINE('',#550750,#133202); #94188=LINE('',#550752,#133203); #94189=LINE('',#550753,#133204); #94190=LINE('',#550756,#133205); #94191=LINE('',#550758,#133206); #94192=LINE('',#550759,#133207); #94193=LINE('',#550762,#133208); #94194=LINE('',#550764,#133209); #94195=LINE('',#550765,#133210); #94196=LINE('',#550768,#133211); #94197=LINE('',#550770,#133212); #94198=LINE('',#550771,#133213); #94199=LINE('',#550774,#133214); #94200=LINE('',#550776,#133215); #94201=LINE('',#550777,#133216); #94202=LINE('',#550780,#133217); #94203=LINE('',#550782,#133218); #94204=LINE('',#550783,#133219); #94205=LINE('',#550786,#133220); #94206=LINE('',#550788,#133221); #94207=LINE('',#550789,#133222); #94208=LINE('',#550792,#133223); #94209=LINE('',#550794,#133224); #94210=LINE('',#550795,#133225); #94211=LINE('',#550798,#133226); #94212=LINE('',#550800,#133227); #94213=LINE('',#550801,#133228); #94214=LINE('',#550804,#133229); #94215=LINE('',#550806,#133230); #94216=LINE('',#550807,#133231); #94217=LINE('',#550810,#133232); #94218=LINE('',#550812,#133233); #94219=LINE('',#550813,#133234); #94220=LINE('',#550816,#133235); #94221=LINE('',#550818,#133236); #94222=LINE('',#550819,#133237); #94223=LINE('',#550822,#133238); #94224=LINE('',#550824,#133239); #94225=LINE('',#550825,#133240); #94226=LINE('',#550828,#133241); #94227=LINE('',#550830,#133242); #94228=LINE('',#550831,#133243); #94229=LINE('',#550834,#133244); #94230=LINE('',#550836,#133245); #94231=LINE('',#550837,#133246); #94232=LINE('',#550840,#133247); #94233=LINE('',#550842,#133248); #94234=LINE('',#550843,#133249); #94235=LINE('',#550846,#133250); #94236=LINE('',#550848,#133251); #94237=LINE('',#550849,#133252); #94238=LINE('',#550852,#133253); #94239=LINE('',#550854,#133254); #94240=LINE('',#550855,#133255); #94241=LINE('',#550858,#133256); #94242=LINE('',#550860,#133257); #94243=LINE('',#550861,#133258); #94244=LINE('',#550864,#133259); #94245=LINE('',#550866,#133260); #94246=LINE('',#550867,#133261); #94247=LINE('',#550870,#133262); #94248=LINE('',#550872,#133263); #94249=LINE('',#550873,#133264); #94250=LINE('',#550876,#133265); #94251=LINE('',#550878,#133266); #94252=LINE('',#550879,#133267); #94253=LINE('',#550881,#133268); #94254=LINE('',#550882,#133269); #94255=LINE('',#550888,#133270); #94256=LINE('',#550890,#133271); #94257=LINE('',#550892,#133272); #94258=LINE('',#550893,#133273); #94259=LINE('',#550896,#133274); #94260=LINE('',#550898,#133275); #94261=LINE('',#550899,#133276); #94262=LINE('',#550902,#133277); #94263=LINE('',#550904,#133278); #94264=LINE('',#550905,#133279); #94265=LINE('',#550908,#133280); #94266=LINE('',#550910,#133281); #94267=LINE('',#550911,#133282); #94268=LINE('',#550914,#133283); #94269=LINE('',#550916,#133284); #94270=LINE('',#550917,#133285); #94271=LINE('',#550920,#133286); #94272=LINE('',#550922,#133287); #94273=LINE('',#550923,#133288); #94274=LINE('',#550926,#133289); #94275=LINE('',#550928,#133290); #94276=LINE('',#550929,#133291); #94277=LINE('',#550932,#133292); #94278=LINE('',#550934,#133293); #94279=LINE('',#550935,#133294); #94280=LINE('',#550938,#133295); #94281=LINE('',#550940,#133296); #94282=LINE('',#550941,#133297); #94283=LINE('',#550944,#133298); #94284=LINE('',#550946,#133299); #94285=LINE('',#550947,#133300); #94286=LINE('',#550950,#133301); #94287=LINE('',#550952,#133302); #94288=LINE('',#550953,#133303); #94289=LINE('',#550956,#133304); #94290=LINE('',#550958,#133305); #94291=LINE('',#550959,#133306); #94292=LINE('',#550962,#133307); #94293=LINE('',#550964,#133308); #94294=LINE('',#550965,#133309); #94295=LINE('',#550968,#133310); #94296=LINE('',#550970,#133311); #94297=LINE('',#550971,#133312); #94298=LINE('',#550974,#133313); #94299=LINE('',#550976,#133314); #94300=LINE('',#550977,#133315); #94301=LINE('',#550980,#133316); #94302=LINE('',#550982,#133317); #94303=LINE('',#550983,#133318); #94304=LINE('',#550986,#133319); #94305=LINE('',#550988,#133320); #94306=LINE('',#550989,#133321); #94307=LINE('',#550992,#133322); #94308=LINE('',#550994,#133323); #94309=LINE('',#550995,#133324); #94310=LINE('',#550998,#133325); #94311=LINE('',#551000,#133326); #94312=LINE('',#551001,#133327); #94313=LINE('',#551004,#133328); #94314=LINE('',#551006,#133329); #94315=LINE('',#551007,#133330); #94316=LINE('',#551009,#133331); #94317=LINE('',#551010,#133332); #94318=LINE('',#551016,#133333); #94319=LINE('',#551018,#133334); #94320=LINE('',#551020,#133335); #94321=LINE('',#551021,#133336); #94322=LINE('',#551024,#133337); #94323=LINE('',#551026,#133338); #94324=LINE('',#551027,#133339); #94325=LINE('',#551030,#133340); #94326=LINE('',#551032,#133341); #94327=LINE('',#551033,#133342); #94328=LINE('',#551036,#133343); #94329=LINE('',#551038,#133344); #94330=LINE('',#551039,#133345); #94331=LINE('',#551042,#133346); #94332=LINE('',#551044,#133347); #94333=LINE('',#551045,#133348); #94334=LINE('',#551048,#133349); #94335=LINE('',#551050,#133350); #94336=LINE('',#551051,#133351); #94337=LINE('',#551054,#133352); #94338=LINE('',#551056,#133353); #94339=LINE('',#551057,#133354); #94340=LINE('',#551060,#133355); #94341=LINE('',#551062,#133356); #94342=LINE('',#551063,#133357); #94343=LINE('',#551066,#133358); #94344=LINE('',#551068,#133359); #94345=LINE('',#551069,#133360); #94346=LINE('',#551072,#133361); #94347=LINE('',#551074,#133362); #94348=LINE('',#551075,#133363); #94349=LINE('',#551078,#133364); #94350=LINE('',#551080,#133365); #94351=LINE('',#551081,#133366); #94352=LINE('',#551084,#133367); #94353=LINE('',#551086,#133368); #94354=LINE('',#551087,#133369); #94355=LINE('',#551090,#133370); #94356=LINE('',#551092,#133371); #94357=LINE('',#551093,#133372); #94358=LINE('',#551096,#133373); #94359=LINE('',#551098,#133374); #94360=LINE('',#551099,#133375); #94361=LINE('',#551102,#133376); #94362=LINE('',#551104,#133377); #94363=LINE('',#551105,#133378); #94364=LINE('',#551108,#133379); #94365=LINE('',#551110,#133380); #94366=LINE('',#551111,#133381); #94367=LINE('',#551114,#133382); #94368=LINE('',#551116,#133383); #94369=LINE('',#551117,#133384); #94370=LINE('',#551120,#133385); #94371=LINE('',#551122,#133386); #94372=LINE('',#551123,#133387); #94373=LINE('',#551126,#133388); #94374=LINE('',#551128,#133389); #94375=LINE('',#551129,#133390); #94376=LINE('',#551131,#133391); #94377=LINE('',#551132,#133392); #94378=LINE('',#551138,#133393); #94379=LINE('',#551140,#133394); #94380=LINE('',#551142,#133395); #94381=LINE('',#551143,#133396); #94382=LINE('',#551146,#133397); #94383=LINE('',#551148,#133398); #94384=LINE('',#551149,#133399); #94385=LINE('',#551152,#133400); #94386=LINE('',#551154,#133401); #94387=LINE('',#551155,#133402); #94388=LINE('',#551158,#133403); #94389=LINE('',#551160,#133404); #94390=LINE('',#551161,#133405); #94391=LINE('',#551164,#133406); #94392=LINE('',#551166,#133407); #94393=LINE('',#551167,#133408); #94394=LINE('',#551170,#133409); #94395=LINE('',#551172,#133410); #94396=LINE('',#551173,#133411); #94397=LINE('',#551176,#133412); #94398=LINE('',#551178,#133413); #94399=LINE('',#551179,#133414); #94400=LINE('',#551182,#133415); #94401=LINE('',#551184,#133416); #94402=LINE('',#551185,#133417); #94403=LINE('',#551188,#133418); #94404=LINE('',#551190,#133419); #94405=LINE('',#551191,#133420); #94406=LINE('',#551194,#133421); #94407=LINE('',#551196,#133422); #94408=LINE('',#551197,#133423); #94409=LINE('',#551200,#133424); #94410=LINE('',#551202,#133425); #94411=LINE('',#551203,#133426); #94412=LINE('',#551206,#133427); #94413=LINE('',#551208,#133428); #94414=LINE('',#551209,#133429); #94415=LINE('',#551212,#133430); #94416=LINE('',#551214,#133431); #94417=LINE('',#551215,#133432); #94418=LINE('',#551218,#133433); #94419=LINE('',#551220,#133434); #94420=LINE('',#551221,#133435); #94421=LINE('',#551224,#133436); #94422=LINE('',#551226,#133437); #94423=LINE('',#551227,#133438); #94424=LINE('',#551230,#133439); #94425=LINE('',#551232,#133440); #94426=LINE('',#551233,#133441); #94427=LINE('',#551236,#133442); #94428=LINE('',#551238,#133443); #94429=LINE('',#551239,#133444); #94430=LINE('',#551242,#133445); #94431=LINE('',#551244,#133446); #94432=LINE('',#551245,#133447); #94433=LINE('',#551248,#133448); #94434=LINE('',#551250,#133449); #94435=LINE('',#551251,#133450); #94436=LINE('',#551254,#133451); #94437=LINE('',#551256,#133452); #94438=LINE('',#551257,#133453); #94439=LINE('',#551260,#133454); #94440=LINE('',#551262,#133455); #94441=LINE('',#551263,#133456); #94442=LINE('',#551266,#133457); #94443=LINE('',#551268,#133458); #94444=LINE('',#551269,#133459); #94445=LINE('',#551272,#133460); #94446=LINE('',#551274,#133461); #94447=LINE('',#551275,#133462); #94448=LINE('',#551277,#133463); #94449=LINE('',#551278,#133464); #94450=LINE('',#551284,#133465); #94451=LINE('',#551286,#133466); #94452=LINE('',#551288,#133467); #94453=LINE('',#551289,#133468); #94454=LINE('',#551292,#133469); #94455=LINE('',#551294,#133470); #94456=LINE('',#551295,#133471); #94457=LINE('',#551298,#133472); #94458=LINE('',#551300,#133473); #94459=LINE('',#551301,#133474); #94460=LINE('',#551304,#133475); #94461=LINE('',#551306,#133476); #94462=LINE('',#551307,#133477); #94463=LINE('',#551310,#133478); #94464=LINE('',#551312,#133479); #94465=LINE('',#551313,#133480); #94466=LINE('',#551316,#133481); #94467=LINE('',#551318,#133482); #94468=LINE('',#551319,#133483); #94469=LINE('',#551322,#133484); #94470=LINE('',#551324,#133485); #94471=LINE('',#551325,#133486); #94472=LINE('',#551328,#133487); #94473=LINE('',#551330,#133488); #94474=LINE('',#551331,#133489); #94475=LINE('',#551334,#133490); #94476=LINE('',#551336,#133491); #94477=LINE('',#551337,#133492); #94478=LINE('',#551340,#133493); #94479=LINE('',#551342,#133494); #94480=LINE('',#551343,#133495); #94481=LINE('',#551346,#133496); #94482=LINE('',#551348,#133497); #94483=LINE('',#551349,#133498); #94484=LINE('',#551352,#133499); #94485=LINE('',#551354,#133500); #94486=LINE('',#551355,#133501); #94487=LINE('',#551358,#133502); #94488=LINE('',#551360,#133503); #94489=LINE('',#551361,#133504); #94490=LINE('',#551364,#133505); #94491=LINE('',#551366,#133506); #94492=LINE('',#551367,#133507); #94493=LINE('',#551370,#133508); #94494=LINE('',#551372,#133509); #94495=LINE('',#551373,#133510); #94496=LINE('',#551376,#133511); #94497=LINE('',#551378,#133512); #94498=LINE('',#551379,#133513); #94499=LINE('',#551382,#133514); #94500=LINE('',#551384,#133515); #94501=LINE('',#551385,#133516); #94502=LINE('',#551388,#133517); #94503=LINE('',#551390,#133518); #94504=LINE('',#551391,#133519); #94505=LINE('',#551394,#133520); #94506=LINE('',#551396,#133521); #94507=LINE('',#551397,#133522); #94508=LINE('',#551400,#133523); #94509=LINE('',#551402,#133524); #94510=LINE('',#551403,#133525); #94511=LINE('',#551405,#133526); #94512=LINE('',#551406,#133527); #94513=LINE('',#551412,#133528); #94514=LINE('',#551414,#133529); #94515=LINE('',#551416,#133530); #94516=LINE('',#551417,#133531); #94517=LINE('',#551420,#133532); #94518=LINE('',#551422,#133533); #94519=LINE('',#551423,#133534); #94520=LINE('',#551426,#133535); #94521=LINE('',#551428,#133536); #94522=LINE('',#551429,#133537); #94523=LINE('',#551432,#133538); #94524=LINE('',#551434,#133539); #94525=LINE('',#551435,#133540); #94526=LINE('',#551438,#133541); #94527=LINE('',#551440,#133542); #94528=LINE('',#551441,#133543); #94529=LINE('',#551444,#133544); #94530=LINE('',#551446,#133545); #94531=LINE('',#551447,#133546); #94532=LINE('',#551450,#133547); #94533=LINE('',#551452,#133548); #94534=LINE('',#551453,#133549); #94535=LINE('',#551456,#133550); #94536=LINE('',#551458,#133551); #94537=LINE('',#551459,#133552); #94538=LINE('',#551462,#133553); #94539=LINE('',#551464,#133554); #94540=LINE('',#551465,#133555); #94541=LINE('',#551468,#133556); #94542=LINE('',#551470,#133557); #94543=LINE('',#551471,#133558); #94544=LINE('',#551474,#133559); #94545=LINE('',#551476,#133560); #94546=LINE('',#551477,#133561); #94547=LINE('',#551480,#133562); #94548=LINE('',#551482,#133563); #94549=LINE('',#551483,#133564); #94550=LINE('',#551486,#133565); #94551=LINE('',#551488,#133566); #94552=LINE('',#551489,#133567); #94553=LINE('',#551492,#133568); #94554=LINE('',#551494,#133569); #94555=LINE('',#551495,#133570); #94556=LINE('',#551498,#133571); #94557=LINE('',#551500,#133572); #94558=LINE('',#551501,#133573); #94559=LINE('',#551504,#133574); #94560=LINE('',#551506,#133575); #94561=LINE('',#551507,#133576); #94562=LINE('',#551510,#133577); #94563=LINE('',#551512,#133578); #94564=LINE('',#551513,#133579); #94565=LINE('',#551516,#133580); #94566=LINE('',#551518,#133581); #94567=LINE('',#551519,#133582); #94568=LINE('',#551522,#133583); #94569=LINE('',#551524,#133584); #94570=LINE('',#551525,#133585); #94571=LINE('',#551528,#133586); #94572=LINE('',#551530,#133587); #94573=LINE('',#551531,#133588); #94574=LINE('',#551534,#133589); #94575=LINE('',#551536,#133590); #94576=LINE('',#551537,#133591); #94577=LINE('',#551540,#133592); #94578=LINE('',#551542,#133593); #94579=LINE('',#551543,#133594); #94580=LINE('',#551546,#133595); #94581=LINE('',#551548,#133596); #94582=LINE('',#551549,#133597); #94583=LINE('',#551552,#133598); #94584=LINE('',#551554,#133599); #94585=LINE('',#551555,#133600); #94586=LINE('',#551558,#133601); #94587=LINE('',#551560,#133602); #94588=LINE('',#551561,#133603); #94589=LINE('',#551564,#133604); #94590=LINE('',#551566,#133605); #94591=LINE('',#551567,#133606); #94592=LINE('',#551570,#133607); #94593=LINE('',#551572,#133608); #94594=LINE('',#551573,#133609); #94595=LINE('',#551576,#133610); #94596=LINE('',#551578,#133611); #94597=LINE('',#551579,#133612); #94598=LINE('',#551582,#133613); #94599=LINE('',#551584,#133614); #94600=LINE('',#551585,#133615); #94601=LINE('',#551588,#133616); #94602=LINE('',#551590,#133617); #94603=LINE('',#551591,#133618); #94604=LINE('',#551594,#133619); #94605=LINE('',#551596,#133620); #94606=LINE('',#551597,#133621); #94607=LINE('',#551600,#133622); #94608=LINE('',#551602,#133623); #94609=LINE('',#551603,#133624); #94610=LINE('',#551606,#133625); #94611=LINE('',#551608,#133626); #94612=LINE('',#551609,#133627); #94613=LINE('',#551612,#133628); #94614=LINE('',#551614,#133629); #94615=LINE('',#551615,#133630); #94616=LINE('',#551617,#133631); #94617=LINE('',#551618,#133632); #94618=LINE('',#551624,#133633); #94619=LINE('',#551626,#133634); #94620=LINE('',#551628,#133635); #94621=LINE('',#551629,#133636); #94622=LINE('',#551632,#133637); #94623=LINE('',#551634,#133638); #94624=LINE('',#551635,#133639); #94625=LINE('',#551638,#133640); #94626=LINE('',#551640,#133641); #94627=LINE('',#551641,#133642); #94628=LINE('',#551644,#133643); #94629=LINE('',#551646,#133644); #94630=LINE('',#551647,#133645); #94631=LINE('',#551650,#133646); #94632=LINE('',#551652,#133647); #94633=LINE('',#551653,#133648); #94634=LINE('',#551656,#133649); #94635=LINE('',#551658,#133650); #94636=LINE('',#551659,#133651); #94637=LINE('',#551662,#133652); #94638=LINE('',#551664,#133653); #94639=LINE('',#551665,#133654); #94640=LINE('',#551668,#133655); #94641=LINE('',#551670,#133656); #94642=LINE('',#551671,#133657); #94643=LINE('',#551674,#133658); #94644=LINE('',#551676,#133659); #94645=LINE('',#551677,#133660); #94646=LINE('',#551680,#133661); #94647=LINE('',#551682,#133662); #94648=LINE('',#551683,#133663); #94649=LINE('',#551686,#133664); #94650=LINE('',#551688,#133665); #94651=LINE('',#551689,#133666); #94652=LINE('',#551692,#133667); #94653=LINE('',#551694,#133668); #94654=LINE('',#551695,#133669); #94655=LINE('',#551698,#133670); #94656=LINE('',#551700,#133671); #94657=LINE('',#551701,#133672); #94658=LINE('',#551704,#133673); #94659=LINE('',#551706,#133674); #94660=LINE('',#551707,#133675); #94661=LINE('',#551710,#133676); #94662=LINE('',#551712,#133677); #94663=LINE('',#551713,#133678); #94664=LINE('',#551716,#133679); #94665=LINE('',#551718,#133680); #94666=LINE('',#551719,#133681); #94667=LINE('',#551722,#133682); #94668=LINE('',#551724,#133683); #94669=LINE('',#551725,#133684); #94670=LINE('',#551728,#133685); #94671=LINE('',#551730,#133686); #94672=LINE('',#551731,#133687); #94673=LINE('',#551734,#133688); #94674=LINE('',#551736,#133689); #94675=LINE('',#551737,#133690); #94676=LINE('',#551740,#133691); #94677=LINE('',#551742,#133692); #94678=LINE('',#551743,#133693); #94679=LINE('',#551745,#133694); #94680=LINE('',#551746,#133695); #94681=LINE('',#551752,#133696); #94682=LINE('',#551754,#133697); #94683=LINE('',#551756,#133698); #94684=LINE('',#551757,#133699); #94685=LINE('',#551760,#133700); #94686=LINE('',#551762,#133701); #94687=LINE('',#551763,#133702); #94688=LINE('',#551766,#133703); #94689=LINE('',#551768,#133704); #94690=LINE('',#551769,#133705); #94691=LINE('',#551772,#133706); #94692=LINE('',#551774,#133707); #94693=LINE('',#551775,#133708); #94694=LINE('',#551778,#133709); #94695=LINE('',#551780,#133710); #94696=LINE('',#551781,#133711); #94697=LINE('',#551784,#133712); #94698=LINE('',#551786,#133713); #94699=LINE('',#551787,#133714); #94700=LINE('',#551790,#133715); #94701=LINE('',#551792,#133716); #94702=LINE('',#551793,#133717); #94703=LINE('',#551796,#133718); #94704=LINE('',#551798,#133719); #94705=LINE('',#551799,#133720); #94706=LINE('',#551802,#133721); #94707=LINE('',#551804,#133722); #94708=LINE('',#551805,#133723); #94709=LINE('',#551808,#133724); #94710=LINE('',#551810,#133725); #94711=LINE('',#551811,#133726); #94712=LINE('',#551814,#133727); #94713=LINE('',#551816,#133728); #94714=LINE('',#551817,#133729); #94715=LINE('',#551820,#133730); #94716=LINE('',#551822,#133731); #94717=LINE('',#551823,#133732); #94718=LINE('',#551826,#133733); #94719=LINE('',#551828,#133734); #94720=LINE('',#551829,#133735); #94721=LINE('',#551832,#133736); #94722=LINE('',#551834,#133737); #94723=LINE('',#551835,#133738); #94724=LINE('',#551838,#133739); #94725=LINE('',#551840,#133740); #94726=LINE('',#551841,#133741); #94727=LINE('',#551844,#133742); #94728=LINE('',#551846,#133743); #94729=LINE('',#551847,#133744); #94730=LINE('',#551850,#133745); #94731=LINE('',#551852,#133746); #94732=LINE('',#551853,#133747); #94733=LINE('',#551856,#133748); #94734=LINE('',#551858,#133749); #94735=LINE('',#551859,#133750); #94736=LINE('',#551862,#133751); #94737=LINE('',#551864,#133752); #94738=LINE('',#551865,#133753); #94739=LINE('',#551868,#133754); #94740=LINE('',#551870,#133755); #94741=LINE('',#551871,#133756); #94742=LINE('',#551874,#133757); #94743=LINE('',#551876,#133758); #94744=LINE('',#551877,#133759); #94745=LINE('',#551880,#133760); #94746=LINE('',#551882,#133761); #94747=LINE('',#551883,#133762); #94748=LINE('',#551886,#133763); #94749=LINE('',#551888,#133764); #94750=LINE('',#551889,#133765); #94751=LINE('',#551892,#133766); #94752=LINE('',#551894,#133767); #94753=LINE('',#551895,#133768); #94754=LINE('',#551898,#133769); #94755=LINE('',#551900,#133770); #94756=LINE('',#551901,#133771); #94757=LINE('',#551904,#133772); #94758=LINE('',#551906,#133773); #94759=LINE('',#551907,#133774); #94760=LINE('',#551910,#133775); #94761=LINE('',#551912,#133776); #94762=LINE('',#551913,#133777); #94763=LINE('',#551916,#133778); #94764=LINE('',#551918,#133779); #94765=LINE('',#551919,#133780); #94766=LINE('',#551922,#133781); #94767=LINE('',#551924,#133782); #94768=LINE('',#551925,#133783); #94769=LINE('',#551928,#133784); #94770=LINE('',#551930,#133785); #94771=LINE('',#551931,#133786); #94772=LINE('',#551933,#133787); #94773=LINE('',#551934,#133788); #94774=LINE('',#551940,#133789); #94775=LINE('',#551942,#133790); #94776=LINE('',#551944,#133791); #94777=LINE('',#551945,#133792); #94778=LINE('',#551948,#133793); #94779=LINE('',#551950,#133794); #94780=LINE('',#551951,#133795); #94781=LINE('',#551954,#133796); #94782=LINE('',#551956,#133797); #94783=LINE('',#551957,#133798); #94784=LINE('',#551960,#133799); #94785=LINE('',#551962,#133800); #94786=LINE('',#551963,#133801); #94787=LINE('',#551966,#133802); #94788=LINE('',#551968,#133803); #94789=LINE('',#551969,#133804); #94790=LINE('',#551972,#133805); #94791=LINE('',#551974,#133806); #94792=LINE('',#551975,#133807); #94793=LINE('',#551978,#133808); #94794=LINE('',#551980,#133809); #94795=LINE('',#551981,#133810); #94796=LINE('',#551984,#133811); #94797=LINE('',#551986,#133812); #94798=LINE('',#551987,#133813); #94799=LINE('',#551990,#133814); #94800=LINE('',#551992,#133815); #94801=LINE('',#551993,#133816); #94802=LINE('',#551996,#133817); #94803=LINE('',#551998,#133818); #94804=LINE('',#551999,#133819); #94805=LINE('',#552002,#133820); #94806=LINE('',#552004,#133821); #94807=LINE('',#552005,#133822); #94808=LINE('',#552008,#133823); #94809=LINE('',#552010,#133824); #94810=LINE('',#552011,#133825); #94811=LINE('',#552014,#133826); #94812=LINE('',#552016,#133827); #94813=LINE('',#552017,#133828); #94814=LINE('',#552020,#133829); #94815=LINE('',#552022,#133830); #94816=LINE('',#552023,#133831); #94817=LINE('',#552026,#133832); #94818=LINE('',#552028,#133833); #94819=LINE('',#552029,#133834); #94820=LINE('',#552032,#133835); #94821=LINE('',#552034,#133836); #94822=LINE('',#552035,#133837); #94823=LINE('',#552038,#133838); #94824=LINE('',#552040,#133839); #94825=LINE('',#552041,#133840); #94826=LINE('',#552044,#133841); #94827=LINE('',#552046,#133842); #94828=LINE('',#552047,#133843); #94829=LINE('',#552050,#133844); #94830=LINE('',#552052,#133845); #94831=LINE('',#552053,#133846); #94832=LINE('',#552056,#133847); #94833=LINE('',#552058,#133848); #94834=LINE('',#552059,#133849); #94835=LINE('',#552062,#133850); #94836=LINE('',#552064,#133851); #94837=LINE('',#552065,#133852); #94838=LINE('',#552067,#133853); #94839=LINE('',#552068,#133854); #94840=LINE('',#552074,#133855); #94841=LINE('',#552076,#133856); #94842=LINE('',#552078,#133857); #94843=LINE('',#552079,#133858); #94844=LINE('',#552082,#133859); #94845=LINE('',#552084,#133860); #94846=LINE('',#552085,#133861); #94847=LINE('',#552088,#133862); #94848=LINE('',#552090,#133863); #94849=LINE('',#552091,#133864); #94850=LINE('',#552094,#133865); #94851=LINE('',#552096,#133866); #94852=LINE('',#552097,#133867); #94853=LINE('',#552100,#133868); #94854=LINE('',#552102,#133869); #94855=LINE('',#552103,#133870); #94856=LINE('',#552106,#133871); #94857=LINE('',#552108,#133872); #94858=LINE('',#552109,#133873); #94859=LINE('',#552112,#133874); #94860=LINE('',#552114,#133875); #94861=LINE('',#552115,#133876); #94862=LINE('',#552118,#133877); #94863=LINE('',#552120,#133878); #94864=LINE('',#552121,#133879); #94865=LINE('',#552124,#133880); #94866=LINE('',#552126,#133881); #94867=LINE('',#552127,#133882); #94868=LINE('',#552130,#133883); #94869=LINE('',#552132,#133884); #94870=LINE('',#552133,#133885); #94871=LINE('',#552136,#133886); #94872=LINE('',#552138,#133887); #94873=LINE('',#552139,#133888); #94874=LINE('',#552142,#133889); #94875=LINE('',#552144,#133890); #94876=LINE('',#552145,#133891); #94877=LINE('',#552148,#133892); #94878=LINE('',#552150,#133893); #94879=LINE('',#552151,#133894); #94880=LINE('',#552154,#133895); #94881=LINE('',#552156,#133896); #94882=LINE('',#552157,#133897); #94883=LINE('',#552160,#133898); #94884=LINE('',#552162,#133899); #94885=LINE('',#552163,#133900); #94886=LINE('',#552166,#133901); #94887=LINE('',#552168,#133902); #94888=LINE('',#552169,#133903); #94889=LINE('',#552172,#133904); #94890=LINE('',#552174,#133905); #94891=LINE('',#552175,#133906); #94892=LINE('',#552178,#133907); #94893=LINE('',#552180,#133908); #94894=LINE('',#552181,#133909); #94895=LINE('',#552184,#133910); #94896=LINE('',#552186,#133911); #94897=LINE('',#552187,#133912); #94898=LINE('',#552189,#133913); #94899=LINE('',#552190,#133914); #94900=LINE('',#552197,#133915); #94901=LINE('',#552203,#133916); #94902=LINE('',#552209,#133917); #94903=LINE('',#552215,#133918); #94904=LINE('',#552221,#133919); #94905=LINE('',#552227,#133920); #94906=LINE('',#552233,#133921); #94907=LINE('',#552239,#133922); #94908=LINE('',#552245,#133923); #94909=LINE('',#552251,#133924); #94910=LINE('',#552257,#133925); #94911=LINE('',#552263,#133926); #94912=LINE('',#552269,#133927); #94913=LINE('',#552275,#133928); #94914=LINE('',#552281,#133929); #94915=LINE('',#552287,#133930); #94916=LINE('',#552293,#133931); #94917=LINE('',#552299,#133932); #94918=LINE('',#552305,#133933); #94919=LINE('',#552311,#133934); #94920=LINE('',#552317,#133935); #94921=LINE('',#552323,#133936); #94922=LINE('',#552329,#133937); #94923=LINE('',#552335,#133938); #94924=LINE('',#552341,#133939); #94925=LINE('',#552347,#133940); #94926=LINE('',#552353,#133941); #94927=LINE('',#552359,#133942); #94928=LINE('',#552365,#133943); #94929=LINE('',#552371,#133944); #94930=LINE('',#552377,#133945); #94931=LINE('',#552383,#133946); #94932=LINE('',#552389,#133947); #94933=LINE('',#552395,#133948); #94934=LINE('',#552401,#133949); #94935=LINE('',#552407,#133950); #94936=LINE('',#552413,#133951); #94937=LINE('',#552419,#133952); #94938=LINE('',#552425,#133953); #94939=LINE('',#552431,#133954); #94940=LINE('',#552437,#133955); #94941=LINE('',#552443,#133956); #94942=LINE('',#552449,#133957); #94943=LINE('',#552455,#133958); #94944=LINE('',#552461,#133959); #94945=LINE('',#552467,#133960); #94946=LINE('',#552473,#133961); #94947=LINE('',#552479,#133962); #94948=LINE('',#552485,#133963); #94949=LINE('',#552491,#133964); #94950=LINE('',#552497,#133965); #94951=LINE('',#552503,#133966); #94952=LINE('',#552509,#133967); #94953=LINE('',#552515,#133968); #94954=LINE('',#552521,#133969); #94955=LINE('',#552527,#133970); #94956=LINE('',#552533,#133971); #94957=LINE('',#552539,#133972); #94958=LINE('',#552545,#133973); #94959=LINE('',#552551,#133974); #94960=LINE('',#552557,#133975); #94961=LINE('',#552563,#133976); #94962=LINE('',#552569,#133977); #94963=LINE('',#552575,#133978); #94964=LINE('',#552580,#133979); #94965=LINE('',#552582,#133980); #94966=LINE('',#552584,#133981); #94967=LINE('',#552585,#133982); #94968=LINE('',#552591,#133983); #94969=LINE('',#552594,#133984); #94970=LINE('',#552596,#133985); #94971=LINE('',#552597,#133986); #94972=LINE('',#552604,#133987); #94973=LINE('',#552606,#133988); #94974=LINE('',#552608,#133989); #94975=LINE('',#552609,#133990); #94976=LINE('',#552615,#133991); #94977=LINE('',#552618,#133992); #94978=LINE('',#552620,#133993); #94979=LINE('',#552621,#133994); #94980=LINE('',#552629,#133995); #94981=LINE('',#552635,#133996); #94982=LINE('',#552640,#133997); #94983=LINE('',#552642,#133998); #94984=LINE('',#552644,#133999); #94985=LINE('',#552645,#134000); #94986=LINE('',#552651,#134001); #94987=LINE('',#552654,#134002); #94988=LINE('',#552656,#134003); #94989=LINE('',#552657,#134004); #94990=LINE('',#552665,#134005); #94991=LINE('',#552671,#134006); #94992=LINE('',#552677,#134007); #94993=LINE('',#552683,#134008); #94994=LINE('',#552689,#134009); #94995=LINE('',#552694,#134010); #94996=LINE('',#552696,#134011); #94997=LINE('',#552698,#134012); #94998=LINE('',#552699,#134013); #94999=LINE('',#552705,#134014); #95000=LINE('',#552708,#134015); #95001=LINE('',#552710,#134016); #95002=LINE('',#552711,#134017); #95003=LINE('',#552719,#134018); #95004=LINE('',#552724,#134019); #95005=LINE('',#552726,#134020); #95006=LINE('',#552728,#134021); #95007=LINE('',#552729,#134022); #95008=LINE('',#552735,#134023); #95009=LINE('',#552738,#134024); #95010=LINE('',#552740,#134025); #95011=LINE('',#552741,#134026); #95012=LINE('',#552748,#134027); #95013=LINE('',#552750,#134028); #95014=LINE('',#552752,#134029); #95015=LINE('',#552753,#134030); #95016=LINE('',#552759,#134031); #95017=LINE('',#552762,#134032); #95018=LINE('',#552764,#134033); #95019=LINE('',#552765,#134034); #95020=LINE('',#552773,#134035); #95021=LINE('',#552779,#134036); #95022=LINE('',#552785,#134037); #95023=LINE('',#552791,#134038); #95024=LINE('',#552797,#134039); #95025=LINE('',#552803,#134040); #95026=LINE('',#552809,#134041); #95027=LINE('',#552815,#134042); #95028=LINE('',#552821,#134043); #95029=LINE('',#552827,#134044); #95030=LINE('',#552833,#134045); #95031=LINE('',#552839,#134046); #95032=LINE('',#552845,#134047); #95033=LINE('',#552851,#134048); #95034=LINE('',#552857,#134049); #95035=LINE('',#552863,#134050); #95036=LINE('',#552869,#134051); #95037=LINE('',#552875,#134052); #95038=LINE('',#552881,#134053); #95039=LINE('',#552887,#134054); #95040=LINE('',#552893,#134055); #95041=LINE('',#552899,#134056); #95042=LINE('',#552905,#134057); #95043=LINE('',#552911,#134058); #95044=LINE('',#552917,#134059); #95045=LINE('',#552923,#134060); #95046=LINE('',#552929,#134061); #95047=LINE('',#552935,#134062); #95048=LINE('',#552941,#134063); #95049=LINE('',#552947,#134064); #95050=LINE('',#552953,#134065); #95051=LINE('',#552959,#134066); #95052=LINE('',#552965,#134067); #95053=LINE('',#552971,#134068); #95054=LINE('',#552977,#134069); #95055=LINE('',#552983,#134070); #95056=LINE('',#552989,#134071); #95057=LINE('',#552995,#134072); #95058=LINE('',#553001,#134073); #95059=LINE('',#553007,#134074); #95060=LINE('',#553013,#134075); #95061=LINE('',#553019,#134076); #95062=LINE('',#553025,#134077); #95063=LINE('',#553031,#134078); #95064=LINE('',#553037,#134079); #95065=LINE('',#553043,#134080); #95066=LINE('',#553049,#134081); #95067=LINE('',#553055,#134082); #95068=LINE('',#553061,#134083); #95069=LINE('',#553067,#134084); #95070=LINE('',#553073,#134085); #95071=LINE('',#553079,#134086); #95072=LINE('',#553084,#134087); #95073=LINE('',#553086,#134088); #95074=LINE('',#553088,#134089); #95075=LINE('',#553089,#134090); #95076=LINE('',#553092,#134091); #95077=LINE('',#553094,#134092); #95078=LINE('',#553095,#134093); #95079=LINE('',#553098,#134094); #95080=LINE('',#553100,#134095); #95081=LINE('',#553101,#134096); #95082=LINE('',#553104,#134097); #95083=LINE('',#553106,#134098); #95084=LINE('',#553107,#134099); #95085=LINE('',#553110,#134100); #95086=LINE('',#553112,#134101); #95087=LINE('',#553113,#134102); #95088=LINE('',#553116,#134103); #95089=LINE('',#553118,#134104); #95090=LINE('',#553119,#134105); #95091=LINE('',#553122,#134106); #95092=LINE('',#553124,#134107); #95093=LINE('',#553125,#134108); #95094=LINE('',#553128,#134109); #95095=LINE('',#553130,#134110); #95096=LINE('',#553131,#134111); #95097=LINE('',#553134,#134112); #95098=LINE('',#553136,#134113); #95099=LINE('',#553137,#134114); #95100=LINE('',#553140,#134115); #95101=LINE('',#553142,#134116); #95102=LINE('',#553143,#134117); #95103=LINE('',#553146,#134118); #95104=LINE('',#553148,#134119); #95105=LINE('',#553149,#134120); #95106=LINE('',#553152,#134121); #95107=LINE('',#553154,#134122); #95108=LINE('',#553155,#134123); #95109=LINE('',#553158,#134124); #95110=LINE('',#553160,#134125); #95111=LINE('',#553161,#134126); #95112=LINE('',#553164,#134127); #95113=LINE('',#553166,#134128); #95114=LINE('',#553167,#134129); #95115=LINE('',#553170,#134130); #95116=LINE('',#553172,#134131); #95117=LINE('',#553173,#134132); #95118=LINE('',#553176,#134133); #95119=LINE('',#553178,#134134); #95120=LINE('',#553179,#134135); #95121=LINE('',#553182,#134136); #95122=LINE('',#553184,#134137); #95123=LINE('',#553185,#134138); #95124=LINE('',#553188,#134139); #95125=LINE('',#553190,#134140); #95126=LINE('',#553191,#134141); #95127=LINE('',#553194,#134142); #95128=LINE('',#553196,#134143); #95129=LINE('',#553197,#134144); #95130=LINE('',#553200,#134145); #95131=LINE('',#553202,#134146); #95132=LINE('',#553203,#134147); #95133=LINE('',#553206,#134148); #95134=LINE('',#553208,#134149); #95135=LINE('',#553209,#134150); #95136=LINE('',#553212,#134151); #95137=LINE('',#553214,#134152); #95138=LINE('',#553215,#134153); #95139=LINE('',#553218,#134154); #95140=LINE('',#553220,#134155); #95141=LINE('',#553221,#134156); #95142=LINE('',#553224,#134157); #95143=LINE('',#553226,#134158); #95144=LINE('',#553227,#134159); #95145=LINE('',#553230,#134160); #95146=LINE('',#553232,#134161); #95147=LINE('',#553233,#134162); #95148=LINE('',#553236,#134163); #95149=LINE('',#553238,#134164); #95150=LINE('',#553239,#134165); #95151=LINE('',#553242,#134166); #95152=LINE('',#553244,#134167); #95153=LINE('',#553245,#134168); #95154=LINE('',#553248,#134169); #95155=LINE('',#553250,#134170); #95156=LINE('',#553251,#134171); #95157=LINE('',#553254,#134172); #95158=LINE('',#553256,#134173); #95159=LINE('',#553257,#134174); #95160=LINE('',#553260,#134175); #95161=LINE('',#553262,#134176); #95162=LINE('',#553263,#134177); #95163=LINE('',#553266,#134178); #95164=LINE('',#553268,#134179); #95165=LINE('',#553269,#134180); #95166=LINE('',#553272,#134181); #95167=LINE('',#553274,#134182); #95168=LINE('',#553275,#134183); #95169=LINE('',#553278,#134184); #95170=LINE('',#553280,#134185); #95171=LINE('',#553281,#134186); #95172=LINE('',#553284,#134187); #95173=LINE('',#553286,#134188); #95174=LINE('',#553287,#134189); #95175=LINE('',#553290,#134190); #95176=LINE('',#553292,#134191); #95177=LINE('',#553293,#134192); #95178=LINE('',#553296,#134193); #95179=LINE('',#553298,#134194); #95180=LINE('',#553299,#134195); #95181=LINE('',#553302,#134196); #95182=LINE('',#553304,#134197); #95183=LINE('',#553305,#134198); #95184=LINE('',#553308,#134199); #95185=LINE('',#553310,#134200); #95186=LINE('',#553311,#134201); #95187=LINE('',#553314,#134202); #95188=LINE('',#553316,#134203); #95189=LINE('',#553317,#134204); #95190=LINE('',#553320,#134205); #95191=LINE('',#553322,#134206); #95192=LINE('',#553323,#134207); #95193=LINE('',#553326,#134208); #95194=LINE('',#553328,#134209); #95195=LINE('',#553329,#134210); #95196=LINE('',#553332,#134211); #95197=LINE('',#553334,#134212); #95198=LINE('',#553335,#134213); #95199=LINE('',#553338,#134214); #95200=LINE('',#553340,#134215); #95201=LINE('',#553341,#134216); #95202=LINE('',#553344,#134217); #95203=LINE('',#553346,#134218); #95204=LINE('',#553347,#134219); #95205=LINE('',#553350,#134220); #95206=LINE('',#553352,#134221); #95207=LINE('',#553353,#134222); #95208=LINE('',#553356,#134223); #95209=LINE('',#553358,#134224); #95210=LINE('',#553359,#134225); #95211=LINE('',#553362,#134226); #95212=LINE('',#553364,#134227); #95213=LINE('',#553365,#134228); #95214=LINE('',#553368,#134229); #95215=LINE('',#553370,#134230); #95216=LINE('',#553371,#134231); #95217=LINE('',#553374,#134232); #95218=LINE('',#553376,#134233); #95219=LINE('',#553377,#134234); #95220=LINE('',#553380,#134235); #95221=LINE('',#553382,#134236); #95222=LINE('',#553383,#134237); #95223=LINE('',#553386,#134238); #95224=LINE('',#553388,#134239); #95225=LINE('',#553389,#134240); #95226=LINE('',#553392,#134241); #95227=LINE('',#553394,#134242); #95228=LINE('',#553395,#134243); #95229=LINE('',#553398,#134244); #95230=LINE('',#553400,#134245); #95231=LINE('',#553401,#134246); #95232=LINE('',#553404,#134247); #95233=LINE('',#553406,#134248); #95234=LINE('',#553407,#134249); #95235=LINE('',#553410,#134250); #95236=LINE('',#553412,#134251); #95237=LINE('',#553413,#134252); #95238=LINE('',#553416,#134253); #95239=LINE('',#553418,#134254); #95240=LINE('',#553419,#134255); #95241=LINE('',#553422,#134256); #95242=LINE('',#553424,#134257); #95243=LINE('',#553425,#134258); #95244=LINE('',#553428,#134259); #95245=LINE('',#553430,#134260); #95246=LINE('',#553431,#134261); #95247=LINE('',#553434,#134262); #95248=LINE('',#553436,#134263); #95249=LINE('',#553437,#134264); #95250=LINE('',#553440,#134265); #95251=LINE('',#553442,#134266); #95252=LINE('',#553443,#134267); #95253=LINE('',#553446,#134268); #95254=LINE('',#553448,#134269); #95255=LINE('',#553449,#134270); #95256=LINE('',#553452,#134271); #95257=LINE('',#553454,#134272); #95258=LINE('',#553455,#134273); #95259=LINE('',#553458,#134274); #95260=LINE('',#553460,#134275); #95261=LINE('',#553461,#134276); #95262=LINE('',#553464,#134277); #95263=LINE('',#553466,#134278); #95264=LINE('',#553467,#134279); #95265=LINE('',#553470,#134280); #95266=LINE('',#553472,#134281); #95267=LINE('',#553473,#134282); #95268=LINE('',#553476,#134283); #95269=LINE('',#553478,#134284); #95270=LINE('',#553479,#134285); #95271=LINE('',#553482,#134286); #95272=LINE('',#553484,#134287); #95273=LINE('',#553485,#134288); #95274=LINE('',#553488,#134289); #95275=LINE('',#553490,#134290); #95276=LINE('',#553491,#134291); #95277=LINE('',#553494,#134292); #95278=LINE('',#553496,#134293); #95279=LINE('',#553497,#134294); #95280=LINE('',#553500,#134295); #95281=LINE('',#553502,#134296); #95282=LINE('',#553503,#134297); #95283=LINE('',#553506,#134298); #95284=LINE('',#553508,#134299); #95285=LINE('',#553509,#134300); #95286=LINE('',#553512,#134301); #95287=LINE('',#553514,#134302); #95288=LINE('',#553515,#134303); #95289=LINE('',#553518,#134304); #95290=LINE('',#553520,#134305); #95291=LINE('',#553521,#134306); #95292=LINE('',#553524,#134307); #95293=LINE('',#553526,#134308); #95294=LINE('',#553527,#134309); #95295=LINE('',#553530,#134310); #95296=LINE('',#553532,#134311); #95297=LINE('',#553533,#134312); #95298=LINE('',#553536,#134313); #95299=LINE('',#553538,#134314); #95300=LINE('',#553539,#134315); #95301=LINE('',#553542,#134316); #95302=LINE('',#553544,#134317); #95303=LINE('',#553545,#134318); #95304=LINE('',#553548,#134319); #95305=LINE('',#553550,#134320); #95306=LINE('',#553551,#134321); #95307=LINE('',#553554,#134322); #95308=LINE('',#553556,#134323); #95309=LINE('',#553557,#134324); #95310=LINE('',#553560,#134325); #95311=LINE('',#553562,#134326); #95312=LINE('',#553563,#134327); #95313=LINE('',#553566,#134328); #95314=LINE('',#553568,#134329); #95315=LINE('',#553569,#134330); #95316=LINE('',#553572,#134331); #95317=LINE('',#553574,#134332); #95318=LINE('',#553575,#134333); #95319=LINE('',#553578,#134334); #95320=LINE('',#553580,#134335); #95321=LINE('',#553581,#134336); #95322=LINE('',#553584,#134337); #95323=LINE('',#553586,#134338); #95324=LINE('',#553587,#134339); #95325=LINE('',#553590,#134340); #95326=LINE('',#553592,#134341); #95327=LINE('',#553593,#134342); #95328=LINE('',#553596,#134343); #95329=LINE('',#553598,#134344); #95330=LINE('',#553599,#134345); #95331=LINE('',#553602,#134346); #95332=LINE('',#553604,#134347); #95333=LINE('',#553605,#134348); #95334=LINE('',#553608,#134349); #95335=LINE('',#553610,#134350); #95336=LINE('',#553611,#134351); #95337=LINE('',#553614,#134352); #95338=LINE('',#553616,#134353); #95339=LINE('',#553617,#134354); #95340=LINE('',#553620,#134355); #95341=LINE('',#553622,#134356); #95342=LINE('',#553623,#134357); #95343=LINE('',#553626,#134358); #95344=LINE('',#553628,#134359); #95345=LINE('',#553629,#134360); #95346=LINE('',#553632,#134361); #95347=LINE('',#553634,#134362); #95348=LINE('',#553635,#134363); #95349=LINE('',#553638,#134364); #95350=LINE('',#553640,#134365); #95351=LINE('',#553641,#134366); #95352=LINE('',#553644,#134367); #95353=LINE('',#553646,#134368); #95354=LINE('',#553647,#134369); #95355=LINE('',#553650,#134370); #95356=LINE('',#553652,#134371); #95357=LINE('',#553653,#134372); #95358=LINE('',#553656,#134373); #95359=LINE('',#553658,#134374); #95360=LINE('',#553659,#134375); #95361=LINE('',#553662,#134376); #95362=LINE('',#553664,#134377); #95363=LINE('',#553665,#134378); #95364=LINE('',#553668,#134379); #95365=LINE('',#553670,#134380); #95366=LINE('',#553671,#134381); #95367=LINE('',#553674,#134382); #95368=LINE('',#553676,#134383); #95369=LINE('',#553677,#134384); #95370=LINE('',#553680,#134385); #95371=LINE('',#553682,#134386); #95372=LINE('',#553683,#134387); #95373=LINE('',#553686,#134388); #95374=LINE('',#553688,#134389); #95375=LINE('',#553689,#134390); #95376=LINE('',#553692,#134391); #95377=LINE('',#553694,#134392); #95378=LINE('',#553695,#134393); #95379=LINE('',#553698,#134394); #95380=LINE('',#553700,#134395); #95381=LINE('',#553701,#134396); #95382=LINE('',#553704,#134397); #95383=LINE('',#553706,#134398); #95384=LINE('',#553707,#134399); #95385=LINE('',#553710,#134400); #95386=LINE('',#553712,#134401); #95387=LINE('',#553713,#134402); #95388=LINE('',#553716,#134403); #95389=LINE('',#553718,#134404); #95390=LINE('',#553719,#134405); #95391=LINE('',#553722,#134406); #95392=LINE('',#553724,#134407); #95393=LINE('',#553725,#134408); #95394=LINE('',#553728,#134409); #95395=LINE('',#553730,#134410); #95396=LINE('',#553731,#134411); #95397=LINE('',#553734,#134412); #95398=LINE('',#553736,#134413); #95399=LINE('',#553737,#134414); #95400=LINE('',#553740,#134415); #95401=LINE('',#553742,#134416); #95402=LINE('',#553743,#134417); #95403=LINE('',#553746,#134418); #95404=LINE('',#553748,#134419); #95405=LINE('',#553749,#134420); #95406=LINE('',#553752,#134421); #95407=LINE('',#553754,#134422); #95408=LINE('',#553755,#134423); #95409=LINE('',#553758,#134424); #95410=LINE('',#553760,#134425); #95411=LINE('',#553761,#134426); #95412=LINE('',#553764,#134427); #95413=LINE('',#553766,#134428); #95414=LINE('',#553767,#134429); #95415=LINE('',#553770,#134430); #95416=LINE('',#553772,#134431); #95417=LINE('',#553773,#134432); #95418=LINE('',#553776,#134433); #95419=LINE('',#553778,#134434); #95420=LINE('',#553779,#134435); #95421=LINE('',#553782,#134436); #95422=LINE('',#553784,#134437); #95423=LINE('',#553785,#134438); #95424=LINE('',#553788,#134439); #95425=LINE('',#553790,#134440); #95426=LINE('',#553791,#134441); #95427=LINE('',#553794,#134442); #95428=LINE('',#553796,#134443); #95429=LINE('',#553797,#134444); #95430=LINE('',#553800,#134445); #95431=LINE('',#553802,#134446); #95432=LINE('',#553803,#134447); #95433=LINE('',#553806,#134448); #95434=LINE('',#553808,#134449); #95435=LINE('',#553809,#134450); #95436=LINE('',#553812,#134451); #95437=LINE('',#553814,#134452); #95438=LINE('',#553815,#134453); #95439=LINE('',#553818,#134454); #95440=LINE('',#553820,#134455); #95441=LINE('',#553821,#134456); #95442=LINE('',#553824,#134457); #95443=LINE('',#553826,#134458); #95444=LINE('',#553827,#134459); #95445=LINE('',#553830,#134460); #95446=LINE('',#553832,#134461); #95447=LINE('',#553833,#134462); #95448=LINE('',#553836,#134463); #95449=LINE('',#553838,#134464); #95450=LINE('',#553839,#134465); #95451=LINE('',#553842,#134466); #95452=LINE('',#553844,#134467); #95453=LINE('',#553845,#134468); #95454=LINE('',#553848,#134469); #95455=LINE('',#553850,#134470); #95456=LINE('',#553851,#134471); #95457=LINE('',#553854,#134472); #95458=LINE('',#553856,#134473); #95459=LINE('',#553857,#134474); #95460=LINE('',#553860,#134475); #95461=LINE('',#553862,#134476); #95462=LINE('',#553863,#134477); #95463=LINE('',#553866,#134478); #95464=LINE('',#553868,#134479); #95465=LINE('',#553869,#134480); #95466=LINE('',#553871,#134481); #95467=LINE('',#553872,#134482); #95468=LINE('',#553876,#134483); #95469=LINE('',#553878,#134484); #95470=LINE('',#553880,#134485); #95471=LINE('',#553881,#134486); #95472=LINE('',#553884,#134487); #95473=LINE('',#553886,#134488); #95474=LINE('',#553887,#134489); #95475=LINE('',#553890,#134490); #95476=LINE('',#553892,#134491); #95477=LINE('',#553893,#134492); #95478=LINE('',#553896,#134493); #95479=LINE('',#553898,#134494); #95480=LINE('',#553899,#134495); #95481=LINE('',#553902,#134496); #95482=LINE('',#553904,#134497); #95483=LINE('',#553905,#134498); #95484=LINE('',#553908,#134499); #95485=LINE('',#553910,#134500); #95486=LINE('',#553911,#134501); #95487=LINE('',#553914,#134502); #95488=LINE('',#553916,#134503); #95489=LINE('',#553917,#134504); #95490=LINE('',#553919,#134505); #95491=LINE('',#553920,#134506); #95492=LINE('',#553924,#134507); #95493=LINE('',#553926,#134508); #95494=LINE('',#553928,#134509); #95495=LINE('',#553929,#134510); #95496=LINE('',#553932,#134511); #95497=LINE('',#553934,#134512); #95498=LINE('',#553935,#134513); #95499=LINE('',#553938,#134514); #95500=LINE('',#553940,#134515); #95501=LINE('',#553941,#134516); #95502=LINE('',#553944,#134517); #95503=LINE('',#553946,#134518); #95504=LINE('',#553947,#134519); #95505=LINE('',#553950,#134520); #95506=LINE('',#553952,#134521); #95507=LINE('',#553953,#134522); #95508=LINE('',#553956,#134523); #95509=LINE('',#553958,#134524); #95510=LINE('',#553959,#134525); #95511=LINE('',#553962,#134526); #95512=LINE('',#553964,#134527); #95513=LINE('',#553965,#134528); #95514=LINE('',#553967,#134529); #95515=LINE('',#553968,#134530); #95516=LINE('',#553972,#134531); #95517=LINE('',#553974,#134532); #95518=LINE('',#553976,#134533); #95519=LINE('',#553977,#134534); #95520=LINE('',#553980,#134535); #95521=LINE('',#553982,#134536); #95522=LINE('',#553983,#134537); #95523=LINE('',#553986,#134538); #95524=LINE('',#553988,#134539); #95525=LINE('',#553989,#134540); #95526=LINE('',#553992,#134541); #95527=LINE('',#553994,#134542); #95528=LINE('',#553995,#134543); #95529=LINE('',#553998,#134544); #95530=LINE('',#554000,#134545); #95531=LINE('',#554001,#134546); #95532=LINE('',#554004,#134547); #95533=LINE('',#554006,#134548); #95534=LINE('',#554007,#134549); #95535=LINE('',#554010,#134550); #95536=LINE('',#554012,#134551); #95537=LINE('',#554013,#134552); #95538=LINE('',#554015,#134553); #95539=LINE('',#554016,#134554); #95540=LINE('',#554020,#134555); #95541=LINE('',#554022,#134556); #95542=LINE('',#554024,#134557); #95543=LINE('',#554025,#134558); #95544=LINE('',#554028,#134559); #95545=LINE('',#554030,#134560); #95546=LINE('',#554031,#134561); #95547=LINE('',#554034,#134562); #95548=LINE('',#554036,#134563); #95549=LINE('',#554037,#134564); #95550=LINE('',#554040,#134565); #95551=LINE('',#554042,#134566); #95552=LINE('',#554043,#134567); #95553=LINE('',#554046,#134568); #95554=LINE('',#554048,#134569); #95555=LINE('',#554049,#134570); #95556=LINE('',#554052,#134571); #95557=LINE('',#554054,#134572); #95558=LINE('',#554055,#134573); #95559=LINE('',#554058,#134574); #95560=LINE('',#554060,#134575); #95561=LINE('',#554061,#134576); #95562=LINE('',#554064,#134577); #95563=LINE('',#554066,#134578); #95564=LINE('',#554067,#134579); #95565=LINE('',#554070,#134580); #95566=LINE('',#554072,#134581); #95567=LINE('',#554073,#134582); #95568=LINE('',#554076,#134583); #95569=LINE('',#554078,#134584); #95570=LINE('',#554079,#134585); #95571=LINE('',#554082,#134586); #95572=LINE('',#554084,#134587); #95573=LINE('',#554085,#134588); #95574=LINE('',#554088,#134589); #95575=LINE('',#554090,#134590); #95576=LINE('',#554091,#134591); #95577=LINE('',#554094,#134592); #95578=LINE('',#554096,#134593); #95579=LINE('',#554097,#134594); #95580=LINE('',#554100,#134595); #95581=LINE('',#554102,#134596); #95582=LINE('',#554103,#134597); #95583=LINE('',#554106,#134598); #95584=LINE('',#554108,#134599); #95585=LINE('',#554109,#134600); #95586=LINE('',#554112,#134601); #95587=LINE('',#554114,#134602); #95588=LINE('',#554115,#134603); #95589=LINE('',#554118,#134604); #95590=LINE('',#554120,#134605); #95591=LINE('',#554121,#134606); #95592=LINE('',#554124,#134607); #95593=LINE('',#554126,#134608); #95594=LINE('',#554127,#134609); #95595=LINE('',#554130,#134610); #95596=LINE('',#554132,#134611); #95597=LINE('',#554133,#134612); #95598=LINE('',#554136,#134613); #95599=LINE('',#554138,#134614); #95600=LINE('',#554139,#134615); #95601=LINE('',#554142,#134616); #95602=LINE('',#554144,#134617); #95603=LINE('',#554145,#134618); #95604=LINE('',#554148,#134619); #95605=LINE('',#554150,#134620); #95606=LINE('',#554151,#134621); #95607=LINE('',#554154,#134622); #95608=LINE('',#554156,#134623); #95609=LINE('',#554157,#134624); #95610=LINE('',#554160,#134625); #95611=LINE('',#554162,#134626); #95612=LINE('',#554163,#134627); #95613=LINE('',#554166,#134628); #95614=LINE('',#554168,#134629); #95615=LINE('',#554169,#134630); #95616=LINE('',#554172,#134631); #95617=LINE('',#554174,#134632); #95618=LINE('',#554175,#134633); #95619=LINE('',#554178,#134634); #95620=LINE('',#554180,#134635); #95621=LINE('',#554181,#134636); #95622=LINE('',#554184,#134637); #95623=LINE('',#554186,#134638); #95624=LINE('',#554187,#134639); #95625=LINE('',#554190,#134640); #95626=LINE('',#554192,#134641); #95627=LINE('',#554193,#134642); #95628=LINE('',#554196,#134643); #95629=LINE('',#554198,#134644); #95630=LINE('',#554199,#134645); #95631=LINE('',#554202,#134646); #95632=LINE('',#554204,#134647); #95633=LINE('',#554205,#134648); #95634=LINE('',#554208,#134649); #95635=LINE('',#554210,#134650); #95636=LINE('',#554211,#134651); #95637=LINE('',#554214,#134652); #95638=LINE('',#554216,#134653); #95639=LINE('',#554217,#134654); #95640=LINE('',#554219,#134655); #95641=LINE('',#554220,#134656); #95642=LINE('',#554224,#134657); #95643=LINE('',#554226,#134658); #95644=LINE('',#554228,#134659); #95645=LINE('',#554229,#134660); #95646=LINE('',#554232,#134661); #95647=LINE('',#554234,#134662); #95648=LINE('',#554235,#134663); #95649=LINE('',#554238,#134664); #95650=LINE('',#554240,#134665); #95651=LINE('',#554241,#134666); #95652=LINE('',#554244,#134667); #95653=LINE('',#554246,#134668); #95654=LINE('',#554247,#134669); #95655=LINE('',#554250,#134670); #95656=LINE('',#554252,#134671); #95657=LINE('',#554253,#134672); #95658=LINE('',#554256,#134673); #95659=LINE('',#554258,#134674); #95660=LINE('',#554259,#134675); #95661=LINE('',#554262,#134676); #95662=LINE('',#554264,#134677); #95663=LINE('',#554265,#134678); #95664=LINE('',#554268,#134679); #95665=LINE('',#554270,#134680); #95666=LINE('',#554271,#134681); #95667=LINE('',#554274,#134682); #95668=LINE('',#554276,#134683); #95669=LINE('',#554277,#134684); #95670=LINE('',#554280,#134685); #95671=LINE('',#554282,#134686); #95672=LINE('',#554283,#134687); #95673=LINE('',#554286,#134688); #95674=LINE('',#554288,#134689); #95675=LINE('',#554289,#134690); #95676=LINE('',#554292,#134691); #95677=LINE('',#554294,#134692); #95678=LINE('',#554295,#134693); #95679=LINE('',#554298,#134694); #95680=LINE('',#554300,#134695); #95681=LINE('',#554301,#134696); #95682=LINE('',#554304,#134697); #95683=LINE('',#554306,#134698); #95684=LINE('',#554307,#134699); #95685=LINE('',#554310,#134700); #95686=LINE('',#554312,#134701); #95687=LINE('',#554313,#134702); #95688=LINE('',#554316,#134703); #95689=LINE('',#554318,#134704); #95690=LINE('',#554319,#134705); #95691=LINE('',#554322,#134706); #95692=LINE('',#554324,#134707); #95693=LINE('',#554325,#134708); #95694=LINE('',#554328,#134709); #95695=LINE('',#554330,#134710); #95696=LINE('',#554331,#134711); #95697=LINE('',#554334,#134712); #95698=LINE('',#554336,#134713); #95699=LINE('',#554337,#134714); #95700=LINE('',#554340,#134715); #95701=LINE('',#554342,#134716); #95702=LINE('',#554343,#134717); #95703=LINE('',#554346,#134718); #95704=LINE('',#554348,#134719); #95705=LINE('',#554349,#134720); #95706=LINE('',#554352,#134721); #95707=LINE('',#554354,#134722); #95708=LINE('',#554355,#134723); #95709=LINE('',#554358,#134724); #95710=LINE('',#554360,#134725); #95711=LINE('',#554361,#134726); #95712=LINE('',#554364,#134727); #95713=LINE('',#554366,#134728); #95714=LINE('',#554367,#134729); #95715=LINE('',#554370,#134730); #95716=LINE('',#554372,#134731); #95717=LINE('',#554373,#134732); #95718=LINE('',#554376,#134733); #95719=LINE('',#554378,#134734); #95720=LINE('',#554379,#134735); #95721=LINE('',#554382,#134736); #95722=LINE('',#554384,#134737); #95723=LINE('',#554385,#134738); #95724=LINE('',#554388,#134739); #95725=LINE('',#554390,#134740); #95726=LINE('',#554391,#134741); #95727=LINE('',#554394,#134742); #95728=LINE('',#554396,#134743); #95729=LINE('',#554397,#134744); #95730=LINE('',#554400,#134745); #95731=LINE('',#554402,#134746); #95732=LINE('',#554403,#134747); #95733=LINE('',#554406,#134748); #95734=LINE('',#554408,#134749); #95735=LINE('',#554409,#134750); #95736=LINE('',#554412,#134751); #95737=LINE('',#554414,#134752); #95738=LINE('',#554415,#134753); #95739=LINE('',#554418,#134754); #95740=LINE('',#554420,#134755); #95741=LINE('',#554421,#134756); #95742=LINE('',#554423,#134757); #95743=LINE('',#554424,#134758); #95744=LINE('',#554428,#134759); #95745=LINE('',#554430,#134760); #95746=LINE('',#554432,#134761); #95747=LINE('',#554433,#134762); #95748=LINE('',#554436,#134763); #95749=LINE('',#554438,#134764); #95750=LINE('',#554439,#134765); #95751=LINE('',#554442,#134766); #95752=LINE('',#554444,#134767); #95753=LINE('',#554445,#134768); #95754=LINE('',#554448,#134769); #95755=LINE('',#554450,#134770); #95756=LINE('',#554451,#134771); #95757=LINE('',#554454,#134772); #95758=LINE('',#554456,#134773); #95759=LINE('',#554457,#134774); #95760=LINE('',#554460,#134775); #95761=LINE('',#554462,#134776); #95762=LINE('',#554463,#134777); #95763=LINE('',#554466,#134778); #95764=LINE('',#554468,#134779); #95765=LINE('',#554469,#134780); #95766=LINE('',#554472,#134781); #95767=LINE('',#554474,#134782); #95768=LINE('',#554475,#134783); #95769=LINE('',#554478,#134784); #95770=LINE('',#554480,#134785); #95771=LINE('',#554481,#134786); #95772=LINE('',#554484,#134787); #95773=LINE('',#554486,#134788); #95774=LINE('',#554487,#134789); #95775=LINE('',#554490,#134790); #95776=LINE('',#554492,#134791); #95777=LINE('',#554493,#134792); #95778=LINE('',#554496,#134793); #95779=LINE('',#554498,#134794); #95780=LINE('',#554499,#134795); #95781=LINE('',#554502,#134796); #95782=LINE('',#554504,#134797); #95783=LINE('',#554505,#134798); #95784=LINE('',#554508,#134799); #95785=LINE('',#554510,#134800); #95786=LINE('',#554511,#134801); #95787=LINE('',#554514,#134802); #95788=LINE('',#554516,#134803); #95789=LINE('',#554517,#134804); #95790=LINE('',#554520,#134805); #95791=LINE('',#554522,#134806); #95792=LINE('',#554523,#134807); #95793=LINE('',#554526,#134808); #95794=LINE('',#554528,#134809); #95795=LINE('',#554529,#134810); #95796=LINE('',#554532,#134811); #95797=LINE('',#554534,#134812); #95798=LINE('',#554535,#134813); #95799=LINE('',#554538,#134814); #95800=LINE('',#554540,#134815); #95801=LINE('',#554541,#134816); #95802=LINE('',#554544,#134817); #95803=LINE('',#554546,#134818); #95804=LINE('',#554547,#134819); #95805=LINE('',#554550,#134820); #95806=LINE('',#554552,#134821); #95807=LINE('',#554553,#134822); #95808=LINE('',#554556,#134823); #95809=LINE('',#554558,#134824); #95810=LINE('',#554559,#134825); #95811=LINE('',#554562,#134826); #95812=LINE('',#554564,#134827); #95813=LINE('',#554565,#134828); #95814=LINE('',#554568,#134829); #95815=LINE('',#554570,#134830); #95816=LINE('',#554571,#134831); #95817=LINE('',#554574,#134832); #95818=LINE('',#554576,#134833); #95819=LINE('',#554577,#134834); #95820=LINE('',#554580,#134835); #95821=LINE('',#554582,#134836); #95822=LINE('',#554583,#134837); #95823=LINE('',#554586,#134838); #95824=LINE('',#554588,#134839); #95825=LINE('',#554589,#134840); #95826=LINE('',#554592,#134841); #95827=LINE('',#554594,#134842); #95828=LINE('',#554595,#134843); #95829=LINE('',#554598,#134844); #95830=LINE('',#554600,#134845); #95831=LINE('',#554601,#134846); #95832=LINE('',#554604,#134847); #95833=LINE('',#554606,#134848); #95834=LINE('',#554607,#134849); #95835=LINE('',#554610,#134850); #95836=LINE('',#554612,#134851); #95837=LINE('',#554613,#134852); #95838=LINE('',#554616,#134853); #95839=LINE('',#554618,#134854); #95840=LINE('',#554619,#134855); #95841=LINE('',#554622,#134856); #95842=LINE('',#554624,#134857); #95843=LINE('',#554625,#134858); #95844=LINE('',#554628,#134859); #95845=LINE('',#554630,#134860); #95846=LINE('',#554631,#134861); #95847=LINE('',#554634,#134862); #95848=LINE('',#554636,#134863); #95849=LINE('',#554637,#134864); #95850=LINE('',#554640,#134865); #95851=LINE('',#554642,#134866); #95852=LINE('',#554643,#134867); #95853=LINE('',#554646,#134868); #95854=LINE('',#554648,#134869); #95855=LINE('',#554649,#134870); #95856=LINE('',#554652,#134871); #95857=LINE('',#554654,#134872); #95858=LINE('',#554655,#134873); #95859=LINE('',#554658,#134874); #95860=LINE('',#554660,#134875); #95861=LINE('',#554661,#134876); #95862=LINE('',#554663,#134877); #95863=LINE('',#554664,#134878); #95864=LINE('',#554668,#134879); #95865=LINE('',#554670,#134880); #95866=LINE('',#554672,#134881); #95867=LINE('',#554673,#134882); #95868=LINE('',#554676,#134883); #95869=LINE('',#554678,#134884); #95870=LINE('',#554679,#134885); #95871=LINE('',#554682,#134886); #95872=LINE('',#554684,#134887); #95873=LINE('',#554685,#134888); #95874=LINE('',#554688,#134889); #95875=LINE('',#554690,#134890); #95876=LINE('',#554691,#134891); #95877=LINE('',#554694,#134892); #95878=LINE('',#554696,#134893); #95879=LINE('',#554697,#134894); #95880=LINE('',#554700,#134895); #95881=LINE('',#554702,#134896); #95882=LINE('',#554703,#134897); #95883=LINE('',#554706,#134898); #95884=LINE('',#554708,#134899); #95885=LINE('',#554709,#134900); #95886=LINE('',#554712,#134901); #95887=LINE('',#554714,#134902); #95888=LINE('',#554715,#134903); #95889=LINE('',#554718,#134904); #95890=LINE('',#554720,#134905); #95891=LINE('',#554721,#134906); #95892=LINE('',#554724,#134907); #95893=LINE('',#554726,#134908); #95894=LINE('',#554727,#134909); #95895=LINE('',#554730,#134910); #95896=LINE('',#554732,#134911); #95897=LINE('',#554733,#134912); #95898=LINE('',#554736,#134913); #95899=LINE('',#554738,#134914); #95900=LINE('',#554739,#134915); #95901=LINE('',#554742,#134916); #95902=LINE('',#554744,#134917); #95903=LINE('',#554745,#134918); #95904=LINE('',#554748,#134919); #95905=LINE('',#554750,#134920); #95906=LINE('',#554751,#134921); #95907=LINE('',#554754,#134922); #95908=LINE('',#554756,#134923); #95909=LINE('',#554757,#134924); #95910=LINE('',#554760,#134925); #95911=LINE('',#554762,#134926); #95912=LINE('',#554763,#134927); #95913=LINE('',#554766,#134928); #95914=LINE('',#554768,#134929); #95915=LINE('',#554769,#134930); #95916=LINE('',#554772,#134931); #95917=LINE('',#554774,#134932); #95918=LINE('',#554775,#134933); #95919=LINE('',#554778,#134934); #95920=LINE('',#554780,#134935); #95921=LINE('',#554781,#134936); #95922=LINE('',#554784,#134937); #95923=LINE('',#554786,#134938); #95924=LINE('',#554787,#134939); #95925=LINE('',#554790,#134940); #95926=LINE('',#554792,#134941); #95927=LINE('',#554793,#134942); #95928=LINE('',#554796,#134943); #95929=LINE('',#554798,#134944); #95930=LINE('',#554799,#134945); #95931=LINE('',#554802,#134946); #95932=LINE('',#554804,#134947); #95933=LINE('',#554805,#134948); #95934=LINE('',#554808,#134949); #95935=LINE('',#554810,#134950); #95936=LINE('',#554811,#134951); #95937=LINE('',#554814,#134952); #95938=LINE('',#554816,#134953); #95939=LINE('',#554817,#134954); #95940=LINE('',#554820,#134955); #95941=LINE('',#554822,#134956); #95942=LINE('',#554823,#134957); #95943=LINE('',#554826,#134958); #95944=LINE('',#554828,#134959); #95945=LINE('',#554829,#134960); #95946=LINE('',#554832,#134961); #95947=LINE('',#554834,#134962); #95948=LINE('',#554835,#134963); #95949=LINE('',#554838,#134964); #95950=LINE('',#554840,#134965); #95951=LINE('',#554841,#134966); #95952=LINE('',#554844,#134967); #95953=LINE('',#554846,#134968); #95954=LINE('',#554847,#134969); #95955=LINE('',#554850,#134970); #95956=LINE('',#554852,#134971); #95957=LINE('',#554853,#134972); #95958=LINE('',#554856,#134973); #95959=LINE('',#554858,#134974); #95960=LINE('',#554859,#134975); #95961=LINE('',#554862,#134976); #95962=LINE('',#554864,#134977); #95963=LINE('',#554865,#134978); #95964=LINE('',#554868,#134979); #95965=LINE('',#554870,#134980); #95966=LINE('',#554871,#134981); #95967=LINE('',#554874,#134982); #95968=LINE('',#554876,#134983); #95969=LINE('',#554877,#134984); #95970=LINE('',#554880,#134985); #95971=LINE('',#554882,#134986); #95972=LINE('',#554883,#134987); #95973=LINE('',#554886,#134988); #95974=LINE('',#554888,#134989); #95975=LINE('',#554889,#134990); #95976=LINE('',#554891,#134991); #95977=LINE('',#554892,#134992); #95978=LINE('',#554896,#134993); #95979=LINE('',#554898,#134994); #95980=LINE('',#554900,#134995); #95981=LINE('',#554901,#134996); #95982=LINE('',#554904,#134997); #95983=LINE('',#554906,#134998); #95984=LINE('',#554907,#134999); #95985=LINE('',#554910,#135000); #95986=LINE('',#554912,#135001); #95987=LINE('',#554913,#135002); #95988=LINE('',#554916,#135003); #95989=LINE('',#554918,#135004); #95990=LINE('',#554919,#135005); #95991=LINE('',#554922,#135006); #95992=LINE('',#554924,#135007); #95993=LINE('',#554925,#135008); #95994=LINE('',#554928,#135009); #95995=LINE('',#554930,#135010); #95996=LINE('',#554931,#135011); #95997=LINE('',#554934,#135012); #95998=LINE('',#554936,#135013); #95999=LINE('',#554937,#135014); #96000=LINE('',#554940,#135015); #96001=LINE('',#554942,#135016); #96002=LINE('',#554943,#135017); #96003=LINE('',#554946,#135018); #96004=LINE('',#554948,#135019); #96005=LINE('',#554949,#135020); #96006=LINE('',#554952,#135021); #96007=LINE('',#554954,#135022); #96008=LINE('',#554955,#135023); #96009=LINE('',#554958,#135024); #96010=LINE('',#554960,#135025); #96011=LINE('',#554961,#135026); #96012=LINE('',#554964,#135027); #96013=LINE('',#554966,#135028); #96014=LINE('',#554967,#135029); #96015=LINE('',#554970,#135030); #96016=LINE('',#554972,#135031); #96017=LINE('',#554973,#135032); #96018=LINE('',#554976,#135033); #96019=LINE('',#554978,#135034); #96020=LINE('',#554979,#135035); #96021=LINE('',#554982,#135036); #96022=LINE('',#554984,#135037); #96023=LINE('',#554985,#135038); #96024=LINE('',#554988,#135039); #96025=LINE('',#554990,#135040); #96026=LINE('',#554991,#135041); #96027=LINE('',#554994,#135042); #96028=LINE('',#554996,#135043); #96029=LINE('',#554997,#135044); #96030=LINE('',#555000,#135045); #96031=LINE('',#555002,#135046); #96032=LINE('',#555003,#135047); #96033=LINE('',#555006,#135048); #96034=LINE('',#555008,#135049); #96035=LINE('',#555009,#135050); #96036=LINE('',#555012,#135051); #96037=LINE('',#555014,#135052); #96038=LINE('',#555015,#135053); #96039=LINE('',#555018,#135054); #96040=LINE('',#555020,#135055); #96041=LINE('',#555021,#135056); #96042=LINE('',#555024,#135057); #96043=LINE('',#555026,#135058); #96044=LINE('',#555027,#135059); #96045=LINE('',#555030,#135060); #96046=LINE('',#555032,#135061); #96047=LINE('',#555033,#135062); #96048=LINE('',#555035,#135063); #96049=LINE('',#555036,#135064); #96050=LINE('',#555040,#135065); #96051=LINE('',#555042,#135066); #96052=LINE('',#555044,#135067); #96053=LINE('',#555045,#135068); #96054=LINE('',#555048,#135069); #96055=LINE('',#555050,#135070); #96056=LINE('',#555051,#135071); #96057=LINE('',#555054,#135072); #96058=LINE('',#555056,#135073); #96059=LINE('',#555057,#135074); #96060=LINE('',#555060,#135075); #96061=LINE('',#555062,#135076); #96062=LINE('',#555063,#135077); #96063=LINE('',#555066,#135078); #96064=LINE('',#555068,#135079); #96065=LINE('',#555069,#135080); #96066=LINE('',#555072,#135081); #96067=LINE('',#555074,#135082); #96068=LINE('',#555075,#135083); #96069=LINE('',#555078,#135084); #96070=LINE('',#555080,#135085); #96071=LINE('',#555081,#135086); #96072=LINE('',#555084,#135087); #96073=LINE('',#555086,#135088); #96074=LINE('',#555087,#135089); #96075=LINE('',#555090,#135090); #96076=LINE('',#555092,#135091); #96077=LINE('',#555093,#135092); #96078=LINE('',#555096,#135093); #96079=LINE('',#555098,#135094); #96080=LINE('',#555099,#135095); #96081=LINE('',#555102,#135096); #96082=LINE('',#555104,#135097); #96083=LINE('',#555105,#135098); #96084=LINE('',#555108,#135099); #96085=LINE('',#555110,#135100); #96086=LINE('',#555111,#135101); #96087=LINE('',#555114,#135102); #96088=LINE('',#555116,#135103); #96089=LINE('',#555117,#135104); #96090=LINE('',#555120,#135105); #96091=LINE('',#555122,#135106); #96092=LINE('',#555123,#135107); #96093=LINE('',#555126,#135108); #96094=LINE('',#555128,#135109); #96095=LINE('',#555129,#135110); #96096=LINE('',#555132,#135111); #96097=LINE('',#555134,#135112); #96098=LINE('',#555135,#135113); #96099=LINE('',#555138,#135114); #96100=LINE('',#555140,#135115); #96101=LINE('',#555141,#135116); #96102=LINE('',#555144,#135117); #96103=LINE('',#555146,#135118); #96104=LINE('',#555147,#135119); #96105=LINE('',#555150,#135120); #96106=LINE('',#555152,#135121); #96107=LINE('',#555153,#135122); #96108=LINE('',#555156,#135123); #96109=LINE('',#555158,#135124); #96110=LINE('',#555159,#135125); #96111=LINE('',#555162,#135126); #96112=LINE('',#555164,#135127); #96113=LINE('',#555165,#135128); #96114=LINE('',#555168,#135129); #96115=LINE('',#555170,#135130); #96116=LINE('',#555171,#135131); #96117=LINE('',#555174,#135132); #96118=LINE('',#555176,#135133); #96119=LINE('',#555177,#135134); #96120=LINE('',#555180,#135135); #96121=LINE('',#555182,#135136); #96122=LINE('',#555183,#135137); #96123=LINE('',#555186,#135138); #96124=LINE('',#555188,#135139); #96125=LINE('',#555189,#135140); #96126=LINE('',#555192,#135141); #96127=LINE('',#555194,#135142); #96128=LINE('',#555195,#135143); #96129=LINE('',#555198,#135144); #96130=LINE('',#555200,#135145); #96131=LINE('',#555201,#135146); #96132=LINE('',#555204,#135147); #96133=LINE('',#555206,#135148); #96134=LINE('',#555207,#135149); #96135=LINE('',#555210,#135150); #96136=LINE('',#555212,#135151); #96137=LINE('',#555213,#135152); #96138=LINE('',#555216,#135153); #96139=LINE('',#555218,#135154); #96140=LINE('',#555219,#135155); #96141=LINE('',#555222,#135156); #96142=LINE('',#555224,#135157); #96143=LINE('',#555225,#135158); #96144=LINE('',#555228,#135159); #96145=LINE('',#555230,#135160); #96146=LINE('',#555231,#135161); #96147=LINE('',#555234,#135162); #96148=LINE('',#555236,#135163); #96149=LINE('',#555237,#135164); #96150=LINE('',#555240,#135165); #96151=LINE('',#555242,#135166); #96152=LINE('',#555243,#135167); #96153=LINE('',#555246,#135168); #96154=LINE('',#555248,#135169); #96155=LINE('',#555249,#135170); #96156=LINE('',#555252,#135171); #96157=LINE('',#555254,#135172); #96158=LINE('',#555255,#135173); #96159=LINE('',#555258,#135174); #96160=LINE('',#555260,#135175); #96161=LINE('',#555261,#135176); #96162=LINE('',#555264,#135177); #96163=LINE('',#555266,#135178); #96164=LINE('',#555267,#135179); #96165=LINE('',#555270,#135180); #96166=LINE('',#555272,#135181); #96167=LINE('',#555273,#135182); #96168=LINE('',#555276,#135183); #96169=LINE('',#555278,#135184); #96170=LINE('',#555279,#135185); #96171=LINE('',#555282,#135186); #96172=LINE('',#555284,#135187); #96173=LINE('',#555285,#135188); #96174=LINE('',#555288,#135189); #96175=LINE('',#555290,#135190); #96176=LINE('',#555291,#135191); #96177=LINE('',#555294,#135192); #96178=LINE('',#555296,#135193); #96179=LINE('',#555297,#135194); #96180=LINE('',#555300,#135195); #96181=LINE('',#555302,#135196); #96182=LINE('',#555303,#135197); #96183=LINE('',#555306,#135198); #96184=LINE('',#555308,#135199); #96185=LINE('',#555309,#135200); #96186=LINE('',#555312,#135201); #96187=LINE('',#555314,#135202); #96188=LINE('',#555315,#135203); #96189=LINE('',#555318,#135204); #96190=LINE('',#555320,#135205); #96191=LINE('',#555321,#135206); #96192=LINE('',#555324,#135207); #96193=LINE('',#555326,#135208); #96194=LINE('',#555327,#135209); #96195=LINE('',#555330,#135210); #96196=LINE('',#555332,#135211); #96197=LINE('',#555333,#135212); #96198=LINE('',#555336,#135213); #96199=LINE('',#555338,#135214); #96200=LINE('',#555339,#135215); #96201=LINE('',#555342,#135216); #96202=LINE('',#555344,#135217); #96203=LINE('',#555345,#135218); #96204=LINE('',#555348,#135219); #96205=LINE('',#555350,#135220); #96206=LINE('',#555351,#135221); #96207=LINE('',#555354,#135222); #96208=LINE('',#555356,#135223); #96209=LINE('',#555357,#135224); #96210=LINE('',#555360,#135225); #96211=LINE('',#555362,#135226); #96212=LINE('',#555363,#135227); #96213=LINE('',#555366,#135228); #96214=LINE('',#555368,#135229); #96215=LINE('',#555369,#135230); #96216=LINE('',#555372,#135231); #96217=LINE('',#555374,#135232); #96218=LINE('',#555375,#135233); #96219=LINE('',#555378,#135234); #96220=LINE('',#555380,#135235); #96221=LINE('',#555381,#135236); #96222=LINE('',#555384,#135237); #96223=LINE('',#555386,#135238); #96224=LINE('',#555387,#135239); #96225=LINE('',#555390,#135240); #96226=LINE('',#555392,#135241); #96227=LINE('',#555393,#135242); #96228=LINE('',#555396,#135243); #96229=LINE('',#555398,#135244); #96230=LINE('',#555399,#135245); #96231=LINE('',#555402,#135246); #96232=LINE('',#555404,#135247); #96233=LINE('',#555405,#135248); #96234=LINE('',#555408,#135249); #96235=LINE('',#555410,#135250); #96236=LINE('',#555411,#135251); #96237=LINE('',#555414,#135252); #96238=LINE('',#555416,#135253); #96239=LINE('',#555417,#135254); #96240=LINE('',#555420,#135255); #96241=LINE('',#555422,#135256); #96242=LINE('',#555423,#135257); #96243=LINE('',#555426,#135258); #96244=LINE('',#555428,#135259); #96245=LINE('',#555429,#135260); #96246=LINE('',#555432,#135261); #96247=LINE('',#555434,#135262); #96248=LINE('',#555435,#135263); #96249=LINE('',#555438,#135264); #96250=LINE('',#555440,#135265); #96251=LINE('',#555441,#135266); #96252=LINE('',#555444,#135267); #96253=LINE('',#555446,#135268); #96254=LINE('',#555447,#135269); #96255=LINE('',#555450,#135270); #96256=LINE('',#555452,#135271); #96257=LINE('',#555453,#135272); #96258=LINE('',#555456,#135273); #96259=LINE('',#555458,#135274); #96260=LINE('',#555459,#135275); #96261=LINE('',#555462,#135276); #96262=LINE('',#555464,#135277); #96263=LINE('',#555465,#135278); #96264=LINE('',#555468,#135279); #96265=LINE('',#555470,#135280); #96266=LINE('',#555471,#135281); #96267=LINE('',#555474,#135282); #96268=LINE('',#555476,#135283); #96269=LINE('',#555477,#135284); #96270=LINE('',#555480,#135285); #96271=LINE('',#555482,#135286); #96272=LINE('',#555483,#135287); #96273=LINE('',#555486,#135288); #96274=LINE('',#555488,#135289); #96275=LINE('',#555489,#135290); #96276=LINE('',#555492,#135291); #96277=LINE('',#555494,#135292); #96278=LINE('',#555495,#135293); #96279=LINE('',#555498,#135294); #96280=LINE('',#555500,#135295); #96281=LINE('',#555501,#135296); #96282=LINE('',#555504,#135297); #96283=LINE('',#555506,#135298); #96284=LINE('',#555507,#135299); #96285=LINE('',#555510,#135300); #96286=LINE('',#555512,#135301); #96287=LINE('',#555513,#135302); #96288=LINE('',#555516,#135303); #96289=LINE('',#555518,#135304); #96290=LINE('',#555519,#135305); #96291=LINE('',#555522,#135306); #96292=LINE('',#555524,#135307); #96293=LINE('',#555525,#135308); #96294=LINE('',#555528,#135309); #96295=LINE('',#555530,#135310); #96296=LINE('',#555531,#135311); #96297=LINE('',#555534,#135312); #96298=LINE('',#555536,#135313); #96299=LINE('',#555537,#135314); #96300=LINE('',#555540,#135315); #96301=LINE('',#555542,#135316); #96302=LINE('',#555543,#135317); #96303=LINE('',#555546,#135318); #96304=LINE('',#555548,#135319); #96305=LINE('',#555549,#135320); #96306=LINE('',#555552,#135321); #96307=LINE('',#555554,#135322); #96308=LINE('',#555555,#135323); #96309=LINE('',#555558,#135324); #96310=LINE('',#555560,#135325); #96311=LINE('',#555561,#135326); #96312=LINE('',#555564,#135327); #96313=LINE('',#555566,#135328); #96314=LINE('',#555567,#135329); #96315=LINE('',#555570,#135330); #96316=LINE('',#555572,#135331); #96317=LINE('',#555573,#135332); #96318=LINE('',#555576,#135333); #96319=LINE('',#555578,#135334); #96320=LINE('',#555579,#135335); #96321=LINE('',#555582,#135336); #96322=LINE('',#555584,#135337); #96323=LINE('',#555585,#135338); #96324=LINE('',#555588,#135339); #96325=LINE('',#555590,#135340); #96326=LINE('',#555591,#135341); #96327=LINE('',#555594,#135342); #96328=LINE('',#555596,#135343); #96329=LINE('',#555597,#135344); #96330=LINE('',#555600,#135345); #96331=LINE('',#555602,#135346); #96332=LINE('',#555603,#135347); #96333=LINE('',#555606,#135348); #96334=LINE('',#555608,#135349); #96335=LINE('',#555609,#135350); #96336=LINE('',#555612,#135351); #96337=LINE('',#555614,#135352); #96338=LINE('',#555615,#135353); #96339=LINE('',#555618,#135354); #96340=LINE('',#555620,#135355); #96341=LINE('',#555621,#135356); #96342=LINE('',#555624,#135357); #96343=LINE('',#555626,#135358); #96344=LINE('',#555627,#135359); #96345=LINE('',#555630,#135360); #96346=LINE('',#555632,#135361); #96347=LINE('',#555633,#135362); #96348=LINE('',#555636,#135363); #96349=LINE('',#555638,#135364); #96350=LINE('',#555639,#135365); #96351=LINE('',#555642,#135366); #96352=LINE('',#555644,#135367); #96353=LINE('',#555645,#135368); #96354=LINE('',#555648,#135369); #96355=LINE('',#555650,#135370); #96356=LINE('',#555651,#135371); #96357=LINE('',#555654,#135372); #96358=LINE('',#555656,#135373); #96359=LINE('',#555657,#135374); #96360=LINE('',#555660,#135375); #96361=LINE('',#555662,#135376); #96362=LINE('',#555663,#135377); #96363=LINE('',#555666,#135378); #96364=LINE('',#555668,#135379); #96365=LINE('',#555669,#135380); #96366=LINE('',#555672,#135381); #96367=LINE('',#555674,#135382); #96368=LINE('',#555675,#135383); #96369=LINE('',#555678,#135384); #96370=LINE('',#555680,#135385); #96371=LINE('',#555681,#135386); #96372=LINE('',#555684,#135387); #96373=LINE('',#555686,#135388); #96374=LINE('',#555687,#135389); #96375=LINE('',#555690,#135390); #96376=LINE('',#555692,#135391); #96377=LINE('',#555693,#135392); #96378=LINE('',#555696,#135393); #96379=LINE('',#555698,#135394); #96380=LINE('',#555699,#135395); #96381=LINE('',#555702,#135396); #96382=LINE('',#555704,#135397); #96383=LINE('',#555705,#135398); #96384=LINE('',#555708,#135399); #96385=LINE('',#555710,#135400); #96386=LINE('',#555711,#135401); #96387=LINE('',#555714,#135402); #96388=LINE('',#555716,#135403); #96389=LINE('',#555717,#135404); #96390=LINE('',#555720,#135405); #96391=LINE('',#555722,#135406); #96392=LINE('',#555723,#135407); #96393=LINE('',#555726,#135408); #96394=LINE('',#555728,#135409); #96395=LINE('',#555729,#135410); #96396=LINE('',#555732,#135411); #96397=LINE('',#555734,#135412); #96398=LINE('',#555735,#135413); #96399=LINE('',#555738,#135414); #96400=LINE('',#555740,#135415); #96401=LINE('',#555741,#135416); #96402=LINE('',#555744,#135417); #96403=LINE('',#555746,#135418); #96404=LINE('',#555747,#135419); #96405=LINE('',#555750,#135420); #96406=LINE('',#555752,#135421); #96407=LINE('',#555753,#135422); #96408=LINE('',#555756,#135423); #96409=LINE('',#555758,#135424); #96410=LINE('',#555759,#135425); #96411=LINE('',#555762,#135426); #96412=LINE('',#555764,#135427); #96413=LINE('',#555765,#135428); #96414=LINE('',#555768,#135429); #96415=LINE('',#555770,#135430); #96416=LINE('',#555771,#135431); #96417=LINE('',#555774,#135432); #96418=LINE('',#555776,#135433); #96419=LINE('',#555777,#135434); #96420=LINE('',#555780,#135435); #96421=LINE('',#555782,#135436); #96422=LINE('',#555783,#135437); #96423=LINE('',#555786,#135438); #96424=LINE('',#555788,#135439); #96425=LINE('',#555789,#135440); #96426=LINE('',#555792,#135441); #96427=LINE('',#555794,#135442); #96428=LINE('',#555795,#135443); #96429=LINE('',#555798,#135444); #96430=LINE('',#555800,#135445); #96431=LINE('',#555801,#135446); #96432=LINE('',#555804,#135447); #96433=LINE('',#555806,#135448); #96434=LINE('',#555807,#135449); #96435=LINE('',#555810,#135450); #96436=LINE('',#555812,#135451); #96437=LINE('',#555813,#135452); #96438=LINE('',#555816,#135453); #96439=LINE('',#555818,#135454); #96440=LINE('',#555819,#135455); #96441=LINE('',#555822,#135456); #96442=LINE('',#555824,#135457); #96443=LINE('',#555825,#135458); #96444=LINE('',#555828,#135459); #96445=LINE('',#555830,#135460); #96446=LINE('',#555831,#135461); #96447=LINE('',#555834,#135462); #96448=LINE('',#555836,#135463); #96449=LINE('',#555837,#135464); #96450=LINE('',#555840,#135465); #96451=LINE('',#555842,#135466); #96452=LINE('',#555843,#135467); #96453=LINE('',#555846,#135468); #96454=LINE('',#555848,#135469); #96455=LINE('',#555849,#135470); #96456=LINE('',#555852,#135471); #96457=LINE('',#555854,#135472); #96458=LINE('',#555855,#135473); #96459=LINE('',#555858,#135474); #96460=LINE('',#555860,#135475); #96461=LINE('',#555861,#135476); #96462=LINE('',#555864,#135477); #96463=LINE('',#555866,#135478); #96464=LINE('',#555867,#135479); #96465=LINE('',#555870,#135480); #96466=LINE('',#555872,#135481); #96467=LINE('',#555873,#135482); #96468=LINE('',#555876,#135483); #96469=LINE('',#555878,#135484); #96470=LINE('',#555879,#135485); #96471=LINE('',#555882,#135486); #96472=LINE('',#555884,#135487); #96473=LINE('',#555885,#135488); #96474=LINE('',#555888,#135489); #96475=LINE('',#555890,#135490); #96476=LINE('',#555891,#135491); #96477=LINE('',#555894,#135492); #96478=LINE('',#555896,#135493); #96479=LINE('',#555897,#135494); #96480=LINE('',#555900,#135495); #96481=LINE('',#555902,#135496); #96482=LINE('',#555903,#135497); #96483=LINE('',#555906,#135498); #96484=LINE('',#555908,#135499); #96485=LINE('',#555909,#135500); #96486=LINE('',#555912,#135501); #96487=LINE('',#555914,#135502); #96488=LINE('',#555915,#135503); #96489=LINE('',#555918,#135504); #96490=LINE('',#555920,#135505); #96491=LINE('',#555921,#135506); #96492=LINE('',#555924,#135507); #96493=LINE('',#555926,#135508); #96494=LINE('',#555927,#135509); #96495=LINE('',#555930,#135510); #96496=LINE('',#555932,#135511); #96497=LINE('',#555933,#135512); #96498=LINE('',#555936,#135513); #96499=LINE('',#555938,#135514); #96500=LINE('',#555939,#135515); #96501=LINE('',#555942,#135516); #96502=LINE('',#555944,#135517); #96503=LINE('',#555945,#135518); #96504=LINE('',#555948,#135519); #96505=LINE('',#555950,#135520); #96506=LINE('',#555951,#135521); #96507=LINE('',#555954,#135522); #96508=LINE('',#555956,#135523); #96509=LINE('',#555957,#135524); #96510=LINE('',#555960,#135525); #96511=LINE('',#555962,#135526); #96512=LINE('',#555963,#135527); #96513=LINE('',#555966,#135528); #96514=LINE('',#555968,#135529); #96515=LINE('',#555969,#135530); #96516=LINE('',#555972,#135531); #96517=LINE('',#555974,#135532); #96518=LINE('',#555975,#135533); #96519=LINE('',#555978,#135534); #96520=LINE('',#555980,#135535); #96521=LINE('',#555981,#135536); #96522=LINE('',#555984,#135537); #96523=LINE('',#555986,#135538); #96524=LINE('',#555987,#135539); #96525=LINE('',#555990,#135540); #96526=LINE('',#555992,#135541); #96527=LINE('',#555993,#135542); #96528=LINE('',#555996,#135543); #96529=LINE('',#555998,#135544); #96530=LINE('',#555999,#135545); #96531=LINE('',#556002,#135546); #96532=LINE('',#556004,#135547); #96533=LINE('',#556005,#135548); #96534=LINE('',#556008,#135549); #96535=LINE('',#556010,#135550); #96536=LINE('',#556011,#135551); #96537=LINE('',#556014,#135552); #96538=LINE('',#556016,#135553); #96539=LINE('',#556017,#135554); #96540=LINE('',#556020,#135555); #96541=LINE('',#556022,#135556); #96542=LINE('',#556023,#135557); #96543=LINE('',#556026,#135558); #96544=LINE('',#556028,#135559); #96545=LINE('',#556029,#135560); #96546=LINE('',#556032,#135561); #96547=LINE('',#556034,#135562); #96548=LINE('',#556035,#135563); #96549=LINE('',#556038,#135564); #96550=LINE('',#556040,#135565); #96551=LINE('',#556041,#135566); #96552=LINE('',#556044,#135567); #96553=LINE('',#556046,#135568); #96554=LINE('',#556047,#135569); #96555=LINE('',#556050,#135570); #96556=LINE('',#556052,#135571); #96557=LINE('',#556053,#135572); #96558=LINE('',#556056,#135573); #96559=LINE('',#556058,#135574); #96560=LINE('',#556059,#135575); #96561=LINE('',#556062,#135576); #96562=LINE('',#556064,#135577); #96563=LINE('',#556065,#135578); #96564=LINE('',#556068,#135579); #96565=LINE('',#556070,#135580); #96566=LINE('',#556071,#135581); #96567=LINE('',#556074,#135582); #96568=LINE('',#556076,#135583); #96569=LINE('',#556077,#135584); #96570=LINE('',#556080,#135585); #96571=LINE('',#556082,#135586); #96572=LINE('',#556083,#135587); #96573=LINE('',#556086,#135588); #96574=LINE('',#556088,#135589); #96575=LINE('',#556089,#135590); #96576=LINE('',#556092,#135591); #96577=LINE('',#556094,#135592); #96578=LINE('',#556095,#135593); #96579=LINE('',#556098,#135594); #96580=LINE('',#556100,#135595); #96581=LINE('',#556101,#135596); #96582=LINE('',#556104,#135597); #96583=LINE('',#556106,#135598); #96584=LINE('',#556107,#135599); #96585=LINE('',#556110,#135600); #96586=LINE('',#556112,#135601); #96587=LINE('',#556113,#135602); #96588=LINE('',#556116,#135603); #96589=LINE('',#556118,#135604); #96590=LINE('',#556119,#135605); #96591=LINE('',#556122,#135606); #96592=LINE('',#556124,#135607); #96593=LINE('',#556125,#135608); #96594=LINE('',#556128,#135609); #96595=LINE('',#556130,#135610); #96596=LINE('',#556131,#135611); #96597=LINE('',#556134,#135612); #96598=LINE('',#556136,#135613); #96599=LINE('',#556137,#135614); #96600=LINE('',#556140,#135615); #96601=LINE('',#556142,#135616); #96602=LINE('',#556143,#135617); #96603=LINE('',#556146,#135618); #96604=LINE('',#556148,#135619); #96605=LINE('',#556149,#135620); #96606=LINE('',#556152,#135621); #96607=LINE('',#556154,#135622); #96608=LINE('',#556155,#135623); #96609=LINE('',#556158,#135624); #96610=LINE('',#556160,#135625); #96611=LINE('',#556161,#135626); #96612=LINE('',#556164,#135627); #96613=LINE('',#556166,#135628); #96614=LINE('',#556167,#135629); #96615=LINE('',#556170,#135630); #96616=LINE('',#556172,#135631); #96617=LINE('',#556173,#135632); #96618=LINE('',#556176,#135633); #96619=LINE('',#556178,#135634); #96620=LINE('',#556179,#135635); #96621=LINE('',#556182,#135636); #96622=LINE('',#556184,#135637); #96623=LINE('',#556185,#135638); #96624=LINE('',#556188,#135639); #96625=LINE('',#556190,#135640); #96626=LINE('',#556191,#135641); #96627=LINE('',#556194,#135642); #96628=LINE('',#556196,#135643); #96629=LINE('',#556197,#135644); #96630=LINE('',#556200,#135645); #96631=LINE('',#556202,#135646); #96632=LINE('',#556203,#135647); #96633=LINE('',#556206,#135648); #96634=LINE('',#556208,#135649); #96635=LINE('',#556209,#135650); #96636=LINE('',#556212,#135651); #96637=LINE('',#556214,#135652); #96638=LINE('',#556215,#135653); #96639=LINE('',#556218,#135654); #96640=LINE('',#556220,#135655); #96641=LINE('',#556221,#135656); #96642=LINE('',#556224,#135657); #96643=LINE('',#556226,#135658); #96644=LINE('',#556227,#135659); #96645=LINE('',#556230,#135660); #96646=LINE('',#556232,#135661); #96647=LINE('',#556233,#135662); #96648=LINE('',#556236,#135663); #96649=LINE('',#556238,#135664); #96650=LINE('',#556239,#135665); #96651=LINE('',#556242,#135666); #96652=LINE('',#556244,#135667); #96653=LINE('',#556245,#135668); #96654=LINE('',#556248,#135669); #96655=LINE('',#556250,#135670); #96656=LINE('',#556251,#135671); #96657=LINE('',#556254,#135672); #96658=LINE('',#556256,#135673); #96659=LINE('',#556257,#135674); #96660=LINE('',#556260,#135675); #96661=LINE('',#556262,#135676); #96662=LINE('',#556263,#135677); #96663=LINE('',#556266,#135678); #96664=LINE('',#556268,#135679); #96665=LINE('',#556269,#135680); #96666=LINE('',#556272,#135681); #96667=LINE('',#556274,#135682); #96668=LINE('',#556275,#135683); #96669=LINE('',#556278,#135684); #96670=LINE('',#556280,#135685); #96671=LINE('',#556281,#135686); #96672=LINE('',#556284,#135687); #96673=LINE('',#556286,#135688); #96674=LINE('',#556287,#135689); #96675=LINE('',#556290,#135690); #96676=LINE('',#556292,#135691); #96677=LINE('',#556293,#135692); #96678=LINE('',#556296,#135693); #96679=LINE('',#556298,#135694); #96680=LINE('',#556299,#135695); #96681=LINE('',#556302,#135696); #96682=LINE('',#556304,#135697); #96683=LINE('',#556305,#135698); #96684=LINE('',#556308,#135699); #96685=LINE('',#556310,#135700); #96686=LINE('',#556311,#135701); #96687=LINE('',#556314,#135702); #96688=LINE('',#556316,#135703); #96689=LINE('',#556317,#135704); #96690=LINE('',#556320,#135705); #96691=LINE('',#556322,#135706); #96692=LINE('',#556323,#135707); #96693=LINE('',#556326,#135708); #96694=LINE('',#556328,#135709); #96695=LINE('',#556329,#135710); #96696=LINE('',#556332,#135711); #96697=LINE('',#556334,#135712); #96698=LINE('',#556335,#135713); #96699=LINE('',#556338,#135714); #96700=LINE('',#556340,#135715); #96701=LINE('',#556341,#135716); #96702=LINE('',#556344,#135717); #96703=LINE('',#556346,#135718); #96704=LINE('',#556347,#135719); #96705=LINE('',#556350,#135720); #96706=LINE('',#556352,#135721); #96707=LINE('',#556353,#135722); #96708=LINE('',#556356,#135723); #96709=LINE('',#556358,#135724); #96710=LINE('',#556359,#135725); #96711=LINE('',#556362,#135726); #96712=LINE('',#556364,#135727); #96713=LINE('',#556365,#135728); #96714=LINE('',#556368,#135729); #96715=LINE('',#556370,#135730); #96716=LINE('',#556371,#135731); #96717=LINE('',#556374,#135732); #96718=LINE('',#556376,#135733); #96719=LINE('',#556377,#135734); #96720=LINE('',#556380,#135735); #96721=LINE('',#556382,#135736); #96722=LINE('',#556383,#135737); #96723=LINE('',#556386,#135738); #96724=LINE('',#556388,#135739); #96725=LINE('',#556389,#135740); #96726=LINE('',#556392,#135741); #96727=LINE('',#556394,#135742); #96728=LINE('',#556395,#135743); #96729=LINE('',#556398,#135744); #96730=LINE('',#556400,#135745); #96731=LINE('',#556401,#135746); #96732=LINE('',#556404,#135747); #96733=LINE('',#556406,#135748); #96734=LINE('',#556407,#135749); #96735=LINE('',#556410,#135750); #96736=LINE('',#556412,#135751); #96737=LINE('',#556413,#135752); #96738=LINE('',#556416,#135753); #96739=LINE('',#556418,#135754); #96740=LINE('',#556419,#135755); #96741=LINE('',#556422,#135756); #96742=LINE('',#556424,#135757); #96743=LINE('',#556425,#135758); #96744=LINE('',#556428,#135759); #96745=LINE('',#556430,#135760); #96746=LINE('',#556431,#135761); #96747=LINE('',#556434,#135762); #96748=LINE('',#556436,#135763); #96749=LINE('',#556437,#135764); #96750=LINE('',#556440,#135765); #96751=LINE('',#556442,#135766); #96752=LINE('',#556443,#135767); #96753=LINE('',#556446,#135768); #96754=LINE('',#556448,#135769); #96755=LINE('',#556449,#135770); #96756=LINE('',#556452,#135771); #96757=LINE('',#556454,#135772); #96758=LINE('',#556455,#135773); #96759=LINE('',#556458,#135774); #96760=LINE('',#556460,#135775); #96761=LINE('',#556461,#135776); #96762=LINE('',#556464,#135777); #96763=LINE('',#556466,#135778); #96764=LINE('',#556467,#135779); #96765=LINE('',#556470,#135780); #96766=LINE('',#556472,#135781); #96767=LINE('',#556473,#135782); #96768=LINE('',#556476,#135783); #96769=LINE('',#556478,#135784); #96770=LINE('',#556479,#135785); #96771=LINE('',#556482,#135786); #96772=LINE('',#556484,#135787); #96773=LINE('',#556485,#135788); #96774=LINE('',#556488,#135789); #96775=LINE('',#556490,#135790); #96776=LINE('',#556491,#135791); #96777=LINE('',#556494,#135792); #96778=LINE('',#556496,#135793); #96779=LINE('',#556497,#135794); #96780=LINE('',#556500,#135795); #96781=LINE('',#556502,#135796); #96782=LINE('',#556503,#135797); #96783=LINE('',#556506,#135798); #96784=LINE('',#556508,#135799); #96785=LINE('',#556509,#135800); #96786=LINE('',#556512,#135801); #96787=LINE('',#556514,#135802); #96788=LINE('',#556515,#135803); #96789=LINE('',#556518,#135804); #96790=LINE('',#556520,#135805); #96791=LINE('',#556521,#135806); #96792=LINE('',#556524,#135807); #96793=LINE('',#556526,#135808); #96794=LINE('',#556527,#135809); #96795=LINE('',#556530,#135810); #96796=LINE('',#556532,#135811); #96797=LINE('',#556533,#135812); #96798=LINE('',#556536,#135813); #96799=LINE('',#556538,#135814); #96800=LINE('',#556539,#135815); #96801=LINE('',#556542,#135816); #96802=LINE('',#556544,#135817); #96803=LINE('',#556545,#135818); #96804=LINE('',#556548,#135819); #96805=LINE('',#556550,#135820); #96806=LINE('',#556551,#135821); #96807=LINE('',#556554,#135822); #96808=LINE('',#556556,#135823); #96809=LINE('',#556557,#135824); #96810=LINE('',#556560,#135825); #96811=LINE('',#556562,#135826); #96812=LINE('',#556563,#135827); #96813=LINE('',#556566,#135828); #96814=LINE('',#556568,#135829); #96815=LINE('',#556569,#135830); #96816=LINE('',#556572,#135831); #96817=LINE('',#556574,#135832); #96818=LINE('',#556575,#135833); #96819=LINE('',#556578,#135834); #96820=LINE('',#556580,#135835); #96821=LINE('',#556581,#135836); #96822=LINE('',#556584,#135837); #96823=LINE('',#556586,#135838); #96824=LINE('',#556587,#135839); #96825=LINE('',#556590,#135840); #96826=LINE('',#556592,#135841); #96827=LINE('',#556593,#135842); #96828=LINE('',#556596,#135843); #96829=LINE('',#556598,#135844); #96830=LINE('',#556599,#135845); #96831=LINE('',#556602,#135846); #96832=LINE('',#556604,#135847); #96833=LINE('',#556605,#135848); #96834=LINE('',#556608,#135849); #96835=LINE('',#556610,#135850); #96836=LINE('',#556611,#135851); #96837=LINE('',#556614,#135852); #96838=LINE('',#556616,#135853); #96839=LINE('',#556617,#135854); #96840=LINE('',#556620,#135855); #96841=LINE('',#556622,#135856); #96842=LINE('',#556623,#135857); #96843=LINE('',#556626,#135858); #96844=LINE('',#556628,#135859); #96845=LINE('',#556629,#135860); #96846=LINE('',#556632,#135861); #96847=LINE('',#556634,#135862); #96848=LINE('',#556635,#135863); #96849=LINE('',#556638,#135864); #96850=LINE('',#556640,#135865); #96851=LINE('',#556641,#135866); #96852=LINE('',#556644,#135867); #96853=LINE('',#556646,#135868); #96854=LINE('',#556647,#135869); #96855=LINE('',#556650,#135870); #96856=LINE('',#556652,#135871); #96857=LINE('',#556653,#135872); #96858=LINE('',#556656,#135873); #96859=LINE('',#556658,#135874); #96860=LINE('',#556659,#135875); #96861=LINE('',#556662,#135876); #96862=LINE('',#556664,#135877); #96863=LINE('',#556665,#135878); #96864=LINE('',#556668,#135879); #96865=LINE('',#556670,#135880); #96866=LINE('',#556671,#135881); #96867=LINE('',#556674,#135882); #96868=LINE('',#556676,#135883); #96869=LINE('',#556677,#135884); #96870=LINE('',#556680,#135885); #96871=LINE('',#556682,#135886); #96872=LINE('',#556683,#135887); #96873=LINE('',#556686,#135888); #96874=LINE('',#556688,#135889); #96875=LINE('',#556689,#135890); #96876=LINE('',#556692,#135891); #96877=LINE('',#556694,#135892); #96878=LINE('',#556695,#135893); #96879=LINE('',#556698,#135894); #96880=LINE('',#556700,#135895); #96881=LINE('',#556701,#135896); #96882=LINE('',#556704,#135897); #96883=LINE('',#556706,#135898); #96884=LINE('',#556707,#135899); #96885=LINE('',#556710,#135900); #96886=LINE('',#556712,#135901); #96887=LINE('',#556713,#135902); #96888=LINE('',#556716,#135903); #96889=LINE('',#556718,#135904); #96890=LINE('',#556719,#135905); #96891=LINE('',#556722,#135906); #96892=LINE('',#556724,#135907); #96893=LINE('',#556725,#135908); #96894=LINE('',#556728,#135909); #96895=LINE('',#556730,#135910); #96896=LINE('',#556731,#135911); #96897=LINE('',#556734,#135912); #96898=LINE('',#556736,#135913); #96899=LINE('',#556737,#135914); #96900=LINE('',#556740,#135915); #96901=LINE('',#556742,#135916); #96902=LINE('',#556743,#135917); #96903=LINE('',#556746,#135918); #96904=LINE('',#556748,#135919); #96905=LINE('',#556749,#135920); #96906=LINE('',#556752,#135921); #96907=LINE('',#556754,#135922); #96908=LINE('',#556755,#135923); #96909=LINE('',#556758,#135924); #96910=LINE('',#556760,#135925); #96911=LINE('',#556761,#135926); #96912=LINE('',#556764,#135927); #96913=LINE('',#556766,#135928); #96914=LINE('',#556767,#135929); #96915=LINE('',#556770,#135930); #96916=LINE('',#556772,#135931); #96917=LINE('',#556773,#135932); #96918=LINE('',#556776,#135933); #96919=LINE('',#556778,#135934); #96920=LINE('',#556779,#135935); #96921=LINE('',#556782,#135936); #96922=LINE('',#556784,#135937); #96923=LINE('',#556785,#135938); #96924=LINE('',#556788,#135939); #96925=LINE('',#556790,#135940); #96926=LINE('',#556791,#135941); #96927=LINE('',#556794,#135942); #96928=LINE('',#556796,#135943); #96929=LINE('',#556797,#135944); #96930=LINE('',#556800,#135945); #96931=LINE('',#556802,#135946); #96932=LINE('',#556803,#135947); #96933=LINE('',#556806,#135948); #96934=LINE('',#556808,#135949); #96935=LINE('',#556809,#135950); #96936=LINE('',#556812,#135951); #96937=LINE('',#556814,#135952); #96938=LINE('',#556815,#135953); #96939=LINE('',#556818,#135954); #96940=LINE('',#556820,#135955); #96941=LINE('',#556821,#135956); #96942=LINE('',#556824,#135957); #96943=LINE('',#556826,#135958); #96944=LINE('',#556827,#135959); #96945=LINE('',#556830,#135960); #96946=LINE('',#556832,#135961); #96947=LINE('',#556833,#135962); #96948=LINE('',#556836,#135963); #96949=LINE('',#556838,#135964); #96950=LINE('',#556839,#135965); #96951=LINE('',#556842,#135966); #96952=LINE('',#556844,#135967); #96953=LINE('',#556845,#135968); #96954=LINE('',#556848,#135969); #96955=LINE('',#556850,#135970); #96956=LINE('',#556851,#135971); #96957=LINE('',#556854,#135972); #96958=LINE('',#556856,#135973); #96959=LINE('',#556857,#135974); #96960=LINE('',#556860,#135975); #96961=LINE('',#556862,#135976); #96962=LINE('',#556863,#135977); #96963=LINE('',#556866,#135978); #96964=LINE('',#556868,#135979); #96965=LINE('',#556869,#135980); #96966=LINE('',#556872,#135981); #96967=LINE('',#556874,#135982); #96968=LINE('',#556875,#135983); #96969=LINE('',#556878,#135984); #96970=LINE('',#556880,#135985); #96971=LINE('',#556881,#135986); #96972=LINE('',#556884,#135987); #96973=LINE('',#556886,#135988); #96974=LINE('',#556887,#135989); #96975=LINE('',#556890,#135990); #96976=LINE('',#556892,#135991); #96977=LINE('',#556893,#135992); #96978=LINE('',#556896,#135993); #96979=LINE('',#556898,#135994); #96980=LINE('',#556899,#135995); #96981=LINE('',#556902,#135996); #96982=LINE('',#556904,#135997); #96983=LINE('',#556905,#135998); #96984=LINE('',#556908,#135999); #96985=LINE('',#556910,#136000); #96986=LINE('',#556911,#136001); #96987=LINE('',#556914,#136002); #96988=LINE('',#556916,#136003); #96989=LINE('',#556917,#136004); #96990=LINE('',#556920,#136005); #96991=LINE('',#556922,#136006); #96992=LINE('',#556923,#136007); #96993=LINE('',#556926,#136008); #96994=LINE('',#556928,#136009); #96995=LINE('',#556929,#136010); #96996=LINE('',#556932,#136011); #96997=LINE('',#556934,#136012); #96998=LINE('',#556935,#136013); #96999=LINE('',#556938,#136014); #97000=LINE('',#556940,#136015); #97001=LINE('',#556941,#136016); #97002=LINE('',#556944,#136017); #97003=LINE('',#556946,#136018); #97004=LINE('',#556947,#136019); #97005=LINE('',#556950,#136020); #97006=LINE('',#556952,#136021); #97007=LINE('',#556953,#136022); #97008=LINE('',#556956,#136023); #97009=LINE('',#556958,#136024); #97010=LINE('',#556959,#136025); #97011=LINE('',#556962,#136026); #97012=LINE('',#556964,#136027); #97013=LINE('',#556965,#136028); #97014=LINE('',#556968,#136029); #97015=LINE('',#556970,#136030); #97016=LINE('',#556971,#136031); #97017=LINE('',#556974,#136032); #97018=LINE('',#556976,#136033); #97019=LINE('',#556977,#136034); #97020=LINE('',#556980,#136035); #97021=LINE('',#556982,#136036); #97022=LINE('',#556983,#136037); #97023=LINE('',#556986,#136038); #97024=LINE('',#556988,#136039); #97025=LINE('',#556989,#136040); #97026=LINE('',#556992,#136041); #97027=LINE('',#556994,#136042); #97028=LINE('',#556995,#136043); #97029=LINE('',#556998,#136044); #97030=LINE('',#557000,#136045); #97031=LINE('',#557001,#136046); #97032=LINE('',#557004,#136047); #97033=LINE('',#557006,#136048); #97034=LINE('',#557007,#136049); #97035=LINE('',#557010,#136050); #97036=LINE('',#557012,#136051); #97037=LINE('',#557013,#136052); #97038=LINE('',#557016,#136053); #97039=LINE('',#557018,#136054); #97040=LINE('',#557019,#136055); #97041=LINE('',#557022,#136056); #97042=LINE('',#557024,#136057); #97043=LINE('',#557025,#136058); #97044=LINE('',#557028,#136059); #97045=LINE('',#557030,#136060); #97046=LINE('',#557031,#136061); #97047=LINE('',#557034,#136062); #97048=LINE('',#557036,#136063); #97049=LINE('',#557037,#136064); #97050=LINE('',#557040,#136065); #97051=LINE('',#557042,#136066); #97052=LINE('',#557043,#136067); #97053=LINE('',#557046,#136068); #97054=LINE('',#557048,#136069); #97055=LINE('',#557049,#136070); #97056=LINE('',#557052,#136071); #97057=LINE('',#557054,#136072); #97058=LINE('',#557055,#136073); #97059=LINE('',#557058,#136074); #97060=LINE('',#557060,#136075); #97061=LINE('',#557061,#136076); #97062=LINE('',#557064,#136077); #97063=LINE('',#557066,#136078); #97064=LINE('',#557067,#136079); #97065=LINE('',#557070,#136080); #97066=LINE('',#557072,#136081); #97067=LINE('',#557073,#136082); #97068=LINE('',#557076,#136083); #97069=LINE('',#557078,#136084); #97070=LINE('',#557079,#136085); #97071=LINE('',#557082,#136086); #97072=LINE('',#557084,#136087); #97073=LINE('',#557085,#136088); #97074=LINE('',#557088,#136089); #97075=LINE('',#557090,#136090); #97076=LINE('',#557091,#136091); #97077=LINE('',#557094,#136092); #97078=LINE('',#557096,#136093); #97079=LINE('',#557097,#136094); #97080=LINE('',#557100,#136095); #97081=LINE('',#557102,#136096); #97082=LINE('',#557103,#136097); #97083=LINE('',#557106,#136098); #97084=LINE('',#557108,#136099); #97085=LINE('',#557109,#136100); #97086=LINE('',#557112,#136101); #97087=LINE('',#557114,#136102); #97088=LINE('',#557115,#136103); #97089=LINE('',#557118,#136104); #97090=LINE('',#557120,#136105); #97091=LINE('',#557121,#136106); #97092=LINE('',#557124,#136107); #97093=LINE('',#557126,#136108); #97094=LINE('',#557127,#136109); #97095=LINE('',#557130,#136110); #97096=LINE('',#557132,#136111); #97097=LINE('',#557133,#136112); #97098=LINE('',#557136,#136113); #97099=LINE('',#557138,#136114); #97100=LINE('',#557139,#136115); #97101=LINE('',#557142,#136116); #97102=LINE('',#557144,#136117); #97103=LINE('',#557145,#136118); #97104=LINE('',#557148,#136119); #97105=LINE('',#557150,#136120); #97106=LINE('',#557151,#136121); #97107=LINE('',#557154,#136122); #97108=LINE('',#557156,#136123); #97109=LINE('',#557157,#136124); #97110=LINE('',#557160,#136125); #97111=LINE('',#557162,#136126); #97112=LINE('',#557163,#136127); #97113=LINE('',#557166,#136128); #97114=LINE('',#557168,#136129); #97115=LINE('',#557169,#136130); #97116=LINE('',#557172,#136131); #97117=LINE('',#557174,#136132); #97118=LINE('',#557175,#136133); #97119=LINE('',#557178,#136134); #97120=LINE('',#557180,#136135); #97121=LINE('',#557181,#136136); #97122=LINE('',#557184,#136137); #97123=LINE('',#557186,#136138); #97124=LINE('',#557187,#136139); #97125=LINE('',#557190,#136140); #97126=LINE('',#557192,#136141); #97127=LINE('',#557193,#136142); #97128=LINE('',#557196,#136143); #97129=LINE('',#557198,#136144); #97130=LINE('',#557199,#136145); #97131=LINE('',#557202,#136146); #97132=LINE('',#557204,#136147); #97133=LINE('',#557205,#136148); #97134=LINE('',#557208,#136149); #97135=LINE('',#557210,#136150); #97136=LINE('',#557211,#136151); #97137=LINE('',#557214,#136152); #97138=LINE('',#557216,#136153); #97139=LINE('',#557217,#136154); #97140=LINE('',#557220,#136155); #97141=LINE('',#557222,#136156); #97142=LINE('',#557223,#136157); #97143=LINE('',#557226,#136158); #97144=LINE('',#557228,#136159); #97145=LINE('',#557229,#136160); #97146=LINE('',#557232,#136161); #97147=LINE('',#557234,#136162); #97148=LINE('',#557235,#136163); #97149=LINE('',#557238,#136164); #97150=LINE('',#557240,#136165); #97151=LINE('',#557241,#136166); #97152=LINE('',#557244,#136167); #97153=LINE('',#557246,#136168); #97154=LINE('',#557247,#136169); #97155=LINE('',#557250,#136170); #97156=LINE('',#557252,#136171); #97157=LINE('',#557253,#136172); #97158=LINE('',#557256,#136173); #97159=LINE('',#557258,#136174); #97160=LINE('',#557259,#136175); #97161=LINE('',#557262,#136176); #97162=LINE('',#557264,#136177); #97163=LINE('',#557265,#136178); #97164=LINE('',#557268,#136179); #97165=LINE('',#557270,#136180); #97166=LINE('',#557271,#136181); #97167=LINE('',#557274,#136182); #97168=LINE('',#557276,#136183); #97169=LINE('',#557277,#136184); #97170=LINE('',#557280,#136185); #97171=LINE('',#557282,#136186); #97172=LINE('',#557283,#136187); #97173=LINE('',#557286,#136188); #97174=LINE('',#557288,#136189); #97175=LINE('',#557289,#136190); #97176=LINE('',#557292,#136191); #97177=LINE('',#557294,#136192); #97178=LINE('',#557295,#136193); #97179=LINE('',#557298,#136194); #97180=LINE('',#557300,#136195); #97181=LINE('',#557301,#136196); #97182=LINE('',#557304,#136197); #97183=LINE('',#557306,#136198); #97184=LINE('',#557307,#136199); #97185=LINE('',#557310,#136200); #97186=LINE('',#557312,#136201); #97187=LINE('',#557313,#136202); #97188=LINE('',#557316,#136203); #97189=LINE('',#557318,#136204); #97190=LINE('',#557319,#136205); #97191=LINE('',#557322,#136206); #97192=LINE('',#557324,#136207); #97193=LINE('',#557325,#136208); #97194=LINE('',#557328,#136209); #97195=LINE('',#557330,#136210); #97196=LINE('',#557331,#136211); #97197=LINE('',#557334,#136212); #97198=LINE('',#557336,#136213); #97199=LINE('',#557337,#136214); #97200=LINE('',#557340,#136215); #97201=LINE('',#557342,#136216); #97202=LINE('',#557343,#136217); #97203=LINE('',#557346,#136218); #97204=LINE('',#557348,#136219); #97205=LINE('',#557349,#136220); #97206=LINE('',#557352,#136221); #97207=LINE('',#557354,#136222); #97208=LINE('',#557355,#136223); #97209=LINE('',#557358,#136224); #97210=LINE('',#557360,#136225); #97211=LINE('',#557361,#136226); #97212=LINE('',#557364,#136227); #97213=LINE('',#557366,#136228); #97214=LINE('',#557367,#136229); #97215=LINE('',#557370,#136230); #97216=LINE('',#557372,#136231); #97217=LINE('',#557373,#136232); #97218=LINE('',#557376,#136233); #97219=LINE('',#557378,#136234); #97220=LINE('',#557379,#136235); #97221=LINE('',#557382,#136236); #97222=LINE('',#557384,#136237); #97223=LINE('',#557385,#136238); #97224=LINE('',#557388,#136239); #97225=LINE('',#557390,#136240); #97226=LINE('',#557391,#136241); #97227=LINE('',#557394,#136242); #97228=LINE('',#557396,#136243); #97229=LINE('',#557397,#136244); #97230=LINE('',#557400,#136245); #97231=LINE('',#557402,#136246); #97232=LINE('',#557403,#136247); #97233=LINE('',#557406,#136248); #97234=LINE('',#557408,#136249); #97235=LINE('',#557409,#136250); #97236=LINE('',#557412,#136251); #97237=LINE('',#557414,#136252); #97238=LINE('',#557415,#136253); #97239=LINE('',#557418,#136254); #97240=LINE('',#557420,#136255); #97241=LINE('',#557421,#136256); #97242=LINE('',#557424,#136257); #97243=LINE('',#557426,#136258); #97244=LINE('',#557427,#136259); #97245=LINE('',#557430,#136260); #97246=LINE('',#557432,#136261); #97247=LINE('',#557433,#136262); #97248=LINE('',#557436,#136263); #97249=LINE('',#557438,#136264); #97250=LINE('',#557439,#136265); #97251=LINE('',#557442,#136266); #97252=LINE('',#557444,#136267); #97253=LINE('',#557445,#136268); #97254=LINE('',#557448,#136269); #97255=LINE('',#557450,#136270); #97256=LINE('',#557451,#136271); #97257=LINE('',#557454,#136272); #97258=LINE('',#557456,#136273); #97259=LINE('',#557457,#136274); #97260=LINE('',#557460,#136275); #97261=LINE('',#557462,#136276); #97262=LINE('',#557463,#136277); #97263=LINE('',#557466,#136278); #97264=LINE('',#557468,#136279); #97265=LINE('',#557469,#136280); #97266=LINE('',#557472,#136281); #97267=LINE('',#557474,#136282); #97268=LINE('',#557475,#136283); #97269=LINE('',#557478,#136284); #97270=LINE('',#557480,#136285); #97271=LINE('',#557481,#136286); #97272=LINE('',#557484,#136287); #97273=LINE('',#557486,#136288); #97274=LINE('',#557487,#136289); #97275=LINE('',#557490,#136290); #97276=LINE('',#557492,#136291); #97277=LINE('',#557493,#136292); #97278=LINE('',#557496,#136293); #97279=LINE('',#557498,#136294); #97280=LINE('',#557499,#136295); #97281=LINE('',#557502,#136296); #97282=LINE('',#557504,#136297); #97283=LINE('',#557505,#136298); #97284=LINE('',#557508,#136299); #97285=LINE('',#557510,#136300); #97286=LINE('',#557511,#136301); #97287=LINE('',#557514,#136302); #97288=LINE('',#557516,#136303); #97289=LINE('',#557517,#136304); #97290=LINE('',#557520,#136305); #97291=LINE('',#557522,#136306); #97292=LINE('',#557523,#136307); #97293=LINE('',#557526,#136308); #97294=LINE('',#557528,#136309); #97295=LINE('',#557529,#136310); #97296=LINE('',#557532,#136311); #97297=LINE('',#557534,#136312); #97298=LINE('',#557535,#136313); #97299=LINE('',#557538,#136314); #97300=LINE('',#557540,#136315); #97301=LINE('',#557541,#136316); #97302=LINE('',#557544,#136317); #97303=LINE('',#557546,#136318); #97304=LINE('',#557547,#136319); #97305=LINE('',#557550,#136320); #97306=LINE('',#557552,#136321); #97307=LINE('',#557553,#136322); #97308=LINE('',#557556,#136323); #97309=LINE('',#557558,#136324); #97310=LINE('',#557559,#136325); #97311=LINE('',#557562,#136326); #97312=LINE('',#557564,#136327); #97313=LINE('',#557565,#136328); #97314=LINE('',#557568,#136329); #97315=LINE('',#557570,#136330); #97316=LINE('',#557571,#136331); #97317=LINE('',#557574,#136332); #97318=LINE('',#557576,#136333); #97319=LINE('',#557577,#136334); #97320=LINE('',#557580,#136335); #97321=LINE('',#557582,#136336); #97322=LINE('',#557583,#136337); #97323=LINE('',#557586,#136338); #97324=LINE('',#557588,#136339); #97325=LINE('',#557589,#136340); #97326=LINE('',#557592,#136341); #97327=LINE('',#557594,#136342); #97328=LINE('',#557595,#136343); #97329=LINE('',#557598,#136344); #97330=LINE('',#557600,#136345); #97331=LINE('',#557601,#136346); #97332=LINE('',#557604,#136347); #97333=LINE('',#557606,#136348); #97334=LINE('',#557607,#136349); #97335=LINE('',#557610,#136350); #97336=LINE('',#557612,#136351); #97337=LINE('',#557613,#136352); #97338=LINE('',#557616,#136353); #97339=LINE('',#557618,#136354); #97340=LINE('',#557619,#136355); #97341=LINE('',#557622,#136356); #97342=LINE('',#557624,#136357); #97343=LINE('',#557625,#136358); #97344=LINE('',#557628,#136359); #97345=LINE('',#557630,#136360); #97346=LINE('',#557631,#136361); #97347=LINE('',#557634,#136362); #97348=LINE('',#557636,#136363); #97349=LINE('',#557637,#136364); #97350=LINE('',#557640,#136365); #97351=LINE('',#557642,#136366); #97352=LINE('',#557643,#136367); #97353=LINE('',#557646,#136368); #97354=LINE('',#557648,#136369); #97355=LINE('',#557649,#136370); #97356=LINE('',#557652,#136371); #97357=LINE('',#557654,#136372); #97358=LINE('',#557655,#136373); #97359=LINE('',#557658,#136374); #97360=LINE('',#557660,#136375); #97361=LINE('',#557661,#136376); #97362=LINE('',#557664,#136377); #97363=LINE('',#557666,#136378); #97364=LINE('',#557667,#136379); #97365=LINE('',#557670,#136380); #97366=LINE('',#557672,#136381); #97367=LINE('',#557673,#136382); #97368=LINE('',#557676,#136383); #97369=LINE('',#557678,#136384); #97370=LINE('',#557679,#136385); #97371=LINE('',#557682,#136386); #97372=LINE('',#557684,#136387); #97373=LINE('',#557685,#136388); #97374=LINE('',#557688,#136389); #97375=LINE('',#557690,#136390); #97376=LINE('',#557691,#136391); #97377=LINE('',#557694,#136392); #97378=LINE('',#557696,#136393); #97379=LINE('',#557697,#136394); #97380=LINE('',#557700,#136395); #97381=LINE('',#557702,#136396); #97382=LINE('',#557703,#136397); #97383=LINE('',#557706,#136398); #97384=LINE('',#557708,#136399); #97385=LINE('',#557709,#136400); #97386=LINE('',#557712,#136401); #97387=LINE('',#557714,#136402); #97388=LINE('',#557715,#136403); #97389=LINE('',#557718,#136404); #97390=LINE('',#557720,#136405); #97391=LINE('',#557721,#136406); #97392=LINE('',#557724,#136407); #97393=LINE('',#557726,#136408); #97394=LINE('',#557727,#136409); #97395=LINE('',#557730,#136410); #97396=LINE('',#557732,#136411); #97397=LINE('',#557733,#136412); #97398=LINE('',#557736,#136413); #97399=LINE('',#557738,#136414); #97400=LINE('',#557739,#136415); #97401=LINE('',#557742,#136416); #97402=LINE('',#557744,#136417); #97403=LINE('',#557745,#136418); #97404=LINE('',#557748,#136419); #97405=LINE('',#557750,#136420); #97406=LINE('',#557751,#136421); #97407=LINE('',#557754,#136422); #97408=LINE('',#557756,#136423); #97409=LINE('',#557757,#136424); #97410=LINE('',#557760,#136425); #97411=LINE('',#557762,#136426); #97412=LINE('',#557763,#136427); #97413=LINE('',#557766,#136428); #97414=LINE('',#557768,#136429); #97415=LINE('',#557769,#136430); #97416=LINE('',#557772,#136431); #97417=LINE('',#557774,#136432); #97418=LINE('',#557775,#136433); #97419=LINE('',#557778,#136434); #97420=LINE('',#557780,#136435); #97421=LINE('',#557781,#136436); #97422=LINE('',#557784,#136437); #97423=LINE('',#557786,#136438); #97424=LINE('',#557787,#136439); #97425=LINE('',#557790,#136440); #97426=LINE('',#557792,#136441); #97427=LINE('',#557793,#136442); #97428=LINE('',#557796,#136443); #97429=LINE('',#557798,#136444); #97430=LINE('',#557799,#136445); #97431=LINE('',#557802,#136446); #97432=LINE('',#557804,#136447); #97433=LINE('',#557805,#136448); #97434=LINE('',#557808,#136449); #97435=LINE('',#557810,#136450); #97436=LINE('',#557811,#136451); #97437=LINE('',#557814,#136452); #97438=LINE('',#557816,#136453); #97439=LINE('',#557817,#136454); #97440=LINE('',#557820,#136455); #97441=LINE('',#557822,#136456); #97442=LINE('',#557823,#136457); #97443=LINE('',#557826,#136458); #97444=LINE('',#557828,#136459); #97445=LINE('',#557829,#136460); #97446=LINE('',#557832,#136461); #97447=LINE('',#557834,#136462); #97448=LINE('',#557835,#136463); #97449=LINE('',#557838,#136464); #97450=LINE('',#557840,#136465); #97451=LINE('',#557841,#136466); #97452=LINE('',#557844,#136467); #97453=LINE('',#557846,#136468); #97454=LINE('',#557847,#136469); #97455=LINE('',#557850,#136470); #97456=LINE('',#557852,#136471); #97457=LINE('',#557853,#136472); #97458=LINE('',#557856,#136473); #97459=LINE('',#557858,#136474); #97460=LINE('',#557859,#136475); #97461=LINE('',#557862,#136476); #97462=LINE('',#557864,#136477); #97463=LINE('',#557865,#136478); #97464=LINE('',#557868,#136479); #97465=LINE('',#557870,#136480); #97466=LINE('',#557871,#136481); #97467=LINE('',#557874,#136482); #97468=LINE('',#557876,#136483); #97469=LINE('',#557877,#136484); #97470=LINE('',#557880,#136485); #97471=LINE('',#557882,#136486); #97472=LINE('',#557883,#136487); #97473=LINE('',#557886,#136488); #97474=LINE('',#557888,#136489); #97475=LINE('',#557889,#136490); #97476=LINE('',#557892,#136491); #97477=LINE('',#557894,#136492); #97478=LINE('',#557895,#136493); #97479=LINE('',#557898,#136494); #97480=LINE('',#557900,#136495); #97481=LINE('',#557901,#136496); #97482=LINE('',#557904,#136497); #97483=LINE('',#557906,#136498); #97484=LINE('',#557907,#136499); #97485=LINE('',#557910,#136500); #97486=LINE('',#557912,#136501); #97487=LINE('',#557913,#136502); #97488=LINE('',#557916,#136503); #97489=LINE('',#557918,#136504); #97490=LINE('',#557919,#136505); #97491=LINE('',#557922,#136506); #97492=LINE('',#557924,#136507); #97493=LINE('',#557925,#136508); #97494=LINE('',#557928,#136509); #97495=LINE('',#557930,#136510); #97496=LINE('',#557931,#136511); #97497=LINE('',#557934,#136512); #97498=LINE('',#557936,#136513); #97499=LINE('',#557937,#136514); #97500=LINE('',#557940,#136515); #97501=LINE('',#557942,#136516); #97502=LINE('',#557943,#136517); #97503=LINE('',#557946,#136518); #97504=LINE('',#557948,#136519); #97505=LINE('',#557949,#136520); #97506=LINE('',#557952,#136521); #97507=LINE('',#557954,#136522); #97508=LINE('',#557955,#136523); #97509=LINE('',#557958,#136524); #97510=LINE('',#557960,#136525); #97511=LINE('',#557961,#136526); #97512=LINE('',#557964,#136527); #97513=LINE('',#557966,#136528); #97514=LINE('',#557967,#136529); #97515=LINE('',#557970,#136530); #97516=LINE('',#557972,#136531); #97517=LINE('',#557973,#136532); #97518=LINE('',#557976,#136533); #97519=LINE('',#557978,#136534); #97520=LINE('',#557979,#136535); #97521=LINE('',#557982,#136536); #97522=LINE('',#557984,#136537); #97523=LINE('',#557985,#136538); #97524=LINE('',#557988,#136539); #97525=LINE('',#557990,#136540); #97526=LINE('',#557991,#136541); #97527=LINE('',#557994,#136542); #97528=LINE('',#557996,#136543); #97529=LINE('',#557997,#136544); #97530=LINE('',#558000,#136545); #97531=LINE('',#558002,#136546); #97532=LINE('',#558003,#136547); #97533=LINE('',#558006,#136548); #97534=LINE('',#558008,#136549); #97535=LINE('',#558009,#136550); #97536=LINE('',#558012,#136551); #97537=LINE('',#558014,#136552); #97538=LINE('',#558015,#136553); #97539=LINE('',#558018,#136554); #97540=LINE('',#558020,#136555); #97541=LINE('',#558021,#136556); #97542=LINE('',#558024,#136557); #97543=LINE('',#558026,#136558); #97544=LINE('',#558027,#136559); #97545=LINE('',#558030,#136560); #97546=LINE('',#558032,#136561); #97547=LINE('',#558033,#136562); #97548=LINE('',#558036,#136563); #97549=LINE('',#558038,#136564); #97550=LINE('',#558039,#136565); #97551=LINE('',#558042,#136566); #97552=LINE('',#558044,#136567); #97553=LINE('',#558045,#136568); #97554=LINE('',#558048,#136569); #97555=LINE('',#558050,#136570); #97556=LINE('',#558051,#136571); #97557=LINE('',#558054,#136572); #97558=LINE('',#558056,#136573); #97559=LINE('',#558057,#136574); #97560=LINE('',#558060,#136575); #97561=LINE('',#558062,#136576); #97562=LINE('',#558063,#136577); #97563=LINE('',#558066,#136578); #97564=LINE('',#558068,#136579); #97565=LINE('',#558069,#136580); #97566=LINE('',#558072,#136581); #97567=LINE('',#558074,#136582); #97568=LINE('',#558075,#136583); #97569=LINE('',#558078,#136584); #97570=LINE('',#558080,#136585); #97571=LINE('',#558081,#136586); #97572=LINE('',#558084,#136587); #97573=LINE('',#558086,#136588); #97574=LINE('',#558087,#136589); #97575=LINE('',#558090,#136590); #97576=LINE('',#558092,#136591); #97577=LINE('',#558093,#136592); #97578=LINE('',#558096,#136593); #97579=LINE('',#558098,#136594); #97580=LINE('',#558099,#136595); #97581=LINE('',#558102,#136596); #97582=LINE('',#558104,#136597); #97583=LINE('',#558105,#136598); #97584=LINE('',#558108,#136599); #97585=LINE('',#558110,#136600); #97586=LINE('',#558111,#136601); #97587=LINE('',#558114,#136602); #97588=LINE('',#558116,#136603); #97589=LINE('',#558117,#136604); #97590=LINE('',#558120,#136605); #97591=LINE('',#558122,#136606); #97592=LINE('',#558123,#136607); #97593=LINE('',#558126,#136608); #97594=LINE('',#558128,#136609); #97595=LINE('',#558129,#136610); #97596=LINE('',#558132,#136611); #97597=LINE('',#558134,#136612); #97598=LINE('',#558135,#136613); #97599=LINE('',#558138,#136614); #97600=LINE('',#558140,#136615); #97601=LINE('',#558141,#136616); #97602=LINE('',#558144,#136617); #97603=LINE('',#558146,#136618); #97604=LINE('',#558147,#136619); #97605=LINE('',#558150,#136620); #97606=LINE('',#558152,#136621); #97607=LINE('',#558153,#136622); #97608=LINE('',#558156,#136623); #97609=LINE('',#558158,#136624); #97610=LINE('',#558159,#136625); #97611=LINE('',#558162,#136626); #97612=LINE('',#558164,#136627); #97613=LINE('',#558165,#136628); #97614=LINE('',#558168,#136629); #97615=LINE('',#558170,#136630); #97616=LINE('',#558171,#136631); #97617=LINE('',#558174,#136632); #97618=LINE('',#558176,#136633); #97619=LINE('',#558177,#136634); #97620=LINE('',#558180,#136635); #97621=LINE('',#558182,#136636); #97622=LINE('',#558183,#136637); #97623=LINE('',#558186,#136638); #97624=LINE('',#558188,#136639); #97625=LINE('',#558189,#136640); #97626=LINE('',#558192,#136641); #97627=LINE('',#558194,#136642); #97628=LINE('',#558195,#136643); #97629=LINE('',#558198,#136644); #97630=LINE('',#558200,#136645); #97631=LINE('',#558201,#136646); #97632=LINE('',#558204,#136647); #97633=LINE('',#558206,#136648); #97634=LINE('',#558207,#136649); #97635=LINE('',#558210,#136650); #97636=LINE('',#558212,#136651); #97637=LINE('',#558213,#136652); #97638=LINE('',#558216,#136653); #97639=LINE('',#558218,#136654); #97640=LINE('',#558219,#136655); #97641=LINE('',#558222,#136656); #97642=LINE('',#558224,#136657); #97643=LINE('',#558225,#136658); #97644=LINE('',#558228,#136659); #97645=LINE('',#558230,#136660); #97646=LINE('',#558231,#136661); #97647=LINE('',#558234,#136662); #97648=LINE('',#558236,#136663); #97649=LINE('',#558237,#136664); #97650=LINE('',#558240,#136665); #97651=LINE('',#558242,#136666); #97652=LINE('',#558243,#136667); #97653=LINE('',#558246,#136668); #97654=LINE('',#558248,#136669); #97655=LINE('',#558249,#136670); #97656=LINE('',#558252,#136671); #97657=LINE('',#558254,#136672); #97658=LINE('',#558255,#136673); #97659=LINE('',#558258,#136674); #97660=LINE('',#558260,#136675); #97661=LINE('',#558261,#136676); #97662=LINE('',#558264,#136677); #97663=LINE('',#558266,#136678); #97664=LINE('',#558267,#136679); #97665=LINE('',#558270,#136680); #97666=LINE('',#558272,#136681); #97667=LINE('',#558273,#136682); #97668=LINE('',#558276,#136683); #97669=LINE('',#558278,#136684); #97670=LINE('',#558279,#136685); #97671=LINE('',#558282,#136686); #97672=LINE('',#558284,#136687); #97673=LINE('',#558285,#136688); #97674=LINE('',#558288,#136689); #97675=LINE('',#558290,#136690); #97676=LINE('',#558291,#136691); #97677=LINE('',#558294,#136692); #97678=LINE('',#558296,#136693); #97679=LINE('',#558297,#136694); #97680=LINE('',#558300,#136695); #97681=LINE('',#558302,#136696); #97682=LINE('',#558303,#136697); #97683=LINE('',#558306,#136698); #97684=LINE('',#558308,#136699); #97685=LINE('',#558309,#136700); #97686=LINE('',#558312,#136701); #97687=LINE('',#558314,#136702); #97688=LINE('',#558315,#136703); #97689=LINE('',#558318,#136704); #97690=LINE('',#558320,#136705); #97691=LINE('',#558321,#136706); #97692=LINE('',#558324,#136707); #97693=LINE('',#558326,#136708); #97694=LINE('',#558327,#136709); #97695=LINE('',#558330,#136710); #97696=LINE('',#558332,#136711); #97697=LINE('',#558333,#136712); #97698=LINE('',#558336,#136713); #97699=LINE('',#558338,#136714); #97700=LINE('',#558339,#136715); #97701=LINE('',#558342,#136716); #97702=LINE('',#558344,#136717); #97703=LINE('',#558345,#136718); #97704=LINE('',#558348,#136719); #97705=LINE('',#558350,#136720); #97706=LINE('',#558351,#136721); #97707=LINE('',#558354,#136722); #97708=LINE('',#558356,#136723); #97709=LINE('',#558357,#136724); #97710=LINE('',#558360,#136725); #97711=LINE('',#558362,#136726); #97712=LINE('',#558363,#136727); #97713=LINE('',#558366,#136728); #97714=LINE('',#558368,#136729); #97715=LINE('',#558369,#136730); #97716=LINE('',#558372,#136731); #97717=LINE('',#558374,#136732); #97718=LINE('',#558375,#136733); #97719=LINE('',#558378,#136734); #97720=LINE('',#558380,#136735); #97721=LINE('',#558381,#136736); #97722=LINE('',#558384,#136737); #97723=LINE('',#558386,#136738); #97724=LINE('',#558387,#136739); #97725=LINE('',#558390,#136740); #97726=LINE('',#558392,#136741); #97727=LINE('',#558393,#136742); #97728=LINE('',#558396,#136743); #97729=LINE('',#558398,#136744); #97730=LINE('',#558399,#136745); #97731=LINE('',#558402,#136746); #97732=LINE('',#558404,#136747); #97733=LINE('',#558405,#136748); #97734=LINE('',#558408,#136749); #97735=LINE('',#558410,#136750); #97736=LINE('',#558411,#136751); #97737=LINE('',#558414,#136752); #97738=LINE('',#558416,#136753); #97739=LINE('',#558417,#136754); #97740=LINE('',#558420,#136755); #97741=LINE('',#558422,#136756); #97742=LINE('',#558423,#136757); #97743=LINE('',#558426,#136758); #97744=LINE('',#558428,#136759); #97745=LINE('',#558429,#136760); #97746=LINE('',#558432,#136761); #97747=LINE('',#558434,#136762); #97748=LINE('',#558435,#136763); #97749=LINE('',#558438,#136764); #97750=LINE('',#558440,#136765); #97751=LINE('',#558441,#136766); #97752=LINE('',#558444,#136767); #97753=LINE('',#558446,#136768); #97754=LINE('',#558447,#136769); #97755=LINE('',#558450,#136770); #97756=LINE('',#558452,#136771); #97757=LINE('',#558453,#136772); #97758=LINE('',#558456,#136773); #97759=LINE('',#558458,#136774); #97760=LINE('',#558459,#136775); #97761=LINE('',#558462,#136776); #97762=LINE('',#558464,#136777); #97763=LINE('',#558465,#136778); #97764=LINE('',#558468,#136779); #97765=LINE('',#558470,#136780); #97766=LINE('',#558471,#136781); #97767=LINE('',#558474,#136782); #97768=LINE('',#558476,#136783); #97769=LINE('',#558477,#136784); #97770=LINE('',#558480,#136785); #97771=LINE('',#558482,#136786); #97772=LINE('',#558483,#136787); #97773=LINE('',#558486,#136788); #97774=LINE('',#558488,#136789); #97775=LINE('',#558489,#136790); #97776=LINE('',#558492,#136791); #97777=LINE('',#558494,#136792); #97778=LINE('',#558495,#136793); #97779=LINE('',#558498,#136794); #97780=LINE('',#558500,#136795); #97781=LINE('',#558501,#136796); #97782=LINE('',#558504,#136797); #97783=LINE('',#558506,#136798); #97784=LINE('',#558507,#136799); #97785=LINE('',#558510,#136800); #97786=LINE('',#558512,#136801); #97787=LINE('',#558513,#136802); #97788=LINE('',#558516,#136803); #97789=LINE('',#558518,#136804); #97790=LINE('',#558519,#136805); #97791=LINE('',#558522,#136806); #97792=LINE('',#558524,#136807); #97793=LINE('',#558525,#136808); #97794=LINE('',#558528,#136809); #97795=LINE('',#558530,#136810); #97796=LINE('',#558531,#136811); #97797=LINE('',#558534,#136812); #97798=LINE('',#558536,#136813); #97799=LINE('',#558537,#136814); #97800=LINE('',#558540,#136815); #97801=LINE('',#558542,#136816); #97802=LINE('',#558543,#136817); #97803=LINE('',#558546,#136818); #97804=LINE('',#558548,#136819); #97805=LINE('',#558549,#136820); #97806=LINE('',#558552,#136821); #97807=LINE('',#558554,#136822); #97808=LINE('',#558555,#136823); #97809=LINE('',#558558,#136824); #97810=LINE('',#558560,#136825); #97811=LINE('',#558561,#136826); #97812=LINE('',#558564,#136827); #97813=LINE('',#558566,#136828); #97814=LINE('',#558567,#136829); #97815=LINE('',#558570,#136830); #97816=LINE('',#558572,#136831); #97817=LINE('',#558573,#136832); #97818=LINE('',#558576,#136833); #97819=LINE('',#558578,#136834); #97820=LINE('',#558579,#136835); #97821=LINE('',#558582,#136836); #97822=LINE('',#558584,#136837); #97823=LINE('',#558585,#136838); #97824=LINE('',#558588,#136839); #97825=LINE('',#558590,#136840); #97826=LINE('',#558591,#136841); #97827=LINE('',#558594,#136842); #97828=LINE('',#558596,#136843); #97829=LINE('',#558597,#136844); #97830=LINE('',#558600,#136845); #97831=LINE('',#558602,#136846); #97832=LINE('',#558603,#136847); #97833=LINE('',#558606,#136848); #97834=LINE('',#558608,#136849); #97835=LINE('',#558609,#136850); #97836=LINE('',#558612,#136851); #97837=LINE('',#558614,#136852); #97838=LINE('',#558615,#136853); #97839=LINE('',#558618,#136854); #97840=LINE('',#558620,#136855); #97841=LINE('',#558621,#136856); #97842=LINE('',#558624,#136857); #97843=LINE('',#558626,#136858); #97844=LINE('',#558627,#136859); #97845=LINE('',#558630,#136860); #97846=LINE('',#558632,#136861); #97847=LINE('',#558633,#136862); #97848=LINE('',#558636,#136863); #97849=LINE('',#558638,#136864); #97850=LINE('',#558639,#136865); #97851=LINE('',#558642,#136866); #97852=LINE('',#558644,#136867); #97853=LINE('',#558645,#136868); #97854=LINE('',#558648,#136869); #97855=LINE('',#558650,#136870); #97856=LINE('',#558651,#136871); #97857=LINE('',#558654,#136872); #97858=LINE('',#558656,#136873); #97859=LINE('',#558657,#136874); #97860=LINE('',#558660,#136875); #97861=LINE('',#558662,#136876); #97862=LINE('',#558663,#136877); #97863=LINE('',#558666,#136878); #97864=LINE('',#558668,#136879); #97865=LINE('',#558669,#136880); #97866=LINE('',#558672,#136881); #97867=LINE('',#558674,#136882); #97868=LINE('',#558675,#136883); #97869=LINE('',#558678,#136884); #97870=LINE('',#558680,#136885); #97871=LINE('',#558681,#136886); #97872=LINE('',#558684,#136887); #97873=LINE('',#558686,#136888); #97874=LINE('',#558687,#136889); #97875=LINE('',#558690,#136890); #97876=LINE('',#558692,#136891); #97877=LINE('',#558693,#136892); #97878=LINE('',#558696,#136893); #97879=LINE('',#558698,#136894); #97880=LINE('',#558699,#136895); #97881=LINE('',#558702,#136896); #97882=LINE('',#558704,#136897); #97883=LINE('',#558705,#136898); #97884=LINE('',#558708,#136899); #97885=LINE('',#558710,#136900); #97886=LINE('',#558711,#136901); #97887=LINE('',#558714,#136902); #97888=LINE('',#558716,#136903); #97889=LINE('',#558717,#136904); #97890=LINE('',#558720,#136905); #97891=LINE('',#558722,#136906); #97892=LINE('',#558723,#136907); #97893=LINE('',#558726,#136908); #97894=LINE('',#558728,#136909); #97895=LINE('',#558729,#136910); #97896=LINE('',#558732,#136911); #97897=LINE('',#558734,#136912); #97898=LINE('',#558735,#136913); #97899=LINE('',#558738,#136914); #97900=LINE('',#558740,#136915); #97901=LINE('',#558741,#136916); #97902=LINE('',#558744,#136917); #97903=LINE('',#558746,#136918); #97904=LINE('',#558747,#136919); #97905=LINE('',#558750,#136920); #97906=LINE('',#558752,#136921); #97907=LINE('',#558753,#136922); #97908=LINE('',#558756,#136923); #97909=LINE('',#558758,#136924); #97910=LINE('',#558759,#136925); #97911=LINE('',#558762,#136926); #97912=LINE('',#558764,#136927); #97913=LINE('',#558765,#136928); #97914=LINE('',#558768,#136929); #97915=LINE('',#558770,#136930); #97916=LINE('',#558771,#136931); #97917=LINE('',#558774,#136932); #97918=LINE('',#558776,#136933); #97919=LINE('',#558777,#136934); #97920=LINE('',#558780,#136935); #97921=LINE('',#558782,#136936); #97922=LINE('',#558783,#136937); #97923=LINE('',#558786,#136938); #97924=LINE('',#558788,#136939); #97925=LINE('',#558789,#136940); #97926=LINE('',#558792,#136941); #97927=LINE('',#558794,#136942); #97928=LINE('',#558795,#136943); #97929=LINE('',#558798,#136944); #97930=LINE('',#558800,#136945); #97931=LINE('',#558801,#136946); #97932=LINE('',#558804,#136947); #97933=LINE('',#558806,#136948); #97934=LINE('',#558807,#136949); #97935=LINE('',#558810,#136950); #97936=LINE('',#558812,#136951); #97937=LINE('',#558813,#136952); #97938=LINE('',#558816,#136953); #97939=LINE('',#558818,#136954); #97940=LINE('',#558819,#136955); #97941=LINE('',#558822,#136956); #97942=LINE('',#558824,#136957); #97943=LINE('',#558825,#136958); #97944=LINE('',#558828,#136959); #97945=LINE('',#558830,#136960); #97946=LINE('',#558831,#136961); #97947=LINE('',#558834,#136962); #97948=LINE('',#558836,#136963); #97949=LINE('',#558837,#136964); #97950=LINE('',#558840,#136965); #97951=LINE('',#558842,#136966); #97952=LINE('',#558843,#136967); #97953=LINE('',#558846,#136968); #97954=LINE('',#558848,#136969); #97955=LINE('',#558849,#136970); #97956=LINE('',#558852,#136971); #97957=LINE('',#558854,#136972); #97958=LINE('',#558855,#136973); #97959=LINE('',#558858,#136974); #97960=LINE('',#558860,#136975); #97961=LINE('',#558861,#136976); #97962=LINE('',#558864,#136977); #97963=LINE('',#558866,#136978); #97964=LINE('',#558867,#136979); #97965=LINE('',#558870,#136980); #97966=LINE('',#558872,#136981); #97967=LINE('',#558873,#136982); #97968=LINE('',#558876,#136983); #97969=LINE('',#558878,#136984); #97970=LINE('',#558879,#136985); #97971=LINE('',#558882,#136986); #97972=LINE('',#558884,#136987); #97973=LINE('',#558885,#136988); #97974=LINE('',#558888,#136989); #97975=LINE('',#558890,#136990); #97976=LINE('',#558891,#136991); #97977=LINE('',#558894,#136992); #97978=LINE('',#558896,#136993); #97979=LINE('',#558897,#136994); #97980=LINE('',#558900,#136995); #97981=LINE('',#558902,#136996); #97982=LINE('',#558903,#136997); #97983=LINE('',#558906,#136998); #97984=LINE('',#558908,#136999); #97985=LINE('',#558909,#137000); #97986=LINE('',#558911,#137001); #97987=LINE('',#558912,#137002); #97988=LINE('',#558916,#137003); #97989=LINE('',#558918,#137004); #97990=LINE('',#558920,#137005); #97991=LINE('',#558921,#137006); #97992=LINE('',#558924,#137007); #97993=LINE('',#558926,#137008); #97994=LINE('',#558927,#137009); #97995=LINE('',#558930,#137010); #97996=LINE('',#558932,#137011); #97997=LINE('',#558933,#137012); #97998=LINE('',#558936,#137013); #97999=LINE('',#558938,#137014); #98000=LINE('',#558939,#137015); #98001=LINE('',#558942,#137016); #98002=LINE('',#558944,#137017); #98003=LINE('',#558945,#137018); #98004=LINE('',#558948,#137019); #98005=LINE('',#558950,#137020); #98006=LINE('',#558951,#137021); #98007=LINE('',#558954,#137022); #98008=LINE('',#558956,#137023); #98009=LINE('',#558957,#137024); #98010=LINE('',#558960,#137025); #98011=LINE('',#558962,#137026); #98012=LINE('',#558963,#137027); #98013=LINE('',#558966,#137028); #98014=LINE('',#558968,#137029); #98015=LINE('',#558969,#137030); #98016=LINE('',#558972,#137031); #98017=LINE('',#558974,#137032); #98018=LINE('',#558975,#137033); #98019=LINE('',#558978,#137034); #98020=LINE('',#558980,#137035); #98021=LINE('',#558981,#137036); #98022=LINE('',#558984,#137037); #98023=LINE('',#558986,#137038); #98024=LINE('',#558987,#137039); #98025=LINE('',#558990,#137040); #98026=LINE('',#558992,#137041); #98027=LINE('',#558993,#137042); #98028=LINE('',#558996,#137043); #98029=LINE('',#558998,#137044); #98030=LINE('',#558999,#137045); #98031=LINE('',#559002,#137046); #98032=LINE('',#559004,#137047); #98033=LINE('',#559005,#137048); #98034=LINE('',#559008,#137049); #98035=LINE('',#559010,#137050); #98036=LINE('',#559011,#137051); #98037=LINE('',#559014,#137052); #98038=LINE('',#559016,#137053); #98039=LINE('',#559017,#137054); #98040=LINE('',#559020,#137055); #98041=LINE('',#559022,#137056); #98042=LINE('',#559023,#137057); #98043=LINE('',#559026,#137058); #98044=LINE('',#559028,#137059); #98045=LINE('',#559029,#137060); #98046=LINE('',#559032,#137061); #98047=LINE('',#559034,#137062); #98048=LINE('',#559035,#137063); #98049=LINE('',#559038,#137064); #98050=LINE('',#559040,#137065); #98051=LINE('',#559041,#137066); #98052=LINE('',#559044,#137067); #98053=LINE('',#559046,#137068); #98054=LINE('',#559047,#137069); #98055=LINE('',#559050,#137070); #98056=LINE('',#559052,#137071); #98057=LINE('',#559053,#137072); #98058=LINE('',#559056,#137073); #98059=LINE('',#559058,#137074); #98060=LINE('',#559059,#137075); #98061=LINE('',#559062,#137076); #98062=LINE('',#559064,#137077); #98063=LINE('',#559065,#137078); #98064=LINE('',#559068,#137079); #98065=LINE('',#559070,#137080); #98066=LINE('',#559071,#137081); #98067=LINE('',#559074,#137082); #98068=LINE('',#559076,#137083); #98069=LINE('',#559077,#137084); #98070=LINE('',#559080,#137085); #98071=LINE('',#559082,#137086); #98072=LINE('',#559083,#137087); #98073=LINE('',#559086,#137088); #98074=LINE('',#559088,#137089); #98075=LINE('',#559089,#137090); #98076=LINE('',#559092,#137091); #98077=LINE('',#559094,#137092); #98078=LINE('',#559095,#137093); #98079=LINE('',#559098,#137094); #98080=LINE('',#559100,#137095); #98081=LINE('',#559101,#137096); #98082=LINE('',#559104,#137097); #98083=LINE('',#559106,#137098); #98084=LINE('',#559107,#137099); #98085=LINE('',#559110,#137100); #98086=LINE('',#559112,#137101); #98087=LINE('',#559113,#137102); #98088=LINE('',#559116,#137103); #98089=LINE('',#559118,#137104); #98090=LINE('',#559119,#137105); #98091=LINE('',#559122,#137106); #98092=LINE('',#559124,#137107); #98093=LINE('',#559125,#137108); #98094=LINE('',#559128,#137109); #98095=LINE('',#559130,#137110); #98096=LINE('',#559131,#137111); #98097=LINE('',#559134,#137112); #98098=LINE('',#559136,#137113); #98099=LINE('',#559137,#137114); #98100=LINE('',#559140,#137115); #98101=LINE('',#559142,#137116); #98102=LINE('',#559143,#137117); #98103=LINE('',#559146,#137118); #98104=LINE('',#559148,#137119); #98105=LINE('',#559149,#137120); #98106=LINE('',#559152,#137121); #98107=LINE('',#559154,#137122); #98108=LINE('',#559155,#137123); #98109=LINE('',#559158,#137124); #98110=LINE('',#559160,#137125); #98111=LINE('',#559161,#137126); #98112=LINE('',#559164,#137127); #98113=LINE('',#559166,#137128); #98114=LINE('',#559167,#137129); #98115=LINE('',#559170,#137130); #98116=LINE('',#559172,#137131); #98117=LINE('',#559173,#137132); #98118=LINE('',#559176,#137133); #98119=LINE('',#559178,#137134); #98120=LINE('',#559179,#137135); #98121=LINE('',#559182,#137136); #98122=LINE('',#559184,#137137); #98123=LINE('',#559185,#137138); #98124=LINE('',#559188,#137139); #98125=LINE('',#559190,#137140); #98126=LINE('',#559191,#137141); #98127=LINE('',#559194,#137142); #98128=LINE('',#559196,#137143); #98129=LINE('',#559197,#137144); #98130=LINE('',#559200,#137145); #98131=LINE('',#559202,#137146); #98132=LINE('',#559203,#137147); #98133=LINE('',#559206,#137148); #98134=LINE('',#559208,#137149); #98135=LINE('',#559209,#137150); #98136=LINE('',#559212,#137151); #98137=LINE('',#559214,#137152); #98138=LINE('',#559215,#137153); #98139=LINE('',#559218,#137154); #98140=LINE('',#559220,#137155); #98141=LINE('',#559221,#137156); #98142=LINE('',#559224,#137157); #98143=LINE('',#559226,#137158); #98144=LINE('',#559227,#137159); #98145=LINE('',#559230,#137160); #98146=LINE('',#559232,#137161); #98147=LINE('',#559233,#137162); #98148=LINE('',#559236,#137163); #98149=LINE('',#559238,#137164); #98150=LINE('',#559239,#137165); #98151=LINE('',#559242,#137166); #98152=LINE('',#559244,#137167); #98153=LINE('',#559245,#137168); #98154=LINE('',#559248,#137169); #98155=LINE('',#559250,#137170); #98156=LINE('',#559251,#137171); #98157=LINE('',#559254,#137172); #98158=LINE('',#559256,#137173); #98159=LINE('',#559257,#137174); #98160=LINE('',#559260,#137175); #98161=LINE('',#559262,#137176); #98162=LINE('',#559263,#137177); #98163=LINE('',#559266,#137178); #98164=LINE('',#559268,#137179); #98165=LINE('',#559269,#137180); #98166=LINE('',#559272,#137181); #98167=LINE('',#559274,#137182); #98168=LINE('',#559275,#137183); #98169=LINE('',#559278,#137184); #98170=LINE('',#559280,#137185); #98171=LINE('',#559281,#137186); #98172=LINE('',#559284,#137187); #98173=LINE('',#559286,#137188); #98174=LINE('',#559287,#137189); #98175=LINE('',#559290,#137190); #98176=LINE('',#559292,#137191); #98177=LINE('',#559293,#137192); #98178=LINE('',#559296,#137193); #98179=LINE('',#559298,#137194); #98180=LINE('',#559299,#137195); #98181=LINE('',#559302,#137196); #98182=LINE('',#559304,#137197); #98183=LINE('',#559305,#137198); #98184=LINE('',#559308,#137199); #98185=LINE('',#559310,#137200); #98186=LINE('',#559311,#137201); #98187=LINE('',#559314,#137202); #98188=LINE('',#559316,#137203); #98189=LINE('',#559317,#137204); #98190=LINE('',#559320,#137205); #98191=LINE('',#559322,#137206); #98192=LINE('',#559323,#137207); #98193=LINE('',#559326,#137208); #98194=LINE('',#559328,#137209); #98195=LINE('',#559329,#137210); #98196=LINE('',#559332,#137211); #98197=LINE('',#559334,#137212); #98198=LINE('',#559335,#137213); #98199=LINE('',#559338,#137214); #98200=LINE('',#559340,#137215); #98201=LINE('',#559341,#137216); #98202=LINE('',#559344,#137217); #98203=LINE('',#559346,#137218); #98204=LINE('',#559347,#137219); #98205=LINE('',#559350,#137220); #98206=LINE('',#559352,#137221); #98207=LINE('',#559353,#137222); #98208=LINE('',#559356,#137223); #98209=LINE('',#559358,#137224); #98210=LINE('',#559359,#137225); #98211=LINE('',#559362,#137226); #98212=LINE('',#559364,#137227); #98213=LINE('',#559365,#137228); #98214=LINE('',#559368,#137229); #98215=LINE('',#559370,#137230); #98216=LINE('',#559371,#137231); #98217=LINE('',#559374,#137232); #98218=LINE('',#559376,#137233); #98219=LINE('',#559377,#137234); #98220=LINE('',#559380,#137235); #98221=LINE('',#559382,#137236); #98222=LINE('',#559383,#137237); #98223=LINE('',#559386,#137238); #98224=LINE('',#559388,#137239); #98225=LINE('',#559389,#137240); #98226=LINE('',#559392,#137241); #98227=LINE('',#559394,#137242); #98228=LINE('',#559395,#137243); #98229=LINE('',#559398,#137244); #98230=LINE('',#559400,#137245); #98231=LINE('',#559401,#137246); #98232=LINE('',#559404,#137247); #98233=LINE('',#559406,#137248); #98234=LINE('',#559407,#137249); #98235=LINE('',#559410,#137250); #98236=LINE('',#559412,#137251); #98237=LINE('',#559413,#137252); #98238=LINE('',#559416,#137253); #98239=LINE('',#559418,#137254); #98240=LINE('',#559419,#137255); #98241=LINE('',#559422,#137256); #98242=LINE('',#559424,#137257); #98243=LINE('',#559425,#137258); #98244=LINE('',#559428,#137259); #98245=LINE('',#559430,#137260); #98246=LINE('',#559431,#137261); #98247=LINE('',#559434,#137262); #98248=LINE('',#559436,#137263); #98249=LINE('',#559437,#137264); #98250=LINE('',#559440,#137265); #98251=LINE('',#559442,#137266); #98252=LINE('',#559443,#137267); #98253=LINE('',#559446,#137268); #98254=LINE('',#559448,#137269); #98255=LINE('',#559449,#137270); #98256=LINE('',#559452,#137271); #98257=LINE('',#559454,#137272); #98258=LINE('',#559455,#137273); #98259=LINE('',#559458,#137274); #98260=LINE('',#559460,#137275); #98261=LINE('',#559461,#137276); #98262=LINE('',#559464,#137277); #98263=LINE('',#559466,#137278); #98264=LINE('',#559467,#137279); #98265=LINE('',#559470,#137280); #98266=LINE('',#559472,#137281); #98267=LINE('',#559473,#137282); #98268=LINE('',#559476,#137283); #98269=LINE('',#559478,#137284); #98270=LINE('',#559479,#137285); #98271=LINE('',#559482,#137286); #98272=LINE('',#559484,#137287); #98273=LINE('',#559485,#137288); #98274=LINE('',#559488,#137289); #98275=LINE('',#559490,#137290); #98276=LINE('',#559491,#137291); #98277=LINE('',#559494,#137292); #98278=LINE('',#559496,#137293); #98279=LINE('',#559497,#137294); #98280=LINE('',#559500,#137295); #98281=LINE('',#559502,#137296); #98282=LINE('',#559503,#137297); #98283=LINE('',#559506,#137298); #98284=LINE('',#559508,#137299); #98285=LINE('',#559509,#137300); #98286=LINE('',#559511,#137301); #98287=LINE('',#559512,#137302); #98288=LINE('',#559516,#137303); #98289=LINE('',#559518,#137304); #98290=LINE('',#559520,#137305); #98291=LINE('',#559521,#137306); #98292=LINE('',#559524,#137307); #98293=LINE('',#559526,#137308); #98294=LINE('',#559527,#137309); #98295=LINE('',#559530,#137310); #98296=LINE('',#559532,#137311); #98297=LINE('',#559533,#137312); #98298=LINE('',#559536,#137313); #98299=LINE('',#559538,#137314); #98300=LINE('',#559539,#137315); #98301=LINE('',#559542,#137316); #98302=LINE('',#559544,#137317); #98303=LINE('',#559545,#137318); #98304=LINE('',#559548,#137319); #98305=LINE('',#559550,#137320); #98306=LINE('',#559551,#137321); #98307=LINE('',#559554,#137322); #98308=LINE('',#559556,#137323); #98309=LINE('',#559557,#137324); #98310=LINE('',#559560,#137325); #98311=LINE('',#559562,#137326); #98312=LINE('',#559563,#137327); #98313=LINE('',#559566,#137328); #98314=LINE('',#559568,#137329); #98315=LINE('',#559569,#137330); #98316=LINE('',#559572,#137331); #98317=LINE('',#559574,#137332); #98318=LINE('',#559575,#137333); #98319=LINE('',#559578,#137334); #98320=LINE('',#559580,#137335); #98321=LINE('',#559581,#137336); #98322=LINE('',#559584,#137337); #98323=LINE('',#559586,#137338); #98324=LINE('',#559587,#137339); #98325=LINE('',#559590,#137340); #98326=LINE('',#559592,#137341); #98327=LINE('',#559593,#137342); #98328=LINE('',#559596,#137343); #98329=LINE('',#559598,#137344); #98330=LINE('',#559599,#137345); #98331=LINE('',#559602,#137346); #98332=LINE('',#559604,#137347); #98333=LINE('',#559605,#137348); #98334=LINE('',#559608,#137349); #98335=LINE('',#559610,#137350); #98336=LINE('',#559611,#137351); #98337=LINE('',#559614,#137352); #98338=LINE('',#559616,#137353); #98339=LINE('',#559617,#137354); #98340=LINE('',#559620,#137355); #98341=LINE('',#559622,#137356); #98342=LINE('',#559623,#137357); #98343=LINE('',#559626,#137358); #98344=LINE('',#559628,#137359); #98345=LINE('',#559629,#137360); #98346=LINE('',#559632,#137361); #98347=LINE('',#559634,#137362); #98348=LINE('',#559635,#137363); #98349=LINE('',#559638,#137364); #98350=LINE('',#559640,#137365); #98351=LINE('',#559641,#137366); #98352=LINE('',#559644,#137367); #98353=LINE('',#559646,#137368); #98354=LINE('',#559647,#137369); #98355=LINE('',#559650,#137370); #98356=LINE('',#559652,#137371); #98357=LINE('',#559653,#137372); #98358=LINE('',#559656,#137373); #98359=LINE('',#559658,#137374); #98360=LINE('',#559659,#137375); #98361=LINE('',#559662,#137376); #98362=LINE('',#559664,#137377); #98363=LINE('',#559665,#137378); #98364=LINE('',#559668,#137379); #98365=LINE('',#559670,#137380); #98366=LINE('',#559671,#137381); #98367=LINE('',#559674,#137382); #98368=LINE('',#559676,#137383); #98369=LINE('',#559677,#137384); #98370=LINE('',#559680,#137385); #98371=LINE('',#559682,#137386); #98372=LINE('',#559683,#137387); #98373=LINE('',#559686,#137388); #98374=LINE('',#559688,#137389); #98375=LINE('',#559689,#137390); #98376=LINE('',#559692,#137391); #98377=LINE('',#559694,#137392); #98378=LINE('',#559695,#137393); #98379=LINE('',#559698,#137394); #98380=LINE('',#559700,#137395); #98381=LINE('',#559701,#137396); #98382=LINE('',#559704,#137397); #98383=LINE('',#559706,#137398); #98384=LINE('',#559707,#137399); #98385=LINE('',#559710,#137400); #98386=LINE('',#559712,#137401); #98387=LINE('',#559713,#137402); #98388=LINE('',#559716,#137403); #98389=LINE('',#559718,#137404); #98390=LINE('',#559719,#137405); #98391=LINE('',#559722,#137406); #98392=LINE('',#559724,#137407); #98393=LINE('',#559725,#137408); #98394=LINE('',#559728,#137409); #98395=LINE('',#559730,#137410); #98396=LINE('',#559731,#137411); #98397=LINE('',#559734,#137412); #98398=LINE('',#559736,#137413); #98399=LINE('',#559737,#137414); #98400=LINE('',#559740,#137415); #98401=LINE('',#559742,#137416); #98402=LINE('',#559743,#137417); #98403=LINE('',#559746,#137418); #98404=LINE('',#559748,#137419); #98405=LINE('',#559749,#137420); #98406=LINE('',#559752,#137421); #98407=LINE('',#559754,#137422); #98408=LINE('',#559755,#137423); #98409=LINE('',#559758,#137424); #98410=LINE('',#559760,#137425); #98411=LINE('',#559761,#137426); #98412=LINE('',#559764,#137427); #98413=LINE('',#559766,#137428); #98414=LINE('',#559767,#137429); #98415=LINE('',#559770,#137430); #98416=LINE('',#559772,#137431); #98417=LINE('',#559773,#137432); #98418=LINE('',#559776,#137433); #98419=LINE('',#559778,#137434); #98420=LINE('',#559779,#137435); #98421=LINE('',#559782,#137436); #98422=LINE('',#559784,#137437); #98423=LINE('',#559785,#137438); #98424=LINE('',#559788,#137439); #98425=LINE('',#559790,#137440); #98426=LINE('',#559791,#137441); #98427=LINE('',#559794,#137442); #98428=LINE('',#559796,#137443); #98429=LINE('',#559797,#137444); #98430=LINE('',#559800,#137445); #98431=LINE('',#559802,#137446); #98432=LINE('',#559803,#137447); #98433=LINE('',#559806,#137448); #98434=LINE('',#559808,#137449); #98435=LINE('',#559809,#137450); #98436=LINE('',#559812,#137451); #98437=LINE('',#559814,#137452); #98438=LINE('',#559815,#137453); #98439=LINE('',#559818,#137454); #98440=LINE('',#559820,#137455); #98441=LINE('',#559821,#137456); #98442=LINE('',#559824,#137457); #98443=LINE('',#559826,#137458); #98444=LINE('',#559827,#137459); #98445=LINE('',#559830,#137460); #98446=LINE('',#559832,#137461); #98447=LINE('',#559833,#137462); #98448=LINE('',#559836,#137463); #98449=LINE('',#559838,#137464); #98450=LINE('',#559839,#137465); #98451=LINE('',#559842,#137466); #98452=LINE('',#559844,#137467); #98453=LINE('',#559845,#137468); #98454=LINE('',#559848,#137469); #98455=LINE('',#559850,#137470); #98456=LINE('',#559851,#137471); #98457=LINE('',#559854,#137472); #98458=LINE('',#559856,#137473); #98459=LINE('',#559857,#137474); #98460=LINE('',#559860,#137475); #98461=LINE('',#559862,#137476); #98462=LINE('',#559863,#137477); #98463=LINE('',#559866,#137478); #98464=LINE('',#559868,#137479); #98465=LINE('',#559869,#137480); #98466=LINE('',#559872,#137481); #98467=LINE('',#559874,#137482); #98468=LINE('',#559875,#137483); #98469=LINE('',#559878,#137484); #98470=LINE('',#559880,#137485); #98471=LINE('',#559881,#137486); #98472=LINE('',#559884,#137487); #98473=LINE('',#559886,#137488); #98474=LINE('',#559887,#137489); #98475=LINE('',#559890,#137490); #98476=LINE('',#559892,#137491); #98477=LINE('',#559893,#137492); #98478=LINE('',#559896,#137493); #98479=LINE('',#559898,#137494); #98480=LINE('',#559899,#137495); #98481=LINE('',#559902,#137496); #98482=LINE('',#559904,#137497); #98483=LINE('',#559905,#137498); #98484=LINE('',#559908,#137499); #98485=LINE('',#559910,#137500); #98486=LINE('',#559911,#137501); #98487=LINE('',#559914,#137502); #98488=LINE('',#559916,#137503); #98489=LINE('',#559917,#137504); #98490=LINE('',#559920,#137505); #98491=LINE('',#559922,#137506); #98492=LINE('',#559923,#137507); #98493=LINE('',#559926,#137508); #98494=LINE('',#559928,#137509); #98495=LINE('',#559929,#137510); #98496=LINE('',#559932,#137511); #98497=LINE('',#559934,#137512); #98498=LINE('',#559935,#137513); #98499=LINE('',#559938,#137514); #98500=LINE('',#559940,#137515); #98501=LINE('',#559941,#137516); #98502=LINE('',#559944,#137517); #98503=LINE('',#559946,#137518); #98504=LINE('',#559947,#137519); #98505=LINE('',#559950,#137520); #98506=LINE('',#559952,#137521); #98507=LINE('',#559953,#137522); #98508=LINE('',#559956,#137523); #98509=LINE('',#559958,#137524); #98510=LINE('',#559959,#137525); #98511=LINE('',#559962,#137526); #98512=LINE('',#559964,#137527); #98513=LINE('',#559965,#137528); #98514=LINE('',#559968,#137529); #98515=LINE('',#559970,#137530); #98516=LINE('',#559971,#137531); #98517=LINE('',#559974,#137532); #98518=LINE('',#559976,#137533); #98519=LINE('',#559977,#137534); #98520=LINE('',#559980,#137535); #98521=LINE('',#559982,#137536); #98522=LINE('',#559983,#137537); #98523=LINE('',#559986,#137538); #98524=LINE('',#559988,#137539); #98525=LINE('',#559989,#137540); #98526=LINE('',#559992,#137541); #98527=LINE('',#559994,#137542); #98528=LINE('',#559995,#137543); #98529=LINE('',#559998,#137544); #98530=LINE('',#560000,#137545); #98531=LINE('',#560001,#137546); #98532=LINE('',#560004,#137547); #98533=LINE('',#560006,#137548); #98534=LINE('',#560007,#137549); #98535=LINE('',#560010,#137550); #98536=LINE('',#560012,#137551); #98537=LINE('',#560013,#137552); #98538=LINE('',#560016,#137553); #98539=LINE('',#560018,#137554); #98540=LINE('',#560019,#137555); #98541=LINE('',#560022,#137556); #98542=LINE('',#560024,#137557); #98543=LINE('',#560025,#137558); #98544=LINE('',#560028,#137559); #98545=LINE('',#560030,#137560); #98546=LINE('',#560031,#137561); #98547=LINE('',#560034,#137562); #98548=LINE('',#560036,#137563); #98549=LINE('',#560037,#137564); #98550=LINE('',#560040,#137565); #98551=LINE('',#560042,#137566); #98552=LINE('',#560043,#137567); #98553=LINE('',#560046,#137568); #98554=LINE('',#560048,#137569); #98555=LINE('',#560049,#137570); #98556=LINE('',#560052,#137571); #98557=LINE('',#560054,#137572); #98558=LINE('',#560055,#137573); #98559=LINE('',#560058,#137574); #98560=LINE('',#560060,#137575); #98561=LINE('',#560061,#137576); #98562=LINE('',#560064,#137577); #98563=LINE('',#560066,#137578); #98564=LINE('',#560067,#137579); #98565=LINE('',#560070,#137580); #98566=LINE('',#560072,#137581); #98567=LINE('',#560073,#137582); #98568=LINE('',#560076,#137583); #98569=LINE('',#560078,#137584); #98570=LINE('',#560079,#137585); #98571=LINE('',#560082,#137586); #98572=LINE('',#560084,#137587); #98573=LINE('',#560085,#137588); #98574=LINE('',#560088,#137589); #98575=LINE('',#560090,#137590); #98576=LINE('',#560091,#137591); #98577=LINE('',#560094,#137592); #98578=LINE('',#560096,#137593); #98579=LINE('',#560097,#137594); #98580=LINE('',#560100,#137595); #98581=LINE('',#560102,#137596); #98582=LINE('',#560103,#137597); #98583=LINE('',#560106,#137598); #98584=LINE('',#560108,#137599); #98585=LINE('',#560109,#137600); #98586=LINE('',#560112,#137601); #98587=LINE('',#560114,#137602); #98588=LINE('',#560115,#137603); #98589=LINE('',#560118,#137604); #98590=LINE('',#560120,#137605); #98591=LINE('',#560121,#137606); #98592=LINE('',#560124,#137607); #98593=LINE('',#560126,#137608); #98594=LINE('',#560127,#137609); #98595=LINE('',#560130,#137610); #98596=LINE('',#560132,#137611); #98597=LINE('',#560133,#137612); #98598=LINE('',#560136,#137613); #98599=LINE('',#560138,#137614); #98600=LINE('',#560139,#137615); #98601=LINE('',#560142,#137616); #98602=LINE('',#560144,#137617); #98603=LINE('',#560145,#137618); #98604=LINE('',#560148,#137619); #98605=LINE('',#560150,#137620); #98606=LINE('',#560151,#137621); #98607=LINE('',#560154,#137622); #98608=LINE('',#560156,#137623); #98609=LINE('',#560157,#137624); #98610=LINE('',#560160,#137625); #98611=LINE('',#560162,#137626); #98612=LINE('',#560163,#137627); #98613=LINE('',#560166,#137628); #98614=LINE('',#560168,#137629); #98615=LINE('',#560169,#137630); #98616=LINE('',#560172,#137631); #98617=LINE('',#560174,#137632); #98618=LINE('',#560175,#137633); #98619=LINE('',#560178,#137634); #98620=LINE('',#560180,#137635); #98621=LINE('',#560181,#137636); #98622=LINE('',#560184,#137637); #98623=LINE('',#560186,#137638); #98624=LINE('',#560187,#137639); #98625=LINE('',#560190,#137640); #98626=LINE('',#560192,#137641); #98627=LINE('',#560193,#137642); #98628=LINE('',#560196,#137643); #98629=LINE('',#560198,#137644); #98630=LINE('',#560199,#137645); #98631=LINE('',#560202,#137646); #98632=LINE('',#560204,#137647); #98633=LINE('',#560205,#137648); #98634=LINE('',#560208,#137649); #98635=LINE('',#560210,#137650); #98636=LINE('',#560211,#137651); #98637=LINE('',#560214,#137652); #98638=LINE('',#560216,#137653); #98639=LINE('',#560217,#137654); #98640=LINE('',#560220,#137655); #98641=LINE('',#560222,#137656); #98642=LINE('',#560223,#137657); #98643=LINE('',#560226,#137658); #98644=LINE('',#560228,#137659); #98645=LINE('',#560229,#137660); #98646=LINE('',#560232,#137661); #98647=LINE('',#560234,#137662); #98648=LINE('',#560235,#137663); #98649=LINE('',#560238,#137664); #98650=LINE('',#560240,#137665); #98651=LINE('',#560241,#137666); #98652=LINE('',#560244,#137667); #98653=LINE('',#560246,#137668); #98654=LINE('',#560247,#137669); #98655=LINE('',#560250,#137670); #98656=LINE('',#560252,#137671); #98657=LINE('',#560253,#137672); #98658=LINE('',#560256,#137673); #98659=LINE('',#560258,#137674); #98660=LINE('',#560259,#137675); #98661=LINE('',#560262,#137676); #98662=LINE('',#560264,#137677); #98663=LINE('',#560265,#137678); #98664=LINE('',#560268,#137679); #98665=LINE('',#560270,#137680); #98666=LINE('',#560271,#137681); #98667=LINE('',#560274,#137682); #98668=LINE('',#560276,#137683); #98669=LINE('',#560277,#137684); #98670=LINE('',#560280,#137685); #98671=LINE('',#560282,#137686); #98672=LINE('',#560283,#137687); #98673=LINE('',#560286,#137688); #98674=LINE('',#560288,#137689); #98675=LINE('',#560289,#137690); #98676=LINE('',#560292,#137691); #98677=LINE('',#560294,#137692); #98678=LINE('',#560295,#137693); #98679=LINE('',#560298,#137694); #98680=LINE('',#560300,#137695); #98681=LINE('',#560301,#137696); #98682=LINE('',#560304,#137697); #98683=LINE('',#560306,#137698); #98684=LINE('',#560307,#137699); #98685=LINE('',#560310,#137700); #98686=LINE('',#560312,#137701); #98687=LINE('',#560313,#137702); #98688=LINE('',#560316,#137703); #98689=LINE('',#560318,#137704); #98690=LINE('',#560319,#137705); #98691=LINE('',#560322,#137706); #98692=LINE('',#560324,#137707); #98693=LINE('',#560325,#137708); #98694=LINE('',#560328,#137709); #98695=LINE('',#560330,#137710); #98696=LINE('',#560331,#137711); #98697=LINE('',#560334,#137712); #98698=LINE('',#560336,#137713); #98699=LINE('',#560337,#137714); #98700=LINE('',#560340,#137715); #98701=LINE('',#560342,#137716); #98702=LINE('',#560343,#137717); #98703=LINE('',#560346,#137718); #98704=LINE('',#560348,#137719); #98705=LINE('',#560349,#137720); #98706=LINE('',#560352,#137721); #98707=LINE('',#560354,#137722); #98708=LINE('',#560355,#137723); #98709=LINE('',#560358,#137724); #98710=LINE('',#560360,#137725); #98711=LINE('',#560361,#137726); #98712=LINE('',#560364,#137727); #98713=LINE('',#560366,#137728); #98714=LINE('',#560367,#137729); #98715=LINE('',#560370,#137730); #98716=LINE('',#560372,#137731); #98717=LINE('',#560373,#137732); #98718=LINE('',#560376,#137733); #98719=LINE('',#560378,#137734); #98720=LINE('',#560379,#137735); #98721=LINE('',#560382,#137736); #98722=LINE('',#560384,#137737); #98723=LINE('',#560385,#137738); #98724=LINE('',#560388,#137739); #98725=LINE('',#560390,#137740); #98726=LINE('',#560391,#137741); #98727=LINE('',#560394,#137742); #98728=LINE('',#560396,#137743); #98729=LINE('',#560397,#137744); #98730=LINE('',#560400,#137745); #98731=LINE('',#560402,#137746); #98732=LINE('',#560403,#137747); #98733=LINE('',#560406,#137748); #98734=LINE('',#560408,#137749); #98735=LINE('',#560409,#137750); #98736=LINE('',#560412,#137751); #98737=LINE('',#560414,#137752); #98738=LINE('',#560415,#137753); #98739=LINE('',#560418,#137754); #98740=LINE('',#560420,#137755); #98741=LINE('',#560421,#137756); #98742=LINE('',#560424,#137757); #98743=LINE('',#560426,#137758); #98744=LINE('',#560427,#137759); #98745=LINE('',#560430,#137760); #98746=LINE('',#560432,#137761); #98747=LINE('',#560433,#137762); #98748=LINE('',#560436,#137763); #98749=LINE('',#560438,#137764); #98750=LINE('',#560439,#137765); #98751=LINE('',#560442,#137766); #98752=LINE('',#560444,#137767); #98753=LINE('',#560445,#137768); #98754=LINE('',#560448,#137769); #98755=LINE('',#560450,#137770); #98756=LINE('',#560451,#137771); #98757=LINE('',#560454,#137772); #98758=LINE('',#560456,#137773); #98759=LINE('',#560457,#137774); #98760=LINE('',#560460,#137775); #98761=LINE('',#560462,#137776); #98762=LINE('',#560463,#137777); #98763=LINE('',#560466,#137778); #98764=LINE('',#560468,#137779); #98765=LINE('',#560469,#137780); #98766=LINE('',#560472,#137781); #98767=LINE('',#560474,#137782); #98768=LINE('',#560475,#137783); #98769=LINE('',#560478,#137784); #98770=LINE('',#560480,#137785); #98771=LINE('',#560481,#137786); #98772=LINE('',#560484,#137787); #98773=LINE('',#560486,#137788); #98774=LINE('',#560487,#137789); #98775=LINE('',#560490,#137790); #98776=LINE('',#560492,#137791); #98777=LINE('',#560493,#137792); #98778=LINE('',#560496,#137793); #98779=LINE('',#560498,#137794); #98780=LINE('',#560499,#137795); #98781=LINE('',#560502,#137796); #98782=LINE('',#560504,#137797); #98783=LINE('',#560505,#137798); #98784=LINE('',#560508,#137799); #98785=LINE('',#560510,#137800); #98786=LINE('',#560511,#137801); #98787=LINE('',#560514,#137802); #98788=LINE('',#560516,#137803); #98789=LINE('',#560517,#137804); #98790=LINE('',#560520,#137805); #98791=LINE('',#560522,#137806); #98792=LINE('',#560523,#137807); #98793=LINE('',#560526,#137808); #98794=LINE('',#560528,#137809); #98795=LINE('',#560529,#137810); #98796=LINE('',#560532,#137811); #98797=LINE('',#560534,#137812); #98798=LINE('',#560535,#137813); #98799=LINE('',#560538,#137814); #98800=LINE('',#560540,#137815); #98801=LINE('',#560541,#137816); #98802=LINE('',#560544,#137817); #98803=LINE('',#560546,#137818); #98804=LINE('',#560547,#137819); #98805=LINE('',#560550,#137820); #98806=LINE('',#560552,#137821); #98807=LINE('',#560553,#137822); #98808=LINE('',#560556,#137823); #98809=LINE('',#560558,#137824); #98810=LINE('',#560559,#137825); #98811=LINE('',#560562,#137826); #98812=LINE('',#560564,#137827); #98813=LINE('',#560565,#137828); #98814=LINE('',#560568,#137829); #98815=LINE('',#560570,#137830); #98816=LINE('',#560571,#137831); #98817=LINE('',#560574,#137832); #98818=LINE('',#560576,#137833); #98819=LINE('',#560577,#137834); #98820=LINE('',#560580,#137835); #98821=LINE('',#560582,#137836); #98822=LINE('',#560583,#137837); #98823=LINE('',#560586,#137838); #98824=LINE('',#560588,#137839); #98825=LINE('',#560589,#137840); #98826=LINE('',#560592,#137841); #98827=LINE('',#560594,#137842); #98828=LINE('',#560595,#137843); #98829=LINE('',#560598,#137844); #98830=LINE('',#560600,#137845); #98831=LINE('',#560601,#137846); #98832=LINE('',#560604,#137847); #98833=LINE('',#560606,#137848); #98834=LINE('',#560607,#137849); #98835=LINE('',#560610,#137850); #98836=LINE('',#560612,#137851); #98837=LINE('',#560613,#137852); #98838=LINE('',#560616,#137853); #98839=LINE('',#560618,#137854); #98840=LINE('',#560619,#137855); #98841=LINE('',#560622,#137856); #98842=LINE('',#560624,#137857); #98843=LINE('',#560625,#137858); #98844=LINE('',#560628,#137859); #98845=LINE('',#560630,#137860); #98846=LINE('',#560631,#137861); #98847=LINE('',#560634,#137862); #98848=LINE('',#560636,#137863); #98849=LINE('',#560637,#137864); #98850=LINE('',#560640,#137865); #98851=LINE('',#560642,#137866); #98852=LINE('',#560643,#137867); #98853=LINE('',#560646,#137868); #98854=LINE('',#560648,#137869); #98855=LINE('',#560649,#137870); #98856=LINE('',#560652,#137871); #98857=LINE('',#560654,#137872); #98858=LINE('',#560655,#137873); #98859=LINE('',#560658,#137874); #98860=LINE('',#560660,#137875); #98861=LINE('',#560661,#137876); #98862=LINE('',#560664,#137877); #98863=LINE('',#560666,#137878); #98864=LINE('',#560667,#137879); #98865=LINE('',#560670,#137880); #98866=LINE('',#560672,#137881); #98867=LINE('',#560673,#137882); #98868=LINE('',#560676,#137883); #98869=LINE('',#560678,#137884); #98870=LINE('',#560679,#137885); #98871=LINE('',#560682,#137886); #98872=LINE('',#560684,#137887); #98873=LINE('',#560685,#137888); #98874=LINE('',#560688,#137889); #98875=LINE('',#560690,#137890); #98876=LINE('',#560691,#137891); #98877=LINE('',#560694,#137892); #98878=LINE('',#560696,#137893); #98879=LINE('',#560697,#137894); #98880=LINE('',#560700,#137895); #98881=LINE('',#560702,#137896); #98882=LINE('',#560703,#137897); #98883=LINE('',#560706,#137898); #98884=LINE('',#560708,#137899); #98885=LINE('',#560709,#137900); #98886=LINE('',#560712,#137901); #98887=LINE('',#560714,#137902); #98888=LINE('',#560715,#137903); #98889=LINE('',#560718,#137904); #98890=LINE('',#560720,#137905); #98891=LINE('',#560721,#137906); #98892=LINE('',#560724,#137907); #98893=LINE('',#560726,#137908); #98894=LINE('',#560727,#137909); #98895=LINE('',#560730,#137910); #98896=LINE('',#560732,#137911); #98897=LINE('',#560733,#137912); #98898=LINE('',#560736,#137913); #98899=LINE('',#560738,#137914); #98900=LINE('',#560739,#137915); #98901=LINE('',#560742,#137916); #98902=LINE('',#560744,#137917); #98903=LINE('',#560745,#137918); #98904=LINE('',#560748,#137919); #98905=LINE('',#560750,#137920); #98906=LINE('',#560751,#137921); #98907=LINE('',#560754,#137922); #98908=LINE('',#560756,#137923); #98909=LINE('',#560757,#137924); #98910=LINE('',#560760,#137925); #98911=LINE('',#560762,#137926); #98912=LINE('',#560763,#137927); #98913=LINE('',#560766,#137928); #98914=LINE('',#560768,#137929); #98915=LINE('',#560769,#137930); #98916=LINE('',#560772,#137931); #98917=LINE('',#560774,#137932); #98918=LINE('',#560775,#137933); #98919=LINE('',#560778,#137934); #98920=LINE('',#560780,#137935); #98921=LINE('',#560781,#137936); #98922=LINE('',#560784,#137937); #98923=LINE('',#560786,#137938); #98924=LINE('',#560787,#137939); #98925=LINE('',#560790,#137940); #98926=LINE('',#560792,#137941); #98927=LINE('',#560793,#137942); #98928=LINE('',#560796,#137943); #98929=LINE('',#560798,#137944); #98930=LINE('',#560799,#137945); #98931=LINE('',#560802,#137946); #98932=LINE('',#560804,#137947); #98933=LINE('',#560805,#137948); #98934=LINE('',#560808,#137949); #98935=LINE('',#560810,#137950); #98936=LINE('',#560811,#137951); #98937=LINE('',#560814,#137952); #98938=LINE('',#560816,#137953); #98939=LINE('',#560817,#137954); #98940=LINE('',#560820,#137955); #98941=LINE('',#560822,#137956); #98942=LINE('',#560823,#137957); #98943=LINE('',#560826,#137958); #98944=LINE('',#560828,#137959); #98945=LINE('',#560829,#137960); #98946=LINE('',#560832,#137961); #98947=LINE('',#560834,#137962); #98948=LINE('',#560835,#137963); #98949=LINE('',#560838,#137964); #98950=LINE('',#560840,#137965); #98951=LINE('',#560841,#137966); #98952=LINE('',#560844,#137967); #98953=LINE('',#560846,#137968); #98954=LINE('',#560847,#137969); #98955=LINE('',#560850,#137970); #98956=LINE('',#560852,#137971); #98957=LINE('',#560853,#137972); #98958=LINE('',#560856,#137973); #98959=LINE('',#560858,#137974); #98960=LINE('',#560859,#137975); #98961=LINE('',#560862,#137976); #98962=LINE('',#560864,#137977); #98963=LINE('',#560865,#137978); #98964=LINE('',#560868,#137979); #98965=LINE('',#560870,#137980); #98966=LINE('',#560871,#137981); #98967=LINE('',#560874,#137982); #98968=LINE('',#560876,#137983); #98969=LINE('',#560877,#137984); #98970=LINE('',#560880,#137985); #98971=LINE('',#560882,#137986); #98972=LINE('',#560883,#137987); #98973=LINE('',#560886,#137988); #98974=LINE('',#560888,#137989); #98975=LINE('',#560889,#137990); #98976=LINE('',#560892,#137991); #98977=LINE('',#560894,#137992); #98978=LINE('',#560895,#137993); #98979=LINE('',#560898,#137994); #98980=LINE('',#560900,#137995); #98981=LINE('',#560901,#137996); #98982=LINE('',#560904,#137997); #98983=LINE('',#560906,#137998); #98984=LINE('',#560907,#137999); #98985=LINE('',#560910,#138000); #98986=LINE('',#560912,#138001); #98987=LINE('',#560913,#138002); #98988=LINE('',#560916,#138003); #98989=LINE('',#560918,#138004); #98990=LINE('',#560919,#138005); #98991=LINE('',#560922,#138006); #98992=LINE('',#560924,#138007); #98993=LINE('',#560925,#138008); #98994=LINE('',#560928,#138009); #98995=LINE('',#560930,#138010); #98996=LINE('',#560931,#138011); #98997=LINE('',#560934,#138012); #98998=LINE('',#560936,#138013); #98999=LINE('',#560937,#138014); #99000=LINE('',#560940,#138015); #99001=LINE('',#560942,#138016); #99002=LINE('',#560943,#138017); #99003=LINE('',#560946,#138018); #99004=LINE('',#560948,#138019); #99005=LINE('',#560949,#138020); #99006=LINE('',#560952,#138021); #99007=LINE('',#560954,#138022); #99008=LINE('',#560955,#138023); #99009=LINE('',#560958,#138024); #99010=LINE('',#560960,#138025); #99011=LINE('',#560961,#138026); #99012=LINE('',#560964,#138027); #99013=LINE('',#560966,#138028); #99014=LINE('',#560967,#138029); #99015=LINE('',#560970,#138030); #99016=LINE('',#560972,#138031); #99017=LINE('',#560973,#138032); #99018=LINE('',#560976,#138033); #99019=LINE('',#560978,#138034); #99020=LINE('',#560979,#138035); #99021=LINE('',#560982,#138036); #99022=LINE('',#560984,#138037); #99023=LINE('',#560985,#138038); #99024=LINE('',#560988,#138039); #99025=LINE('',#560990,#138040); #99026=LINE('',#560991,#138041); #99027=LINE('',#560994,#138042); #99028=LINE('',#560996,#138043); #99029=LINE('',#560997,#138044); #99030=LINE('',#561000,#138045); #99031=LINE('',#561002,#138046); #99032=LINE('',#561003,#138047); #99033=LINE('',#561006,#138048); #99034=LINE('',#561008,#138049); #99035=LINE('',#561009,#138050); #99036=LINE('',#561012,#138051); #99037=LINE('',#561014,#138052); #99038=LINE('',#561015,#138053); #99039=LINE('',#561017,#138054); #99040=LINE('',#561018,#138055); #99041=LINE('',#561022,#138056); #99042=LINE('',#561024,#138057); #99043=LINE('',#561026,#138058); #99044=LINE('',#561027,#138059); #99045=LINE('',#561030,#138060); #99046=LINE('',#561032,#138061); #99047=LINE('',#561033,#138062); #99048=LINE('',#561036,#138063); #99049=LINE('',#561038,#138064); #99050=LINE('',#561039,#138065); #99051=LINE('',#561042,#138066); #99052=LINE('',#561044,#138067); #99053=LINE('',#561045,#138068); #99054=LINE('',#561048,#138069); #99055=LINE('',#561050,#138070); #99056=LINE('',#561051,#138071); #99057=LINE('',#561054,#138072); #99058=LINE('',#561056,#138073); #99059=LINE('',#561057,#138074); #99060=LINE('',#561060,#138075); #99061=LINE('',#561062,#138076); #99062=LINE('',#561063,#138077); #99063=LINE('',#561066,#138078); #99064=LINE('',#561068,#138079); #99065=LINE('',#561069,#138080); #99066=LINE('',#561072,#138081); #99067=LINE('',#561074,#138082); #99068=LINE('',#561075,#138083); #99069=LINE('',#561078,#138084); #99070=LINE('',#561080,#138085); #99071=LINE('',#561081,#138086); #99072=LINE('',#561084,#138087); #99073=LINE('',#561086,#138088); #99074=LINE('',#561087,#138089); #99075=LINE('',#561090,#138090); #99076=LINE('',#561092,#138091); #99077=LINE('',#561093,#138092); #99078=LINE('',#561096,#138093); #99079=LINE('',#561098,#138094); #99080=LINE('',#561099,#138095); #99081=LINE('',#561102,#138096); #99082=LINE('',#561104,#138097); #99083=LINE('',#561105,#138098); #99084=LINE('',#561108,#138099); #99085=LINE('',#561110,#138100); #99086=LINE('',#561111,#138101); #99087=LINE('',#561114,#138102); #99088=LINE('',#561116,#138103); #99089=LINE('',#561117,#138104); #99090=LINE('',#561120,#138105); #99091=LINE('',#561122,#138106); #99092=LINE('',#561123,#138107); #99093=LINE('',#561126,#138108); #99094=LINE('',#561128,#138109); #99095=LINE('',#561129,#138110); #99096=LINE('',#561132,#138111); #99097=LINE('',#561134,#138112); #99098=LINE('',#561135,#138113); #99099=LINE('',#561138,#138114); #99100=LINE('',#561140,#138115); #99101=LINE('',#561141,#138116); #99102=LINE('',#561144,#138117); #99103=LINE('',#561146,#138118); #99104=LINE('',#561147,#138119); #99105=LINE('',#561150,#138120); #99106=LINE('',#561152,#138121); #99107=LINE('',#561153,#138122); #99108=LINE('',#561156,#138123); #99109=LINE('',#561158,#138124); #99110=LINE('',#561159,#138125); #99111=LINE('',#561162,#138126); #99112=LINE('',#561164,#138127); #99113=LINE('',#561165,#138128); #99114=LINE('',#561168,#138129); #99115=LINE('',#561170,#138130); #99116=LINE('',#561171,#138131); #99117=LINE('',#561174,#138132); #99118=LINE('',#561176,#138133); #99119=LINE('',#561177,#138134); #99120=LINE('',#561180,#138135); #99121=LINE('',#561182,#138136); #99122=LINE('',#561183,#138137); #99123=LINE('',#561186,#138138); #99124=LINE('',#561188,#138139); #99125=LINE('',#561189,#138140); #99126=LINE('',#561192,#138141); #99127=LINE('',#561194,#138142); #99128=LINE('',#561195,#138143); #99129=LINE('',#561197,#138144); #99130=LINE('',#561198,#138145); #99131=LINE('',#561202,#138146); #99132=LINE('',#561204,#138147); #99133=LINE('',#561206,#138148); #99134=LINE('',#561207,#138149); #99135=LINE('',#561210,#138150); #99136=LINE('',#561212,#138151); #99137=LINE('',#561213,#138152); #99138=LINE('',#561216,#138153); #99139=LINE('',#561218,#138154); #99140=LINE('',#561219,#138155); #99141=LINE('',#561222,#138156); #99142=LINE('',#561224,#138157); #99143=LINE('',#561225,#138158); #99144=LINE('',#561228,#138159); #99145=LINE('',#561230,#138160); #99146=LINE('',#561231,#138161); #99147=LINE('',#561234,#138162); #99148=LINE('',#561236,#138163); #99149=LINE('',#561237,#138164); #99150=LINE('',#561240,#138165); #99151=LINE('',#561242,#138166); #99152=LINE('',#561243,#138167); #99153=LINE('',#561246,#138168); #99154=LINE('',#561248,#138169); #99155=LINE('',#561249,#138170); #99156=LINE('',#561252,#138171); #99157=LINE('',#561254,#138172); #99158=LINE('',#561255,#138173); #99159=LINE('',#561258,#138174); #99160=LINE('',#561260,#138175); #99161=LINE('',#561261,#138176); #99162=LINE('',#561264,#138177); #99163=LINE('',#561266,#138178); #99164=LINE('',#561267,#138179); #99165=LINE('',#561270,#138180); #99166=LINE('',#561272,#138181); #99167=LINE('',#561273,#138182); #99168=LINE('',#561276,#138183); #99169=LINE('',#561278,#138184); #99170=LINE('',#561279,#138185); #99171=LINE('',#561282,#138186); #99172=LINE('',#561284,#138187); #99173=LINE('',#561285,#138188); #99174=LINE('',#561288,#138189); #99175=LINE('',#561290,#138190); #99176=LINE('',#561291,#138191); #99177=LINE('',#561294,#138192); #99178=LINE('',#561296,#138193); #99179=LINE('',#561297,#138194); #99180=LINE('',#561300,#138195); #99181=LINE('',#561302,#138196); #99182=LINE('',#561303,#138197); #99183=LINE('',#561306,#138198); #99184=LINE('',#561308,#138199); #99185=LINE('',#561309,#138200); #99186=LINE('',#561312,#138201); #99187=LINE('',#561314,#138202); #99188=LINE('',#561315,#138203); #99189=LINE('',#561318,#138204); #99190=LINE('',#561320,#138205); #99191=LINE('',#561321,#138206); #99192=LINE('',#561324,#138207); #99193=LINE('',#561326,#138208); #99194=LINE('',#561327,#138209); #99195=LINE('',#561330,#138210); #99196=LINE('',#561332,#138211); #99197=LINE('',#561333,#138212); #99198=LINE('',#561336,#138213); #99199=LINE('',#561338,#138214); #99200=LINE('',#561339,#138215); #99201=LINE('',#561342,#138216); #99202=LINE('',#561344,#138217); #99203=LINE('',#561345,#138218); #99204=LINE('',#561348,#138219); #99205=LINE('',#561350,#138220); #99206=LINE('',#561351,#138221); #99207=LINE('',#561354,#138222); #99208=LINE('',#561356,#138223); #99209=LINE('',#561357,#138224); #99210=LINE('',#561360,#138225); #99211=LINE('',#561362,#138226); #99212=LINE('',#561363,#138227); #99213=LINE('',#561366,#138228); #99214=LINE('',#561368,#138229); #99215=LINE('',#561369,#138230); #99216=LINE('',#561372,#138231); #99217=LINE('',#561374,#138232); #99218=LINE('',#561375,#138233); #99219=LINE('',#561377,#138234); #99220=LINE('',#561378,#138235); #99221=LINE('',#561382,#138236); #99222=LINE('',#561384,#138237); #99223=LINE('',#561386,#138238); #99224=LINE('',#561387,#138239); #99225=LINE('',#561390,#138240); #99226=LINE('',#561392,#138241); #99227=LINE('',#561393,#138242); #99228=LINE('',#561396,#138243); #99229=LINE('',#561398,#138244); #99230=LINE('',#561399,#138245); #99231=LINE('',#561402,#138246); #99232=LINE('',#561404,#138247); #99233=LINE('',#561405,#138248); #99234=LINE('',#561408,#138249); #99235=LINE('',#561410,#138250); #99236=LINE('',#561411,#138251); #99237=LINE('',#561414,#138252); #99238=LINE('',#561416,#138253); #99239=LINE('',#561417,#138254); #99240=LINE('',#561420,#138255); #99241=LINE('',#561422,#138256); #99242=LINE('',#561423,#138257); #99243=LINE('',#561426,#138258); #99244=LINE('',#561428,#138259); #99245=LINE('',#561429,#138260); #99246=LINE('',#561432,#138261); #99247=LINE('',#561434,#138262); #99248=LINE('',#561435,#138263); #99249=LINE('',#561438,#138264); #99250=LINE('',#561440,#138265); #99251=LINE('',#561441,#138266); #99252=LINE('',#561444,#138267); #99253=LINE('',#561446,#138268); #99254=LINE('',#561447,#138269); #99255=LINE('',#561450,#138270); #99256=LINE('',#561452,#138271); #99257=LINE('',#561453,#138272); #99258=LINE('',#561456,#138273); #99259=LINE('',#561458,#138274); #99260=LINE('',#561459,#138275); #99261=LINE('',#561462,#138276); #99262=LINE('',#561464,#138277); #99263=LINE('',#561465,#138278); #99264=LINE('',#561468,#138279); #99265=LINE('',#561470,#138280); #99266=LINE('',#561471,#138281); #99267=LINE('',#561474,#138282); #99268=LINE('',#561476,#138283); #99269=LINE('',#561477,#138284); #99270=LINE('',#561480,#138285); #99271=LINE('',#561482,#138286); #99272=LINE('',#561483,#138287); #99273=LINE('',#561486,#138288); #99274=LINE('',#561488,#138289); #99275=LINE('',#561489,#138290); #99276=LINE('',#561492,#138291); #99277=LINE('',#561494,#138292); #99278=LINE('',#561495,#138293); #99279=LINE('',#561498,#138294); #99280=LINE('',#561500,#138295); #99281=LINE('',#561501,#138296); #99282=LINE('',#561504,#138297); #99283=LINE('',#561506,#138298); #99284=LINE('',#561507,#138299); #99285=LINE('',#561510,#138300); #99286=LINE('',#561512,#138301); #99287=LINE('',#561513,#138302); #99288=LINE('',#561516,#138303); #99289=LINE('',#561518,#138304); #99290=LINE('',#561519,#138305); #99291=LINE('',#561522,#138306); #99292=LINE('',#561524,#138307); #99293=LINE('',#561525,#138308); #99294=LINE('',#561528,#138309); #99295=LINE('',#561530,#138310); #99296=LINE('',#561531,#138311); #99297=LINE('',#561534,#138312); #99298=LINE('',#561536,#138313); #99299=LINE('',#561537,#138314); #99300=LINE('',#561540,#138315); #99301=LINE('',#561542,#138316); #99302=LINE('',#561543,#138317); #99303=LINE('',#561546,#138318); #99304=LINE('',#561548,#138319); #99305=LINE('',#561549,#138320); #99306=LINE('',#561552,#138321); #99307=LINE('',#561554,#138322); #99308=LINE('',#561555,#138323); #99309=LINE('',#561557,#138324); #99310=LINE('',#561558,#138325); #99311=LINE('',#561562,#138326); #99312=LINE('',#561564,#138327); #99313=LINE('',#561566,#138328); #99314=LINE('',#561567,#138329); #99315=LINE('',#561570,#138330); #99316=LINE('',#561572,#138331); #99317=LINE('',#561573,#138332); #99318=LINE('',#561576,#138333); #99319=LINE('',#561578,#138334); #99320=LINE('',#561579,#138335); #99321=LINE('',#561582,#138336); #99322=LINE('',#561584,#138337); #99323=LINE('',#561585,#138338); #99324=LINE('',#561588,#138339); #99325=LINE('',#561590,#138340); #99326=LINE('',#561591,#138341); #99327=LINE('',#561594,#138342); #99328=LINE('',#561596,#138343); #99329=LINE('',#561597,#138344); #99330=LINE('',#561600,#138345); #99331=LINE('',#561602,#138346); #99332=LINE('',#561603,#138347); #99333=LINE('',#561606,#138348); #99334=LINE('',#561608,#138349); #99335=LINE('',#561609,#138350); #99336=LINE('',#561612,#138351); #99337=LINE('',#561614,#138352); #99338=LINE('',#561615,#138353); #99339=LINE('',#561618,#138354); #99340=LINE('',#561620,#138355); #99341=LINE('',#561621,#138356); #99342=LINE('',#561624,#138357); #99343=LINE('',#561626,#138358); #99344=LINE('',#561627,#138359); #99345=LINE('',#561630,#138360); #99346=LINE('',#561632,#138361); #99347=LINE('',#561633,#138362); #99348=LINE('',#561636,#138363); #99349=LINE('',#561638,#138364); #99350=LINE('',#561639,#138365); #99351=LINE('',#561642,#138366); #99352=LINE('',#561644,#138367); #99353=LINE('',#561645,#138368); #99354=LINE('',#561648,#138369); #99355=LINE('',#561650,#138370); #99356=LINE('',#561651,#138371); #99357=LINE('',#561654,#138372); #99358=LINE('',#561656,#138373); #99359=LINE('',#561657,#138374); #99360=LINE('',#561660,#138375); #99361=LINE('',#561662,#138376); #99362=LINE('',#561663,#138377); #99363=LINE('',#561666,#138378); #99364=LINE('',#561668,#138379); #99365=LINE('',#561669,#138380); #99366=LINE('',#561672,#138381); #99367=LINE('',#561674,#138382); #99368=LINE('',#561675,#138383); #99369=LINE('',#561678,#138384); #99370=LINE('',#561680,#138385); #99371=LINE('',#561681,#138386); #99372=LINE('',#561684,#138387); #99373=LINE('',#561686,#138388); #99374=LINE('',#561687,#138389); #99375=LINE('',#561690,#138390); #99376=LINE('',#561692,#138391); #99377=LINE('',#561693,#138392); #99378=LINE('',#561696,#138393); #99379=LINE('',#561698,#138394); #99380=LINE('',#561699,#138395); #99381=LINE('',#561702,#138396); #99382=LINE('',#561704,#138397); #99383=LINE('',#561705,#138398); #99384=LINE('',#561708,#138399); #99385=LINE('',#561710,#138400); #99386=LINE('',#561711,#138401); #99387=LINE('',#561714,#138402); #99388=LINE('',#561716,#138403); #99389=LINE('',#561717,#138404); #99390=LINE('',#561720,#138405); #99391=LINE('',#561722,#138406); #99392=LINE('',#561723,#138407); #99393=LINE('',#561726,#138408); #99394=LINE('',#561728,#138409); #99395=LINE('',#561729,#138410); #99396=LINE('',#561732,#138411); #99397=LINE('',#561734,#138412); #99398=LINE('',#561735,#138413); #99399=LINE('',#561738,#138414); #99400=LINE('',#561740,#138415); #99401=LINE('',#561741,#138416); #99402=LINE('',#561744,#138417); #99403=LINE('',#561746,#138418); #99404=LINE('',#561747,#138419); #99405=LINE('',#561750,#138420); #99406=LINE('',#561752,#138421); #99407=LINE('',#561753,#138422); #99408=LINE('',#561756,#138423); #99409=LINE('',#561758,#138424); #99410=LINE('',#561759,#138425); #99411=LINE('',#561762,#138426); #99412=LINE('',#561764,#138427); #99413=LINE('',#561765,#138428); #99414=LINE('',#561768,#138429); #99415=LINE('',#561770,#138430); #99416=LINE('',#561771,#138431); #99417=LINE('',#561774,#138432); #99418=LINE('',#561776,#138433); #99419=LINE('',#561777,#138434); #99420=LINE('',#561780,#138435); #99421=LINE('',#561782,#138436); #99422=LINE('',#561783,#138437); #99423=LINE('',#561786,#138438); #99424=LINE('',#561788,#138439); #99425=LINE('',#561789,#138440); #99426=LINE('',#561792,#138441); #99427=LINE('',#561794,#138442); #99428=LINE('',#561795,#138443); #99429=LINE('',#561798,#138444); #99430=LINE('',#561800,#138445); #99431=LINE('',#561801,#138446); #99432=LINE('',#561804,#138447); #99433=LINE('',#561806,#138448); #99434=LINE('',#561807,#138449); #99435=LINE('',#561810,#138450); #99436=LINE('',#561812,#138451); #99437=LINE('',#561813,#138452); #99438=LINE('',#561816,#138453); #99439=LINE('',#561818,#138454); #99440=LINE('',#561819,#138455); #99441=LINE('',#561822,#138456); #99442=LINE('',#561824,#138457); #99443=LINE('',#561825,#138458); #99444=LINE('',#561828,#138459); #99445=LINE('',#561830,#138460); #99446=LINE('',#561831,#138461); #99447=LINE('',#561834,#138462); #99448=LINE('',#561836,#138463); #99449=LINE('',#561837,#138464); #99450=LINE('',#561840,#138465); #99451=LINE('',#561842,#138466); #99452=LINE('',#561843,#138467); #99453=LINE('',#561846,#138468); #99454=LINE('',#561848,#138469); #99455=LINE('',#561849,#138470); #99456=LINE('',#561852,#138471); #99457=LINE('',#561854,#138472); #99458=LINE('',#561855,#138473); #99459=LINE('',#561858,#138474); #99460=LINE('',#561860,#138475); #99461=LINE('',#561861,#138476); #99462=LINE('',#561864,#138477); #99463=LINE('',#561866,#138478); #99464=LINE('',#561867,#138479); #99465=LINE('',#561870,#138480); #99466=LINE('',#561872,#138481); #99467=LINE('',#561873,#138482); #99468=LINE('',#561876,#138483); #99469=LINE('',#561878,#138484); #99470=LINE('',#561879,#138485); #99471=LINE('',#561882,#138486); #99472=LINE('',#561884,#138487); #99473=LINE('',#561885,#138488); #99474=LINE('',#561888,#138489); #99475=LINE('',#561890,#138490); #99476=LINE('',#561891,#138491); #99477=LINE('',#561894,#138492); #99478=LINE('',#561896,#138493); #99479=LINE('',#561897,#138494); #99480=LINE('',#561900,#138495); #99481=LINE('',#561902,#138496); #99482=LINE('',#561903,#138497); #99483=LINE('',#561906,#138498); #99484=LINE('',#561908,#138499); #99485=LINE('',#561909,#138500); #99486=LINE('',#561912,#138501); #99487=LINE('',#561914,#138502); #99488=LINE('',#561915,#138503); #99489=LINE('',#561918,#138504); #99490=LINE('',#561920,#138505); #99491=LINE('',#561921,#138506); #99492=LINE('',#561924,#138507); #99493=LINE('',#561926,#138508); #99494=LINE('',#561927,#138509); #99495=LINE('',#561930,#138510); #99496=LINE('',#561932,#138511); #99497=LINE('',#561933,#138512); #99498=LINE('',#561936,#138513); #99499=LINE('',#561938,#138514); #99500=LINE('',#561939,#138515); #99501=LINE('',#561942,#138516); #99502=LINE('',#561944,#138517); #99503=LINE('',#561945,#138518); #99504=LINE('',#561948,#138519); #99505=LINE('',#561950,#138520); #99506=LINE('',#561951,#138521); #99507=LINE('',#561954,#138522); #99508=LINE('',#561956,#138523); #99509=LINE('',#561957,#138524); #99510=LINE('',#561960,#138525); #99511=LINE('',#561962,#138526); #99512=LINE('',#561963,#138527); #99513=LINE('',#561966,#138528); #99514=LINE('',#561968,#138529); #99515=LINE('',#561969,#138530); #99516=LINE('',#561972,#138531); #99517=LINE('',#561974,#138532); #99518=LINE('',#561975,#138533); #99519=LINE('',#561978,#138534); #99520=LINE('',#561980,#138535); #99521=LINE('',#561981,#138536); #99522=LINE('',#561984,#138537); #99523=LINE('',#561986,#138538); #99524=LINE('',#561987,#138539); #99525=LINE('',#561990,#138540); #99526=LINE('',#561992,#138541); #99527=LINE('',#561993,#138542); #99528=LINE('',#561996,#138543); #99529=LINE('',#561998,#138544); #99530=LINE('',#561999,#138545); #99531=LINE('',#562002,#138546); #99532=LINE('',#562004,#138547); #99533=LINE('',#562005,#138548); #99534=LINE('',#562008,#138549); #99535=LINE('',#562010,#138550); #99536=LINE('',#562011,#138551); #99537=LINE('',#562014,#138552); #99538=LINE('',#562016,#138553); #99539=LINE('',#562017,#138554); #99540=LINE('',#562020,#138555); #99541=LINE('',#562022,#138556); #99542=LINE('',#562023,#138557); #99543=LINE('',#562026,#138558); #99544=LINE('',#562028,#138559); #99545=LINE('',#562029,#138560); #99546=LINE('',#562032,#138561); #99547=LINE('',#562034,#138562); #99548=LINE('',#562035,#138563); #99549=LINE('',#562038,#138564); #99550=LINE('',#562040,#138565); #99551=LINE('',#562041,#138566); #99552=LINE('',#562044,#138567); #99553=LINE('',#562046,#138568); #99554=LINE('',#562047,#138569); #99555=LINE('',#562050,#138570); #99556=LINE('',#562052,#138571); #99557=LINE('',#562053,#138572); #99558=LINE('',#562056,#138573); #99559=LINE('',#562058,#138574); #99560=LINE('',#562059,#138575); #99561=LINE('',#562062,#138576); #99562=LINE('',#562064,#138577); #99563=LINE('',#562065,#138578); #99564=LINE('',#562068,#138579); #99565=LINE('',#562070,#138580); #99566=LINE('',#562071,#138581); #99567=LINE('',#562074,#138582); #99568=LINE('',#562076,#138583); #99569=LINE('',#562077,#138584); #99570=LINE('',#562080,#138585); #99571=LINE('',#562082,#138586); #99572=LINE('',#562083,#138587); #99573=LINE('',#562086,#138588); #99574=LINE('',#562088,#138589); #99575=LINE('',#562089,#138590); #99576=LINE('',#562092,#138591); #99577=LINE('',#562094,#138592); #99578=LINE('',#562095,#138593); #99579=LINE('',#562098,#138594); #99580=LINE('',#562100,#138595); #99581=LINE('',#562101,#138596); #99582=LINE('',#562104,#138597); #99583=LINE('',#562106,#138598); #99584=LINE('',#562107,#138599); #99585=LINE('',#562110,#138600); #99586=LINE('',#562112,#138601); #99587=LINE('',#562113,#138602); #99588=LINE('',#562116,#138603); #99589=LINE('',#562118,#138604); #99590=LINE('',#562119,#138605); #99591=LINE('',#562122,#138606); #99592=LINE('',#562124,#138607); #99593=LINE('',#562125,#138608); #99594=LINE('',#562128,#138609); #99595=LINE('',#562130,#138610); #99596=LINE('',#562131,#138611); #99597=LINE('',#562134,#138612); #99598=LINE('',#562136,#138613); #99599=LINE('',#562137,#138614); #99600=LINE('',#562140,#138615); #99601=LINE('',#562142,#138616); #99602=LINE('',#562143,#138617); #99603=LINE('',#562146,#138618); #99604=LINE('',#562148,#138619); #99605=LINE('',#562149,#138620); #99606=LINE('',#562152,#138621); #99607=LINE('',#562154,#138622); #99608=LINE('',#562155,#138623); #99609=LINE('',#562158,#138624); #99610=LINE('',#562160,#138625); #99611=LINE('',#562161,#138626); #99612=LINE('',#562164,#138627); #99613=LINE('',#562166,#138628); #99614=LINE('',#562167,#138629); #99615=LINE('',#562170,#138630); #99616=LINE('',#562172,#138631); #99617=LINE('',#562173,#138632); #99618=LINE('',#562176,#138633); #99619=LINE('',#562178,#138634); #99620=LINE('',#562179,#138635); #99621=LINE('',#562182,#138636); #99622=LINE('',#562184,#138637); #99623=LINE('',#562185,#138638); #99624=LINE('',#562188,#138639); #99625=LINE('',#562190,#138640); #99626=LINE('',#562191,#138641); #99627=LINE('',#562194,#138642); #99628=LINE('',#562196,#138643); #99629=LINE('',#562197,#138644); #99630=LINE('',#562200,#138645); #99631=LINE('',#562202,#138646); #99632=LINE('',#562203,#138647); #99633=LINE('',#562206,#138648); #99634=LINE('',#562208,#138649); #99635=LINE('',#562209,#138650); #99636=LINE('',#562212,#138651); #99637=LINE('',#562214,#138652); #99638=LINE('',#562215,#138653); #99639=LINE('',#562218,#138654); #99640=LINE('',#562220,#138655); #99641=LINE('',#562221,#138656); #99642=LINE('',#562224,#138657); #99643=LINE('',#562226,#138658); #99644=LINE('',#562227,#138659); #99645=LINE('',#562230,#138660); #99646=LINE('',#562232,#138661); #99647=LINE('',#562233,#138662); #99648=LINE('',#562236,#138663); #99649=LINE('',#562238,#138664); #99650=LINE('',#562239,#138665); #99651=LINE('',#562242,#138666); #99652=LINE('',#562244,#138667); #99653=LINE('',#562245,#138668); #99654=LINE('',#562248,#138669); #99655=LINE('',#562250,#138670); #99656=LINE('',#562251,#138671); #99657=LINE('',#562254,#138672); #99658=LINE('',#562256,#138673); #99659=LINE('',#562257,#138674); #99660=LINE('',#562260,#138675); #99661=LINE('',#562262,#138676); #99662=LINE('',#562263,#138677); #99663=LINE('',#562266,#138678); #99664=LINE('',#562268,#138679); #99665=LINE('',#562269,#138680); #99666=LINE('',#562272,#138681); #99667=LINE('',#562274,#138682); #99668=LINE('',#562275,#138683); #99669=LINE('',#562277,#138684); #99670=LINE('',#562278,#138685); #99671=LINE('',#562284,#138686); #99672=LINE('',#562286,#138687); #99673=LINE('',#562288,#138688); #99674=LINE('',#562289,#138689); #99675=LINE('',#562292,#138690); #99676=LINE('',#562294,#138691); #99677=LINE('',#562295,#138692); #99678=LINE('',#562298,#138693); #99679=LINE('',#562300,#138694); #99680=LINE('',#562301,#138695); #99681=LINE('',#562304,#138696); #99682=LINE('',#562306,#138697); #99683=LINE('',#562307,#138698); #99684=LINE('',#562310,#138699); #99685=LINE('',#562312,#138700); #99686=LINE('',#562313,#138701); #99687=LINE('',#562316,#138702); #99688=LINE('',#562318,#138703); #99689=LINE('',#562319,#138704); #99690=LINE('',#562322,#138705); #99691=LINE('',#562324,#138706); #99692=LINE('',#562325,#138707); #99693=LINE('',#562328,#138708); #99694=LINE('',#562330,#138709); #99695=LINE('',#562331,#138710); #99696=LINE('',#562334,#138711); #99697=LINE('',#562336,#138712); #99698=LINE('',#562337,#138713); #99699=LINE('',#562340,#138714); #99700=LINE('',#562342,#138715); #99701=LINE('',#562343,#138716); #99702=LINE('',#562346,#138717); #99703=LINE('',#562348,#138718); #99704=LINE('',#562349,#138719); #99705=LINE('',#562352,#138720); #99706=LINE('',#562354,#138721); #99707=LINE('',#562355,#138722); #99708=LINE('',#562358,#138723); #99709=LINE('',#562360,#138724); #99710=LINE('',#562361,#138725); #99711=LINE('',#562364,#138726); #99712=LINE('',#562366,#138727); #99713=LINE('',#562367,#138728); #99714=LINE('',#562370,#138729); #99715=LINE('',#562372,#138730); #99716=LINE('',#562373,#138731); #99717=LINE('',#562376,#138732); #99718=LINE('',#562378,#138733); #99719=LINE('',#562379,#138734); #99720=LINE('',#562382,#138735); #99721=LINE('',#562384,#138736); #99722=LINE('',#562385,#138737); #99723=LINE('',#562388,#138738); #99724=LINE('',#562390,#138739); #99725=LINE('',#562391,#138740); #99726=LINE('',#562394,#138741); #99727=LINE('',#562396,#138742); #99728=LINE('',#562397,#138743); #99729=LINE('',#562400,#138744); #99730=LINE('',#562402,#138745); #99731=LINE('',#562403,#138746); #99732=LINE('',#562406,#138747); #99733=LINE('',#562408,#138748); #99734=LINE('',#562409,#138749); #99735=LINE('',#562412,#138750); #99736=LINE('',#562414,#138751); #99737=LINE('',#562415,#138752); #99738=LINE('',#562418,#138753); #99739=LINE('',#562420,#138754); #99740=LINE('',#562421,#138755); #99741=LINE('',#562424,#138756); #99742=LINE('',#562426,#138757); #99743=LINE('',#562427,#138758); #99744=LINE('',#562430,#138759); #99745=LINE('',#562432,#138760); #99746=LINE('',#562433,#138761); #99747=LINE('',#562436,#138762); #99748=LINE('',#562438,#138763); #99749=LINE('',#562439,#138764); #99750=LINE('',#562441,#138765); #99751=LINE('',#562442,#138766); #99752=LINE('',#562448,#138767); #99753=LINE('',#562450,#138768); #99754=LINE('',#562452,#138769); #99755=LINE('',#562453,#138770); #99756=LINE('',#562456,#138771); #99757=LINE('',#562458,#138772); #99758=LINE('',#562459,#138773); #99759=LINE('',#562462,#138774); #99760=LINE('',#562464,#138775); #99761=LINE('',#562465,#138776); #99762=LINE('',#562468,#138777); #99763=LINE('',#562470,#138778); #99764=LINE('',#562471,#138779); #99765=LINE('',#562474,#138780); #99766=LINE('',#562476,#138781); #99767=LINE('',#562477,#138782); #99768=LINE('',#562480,#138783); #99769=LINE('',#562482,#138784); #99770=LINE('',#562483,#138785); #99771=LINE('',#562486,#138786); #99772=LINE('',#562488,#138787); #99773=LINE('',#562489,#138788); #99774=LINE('',#562492,#138789); #99775=LINE('',#562494,#138790); #99776=LINE('',#562495,#138791); #99777=LINE('',#562498,#138792); #99778=LINE('',#562500,#138793); #99779=LINE('',#562501,#138794); #99780=LINE('',#562504,#138795); #99781=LINE('',#562506,#138796); #99782=LINE('',#562507,#138797); #99783=LINE('',#562510,#138798); #99784=LINE('',#562512,#138799); #99785=LINE('',#562513,#138800); #99786=LINE('',#562516,#138801); #99787=LINE('',#562518,#138802); #99788=LINE('',#562519,#138803); #99789=LINE('',#562522,#138804); #99790=LINE('',#562524,#138805); #99791=LINE('',#562525,#138806); #99792=LINE('',#562528,#138807); #99793=LINE('',#562530,#138808); #99794=LINE('',#562531,#138809); #99795=LINE('',#562534,#138810); #99796=LINE('',#562536,#138811); #99797=LINE('',#562537,#138812); #99798=LINE('',#562540,#138813); #99799=LINE('',#562542,#138814); #99800=LINE('',#562543,#138815); #99801=LINE('',#562546,#138816); #99802=LINE('',#562548,#138817); #99803=LINE('',#562549,#138818); #99804=LINE('',#562552,#138819); #99805=LINE('',#562554,#138820); #99806=LINE('',#562555,#138821); #99807=LINE('',#562558,#138822); #99808=LINE('',#562560,#138823); #99809=LINE('',#562561,#138824); #99810=LINE('',#562564,#138825); #99811=LINE('',#562566,#138826); #99812=LINE('',#562567,#138827); #99813=LINE('',#562569,#138828); #99814=LINE('',#562570,#138829); #99815=LINE('',#562576,#138830); #99816=LINE('',#562578,#138831); #99817=LINE('',#562580,#138832); #99818=LINE('',#562581,#138833); #99819=LINE('',#562584,#138834); #99820=LINE('',#562586,#138835); #99821=LINE('',#562587,#138836); #99822=LINE('',#562590,#138837); #99823=LINE('',#562592,#138838); #99824=LINE('',#562593,#138839); #99825=LINE('',#562596,#138840); #99826=LINE('',#562598,#138841); #99827=LINE('',#562599,#138842); #99828=LINE('',#562602,#138843); #99829=LINE('',#562604,#138844); #99830=LINE('',#562605,#138845); #99831=LINE('',#562608,#138846); #99832=LINE('',#562610,#138847); #99833=LINE('',#562611,#138848); #99834=LINE('',#562614,#138849); #99835=LINE('',#562616,#138850); #99836=LINE('',#562617,#138851); #99837=LINE('',#562620,#138852); #99838=LINE('',#562622,#138853); #99839=LINE('',#562623,#138854); #99840=LINE('',#562626,#138855); #99841=LINE('',#562628,#138856); #99842=LINE('',#562629,#138857); #99843=LINE('',#562632,#138858); #99844=LINE('',#562634,#138859); #99845=LINE('',#562635,#138860); #99846=LINE('',#562638,#138861); #99847=LINE('',#562640,#138862); #99848=LINE('',#562641,#138863); #99849=LINE('',#562644,#138864); #99850=LINE('',#562646,#138865); #99851=LINE('',#562647,#138866); #99852=LINE('',#562650,#138867); #99853=LINE('',#562652,#138868); #99854=LINE('',#562653,#138869); #99855=LINE('',#562656,#138870); #99856=LINE('',#562658,#138871); #99857=LINE('',#562659,#138872); #99858=LINE('',#562662,#138873); #99859=LINE('',#562664,#138874); #99860=LINE('',#562665,#138875); #99861=LINE('',#562668,#138876); #99862=LINE('',#562670,#138877); #99863=LINE('',#562671,#138878); #99864=LINE('',#562674,#138879); #99865=LINE('',#562676,#138880); #99866=LINE('',#562677,#138881); #99867=LINE('',#562680,#138882); #99868=LINE('',#562682,#138883); #99869=LINE('',#562683,#138884); #99870=LINE('',#562686,#138885); #99871=LINE('',#562688,#138886); #99872=LINE('',#562689,#138887); #99873=LINE('',#562691,#138888); #99874=LINE('',#562692,#138889); #99875=LINE('',#562698,#138890); #99876=LINE('',#562700,#138891); #99877=LINE('',#562702,#138892); #99878=LINE('',#562703,#138893); #99879=LINE('',#562706,#138894); #99880=LINE('',#562708,#138895); #99881=LINE('',#562709,#138896); #99882=LINE('',#562712,#138897); #99883=LINE('',#562714,#138898); #99884=LINE('',#562715,#138899); #99885=LINE('',#562718,#138900); #99886=LINE('',#562720,#138901); #99887=LINE('',#562721,#138902); #99888=LINE('',#562724,#138903); #99889=LINE('',#562726,#138904); #99890=LINE('',#562727,#138905); #99891=LINE('',#562730,#138906); #99892=LINE('',#562732,#138907); #99893=LINE('',#562733,#138908); #99894=LINE('',#562736,#138909); #99895=LINE('',#562738,#138910); #99896=LINE('',#562739,#138911); #99897=LINE('',#562742,#138912); #99898=LINE('',#562744,#138913); #99899=LINE('',#562745,#138914); #99900=LINE('',#562748,#138915); #99901=LINE('',#562750,#138916); #99902=LINE('',#562751,#138917); #99903=LINE('',#562754,#138918); #99904=LINE('',#562756,#138919); #99905=LINE('',#562757,#138920); #99906=LINE('',#562760,#138921); #99907=LINE('',#562762,#138922); #99908=LINE('',#562763,#138923); #99909=LINE('',#562766,#138924); #99910=LINE('',#562768,#138925); #99911=LINE('',#562769,#138926); #99912=LINE('',#562772,#138927); #99913=LINE('',#562774,#138928); #99914=LINE('',#562775,#138929); #99915=LINE('',#562778,#138930); #99916=LINE('',#562780,#138931); #99917=LINE('',#562781,#138932); #99918=LINE('',#562784,#138933); #99919=LINE('',#562786,#138934); #99920=LINE('',#562787,#138935); #99921=LINE('',#562790,#138936); #99922=LINE('',#562792,#138937); #99923=LINE('',#562793,#138938); #99924=LINE('',#562796,#138939); #99925=LINE('',#562798,#138940); #99926=LINE('',#562799,#138941); #99927=LINE('',#562802,#138942); #99928=LINE('',#562804,#138943); #99929=LINE('',#562805,#138944); #99930=LINE('',#562808,#138945); #99931=LINE('',#562810,#138946); #99932=LINE('',#562811,#138947); #99933=LINE('',#562814,#138948); #99934=LINE('',#562816,#138949); #99935=LINE('',#562817,#138950); #99936=LINE('',#562820,#138951); #99937=LINE('',#562822,#138952); #99938=LINE('',#562823,#138953); #99939=LINE('',#562826,#138954); #99940=LINE('',#562828,#138955); #99941=LINE('',#562829,#138956); #99942=LINE('',#562832,#138957); #99943=LINE('',#562834,#138958); #99944=LINE('',#562835,#138959); #99945=LINE('',#562838,#138960); #99946=LINE('',#562840,#138961); #99947=LINE('',#562841,#138962); #99948=LINE('',#562844,#138963); #99949=LINE('',#562846,#138964); #99950=LINE('',#562847,#138965); #99951=LINE('',#562850,#138966); #99952=LINE('',#562852,#138967); #99953=LINE('',#562853,#138968); #99954=LINE('',#562856,#138969); #99955=LINE('',#562858,#138970); #99956=LINE('',#562859,#138971); #99957=LINE('',#562862,#138972); #99958=LINE('',#562864,#138973); #99959=LINE('',#562865,#138974); #99960=LINE('',#562868,#138975); #99961=LINE('',#562870,#138976); #99962=LINE('',#562871,#138977); #99963=LINE('',#562874,#138978); #99964=LINE('',#562876,#138979); #99965=LINE('',#562877,#138980); #99966=LINE('',#562880,#138981); #99967=LINE('',#562882,#138982); #99968=LINE('',#562883,#138983); #99969=LINE('',#562886,#138984); #99970=LINE('',#562888,#138985); #99971=LINE('',#562889,#138986); #99972=LINE('',#562892,#138987); #99973=LINE('',#562894,#138988); #99974=LINE('',#562895,#138989); #99975=LINE('',#562898,#138990); #99976=LINE('',#562900,#138991); #99977=LINE('',#562901,#138992); #99978=LINE('',#562904,#138993); #99979=LINE('',#562906,#138994); #99980=LINE('',#562907,#138995); #99981=LINE('',#562910,#138996); #99982=LINE('',#562912,#138997); #99983=LINE('',#562913,#138998); #99984=LINE('',#562916,#138999); #99985=LINE('',#562918,#139000); #99986=LINE('',#562919,#139001); #99987=LINE('',#562922,#139002); #99988=LINE('',#562924,#139003); #99989=LINE('',#562925,#139004); #99990=LINE('',#562928,#139005); #99991=LINE('',#562930,#139006); #99992=LINE('',#562931,#139007); #99993=LINE('',#562934,#139008); #99994=LINE('',#562936,#139009); #99995=LINE('',#562937,#139010); #99996=LINE('',#562940,#139011); #99997=LINE('',#562942,#139012); #99998=LINE('',#562943,#139013); #99999=LINE('',#562946,#139014); #100000=LINE('',#562948,#139015); #100001=LINE('',#562949,#139016); #100002=LINE('',#562952,#139017); #100003=LINE('',#562954,#139018); #100004=LINE('',#562955,#139019); #100005=LINE('',#562958,#139020); #100006=LINE('',#562960,#139021); #100007=LINE('',#562961,#139022); #100008=LINE('',#562964,#139023); #100009=LINE('',#562966,#139024); #100010=LINE('',#562967,#139025); #100011=LINE('',#562970,#139026); #100012=LINE('',#562972,#139027); #100013=LINE('',#562973,#139028); #100014=LINE('',#562975,#139029); #100015=LINE('',#562976,#139030); #100016=LINE('',#562982,#139031); #100017=LINE('',#562984,#139032); #100018=LINE('',#562986,#139033); #100019=LINE('',#562987,#139034); #100020=LINE('',#562990,#139035); #100021=LINE('',#562992,#139036); #100022=LINE('',#562993,#139037); #100023=LINE('',#562996,#139038); #100024=LINE('',#562998,#139039); #100025=LINE('',#562999,#139040); #100026=LINE('',#563002,#139041); #100027=LINE('',#563004,#139042); #100028=LINE('',#563005,#139043); #100029=LINE('',#563008,#139044); #100030=LINE('',#563010,#139045); #100031=LINE('',#563011,#139046); #100032=LINE('',#563014,#139047); #100033=LINE('',#563016,#139048); #100034=LINE('',#563017,#139049); #100035=LINE('',#563020,#139050); #100036=LINE('',#563022,#139051); #100037=LINE('',#563023,#139052); #100038=LINE('',#563026,#139053); #100039=LINE('',#563028,#139054); #100040=LINE('',#563029,#139055); #100041=LINE('',#563032,#139056); #100042=LINE('',#563034,#139057); #100043=LINE('',#563035,#139058); #100044=LINE('',#563038,#139059); #100045=LINE('',#563040,#139060); #100046=LINE('',#563041,#139061); #100047=LINE('',#563044,#139062); #100048=LINE('',#563046,#139063); #100049=LINE('',#563047,#139064); #100050=LINE('',#563050,#139065); #100051=LINE('',#563052,#139066); #100052=LINE('',#563053,#139067); #100053=LINE('',#563056,#139068); #100054=LINE('',#563058,#139069); #100055=LINE('',#563059,#139070); #100056=LINE('',#563062,#139071); #100057=LINE('',#563064,#139072); #100058=LINE('',#563065,#139073); #100059=LINE('',#563068,#139074); #100060=LINE('',#563070,#139075); #100061=LINE('',#563071,#139076); #100062=LINE('',#563074,#139077); #100063=LINE('',#563076,#139078); #100064=LINE('',#563077,#139079); #100065=LINE('',#563080,#139080); #100066=LINE('',#563082,#139081); #100067=LINE('',#563083,#139082); #100068=LINE('',#563086,#139083); #100069=LINE('',#563088,#139084); #100070=LINE('',#563089,#139085); #100071=LINE('',#563092,#139086); #100072=LINE('',#563094,#139087); #100073=LINE('',#563095,#139088); #100074=LINE('',#563098,#139089); #100075=LINE('',#563100,#139090); #100076=LINE('',#563101,#139091); #100077=LINE('',#563104,#139092); #100078=LINE('',#563106,#139093); #100079=LINE('',#563107,#139094); #100080=LINE('',#563110,#139095); #100081=LINE('',#563112,#139096); #100082=LINE('',#563113,#139097); #100083=LINE('',#563116,#139098); #100084=LINE('',#563118,#139099); #100085=LINE('',#563119,#139100); #100086=LINE('',#563122,#139101); #100087=LINE('',#563124,#139102); #100088=LINE('',#563125,#139103); #100089=LINE('',#563128,#139104); #100090=LINE('',#563130,#139105); #100091=LINE('',#563131,#139106); #100092=LINE('',#563134,#139107); #100093=LINE('',#563136,#139108); #100094=LINE('',#563137,#139109); #100095=LINE('',#563140,#139110); #100096=LINE('',#563142,#139111); #100097=LINE('',#563143,#139112); #100098=LINE('',#563146,#139113); #100099=LINE('',#563148,#139114); #100100=LINE('',#563149,#139115); #100101=LINE('',#563152,#139116); #100102=LINE('',#563154,#139117); #100103=LINE('',#563155,#139118); #100104=LINE('',#563158,#139119); #100105=LINE('',#563160,#139120); #100106=LINE('',#563161,#139121); #100107=LINE('',#563164,#139122); #100108=LINE('',#563166,#139123); #100109=LINE('',#563167,#139124); #100110=LINE('',#563170,#139125); #100111=LINE('',#563172,#139126); #100112=LINE('',#563173,#139127); #100113=LINE('',#563176,#139128); #100114=LINE('',#563178,#139129); #100115=LINE('',#563179,#139130); #100116=LINE('',#563181,#139131); #100117=LINE('',#563182,#139132); #100118=LINE('',#563188,#139133); #100119=LINE('',#563190,#139134); #100120=LINE('',#563192,#139135); #100121=LINE('',#563193,#139136); #100122=LINE('',#563196,#139137); #100123=LINE('',#563198,#139138); #100124=LINE('',#563199,#139139); #100125=LINE('',#563202,#139140); #100126=LINE('',#563204,#139141); #100127=LINE('',#563205,#139142); #100128=LINE('',#563208,#139143); #100129=LINE('',#563210,#139144); #100130=LINE('',#563211,#139145); #100131=LINE('',#563214,#139146); #100132=LINE('',#563216,#139147); #100133=LINE('',#563217,#139148); #100134=LINE('',#563220,#139149); #100135=LINE('',#563222,#139150); #100136=LINE('',#563223,#139151); #100137=LINE('',#563226,#139152); #100138=LINE('',#563228,#139153); #100139=LINE('',#563229,#139154); #100140=LINE('',#563232,#139155); #100141=LINE('',#563234,#139156); #100142=LINE('',#563235,#139157); #100143=LINE('',#563238,#139158); #100144=LINE('',#563240,#139159); #100145=LINE('',#563241,#139160); #100146=LINE('',#563244,#139161); #100147=LINE('',#563246,#139162); #100148=LINE('',#563247,#139163); #100149=LINE('',#563250,#139164); #100150=LINE('',#563252,#139165); #100151=LINE('',#563253,#139166); #100152=LINE('',#563256,#139167); #100153=LINE('',#563258,#139168); #100154=LINE('',#563259,#139169); #100155=LINE('',#563262,#139170); #100156=LINE('',#563264,#139171); #100157=LINE('',#563265,#139172); #100158=LINE('',#563268,#139173); #100159=LINE('',#563270,#139174); #100160=LINE('',#563271,#139175); #100161=LINE('',#563274,#139176); #100162=LINE('',#563276,#139177); #100163=LINE('',#563277,#139178); #100164=LINE('',#563280,#139179); #100165=LINE('',#563282,#139180); #100166=LINE('',#563283,#139181); #100167=LINE('',#563286,#139182); #100168=LINE('',#563288,#139183); #100169=LINE('',#563289,#139184); #100170=LINE('',#563292,#139185); #100171=LINE('',#563294,#139186); #100172=LINE('',#563295,#139187); #100173=LINE('',#563298,#139188); #100174=LINE('',#563300,#139189); #100175=LINE('',#563301,#139190); #100176=LINE('',#563304,#139191); #100177=LINE('',#563306,#139192); #100178=LINE('',#563307,#139193); #100179=LINE('',#563310,#139194); #100180=LINE('',#563312,#139195); #100181=LINE('',#563313,#139196); #100182=LINE('',#563315,#139197); #100183=LINE('',#563316,#139198); #100184=LINE('',#563322,#139199); #100185=LINE('',#563324,#139200); #100186=LINE('',#563326,#139201); #100187=LINE('',#563327,#139202); #100188=LINE('',#563330,#139203); #100189=LINE('',#563332,#139204); #100190=LINE('',#563333,#139205); #100191=LINE('',#563336,#139206); #100192=LINE('',#563338,#139207); #100193=LINE('',#563339,#139208); #100194=LINE('',#563342,#139209); #100195=LINE('',#563344,#139210); #100196=LINE('',#563345,#139211); #100197=LINE('',#563348,#139212); #100198=LINE('',#563350,#139213); #100199=LINE('',#563351,#139214); #100200=LINE('',#563354,#139215); #100201=LINE('',#563356,#139216); #100202=LINE('',#563357,#139217); #100203=LINE('',#563360,#139218); #100204=LINE('',#563362,#139219); #100205=LINE('',#563363,#139220); #100206=LINE('',#563366,#139221); #100207=LINE('',#563368,#139222); #100208=LINE('',#563369,#139223); #100209=LINE('',#563372,#139224); #100210=LINE('',#563374,#139225); #100211=LINE('',#563375,#139226); #100212=LINE('',#563378,#139227); #100213=LINE('',#563380,#139228); #100214=LINE('',#563381,#139229); #100215=LINE('',#563384,#139230); #100216=LINE('',#563386,#139231); #100217=LINE('',#563387,#139232); #100218=LINE('',#563390,#139233); #100219=LINE('',#563392,#139234); #100220=LINE('',#563393,#139235); #100221=LINE('',#563396,#139236); #100222=LINE('',#563398,#139237); #100223=LINE('',#563399,#139238); #100224=LINE('',#563402,#139239); #100225=LINE('',#563404,#139240); #100226=LINE('',#563405,#139241); #100227=LINE('',#563408,#139242); #100228=LINE('',#563410,#139243); #100229=LINE('',#563411,#139244); #100230=LINE('',#563414,#139245); #100231=LINE('',#563416,#139246); #100232=LINE('',#563417,#139247); #100233=LINE('',#563420,#139248); #100234=LINE('',#563422,#139249); #100235=LINE('',#563423,#139250); #100236=LINE('',#563426,#139251); #100237=LINE('',#563428,#139252); #100238=LINE('',#563429,#139253); #100239=LINE('',#563432,#139254); #100240=LINE('',#563434,#139255); #100241=LINE('',#563435,#139256); #100242=LINE('',#563437,#139257); #100243=LINE('',#563438,#139258); #100244=LINE('',#563444,#139259); #100245=LINE('',#563446,#139260); #100246=LINE('',#563448,#139261); #100247=LINE('',#563449,#139262); #100248=LINE('',#563452,#139263); #100249=LINE('',#563454,#139264); #100250=LINE('',#563455,#139265); #100251=LINE('',#563458,#139266); #100252=LINE('',#563460,#139267); #100253=LINE('',#563461,#139268); #100254=LINE('',#563464,#139269); #100255=LINE('',#563466,#139270); #100256=LINE('',#563467,#139271); #100257=LINE('',#563470,#139272); #100258=LINE('',#563472,#139273); #100259=LINE('',#563473,#139274); #100260=LINE('',#563476,#139275); #100261=LINE('',#563478,#139276); #100262=LINE('',#563479,#139277); #100263=LINE('',#563482,#139278); #100264=LINE('',#563484,#139279); #100265=LINE('',#563485,#139280); #100266=LINE('',#563488,#139281); #100267=LINE('',#563490,#139282); #100268=LINE('',#563491,#139283); #100269=LINE('',#563494,#139284); #100270=LINE('',#563496,#139285); #100271=LINE('',#563497,#139286); #100272=LINE('',#563500,#139287); #100273=LINE('',#563502,#139288); #100274=LINE('',#563503,#139289); #100275=LINE('',#563506,#139290); #100276=LINE('',#563508,#139291); #100277=LINE('',#563509,#139292); #100278=LINE('',#563512,#139293); #100279=LINE('',#563514,#139294); #100280=LINE('',#563515,#139295); #100281=LINE('',#563518,#139296); #100282=LINE('',#563520,#139297); #100283=LINE('',#563521,#139298); #100284=LINE('',#563524,#139299); #100285=LINE('',#563526,#139300); #100286=LINE('',#563527,#139301); #100287=LINE('',#563530,#139302); #100288=LINE('',#563532,#139303); #100289=LINE('',#563533,#139304); #100290=LINE('',#563536,#139305); #100291=LINE('',#563538,#139306); #100292=LINE('',#563539,#139307); #100293=LINE('',#563542,#139308); #100294=LINE('',#563544,#139309); #100295=LINE('',#563545,#139310); #100296=LINE('',#563548,#139311); #100297=LINE('',#563550,#139312); #100298=LINE('',#563551,#139313); #100299=LINE('',#563554,#139314); #100300=LINE('',#563556,#139315); #100301=LINE('',#563557,#139316); #100302=LINE('',#563560,#139317); #100303=LINE('',#563562,#139318); #100304=LINE('',#563563,#139319); #100305=LINE('',#563566,#139320); #100306=LINE('',#563568,#139321); #100307=LINE('',#563569,#139322); #100308=LINE('',#563572,#139323); #100309=LINE('',#563574,#139324); #100310=LINE('',#563575,#139325); #100311=LINE('',#563578,#139326); #100312=LINE('',#563580,#139327); #100313=LINE('',#563581,#139328); #100314=LINE('',#563584,#139329); #100315=LINE('',#563586,#139330); #100316=LINE('',#563587,#139331); #100317=LINE('',#563590,#139332); #100318=LINE('',#563592,#139333); #100319=LINE('',#563593,#139334); #100320=LINE('',#563596,#139335); #100321=LINE('',#563598,#139336); #100322=LINE('',#563599,#139337); #100323=LINE('',#563602,#139338); #100324=LINE('',#563604,#139339); #100325=LINE('',#563605,#139340); #100326=LINE('',#563608,#139341); #100327=LINE('',#563610,#139342); #100328=LINE('',#563611,#139343); #100329=LINE('',#563614,#139344); #100330=LINE('',#563616,#139345); #100331=LINE('',#563617,#139346); #100332=LINE('',#563620,#139347); #100333=LINE('',#563622,#139348); #100334=LINE('',#563623,#139349); #100335=LINE('',#563626,#139350); #100336=LINE('',#563628,#139351); #100337=LINE('',#563629,#139352); #100338=LINE('',#563632,#139353); #100339=LINE('',#563634,#139354); #100340=LINE('',#563635,#139355); #100341=LINE('',#563638,#139356); #100342=LINE('',#563640,#139357); #100343=LINE('',#563641,#139358); #100344=LINE('',#563644,#139359); #100345=LINE('',#563646,#139360); #100346=LINE('',#563647,#139361); #100347=LINE('',#563650,#139362); #100348=LINE('',#563652,#139363); #100349=LINE('',#563653,#139364); #100350=LINE('',#563656,#139365); #100351=LINE('',#563658,#139366); #100352=LINE('',#563659,#139367); #100353=LINE('',#563662,#139368); #100354=LINE('',#563664,#139369); #100355=LINE('',#563665,#139370); #100356=LINE('',#563668,#139371); #100357=LINE('',#563670,#139372); #100358=LINE('',#563671,#139373); #100359=LINE('',#563674,#139374); #100360=LINE('',#563676,#139375); #100361=LINE('',#563677,#139376); #100362=LINE('',#563680,#139377); #100363=LINE('',#563682,#139378); #100364=LINE('',#563683,#139379); #100365=LINE('',#563686,#139380); #100366=LINE('',#563688,#139381); #100367=LINE('',#563689,#139382); #100368=LINE('',#563692,#139383); #100369=LINE('',#563694,#139384); #100370=LINE('',#563695,#139385); #100371=LINE('',#563698,#139386); #100372=LINE('',#563700,#139387); #100373=LINE('',#563701,#139388); #100374=LINE('',#563704,#139389); #100375=LINE('',#563706,#139390); #100376=LINE('',#563707,#139391); #100377=LINE('',#563710,#139392); #100378=LINE('',#563712,#139393); #100379=LINE('',#563713,#139394); #100380=LINE('',#563716,#139395); #100381=LINE('',#563718,#139396); #100382=LINE('',#563719,#139397); #100383=LINE('',#563722,#139398); #100384=LINE('',#563724,#139399); #100385=LINE('',#563725,#139400); #100386=LINE('',#563728,#139401); #100387=LINE('',#563730,#139402); #100388=LINE('',#563731,#139403); #100389=LINE('',#563734,#139404); #100390=LINE('',#563736,#139405); #100391=LINE('',#563737,#139406); #100392=LINE('',#563740,#139407); #100393=LINE('',#563742,#139408); #100394=LINE('',#563743,#139409); #100395=LINE('',#563746,#139410); #100396=LINE('',#563748,#139411); #100397=LINE('',#563749,#139412); #100398=LINE('',#563752,#139413); #100399=LINE('',#563754,#139414); #100400=LINE('',#563755,#139415); #100401=LINE('',#563758,#139416); #100402=LINE('',#563760,#139417); #100403=LINE('',#563761,#139418); #100404=LINE('',#563764,#139419); #100405=LINE('',#563766,#139420); #100406=LINE('',#563767,#139421); #100407=LINE('',#563770,#139422); #100408=LINE('',#563772,#139423); #100409=LINE('',#563773,#139424); #100410=LINE('',#563776,#139425); #100411=LINE('',#563778,#139426); #100412=LINE('',#563779,#139427); #100413=LINE('',#563782,#139428); #100414=LINE('',#563784,#139429); #100415=LINE('',#563785,#139430); #100416=LINE('',#563788,#139431); #100417=LINE('',#563790,#139432); #100418=LINE('',#563791,#139433); #100419=LINE('',#563794,#139434); #100420=LINE('',#563796,#139435); #100421=LINE('',#563797,#139436); #100422=LINE('',#563800,#139437); #100423=LINE('',#563802,#139438); #100424=LINE('',#563803,#139439); #100425=LINE('',#563806,#139440); #100426=LINE('',#563808,#139441); #100427=LINE('',#563809,#139442); #100428=LINE('',#563812,#139443); #100429=LINE('',#563814,#139444); #100430=LINE('',#563815,#139445); #100431=LINE('',#563818,#139446); #100432=LINE('',#563820,#139447); #100433=LINE('',#563821,#139448); #100434=LINE('',#563824,#139449); #100435=LINE('',#563826,#139450); #100436=LINE('',#563827,#139451); #100437=LINE('',#563830,#139452); #100438=LINE('',#563832,#139453); #100439=LINE('',#563833,#139454); #100440=LINE('',#563836,#139455); #100441=LINE('',#563838,#139456); #100442=LINE('',#563839,#139457); #100443=LINE('',#563842,#139458); #100444=LINE('',#563844,#139459); #100445=LINE('',#563845,#139460); #100446=LINE('',#563848,#139461); #100447=LINE('',#563850,#139462); #100448=LINE('',#563851,#139463); #100449=LINE('',#563854,#139464); #100450=LINE('',#563856,#139465); #100451=LINE('',#563857,#139466); #100452=LINE('',#563860,#139467); #100453=LINE('',#563862,#139468); #100454=LINE('',#563863,#139469); #100455=LINE('',#563866,#139470); #100456=LINE('',#563868,#139471); #100457=LINE('',#563869,#139472); #100458=LINE('',#563871,#139473); #100459=LINE('',#563872,#139474); #100460=LINE('',#563878,#139475); #100461=LINE('',#563880,#139476); #100462=LINE('',#563882,#139477); #100463=LINE('',#563883,#139478); #100464=LINE('',#563886,#139479); #100465=LINE('',#563888,#139480); #100466=LINE('',#563889,#139481); #100467=LINE('',#563892,#139482); #100468=LINE('',#563894,#139483); #100469=LINE('',#563895,#139484); #100470=LINE('',#563898,#139485); #100471=LINE('',#563900,#139486); #100472=LINE('',#563901,#139487); #100473=LINE('',#563904,#139488); #100474=LINE('',#563906,#139489); #100475=LINE('',#563907,#139490); #100476=LINE('',#563910,#139491); #100477=LINE('',#563912,#139492); #100478=LINE('',#563913,#139493); #100479=LINE('',#563916,#139494); #100480=LINE('',#563918,#139495); #100481=LINE('',#563919,#139496); #100482=LINE('',#563922,#139497); #100483=LINE('',#563924,#139498); #100484=LINE('',#563925,#139499); #100485=LINE('',#563928,#139500); #100486=LINE('',#563930,#139501); #100487=LINE('',#563931,#139502); #100488=LINE('',#563934,#139503); #100489=LINE('',#563936,#139504); #100490=LINE('',#563937,#139505); #100491=LINE('',#563940,#139506); #100492=LINE('',#563942,#139507); #100493=LINE('',#563943,#139508); #100494=LINE('',#563946,#139509); #100495=LINE('',#563948,#139510); #100496=LINE('',#563949,#139511); #100497=LINE('',#563952,#139512); #100498=LINE('',#563954,#139513); #100499=LINE('',#563955,#139514); #100500=LINE('',#563958,#139515); #100501=LINE('',#563960,#139516); #100502=LINE('',#563961,#139517); #100503=LINE('',#563964,#139518); #100504=LINE('',#563966,#139519); #100505=LINE('',#563967,#139520); #100506=LINE('',#563970,#139521); #100507=LINE('',#563972,#139522); #100508=LINE('',#563973,#139523); #100509=LINE('',#563976,#139524); #100510=LINE('',#563978,#139525); #100511=LINE('',#563979,#139526); #100512=LINE('',#563982,#139527); #100513=LINE('',#563984,#139528); #100514=LINE('',#563985,#139529); #100515=LINE('',#563988,#139530); #100516=LINE('',#563990,#139531); #100517=LINE('',#563991,#139532); #100518=LINE('',#563994,#139533); #100519=LINE('',#563996,#139534); #100520=LINE('',#563997,#139535); #100521=LINE('',#564000,#139536); #100522=LINE('',#564002,#139537); #100523=LINE('',#564003,#139538); #100524=LINE('',#564006,#139539); #100525=LINE('',#564008,#139540); #100526=LINE('',#564009,#139541); #100527=LINE('',#564012,#139542); #100528=LINE('',#564014,#139543); #100529=LINE('',#564015,#139544); #100530=LINE('',#564018,#139545); #100531=LINE('',#564020,#139546); #100532=LINE('',#564021,#139547); #100533=LINE('',#564024,#139548); #100534=LINE('',#564026,#139549); #100535=LINE('',#564027,#139550); #100536=LINE('',#564030,#139551); #100537=LINE('',#564032,#139552); #100538=LINE('',#564033,#139553); #100539=LINE('',#564036,#139554); #100540=LINE('',#564038,#139555); #100541=LINE('',#564039,#139556); #100542=LINE('',#564041,#139557); #100543=LINE('',#564042,#139558); #100544=LINE('',#564049,#139559); #100545=LINE('',#564055,#139560); #100546=LINE('',#564061,#139561); #100547=LINE('',#564067,#139562); #100548=LINE('',#564073,#139563); #100549=LINE('',#564079,#139564); #100550=LINE('',#564085,#139565); #100551=LINE('',#564091,#139566); #100552=LINE('',#564097,#139567); #100553=LINE('',#564103,#139568); #100554=LINE('',#564109,#139569); #100555=LINE('',#564115,#139570); #100556=LINE('',#564121,#139571); #100557=LINE('',#564127,#139572); #100558=LINE('',#564133,#139573); #100559=LINE('',#564139,#139574); #100560=LINE('',#564144,#139575); #100561=LINE('',#564146,#139576); #100562=LINE('',#564148,#139577); #100563=LINE('',#564149,#139578); #100564=LINE('',#564152,#139579); #100565=LINE('',#564154,#139580); #100566=LINE('',#564155,#139581); #100567=LINE('',#564158,#139582); #100568=LINE('',#564160,#139583); #100569=LINE('',#564161,#139584); #100570=LINE('',#564164,#139585); #100571=LINE('',#564166,#139586); #100572=LINE('',#564167,#139587); #100573=LINE('',#564170,#139588); #100574=LINE('',#564172,#139589); #100575=LINE('',#564173,#139590); #100576=LINE('',#564176,#139591); #100577=LINE('',#564178,#139592); #100578=LINE('',#564179,#139593); #100579=LINE('',#564182,#139594); #100580=LINE('',#564184,#139595); #100581=LINE('',#564185,#139596); #100582=LINE('',#564188,#139597); #100583=LINE('',#564190,#139598); #100584=LINE('',#564191,#139599); #100585=LINE('',#564194,#139600); #100586=LINE('',#564196,#139601); #100587=LINE('',#564197,#139602); #100588=LINE('',#564200,#139603); #100589=LINE('',#564202,#139604); #100590=LINE('',#564203,#139605); #100591=LINE('',#564206,#139606); #100592=LINE('',#564208,#139607); #100593=LINE('',#564209,#139608); #100594=LINE('',#564212,#139609); #100595=LINE('',#564214,#139610); #100596=LINE('',#564215,#139611); #100597=LINE('',#564218,#139612); #100598=LINE('',#564220,#139613); #100599=LINE('',#564221,#139614); #100600=LINE('',#564224,#139615); #100601=LINE('',#564226,#139616); #100602=LINE('',#564227,#139617); #100603=LINE('',#564230,#139618); #100604=LINE('',#564232,#139619); #100605=LINE('',#564233,#139620); #100606=LINE('',#564236,#139621); #100607=LINE('',#564238,#139622); #100608=LINE('',#564239,#139623); #100609=LINE('',#564242,#139624); #100610=LINE('',#564244,#139625); #100611=LINE('',#564245,#139626); #100612=LINE('',#564248,#139627); #100613=LINE('',#564250,#139628); #100614=LINE('',#564251,#139629); #100615=LINE('',#564254,#139630); #100616=LINE('',#564256,#139631); #100617=LINE('',#564257,#139632); #100618=LINE('',#564260,#139633); #100619=LINE('',#564262,#139634); #100620=LINE('',#564263,#139635); #100621=LINE('',#564266,#139636); #100622=LINE('',#564268,#139637); #100623=LINE('',#564269,#139638); #100624=LINE('',#564272,#139639); #100625=LINE('',#564274,#139640); #100626=LINE('',#564275,#139641); #100627=LINE('',#564278,#139642); #100628=LINE('',#564280,#139643); #100629=LINE('',#564281,#139644); #100630=LINE('',#564284,#139645); #100631=LINE('',#564286,#139646); #100632=LINE('',#564287,#139647); #100633=LINE('',#564290,#139648); #100634=LINE('',#564292,#139649); #100635=LINE('',#564293,#139650); #100636=LINE('',#564296,#139651); #100637=LINE('',#564298,#139652); #100638=LINE('',#564299,#139653); #100639=LINE('',#564302,#139654); #100640=LINE('',#564304,#139655); #100641=LINE('',#564305,#139656); #100642=LINE('',#564308,#139657); #100643=LINE('',#564310,#139658); #100644=LINE('',#564311,#139659); #100645=LINE('',#564314,#139660); #100646=LINE('',#564316,#139661); #100647=LINE('',#564317,#139662); #100648=LINE('',#564320,#139663); #100649=LINE('',#564322,#139664); #100650=LINE('',#564323,#139665); #100651=LINE('',#564326,#139666); #100652=LINE('',#564328,#139667); #100653=LINE('',#564329,#139668); #100654=LINE('',#564332,#139669); #100655=LINE('',#564334,#139670); #100656=LINE('',#564335,#139671); #100657=LINE('',#564338,#139672); #100658=LINE('',#564340,#139673); #100659=LINE('',#564341,#139674); #100660=LINE('',#564344,#139675); #100661=LINE('',#564346,#139676); #100662=LINE('',#564347,#139677); #100663=LINE('',#564350,#139678); #100664=LINE('',#564352,#139679); #100665=LINE('',#564353,#139680); #100666=LINE('',#564356,#139681); #100667=LINE('',#564358,#139682); #100668=LINE('',#564359,#139683); #100669=LINE('',#564362,#139684); #100670=LINE('',#564364,#139685); #100671=LINE('',#564365,#139686); #100672=LINE('',#564368,#139687); #100673=LINE('',#564370,#139688); #100674=LINE('',#564371,#139689); #100675=LINE('',#564374,#139690); #100676=LINE('',#564376,#139691); #100677=LINE('',#564377,#139692); #100678=LINE('',#564380,#139693); #100679=LINE('',#564382,#139694); #100680=LINE('',#564383,#139695); #100681=LINE('',#564386,#139696); #100682=LINE('',#564388,#139697); #100683=LINE('',#564389,#139698); #100684=LINE('',#564392,#139699); #100685=LINE('',#564394,#139700); #100686=LINE('',#564395,#139701); #100687=LINE('',#564398,#139702); #100688=LINE('',#564400,#139703); #100689=LINE('',#564401,#139704); #100690=LINE('',#564404,#139705); #100691=LINE('',#564406,#139706); #100692=LINE('',#564407,#139707); #100693=LINE('',#564410,#139708); #100694=LINE('',#564412,#139709); #100695=LINE('',#564413,#139710); #100696=LINE('',#564416,#139711); #100697=LINE('',#564418,#139712); #100698=LINE('',#564419,#139713); #100699=LINE('',#564422,#139714); #100700=LINE('',#564424,#139715); #100701=LINE('',#564425,#139716); #100702=LINE('',#564428,#139717); #100703=LINE('',#564430,#139718); #100704=LINE('',#564431,#139719); #100705=LINE('',#564434,#139720); #100706=LINE('',#564436,#139721); #100707=LINE('',#564437,#139722); #100708=LINE('',#564440,#139723); #100709=LINE('',#564442,#139724); #100710=LINE('',#564443,#139725); #100711=LINE('',#564446,#139726); #100712=LINE('',#564448,#139727); #100713=LINE('',#564449,#139728); #100714=LINE('',#564452,#139729); #100715=LINE('',#564454,#139730); #100716=LINE('',#564455,#139731); #100717=LINE('',#564458,#139732); #100718=LINE('',#564460,#139733); #100719=LINE('',#564461,#139734); #100720=LINE('',#564464,#139735); #100721=LINE('',#564466,#139736); #100722=LINE('',#564467,#139737); #100723=LINE('',#564470,#139738); #100724=LINE('',#564472,#139739); #100725=LINE('',#564473,#139740); #100726=LINE('',#564476,#139741); #100727=LINE('',#564478,#139742); #100728=LINE('',#564479,#139743); #100729=LINE('',#564482,#139744); #100730=LINE('',#564484,#139745); #100731=LINE('',#564485,#139746); #100732=LINE('',#564488,#139747); #100733=LINE('',#564490,#139748); #100734=LINE('',#564491,#139749); #100735=LINE('',#564494,#139750); #100736=LINE('',#564496,#139751); #100737=LINE('',#564497,#139752); #100738=LINE('',#564500,#139753); #100739=LINE('',#564502,#139754); #100740=LINE('',#564503,#139755); #100741=LINE('',#564506,#139756); #100742=LINE('',#564508,#139757); #100743=LINE('',#564509,#139758); #100744=LINE('',#564512,#139759); #100745=LINE('',#564514,#139760); #100746=LINE('',#564515,#139761); #100747=LINE('',#564518,#139762); #100748=LINE('',#564520,#139763); #100749=LINE('',#564521,#139764); #100750=LINE('',#564524,#139765); #100751=LINE('',#564526,#139766); #100752=LINE('',#564527,#139767); #100753=LINE('',#564530,#139768); #100754=LINE('',#564532,#139769); #100755=LINE('',#564533,#139770); #100756=LINE('',#564536,#139771); #100757=LINE('',#564538,#139772); #100758=LINE('',#564539,#139773); #100759=LINE('',#564542,#139774); #100760=LINE('',#564544,#139775); #100761=LINE('',#564545,#139776); #100762=LINE('',#564548,#139777); #100763=LINE('',#564550,#139778); #100764=LINE('',#564551,#139779); #100765=LINE('',#564554,#139780); #100766=LINE('',#564556,#139781); #100767=LINE('',#564557,#139782); #100768=LINE('',#564560,#139783); #100769=LINE('',#564562,#139784); #100770=LINE('',#564563,#139785); #100771=LINE('',#564566,#139786); #100772=LINE('',#564568,#139787); #100773=LINE('',#564569,#139788); #100774=LINE('',#564572,#139789); #100775=LINE('',#564574,#139790); #100776=LINE('',#564575,#139791); #100777=LINE('',#564578,#139792); #100778=LINE('',#564580,#139793); #100779=LINE('',#564581,#139794); #100780=LINE('',#564583,#139795); #100781=LINE('',#564584,#139796); #100782=LINE('',#564591,#139797); #100783=LINE('',#564597,#139798); #100784=LINE('',#564603,#139799); #100785=LINE('',#564609,#139800); #100786=LINE('',#564615,#139801); #100787=LINE('',#564621,#139802); #100788=LINE('',#564627,#139803); #100789=LINE('',#564633,#139804); #100790=LINE('',#564639,#139805); #100791=LINE('',#564645,#139806); #100792=LINE('',#564651,#139807); #100793=LINE('',#564657,#139808); #100794=LINE('',#564663,#139809); #100795=LINE('',#564669,#139810); #100796=LINE('',#564675,#139811); #100797=LINE('',#564681,#139812); #100798=LINE('',#564686,#139813); #100799=LINE('',#564688,#139814); #100800=LINE('',#564690,#139815); #100801=LINE('',#564691,#139816); #100802=LINE('',#564694,#139817); #100803=LINE('',#564696,#139818); #100804=LINE('',#564697,#139819); #100805=LINE('',#564700,#139820); #100806=LINE('',#564702,#139821); #100807=LINE('',#564703,#139822); #100808=LINE('',#564706,#139823); #100809=LINE('',#564708,#139824); #100810=LINE('',#564709,#139825); #100811=LINE('',#564712,#139826); #100812=LINE('',#564714,#139827); #100813=LINE('',#564715,#139828); #100814=LINE('',#564718,#139829); #100815=LINE('',#564720,#139830); #100816=LINE('',#564721,#139831); #100817=LINE('',#564724,#139832); #100818=LINE('',#564726,#139833); #100819=LINE('',#564727,#139834); #100820=LINE('',#564730,#139835); #100821=LINE('',#564732,#139836); #100822=LINE('',#564733,#139837); #100823=LINE('',#564736,#139838); #100824=LINE('',#564738,#139839); #100825=LINE('',#564739,#139840); #100826=LINE('',#564742,#139841); #100827=LINE('',#564744,#139842); #100828=LINE('',#564745,#139843); #100829=LINE('',#564748,#139844); #100830=LINE('',#564750,#139845); #100831=LINE('',#564751,#139846); #100832=LINE('',#564754,#139847); #100833=LINE('',#564756,#139848); #100834=LINE('',#564757,#139849); #100835=LINE('',#564760,#139850); #100836=LINE('',#564762,#139851); #100837=LINE('',#564763,#139852); #100838=LINE('',#564766,#139853); #100839=LINE('',#564768,#139854); #100840=LINE('',#564769,#139855); #100841=LINE('',#564772,#139856); #100842=LINE('',#564774,#139857); #100843=LINE('',#564775,#139858); #100844=LINE('',#564778,#139859); #100845=LINE('',#564780,#139860); #100846=LINE('',#564781,#139861); #100847=LINE('',#564784,#139862); #100848=LINE('',#564786,#139863); #100849=LINE('',#564787,#139864); #100850=LINE('',#564790,#139865); #100851=LINE('',#564792,#139866); #100852=LINE('',#564793,#139867); #100853=LINE('',#564796,#139868); #100854=LINE('',#564798,#139869); #100855=LINE('',#564799,#139870); #100856=LINE('',#564802,#139871); #100857=LINE('',#564804,#139872); #100858=LINE('',#564805,#139873); #100859=LINE('',#564808,#139874); #100860=LINE('',#564810,#139875); #100861=LINE('',#564811,#139876); #100862=LINE('',#564814,#139877); #100863=LINE('',#564816,#139878); #100864=LINE('',#564817,#139879); #100865=LINE('',#564820,#139880); #100866=LINE('',#564822,#139881); #100867=LINE('',#564823,#139882); #100868=LINE('',#564826,#139883); #100869=LINE('',#564828,#139884); #100870=LINE('',#564829,#139885); #100871=LINE('',#564832,#139886); #100872=LINE('',#564834,#139887); #100873=LINE('',#564835,#139888); #100874=LINE('',#564838,#139889); #100875=LINE('',#564840,#139890); #100876=LINE('',#564841,#139891); #100877=LINE('',#564844,#139892); #100878=LINE('',#564846,#139893); #100879=LINE('',#564847,#139894); #100880=LINE('',#564850,#139895); #100881=LINE('',#564852,#139896); #100882=LINE('',#564853,#139897); #100883=LINE('',#564856,#139898); #100884=LINE('',#564858,#139899); #100885=LINE('',#564859,#139900); #100886=LINE('',#564862,#139901); #100887=LINE('',#564864,#139902); #100888=LINE('',#564865,#139903); #100889=LINE('',#564868,#139904); #100890=LINE('',#564870,#139905); #100891=LINE('',#564871,#139906); #100892=LINE('',#564874,#139907); #100893=LINE('',#564876,#139908); #100894=LINE('',#564877,#139909); #100895=LINE('',#564880,#139910); #100896=LINE('',#564882,#139911); #100897=LINE('',#564883,#139912); #100898=LINE('',#564886,#139913); #100899=LINE('',#564888,#139914); #100900=LINE('',#564889,#139915); #100901=LINE('',#564892,#139916); #100902=LINE('',#564894,#139917); #100903=LINE('',#564895,#139918); #100904=LINE('',#564898,#139919); #100905=LINE('',#564900,#139920); #100906=LINE('',#564901,#139921); #100907=LINE('',#564904,#139922); #100908=LINE('',#564906,#139923); #100909=LINE('',#564907,#139924); #100910=LINE('',#564910,#139925); #100911=LINE('',#564912,#139926); #100912=LINE('',#564913,#139927); #100913=LINE('',#564916,#139928); #100914=LINE('',#564918,#139929); #100915=LINE('',#564919,#139930); #100916=LINE('',#564922,#139931); #100917=LINE('',#564924,#139932); #100918=LINE('',#564925,#139933); #100919=LINE('',#564928,#139934); #100920=LINE('',#564930,#139935); #100921=LINE('',#564931,#139936); #100922=LINE('',#564934,#139937); #100923=LINE('',#564936,#139938); #100924=LINE('',#564937,#139939); #100925=LINE('',#564940,#139940); #100926=LINE('',#564942,#139941); #100927=LINE('',#564943,#139942); #100928=LINE('',#564946,#139943); #100929=LINE('',#564948,#139944); #100930=LINE('',#564949,#139945); #100931=LINE('',#564952,#139946); #100932=LINE('',#564954,#139947); #100933=LINE('',#564955,#139948); #100934=LINE('',#564958,#139949); #100935=LINE('',#564960,#139950); #100936=LINE('',#564961,#139951); #100937=LINE('',#564964,#139952); #100938=LINE('',#564966,#139953); #100939=LINE('',#564967,#139954); #100940=LINE('',#564970,#139955); #100941=LINE('',#564972,#139956); #100942=LINE('',#564973,#139957); #100943=LINE('',#564976,#139958); #100944=LINE('',#564978,#139959); #100945=LINE('',#564979,#139960); #100946=LINE('',#564982,#139961); #100947=LINE('',#564984,#139962); #100948=LINE('',#564985,#139963); #100949=LINE('',#564988,#139964); #100950=LINE('',#564990,#139965); #100951=LINE('',#564991,#139966); #100952=LINE('',#564994,#139967); #100953=LINE('',#564996,#139968); #100954=LINE('',#564997,#139969); #100955=LINE('',#565000,#139970); #100956=LINE('',#565002,#139971); #100957=LINE('',#565003,#139972); #100958=LINE('',#565006,#139973); #100959=LINE('',#565008,#139974); #100960=LINE('',#565009,#139975); #100961=LINE('',#565012,#139976); #100962=LINE('',#565014,#139977); #100963=LINE('',#565015,#139978); #100964=LINE('',#565018,#139979); #100965=LINE('',#565020,#139980); #100966=LINE('',#565021,#139981); #100967=LINE('',#565024,#139982); #100968=LINE('',#565026,#139983); #100969=LINE('',#565027,#139984); #100970=LINE('',#565030,#139985); #100971=LINE('',#565032,#139986); #100972=LINE('',#565033,#139987); #100973=LINE('',#565036,#139988); #100974=LINE('',#565038,#139989); #100975=LINE('',#565039,#139990); #100976=LINE('',#565042,#139991); #100977=LINE('',#565044,#139992); #100978=LINE('',#565045,#139993); #100979=LINE('',#565048,#139994); #100980=LINE('',#565050,#139995); #100981=LINE('',#565051,#139996); #100982=LINE('',#565054,#139997); #100983=LINE('',#565056,#139998); #100984=LINE('',#565057,#139999); #100985=LINE('',#565060,#140000); #100986=LINE('',#565062,#140001); #100987=LINE('',#565063,#140002); #100988=LINE('',#565066,#140003); #100989=LINE('',#565068,#140004); #100990=LINE('',#565069,#140005); #100991=LINE('',#565072,#140006); #100992=LINE('',#565074,#140007); #100993=LINE('',#565075,#140008); #100994=LINE('',#565078,#140009); #100995=LINE('',#565080,#140010); #100996=LINE('',#565081,#140011); #100997=LINE('',#565084,#140012); #100998=LINE('',#565086,#140013); #100999=LINE('',#565087,#140014); #101000=LINE('',#565090,#140015); #101001=LINE('',#565092,#140016); #101002=LINE('',#565093,#140017); #101003=LINE('',#565096,#140018); #101004=LINE('',#565098,#140019); #101005=LINE('',#565099,#140020); #101006=LINE('',#565101,#140021); #101007=LINE('',#565102,#140022); #101008=LINE('',#565109,#140023); #101009=LINE('',#565115,#140024); #101010=LINE('',#565121,#140025); #101011=LINE('',#565127,#140026); #101012=LINE('',#565133,#140027); #101013=LINE('',#565139,#140028); #101014=LINE('',#565145,#140029); #101015=LINE('',#565151,#140030); #101016=LINE('',#565157,#140031); #101017=LINE('',#565163,#140032); #101018=LINE('',#565169,#140033); #101019=LINE('',#565175,#140034); #101020=LINE('',#565181,#140035); #101021=LINE('',#565187,#140036); #101022=LINE('',#565193,#140037); #101023=LINE('',#565199,#140038); #101024=LINE('',#565205,#140039); #101025=LINE('',#565211,#140040); #101026=LINE('',#565217,#140041); #101027=LINE('',#565223,#140042); #101028=LINE('',#565229,#140043); #101029=LINE('',#565235,#140044); #101030=LINE('',#565241,#140045); #101031=LINE('',#565247,#140046); #101032=LINE('',#565253,#140047); #101033=LINE('',#565259,#140048); #101034=LINE('',#565265,#140049); #101035=LINE('',#565271,#140050); #101036=LINE('',#565277,#140051); #101037=LINE('',#565283,#140052); #101038=LINE('',#565288,#140053); #101039=LINE('',#565290,#140054); #101040=LINE('',#565292,#140055); #101041=LINE('',#565293,#140056); #101042=LINE('',#565296,#140057); #101043=LINE('',#565298,#140058); #101044=LINE('',#565299,#140059); #101045=LINE('',#565302,#140060); #101046=LINE('',#565304,#140061); #101047=LINE('',#565305,#140062); #101048=LINE('',#565308,#140063); #101049=LINE('',#565310,#140064); #101050=LINE('',#565311,#140065); #101051=LINE('',#565314,#140066); #101052=LINE('',#565316,#140067); #101053=LINE('',#565317,#140068); #101054=LINE('',#565320,#140069); #101055=LINE('',#565322,#140070); #101056=LINE('',#565323,#140071); #101057=LINE('',#565326,#140072); #101058=LINE('',#565328,#140073); #101059=LINE('',#565329,#140074); #101060=LINE('',#565332,#140075); #101061=LINE('',#565334,#140076); #101062=LINE('',#565335,#140077); #101063=LINE('',#565338,#140078); #101064=LINE('',#565340,#140079); #101065=LINE('',#565341,#140080); #101066=LINE('',#565344,#140081); #101067=LINE('',#565346,#140082); #101068=LINE('',#565347,#140083); #101069=LINE('',#565350,#140084); #101070=LINE('',#565352,#140085); #101071=LINE('',#565353,#140086); #101072=LINE('',#565356,#140087); #101073=LINE('',#565358,#140088); #101074=LINE('',#565359,#140089); #101075=LINE('',#565362,#140090); #101076=LINE('',#565364,#140091); #101077=LINE('',#565365,#140092); #101078=LINE('',#565368,#140093); #101079=LINE('',#565370,#140094); #101080=LINE('',#565371,#140095); #101081=LINE('',#565374,#140096); #101082=LINE('',#565376,#140097); #101083=LINE('',#565377,#140098); #101084=LINE('',#565380,#140099); #101085=LINE('',#565382,#140100); #101086=LINE('',#565383,#140101); #101087=LINE('',#565386,#140102); #101088=LINE('',#565388,#140103); #101089=LINE('',#565389,#140104); #101090=LINE('',#565392,#140105); #101091=LINE('',#565394,#140106); #101092=LINE('',#565395,#140107); #101093=LINE('',#565398,#140108); #101094=LINE('',#565400,#140109); #101095=LINE('',#565401,#140110); #101096=LINE('',#565404,#140111); #101097=LINE('',#565406,#140112); #101098=LINE('',#565407,#140113); #101099=LINE('',#565410,#140114); #101100=LINE('',#565412,#140115); #101101=LINE('',#565413,#140116); #101102=LINE('',#565416,#140117); #101103=LINE('',#565418,#140118); #101104=LINE('',#565419,#140119); #101105=LINE('',#565422,#140120); #101106=LINE('',#565424,#140121); #101107=LINE('',#565425,#140122); #101108=LINE('',#565428,#140123); #101109=LINE('',#565430,#140124); #101110=LINE('',#565431,#140125); #101111=LINE('',#565434,#140126); #101112=LINE('',#565436,#140127); #101113=LINE('',#565437,#140128); #101114=LINE('',#565440,#140129); #101115=LINE('',#565442,#140130); #101116=LINE('',#565443,#140131); #101117=LINE('',#565446,#140132); #101118=LINE('',#565448,#140133); #101119=LINE('',#565449,#140134); #101120=LINE('',#565452,#140135); #101121=LINE('',#565454,#140136); #101122=LINE('',#565455,#140137); #101123=LINE('',#565458,#140138); #101124=LINE('',#565460,#140139); #101125=LINE('',#565461,#140140); #101126=LINE('',#565464,#140141); #101127=LINE('',#565466,#140142); #101128=LINE('',#565467,#140143); #101129=LINE('',#565470,#140144); #101130=LINE('',#565472,#140145); #101131=LINE('',#565473,#140146); #101132=LINE('',#565476,#140147); #101133=LINE('',#565478,#140148); #101134=LINE('',#565479,#140149); #101135=LINE('',#565482,#140150); #101136=LINE('',#565484,#140151); #101137=LINE('',#565485,#140152); #101138=LINE('',#565488,#140153); #101139=LINE('',#565490,#140154); #101140=LINE('',#565491,#140155); #101141=LINE('',#565494,#140156); #101142=LINE('',#565496,#140157); #101143=LINE('',#565497,#140158); #101144=LINE('',#565500,#140159); #101145=LINE('',#565502,#140160); #101146=LINE('',#565503,#140161); #101147=LINE('',#565506,#140162); #101148=LINE('',#565508,#140163); #101149=LINE('',#565509,#140164); #101150=LINE('',#565512,#140165); #101151=LINE('',#565514,#140166); #101152=LINE('',#565515,#140167); #101153=LINE('',#565518,#140168); #101154=LINE('',#565520,#140169); #101155=LINE('',#565521,#140170); #101156=LINE('',#565524,#140171); #101157=LINE('',#565526,#140172); #101158=LINE('',#565527,#140173); #101159=LINE('',#565530,#140174); #101160=LINE('',#565532,#140175); #101161=LINE('',#565533,#140176); #101162=LINE('',#565536,#140177); #101163=LINE('',#565538,#140178); #101164=LINE('',#565539,#140179); #101165=LINE('',#565542,#140180); #101166=LINE('',#565544,#140181); #101167=LINE('',#565545,#140182); #101168=LINE('',#565548,#140183); #101169=LINE('',#565550,#140184); #101170=LINE('',#565551,#140185); #101171=LINE('',#565553,#140186); #101172=LINE('',#565554,#140187); #101173=LINE('',#565561,#140188); #101174=LINE('',#565567,#140189); #101175=LINE('',#565572,#140190); #101176=LINE('',#565574,#140191); #101177=LINE('',#565576,#140192); #101178=LINE('',#565577,#140193); #101179=LINE('',#565583,#140194); #101180=LINE('',#565586,#140195); #101181=LINE('',#565588,#140196); #101182=LINE('',#565589,#140197); #101183=LINE('',#565595,#140198); #101184=LINE('',#565601,#140199); #101185=LINE('',#565604,#140200); #101186=LINE('',#565606,#140201); #101187=LINE('',#565607,#140202); #101188=LINE('',#565617,#140203); #101189=LINE('',#565623,#140204); #101190=LINE('',#565629,#140205); #101191=LINE('',#565635,#140206); #101192=LINE('',#565641,#140207); #101193=LINE('',#565647,#140208); #101194=LINE('',#565653,#140209); #101195=LINE('',#565659,#140210); #101196=LINE('',#565665,#140211); #101197=LINE('',#565671,#140212); #101198=LINE('',#565676,#140213); #101199=LINE('',#565678,#140214); #101200=LINE('',#565680,#140215); #101201=LINE('',#565681,#140216); #101202=LINE('',#565684,#140217); #101203=LINE('',#565686,#140218); #101204=LINE('',#565687,#140219); #101205=LINE('',#565690,#140220); #101206=LINE('',#565692,#140221); #101207=LINE('',#565693,#140222); #101208=LINE('',#565696,#140223); #101209=LINE('',#565698,#140224); #101210=LINE('',#565699,#140225); #101211=LINE('',#565702,#140226); #101212=LINE('',#565704,#140227); #101213=LINE('',#565705,#140228); #101214=LINE('',#565708,#140229); #101215=LINE('',#565710,#140230); #101216=LINE('',#565711,#140231); #101217=LINE('',#565714,#140232); #101218=LINE('',#565716,#140233); #101219=LINE('',#565717,#140234); #101220=LINE('',#565720,#140235); #101221=LINE('',#565722,#140236); #101222=LINE('',#565723,#140237); #101223=LINE('',#565726,#140238); #101224=LINE('',#565728,#140239); #101225=LINE('',#565729,#140240); #101226=LINE('',#565732,#140241); #101227=LINE('',#565734,#140242); #101228=LINE('',#565735,#140243); #101229=LINE('',#565738,#140244); #101230=LINE('',#565740,#140245); #101231=LINE('',#565741,#140246); #101232=LINE('',#565744,#140247); #101233=LINE('',#565746,#140248); #101234=LINE('',#565747,#140249); #101235=LINE('',#565750,#140250); #101236=LINE('',#565752,#140251); #101237=LINE('',#565753,#140252); #101238=LINE('',#565756,#140253); #101239=LINE('',#565758,#140254); #101240=LINE('',#565759,#140255); #101241=LINE('',#565762,#140256); #101242=LINE('',#565764,#140257); #101243=LINE('',#565765,#140258); #101244=LINE('',#565768,#140259); #101245=LINE('',#565770,#140260); #101246=LINE('',#565771,#140261); #101247=LINE('',#565774,#140262); #101248=LINE('',#565776,#140263); #101249=LINE('',#565777,#140264); #101250=LINE('',#565780,#140265); #101251=LINE('',#565782,#140266); #101252=LINE('',#565783,#140267); #101253=LINE('',#565786,#140268); #101254=LINE('',#565788,#140269); #101255=LINE('',#565789,#140270); #101256=LINE('',#565792,#140271); #101257=LINE('',#565794,#140272); #101258=LINE('',#565795,#140273); #101259=LINE('',#565798,#140274); #101260=LINE('',#565800,#140275); #101261=LINE('',#565801,#140276); #101262=LINE('',#565804,#140277); #101263=LINE('',#565806,#140278); #101264=LINE('',#565807,#140279); #101265=LINE('',#565810,#140280); #101266=LINE('',#565812,#140281); #101267=LINE('',#565813,#140282); #101268=LINE('',#565815,#140283); #101269=LINE('',#565816,#140284); #101270=LINE('',#565823,#140285); #101271=LINE('',#565828,#140286); #101272=LINE('',#565830,#140287); #101273=LINE('',#565832,#140288); #101274=LINE('',#565833,#140289); #101275=LINE('',#565839,#140290); #101276=LINE('',#565849,#140291); #101277=LINE('',#565855,#140292); #101278=LINE('',#565861,#140293); #101279=LINE('',#565867,#140294); #101280=LINE('',#565873,#140295); #101281=LINE('',#565879,#140296); #101282=LINE('',#565885,#140297); #101283=LINE('',#565891,#140298); #101284=LINE('',#565897,#140299); #101285=LINE('',#565903,#140300); #101286=LINE('',#565909,#140301); #101287=LINE('',#565915,#140302); #101288=LINE('',#565921,#140303); #101289=LINE('',#565927,#140304); #101290=LINE('',#565933,#140305); #101291=LINE('',#565939,#140306); #101292=LINE('',#565945,#140307); #101293=LINE('',#565951,#140308); #101294=LINE('',#565957,#140309); #101295=LINE('',#565963,#140310); #101296=LINE('',#565969,#140311); #101297=LINE('',#565975,#140312); #101298=LINE('',#565981,#140313); #101299=LINE('',#565987,#140314); #101300=LINE('',#565993,#140315); #101301=LINE('',#565999,#140316); #101302=LINE('',#566005,#140317); #101303=LINE('',#566011,#140318); #101304=LINE('',#566017,#140319); #101305=LINE('',#566023,#140320); #101306=LINE('',#566028,#140321); #101307=LINE('',#566030,#140322); #101308=LINE('',#566032,#140323); #101309=LINE('',#566033,#140324); #101310=LINE('',#566036,#140325); #101311=LINE('',#566038,#140326); #101312=LINE('',#566039,#140327); #101313=LINE('',#566042,#140328); #101314=LINE('',#566044,#140329); #101315=LINE('',#566045,#140330); #101316=LINE('',#566048,#140331); #101317=LINE('',#566050,#140332); #101318=LINE('',#566051,#140333); #101319=LINE('',#566054,#140334); #101320=LINE('',#566056,#140335); #101321=LINE('',#566057,#140336); #101322=LINE('',#566060,#140337); #101323=LINE('',#566062,#140338); #101324=LINE('',#566063,#140339); #101325=LINE('',#566066,#140340); #101326=LINE('',#566068,#140341); #101327=LINE('',#566069,#140342); #101328=LINE('',#566072,#140343); #101329=LINE('',#566074,#140344); #101330=LINE('',#566075,#140345); #101331=LINE('',#566078,#140346); #101332=LINE('',#566080,#140347); #101333=LINE('',#566081,#140348); #101334=LINE('',#566084,#140349); #101335=LINE('',#566086,#140350); #101336=LINE('',#566087,#140351); #101337=LINE('',#566090,#140352); #101338=LINE('',#566092,#140353); #101339=LINE('',#566093,#140354); #101340=LINE('',#566096,#140355); #101341=LINE('',#566098,#140356); #101342=LINE('',#566099,#140357); #101343=LINE('',#566102,#140358); #101344=LINE('',#566104,#140359); #101345=LINE('',#566105,#140360); #101346=LINE('',#566108,#140361); #101347=LINE('',#566110,#140362); #101348=LINE('',#566111,#140363); #101349=LINE('',#566114,#140364); #101350=LINE('',#566116,#140365); #101351=LINE('',#566117,#140366); #101352=LINE('',#566120,#140367); #101353=LINE('',#566122,#140368); #101354=LINE('',#566123,#140369); #101355=LINE('',#566126,#140370); #101356=LINE('',#566128,#140371); #101357=LINE('',#566129,#140372); #101358=LINE('',#566132,#140373); #101359=LINE('',#566134,#140374); #101360=LINE('',#566135,#140375); #101361=LINE('',#566138,#140376); #101362=LINE('',#566140,#140377); #101363=LINE('',#566141,#140378); #101364=LINE('',#566144,#140379); #101365=LINE('',#566146,#140380); #101366=LINE('',#566147,#140381); #101367=LINE('',#566150,#140382); #101368=LINE('',#566152,#140383); #101369=LINE('',#566153,#140384); #101370=LINE('',#566156,#140385); #101371=LINE('',#566158,#140386); #101372=LINE('',#566159,#140387); #101373=LINE('',#566162,#140388); #101374=LINE('',#566164,#140389); #101375=LINE('',#566165,#140390); #101376=LINE('',#566168,#140391); #101377=LINE('',#566170,#140392); #101378=LINE('',#566171,#140393); #101379=LINE('',#566174,#140394); #101380=LINE('',#566176,#140395); #101381=LINE('',#566177,#140396); #101382=LINE('',#566180,#140397); #101383=LINE('',#566182,#140398); #101384=LINE('',#566183,#140399); #101385=LINE('',#566186,#140400); #101386=LINE('',#566188,#140401); #101387=LINE('',#566189,#140402); #101388=LINE('',#566192,#140403); #101389=LINE('',#566194,#140404); #101390=LINE('',#566195,#140405); #101391=LINE('',#566198,#140406); #101392=LINE('',#566200,#140407); #101393=LINE('',#566201,#140408); #101394=LINE('',#566204,#140409); #101395=LINE('',#566206,#140410); #101396=LINE('',#566207,#140411); #101397=LINE('',#566210,#140412); #101398=LINE('',#566212,#140413); #101399=LINE('',#566213,#140414); #101400=LINE('',#566216,#140415); #101401=LINE('',#566218,#140416); #101402=LINE('',#566219,#140417); #101403=LINE('',#566222,#140418); #101404=LINE('',#566224,#140419); #101405=LINE('',#566225,#140420); #101406=LINE('',#566228,#140421); #101407=LINE('',#566230,#140422); #101408=LINE('',#566231,#140423); #101409=LINE('',#566234,#140424); #101410=LINE('',#566236,#140425); #101411=LINE('',#566237,#140426); #101412=LINE('',#566240,#140427); #101413=LINE('',#566242,#140428); #101414=LINE('',#566243,#140429); #101415=LINE('',#566246,#140430); #101416=LINE('',#566248,#140431); #101417=LINE('',#566249,#140432); #101418=LINE('',#566252,#140433); #101419=LINE('',#566254,#140434); #101420=LINE('',#566255,#140435); #101421=LINE('',#566258,#140436); #101422=LINE('',#566260,#140437); #101423=LINE('',#566261,#140438); #101424=LINE('',#566264,#140439); #101425=LINE('',#566266,#140440); #101426=LINE('',#566267,#140441); #101427=LINE('',#566270,#140442); #101428=LINE('',#566272,#140443); #101429=LINE('',#566273,#140444); #101430=LINE('',#566276,#140445); #101431=LINE('',#566278,#140446); #101432=LINE('',#566279,#140447); #101433=LINE('',#566282,#140448); #101434=LINE('',#566284,#140449); #101435=LINE('',#566285,#140450); #101436=LINE('',#566288,#140451); #101437=LINE('',#566290,#140452); #101438=LINE('',#566291,#140453); #101439=LINE('',#566293,#140454); #101440=LINE('',#566294,#140455); #101441=LINE('',#566301,#140456); #101442=LINE('',#566307,#140457); #101443=LINE('',#566312,#140458); #101444=LINE('',#566314,#140459); #101445=LINE('',#566316,#140460); #101446=LINE('',#566317,#140461); #101447=LINE('',#566323,#140462); #101448=LINE('',#566326,#140463); #101449=LINE('',#566328,#140464); #101450=LINE('',#566329,#140465); #101451=LINE('',#566335,#140466); #101452=LINE('',#566341,#140467); #101453=LINE('',#566344,#140468); #101454=LINE('',#566346,#140469); #101455=LINE('',#566347,#140470); #101456=LINE('',#566357,#140471); #101457=LINE('',#566362,#140472); #101458=LINE('',#566364,#140473); #101459=LINE('',#566366,#140474); #101460=LINE('',#566367,#140475); #101461=LINE('',#566373,#140476); #101462=LINE('',#566383,#140477); #101463=LINE('',#566389,#140478); #101464=LINE('',#566395,#140479); #101465=LINE('',#566401,#140480); #101466=LINE('',#566407,#140481); #101467=LINE('',#566413,#140482); #101468=LINE('',#566419,#140483); #101469=LINE('',#566425,#140484); #101470=LINE('',#566431,#140485); #101471=LINE('',#566437,#140486); #101472=LINE('',#566443,#140487); #101473=LINE('',#566449,#140488); #101474=LINE('',#566455,#140489); #101475=LINE('',#566461,#140490); #101476=LINE('',#566467,#140491); #101477=LINE('',#566472,#140492); #101478=LINE('',#566474,#140493); #101479=LINE('',#566476,#140494); #101480=LINE('',#566477,#140495); #101481=LINE('',#566480,#140496); #101482=LINE('',#566482,#140497); #101483=LINE('',#566483,#140498); #101484=LINE('',#566486,#140499); #101485=LINE('',#566488,#140500); #101486=LINE('',#566489,#140501); #101487=LINE('',#566492,#140502); #101488=LINE('',#566494,#140503); #101489=LINE('',#566495,#140504); #101490=LINE('',#566498,#140505); #101491=LINE('',#566500,#140506); #101492=LINE('',#566501,#140507); #101493=LINE('',#566504,#140508); #101494=LINE('',#566506,#140509); #101495=LINE('',#566507,#140510); #101496=LINE('',#566510,#140511); #101497=LINE('',#566512,#140512); #101498=LINE('',#566513,#140513); #101499=LINE('',#566516,#140514); #101500=LINE('',#566518,#140515); #101501=LINE('',#566519,#140516); #101502=LINE('',#566522,#140517); #101503=LINE('',#566524,#140518); #101504=LINE('',#566525,#140519); #101505=LINE('',#566528,#140520); #101506=LINE('',#566530,#140521); #101507=LINE('',#566531,#140522); #101508=LINE('',#566534,#140523); #101509=LINE('',#566536,#140524); #101510=LINE('',#566537,#140525); #101511=LINE('',#566540,#140526); #101512=LINE('',#566542,#140527); #101513=LINE('',#566543,#140528); #101514=LINE('',#566546,#140529); #101515=LINE('',#566548,#140530); #101516=LINE('',#566549,#140531); #101517=LINE('',#566552,#140532); #101518=LINE('',#566554,#140533); #101519=LINE('',#566555,#140534); #101520=LINE('',#566558,#140535); #101521=LINE('',#566560,#140536); #101522=LINE('',#566561,#140537); #101523=LINE('',#566564,#140538); #101524=LINE('',#566566,#140539); #101525=LINE('',#566567,#140540); #101526=LINE('',#566570,#140541); #101527=LINE('',#566572,#140542); #101528=LINE('',#566573,#140543); #101529=LINE('',#566576,#140544); #101530=LINE('',#566578,#140545); #101531=LINE('',#566579,#140546); #101532=LINE('',#566582,#140547); #101533=LINE('',#566584,#140548); #101534=LINE('',#566585,#140549); #101535=LINE('',#566588,#140550); #101536=LINE('',#566590,#140551); #101537=LINE('',#566591,#140552); #101538=LINE('',#566594,#140553); #101539=LINE('',#566596,#140554); #101540=LINE('',#566597,#140555); #101541=LINE('',#566600,#140556); #101542=LINE('',#566602,#140557); #101543=LINE('',#566603,#140558); #101544=LINE('',#566606,#140559); #101545=LINE('',#566608,#140560); #101546=LINE('',#566609,#140561); #101547=LINE('',#566612,#140562); #101548=LINE('',#566614,#140563); #101549=LINE('',#566615,#140564); #101550=LINE('',#566618,#140565); #101551=LINE('',#566620,#140566); #101552=LINE('',#566621,#140567); #101553=LINE('',#566624,#140568); #101554=LINE('',#566626,#140569); #101555=LINE('',#566627,#140570); #101556=LINE('',#566630,#140571); #101557=LINE('',#566632,#140572); #101558=LINE('',#566633,#140573); #101559=LINE('',#566636,#140574); #101560=LINE('',#566638,#140575); #101561=LINE('',#566639,#140576); #101562=LINE('',#566642,#140577); #101563=LINE('',#566644,#140578); #101564=LINE('',#566645,#140579); #101565=LINE('',#566648,#140580); #101566=LINE('',#566650,#140581); #101567=LINE('',#566651,#140582); #101568=LINE('',#566654,#140583); #101569=LINE('',#566656,#140584); #101570=LINE('',#566657,#140585); #101571=LINE('',#566660,#140586); #101572=LINE('',#566662,#140587); #101573=LINE('',#566663,#140588); #101574=LINE('',#566666,#140589); #101575=LINE('',#566668,#140590); #101576=LINE('',#566669,#140591); #101577=LINE('',#566672,#140592); #101578=LINE('',#566674,#140593); #101579=LINE('',#566675,#140594); #101580=LINE('',#566678,#140595); #101581=LINE('',#566680,#140596); #101582=LINE('',#566681,#140597); #101583=LINE('',#566684,#140598); #101584=LINE('',#566686,#140599); #101585=LINE('',#566687,#140600); #101586=LINE('',#566690,#140601); #101587=LINE('',#566692,#140602); #101588=LINE('',#566693,#140603); #101589=LINE('',#566696,#140604); #101590=LINE('',#566698,#140605); #101591=LINE('',#566699,#140606); #101592=LINE('',#566702,#140607); #101593=LINE('',#566704,#140608); #101594=LINE('',#566705,#140609); #101595=LINE('',#566708,#140610); #101596=LINE('',#566710,#140611); #101597=LINE('',#566711,#140612); #101598=LINE('',#566714,#140613); #101599=LINE('',#566716,#140614); #101600=LINE('',#566717,#140615); #101601=LINE('',#566720,#140616); #101602=LINE('',#566722,#140617); #101603=LINE('',#566723,#140618); #101604=LINE('',#566726,#140619); #101605=LINE('',#566728,#140620); #101606=LINE('',#566729,#140621); #101607=LINE('',#566732,#140622); #101608=LINE('',#566734,#140623); #101609=LINE('',#566735,#140624); #101610=LINE('',#566738,#140625); #101611=LINE('',#566740,#140626); #101612=LINE('',#566741,#140627); #101613=LINE('',#566744,#140628); #101614=LINE('',#566746,#140629); #101615=LINE('',#566747,#140630); #101616=LINE('',#566750,#140631); #101617=LINE('',#566752,#140632); #101618=LINE('',#566753,#140633); #101619=LINE('',#566756,#140634); #101620=LINE('',#566758,#140635); #101621=LINE('',#566759,#140636); #101622=LINE('',#566762,#140637); #101623=LINE('',#566764,#140638); #101624=LINE('',#566765,#140639); #101625=LINE('',#566768,#140640); #101626=LINE('',#566770,#140641); #101627=LINE('',#566771,#140642); #101628=LINE('',#566774,#140643); #101629=LINE('',#566776,#140644); #101630=LINE('',#566777,#140645); #101631=LINE('',#566780,#140646); #101632=LINE('',#566782,#140647); #101633=LINE('',#566783,#140648); #101634=LINE('',#566786,#140649); #101635=LINE('',#566788,#140650); #101636=LINE('',#566789,#140651); #101637=LINE('',#566792,#140652); #101638=LINE('',#566794,#140653); #101639=LINE('',#566795,#140654); #101640=LINE('',#566798,#140655); #101641=LINE('',#566800,#140656); #101642=LINE('',#566801,#140657); #101643=LINE('',#566804,#140658); #101644=LINE('',#566806,#140659); #101645=LINE('',#566807,#140660); #101646=LINE('',#566810,#140661); #101647=LINE('',#566812,#140662); #101648=LINE('',#566813,#140663); #101649=LINE('',#566816,#140664); #101650=LINE('',#566818,#140665); #101651=LINE('',#566819,#140666); #101652=LINE('',#566822,#140667); #101653=LINE('',#566824,#140668); #101654=LINE('',#566825,#140669); #101655=LINE('',#566828,#140670); #101656=LINE('',#566830,#140671); #101657=LINE('',#566831,#140672); #101658=LINE('',#566834,#140673); #101659=LINE('',#566836,#140674); #101660=LINE('',#566837,#140675); #101661=LINE('',#566840,#140676); #101662=LINE('',#566842,#140677); #101663=LINE('',#566843,#140678); #101664=LINE('',#566846,#140679); #101665=LINE('',#566848,#140680); #101666=LINE('',#566849,#140681); #101667=LINE('',#566852,#140682); #101668=LINE('',#566854,#140683); #101669=LINE('',#566855,#140684); #101670=LINE('',#566858,#140685); #101671=LINE('',#566860,#140686); #101672=LINE('',#566861,#140687); #101673=LINE('',#566864,#140688); #101674=LINE('',#566866,#140689); #101675=LINE('',#566867,#140690); #101676=LINE('',#566870,#140691); #101677=LINE('',#566872,#140692); #101678=LINE('',#566873,#140693); #101679=LINE('',#566876,#140694); #101680=LINE('',#566878,#140695); #101681=LINE('',#566879,#140696); #101682=LINE('',#566882,#140697); #101683=LINE('',#566884,#140698); #101684=LINE('',#566885,#140699); #101685=LINE('',#566888,#140700); #101686=LINE('',#566890,#140701); #101687=LINE('',#566891,#140702); #101688=LINE('',#566894,#140703); #101689=LINE('',#566896,#140704); #101690=LINE('',#566897,#140705); #101691=LINE('',#566899,#140706); #101692=LINE('',#566900,#140707); #101693=LINE('',#566904,#140708); #101694=LINE('',#566906,#140709); #101695=LINE('',#566908,#140710); #101696=LINE('',#566909,#140711); #101697=LINE('',#566912,#140712); #101698=LINE('',#566914,#140713); #101699=LINE('',#566915,#140714); #101700=LINE('',#566918,#140715); #101701=LINE('',#566920,#140716); #101702=LINE('',#566921,#140717); #101703=LINE('',#566924,#140718); #101704=LINE('',#566926,#140719); #101705=LINE('',#566927,#140720); #101706=LINE('',#566930,#140721); #101707=LINE('',#566932,#140722); #101708=LINE('',#566933,#140723); #101709=LINE('',#566936,#140724); #101710=LINE('',#566938,#140725); #101711=LINE('',#566939,#140726); #101712=LINE('',#566942,#140727); #101713=LINE('',#566944,#140728); #101714=LINE('',#566945,#140729); #101715=LINE('',#566948,#140730); #101716=LINE('',#566950,#140731); #101717=LINE('',#566951,#140732); #101718=LINE('',#566954,#140733); #101719=LINE('',#566956,#140734); #101720=LINE('',#566957,#140735); #101721=LINE('',#566960,#140736); #101722=LINE('',#566962,#140737); #101723=LINE('',#566963,#140738); #101724=LINE('',#566966,#140739); #101725=LINE('',#566968,#140740); #101726=LINE('',#566969,#140741); #101727=LINE('',#566972,#140742); #101728=LINE('',#566974,#140743); #101729=LINE('',#566975,#140744); #101730=LINE('',#566978,#140745); #101731=LINE('',#566980,#140746); #101732=LINE('',#566981,#140747); #101733=LINE('',#566984,#140748); #101734=LINE('',#566986,#140749); #101735=LINE('',#566987,#140750); #101736=LINE('',#566990,#140751); #101737=LINE('',#566992,#140752); #101738=LINE('',#566993,#140753); #101739=LINE('',#566996,#140754); #101740=LINE('',#566998,#140755); #101741=LINE('',#566999,#140756); #101742=LINE('',#567002,#140757); #101743=LINE('',#567004,#140758); #101744=LINE('',#567005,#140759); #101745=LINE('',#567008,#140760); #101746=LINE('',#567010,#140761); #101747=LINE('',#567011,#140762); #101748=LINE('',#567014,#140763); #101749=LINE('',#567016,#140764); #101750=LINE('',#567017,#140765); #101751=LINE('',#567020,#140766); #101752=LINE('',#567022,#140767); #101753=LINE('',#567023,#140768); #101754=LINE('',#567026,#140769); #101755=LINE('',#567028,#140770); #101756=LINE('',#567029,#140771); #101757=LINE('',#567032,#140772); #101758=LINE('',#567034,#140773); #101759=LINE('',#567035,#140774); #101760=LINE('',#567038,#140775); #101761=LINE('',#567040,#140776); #101762=LINE('',#567041,#140777); #101763=LINE('',#567044,#140778); #101764=LINE('',#567046,#140779); #101765=LINE('',#567047,#140780); #101766=LINE('',#567050,#140781); #101767=LINE('',#567052,#140782); #101768=LINE('',#567053,#140783); #101769=LINE('',#567056,#140784); #101770=LINE('',#567058,#140785); #101771=LINE('',#567059,#140786); #101772=LINE('',#567062,#140787); #101773=LINE('',#567064,#140788); #101774=LINE('',#567065,#140789); #101775=LINE('',#567068,#140790); #101776=LINE('',#567070,#140791); #101777=LINE('',#567071,#140792); #101778=LINE('',#567074,#140793); #101779=LINE('',#567076,#140794); #101780=LINE('',#567077,#140795); #101781=LINE('',#567080,#140796); #101782=LINE('',#567082,#140797); #101783=LINE('',#567083,#140798); #101784=LINE('',#567086,#140799); #101785=LINE('',#567088,#140800); #101786=LINE('',#567089,#140801); #101787=LINE('',#567092,#140802); #101788=LINE('',#567094,#140803); #101789=LINE('',#567095,#140804); #101790=LINE('',#567098,#140805); #101791=LINE('',#567100,#140806); #101792=LINE('',#567101,#140807); #101793=LINE('',#567104,#140808); #101794=LINE('',#567106,#140809); #101795=LINE('',#567107,#140810); #101796=LINE('',#567110,#140811); #101797=LINE('',#567112,#140812); #101798=LINE('',#567113,#140813); #101799=LINE('',#567116,#140814); #101800=LINE('',#567118,#140815); #101801=LINE('',#567119,#140816); #101802=LINE('',#567122,#140817); #101803=LINE('',#567124,#140818); #101804=LINE('',#567125,#140819); #101805=LINE('',#567128,#140820); #101806=LINE('',#567130,#140821); #101807=LINE('',#567131,#140822); #101808=LINE('',#567134,#140823); #101809=LINE('',#567136,#140824); #101810=LINE('',#567137,#140825); #101811=LINE('',#567140,#140826); #101812=LINE('',#567142,#140827); #101813=LINE('',#567143,#140828); #101814=LINE('',#567146,#140829); #101815=LINE('',#567148,#140830); #101816=LINE('',#567149,#140831); #101817=LINE('',#567152,#140832); #101818=LINE('',#567154,#140833); #101819=LINE('',#567155,#140834); #101820=LINE('',#567158,#140835); #101821=LINE('',#567160,#140836); #101822=LINE('',#567161,#140837); #101823=LINE('',#567164,#140838); #101824=LINE('',#567166,#140839); #101825=LINE('',#567167,#140840); #101826=LINE('',#567170,#140841); #101827=LINE('',#567172,#140842); #101828=LINE('',#567173,#140843); #101829=LINE('',#567176,#140844); #101830=LINE('',#567178,#140845); #101831=LINE('',#567179,#140846); #101832=LINE('',#567182,#140847); #101833=LINE('',#567184,#140848); #101834=LINE('',#567185,#140849); #101835=LINE('',#567188,#140850); #101836=LINE('',#567190,#140851); #101837=LINE('',#567191,#140852); #101838=LINE('',#567194,#140853); #101839=LINE('',#567196,#140854); #101840=LINE('',#567197,#140855); #101841=LINE('',#567200,#140856); #101842=LINE('',#567202,#140857); #101843=LINE('',#567203,#140858); #101844=LINE('',#567206,#140859); #101845=LINE('',#567208,#140860); #101846=LINE('',#567209,#140861); #101847=LINE('',#567212,#140862); #101848=LINE('',#567214,#140863); #101849=LINE('',#567215,#140864); #101850=LINE('',#567218,#140865); #101851=LINE('',#567220,#140866); #101852=LINE('',#567221,#140867); #101853=LINE('',#567224,#140868); #101854=LINE('',#567226,#140869); #101855=LINE('',#567227,#140870); #101856=LINE('',#567230,#140871); #101857=LINE('',#567232,#140872); #101858=LINE('',#567233,#140873); #101859=LINE('',#567236,#140874); #101860=LINE('',#567238,#140875); #101861=LINE('',#567239,#140876); #101862=LINE('',#567242,#140877); #101863=LINE('',#567244,#140878); #101864=LINE('',#567245,#140879); #101865=LINE('',#567248,#140880); #101866=LINE('',#567250,#140881); #101867=LINE('',#567251,#140882); #101868=LINE('',#567254,#140883); #101869=LINE('',#567256,#140884); #101870=LINE('',#567257,#140885); #101871=LINE('',#567260,#140886); #101872=LINE('',#567262,#140887); #101873=LINE('',#567263,#140888); #101874=LINE('',#567266,#140889); #101875=LINE('',#567268,#140890); #101876=LINE('',#567269,#140891); #101877=LINE('',#567272,#140892); #101878=LINE('',#567274,#140893); #101879=LINE('',#567275,#140894); #101880=LINE('',#567278,#140895); #101881=LINE('',#567280,#140896); #101882=LINE('',#567281,#140897); #101883=LINE('',#567284,#140898); #101884=LINE('',#567286,#140899); #101885=LINE('',#567287,#140900); #101886=LINE('',#567290,#140901); #101887=LINE('',#567292,#140902); #101888=LINE('',#567293,#140903); #101889=LINE('',#567296,#140904); #101890=LINE('',#567298,#140905); #101891=LINE('',#567299,#140906); #101892=LINE('',#567302,#140907); #101893=LINE('',#567304,#140908); #101894=LINE('',#567305,#140909); #101895=LINE('',#567308,#140910); #101896=LINE('',#567310,#140911); #101897=LINE('',#567311,#140912); #101898=LINE('',#567314,#140913); #101899=LINE('',#567316,#140914); #101900=LINE('',#567317,#140915); #101901=LINE('',#567320,#140916); #101902=LINE('',#567322,#140917); #101903=LINE('',#567323,#140918); #101904=LINE('',#567326,#140919); #101905=LINE('',#567328,#140920); #101906=LINE('',#567329,#140921); #101907=LINE('',#567332,#140922); #101908=LINE('',#567334,#140923); #101909=LINE('',#567335,#140924); #101910=LINE('',#567338,#140925); #101911=LINE('',#567340,#140926); #101912=LINE('',#567341,#140927); #101913=LINE('',#567344,#140928); #101914=LINE('',#567346,#140929); #101915=LINE('',#567347,#140930); #101916=LINE('',#567350,#140931); #101917=LINE('',#567352,#140932); #101918=LINE('',#567353,#140933); #101919=LINE('',#567356,#140934); #101920=LINE('',#567358,#140935); #101921=LINE('',#567359,#140936); #101922=LINE('',#567362,#140937); #101923=LINE('',#567364,#140938); #101924=LINE('',#567365,#140939); #101925=LINE('',#567368,#140940); #101926=LINE('',#567370,#140941); #101927=LINE('',#567371,#140942); #101928=LINE('',#567374,#140943); #101929=LINE('',#567376,#140944); #101930=LINE('',#567377,#140945); #101931=LINE('',#567380,#140946); #101932=LINE('',#567382,#140947); #101933=LINE('',#567383,#140948); #101934=LINE('',#567386,#140949); #101935=LINE('',#567388,#140950); #101936=LINE('',#567389,#140951); #101937=LINE('',#567392,#140952); #101938=LINE('',#567394,#140953); #101939=LINE('',#567395,#140954); #101940=LINE('',#567398,#140955); #101941=LINE('',#567400,#140956); #101942=LINE('',#567401,#140957); #101943=LINE('',#567404,#140958); #101944=LINE('',#567406,#140959); #101945=LINE('',#567407,#140960); #101946=LINE('',#567410,#140961); #101947=LINE('',#567412,#140962); #101948=LINE('',#567413,#140963); #101949=LINE('',#567416,#140964); #101950=LINE('',#567418,#140965); #101951=LINE('',#567419,#140966); #101952=LINE('',#567422,#140967); #101953=LINE('',#567424,#140968); #101954=LINE('',#567425,#140969); #101955=LINE('',#567428,#140970); #101956=LINE('',#567430,#140971); #101957=LINE('',#567431,#140972); #101958=LINE('',#567434,#140973); #101959=LINE('',#567436,#140974); #101960=LINE('',#567437,#140975); #101961=LINE('',#567440,#140976); #101962=LINE('',#567442,#140977); #101963=LINE('',#567443,#140978); #101964=LINE('',#567446,#140979); #101965=LINE('',#567448,#140980); #101966=LINE('',#567449,#140981); #101967=LINE('',#567452,#140982); #101968=LINE('',#567454,#140983); #101969=LINE('',#567455,#140984); #101970=LINE('',#567458,#140985); #101971=LINE('',#567460,#140986); #101972=LINE('',#567461,#140987); #101973=LINE('',#567464,#140988); #101974=LINE('',#567466,#140989); #101975=LINE('',#567467,#140990); #101976=LINE('',#567469,#140991); #101977=LINE('',#567470,#140992); #101978=LINE('',#567477,#140993); #101979=LINE('',#567482,#140994); #101980=LINE('',#567484,#140995); #101981=LINE('',#567486,#140996); #101982=LINE('',#567487,#140997); #101983=LINE('',#567493,#140998); #101984=LINE('',#567503,#140999); #101985=LINE('',#567508,#141000); #101986=LINE('',#567510,#141001); #101987=LINE('',#567512,#141002); #101988=LINE('',#567513,#141003); #101989=LINE('',#567519,#141004); #101990=LINE('',#567529,#141005); #101991=LINE('',#567534,#141006); #101992=LINE('',#567536,#141007); #101993=LINE('',#567538,#141008); #101994=LINE('',#567539,#141009); #101995=LINE('',#567545,#141010); #101996=LINE('',#567555,#141011); #101997=LINE('',#567560,#141012); #101998=LINE('',#567562,#141013); #101999=LINE('',#567564,#141014); #102000=LINE('',#567565,#141015); #102001=LINE('',#567571,#141016); #102002=LINE('',#567581,#141017); #102003=LINE('',#567586,#141018); #102004=LINE('',#567588,#141019); #102005=LINE('',#567590,#141020); #102006=LINE('',#567591,#141021); #102007=LINE('',#567594,#141022); #102008=LINE('',#567596,#141023); #102009=LINE('',#567597,#141024); #102010=LINE('',#567600,#141025); #102011=LINE('',#567602,#141026); #102012=LINE('',#567603,#141027); #102013=LINE('',#567605,#141028); #102014=LINE('',#567606,#141029); #102015=LINE('',#567613,#141030); #102016=LINE('',#567618,#141031); #102017=LINE('',#567620,#141032); #102018=LINE('',#567622,#141033); #102019=LINE('',#567623,#141034); #102020=LINE('',#567629,#141035); #102021=LINE('',#567639,#141036); #102022=LINE('',#567644,#141037); #102023=LINE('',#567646,#141038); #102024=LINE('',#567648,#141039); #102025=LINE('',#567649,#141040); #102026=LINE('',#567655,#141041); #102027=LINE('',#567665,#141042); #102028=LINE('',#567670,#141043); #102029=LINE('',#567672,#141044); #102030=LINE('',#567674,#141045); #102031=LINE('',#567675,#141046); #102032=LINE('',#567681,#141047); #102033=LINE('',#567691,#141048); #102034=LINE('',#567696,#141049); #102035=LINE('',#567698,#141050); #102036=LINE('',#567700,#141051); #102037=LINE('',#567701,#141052); #102038=LINE('',#567707,#141053); #102039=LINE('',#567716,#141054); #102040=LINE('',#567718,#141055); #102041=LINE('',#567720,#141056); #102042=LINE('',#567721,#141057); #102043=LINE('',#567727,#141058); #102044=LINE('',#567730,#141059); #102045=LINE('',#567732,#141060); #102046=LINE('',#567733,#141061); #102047=LINE('',#567740,#141062); #102048=LINE('',#567742,#141063); #102049=LINE('',#567744,#141064); #102050=LINE('',#567745,#141065); #102051=LINE('',#567748,#141066); #102052=LINE('',#567750,#141067); #102053=LINE('',#567751,#141068); #102054=LINE('',#567754,#141069); #102055=LINE('',#567756,#141070); #102056=LINE('',#567757,#141071); #102057=LINE('',#567760,#141072); #102058=LINE('',#567762,#141073); #102059=LINE('',#567763,#141074); #102060=LINE('',#567766,#141075); #102061=LINE('',#567768,#141076); #102062=LINE('',#567769,#141077); #102063=LINE('',#567772,#141078); #102064=LINE('',#567774,#141079); #102065=LINE('',#567775,#141080); #102066=LINE('',#567778,#141081); #102067=LINE('',#567780,#141082); #102068=LINE('',#567781,#141083); #102069=LINE('',#567784,#141084); #102070=LINE('',#567786,#141085); #102071=LINE('',#567787,#141086); #102072=LINE('',#567790,#141087); #102073=LINE('',#567792,#141088); #102074=LINE('',#567793,#141089); #102075=LINE('',#567796,#141090); #102076=LINE('',#567798,#141091); #102077=LINE('',#567799,#141092); #102078=LINE('',#567802,#141093); #102079=LINE('',#567804,#141094); #102080=LINE('',#567805,#141095); #102081=LINE('',#567808,#141096); #102082=LINE('',#567810,#141097); #102083=LINE('',#567811,#141098); #102084=LINE('',#567814,#141099); #102085=LINE('',#567816,#141100); #102086=LINE('',#567817,#141101); #102087=LINE('',#567820,#141102); #102088=LINE('',#567822,#141103); #102089=LINE('',#567823,#141104); #102090=LINE('',#567826,#141105); #102091=LINE('',#567828,#141106); #102092=LINE('',#567829,#141107); #102093=LINE('',#567832,#141108); #102094=LINE('',#567834,#141109); #102095=LINE('',#567835,#141110); #102096=LINE('',#567838,#141111); #102097=LINE('',#567840,#141112); #102098=LINE('',#567841,#141113); #102099=LINE('',#567844,#141114); #102100=LINE('',#567846,#141115); #102101=LINE('',#567847,#141116); #102102=LINE('',#567850,#141117); #102103=LINE('',#567852,#141118); #102104=LINE('',#567853,#141119); #102105=LINE('',#567856,#141120); #102106=LINE('',#567858,#141121); #102107=LINE('',#567859,#141122); #102108=LINE('',#567862,#141123); #102109=LINE('',#567864,#141124); #102110=LINE('',#567865,#141125); #102111=LINE('',#567868,#141126); #102112=LINE('',#567870,#141127); #102113=LINE('',#567871,#141128); #102114=LINE('',#567874,#141129); #102115=LINE('',#567876,#141130); #102116=LINE('',#567877,#141131); #102117=LINE('',#567880,#141132); #102118=LINE('',#567882,#141133); #102119=LINE('',#567883,#141134); #102120=LINE('',#567886,#141135); #102121=LINE('',#567888,#141136); #102122=LINE('',#567889,#141137); #102123=LINE('',#567892,#141138); #102124=LINE('',#567894,#141139); #102125=LINE('',#567895,#141140); #102126=LINE('',#567898,#141141); #102127=LINE('',#567900,#141142); #102128=LINE('',#567901,#141143); #102129=LINE('',#567904,#141144); #102130=LINE('',#567906,#141145); #102131=LINE('',#567907,#141146); #102132=LINE('',#567910,#141147); #102133=LINE('',#567912,#141148); #102134=LINE('',#567913,#141149); #102135=LINE('',#567916,#141150); #102136=LINE('',#567918,#141151); #102137=LINE('',#567919,#141152); #102138=LINE('',#567922,#141153); #102139=LINE('',#567924,#141154); #102140=LINE('',#567925,#141155); #102141=LINE('',#567928,#141156); #102142=LINE('',#567930,#141157); #102143=LINE('',#567931,#141158); #102144=LINE('',#567934,#141159); #102145=LINE('',#567936,#141160); #102146=LINE('',#567937,#141161); #102147=LINE('',#567940,#141162); #102148=LINE('',#567942,#141163); #102149=LINE('',#567943,#141164); #102150=LINE('',#567946,#141165); #102151=LINE('',#567948,#141166); #102152=LINE('',#567949,#141167); #102153=LINE('',#567952,#141168); #102154=LINE('',#567954,#141169); #102155=LINE('',#567955,#141170); #102156=LINE('',#567958,#141171); #102157=LINE('',#567960,#141172); #102158=LINE('',#567961,#141173); #102159=LINE('',#567964,#141174); #102160=LINE('',#567966,#141175); #102161=LINE('',#567967,#141176); #102162=LINE('',#567970,#141177); #102163=LINE('',#567972,#141178); #102164=LINE('',#567973,#141179); #102165=LINE('',#567976,#141180); #102166=LINE('',#567978,#141181); #102167=LINE('',#567979,#141182); #102168=LINE('',#567982,#141183); #102169=LINE('',#567984,#141184); #102170=LINE('',#567985,#141185); #102171=LINE('',#567988,#141186); #102172=LINE('',#567990,#141187); #102173=LINE('',#567991,#141188); #102174=LINE('',#567994,#141189); #102175=LINE('',#567996,#141190); #102176=LINE('',#567997,#141191); #102177=LINE('',#568000,#141192); #102178=LINE('',#568002,#141193); #102179=LINE('',#568003,#141194); #102180=LINE('',#568006,#141195); #102181=LINE('',#568008,#141196); #102182=LINE('',#568009,#141197); #102183=LINE('',#568012,#141198); #102184=LINE('',#568014,#141199); #102185=LINE('',#568015,#141200); #102186=LINE('',#568018,#141201); #102187=LINE('',#568020,#141202); #102188=LINE('',#568021,#141203); #102189=LINE('',#568024,#141204); #102190=LINE('',#568026,#141205); #102191=LINE('',#568027,#141206); #102192=LINE('',#568030,#141207); #102193=LINE('',#568032,#141208); #102194=LINE('',#568033,#141209); #102195=LINE('',#568036,#141210); #102196=LINE('',#568038,#141211); #102197=LINE('',#568039,#141212); #102198=LINE('',#568042,#141213); #102199=LINE('',#568044,#141214); #102200=LINE('',#568045,#141215); #102201=LINE('',#568048,#141216); #102202=LINE('',#568050,#141217); #102203=LINE('',#568051,#141218); #102204=LINE('',#568054,#141219); #102205=LINE('',#568056,#141220); #102206=LINE('',#568057,#141221); #102207=LINE('',#568060,#141222); #102208=LINE('',#568062,#141223); #102209=LINE('',#568063,#141224); #102210=LINE('',#568066,#141225); #102211=LINE('',#568068,#141226); #102212=LINE('',#568069,#141227); #102213=LINE('',#568071,#141228); #102214=LINE('',#568072,#141229); #102215=LINE('',#568078,#141230); #102216=LINE('',#568080,#141231); #102217=LINE('',#568082,#141232); #102218=LINE('',#568083,#141233); #102219=LINE('',#568089,#141234); #102220=LINE('',#568092,#141235); #102221=LINE('',#568094,#141236); #102222=LINE('',#568095,#141237); #102223=LINE('',#568102,#141238); #102224=LINE('',#568104,#141239); #102225=LINE('',#568106,#141240); #102226=LINE('',#568107,#141241); #102227=LINE('',#568110,#141242); #102228=LINE('',#568112,#141243); #102229=LINE('',#568113,#141244); #102230=LINE('',#568116,#141245); #102231=LINE('',#568118,#141246); #102232=LINE('',#568119,#141247); #102233=LINE('',#568122,#141248); #102234=LINE('',#568124,#141249); #102235=LINE('',#568125,#141250); #102236=LINE('',#568128,#141251); #102237=LINE('',#568130,#141252); #102238=LINE('',#568131,#141253); #102239=LINE('',#568134,#141254); #102240=LINE('',#568136,#141255); #102241=LINE('',#568137,#141256); #102242=LINE('',#568140,#141257); #102243=LINE('',#568142,#141258); #102244=LINE('',#568143,#141259); #102245=LINE('',#568146,#141260); #102246=LINE('',#568148,#141261); #102247=LINE('',#568149,#141262); #102248=LINE('',#568152,#141263); #102249=LINE('',#568154,#141264); #102250=LINE('',#568155,#141265); #102251=LINE('',#568158,#141266); #102252=LINE('',#568160,#141267); #102253=LINE('',#568161,#141268); #102254=LINE('',#568164,#141269); #102255=LINE('',#568166,#141270); #102256=LINE('',#568167,#141271); #102257=LINE('',#568170,#141272); #102258=LINE('',#568172,#141273); #102259=LINE('',#568173,#141274); #102260=LINE('',#568176,#141275); #102261=LINE('',#568178,#141276); #102262=LINE('',#568179,#141277); #102263=LINE('',#568182,#141278); #102264=LINE('',#568184,#141279); #102265=LINE('',#568185,#141280); #102266=LINE('',#568188,#141281); #102267=LINE('',#568190,#141282); #102268=LINE('',#568191,#141283); #102269=LINE('',#568194,#141284); #102270=LINE('',#568196,#141285); #102271=LINE('',#568197,#141286); #102272=LINE('',#568200,#141287); #102273=LINE('',#568202,#141288); #102274=LINE('',#568203,#141289); #102275=LINE('',#568206,#141290); #102276=LINE('',#568208,#141291); #102277=LINE('',#568209,#141292); #102278=LINE('',#568212,#141293); #102279=LINE('',#568214,#141294); #102280=LINE('',#568215,#141295); #102281=LINE('',#568218,#141296); #102282=LINE('',#568220,#141297); #102283=LINE('',#568221,#141298); #102284=LINE('',#568224,#141299); #102285=LINE('',#568226,#141300); #102286=LINE('',#568227,#141301); #102287=LINE('',#568230,#141302); #102288=LINE('',#568232,#141303); #102289=LINE('',#568233,#141304); #102290=LINE('',#568236,#141305); #102291=LINE('',#568238,#141306); #102292=LINE('',#568239,#141307); #102293=LINE('',#568242,#141308); #102294=LINE('',#568244,#141309); #102295=LINE('',#568245,#141310); #102296=LINE('',#568248,#141311); #102297=LINE('',#568250,#141312); #102298=LINE('',#568251,#141313); #102299=LINE('',#568254,#141314); #102300=LINE('',#568256,#141315); #102301=LINE('',#568257,#141316); #102302=LINE('',#568260,#141317); #102303=LINE('',#568262,#141318); #102304=LINE('',#568263,#141319); #102305=LINE('',#568266,#141320); #102306=LINE('',#568268,#141321); #102307=LINE('',#568269,#141322); #102308=LINE('',#568272,#141323); #102309=LINE('',#568274,#141324); #102310=LINE('',#568275,#141325); #102311=LINE('',#568278,#141326); #102312=LINE('',#568280,#141327); #102313=LINE('',#568281,#141328); #102314=LINE('',#568284,#141329); #102315=LINE('',#568286,#141330); #102316=LINE('',#568287,#141331); #102317=LINE('',#568290,#141332); #102318=LINE('',#568292,#141333); #102319=LINE('',#568293,#141334); #102320=LINE('',#568296,#141335); #102321=LINE('',#568298,#141336); #102322=LINE('',#568299,#141337); #102323=LINE('',#568302,#141338); #102324=LINE('',#568304,#141339); #102325=LINE('',#568305,#141340); #102326=LINE('',#568308,#141341); #102327=LINE('',#568310,#141342); #102328=LINE('',#568311,#141343); #102329=LINE('',#568314,#141344); #102330=LINE('',#568316,#141345); #102331=LINE('',#568317,#141346); #102332=LINE('',#568320,#141347); #102333=LINE('',#568322,#141348); #102334=LINE('',#568323,#141349); #102335=LINE('',#568326,#141350); #102336=LINE('',#568328,#141351); #102337=LINE('',#568329,#141352); #102338=LINE('',#568332,#141353); #102339=LINE('',#568334,#141354); #102340=LINE('',#568335,#141355); #102341=LINE('',#568338,#141356); #102342=LINE('',#568340,#141357); #102343=LINE('',#568341,#141358); #102344=LINE('',#568344,#141359); #102345=LINE('',#568346,#141360); #102346=LINE('',#568347,#141361); #102347=LINE('',#568350,#141362); #102348=LINE('',#568352,#141363); #102349=LINE('',#568353,#141364); #102350=LINE('',#568356,#141365); #102351=LINE('',#568358,#141366); #102352=LINE('',#568359,#141367); #102353=LINE('',#568362,#141368); #102354=LINE('',#568364,#141369); #102355=LINE('',#568365,#141370); #102356=LINE('',#568368,#141371); #102357=LINE('',#568370,#141372); #102358=LINE('',#568371,#141373); #102359=LINE('',#568374,#141374); #102360=LINE('',#568376,#141375); #102361=LINE('',#568377,#141376); #102362=LINE('',#568380,#141377); #102363=LINE('',#568382,#141378); #102364=LINE('',#568383,#141379); #102365=LINE('',#568386,#141380); #102366=LINE('',#568388,#141381); #102367=LINE('',#568389,#141382); #102368=LINE('',#568392,#141383); #102369=LINE('',#568394,#141384); #102370=LINE('',#568395,#141385); #102371=LINE('',#568398,#141386); #102372=LINE('',#568400,#141387); #102373=LINE('',#568401,#141388); #102374=LINE('',#568404,#141389); #102375=LINE('',#568406,#141390); #102376=LINE('',#568407,#141391); #102377=LINE('',#568410,#141392); #102378=LINE('',#568412,#141393); #102379=LINE('',#568413,#141394); #102380=LINE('',#568416,#141395); #102381=LINE('',#568418,#141396); #102382=LINE('',#568419,#141397); #102383=LINE('',#568422,#141398); #102384=LINE('',#568424,#141399); #102385=LINE('',#568425,#141400); #102386=LINE('',#568428,#141401); #102387=LINE('',#568430,#141402); #102388=LINE('',#568431,#141403); #102389=LINE('',#568434,#141404); #102390=LINE('',#568436,#141405); #102391=LINE('',#568437,#141406); #102392=LINE('',#568440,#141407); #102393=LINE('',#568442,#141408); #102394=LINE('',#568443,#141409); #102395=LINE('',#568446,#141410); #102396=LINE('',#568448,#141411); #102397=LINE('',#568449,#141412); #102398=LINE('',#568452,#141413); #102399=LINE('',#568454,#141414); #102400=LINE('',#568455,#141415); #102401=LINE('',#568458,#141416); #102402=LINE('',#568460,#141417); #102403=LINE('',#568461,#141418); #102404=LINE('',#568464,#141419); #102405=LINE('',#568466,#141420); #102406=LINE('',#568467,#141421); #102407=LINE('',#568470,#141422); #102408=LINE('',#568472,#141423); #102409=LINE('',#568473,#141424); #102410=LINE('',#568476,#141425); #102411=LINE('',#568478,#141426); #102412=LINE('',#568479,#141427); #102413=LINE('',#568482,#141428); #102414=LINE('',#568484,#141429); #102415=LINE('',#568485,#141430); #102416=LINE('',#568488,#141431); #102417=LINE('',#568490,#141432); #102418=LINE('',#568491,#141433); #102419=LINE('',#568494,#141434); #102420=LINE('',#568496,#141435); #102421=LINE('',#568497,#141436); #102422=LINE('',#568500,#141437); #102423=LINE('',#568502,#141438); #102424=LINE('',#568503,#141439); #102425=LINE('',#568506,#141440); #102426=LINE('',#568508,#141441); #102427=LINE('',#568509,#141442); #102428=LINE('',#568512,#141443); #102429=LINE('',#568514,#141444); #102430=LINE('',#568515,#141445); #102431=LINE('',#568518,#141446); #102432=LINE('',#568520,#141447); #102433=LINE('',#568521,#141448); #102434=LINE('',#568524,#141449); #102435=LINE('',#568526,#141450); #102436=LINE('',#568527,#141451); #102437=LINE('',#568529,#141452); #102438=LINE('',#568530,#141453); #102439=LINE('',#568536,#141454); #102440=LINE('',#568538,#141455); #102441=LINE('',#568540,#141456); #102442=LINE('',#568541,#141457); #102443=LINE('',#568547,#141458); #102444=LINE('',#568550,#141459); #102445=LINE('',#568552,#141460); #102446=LINE('',#568553,#141461); #102447=LINE('',#568560,#141462); #102448=LINE('',#568562,#141463); #102449=LINE('',#568564,#141464); #102450=LINE('',#568565,#141465); #102451=LINE('',#568568,#141466); #102452=LINE('',#568570,#141467); #102453=LINE('',#568571,#141468); #102454=LINE('',#568574,#141469); #102455=LINE('',#568576,#141470); #102456=LINE('',#568577,#141471); #102457=LINE('',#568580,#141472); #102458=LINE('',#568582,#141473); #102459=LINE('',#568583,#141474); #102460=LINE('',#568586,#141475); #102461=LINE('',#568588,#141476); #102462=LINE('',#568589,#141477); #102463=LINE('',#568592,#141478); #102464=LINE('',#568594,#141479); #102465=LINE('',#568595,#141480); #102466=LINE('',#568598,#141481); #102467=LINE('',#568600,#141482); #102468=LINE('',#568601,#141483); #102469=LINE('',#568604,#141484); #102470=LINE('',#568606,#141485); #102471=LINE('',#568607,#141486); #102472=LINE('',#568610,#141487); #102473=LINE('',#568612,#141488); #102474=LINE('',#568613,#141489); #102475=LINE('',#568616,#141490); #102476=LINE('',#568618,#141491); #102477=LINE('',#568619,#141492); #102478=LINE('',#568622,#141493); #102479=LINE('',#568624,#141494); #102480=LINE('',#568625,#141495); #102481=LINE('',#568628,#141496); #102482=LINE('',#568630,#141497); #102483=LINE('',#568631,#141498); #102484=LINE('',#568634,#141499); #102485=LINE('',#568636,#141500); #102486=LINE('',#568637,#141501); #102487=LINE('',#568640,#141502); #102488=LINE('',#568642,#141503); #102489=LINE('',#568643,#141504); #102490=LINE('',#568646,#141505); #102491=LINE('',#568648,#141506); #102492=LINE('',#568649,#141507); #102493=LINE('',#568652,#141508); #102494=LINE('',#568654,#141509); #102495=LINE('',#568655,#141510); #102496=LINE('',#568658,#141511); #102497=LINE('',#568660,#141512); #102498=LINE('',#568661,#141513); #102499=LINE('',#568664,#141514); #102500=LINE('',#568666,#141515); #102501=LINE('',#568667,#141516); #102502=LINE('',#568670,#141517); #102503=LINE('',#568672,#141518); #102504=LINE('',#568673,#141519); #102505=LINE('',#568676,#141520); #102506=LINE('',#568678,#141521); #102507=LINE('',#568679,#141522); #102508=LINE('',#568682,#141523); #102509=LINE('',#568684,#141524); #102510=LINE('',#568685,#141525); #102511=LINE('',#568688,#141526); #102512=LINE('',#568690,#141527); #102513=LINE('',#568691,#141528); #102514=LINE('',#568694,#141529); #102515=LINE('',#568696,#141530); #102516=LINE('',#568697,#141531); #102517=LINE('',#568700,#141532); #102518=LINE('',#568702,#141533); #102519=LINE('',#568703,#141534); #102520=LINE('',#568706,#141535); #102521=LINE('',#568708,#141536); #102522=LINE('',#568709,#141537); #102523=LINE('',#568712,#141538); #102524=LINE('',#568714,#141539); #102525=LINE('',#568715,#141540); #102526=LINE('',#568718,#141541); #102527=LINE('',#568720,#141542); #102528=LINE('',#568721,#141543); #102529=LINE('',#568724,#141544); #102530=LINE('',#568726,#141545); #102531=LINE('',#568727,#141546); #102532=LINE('',#568730,#141547); #102533=LINE('',#568732,#141548); #102534=LINE('',#568733,#141549); #102535=LINE('',#568736,#141550); #102536=LINE('',#568738,#141551); #102537=LINE('',#568739,#141552); #102538=LINE('',#568742,#141553); #102539=LINE('',#568744,#141554); #102540=LINE('',#568745,#141555); #102541=LINE('',#568748,#141556); #102542=LINE('',#568750,#141557); #102543=LINE('',#568751,#141558); #102544=LINE('',#568754,#141559); #102545=LINE('',#568756,#141560); #102546=LINE('',#568757,#141561); #102547=LINE('',#568760,#141562); #102548=LINE('',#568762,#141563); #102549=LINE('',#568763,#141564); #102550=LINE('',#568766,#141565); #102551=LINE('',#568768,#141566); #102552=LINE('',#568769,#141567); #102553=LINE('',#568772,#141568); #102554=LINE('',#568774,#141569); #102555=LINE('',#568775,#141570); #102556=LINE('',#568778,#141571); #102557=LINE('',#568780,#141572); #102558=LINE('',#568781,#141573); #102559=LINE('',#568784,#141574); #102560=LINE('',#568786,#141575); #102561=LINE('',#568787,#141576); #102562=LINE('',#568790,#141577); #102563=LINE('',#568792,#141578); #102564=LINE('',#568793,#141579); #102565=LINE('',#568796,#141580); #102566=LINE('',#568798,#141581); #102567=LINE('',#568799,#141582); #102568=LINE('',#568802,#141583); #102569=LINE('',#568804,#141584); #102570=LINE('',#568805,#141585); #102571=LINE('',#568808,#141586); #102572=LINE('',#568810,#141587); #102573=LINE('',#568811,#141588); #102574=LINE('',#568814,#141589); #102575=LINE('',#568816,#141590); #102576=LINE('',#568817,#141591); #102577=LINE('',#568820,#141592); #102578=LINE('',#568822,#141593); #102579=LINE('',#568823,#141594); #102580=LINE('',#568826,#141595); #102581=LINE('',#568828,#141596); #102582=LINE('',#568829,#141597); #102583=LINE('',#568832,#141598); #102584=LINE('',#568834,#141599); #102585=LINE('',#568835,#141600); #102586=LINE('',#568838,#141601); #102587=LINE('',#568840,#141602); #102588=LINE('',#568841,#141603); #102589=LINE('',#568844,#141604); #102590=LINE('',#568846,#141605); #102591=LINE('',#568847,#141606); #102592=LINE('',#568850,#141607); #102593=LINE('',#568852,#141608); #102594=LINE('',#568853,#141609); #102595=LINE('',#568856,#141610); #102596=LINE('',#568858,#141611); #102597=LINE('',#568859,#141612); #102598=LINE('',#568862,#141613); #102599=LINE('',#568864,#141614); #102600=LINE('',#568865,#141615); #102601=LINE('',#568868,#141616); #102602=LINE('',#568870,#141617); #102603=LINE('',#568871,#141618); #102604=LINE('',#568874,#141619); #102605=LINE('',#568876,#141620); #102606=LINE('',#568877,#141621); #102607=LINE('',#568880,#141622); #102608=LINE('',#568882,#141623); #102609=LINE('',#568883,#141624); #102610=LINE('',#568886,#141625); #102611=LINE('',#568888,#141626); #102612=LINE('',#568889,#141627); #102613=LINE('',#568891,#141628); #102614=LINE('',#568892,#141629); #102615=LINE('',#568899,#141630); #102616=LINE('',#568904,#141631); #102617=LINE('',#568906,#141632); #102618=LINE('',#568908,#141633); #102619=LINE('',#568909,#141634); #102620=LINE('',#568912,#141635); #102621=LINE('',#568914,#141636); #102622=LINE('',#568915,#141637); #102623=LINE('',#568918,#141638); #102624=LINE('',#568920,#141639); #102625=LINE('',#568921,#141640); #102626=LINE('',#568923,#141641); #102627=LINE('',#568924,#141642); #102628=LINE('',#568930,#141643); #102629=LINE('',#568932,#141644); #102630=LINE('',#568934,#141645); #102631=LINE('',#568935,#141646); #102632=LINE('',#568941,#141647); #102633=LINE('',#568944,#141648); #102634=LINE('',#568946,#141649); #102635=LINE('',#568947,#141650); #102636=LINE('',#568954,#141651); #102637=LINE('',#568956,#141652); #102638=LINE('',#568958,#141653); #102639=LINE('',#568959,#141654); #102640=LINE('',#568962,#141655); #102641=LINE('',#568964,#141656); #102642=LINE('',#568965,#141657); #102643=LINE('',#568968,#141658); #102644=LINE('',#568970,#141659); #102645=LINE('',#568971,#141660); #102646=LINE('',#568974,#141661); #102647=LINE('',#568976,#141662); #102648=LINE('',#568977,#141663); #102649=LINE('',#568980,#141664); #102650=LINE('',#568982,#141665); #102651=LINE('',#568983,#141666); #102652=LINE('',#568986,#141667); #102653=LINE('',#568988,#141668); #102654=LINE('',#568989,#141669); #102655=LINE('',#568992,#141670); #102656=LINE('',#568994,#141671); #102657=LINE('',#568995,#141672); #102658=LINE('',#568998,#141673); #102659=LINE('',#569000,#141674); #102660=LINE('',#569001,#141675); #102661=LINE('',#569004,#141676); #102662=LINE('',#569006,#141677); #102663=LINE('',#569007,#141678); #102664=LINE('',#569010,#141679); #102665=LINE('',#569012,#141680); #102666=LINE('',#569013,#141681); #102667=LINE('',#569016,#141682); #102668=LINE('',#569018,#141683); #102669=LINE('',#569019,#141684); #102670=LINE('',#569022,#141685); #102671=LINE('',#569024,#141686); #102672=LINE('',#569025,#141687); #102673=LINE('',#569028,#141688); #102674=LINE('',#569030,#141689); #102675=LINE('',#569031,#141690); #102676=LINE('',#569034,#141691); #102677=LINE('',#569036,#141692); #102678=LINE('',#569037,#141693); #102679=LINE('',#569040,#141694); #102680=LINE('',#569042,#141695); #102681=LINE('',#569043,#141696); #102682=LINE('',#569046,#141697); #102683=LINE('',#569048,#141698); #102684=LINE('',#569049,#141699); #102685=LINE('',#569052,#141700); #102686=LINE('',#569054,#141701); #102687=LINE('',#569055,#141702); #102688=LINE('',#569058,#141703); #102689=LINE('',#569060,#141704); #102690=LINE('',#569061,#141705); #102691=LINE('',#569064,#141706); #102692=LINE('',#569066,#141707); #102693=LINE('',#569067,#141708); #102694=LINE('',#569070,#141709); #102695=LINE('',#569072,#141710); #102696=LINE('',#569073,#141711); #102697=LINE('',#569076,#141712); #102698=LINE('',#569078,#141713); #102699=LINE('',#569079,#141714); #102700=LINE('',#569082,#141715); #102701=LINE('',#569084,#141716); #102702=LINE('',#569085,#141717); #102703=LINE('',#569088,#141718); #102704=LINE('',#569090,#141719); #102705=LINE('',#569091,#141720); #102706=LINE('',#569094,#141721); #102707=LINE('',#569096,#141722); #102708=LINE('',#569097,#141723); #102709=LINE('',#569100,#141724); #102710=LINE('',#569102,#141725); #102711=LINE('',#569103,#141726); #102712=LINE('',#569106,#141727); #102713=LINE('',#569108,#141728); #102714=LINE('',#569109,#141729); #102715=LINE('',#569112,#141730); #102716=LINE('',#569114,#141731); #102717=LINE('',#569115,#141732); #102718=LINE('',#569118,#141733); #102719=LINE('',#569120,#141734); #102720=LINE('',#569121,#141735); #102721=LINE('',#569124,#141736); #102722=LINE('',#569126,#141737); #102723=LINE('',#569127,#141738); #102724=LINE('',#569130,#141739); #102725=LINE('',#569132,#141740); #102726=LINE('',#569133,#141741); #102727=LINE('',#569136,#141742); #102728=LINE('',#569138,#141743); #102729=LINE('',#569139,#141744); #102730=LINE('',#569142,#141745); #102731=LINE('',#569144,#141746); #102732=LINE('',#569145,#141747); #102733=LINE('',#569148,#141748); #102734=LINE('',#569150,#141749); #102735=LINE('',#569151,#141750); #102736=LINE('',#569154,#141751); #102737=LINE('',#569156,#141752); #102738=LINE('',#569157,#141753); #102739=LINE('',#569160,#141754); #102740=LINE('',#569162,#141755); #102741=LINE('',#569163,#141756); #102742=LINE('',#569166,#141757); #102743=LINE('',#569168,#141758); #102744=LINE('',#569169,#141759); #102745=LINE('',#569172,#141760); #102746=LINE('',#569174,#141761); #102747=LINE('',#569175,#141762); #102748=LINE('',#569178,#141763); #102749=LINE('',#569180,#141764); #102750=LINE('',#569181,#141765); #102751=LINE('',#569184,#141766); #102752=LINE('',#569186,#141767); #102753=LINE('',#569187,#141768); #102754=LINE('',#569190,#141769); #102755=LINE('',#569192,#141770); #102756=LINE('',#569193,#141771); #102757=LINE('',#569196,#141772); #102758=LINE('',#569198,#141773); #102759=LINE('',#569199,#141774); #102760=LINE('',#569202,#141775); #102761=LINE('',#569204,#141776); #102762=LINE('',#569205,#141777); #102763=LINE('',#569208,#141778); #102764=LINE('',#569210,#141779); #102765=LINE('',#569211,#141780); #102766=LINE('',#569214,#141781); #102767=LINE('',#569216,#141782); #102768=LINE('',#569217,#141783); #102769=LINE('',#569220,#141784); #102770=LINE('',#569222,#141785); #102771=LINE('',#569223,#141786); #102772=LINE('',#569226,#141787); #102773=LINE('',#569228,#141788); #102774=LINE('',#569229,#141789); #102775=LINE('',#569232,#141790); #102776=LINE('',#569234,#141791); #102777=LINE('',#569235,#141792); #102778=LINE('',#569238,#141793); #102779=LINE('',#569240,#141794); #102780=LINE('',#569241,#141795); #102781=LINE('',#569244,#141796); #102782=LINE('',#569246,#141797); #102783=LINE('',#569247,#141798); #102784=LINE('',#569250,#141799); #102785=LINE('',#569252,#141800); #102786=LINE('',#569253,#141801); #102787=LINE('',#569256,#141802); #102788=LINE('',#569258,#141803); #102789=LINE('',#569259,#141804); #102790=LINE('',#569262,#141805); #102791=LINE('',#569264,#141806); #102792=LINE('',#569265,#141807); #102793=LINE('',#569268,#141808); #102794=LINE('',#569270,#141809); #102795=LINE('',#569271,#141810); #102796=LINE('',#569274,#141811); #102797=LINE('',#569276,#141812); #102798=LINE('',#569277,#141813); #102799=LINE('',#569280,#141814); #102800=LINE('',#569282,#141815); #102801=LINE('',#569283,#141816); #102802=LINE('',#569285,#141817); #102803=LINE('',#569286,#141818); #102804=LINE('',#569292,#141819); #102805=LINE('',#569294,#141820); #102806=LINE('',#569296,#141821); #102807=LINE('',#569297,#141822); #102808=LINE('',#569303,#141823); #102809=LINE('',#569306,#141824); #102810=LINE('',#569308,#141825); #102811=LINE('',#569309,#141826); #102812=LINE('',#569316,#141827); #102813=LINE('',#569318,#141828); #102814=LINE('',#569320,#141829); #102815=LINE('',#569321,#141830); #102816=LINE('',#569324,#141831); #102817=LINE('',#569326,#141832); #102818=LINE('',#569327,#141833); #102819=LINE('',#569330,#141834); #102820=LINE('',#569332,#141835); #102821=LINE('',#569333,#141836); #102822=LINE('',#569336,#141837); #102823=LINE('',#569338,#141838); #102824=LINE('',#569339,#141839); #102825=LINE('',#569342,#141840); #102826=LINE('',#569344,#141841); #102827=LINE('',#569345,#141842); #102828=LINE('',#569348,#141843); #102829=LINE('',#569350,#141844); #102830=LINE('',#569351,#141845); #102831=LINE('',#569354,#141846); #102832=LINE('',#569356,#141847); #102833=LINE('',#569357,#141848); #102834=LINE('',#569360,#141849); #102835=LINE('',#569362,#141850); #102836=LINE('',#569363,#141851); #102837=LINE('',#569366,#141852); #102838=LINE('',#569368,#141853); #102839=LINE('',#569369,#141854); #102840=LINE('',#569372,#141855); #102841=LINE('',#569374,#141856); #102842=LINE('',#569375,#141857); #102843=LINE('',#569378,#141858); #102844=LINE('',#569380,#141859); #102845=LINE('',#569381,#141860); #102846=LINE('',#569384,#141861); #102847=LINE('',#569386,#141862); #102848=LINE('',#569387,#141863); #102849=LINE('',#569390,#141864); #102850=LINE('',#569392,#141865); #102851=LINE('',#569393,#141866); #102852=LINE('',#569396,#141867); #102853=LINE('',#569398,#141868); #102854=LINE('',#569399,#141869); #102855=LINE('',#569402,#141870); #102856=LINE('',#569404,#141871); #102857=LINE('',#569405,#141872); #102858=LINE('',#569408,#141873); #102859=LINE('',#569410,#141874); #102860=LINE('',#569411,#141875); #102861=LINE('',#569414,#141876); #102862=LINE('',#569416,#141877); #102863=LINE('',#569417,#141878); #102864=LINE('',#569420,#141879); #102865=LINE('',#569422,#141880); #102866=LINE('',#569423,#141881); #102867=LINE('',#569426,#141882); #102868=LINE('',#569428,#141883); #102869=LINE('',#569429,#141884); #102870=LINE('',#569432,#141885); #102871=LINE('',#569434,#141886); #102872=LINE('',#569435,#141887); #102873=LINE('',#569438,#141888); #102874=LINE('',#569440,#141889); #102875=LINE('',#569441,#141890); #102876=LINE('',#569444,#141891); #102877=LINE('',#569446,#141892); #102878=LINE('',#569447,#141893); #102879=LINE('',#569450,#141894); #102880=LINE('',#569452,#141895); #102881=LINE('',#569453,#141896); #102882=LINE('',#569456,#141897); #102883=LINE('',#569458,#141898); #102884=LINE('',#569459,#141899); #102885=LINE('',#569462,#141900); #102886=LINE('',#569464,#141901); #102887=LINE('',#569465,#141902); #102888=LINE('',#569468,#141903); #102889=LINE('',#569470,#141904); #102890=LINE('',#569471,#141905); #102891=LINE('',#569474,#141906); #102892=LINE('',#569476,#141907); #102893=LINE('',#569477,#141908); #102894=LINE('',#569480,#141909); #102895=LINE('',#569482,#141910); #102896=LINE('',#569483,#141911); #102897=LINE('',#569486,#141912); #102898=LINE('',#569488,#141913); #102899=LINE('',#569489,#141914); #102900=LINE('',#569492,#141915); #102901=LINE('',#569494,#141916); #102902=LINE('',#569495,#141917); #102903=LINE('',#569498,#141918); #102904=LINE('',#569500,#141919); #102905=LINE('',#569501,#141920); #102906=LINE('',#569504,#141921); #102907=LINE('',#569506,#141922); #102908=LINE('',#569507,#141923); #102909=LINE('',#569510,#141924); #102910=LINE('',#569512,#141925); #102911=LINE('',#569513,#141926); #102912=LINE('',#569516,#141927); #102913=LINE('',#569518,#141928); #102914=LINE('',#569519,#141929); #102915=LINE('',#569522,#141930); #102916=LINE('',#569524,#141931); #102917=LINE('',#569525,#141932); #102918=LINE('',#569528,#141933); #102919=LINE('',#569530,#141934); #102920=LINE('',#569531,#141935); #102921=LINE('',#569534,#141936); #102922=LINE('',#569536,#141937); #102923=LINE('',#569537,#141938); #102924=LINE('',#569540,#141939); #102925=LINE('',#569542,#141940); #102926=LINE('',#569543,#141941); #102927=LINE('',#569546,#141942); #102928=LINE('',#569548,#141943); #102929=LINE('',#569549,#141944); #102930=LINE('',#569552,#141945); #102931=LINE('',#569554,#141946); #102932=LINE('',#569555,#141947); #102933=LINE('',#569558,#141948); #102934=LINE('',#569560,#141949); #102935=LINE('',#569561,#141950); #102936=LINE('',#569564,#141951); #102937=LINE('',#569566,#141952); #102938=LINE('',#569567,#141953); #102939=LINE('',#569570,#141954); #102940=LINE('',#569572,#141955); #102941=LINE('',#569573,#141956); #102942=LINE('',#569576,#141957); #102943=LINE('',#569578,#141958); #102944=LINE('',#569579,#141959); #102945=LINE('',#569582,#141960); #102946=LINE('',#569584,#141961); #102947=LINE('',#569585,#141962); #102948=LINE('',#569588,#141963); #102949=LINE('',#569590,#141964); #102950=LINE('',#569591,#141965); #102951=LINE('',#569594,#141966); #102952=LINE('',#569596,#141967); #102953=LINE('',#569597,#141968); #102954=LINE('',#569600,#141969); #102955=LINE('',#569602,#141970); #102956=LINE('',#569603,#141971); #102957=LINE('',#569606,#141972); #102958=LINE('',#569608,#141973); #102959=LINE('',#569609,#141974); #102960=LINE('',#569612,#141975); #102961=LINE('',#569614,#141976); #102962=LINE('',#569615,#141977); #102963=LINE('',#569618,#141978); #102964=LINE('',#569620,#141979); #102965=LINE('',#569621,#141980); #102966=LINE('',#569624,#141981); #102967=LINE('',#569626,#141982); #102968=LINE('',#569627,#141983); #102969=LINE('',#569630,#141984); #102970=LINE('',#569632,#141985); #102971=LINE('',#569633,#141986); #102972=LINE('',#569636,#141987); #102973=LINE('',#569638,#141988); #102974=LINE('',#569639,#141989); #102975=LINE('',#569642,#141990); #102976=LINE('',#569644,#141991); #102977=LINE('',#569645,#141992); #102978=LINE('',#569648,#141993); #102979=LINE('',#569650,#141994); #102980=LINE('',#569651,#141995); #102981=LINE('',#569654,#141996); #102982=LINE('',#569656,#141997); #102983=LINE('',#569657,#141998); #102984=LINE('',#569660,#141999); #102985=LINE('',#569662,#142000); #102986=LINE('',#569663,#142001); #102987=LINE('',#569666,#142002); #102988=LINE('',#569668,#142003); #102989=LINE('',#569669,#142004); #102990=LINE('',#569672,#142005); #102991=LINE('',#569674,#142006); #102992=LINE('',#569675,#142007); #102993=LINE('',#569678,#142008); #102994=LINE('',#569680,#142009); #102995=LINE('',#569681,#142010); #102996=LINE('',#569684,#142011); #102997=LINE('',#569686,#142012); #102998=LINE('',#569687,#142013); #102999=LINE('',#569690,#142014); #103000=LINE('',#569692,#142015); #103001=LINE('',#569693,#142016); #103002=LINE('',#569696,#142017); #103003=LINE('',#569698,#142018); #103004=LINE('',#569699,#142019); #103005=LINE('',#569702,#142020); #103006=LINE('',#569704,#142021); #103007=LINE('',#569705,#142022); #103008=LINE('',#569708,#142023); #103009=LINE('',#569710,#142024); #103010=LINE('',#569711,#142025); #103011=LINE('',#569714,#142026); #103012=LINE('',#569716,#142027); #103013=LINE('',#569717,#142028); #103014=LINE('',#569720,#142029); #103015=LINE('',#569722,#142030); #103016=LINE('',#569723,#142031); #103017=LINE('',#569726,#142032); #103018=LINE('',#569728,#142033); #103019=LINE('',#569729,#142034); #103020=LINE('',#569732,#142035); #103021=LINE('',#569734,#142036); #103022=LINE('',#569735,#142037); #103023=LINE('',#569738,#142038); #103024=LINE('',#569740,#142039); #103025=LINE('',#569741,#142040); #103026=LINE('',#569743,#142041); #103027=LINE('',#569744,#142042); #103028=LINE('',#569751,#142043); #103029=LINE('',#569756,#142044); #103030=LINE('',#569758,#142045); #103031=LINE('',#569760,#142046); #103032=LINE('',#569761,#142047); #103033=LINE('',#569767,#142048); #103034=LINE('',#569777,#142049); #103035=LINE('',#569782,#142050); #103036=LINE('',#569784,#142051); #103037=LINE('',#569786,#142052); #103038=LINE('',#569787,#142053); #103039=LINE('',#569793,#142054); #103040=LINE('',#569802,#142055); #103041=LINE('',#569804,#142056); #103042=LINE('',#569806,#142057); #103043=LINE('',#569807,#142058); #103044=LINE('',#569813,#142059); #103045=LINE('',#569816,#142060); #103046=LINE('',#569818,#142061); #103047=LINE('',#569819,#142062); #103048=LINE('',#569826,#142063); #103049=LINE('',#569828,#142064); #103050=LINE('',#569830,#142065); #103051=LINE('',#569831,#142066); #103052=LINE('',#569834,#142067); #103053=LINE('',#569836,#142068); #103054=LINE('',#569837,#142069); #103055=LINE('',#569840,#142070); #103056=LINE('',#569842,#142071); #103057=LINE('',#569843,#142072); #103058=LINE('',#569846,#142073); #103059=LINE('',#569848,#142074); #103060=LINE('',#569849,#142075); #103061=LINE('',#569852,#142076); #103062=LINE('',#569854,#142077); #103063=LINE('',#569855,#142078); #103064=LINE('',#569858,#142079); #103065=LINE('',#569860,#142080); #103066=LINE('',#569861,#142081); #103067=LINE('',#569864,#142082); #103068=LINE('',#569866,#142083); #103069=LINE('',#569867,#142084); #103070=LINE('',#569870,#142085); #103071=LINE('',#569872,#142086); #103072=LINE('',#569873,#142087); #103073=LINE('',#569876,#142088); #103074=LINE('',#569878,#142089); #103075=LINE('',#569879,#142090); #103076=LINE('',#569882,#142091); #103077=LINE('',#569884,#142092); #103078=LINE('',#569885,#142093); #103079=LINE('',#569888,#142094); #103080=LINE('',#569890,#142095); #103081=LINE('',#569891,#142096); #103082=LINE('',#569894,#142097); #103083=LINE('',#569896,#142098); #103084=LINE('',#569897,#142099); #103085=LINE('',#569900,#142100); #103086=LINE('',#569902,#142101); #103087=LINE('',#569903,#142102); #103088=LINE('',#569906,#142103); #103089=LINE('',#569908,#142104); #103090=LINE('',#569909,#142105); #103091=LINE('',#569912,#142106); #103092=LINE('',#569914,#142107); #103093=LINE('',#569915,#142108); #103094=LINE('',#569918,#142109); #103095=LINE('',#569920,#142110); #103096=LINE('',#569921,#142111); #103097=LINE('',#569924,#142112); #103098=LINE('',#569926,#142113); #103099=LINE('',#569927,#142114); #103100=LINE('',#569930,#142115); #103101=LINE('',#569932,#142116); #103102=LINE('',#569933,#142117); #103103=LINE('',#569936,#142118); #103104=LINE('',#569938,#142119); #103105=LINE('',#569939,#142120); #103106=LINE('',#569942,#142121); #103107=LINE('',#569944,#142122); #103108=LINE('',#569945,#142123); #103109=LINE('',#569948,#142124); #103110=LINE('',#569950,#142125); #103111=LINE('',#569951,#142126); #103112=LINE('',#569954,#142127); #103113=LINE('',#569956,#142128); #103114=LINE('',#569957,#142129); #103115=LINE('',#569960,#142130); #103116=LINE('',#569962,#142131); #103117=LINE('',#569963,#142132); #103118=LINE('',#569966,#142133); #103119=LINE('',#569968,#142134); #103120=LINE('',#569969,#142135); #103121=LINE('',#569972,#142136); #103122=LINE('',#569974,#142137); #103123=LINE('',#569975,#142138); #103124=LINE('',#569978,#142139); #103125=LINE('',#569980,#142140); #103126=LINE('',#569981,#142141); #103127=LINE('',#569984,#142142); #103128=LINE('',#569986,#142143); #103129=LINE('',#569987,#142144); #103130=LINE('',#569990,#142145); #103131=LINE('',#569992,#142146); #103132=LINE('',#569993,#142147); #103133=LINE('',#569996,#142148); #103134=LINE('',#569998,#142149); #103135=LINE('',#569999,#142150); #103136=LINE('',#570002,#142151); #103137=LINE('',#570004,#142152); #103138=LINE('',#570005,#142153); #103139=LINE('',#570008,#142154); #103140=LINE('',#570010,#142155); #103141=LINE('',#570011,#142156); #103142=LINE('',#570014,#142157); #103143=LINE('',#570016,#142158); #103144=LINE('',#570017,#142159); #103145=LINE('',#570020,#142160); #103146=LINE('',#570022,#142161); #103147=LINE('',#570023,#142162); #103148=LINE('',#570026,#142163); #103149=LINE('',#570028,#142164); #103150=LINE('',#570029,#142165); #103151=LINE('',#570032,#142166); #103152=LINE('',#570034,#142167); #103153=LINE('',#570035,#142168); #103154=LINE('',#570038,#142169); #103155=LINE('',#570040,#142170); #103156=LINE('',#570041,#142171); #103157=LINE('',#570044,#142172); #103158=LINE('',#570046,#142173); #103159=LINE('',#570047,#142174); #103160=LINE('',#570050,#142175); #103161=LINE('',#570052,#142176); #103162=LINE('',#570053,#142177); #103163=LINE('',#570056,#142178); #103164=LINE('',#570058,#142179); #103165=LINE('',#570059,#142180); #103166=LINE('',#570062,#142181); #103167=LINE('',#570064,#142182); #103168=LINE('',#570065,#142183); #103169=LINE('',#570068,#142184); #103170=LINE('',#570070,#142185); #103171=LINE('',#570071,#142186); #103172=LINE('',#570074,#142187); #103173=LINE('',#570076,#142188); #103174=LINE('',#570077,#142189); #103175=LINE('',#570080,#142190); #103176=LINE('',#570082,#142191); #103177=LINE('',#570083,#142192); #103178=LINE('',#570086,#142193); #103179=LINE('',#570088,#142194); #103180=LINE('',#570089,#142195); #103181=LINE('',#570092,#142196); #103182=LINE('',#570094,#142197); #103183=LINE('',#570095,#142198); #103184=LINE('',#570098,#142199); #103185=LINE('',#570100,#142200); #103186=LINE('',#570101,#142201); #103187=LINE('',#570104,#142202); #103188=LINE('',#570106,#142203); #103189=LINE('',#570107,#142204); #103190=LINE('',#570110,#142205); #103191=LINE('',#570112,#142206); #103192=LINE('',#570113,#142207); #103193=LINE('',#570116,#142208); #103194=LINE('',#570118,#142209); #103195=LINE('',#570119,#142210); #103196=LINE('',#570122,#142211); #103197=LINE('',#570124,#142212); #103198=LINE('',#570125,#142213); #103199=LINE('',#570128,#142214); #103200=LINE('',#570130,#142215); #103201=LINE('',#570131,#142216); #103202=LINE('',#570134,#142217); #103203=LINE('',#570136,#142218); #103204=LINE('',#570137,#142219); #103205=LINE('',#570140,#142220); #103206=LINE('',#570142,#142221); #103207=LINE('',#570143,#142222); #103208=LINE('',#570146,#142223); #103209=LINE('',#570148,#142224); #103210=LINE('',#570149,#142225); #103211=LINE('',#570152,#142226); #103212=LINE('',#570154,#142227); #103213=LINE('',#570155,#142228); #103214=LINE('',#570157,#142229); #103215=LINE('',#570158,#142230); #103216=LINE('',#570165,#142231); #103217=LINE('',#570170,#142232); #103218=LINE('',#570172,#142233); #103219=LINE('',#570174,#142234); #103220=LINE('',#570175,#142235); #103221=LINE('',#570181,#142236); #103222=LINE('',#570191,#142237); #103223=LINE('',#570196,#142238); #103224=LINE('',#570198,#142239); #103225=LINE('',#570200,#142240); #103226=LINE('',#570201,#142241); #103227=LINE('',#570207,#142242); #103228=LINE('',#570217,#142243); #103229=LINE('',#570222,#142244); #103230=LINE('',#570224,#142245); #103231=LINE('',#570226,#142246); #103232=LINE('',#570227,#142247); #103233=LINE('',#570233,#142248); #103234=LINE('',#570243,#142249); #103235=LINE('',#570248,#142250); #103236=LINE('',#570250,#142251); #103237=LINE('',#570252,#142252); #103238=LINE('',#570253,#142253); #103239=LINE('',#570256,#142254); #103240=LINE('',#570258,#142255); #103241=LINE('',#570259,#142256); #103242=LINE('',#570262,#142257); #103243=LINE('',#570264,#142258); #103244=LINE('',#570265,#142259); #103245=LINE('',#570267,#142260); #103246=LINE('',#570268,#142261); #103247=LINE('',#570275,#142262); #103248=LINE('',#570280,#142263); #103249=LINE('',#570282,#142264); #103250=LINE('',#570284,#142265); #103251=LINE('',#570285,#142266); #103252=LINE('',#570291,#142267); #103253=LINE('',#570300,#142268); #103254=LINE('',#570302,#142269); #103255=LINE('',#570304,#142270); #103256=LINE('',#570305,#142271); #103257=LINE('',#570308,#142272); #103258=LINE('',#570310,#142273); #103259=LINE('',#570311,#142274); #103260=LINE('',#570314,#142275); #103261=LINE('',#570316,#142276); #103262=LINE('',#570317,#142277); #103263=LINE('',#570319,#142278); #103264=LINE('',#570320,#142279); #103265=LINE('',#570326,#142280); #103266=LINE('',#570328,#142281); #103267=LINE('',#570330,#142282); #103268=LINE('',#570331,#142283); #103269=LINE('',#570334,#142284); #103270=LINE('',#570336,#142285); #103271=LINE('',#570337,#142286); #103272=LINE('',#570340,#142287); #103273=LINE('',#570342,#142288); #103274=LINE('',#570343,#142289); #103275=LINE('',#570345,#142290); #103276=LINE('',#570346,#142291); #103277=LINE('',#570352,#142292); #103278=LINE('',#570354,#142293); #103279=LINE('',#570356,#142294); #103280=LINE('',#570357,#142295); #103281=LINE('',#570360,#142296); #103282=LINE('',#570362,#142297); #103283=LINE('',#570363,#142298); #103284=LINE('',#570366,#142299); #103285=LINE('',#570368,#142300); #103286=LINE('',#570369,#142301); #103287=LINE('',#570371,#142302); #103288=LINE('',#570372,#142303); #103289=LINE('',#570378,#142304); #103290=LINE('',#570380,#142305); #103291=LINE('',#570382,#142306); #103292=LINE('',#570383,#142307); #103293=LINE('',#570386,#142308); #103294=LINE('',#570388,#142309); #103295=LINE('',#570389,#142310); #103296=LINE('',#570392,#142311); #103297=LINE('',#570394,#142312); #103298=LINE('',#570395,#142313); #103299=LINE('',#570397,#142314); #103300=LINE('',#570398,#142315); #103301=LINE('',#570404,#142316); #103302=LINE('',#570406,#142317); #103303=LINE('',#570408,#142318); #103304=LINE('',#570409,#142319); #103305=LINE('',#570412,#142320); #103306=LINE('',#570414,#142321); #103307=LINE('',#570415,#142322); #103308=LINE('',#570418,#142323); #103309=LINE('',#570420,#142324); #103310=LINE('',#570421,#142325); #103311=LINE('',#570423,#142326); #103312=LINE('',#570424,#142327); #103313=LINE('',#570430,#142328); #103314=LINE('',#570432,#142329); #103315=LINE('',#570434,#142330); #103316=LINE('',#570435,#142331); #103317=LINE('',#570438,#142332); #103318=LINE('',#570440,#142333); #103319=LINE('',#570441,#142334); #103320=LINE('',#570444,#142335); #103321=LINE('',#570446,#142336); #103322=LINE('',#570447,#142337); #103323=LINE('',#570449,#142338); #103324=LINE('',#570450,#142339); #103325=LINE('',#570456,#142340); #103326=LINE('',#570458,#142341); #103327=LINE('',#570460,#142342); #103328=LINE('',#570461,#142343); #103329=LINE('',#570464,#142344); #103330=LINE('',#570466,#142345); #103331=LINE('',#570467,#142346); #103332=LINE('',#570470,#142347); #103333=LINE('',#570472,#142348); #103334=LINE('',#570473,#142349); #103335=LINE('',#570475,#142350); #103336=LINE('',#570476,#142351); #103337=LINE('',#570482,#142352); #103338=LINE('',#570484,#142353); #103339=LINE('',#570486,#142354); #103340=LINE('',#570487,#142355); #103341=LINE('',#570490,#142356); #103342=LINE('',#570492,#142357); #103343=LINE('',#570493,#142358); #103344=LINE('',#570496,#142359); #103345=LINE('',#570498,#142360); #103346=LINE('',#570499,#142361); #103347=LINE('',#570501,#142362); #103348=LINE('',#570502,#142363); #103349=LINE('',#570508,#142364); #103350=LINE('',#570510,#142365); #103351=LINE('',#570512,#142366); #103352=LINE('',#570513,#142367); #103353=LINE('',#570516,#142368); #103354=LINE('',#570518,#142369); #103355=LINE('',#570519,#142370); #103356=LINE('',#570522,#142371); #103357=LINE('',#570524,#142372); #103358=LINE('',#570525,#142373); #103359=LINE('',#570527,#142374); #103360=LINE('',#570528,#142375); #103361=LINE('',#570534,#142376); #103362=LINE('',#570536,#142377); #103363=LINE('',#570538,#142378); #103364=LINE('',#570539,#142379); #103365=LINE('',#570542,#142380); #103366=LINE('',#570544,#142381); #103367=LINE('',#570545,#142382); #103368=LINE('',#570548,#142383); #103369=LINE('',#570550,#142384); #103370=LINE('',#570551,#142385); #103371=LINE('',#570553,#142386); #103372=LINE('',#570554,#142387); #103373=LINE('',#570560,#142388); #103374=LINE('',#570562,#142389); #103375=LINE('',#570564,#142390); #103376=LINE('',#570565,#142391); #103377=LINE('',#570568,#142392); #103378=LINE('',#570570,#142393); #103379=LINE('',#570571,#142394); #103380=LINE('',#570574,#142395); #103381=LINE('',#570576,#142396); #103382=LINE('',#570577,#142397); #103383=LINE('',#570579,#142398); #103384=LINE('',#570580,#142399); #103385=LINE('',#570586,#142400); #103386=LINE('',#570588,#142401); #103387=LINE('',#570590,#142402); #103388=LINE('',#570591,#142403); #103389=LINE('',#570594,#142404); #103390=LINE('',#570596,#142405); #103391=LINE('',#570597,#142406); #103392=LINE('',#570600,#142407); #103393=LINE('',#570602,#142408); #103394=LINE('',#570603,#142409); #103395=LINE('',#570605,#142410); #103396=LINE('',#570606,#142411); #103397=LINE('',#570612,#142412); #103398=LINE('',#570614,#142413); #103399=LINE('',#570616,#142414); #103400=LINE('',#570617,#142415); #103401=LINE('',#570620,#142416); #103402=LINE('',#570622,#142417); #103403=LINE('',#570623,#142418); #103404=LINE('',#570626,#142419); #103405=LINE('',#570628,#142420); #103406=LINE('',#570629,#142421); #103407=LINE('',#570631,#142422); #103408=LINE('',#570632,#142423); #103409=LINE('',#570638,#142424); #103410=LINE('',#570640,#142425); #103411=LINE('',#570642,#142426); #103412=LINE('',#570643,#142427); #103413=LINE('',#570646,#142428); #103414=LINE('',#570648,#142429); #103415=LINE('',#570649,#142430); #103416=LINE('',#570652,#142431); #103417=LINE('',#570654,#142432); #103418=LINE('',#570655,#142433); #103419=LINE('',#570657,#142434); #103420=LINE('',#570658,#142435); #103421=LINE('',#570664,#142436); #103422=LINE('',#570666,#142437); #103423=LINE('',#570668,#142438); #103424=LINE('',#570669,#142439); #103425=LINE('',#570672,#142440); #103426=LINE('',#570674,#142441); #103427=LINE('',#570675,#142442); #103428=LINE('',#570678,#142443); #103429=LINE('',#570680,#142444); #103430=LINE('',#570681,#142445); #103431=LINE('',#570683,#142446); #103432=LINE('',#570684,#142447); #103433=LINE('',#570690,#142448); #103434=LINE('',#570692,#142449); #103435=LINE('',#570694,#142450); #103436=LINE('',#570695,#142451); #103437=LINE('',#570698,#142452); #103438=LINE('',#570700,#142453); #103439=LINE('',#570701,#142454); #103440=LINE('',#570704,#142455); #103441=LINE('',#570706,#142456); #103442=LINE('',#570707,#142457); #103443=LINE('',#570709,#142458); #103444=LINE('',#570710,#142459); #103445=LINE('',#570716,#142460); #103446=LINE('',#570718,#142461); #103447=LINE('',#570720,#142462); #103448=LINE('',#570721,#142463); #103449=LINE('',#570724,#142464); #103450=LINE('',#570726,#142465); #103451=LINE('',#570727,#142466); #103452=LINE('',#570730,#142467); #103453=LINE('',#570732,#142468); #103454=LINE('',#570733,#142469); #103455=LINE('',#570735,#142470); #103456=LINE('',#570736,#142471); #103457=LINE('',#570742,#142472); #103458=LINE('',#570744,#142473); #103459=LINE('',#570746,#142474); #103460=LINE('',#570747,#142475); #103461=LINE('',#570750,#142476); #103462=LINE('',#570752,#142477); #103463=LINE('',#570753,#142478); #103464=LINE('',#570756,#142479); #103465=LINE('',#570758,#142480); #103466=LINE('',#570759,#142481); #103467=LINE('',#570761,#142482); #103468=LINE('',#570762,#142483); #103469=LINE('',#570768,#142484); #103470=LINE('',#570770,#142485); #103471=LINE('',#570772,#142486); #103472=LINE('',#570773,#142487); #103473=LINE('',#570776,#142488); #103474=LINE('',#570778,#142489); #103475=LINE('',#570779,#142490); #103476=LINE('',#570782,#142491); #103477=LINE('',#570784,#142492); #103478=LINE('',#570785,#142493); #103479=LINE('',#570787,#142494); #103480=LINE('',#570788,#142495); #103481=LINE('',#570794,#142496); #103482=LINE('',#570796,#142497); #103483=LINE('',#570798,#142498); #103484=LINE('',#570799,#142499); #103485=LINE('',#570802,#142500); #103486=LINE('',#570804,#142501); #103487=LINE('',#570805,#142502); #103488=LINE('',#570808,#142503); #103489=LINE('',#570810,#142504); #103490=LINE('',#570811,#142505); #103491=LINE('',#570813,#142506); #103492=LINE('',#570814,#142507); #103493=LINE('',#570820,#142508); #103494=LINE('',#570822,#142509); #103495=LINE('',#570824,#142510); #103496=LINE('',#570825,#142511); #103497=LINE('',#570828,#142512); #103498=LINE('',#570830,#142513); #103499=LINE('',#570831,#142514); #103500=LINE('',#570834,#142515); #103501=LINE('',#570836,#142516); #103502=LINE('',#570837,#142517); #103503=LINE('',#570839,#142518); #103504=LINE('',#570840,#142519); #103505=LINE('',#570846,#142520); #103506=LINE('',#570848,#142521); #103507=LINE('',#570850,#142522); #103508=LINE('',#570851,#142523); #103509=LINE('',#570854,#142524); #103510=LINE('',#570856,#142525); #103511=LINE('',#570857,#142526); #103512=LINE('',#570860,#142527); #103513=LINE('',#570862,#142528); #103514=LINE('',#570863,#142529); #103515=LINE('',#570865,#142530); #103516=LINE('',#570866,#142531); #103517=LINE('',#570872,#142532); #103518=LINE('',#570874,#142533); #103519=LINE('',#570876,#142534); #103520=LINE('',#570877,#142535); #103521=LINE('',#570880,#142536); #103522=LINE('',#570882,#142537); #103523=LINE('',#570883,#142538); #103524=LINE('',#570886,#142539); #103525=LINE('',#570888,#142540); #103526=LINE('',#570889,#142541); #103527=LINE('',#570891,#142542); #103528=LINE('',#570892,#142543); #103529=LINE('',#570899,#142544); #103530=LINE('',#570905,#142545); #103531=LINE('',#570910,#142546); #103532=LINE('',#570912,#142547); #103533=LINE('',#570914,#142548); #103534=LINE('',#570915,#142549); #103535=LINE('',#570918,#142550); #103536=LINE('',#570920,#142551); #103537=LINE('',#570921,#142552); #103538=LINE('',#570924,#142553); #103539=LINE('',#570926,#142554); #103540=LINE('',#570927,#142555); #103541=LINE('',#570929,#142556); #103542=LINE('',#570930,#142557); #103543=LINE('',#570936,#142558); #103544=LINE('',#570938,#142559); #103545=LINE('',#570940,#142560); #103546=LINE('',#570941,#142561); #103547=LINE('',#570944,#142562); #103548=LINE('',#570946,#142563); #103549=LINE('',#570947,#142564); #103550=LINE('',#570950,#142565); #103551=LINE('',#570952,#142566); #103552=LINE('',#570953,#142567); #103553=LINE('',#570955,#142568); #103554=LINE('',#570956,#142569); #103555=LINE('',#570963,#142570); #103556=LINE('',#570969,#142571); #103557=LINE('',#570974,#142572); #103558=LINE('',#570976,#142573); #103559=LINE('',#570978,#142574); #103560=LINE('',#570979,#142575); #103561=LINE('',#570982,#142576); #103562=LINE('',#570984,#142577); #103563=LINE('',#570985,#142578); #103564=LINE('',#570988,#142579); #103565=LINE('',#570990,#142580); #103566=LINE('',#570991,#142581); #103567=LINE('',#570993,#142582); #103568=LINE('',#570994,#142583); #103569=LINE('',#571000,#142584); #103570=LINE('',#571002,#142585); #103571=LINE('',#571004,#142586); #103572=LINE('',#571005,#142587); #103573=LINE('',#571008,#142588); #103574=LINE('',#571010,#142589); #103575=LINE('',#571011,#142590); #103576=LINE('',#571014,#142591); #103577=LINE('',#571016,#142592); #103578=LINE('',#571017,#142593); #103579=LINE('',#571019,#142594); #103580=LINE('',#571020,#142595); #103581=LINE('',#571026,#142596); #103582=LINE('',#571028,#142597); #103583=LINE('',#571030,#142598); #103584=LINE('',#571031,#142599); #103585=LINE('',#571034,#142600); #103586=LINE('',#571036,#142601); #103587=LINE('',#571037,#142602); #103588=LINE('',#571040,#142603); #103589=LINE('',#571042,#142604); #103590=LINE('',#571043,#142605); #103591=LINE('',#571045,#142606); #103592=LINE('',#571046,#142607); #103593=LINE('',#571052,#142608); #103594=LINE('',#571054,#142609); #103595=LINE('',#571056,#142610); #103596=LINE('',#571057,#142611); #103597=LINE('',#571060,#142612); #103598=LINE('',#571062,#142613); #103599=LINE('',#571063,#142614); #103600=LINE('',#571066,#142615); #103601=LINE('',#571068,#142616); #103602=LINE('',#571069,#142617); #103603=LINE('',#571071,#142618); #103604=LINE('',#571072,#142619); #103605=LINE('',#571078,#142620); #103606=LINE('',#571080,#142621); #103607=LINE('',#571082,#142622); #103608=LINE('',#571083,#142623); #103609=LINE('',#571086,#142624); #103610=LINE('',#571088,#142625); #103611=LINE('',#571089,#142626); #103612=LINE('',#571092,#142627); #103613=LINE('',#571094,#142628); #103614=LINE('',#571095,#142629); #103615=LINE('',#571097,#142630); #103616=LINE('',#571098,#142631); #103617=LINE('',#571104,#142632); #103618=LINE('',#571106,#142633); #103619=LINE('',#571108,#142634); #103620=LINE('',#571109,#142635); #103621=LINE('',#571112,#142636); #103622=LINE('',#571114,#142637); #103623=LINE('',#571115,#142638); #103624=LINE('',#571118,#142639); #103625=LINE('',#571120,#142640); #103626=LINE('',#571121,#142641); #103627=LINE('',#571123,#142642); #103628=LINE('',#571124,#142643); #103629=LINE('',#571130,#142644); #103630=LINE('',#571132,#142645); #103631=LINE('',#571134,#142646); #103632=LINE('',#571135,#142647); #103633=LINE('',#571138,#142648); #103634=LINE('',#571140,#142649); #103635=LINE('',#571141,#142650); #103636=LINE('',#571144,#142651); #103637=LINE('',#571146,#142652); #103638=LINE('',#571147,#142653); #103639=LINE('',#571149,#142654); #103640=LINE('',#571150,#142655); #103641=LINE('',#571156,#142656); #103642=LINE('',#571158,#142657); #103643=LINE('',#571160,#142658); #103644=LINE('',#571161,#142659); #103645=LINE('',#571164,#142660); #103646=LINE('',#571166,#142661); #103647=LINE('',#571167,#142662); #103648=LINE('',#571170,#142663); #103649=LINE('',#571172,#142664); #103650=LINE('',#571173,#142665); #103651=LINE('',#571175,#142666); #103652=LINE('',#571176,#142667); #103653=LINE('',#571182,#142668); #103654=LINE('',#571184,#142669); #103655=LINE('',#571186,#142670); #103656=LINE('',#571187,#142671); #103657=LINE('',#571190,#142672); #103658=LINE('',#571192,#142673); #103659=LINE('',#571193,#142674); #103660=LINE('',#571196,#142675); #103661=LINE('',#571198,#142676); #103662=LINE('',#571199,#142677); #103663=LINE('',#571201,#142678); #103664=LINE('',#571202,#142679); #103665=LINE('',#571208,#142680); #103666=LINE('',#571210,#142681); #103667=LINE('',#571212,#142682); #103668=LINE('',#571213,#142683); #103669=LINE('',#571216,#142684); #103670=LINE('',#571218,#142685); #103671=LINE('',#571219,#142686); #103672=LINE('',#571222,#142687); #103673=LINE('',#571224,#142688); #103674=LINE('',#571225,#142689); #103675=LINE('',#571227,#142690); #103676=LINE('',#571228,#142691); #103677=LINE('',#571234,#142692); #103678=LINE('',#571236,#142693); #103679=LINE('',#571238,#142694); #103680=LINE('',#571239,#142695); #103681=LINE('',#571242,#142696); #103682=LINE('',#571244,#142697); #103683=LINE('',#571245,#142698); #103684=LINE('',#571248,#142699); #103685=LINE('',#571250,#142700); #103686=LINE('',#571251,#142701); #103687=LINE('',#571253,#142702); #103688=LINE('',#571254,#142703); #103689=LINE('',#571260,#142704); #103690=LINE('',#571262,#142705); #103691=LINE('',#571264,#142706); #103692=LINE('',#571265,#142707); #103693=LINE('',#571268,#142708); #103694=LINE('',#571270,#142709); #103695=LINE('',#571271,#142710); #103696=LINE('',#571274,#142711); #103697=LINE('',#571276,#142712); #103698=LINE('',#571277,#142713); #103699=LINE('',#571279,#142714); #103700=LINE('',#571280,#142715); #103701=LINE('',#571286,#142716); #103702=LINE('',#571288,#142717); #103703=LINE('',#571290,#142718); #103704=LINE('',#571291,#142719); #103705=LINE('',#571294,#142720); #103706=LINE('',#571296,#142721); #103707=LINE('',#571297,#142722); #103708=LINE('',#571300,#142723); #103709=LINE('',#571302,#142724); #103710=LINE('',#571303,#142725); #103711=LINE('',#571305,#142726); #103712=LINE('',#571306,#142727); #103713=LINE('',#571312,#142728); #103714=LINE('',#571314,#142729); #103715=LINE('',#571316,#142730); #103716=LINE('',#571317,#142731); #103717=LINE('',#571320,#142732); #103718=LINE('',#571322,#142733); #103719=LINE('',#571323,#142734); #103720=LINE('',#571326,#142735); #103721=LINE('',#571328,#142736); #103722=LINE('',#571329,#142737); #103723=LINE('',#571331,#142738); #103724=LINE('',#571332,#142739); #103725=LINE('',#571338,#142740); #103726=LINE('',#571340,#142741); #103727=LINE('',#571342,#142742); #103728=LINE('',#571343,#142743); #103729=LINE('',#571346,#142744); #103730=LINE('',#571348,#142745); #103731=LINE('',#571349,#142746); #103732=LINE('',#571352,#142747); #103733=LINE('',#571354,#142748); #103734=LINE('',#571355,#142749); #103735=LINE('',#571357,#142750); #103736=LINE('',#571358,#142751); #103737=LINE('',#571364,#142752); #103738=LINE('',#571366,#142753); #103739=LINE('',#571368,#142754); #103740=LINE('',#571369,#142755); #103741=LINE('',#571372,#142756); #103742=LINE('',#571374,#142757); #103743=LINE('',#571375,#142758); #103744=LINE('',#571378,#142759); #103745=LINE('',#571380,#142760); #103746=LINE('',#571381,#142761); #103747=LINE('',#571383,#142762); #103748=LINE('',#571384,#142763); #103749=LINE('',#571390,#142764); #103750=LINE('',#571392,#142765); #103751=LINE('',#571394,#142766); #103752=LINE('',#571395,#142767); #103753=LINE('',#571398,#142768); #103754=LINE('',#571400,#142769); #103755=LINE('',#571401,#142770); #103756=LINE('',#571404,#142771); #103757=LINE('',#571406,#142772); #103758=LINE('',#571407,#142773); #103759=LINE('',#571409,#142774); #103760=LINE('',#571410,#142775); #103761=LINE('',#571417,#142776); #103762=LINE('',#571422,#142777); #103763=LINE('',#571424,#142778); #103764=LINE('',#571426,#142779); #103765=LINE('',#571427,#142780); #103766=LINE('',#571430,#142781); #103767=LINE('',#571432,#142782); #103768=LINE('',#571433,#142783); #103769=LINE('',#571436,#142784); #103770=LINE('',#571438,#142785); #103771=LINE('',#571439,#142786); #103772=LINE('',#571441,#142787); #103773=LINE('',#571442,#142788); #103774=LINE('',#571448,#142789); #103775=LINE('',#571450,#142790); #103776=LINE('',#571452,#142791); #103777=LINE('',#571453,#142792); #103778=LINE('',#571456,#142793); #103779=LINE('',#571458,#142794); #103780=LINE('',#571459,#142795); #103781=LINE('',#571462,#142796); #103782=LINE('',#571464,#142797); #103783=LINE('',#571465,#142798); #103784=LINE('',#571467,#142799); #103785=LINE('',#571468,#142800); #103786=LINE('',#571474,#142801); #103787=LINE('',#571476,#142802); #103788=LINE('',#571478,#142803); #103789=LINE('',#571479,#142804); #103790=LINE('',#571482,#142805); #103791=LINE('',#571484,#142806); #103792=LINE('',#571485,#142807); #103793=LINE('',#571488,#142808); #103794=LINE('',#571490,#142809); #103795=LINE('',#571491,#142810); #103796=LINE('',#571493,#142811); #103797=LINE('',#571494,#142812); #103798=LINE('',#571500,#142813); #103799=LINE('',#571502,#142814); #103800=LINE('',#571504,#142815); #103801=LINE('',#571505,#142816); #103802=LINE('',#571508,#142817); #103803=LINE('',#571510,#142818); #103804=LINE('',#571511,#142819); #103805=LINE('',#571514,#142820); #103806=LINE('',#571516,#142821); #103807=LINE('',#571517,#142822); #103808=LINE('',#571519,#142823); #103809=LINE('',#571520,#142824); #103810=LINE('',#571526,#142825); #103811=LINE('',#571528,#142826); #103812=LINE('',#571530,#142827); #103813=LINE('',#571531,#142828); #103814=LINE('',#571534,#142829); #103815=LINE('',#571536,#142830); #103816=LINE('',#571537,#142831); #103817=LINE('',#571540,#142832); #103818=LINE('',#571542,#142833); #103819=LINE('',#571543,#142834); #103820=LINE('',#571545,#142835); #103821=LINE('',#571546,#142836); #103822=LINE('',#571553,#142837); #103823=LINE('',#571559,#142838); #103824=LINE('',#571565,#142839); #103825=LINE('',#571571,#142840); #103826=LINE('',#571577,#142841); #103827=LINE('',#571583,#142842); #103828=LINE('',#571589,#142843); #103829=LINE('',#571595,#142844); #103830=LINE('',#571601,#142845); #103831=LINE('',#571607,#142846); #103832=LINE('',#571613,#142847); #103833=LINE('',#571619,#142848); #103834=LINE('',#571625,#142849); #103835=LINE('',#571631,#142850); #103836=LINE('',#571637,#142851); #103837=LINE('',#571642,#142852); #103838=LINE('',#571644,#142853); #103839=LINE('',#571646,#142854); #103840=LINE('',#571647,#142855); #103841=LINE('',#571650,#142856); #103842=LINE('',#571652,#142857); #103843=LINE('',#571653,#142858); #103844=LINE('',#571656,#142859); #103845=LINE('',#571658,#142860); #103846=LINE('',#571659,#142861); #103847=LINE('',#571661,#142862); #103848=LINE('',#571662,#142863); #103849=LINE('',#571668,#142864); #103850=LINE('',#571670,#142865); #103851=LINE('',#571672,#142866); #103852=LINE('',#571673,#142867); #103853=LINE('',#571676,#142868); #103854=LINE('',#571678,#142869); #103855=LINE('',#571679,#142870); #103856=LINE('',#571682,#142871); #103857=LINE('',#571684,#142872); #103858=LINE('',#571685,#142873); #103859=LINE('',#571687,#142874); #103860=LINE('',#571688,#142875); #103861=LINE('',#571694,#142876); #103862=LINE('',#571696,#142877); #103863=LINE('',#571698,#142878); #103864=LINE('',#571699,#142879); #103865=LINE('',#571702,#142880); #103866=LINE('',#571704,#142881); #103867=LINE('',#571705,#142882); #103868=LINE('',#571708,#142883); #103869=LINE('',#571710,#142884); #103870=LINE('',#571711,#142885); #103871=LINE('',#571713,#142886); #103872=LINE('',#571714,#142887); #103873=LINE('',#571720,#142888); #103874=LINE('',#571722,#142889); #103875=LINE('',#571724,#142890); #103876=LINE('',#571725,#142891); #103877=LINE('',#571728,#142892); #103878=LINE('',#571730,#142893); #103879=LINE('',#571731,#142894); #103880=LINE('',#571734,#142895); #103881=LINE('',#571736,#142896); #103882=LINE('',#571737,#142897); #103883=LINE('',#571739,#142898); #103884=LINE('',#571740,#142899); #103885=LINE('',#571747,#142900); #103886=LINE('',#571752,#142901); #103887=LINE('',#571754,#142902); #103888=LINE('',#571756,#142903); #103889=LINE('',#571757,#142904); #103890=LINE('',#571760,#142905); #103891=LINE('',#571762,#142906); #103892=LINE('',#571763,#142907); #103893=LINE('',#571766,#142908); #103894=LINE('',#571768,#142909); #103895=LINE('',#571769,#142910); #103896=LINE('',#571771,#142911); #103897=LINE('',#571772,#142912); #103898=LINE('',#571778,#142913); #103899=LINE('',#571780,#142914); #103900=LINE('',#571782,#142915); #103901=LINE('',#571783,#142916); #103902=LINE('',#571786,#142917); #103903=LINE('',#571788,#142918); #103904=LINE('',#571789,#142919); #103905=LINE('',#571792,#142920); #103906=LINE('',#571794,#142921); #103907=LINE('',#571795,#142922); #103908=LINE('',#571797,#142923); #103909=LINE('',#571798,#142924); #103910=LINE('',#571804,#142925); #103911=LINE('',#571806,#142926); #103912=LINE('',#571808,#142927); #103913=LINE('',#571809,#142928); #103914=LINE('',#571812,#142929); #103915=LINE('',#571814,#142930); #103916=LINE('',#571815,#142931); #103917=LINE('',#571818,#142932); #103918=LINE('',#571820,#142933); #103919=LINE('',#571821,#142934); #103920=LINE('',#571823,#142935); #103921=LINE('',#571824,#142936); #103922=LINE('',#571830,#142937); #103923=LINE('',#571832,#142938); #103924=LINE('',#571834,#142939); #103925=LINE('',#571835,#142940); #103926=LINE('',#571838,#142941); #103927=LINE('',#571840,#142942); #103928=LINE('',#571841,#142943); #103929=LINE('',#571844,#142944); #103930=LINE('',#571846,#142945); #103931=LINE('',#571847,#142946); #103932=LINE('',#571849,#142947); #103933=LINE('',#571850,#142948); #103934=LINE('',#571856,#142949); #103935=LINE('',#571858,#142950); #103936=LINE('',#571860,#142951); #103937=LINE('',#571861,#142952); #103938=LINE('',#571864,#142953); #103939=LINE('',#571866,#142954); #103940=LINE('',#571867,#142955); #103941=LINE('',#571870,#142956); #103942=LINE('',#571872,#142957); #103943=LINE('',#571873,#142958); #103944=LINE('',#571875,#142959); #103945=LINE('',#571876,#142960); #103946=LINE('',#571883,#142961); #103947=LINE('',#571889,#142962); #103948=LINE('',#571895,#142963); #103949=LINE('',#571901,#142964); #103950=LINE('',#571907,#142965); #103951=LINE('',#571913,#142966); #103952=LINE('',#571919,#142967); #103953=LINE('',#571925,#142968); #103954=LINE('',#571931,#142969); #103955=LINE('',#571937,#142970); #103956=LINE('',#571943,#142971); #103957=LINE('',#571949,#142972); #103958=LINE('',#571955,#142973); #103959=LINE('',#571961,#142974); #103960=LINE('',#571967,#142975); #103961=LINE('',#571972,#142976); #103962=LINE('',#571974,#142977); #103963=LINE('',#571976,#142978); #103964=LINE('',#571977,#142979); #103965=LINE('',#571980,#142980); #103966=LINE('',#571982,#142981); #103967=LINE('',#571983,#142982); #103968=LINE('',#571986,#142983); #103969=LINE('',#571988,#142984); #103970=LINE('',#571989,#142985); #103971=LINE('',#571991,#142986); #103972=LINE('',#571992,#142987); #103973=LINE('',#571998,#142988); #103974=LINE('',#572000,#142989); #103975=LINE('',#572002,#142990); #103976=LINE('',#572003,#142991); #103977=LINE('',#572006,#142992); #103978=LINE('',#572008,#142993); #103979=LINE('',#572009,#142994); #103980=LINE('',#572012,#142995); #103981=LINE('',#572014,#142996); #103982=LINE('',#572015,#142997); #103983=LINE('',#572017,#142998); #103984=LINE('',#572018,#142999); #103985=LINE('',#572024,#143000); #103986=LINE('',#572026,#143001); #103987=LINE('',#572028,#143002); #103988=LINE('',#572029,#143003); #103989=LINE('',#572032,#143004); #103990=LINE('',#572034,#143005); #103991=LINE('',#572035,#143006); #103992=LINE('',#572038,#143007); #103993=LINE('',#572040,#143008); #103994=LINE('',#572041,#143009); #103995=LINE('',#572043,#143010); #103996=LINE('',#572044,#143011); #103997=LINE('',#572050,#143012); #103998=LINE('',#572052,#143013); #103999=LINE('',#572054,#143014); #104000=LINE('',#572055,#143015); #104001=LINE('',#572058,#143016); #104002=LINE('',#572060,#143017); #104003=LINE('',#572061,#143018); #104004=LINE('',#572064,#143019); #104005=LINE('',#572066,#143020); #104006=LINE('',#572067,#143021); #104007=LINE('',#572069,#143022); #104008=LINE('',#572070,#143023); #104009=LINE('',#572077,#143024); #104010=LINE('',#572082,#143025); #104011=LINE('',#572084,#143026); #104012=LINE('',#572086,#143027); #104013=LINE('',#572087,#143028); #104014=LINE('',#572090,#143029); #104015=LINE('',#572092,#143030); #104016=LINE('',#572093,#143031); #104017=LINE('',#572096,#143032); #104018=LINE('',#572098,#143033); #104019=LINE('',#572099,#143034); #104020=LINE('',#572101,#143035); #104021=LINE('',#572102,#143036); #104022=LINE('',#572109,#143037); #104023=LINE('',#572114,#143038); #104024=LINE('',#572116,#143039); #104025=LINE('',#572118,#143040); #104026=LINE('',#572119,#143041); #104027=LINE('',#572122,#143042); #104028=LINE('',#572124,#143043); #104029=LINE('',#572125,#143044); #104030=LINE('',#572128,#143045); #104031=LINE('',#572130,#143046); #104032=LINE('',#572131,#143047); #104033=LINE('',#572133,#143048); #104034=LINE('',#572134,#143049); #104035=LINE('',#572141,#143050); #104036=LINE('',#572146,#143051); #104037=LINE('',#572148,#143052); #104038=LINE('',#572150,#143053); #104039=LINE('',#572151,#143054); #104040=LINE('',#572154,#143055); #104041=LINE('',#572156,#143056); #104042=LINE('',#572157,#143057); #104043=LINE('',#572160,#143058); #104044=LINE('',#572162,#143059); #104045=LINE('',#572163,#143060); #104046=LINE('',#572165,#143061); #104047=LINE('',#572166,#143062); #104048=LINE('',#572173,#143063); #104049=LINE('',#572179,#143064); #104050=LINE('',#572185,#143065); #104051=LINE('',#572191,#143066); #104052=LINE('',#572197,#143067); #104053=LINE('',#572203,#143068); #104054=LINE('',#572209,#143069); #104055=LINE('',#572215,#143070); #104056=LINE('',#572221,#143071); #104057=LINE('',#572227,#143072); #104058=LINE('',#572233,#143073); #104059=LINE('',#572239,#143074); #104060=LINE('',#572245,#143075); #104061=LINE('',#572251,#143076); #104062=LINE('',#572257,#143077); #104063=LINE('',#572262,#143078); #104064=LINE('',#572264,#143079); #104065=LINE('',#572266,#143080); #104066=LINE('',#572267,#143081); #104067=LINE('',#572270,#143082); #104068=LINE('',#572272,#143083); #104069=LINE('',#572273,#143084); #104070=LINE('',#572276,#143085); #104071=LINE('',#572278,#143086); #104072=LINE('',#572279,#143087); #104073=LINE('',#572281,#143088); #104074=LINE('',#572282,#143089); #104075=LINE('',#572288,#143090); #104076=LINE('',#572290,#143091); #104077=LINE('',#572292,#143092); #104078=LINE('',#572293,#143093); #104079=LINE('',#572296,#143094); #104080=LINE('',#572298,#143095); #104081=LINE('',#572299,#143096); #104082=LINE('',#572302,#143097); #104083=LINE('',#572304,#143098); #104084=LINE('',#572305,#143099); #104085=LINE('',#572307,#143100); #104086=LINE('',#572308,#143101); #104087=LINE('',#572314,#143102); #104088=LINE('',#572316,#143103); #104089=LINE('',#572318,#143104); #104090=LINE('',#572319,#143105); #104091=LINE('',#572322,#143106); #104092=LINE('',#572324,#143107); #104093=LINE('',#572325,#143108); #104094=LINE('',#572328,#143109); #104095=LINE('',#572330,#143110); #104096=LINE('',#572331,#143111); #104097=LINE('',#572333,#143112); #104098=LINE('',#572334,#143113); #104099=LINE('',#572340,#143114); #104100=LINE('',#572342,#143115); #104101=LINE('',#572344,#143116); #104102=LINE('',#572345,#143117); #104103=LINE('',#572348,#143118); #104104=LINE('',#572350,#143119); #104105=LINE('',#572351,#143120); #104106=LINE('',#572354,#143121); #104107=LINE('',#572356,#143122); #104108=LINE('',#572357,#143123); #104109=LINE('',#572359,#143124); #104110=LINE('',#572360,#143125); #104111=LINE('',#572366,#143126); #104112=LINE('',#572368,#143127); #104113=LINE('',#572370,#143128); #104114=LINE('',#572371,#143129); #104115=LINE('',#572374,#143130); #104116=LINE('',#572376,#143131); #104117=LINE('',#572377,#143132); #104118=LINE('',#572380,#143133); #104119=LINE('',#572382,#143134); #104120=LINE('',#572383,#143135); #104121=LINE('',#572385,#143136); #104122=LINE('',#572386,#143137); #104123=LINE('',#572392,#143138); #104124=LINE('',#572394,#143139); #104125=LINE('',#572396,#143140); #104126=LINE('',#572397,#143141); #104127=LINE('',#572400,#143142); #104128=LINE('',#572402,#143143); #104129=LINE('',#572403,#143144); #104130=LINE('',#572406,#143145); #104131=LINE('',#572408,#143146); #104132=LINE('',#572409,#143147); #104133=LINE('',#572411,#143148); #104134=LINE('',#572412,#143149); #104135=LINE('',#572418,#143150); #104136=LINE('',#572420,#143151); #104137=LINE('',#572422,#143152); #104138=LINE('',#572423,#143153); #104139=LINE('',#572426,#143154); #104140=LINE('',#572428,#143155); #104141=LINE('',#572429,#143156); #104142=LINE('',#572432,#143157); #104143=LINE('',#572434,#143158); #104144=LINE('',#572435,#143159); #104145=LINE('',#572437,#143160); #104146=LINE('',#572438,#143161); #104147=LINE('',#572444,#143162); #104148=LINE('',#572446,#143163); #104149=LINE('',#572448,#143164); #104150=LINE('',#572449,#143165); #104151=LINE('',#572452,#143166); #104152=LINE('',#572454,#143167); #104153=LINE('',#572455,#143168); #104154=LINE('',#572458,#143169); #104155=LINE('',#572460,#143170); #104156=LINE('',#572461,#143171); #104157=LINE('',#572463,#143172); #104158=LINE('',#572464,#143173); #104159=LINE('',#572470,#143174); #104160=LINE('',#572472,#143175); #104161=LINE('',#572474,#143176); #104162=LINE('',#572475,#143177); #104163=LINE('',#572478,#143178); #104164=LINE('',#572480,#143179); #104165=LINE('',#572481,#143180); #104166=LINE('',#572484,#143181); #104167=LINE('',#572486,#143182); #104168=LINE('',#572487,#143183); #104169=LINE('',#572489,#143184); #104170=LINE('',#572490,#143185); #104171=LINE('',#572496,#143186); #104172=LINE('',#572498,#143187); #104173=LINE('',#572500,#143188); #104174=LINE('',#572501,#143189); #104175=LINE('',#572504,#143190); #104176=LINE('',#572506,#143191); #104177=LINE('',#572507,#143192); #104178=LINE('',#572510,#143193); #104179=LINE('',#572512,#143194); #104180=LINE('',#572513,#143195); #104181=LINE('',#572515,#143196); #104182=LINE('',#572516,#143197); #104183=LINE('',#572522,#143198); #104184=LINE('',#572524,#143199); #104185=LINE('',#572526,#143200); #104186=LINE('',#572527,#143201); #104187=LINE('',#572530,#143202); #104188=LINE('',#572532,#143203); #104189=LINE('',#572533,#143204); #104190=LINE('',#572536,#143205); #104191=LINE('',#572538,#143206); #104192=LINE('',#572539,#143207); #104193=LINE('',#572541,#143208); #104194=LINE('',#572542,#143209); #104195=LINE('',#572548,#143210); #104196=LINE('',#572550,#143211); #104197=LINE('',#572552,#143212); #104198=LINE('',#572553,#143213); #104199=LINE('',#572556,#143214); #104200=LINE('',#572558,#143215); #104201=LINE('',#572559,#143216); #104202=LINE('',#572562,#143217); #104203=LINE('',#572564,#143218); #104204=LINE('',#572565,#143219); #104205=LINE('',#572567,#143220); #104206=LINE('',#572568,#143221); #104207=LINE('',#572574,#143222); #104208=LINE('',#572576,#143223); #104209=LINE('',#572578,#143224); #104210=LINE('',#572579,#143225); #104211=LINE('',#572582,#143226); #104212=LINE('',#572584,#143227); #104213=LINE('',#572585,#143228); #104214=LINE('',#572588,#143229); #104215=LINE('',#572590,#143230); #104216=LINE('',#572591,#143231); #104217=LINE('',#572593,#143232); #104218=LINE('',#572594,#143233); #104219=LINE('',#572600,#143234); #104220=LINE('',#572602,#143235); #104221=LINE('',#572604,#143236); #104222=LINE('',#572605,#143237); #104223=LINE('',#572608,#143238); #104224=LINE('',#572610,#143239); #104225=LINE('',#572611,#143240); #104226=LINE('',#572614,#143241); #104227=LINE('',#572616,#143242); #104228=LINE('',#572617,#143243); #104229=LINE('',#572619,#143244); #104230=LINE('',#572620,#143245); #104231=LINE('',#572626,#143246); #104232=LINE('',#572628,#143247); #104233=LINE('',#572630,#143248); #104234=LINE('',#572631,#143249); #104235=LINE('',#572634,#143250); #104236=LINE('',#572636,#143251); #104237=LINE('',#572637,#143252); #104238=LINE('',#572640,#143253); #104239=LINE('',#572642,#143254); #104240=LINE('',#572643,#143255); #104241=LINE('',#572645,#143256); #104242=LINE('',#572646,#143257); #104243=LINE('',#572652,#143258); #104244=LINE('',#572654,#143259); #104245=LINE('',#572656,#143260); #104246=LINE('',#572657,#143261); #104247=LINE('',#572660,#143262); #104248=LINE('',#572662,#143263); #104249=LINE('',#572663,#143264); #104250=LINE('',#572666,#143265); #104251=LINE('',#572668,#143266); #104252=LINE('',#572669,#143267); #104253=LINE('',#572671,#143268); #104254=LINE('',#572672,#143269); #104255=LINE('',#572678,#143270); #104256=LINE('',#572680,#143271); #104257=LINE('',#572682,#143272); #104258=LINE('',#572683,#143273); #104259=LINE('',#572686,#143274); #104260=LINE('',#572688,#143275); #104261=LINE('',#572689,#143276); #104262=LINE('',#572692,#143277); #104263=LINE('',#572694,#143278); #104264=LINE('',#572695,#143279); #104265=LINE('',#572697,#143280); #104266=LINE('',#572698,#143281); #104267=LINE('',#572704,#143282); #104268=LINE('',#572706,#143283); #104269=LINE('',#572708,#143284); #104270=LINE('',#572709,#143285); #104271=LINE('',#572712,#143286); #104272=LINE('',#572714,#143287); #104273=LINE('',#572715,#143288); #104274=LINE('',#572718,#143289); #104275=LINE('',#572720,#143290); #104276=LINE('',#572721,#143291); #104277=LINE('',#572723,#143292); #104278=LINE('',#572724,#143293); #104279=LINE('',#572730,#143294); #104280=LINE('',#572732,#143295); #104281=LINE('',#572734,#143296); #104282=LINE('',#572735,#143297); #104283=LINE('',#572738,#143298); #104284=LINE('',#572740,#143299); #104285=LINE('',#572741,#143300); #104286=LINE('',#572744,#143301); #104287=LINE('',#572746,#143302); #104288=LINE('',#572747,#143303); #104289=LINE('',#572749,#143304); #104290=LINE('',#572750,#143305); #104291=LINE('',#572756,#143306); #104292=LINE('',#572758,#143307); #104293=LINE('',#572760,#143308); #104294=LINE('',#572761,#143309); #104295=LINE('',#572764,#143310); #104296=LINE('',#572766,#143311); #104297=LINE('',#572767,#143312); #104298=LINE('',#572770,#143313); #104299=LINE('',#572772,#143314); #104300=LINE('',#572773,#143315); #104301=LINE('',#572775,#143316); #104302=LINE('',#572776,#143317); #104303=LINE('',#572782,#143318); #104304=LINE('',#572784,#143319); #104305=LINE('',#572786,#143320); #104306=LINE('',#572787,#143321); #104307=LINE('',#572790,#143322); #104308=LINE('',#572792,#143323); #104309=LINE('',#572793,#143324); #104310=LINE('',#572796,#143325); #104311=LINE('',#572798,#143326); #104312=LINE('',#572799,#143327); #104313=LINE('',#572801,#143328); #104314=LINE('',#572802,#143329); #104315=LINE('',#572809,#143330); #104316=LINE('',#572815,#143331); #104317=LINE('',#572821,#143332); #104318=LINE('',#572827,#143333); #104319=LINE('',#572833,#143334); #104320=LINE('',#572839,#143335); #104321=LINE('',#572845,#143336); #104322=LINE('',#572851,#143337); #104323=LINE('',#572857,#143338); #104324=LINE('',#572863,#143339); #104325=LINE('',#572869,#143340); #104326=LINE('',#572875,#143341); #104327=LINE('',#572881,#143342); #104328=LINE('',#572887,#143343); #104329=LINE('',#572893,#143344); #104330=LINE('',#572898,#143345); #104331=LINE('',#572900,#143346); #104332=LINE('',#572902,#143347); #104333=LINE('',#572903,#143348); #104334=LINE('',#572906,#143349); #104335=LINE('',#572908,#143350); #104336=LINE('',#572909,#143351); #104337=LINE('',#572912,#143352); #104338=LINE('',#572914,#143353); #104339=LINE('',#572915,#143354); #104340=LINE('',#572917,#143355); #104341=LINE('',#572918,#143356); #104342=LINE('',#572924,#143357); #104343=LINE('',#572926,#143358); #104344=LINE('',#572928,#143359); #104345=LINE('',#572929,#143360); #104346=LINE('',#572932,#143361); #104347=LINE('',#572934,#143362); #104348=LINE('',#572935,#143363); #104349=LINE('',#572938,#143364); #104350=LINE('',#572940,#143365); #104351=LINE('',#572941,#143366); #104352=LINE('',#572943,#143367); #104353=LINE('',#572944,#143368); #104354=LINE('',#572950,#143369); #104355=LINE('',#572952,#143370); #104356=LINE('',#572954,#143371); #104357=LINE('',#572955,#143372); #104358=LINE('',#572958,#143373); #104359=LINE('',#572960,#143374); #104360=LINE('',#572961,#143375); #104361=LINE('',#572964,#143376); #104362=LINE('',#572966,#143377); #104363=LINE('',#572967,#143378); #104364=LINE('',#572969,#143379); #104365=LINE('',#572970,#143380); #104366=LINE('',#572976,#143381); #104367=LINE('',#572978,#143382); #104368=LINE('',#572980,#143383); #104369=LINE('',#572981,#143384); #104370=LINE('',#572984,#143385); #104371=LINE('',#572986,#143386); #104372=LINE('',#572987,#143387); #104373=LINE('',#572990,#143388); #104374=LINE('',#572992,#143389); #104375=LINE('',#572993,#143390); #104376=LINE('',#572995,#143391); #104377=LINE('',#572996,#143392); #104378=LINE('',#573002,#143393); #104379=LINE('',#573004,#143394); #104380=LINE('',#573006,#143395); #104381=LINE('',#573007,#143396); #104382=LINE('',#573010,#143397); #104383=LINE('',#573012,#143398); #104384=LINE('',#573013,#143399); #104385=LINE('',#573016,#143400); #104386=LINE('',#573018,#143401); #104387=LINE('',#573019,#143402); #104388=LINE('',#573021,#143403); #104389=LINE('',#573022,#143404); #104390=LINE('',#573028,#143405); #104391=LINE('',#573030,#143406); #104392=LINE('',#573032,#143407); #104393=LINE('',#573033,#143408); #104394=LINE('',#573036,#143409); #104395=LINE('',#573038,#143410); #104396=LINE('',#573039,#143411); #104397=LINE('',#573042,#143412); #104398=LINE('',#573044,#143413); #104399=LINE('',#573045,#143414); #104400=LINE('',#573047,#143415); #104401=LINE('',#573048,#143416); #104402=LINE('',#573054,#143417); #104403=LINE('',#573056,#143418); #104404=LINE('',#573058,#143419); #104405=LINE('',#573059,#143420); #104406=LINE('',#573062,#143421); #104407=LINE('',#573064,#143422); #104408=LINE('',#573065,#143423); #104409=LINE('',#573068,#143424); #104410=LINE('',#573070,#143425); #104411=LINE('',#573071,#143426); #104412=LINE('',#573073,#143427); #104413=LINE('',#573074,#143428); #104414=LINE('',#573081,#143429); #104415=LINE('',#573086,#143430); #104416=LINE('',#573088,#143431); #104417=LINE('',#573090,#143432); #104418=LINE('',#573091,#143433); #104419=LINE('',#573094,#143434); #104420=LINE('',#573096,#143435); #104421=LINE('',#573097,#143436); #104422=LINE('',#573100,#143437); #104423=LINE('',#573102,#143438); #104424=LINE('',#573103,#143439); #104425=LINE('',#573105,#143440); #104426=LINE('',#573106,#143441); #104427=LINE('',#573113,#143442); #104428=LINE('',#573119,#143443); #104429=LINE('',#573124,#143444); #104430=LINE('',#573126,#143445); #104431=LINE('',#573128,#143446); #104432=LINE('',#573129,#143447); #104433=LINE('',#573132,#143448); #104434=LINE('',#573134,#143449); #104435=LINE('',#573135,#143450); #104436=LINE('',#573138,#143451); #104437=LINE('',#573140,#143452); #104438=LINE('',#573141,#143453); #104439=LINE('',#573143,#143454); #104440=LINE('',#573144,#143455); #104441=LINE('',#573151,#143456); #104442=LINE('',#573157,#143457); #104443=LINE('',#573162,#143458); #104444=LINE('',#573164,#143459); #104445=LINE('',#573166,#143460); #104446=LINE('',#573167,#143461); #104447=LINE('',#573170,#143462); #104448=LINE('',#573172,#143463); #104449=LINE('',#573173,#143464); #104450=LINE('',#573176,#143465); #104451=LINE('',#573178,#143466); #104452=LINE('',#573179,#143467); #104453=LINE('',#573181,#143468); #104454=LINE('',#573182,#143469); #104455=LINE('',#573189,#143470); #104456=LINE('',#573194,#143471); #104457=LINE('',#573196,#143472); #104458=LINE('',#573198,#143473); #104459=LINE('',#573199,#143474); #104460=LINE('',#573202,#143475); #104461=LINE('',#573204,#143476); #104462=LINE('',#573205,#143477); #104463=LINE('',#573208,#143478); #104464=LINE('',#573210,#143479); #104465=LINE('',#573211,#143480); #104466=LINE('',#573213,#143481); #104467=LINE('',#573214,#143482); #104468=LINE('',#573222,#143483); #104469=LINE('',#573228,#143484); #104470=LINE('',#573234,#143485); #104471=LINE('',#573240,#143486); #104472=LINE('',#573246,#143487); #104473=LINE('',#573252,#143488); #104474=LINE('',#573258,#143489); #104475=LINE('',#573264,#143490); #104476=LINE('',#573270,#143491); #104477=LINE('',#573276,#143492); #104478=LINE('',#573282,#143493); #104479=LINE('',#573288,#143494); #104480=LINE('',#573294,#143495); #104481=LINE('',#573300,#143496); #104482=LINE('',#573306,#143497); #104483=LINE('',#573312,#143498); #104484=LINE('',#573318,#143499); #104485=LINE('',#573324,#143500); #104486=LINE('',#573330,#143501); #104487=LINE('',#573336,#143502); #104488=LINE('',#573342,#143503); #104489=LINE('',#573348,#143504); #104490=LINE('',#573354,#143505); #104491=LINE('',#573360,#143506); #104492=LINE('',#573366,#143507); #104493=LINE('',#573372,#143508); #104494=LINE('',#573378,#143509); #104495=LINE('',#573384,#143510); #104496=LINE('',#573390,#143511); #104497=LINE('',#573396,#143512); #104498=LINE('',#573402,#143513); #104499=LINE('',#573408,#143514); #104500=LINE('',#573414,#143515); #104501=LINE('',#573420,#143516); #104502=LINE('',#573426,#143517); #104503=LINE('',#573432,#143518); #104504=LINE('',#573438,#143519); #104505=LINE('',#573444,#143520); #104506=LINE('',#573450,#143521); #104507=LINE('',#573456,#143522); #104508=LINE('',#573462,#143523); #104509=LINE('',#573468,#143524); #104510=LINE('',#573474,#143525); #104511=LINE('',#573480,#143526); #104512=LINE('',#573486,#143527); #104513=LINE('',#573492,#143528); #104514=LINE('',#573498,#143529); #104515=LINE('',#573504,#143530); #104516=LINE('',#573510,#143531); #104517=LINE('',#573516,#143532); #104518=LINE('',#573522,#143533); #104519=LINE('',#573528,#143534); #104520=LINE('',#573534,#143535); #104521=LINE('',#573540,#143536); #104522=LINE('',#573546,#143537); #104523=LINE('',#573552,#143538); #104524=LINE('',#573558,#143539); #104525=LINE('',#573564,#143540); #104526=LINE('',#573570,#143541); #104527=LINE('',#573576,#143542); #104528=LINE('',#573582,#143543); #104529=LINE('',#573588,#143544); #104530=LINE('',#573594,#143545); #104531=LINE('',#573600,#143546); #104532=LINE('',#573606,#143547); #104533=LINE('',#573612,#143548); #104534=LINE('',#573618,#143549); #104535=LINE('',#573624,#143550); #104536=LINE('',#573630,#143551); #104537=LINE('',#573636,#143552); #104538=LINE('',#573642,#143553); #104539=LINE('',#573648,#143554); #104540=LINE('',#573654,#143555); #104541=LINE('',#573660,#143556); #104542=LINE('',#573666,#143557); #104543=LINE('',#573672,#143558); #104544=LINE('',#573678,#143559); #104545=LINE('',#573684,#143560); #104546=LINE('',#573690,#143561); #104547=LINE('',#573696,#143562); #104548=LINE('',#573702,#143563); #104549=LINE('',#573708,#143564); #104550=LINE('',#573714,#143565); #104551=LINE('',#573720,#143566); #104552=LINE('',#573726,#143567); #104553=LINE('',#573732,#143568); #104554=LINE('',#573738,#143569); #104555=LINE('',#573744,#143570); #104556=LINE('',#573750,#143571); #104557=LINE('',#573756,#143572); #104558=LINE('',#573762,#143573); #104559=LINE('',#573768,#143574); #104560=LINE('',#573774,#143575); #104561=LINE('',#573780,#143576); #104562=LINE('',#573786,#143577); #104563=LINE('',#573792,#143578); #104564=LINE('',#573798,#143579); #104565=LINE('',#573804,#143580); #104566=LINE('',#573810,#143581); #104567=LINE('',#573816,#143582); #104568=LINE('',#573822,#143583); #104569=LINE('',#573828,#143584); #104570=LINE('',#573834,#143585); #104571=LINE('',#573840,#143586); #104572=LINE('',#573846,#143587); #104573=LINE('',#573852,#143588); #104574=LINE('',#573858,#143589); #104575=LINE('',#573864,#143590); #104576=LINE('',#573870,#143591); #104577=LINE('',#573876,#143592); #104578=LINE('',#573882,#143593); #104579=LINE('',#573888,#143594); #104580=LINE('',#573894,#143595); #104581=LINE('',#573900,#143596); #104582=LINE('',#573906,#143597); #104583=LINE('',#573912,#143598); #104584=LINE('',#573918,#143599); #104585=LINE('',#573924,#143600); #104586=LINE('',#573930,#143601); #104587=LINE('',#573936,#143602); #104588=LINE('',#573942,#143603); #104589=LINE('',#573948,#143604); #104590=LINE('',#573954,#143605); #104591=LINE('',#573960,#143606); #104592=LINE('',#573966,#143607); #104593=LINE('',#573972,#143608); #104594=LINE('',#573978,#143609); #104595=LINE('',#573984,#143610); #104596=LINE('',#573990,#143611); #104597=LINE('',#573996,#143612); #104598=LINE('',#574002,#143613); #104599=LINE('',#574008,#143614); #104600=LINE('',#574014,#143615); #104601=LINE('',#574020,#143616); #104602=LINE('',#574026,#143617); #104603=LINE('',#574032,#143618); #104604=LINE('',#574038,#143619); #104605=LINE('',#574044,#143620); #104606=LINE('',#574050,#143621); #104607=LINE('',#574056,#143622); #104608=LINE('',#574062,#143623); #104609=LINE('',#574068,#143624); #104610=LINE('',#574074,#143625); #104611=LINE('',#574080,#143626); #104612=LINE('',#574086,#143627); #104613=LINE('',#574092,#143628); #104614=LINE('',#574098,#143629); #104615=LINE('',#574104,#143630); #104616=LINE('',#574110,#143631); #104617=LINE('',#574116,#143632); #104618=LINE('',#574122,#143633); #104619=LINE('',#574128,#143634); #104620=LINE('',#574134,#143635); #104621=LINE('',#574140,#143636); #104622=LINE('',#574146,#143637); #104623=LINE('',#574152,#143638); #104624=LINE('',#574158,#143639); #104625=LINE('',#574164,#143640); #104626=LINE('',#574170,#143641); #104627=LINE('',#574176,#143642); #104628=LINE('',#574182,#143643); #104629=LINE('',#574188,#143644); #104630=LINE('',#574194,#143645); #104631=LINE('',#574199,#143646); #104632=LINE('',#574201,#143647); #104633=LINE('',#574203,#143648); #104634=LINE('',#574204,#143649); #104635=LINE('',#574210,#143650); #104636=LINE('',#574213,#143651); #104637=LINE('',#574215,#143652); #104638=LINE('',#574216,#143653); #104639=LINE('',#574224,#143654); #104640=LINE('',#574229,#143655); #104641=LINE('',#574231,#143656); #104642=LINE('',#574233,#143657); #104643=LINE('',#574234,#143658); #104644=LINE('',#574240,#143659); #104645=LINE('',#574243,#143660); #104646=LINE('',#574245,#143661); #104647=LINE('',#574246,#143662); #104648=LINE('',#574254,#143663); #104649=LINE('',#574260,#143664); #104650=LINE('',#574266,#143665); #104651=LINE('',#574271,#143666); #104652=LINE('',#574273,#143667); #104653=LINE('',#574275,#143668); #104654=LINE('',#574276,#143669); #104655=LINE('',#574282,#143670); #104656=LINE('',#574285,#143671); #104657=LINE('',#574287,#143672); #104658=LINE('',#574288,#143673); #104659=LINE('',#574296,#143674); #104660=LINE('',#574302,#143675); #104661=LINE('',#574308,#143676); #104662=LINE('',#574314,#143677); #104663=LINE('',#574320,#143678); #104664=LINE('',#574326,#143679); #104665=LINE('',#574332,#143680); #104666=LINE('',#574338,#143681); #104667=LINE('',#574344,#143682); #104668=LINE('',#574350,#143683); #104669=LINE('',#574355,#143684); #104670=LINE('',#574357,#143685); #104671=LINE('',#574359,#143686); #104672=LINE('',#574360,#143687); #104673=LINE('',#574366,#143688); #104674=LINE('',#574369,#143689); #104675=LINE('',#574371,#143690); #104676=LINE('',#574372,#143691); #104677=LINE('',#574380,#143692); #104678=LINE('',#574385,#143693); #104679=LINE('',#574387,#143694); #104680=LINE('',#574389,#143695); #104681=LINE('',#574390,#143696); #104682=LINE('',#574396,#143697); #104683=LINE('',#574399,#143698); #104684=LINE('',#574401,#143699); #104685=LINE('',#574402,#143700); #104686=LINE('',#574410,#143701); #104687=LINE('',#574415,#143702); #104688=LINE('',#574417,#143703); #104689=LINE('',#574419,#143704); #104690=LINE('',#574420,#143705); #104691=LINE('',#574426,#143706); #104692=LINE('',#574429,#143707); #104693=LINE('',#574431,#143708); #104694=LINE('',#574432,#143709); #104695=LINE('',#574440,#143710); #104696=LINE('',#574446,#143711); #104697=LINE('',#574452,#143712); #104698=LINE('',#574458,#143713); #104699=LINE('',#574464,#143714); #104700=LINE('',#574470,#143715); #104701=LINE('',#574476,#143716); #104702=LINE('',#574482,#143717); #104703=LINE('',#574488,#143718); #104704=LINE('',#574494,#143719); #104705=LINE('',#574500,#143720); #104706=LINE('',#574506,#143721); #104707=LINE('',#574512,#143722); #104708=LINE('',#574518,#143723); #104709=LINE('',#574524,#143724); #104710=LINE('',#574530,#143725); #104711=LINE('',#574536,#143726); #104712=LINE('',#574542,#143727); #104713=LINE('',#574548,#143728); #104714=LINE('',#574554,#143729); #104715=LINE('',#574560,#143730); #104716=LINE('',#574566,#143731); #104717=LINE('',#574572,#143732); #104718=LINE('',#574578,#143733); #104719=LINE('',#574584,#143734); #104720=LINE('',#574590,#143735); #104721=LINE('',#574596,#143736); #104722=LINE('',#574602,#143737); #104723=LINE('',#574608,#143738); #104724=LINE('',#574614,#143739); #104725=LINE('',#574620,#143740); #104726=LINE('',#574626,#143741); #104727=LINE('',#574632,#143742); #104728=LINE('',#574638,#143743); #104729=LINE('',#574644,#143744); #104730=LINE('',#574650,#143745); #104731=LINE('',#574656,#143746); #104732=LINE('',#574662,#143747); #104733=LINE('',#574668,#143748); #104734=LINE('',#574674,#143749); #104735=LINE('',#574680,#143750); #104736=LINE('',#574686,#143751); #104737=LINE('',#574692,#143752); #104738=LINE('',#574698,#143753); #104739=LINE('',#574704,#143754); #104740=LINE('',#574710,#143755); #104741=LINE('',#574716,#143756); #104742=LINE('',#574722,#143757); #104743=LINE('',#574728,#143758); #104744=LINE('',#574734,#143759); #104745=LINE('',#574740,#143760); #104746=LINE('',#574746,#143761); #104747=LINE('',#574752,#143762); #104748=LINE('',#574758,#143763); #104749=LINE('',#574764,#143764); #104750=LINE('',#574770,#143765); #104751=LINE('',#574776,#143766); #104752=LINE('',#574782,#143767); #104753=LINE('',#574788,#143768); #104754=LINE('',#574794,#143769); #104755=LINE('',#574800,#143770); #104756=LINE('',#574806,#143771); #104757=LINE('',#574812,#143772); #104758=LINE('',#574818,#143773); #104759=LINE('',#574824,#143774); #104760=LINE('',#574830,#143775); #104761=LINE('',#574836,#143776); #104762=LINE('',#574842,#143777); #104763=LINE('',#574848,#143778); #104764=LINE('',#574854,#143779); #104765=LINE('',#574860,#143780); #104766=LINE('',#574866,#143781); #104767=LINE('',#574872,#143782); #104768=LINE('',#574878,#143783); #104769=LINE('',#574884,#143784); #104770=LINE('',#574890,#143785); #104771=LINE('',#574896,#143786); #104772=LINE('',#574902,#143787); #104773=LINE('',#574908,#143788); #104774=LINE('',#574914,#143789); #104775=LINE('',#574920,#143790); #104776=LINE('',#574926,#143791); #104777=LINE('',#574932,#143792); #104778=LINE('',#574938,#143793); #104779=LINE('',#574944,#143794); #104780=LINE('',#574950,#143795); #104781=LINE('',#574956,#143796); #104782=LINE('',#574962,#143797); #104783=LINE('',#574968,#143798); #104784=LINE('',#574974,#143799); #104785=LINE('',#574980,#143800); #104786=LINE('',#574986,#143801); #104787=LINE('',#574992,#143802); #104788=LINE('',#574998,#143803); #104789=LINE('',#575004,#143804); #104790=LINE('',#575010,#143805); #104791=LINE('',#575016,#143806); #104792=LINE('',#575022,#143807); #104793=LINE('',#575028,#143808); #104794=LINE('',#575034,#143809); #104795=LINE('',#575040,#143810); #104796=LINE('',#575046,#143811); #104797=LINE('',#575052,#143812); #104798=LINE('',#575058,#143813); #104799=LINE('',#575064,#143814); #104800=LINE('',#575070,#143815); #104801=LINE('',#575076,#143816); #104802=LINE('',#575082,#143817); #104803=LINE('',#575088,#143818); #104804=LINE('',#575094,#143819); #104805=LINE('',#575100,#143820); #104806=LINE('',#575106,#143821); #104807=LINE('',#575112,#143822); #104808=LINE('',#575118,#143823); #104809=LINE('',#575124,#143824); #104810=LINE('',#575130,#143825); #104811=LINE('',#575136,#143826); #104812=LINE('',#575142,#143827); #104813=LINE('',#575148,#143828); #104814=LINE('',#575154,#143829); #104815=LINE('',#575160,#143830); #104816=LINE('',#575166,#143831); #104817=LINE('',#575172,#143832); #104818=LINE('',#575178,#143833); #104819=LINE('',#575184,#143834); #104820=LINE('',#575190,#143835); #104821=LINE('',#575196,#143836); #104822=LINE('',#575202,#143837); #104823=LINE('',#575208,#143838); #104824=LINE('',#575214,#143839); #104825=LINE('',#575220,#143840); #104826=LINE('',#575226,#143841); #104827=LINE('',#575232,#143842); #104828=LINE('',#575241,#143843); #104829=LINE('',#575247,#143844); #104830=LINE('',#575253,#143845); #104831=LINE('',#575259,#143846); #104832=LINE('',#575265,#143847); #104833=LINE('',#575271,#143848); #104834=LINE('',#575277,#143849); #104835=LINE('',#575283,#143850); #104836=LINE('',#575289,#143851); #104837=LINE('',#575295,#143852); #104838=LINE('',#575301,#143853); #104839=LINE('',#575307,#143854); #104840=LINE('',#575313,#143855); #104841=LINE('',#575319,#143856); #104842=LINE('',#575325,#143857); #104843=LINE('',#575331,#143858); #104844=LINE('',#575337,#143859); #104845=LINE('',#575343,#143860); #104846=LINE('',#575349,#143861); #104847=LINE('',#575355,#143862); #104848=LINE('',#575361,#143863); #104849=LINE('',#575367,#143864); #104850=LINE('',#575373,#143865); #104851=LINE('',#575379,#143866); #104852=LINE('',#575385,#143867); #104853=LINE('',#575391,#143868); #104854=LINE('',#575397,#143869); #104855=LINE('',#575403,#143870); #104856=LINE('',#575409,#143871); #104857=LINE('',#575415,#143872); #104858=LINE('',#575421,#143873); #104859=LINE('',#575427,#143874); #104860=LINE('',#575433,#143875); #104861=LINE('',#575439,#143876); #104862=LINE('',#575445,#143877); #104863=LINE('',#575451,#143878); #104864=LINE('',#575457,#143879); #104865=LINE('',#575463,#143880); #104866=LINE('',#575469,#143881); #104867=LINE('',#575475,#143882); #104868=LINE('',#575481,#143883); #104869=LINE('',#575487,#143884); #104870=LINE('',#575493,#143885); #104871=LINE('',#575499,#143886); #104872=LINE('',#575505,#143887); #104873=LINE('',#575511,#143888); #104874=LINE('',#575517,#143889); #104875=LINE('',#575523,#143890); #104876=LINE('',#575529,#143891); #104877=LINE('',#575535,#143892); #104878=LINE('',#575541,#143893); #104879=LINE('',#575547,#143894); #104880=LINE('',#575553,#143895); #104881=LINE('',#575559,#143896); #104882=LINE('',#575565,#143897); #104883=LINE('',#575571,#143898); #104884=LINE('',#575577,#143899); #104885=LINE('',#575583,#143900); #104886=LINE('',#575589,#143901); #104887=LINE('',#575595,#143902); #104888=LINE('',#575601,#143903); #104889=LINE('',#575607,#143904); #104890=LINE('',#575613,#143905); #104891=LINE('',#575619,#143906); #104892=LINE('',#575625,#143907); #104893=LINE('',#575631,#143908); #104894=LINE('',#575637,#143909); #104895=LINE('',#575643,#143910); #104896=LINE('',#575649,#143911); #104897=LINE('',#575655,#143912); #104898=LINE('',#575661,#143913); #104899=LINE('',#575667,#143914); #104900=LINE('',#575673,#143915); #104901=LINE('',#575679,#143916); #104902=LINE('',#575685,#143917); #104903=LINE('',#575691,#143918); #104904=LINE('',#575697,#143919); #104905=LINE('',#575703,#143920); #104906=LINE('',#575709,#143921); #104907=LINE('',#575715,#143922); #104908=LINE('',#575721,#143923); #104909=LINE('',#575727,#143924); #104910=LINE('',#575733,#143925); #104911=LINE('',#575739,#143926); #104912=LINE('',#575745,#143927); #104913=LINE('',#575751,#143928); #104914=LINE('',#575757,#143929); #104915=LINE('',#575763,#143930); #104916=LINE('',#575769,#143931); #104917=LINE('',#575775,#143932); #104918=LINE('',#575781,#143933); #104919=LINE('',#575787,#143934); #104920=LINE('',#575793,#143935); #104921=LINE('',#575799,#143936); #104922=LINE('',#575805,#143937); #104923=LINE('',#575811,#143938); #104924=LINE('',#575817,#143939); #104925=LINE('',#575823,#143940); #104926=LINE('',#575829,#143941); #104927=LINE('',#575835,#143942); #104928=LINE('',#575841,#143943); #104929=LINE('',#575847,#143944); #104930=LINE('',#575853,#143945); #104931=LINE('',#575859,#143946); #104932=LINE('',#575865,#143947); #104933=LINE('',#575871,#143948); #104934=LINE('',#575877,#143949); #104935=LINE('',#575883,#143950); #104936=LINE('',#575889,#143951); #104937=LINE('',#575895,#143952); #104938=LINE('',#575901,#143953); #104939=LINE('',#575907,#143954); #104940=LINE('',#575913,#143955); #104941=LINE('',#575919,#143956); #104942=LINE('',#575925,#143957); #104943=LINE('',#575931,#143958); #104944=LINE('',#575937,#143959); #104945=LINE('',#575943,#143960); #104946=LINE('',#575949,#143961); #104947=LINE('',#575955,#143962); #104948=LINE('',#575961,#143963); #104949=LINE('',#575967,#143964); #104950=LINE('',#575973,#143965); #104951=LINE('',#575979,#143966); #104952=LINE('',#575985,#143967); #104953=LINE('',#575991,#143968); #104954=LINE('',#575997,#143969); #104955=LINE('',#576003,#143970); #104956=LINE('',#576009,#143971); #104957=LINE('',#576015,#143972); #104958=LINE('',#576021,#143973); #104959=LINE('',#576027,#143974); #104960=LINE('',#576033,#143975); #104961=LINE('',#576039,#143976); #104962=LINE('',#576045,#143977); #104963=LINE('',#576051,#143978); #104964=LINE('',#576057,#143979); #104965=LINE('',#576063,#143980); #104966=LINE('',#576069,#143981); #104967=LINE('',#576075,#143982); #104968=LINE('',#576081,#143983); #104969=LINE('',#576087,#143984); #104970=LINE('',#576093,#143985); #104971=LINE('',#576099,#143986); #104972=LINE('',#576105,#143987); #104973=LINE('',#576111,#143988); #104974=LINE('',#576117,#143989); #104975=LINE('',#576123,#143990); #104976=LINE('',#576129,#143991); #104977=LINE('',#576135,#143992); #104978=LINE('',#576141,#143993); #104979=LINE('',#576147,#143994); #104980=LINE('',#576153,#143995); #104981=LINE('',#576159,#143996); #104982=LINE('',#576165,#143997); #104983=LINE('',#576171,#143998); #104984=LINE('',#576177,#143999); #104985=LINE('',#576183,#144000); #104986=LINE('',#576189,#144001); #104987=LINE('',#576195,#144002); #104988=LINE('',#576201,#144003); #104989=LINE('',#576207,#144004); #104990=LINE('',#576213,#144005); #104991=LINE('',#576218,#144006); #104992=LINE('',#576220,#144007); #104993=LINE('',#576222,#144008); #104994=LINE('',#576223,#144009); #104995=LINE('',#576229,#144010); #104996=LINE('',#576232,#144011); #104997=LINE('',#576234,#144012); #104998=LINE('',#576235,#144013); #104999=LINE('',#576243,#144014); #105000=LINE('',#576248,#144015); #105001=LINE('',#576250,#144016); #105002=LINE('',#576252,#144017); #105003=LINE('',#576253,#144018); #105004=LINE('',#576259,#144019); #105005=LINE('',#576262,#144020); #105006=LINE('',#576264,#144021); #105007=LINE('',#576265,#144022); #105008=LINE('',#576273,#144023); #105009=LINE('',#576279,#144024); #105010=LINE('',#576285,#144025); #105011=LINE('',#576290,#144026); #105012=LINE('',#576292,#144027); #105013=LINE('',#576294,#144028); #105014=LINE('',#576295,#144029); #105015=LINE('',#576301,#144030); #105016=LINE('',#576304,#144031); #105017=LINE('',#576306,#144032); #105018=LINE('',#576307,#144033); #105019=LINE('',#576315,#144034); #105020=LINE('',#576321,#144035); #105021=LINE('',#576327,#144036); #105022=LINE('',#576333,#144037); #105023=LINE('',#576339,#144038); #105024=LINE('',#576345,#144039); #105025=LINE('',#576351,#144040); #105026=LINE('',#576357,#144041); #105027=LINE('',#576363,#144042); #105028=LINE('',#576369,#144043); #105029=LINE('',#576374,#144044); #105030=LINE('',#576376,#144045); #105031=LINE('',#576378,#144046); #105032=LINE('',#576379,#144047); #105033=LINE('',#576385,#144048); #105034=LINE('',#576388,#144049); #105035=LINE('',#576390,#144050); #105036=LINE('',#576391,#144051); #105037=LINE('',#576399,#144052); #105038=LINE('',#576404,#144053); #105039=LINE('',#576406,#144054); #105040=LINE('',#576408,#144055); #105041=LINE('',#576409,#144056); #105042=LINE('',#576415,#144057); #105043=LINE('',#576418,#144058); #105044=LINE('',#576420,#144059); #105045=LINE('',#576421,#144060); #105046=LINE('',#576429,#144061); #105047=LINE('',#576434,#144062); #105048=LINE('',#576436,#144063); #105049=LINE('',#576438,#144064); #105050=LINE('',#576439,#144065); #105051=LINE('',#576445,#144066); #105052=LINE('',#576448,#144067); #105053=LINE('',#576450,#144068); #105054=LINE('',#576451,#144069); #105055=LINE('',#576459,#144070); #105056=LINE('',#576465,#144071); #105057=LINE('',#576471,#144072); #105058=LINE('',#576477,#144073); #105059=LINE('',#576483,#144074); #105060=LINE('',#576489,#144075); #105061=LINE('',#576495,#144076); #105062=LINE('',#576501,#144077); #105063=LINE('',#576507,#144078); #105064=LINE('',#576513,#144079); #105065=LINE('',#576519,#144080); #105066=LINE('',#576525,#144081); #105067=LINE('',#576531,#144082); #105068=LINE('',#576537,#144083); #105069=LINE('',#576543,#144084); #105070=LINE('',#576549,#144085); #105071=LINE('',#576555,#144086); #105072=LINE('',#576561,#144087); #105073=LINE('',#576567,#144088); #105074=LINE('',#576573,#144089); #105075=LINE('',#576579,#144090); #105076=LINE('',#576585,#144091); #105077=LINE('',#576591,#144092); #105078=LINE('',#576597,#144093); #105079=LINE('',#576603,#144094); #105080=LINE('',#576609,#144095); #105081=LINE('',#576615,#144096); #105082=LINE('',#576621,#144097); #105083=LINE('',#576627,#144098); #105084=LINE('',#576633,#144099); #105085=LINE('',#576639,#144100); #105086=LINE('',#576645,#144101); #105087=LINE('',#576651,#144102); #105088=LINE('',#576657,#144103); #105089=LINE('',#576663,#144104); #105090=LINE('',#576669,#144105); #105091=LINE('',#576675,#144106); #105092=LINE('',#576681,#144107); #105093=LINE('',#576687,#144108); #105094=LINE('',#576693,#144109); #105095=LINE('',#576699,#144110); #105096=LINE('',#576705,#144111); #105097=LINE('',#576711,#144112); #105098=LINE('',#576717,#144113); #105099=LINE('',#576723,#144114); #105100=LINE('',#576729,#144115); #105101=LINE('',#576735,#144116); #105102=LINE('',#576741,#144117); #105103=LINE('',#576747,#144118); #105104=LINE('',#576753,#144119); #105105=LINE('',#576759,#144120); #105106=LINE('',#576765,#144121); #105107=LINE('',#576771,#144122); #105108=LINE('',#576777,#144123); #105109=LINE('',#576783,#144124); #105110=LINE('',#576789,#144125); #105111=LINE('',#576795,#144126); #105112=LINE('',#576801,#144127); #105113=LINE('',#576807,#144128); #105114=LINE('',#576813,#144129); #105115=LINE('',#576819,#144130); #105116=LINE('',#576825,#144131); #105117=LINE('',#576831,#144132); #105118=LINE('',#576837,#144133); #105119=LINE('',#576843,#144134); #105120=LINE('',#576849,#144135); #105121=LINE('',#576855,#144136); #105122=LINE('',#576861,#144137); #105123=LINE('',#576867,#144138); #105124=LINE('',#576873,#144139); #105125=LINE('',#576879,#144140); #105126=LINE('',#576885,#144141); #105127=LINE('',#576891,#144142); #105128=LINE('',#576897,#144143); #105129=LINE('',#576903,#144144); #105130=LINE('',#576909,#144145); #105131=LINE('',#576915,#144146); #105132=LINE('',#576921,#144147); #105133=LINE('',#576927,#144148); #105134=LINE('',#576933,#144149); #105135=LINE('',#576939,#144150); #105136=LINE('',#576945,#144151); #105137=LINE('',#576951,#144152); #105138=LINE('',#576957,#144153); #105139=LINE('',#576963,#144154); #105140=LINE('',#576969,#144155); #105141=LINE('',#576975,#144156); #105142=LINE('',#576981,#144157); #105143=LINE('',#576987,#144158); #105144=LINE('',#576993,#144159); #105145=LINE('',#576999,#144160); #105146=LINE('',#577005,#144161); #105147=LINE('',#577011,#144162); #105148=LINE('',#577017,#144163); #105149=LINE('',#577023,#144164); #105150=LINE('',#577029,#144165); #105151=LINE('',#577035,#144166); #105152=LINE('',#577041,#144167); #105153=LINE('',#577047,#144168); #105154=LINE('',#577053,#144169); #105155=LINE('',#577059,#144170); #105156=LINE('',#577065,#144171); #105157=LINE('',#577071,#144172); #105158=LINE('',#577077,#144173); #105159=LINE('',#577083,#144174); #105160=LINE('',#577089,#144175); #105161=LINE('',#577095,#144176); #105162=LINE('',#577101,#144177); #105163=LINE('',#577107,#144178); #105164=LINE('',#577113,#144179); #105165=LINE('',#577119,#144180); #105166=LINE('',#577125,#144181); #105167=LINE('',#577131,#144182); #105168=LINE('',#577137,#144183); #105169=LINE('',#577143,#144184); #105170=LINE('',#577149,#144185); #105171=LINE('',#577155,#144186); #105172=LINE('',#577161,#144187); #105173=LINE('',#577167,#144188); #105174=LINE('',#577173,#144189); #105175=LINE('',#577179,#144190); #105176=LINE('',#577185,#144191); #105177=LINE('',#577191,#144192); #105178=LINE('',#577197,#144193); #105179=LINE('',#577203,#144194); #105180=LINE('',#577209,#144195); #105181=LINE('',#577215,#144196); #105182=LINE('',#577221,#144197); #105183=LINE('',#577227,#144198); #105184=LINE('',#577233,#144199); #105185=LINE('',#577239,#144200); #105186=LINE('',#577245,#144201); #105187=LINE('',#577251,#144202); #105188=LINE('',#577261,#144203); #105189=LINE('',#577263,#144204); #105190=LINE('',#577265,#144205); #105191=LINE('',#577266,#144206); #105192=LINE('',#577269,#144207); #105193=LINE('',#577271,#144208); #105194=LINE('',#577272,#144209); #105195=LINE('',#577275,#144210); #105196=LINE('',#577277,#144211); #105197=LINE('',#577279,#144212); #105198=LINE('',#577281,#144213); #105199=LINE('',#577283,#144214); #105200=LINE('',#577285,#144215); #105201=LINE('',#577287,#144216); #105202=LINE('',#577289,#144217); #105203=LINE('',#577291,#144218); #105204=LINE('',#577293,#144219); #105205=LINE('',#577295,#144220); #105206=LINE('',#577297,#144221); #105207=LINE('',#577299,#144222); #105208=LINE('',#577301,#144223); #105209=LINE('',#577303,#144224); #105210=LINE('',#577305,#144225); #105211=LINE('',#577307,#144226); #105212=LINE('',#577309,#144227); #105213=LINE('',#577311,#144228); #105214=LINE('',#577313,#144229); #105215=LINE('',#577315,#144230); #105216=LINE('',#577317,#144231); #105217=LINE('',#577319,#144232); #105218=LINE('',#577320,#144233); #105219=LINE('',#577323,#144234); #105220=LINE('',#577324,#144235); #105221=LINE('',#577327,#144236); #105222=LINE('',#577329,#144237); #105223=LINE('',#577330,#144238); #105224=LINE('',#577333,#144239); #105225=LINE('',#577335,#144240); #105226=LINE('',#577337,#144241); #105227=LINE('',#577339,#144242); #105228=LINE('',#577340,#144243); #105229=LINE('',#577343,#144244); #105230=LINE('',#577344,#144245); #105231=LINE('',#577346,#144246); #105232=LINE('',#577348,#144247); #105233=LINE('',#577349,#144248); #105234=LINE('',#577352,#144249); #105235=LINE('',#577354,#144250); #105236=LINE('',#577356,#144251); #105237=LINE('',#577357,#144252); #105238=LINE('',#577360,#144253); #105239=LINE('',#577362,#144254); #105240=LINE('',#577364,#144255); #105241=LINE('',#577365,#144256); #105242=LINE('',#577368,#144257); #105243=LINE('',#577370,#144258); #105244=LINE('',#577372,#144259); #105245=LINE('',#577373,#144260); #105246=LINE('',#577376,#144261); #105247=LINE('',#577378,#144262); #105248=LINE('',#577380,#144263); #105249=LINE('',#577381,#144264); #105250=LINE('',#577385,#144265); #105251=LINE('',#577386,#144266); #105252=LINE('',#577387,#144267); #105253=LINE('',#577390,#144268); #105254=LINE('',#577392,#144269); #105255=LINE('',#577394,#144270); #105256=LINE('',#577395,#144271); #105257=LINE('',#577399,#144272); #105258=LINE('',#577400,#144273); #105259=LINE('',#577401,#144274); #105260=LINE('',#577404,#144275); #105261=LINE('',#577406,#144276); #105262=LINE('',#577408,#144277); #105263=LINE('',#577409,#144278); #105264=LINE('',#577413,#144279); #105265=LINE('',#577414,#144280); #105266=LINE('',#577415,#144281); #105267=LINE('',#577418,#144282); #105268=LINE('',#577420,#144283); #105269=LINE('',#577422,#144284); #105270=LINE('',#577423,#144285); #105271=LINE('',#577427,#144286); #105272=LINE('',#577428,#144287); #105273=LINE('',#577429,#144288); #105274=LINE('',#577433,#144289); #105275=LINE('',#577434,#144290); #105276=LINE('',#577435,#144291); #105277=LINE('',#577439,#144292); #105278=LINE('',#577440,#144293); #105279=LINE('',#577441,#144294); #105280=LINE('',#577444,#144295); #105281=LINE('',#577446,#144296); #105282=LINE('',#577448,#144297); #105283=LINE('',#577449,#144298); #105284=LINE('',#577452,#144299); #105285=LINE('',#577454,#144300); #105286=LINE('',#577455,#144301); #105287=LINE('',#577458,#144302); #105288=LINE('',#577460,#144303); #105289=LINE('',#577461,#144304); #105290=LINE('',#577462,#144305); #105291=LINE('',#577463,#144306); #105292=LINE('',#577464,#144307); #105293=LINE('',#577465,#144308); #105294=LINE('',#577467,#144309); #105295=LINE('',#577469,#144310); #105296=LINE('',#577470,#144311); #105297=LINE('',#577471,#144312); #105298=LINE('',#577472,#144313); #105299=LINE('',#577474,#144314); #105300=LINE('',#577475,#144315); #105301=LINE('',#577480,#144316); #105302=LINE('',#577482,#144317); #105303=LINE('',#577484,#144318); #105304=LINE('',#577485,#144319); #105305=LINE('',#577487,#144320); #105306=LINE('',#577489,#144321); #105307=LINE('',#577491,#144322); #105308=LINE('',#577498,#144323); #105309=LINE('',#577500,#144324); #105310=LINE('',#577502,#144325); #105311=LINE('',#577503,#144326); #105312=LINE('',#577506,#144327); #105313=LINE('',#577508,#144328); #105314=LINE('',#577509,#144329); #105315=LINE('',#577512,#144330); #105316=LINE('',#577514,#144331); #105317=LINE('',#577515,#144332); #105318=LINE('',#577517,#144333); #105319=LINE('',#577519,#144334); #105320=LINE('',#577522,#144335); #105321=LINE('',#577524,#144336); #105322=LINE('',#577525,#144337); #105323=LINE('',#577528,#144338); #105324=LINE('',#577530,#144339); #105325=LINE('',#577531,#144340); #105326=LINE('',#577533,#144341); #105327=LINE('',#577535,#144342); #105328=LINE('',#577539,#144343); #105329=LINE('',#577541,#144344); #105330=LINE('',#577543,#144345); #105331=LINE('',#577544,#144346); #105332=LINE('',#577546,#144347); #105333=LINE('',#577547,#144348); #105334=LINE('',#577549,#144349); #105335=LINE('',#577551,#144350); #105336=LINE('',#577554,#144351); #105337=LINE('',#577555,#144352); #105338=LINE('',#577557,#144353); #105339=LINE('',#577559,#144354); #105340=LINE('',#577565,#144355); #105341=LINE('',#577567,#144356); #105342=LINE('',#577572,#144357); #105343=LINE('',#577574,#144358); #105344=LINE('',#577576,#144359); #105345=LINE('',#577577,#144360); #105346=LINE('',#577580,#144361); #105347=LINE('',#577582,#144362); #105348=LINE('',#577583,#144363); #105349=LINE('',#577586,#144364); #105350=LINE('',#577588,#144365); #105351=LINE('',#577589,#144366); #105352=LINE('',#577591,#144367); #105353=LINE('',#577593,#144368); #105354=LINE('',#577596,#144369); #105355=LINE('',#577598,#144370); #105356=LINE('',#577599,#144371); #105357=LINE('',#577602,#144372); #105358=LINE('',#577604,#144373); #105359=LINE('',#577605,#144374); #105360=LINE('',#577607,#144375); #105361=LINE('',#577609,#144376); #105362=LINE('',#577613,#144377); #105363=LINE('',#577615,#144378); #105364=LINE('',#577617,#144379); #105365=LINE('',#577618,#144380); #105366=LINE('',#577622,#144381); #105367=LINE('',#577624,#144382); #105368=LINE('',#577626,#144383); #105369=LINE('',#577627,#144384); #105370=LINE('',#577630,#144385); #105371=LINE('',#577632,#144386); #105372=LINE('',#577633,#144387); #105373=LINE('',#577636,#144388); #105374=LINE('',#577638,#144389); #105375=LINE('',#577639,#144390); #105376=LINE('',#577641,#144391); #105377=LINE('',#577643,#144392); #105378=LINE('',#577646,#144393); #105379=LINE('',#577648,#144394); #105380=LINE('',#577649,#144395); #105381=LINE('',#577652,#144396); #105382=LINE('',#577654,#144397); #105383=LINE('',#577655,#144398); #105384=LINE('',#577657,#144399); #105385=LINE('',#577659,#144400); #105386=LINE('',#577663,#144401); #105387=LINE('',#577665,#144402); #105388=LINE('',#577667,#144403); #105389=LINE('',#577668,#144404); #105390=LINE('',#577672,#144405); #105391=LINE('',#577674,#144406); #105392=LINE('',#577676,#144407); #105393=LINE('',#577677,#144408); #105394=LINE('',#577680,#144409); #105395=LINE('',#577682,#144410); #105396=LINE('',#577683,#144411); #105397=LINE('',#577686,#144412); #105398=LINE('',#577688,#144413); #105399=LINE('',#577689,#144414); #105400=LINE('',#577691,#144415); #105401=LINE('',#577693,#144416); #105402=LINE('',#577696,#144417); #105403=LINE('',#577698,#144418); #105404=LINE('',#577699,#144419); #105405=LINE('',#577702,#144420); #105406=LINE('',#577704,#144421); #105407=LINE('',#577705,#144422); #105408=LINE('',#577707,#144423); #105409=LINE('',#577709,#144424); #105410=LINE('',#577713,#144425); #105411=LINE('',#577715,#144426); #105412=LINE('',#577717,#144427); #105413=LINE('',#577718,#144428); #105414=LINE('',#577720,#144429); #105415=LINE('',#577721,#144430); #105416=LINE('',#577723,#144431); #105417=LINE('',#577725,#144432); #105418=LINE('',#577728,#144433); #105419=LINE('',#577729,#144434); #105420=LINE('',#577731,#144435); #105421=LINE('',#577733,#144436); #105422=LINE('',#577736,#144437); #105423=LINE('',#577737,#144438); #105424=LINE('',#577739,#144439); #105425=LINE('',#577741,#144440); #105426=LINE('',#577744,#144441); #105427=LINE('',#577745,#144442); #105428=LINE('',#577747,#144443); #105429=LINE('',#577749,#144444); #105430=LINE('',#577752,#144445); #105431=LINE('',#577753,#144446); #105432=LINE('',#577755,#144447); #105433=LINE('',#577757,#144448); #105434=LINE('',#577760,#144449); #105435=LINE('',#577761,#144450); #105436=LINE('',#577763,#144451); #105437=LINE('',#577765,#144452); #105438=LINE('',#577809,#144453); #105439=LINE('',#577810,#144454); #105440=LINE('',#577826,#144455); #105441=LINE('',#577827,#144456); #105442=LINE('',#577829,#144457); #105443=LINE('',#577830,#144458); #105444=LINE('',#577839,#144459); #105445=LINE('',#577840,#144460); #105446=LINE('',#577842,#144461); #105447=LINE('',#577843,#144462); #105448=LINE('',#577845,#144463); #105449=LINE('',#577846,#144464); #105450=LINE('',#577848,#144465); #105451=LINE('',#577849,#144466); #105452=LINE('',#577851,#144467); #105453=LINE('',#577852,#144468); #105454=LINE('',#577854,#144469); #105455=LINE('',#577855,#144470); #105456=LINE('',#577857,#144471); #105457=LINE('',#577858,#144472); #105458=LINE('',#577860,#144473); #105459=LINE('',#577861,#144474); #105460=LINE('',#577863,#144475); #105461=LINE('',#577864,#144476); #105462=LINE('',#577907,#144477); #105463=LINE('',#577908,#144478); #105464=LINE('',#577924,#144479); #105465=LINE('',#577925,#144480); #105466=LINE('',#577927,#144481); #105467=LINE('',#577928,#144482); #105468=LINE('',#577937,#144483); #105469=LINE('',#577938,#144484); #105470=LINE('',#577940,#144485); #105471=LINE('',#577941,#144486); #105472=LINE('',#577943,#144487); #105473=LINE('',#577944,#144488); #105474=LINE('',#577946,#144489); #105475=LINE('',#577947,#144490); #105476=LINE('',#577949,#144491); #105477=LINE('',#577950,#144492); #105478=LINE('',#577952,#144493); #105479=LINE('',#577953,#144494); #105480=LINE('',#577955,#144495); #105481=LINE('',#577956,#144496); #105482=LINE('',#577958,#144497); #105483=LINE('',#577959,#144498); #105484=LINE('',#577961,#144499); #105485=LINE('',#577962,#144500); #105486=LINE('',#577972,#144501); #105487=LINE('',#577974,#144502); #105488=LINE('',#577976,#144503); #105489=LINE('',#577977,#144504); #105490=LINE('',#577980,#144505); #105491=LINE('',#577982,#144506); #105492=LINE('',#577983,#144507); #105493=LINE('',#577986,#144508); #105494=LINE('',#577988,#144509); #105495=LINE('',#577989,#144510); #105496=LINE('',#577991,#144511); #105497=LINE('',#577992,#144512); #105498=LINE('',#577998,#144513); #105499=LINE('',#578000,#144514); #105500=LINE('',#578002,#144515); #105501=LINE('',#578003,#144516); #105502=LINE('',#578007,#144517); #105503=LINE('',#578009,#144518); #105504=LINE('',#578011,#144519); #105505=LINE('',#578012,#144520); #105506=LINE('',#578014,#144521); #105507=LINE('',#578015,#144522); #105508=LINE('',#578017,#144523); #105509=LINE('',#578019,#144524); #105510=LINE('',#578024,#144525); #105511=LINE('',#578026,#144526); #105512=LINE('',#578028,#144527); #105513=LINE('',#578029,#144528); #105514=LINE('',#578032,#144529); #105515=LINE('',#578034,#144530); #105516=LINE('',#578035,#144531); #105517=LINE('',#578038,#144532); #105518=LINE('',#578040,#144533); #105519=LINE('',#578041,#144534); #105520=LINE('',#578043,#144535); #105521=LINE('',#578044,#144536); #105522=LINE('',#578079,#144537); #105523=LINE('',#578081,#144538); #105524=LINE('',#578083,#144539); #105525=LINE('',#578085,#144540); #105526=LINE('',#578087,#144541); #105527=LINE('',#578089,#144542); #105528=LINE('',#578091,#144543); #105529=LINE('',#578093,#144544); #105530=LINE('',#578095,#144545); #105531=LINE('',#578097,#144546); #105532=LINE('',#578099,#144547); #105533=LINE('',#578101,#144548); #105534=LINE('',#578103,#144549); #105535=LINE('',#578105,#144550); #105536=LINE('',#578107,#144551); #105537=LINE('',#578108,#144552); #105538=LINE('',#578112,#144553); #105539=LINE('',#578114,#144554); #105540=LINE('',#578116,#144555); #105541=LINE('',#578117,#144556); #105542=LINE('',#578123,#144557); #105543=LINE('',#578127,#144558); #105544=LINE('',#578131,#144559); #105545=LINE('',#578134,#144560); #105546=LINE('',#578140,#144561); #105547=LINE('',#578144,#144562); #105548=LINE('',#578148,#144563); #105549=LINE('',#578151,#144564); #105550=LINE('',#578153,#144565); #105551=LINE('',#578154,#144566); #105552=LINE('',#578156,#144567); #105553=LINE('',#578157,#144568); #105554=LINE('',#578161,#144569); #105555=LINE('',#578163,#144570); #105556=LINE('',#578165,#144571); #105557=LINE('',#578167,#144572); #105558=LINE('',#578169,#144573); #105559=LINE('',#578171,#144574); #105560=LINE('',#578173,#144575); #105561=LINE('',#578175,#144576); #105562=LINE('',#578177,#144577); #105563=LINE('',#578179,#144578); #105564=LINE('',#578181,#144579); #105565=LINE('',#578183,#144580); #105566=LINE('',#578185,#144581); #105567=LINE('',#578187,#144582); #105568=LINE('',#578189,#144583); #105569=LINE('',#578190,#144584); #105570=LINE('',#578193,#144585); #105571=LINE('',#578195,#144586); #105572=LINE('',#578196,#144587); #105573=LINE('',#578200,#144588); #105574=LINE('',#578202,#144589); #105575=LINE('',#578204,#144590); #105576=LINE('',#578206,#144591); #105577=LINE('',#578208,#144592); #105578=LINE('',#578210,#144593); #105579=LINE('',#578212,#144594); #105580=LINE('',#578214,#144595); #105581=LINE('',#578216,#144596); #105582=LINE('',#578218,#144597); #105583=LINE('',#578220,#144598); #105584=LINE('',#578222,#144599); #105585=LINE('',#578224,#144600); #105586=LINE('',#578226,#144601); #105587=LINE('',#578228,#144602); #105588=LINE('',#578230,#144603); #105589=LINE('',#578232,#144604); #105590=LINE('',#578234,#144605); #105591=LINE('',#578235,#144606); #105592=LINE('',#578238,#144607); #105593=LINE('',#578242,#144608); #105594=LINE('',#578244,#144609); #105595=LINE('',#578245,#144610); #105596=LINE('',#578250,#144611); #105597=LINE('',#578252,#144612); #105598=LINE('',#578254,#144613); #105599=LINE('',#578255,#144614); #105600=LINE('',#578265,#144615); #105601=LINE('',#578268,#144616); #105602=LINE('',#578278,#144617); #105603=LINE('',#578280,#144618); #105604=LINE('',#578282,#144619); #105605=LINE('',#578283,#144620); #105606=LINE('',#578287,#144621); #105607=LINE('',#578289,#144622); #105608=LINE('',#578291,#144623); #105609=LINE('',#578292,#144624); #105610=LINE('',#578296,#144625); #105611=LINE('',#578298,#144626); #105612=LINE('',#578300,#144627); #105613=LINE('',#578301,#144628); #105614=LINE('',#578305,#144629); #105615=LINE('',#578307,#144630); #105616=LINE('',#578309,#144631); #105617=LINE('',#578310,#144632); #105618=LINE('',#578314,#144633); #105619=LINE('',#578316,#144634); #105620=LINE('',#578318,#144635); #105621=LINE('',#578319,#144636); #105622=LINE('',#578323,#144637); #105623=LINE('',#578325,#144638); #105624=LINE('',#578327,#144639); #105625=LINE('',#578328,#144640); #105626=LINE('',#578332,#144641); #105627=LINE('',#578334,#144642); #105628=LINE('',#578336,#144643); #105629=LINE('',#578337,#144644); #105630=LINE('',#578341,#144645); #105631=LINE('',#578343,#144646); #105632=LINE('',#578345,#144647); #105633=LINE('',#578346,#144648); #105634=LINE('',#578350,#144649); #105635=LINE('',#578352,#144650); #105636=LINE('',#578354,#144651); #105637=LINE('',#578355,#144652); #105638=LINE('',#578359,#144653); #105639=LINE('',#578361,#144654); #105640=LINE('',#578363,#144655); #105641=LINE('',#578364,#144656); #105642=LINE('',#578368,#144657); #105643=LINE('',#578370,#144658); #105644=LINE('',#578372,#144659); #105645=LINE('',#578373,#144660); #105646=LINE('',#578377,#144661); #105647=LINE('',#578379,#144662); #105648=LINE('',#578381,#144663); #105649=LINE('',#578382,#144664); #105650=LINE('',#578385,#144665); #105651=LINE('',#578387,#144666); #105652=LINE('',#578388,#144667); #105653=LINE('',#578391,#144668); #105654=LINE('',#578393,#144669); #105655=LINE('',#578394,#144670); #105656=LINE('',#578398,#144671); #105657=LINE('',#578400,#144672); #105658=LINE('',#578402,#144673); #105659=LINE('',#578403,#144674); #105660=LINE('',#578405,#144675); #105661=LINE('',#578406,#144676); #105662=LINE('',#578409,#144677); #105663=LINE('',#578411,#144678); #105664=LINE('',#578412,#144679); #105665=LINE('',#578415,#144680); #105666=LINE('',#578417,#144681); #105667=LINE('',#578418,#144682); #105668=LINE('',#578422,#144683); #105669=LINE('',#578424,#144684); #105670=LINE('',#578426,#144685); #105671=LINE('',#578427,#144686); #105672=LINE('',#578429,#144687); #105673=LINE('',#578430,#144688); #105674=LINE('',#578433,#144689); #105675=LINE('',#578435,#144690); #105676=LINE('',#578436,#144691); #105677=LINE('',#578439,#144692); #105678=LINE('',#578441,#144693); #105679=LINE('',#578442,#144694); #105680=LINE('',#578446,#144695); #105681=LINE('',#578448,#144696); #105682=LINE('',#578450,#144697); #105683=LINE('',#578451,#144698); #105684=LINE('',#578453,#144699); #105685=LINE('',#578454,#144700); #105686=LINE('',#578457,#144701); #105687=LINE('',#578459,#144702); #105688=LINE('',#578460,#144703); #105689=LINE('',#578463,#144704); #105690=LINE('',#578465,#144705); #105691=LINE('',#578466,#144706); #105692=LINE('',#578470,#144707); #105693=LINE('',#578472,#144708); #105694=LINE('',#578474,#144709); #105695=LINE('',#578475,#144710); #105696=LINE('',#578477,#144711); #105697=LINE('',#578478,#144712); #105698=LINE('',#578481,#144713); #105699=LINE('',#578483,#144714); #105700=LINE('',#578484,#144715); #105701=LINE('',#578487,#144716); #105702=LINE('',#578489,#144717); #105703=LINE('',#578490,#144718); #105704=LINE('',#578494,#144719); #105705=LINE('',#578496,#144720); #105706=LINE('',#578498,#144721); #105707=LINE('',#578499,#144722); #105708=LINE('',#578501,#144723); #105709=LINE('',#578502,#144724); #105710=LINE('',#578505,#144725); #105711=LINE('',#578507,#144726); #105712=LINE('',#578508,#144727); #105713=LINE('',#578511,#144728); #105714=LINE('',#578513,#144729); #105715=LINE('',#578514,#144730); #105716=LINE('',#578518,#144731); #105717=LINE('',#578520,#144732); #105718=LINE('',#578522,#144733); #105719=LINE('',#578523,#144734); #105720=LINE('',#578525,#144735); #105721=LINE('',#578526,#144736); #105722=LINE('',#578529,#144737); #105723=LINE('',#578531,#144738); #105724=LINE('',#578532,#144739); #105725=LINE('',#578535,#144740); #105726=LINE('',#578537,#144741); #105727=LINE('',#578538,#144742); #105728=LINE('',#578541,#144743); #105729=LINE('',#578543,#144744); #105730=LINE('',#578544,#144745); #105731=LINE('',#578547,#144746); #105732=LINE('',#578549,#144747); #105733=LINE('',#578550,#144748); #105734=LINE('',#578554,#144749); #105735=LINE('',#578556,#144750); #105736=LINE('',#578558,#144751); #105737=LINE('',#578559,#144752); #105738=LINE('',#578561,#144753); #105739=LINE('',#578562,#144754); #105740=LINE('',#578565,#144755); #105741=LINE('',#578567,#144756); #105742=LINE('',#578568,#144757); #105743=LINE('',#578571,#144758); #105744=LINE('',#578573,#144759); #105745=LINE('',#578574,#144760); #105746=LINE('',#578578,#144761); #105747=LINE('',#578580,#144762); #105748=LINE('',#578582,#144763); #105749=LINE('',#578583,#144764); #105750=LINE('',#578585,#144765); #105751=LINE('',#578586,#144766); #105752=LINE('',#578589,#144767); #105753=LINE('',#578591,#144768); #105754=LINE('',#578592,#144769); #105755=LINE('',#578595,#144770); #105756=LINE('',#578597,#144771); #105757=LINE('',#578598,#144772); #105758=LINE('',#578602,#144773); #105759=LINE('',#578604,#144774); #105760=LINE('',#578606,#144775); #105761=LINE('',#578607,#144776); #105762=LINE('',#578609,#144777); #105763=LINE('',#578610,#144778); #105764=LINE('',#578613,#144779); #105765=LINE('',#578615,#144780); #105766=LINE('',#578616,#144781); #105767=LINE('',#578619,#144782); #105768=LINE('',#578621,#144783); #105769=LINE('',#578622,#144784); #105770=LINE('',#578626,#144785); #105771=LINE('',#578628,#144786); #105772=LINE('',#578630,#144787); #105773=LINE('',#578631,#144788); #105774=LINE('',#578633,#144789); #105775=LINE('',#578634,#144790); #105776=LINE('',#578637,#144791); #105777=LINE('',#578639,#144792); #105778=LINE('',#578640,#144793); #105779=LINE('',#578643,#144794); #105780=LINE('',#578645,#144795); #105781=LINE('',#578646,#144796); #105782=LINE('',#578650,#144797); #105783=LINE('',#578652,#144798); #105784=LINE('',#578654,#144799); #105785=LINE('',#578655,#144800); #105786=LINE('',#578657,#144801); #105787=LINE('',#578658,#144802); #105788=LINE('',#578661,#144803); #105789=LINE('',#578663,#144804); #105790=LINE('',#578664,#144805); #105791=LINE('',#578667,#144806); #105792=LINE('',#578669,#144807); #105793=LINE('',#578670,#144808); #105794=LINE('',#578674,#144809); #105795=LINE('',#578676,#144810); #105796=LINE('',#578677,#144811); #105797=LINE('',#578678,#144812); #105798=LINE('',#578681,#144813); #105799=LINE('',#578683,#144814); #105800=LINE('',#578685,#144815); #105801=LINE('',#578687,#144816); #105802=LINE('',#578688,#144817); #105803=LINE('',#578691,#144818); #105804=LINE('',#578692,#144819); #105805=LINE('',#578693,#144820); #105806=LINE('',#578695,#144821); #105807=LINE('',#578696,#144822); #105808=LINE('',#578697,#144823); #105809=LINE('',#578698,#144824); #105810=LINE('',#578699,#144825); #105811=LINE('',#578700,#144826); #105812=LINE('',#578701,#144827); #105813=LINE('',#578702,#144828); #105814=LINE('',#578703,#144829); #105815=LINE('',#578704,#144830); #105816=LINE('',#578705,#144831); #105817=LINE('',#578706,#144832); #105818=LINE('',#578707,#144833); #105819=LINE('',#578710,#144834); #105820=LINE('',#578712,#144835); #105821=LINE('',#578714,#144836); #105822=LINE('',#578716,#144837); #105823=LINE('',#578717,#144838); #105824=LINE('',#578720,#144839); #105825=LINE('',#578722,#144840); #105826=LINE('',#578724,#144841); #105827=LINE('',#578726,#144842); #105828=LINE('',#578727,#144843); #105829=LINE('',#578731,#144844); #105830=LINE('',#578735,#144845); #105831=LINE('',#578739,#144846); #105832=LINE('',#578741,#144847); #105833=LINE('',#578742,#144848); #105834=LINE('',#578746,#144849); #105835=LINE('',#578748,#144850); #105836=LINE('',#578750,#144851); #105837=LINE('',#578751,#144852); #105838=LINE('',#578755,#144853); #105839=LINE('',#578757,#144854); #105840=LINE('',#578759,#144855); #105841=LINE('',#578761,#144856); #105842=LINE('',#578763,#144857); #105843=LINE('',#578765,#144858); #105844=LINE('',#578767,#144859); #105845=LINE('',#578769,#144860); #105846=LINE('',#578771,#144861); #105847=LINE('',#578773,#144862); #105848=LINE('',#578775,#144863); #105849=LINE('',#578777,#144864); #105850=LINE('',#578779,#144865); #105851=LINE('',#578780,#144866); #105852=LINE('',#578784,#144867); #105853=LINE('',#578786,#144868); #105854=LINE('',#578788,#144869); #105855=LINE('',#578789,#144870); #105856=LINE('',#578792,#144871); #105857=LINE('',#578794,#144872); #105858=LINE('',#578795,#144873); #105859=LINE('',#578799,#144874); #105860=LINE('',#578801,#144875); #105861=LINE('',#578803,#144876); #105862=LINE('',#578807,#144877); #105863=LINE('',#578811,#144878); #105864=LINE('',#578813,#144879); #105865=LINE('',#578815,#144880); #105866=LINE('',#578819,#144881); #105867=LINE('',#578820,#144882); #105868=LINE('',#578822,#144883); #105869=LINE('',#578823,#144884); #105870=LINE('',#578826,#144885); #105871=LINE('',#578827,#144886); #105872=LINE('',#578829,#144887); #105873=LINE('',#578831,#144888); #105874=LINE('',#578833,#144889); #105875=LINE('',#578837,#144890); #105876=LINE('',#578842,#144891); #105877=LINE('',#578844,#144892); #105878=LINE('',#578846,#144893); #105879=LINE('',#578847,#144894); #105880=LINE('',#578849,#144895); #105881=LINE('',#578850,#144896); #105882=LINE('',#578852,#144897); #105883=LINE('',#578853,#144898); #105884=LINE('',#578855,#144899); #105885=LINE('',#578856,#144900); #105886=LINE('',#578861,#144901); #105887=LINE('',#578865,#144902); #105888=LINE('',#578867,#144903); #105889=LINE('',#578868,#144904); #105890=LINE('',#578869,#144905); #105891=LINE('',#578875,#144906); #105892=LINE('',#578878,#144907); #105893=LINE('',#578879,#144908); #105894=LINE('',#578880,#144909); #105895=LINE('',#578883,#144910); #105896=LINE('',#578884,#144911); #105897=LINE('',#578886,#144912); #105898=LINE('',#578888,#144913); #105899=LINE('',#578890,#144914); #105900=LINE('',#578891,#144915); #105901=LINE('',#578893,#144916); #105902=LINE('',#578895,#144917); #105903=LINE('',#578897,#144918); #105904=LINE('',#578898,#144919); #105905=LINE('',#578903,#144920); #105906=LINE('',#578907,#144921); #105907=LINE('',#578911,#144922); #105908=LINE('',#578913,#144923); #105909=LINE('',#578914,#144924); #105910=LINE('',#578918,#144925); #105911=LINE('',#578921,#144926); #105912=LINE('',#578925,#144927); #105913=LINE('',#578927,#144928); #105914=LINE('',#578929,#144929); #105915=LINE('',#578930,#144930); #105916=LINE('',#578932,#144931); #105917=LINE('',#578934,#144932); #105918=LINE('',#578935,#144933); #105919=LINE('',#578939,#144934); #105920=LINE('',#578943,#144935); #105921=LINE('',#578947,#144936); #105922=LINE('',#578951,#144937); #105923=LINE('',#578955,#144938); #105924=LINE('',#578957,#144939); #105925=LINE('',#578959,#144940); #105926=LINE('',#578960,#144941); #105927=LINE('',#578963,#144942); #105928=LINE('',#578965,#144943); #105929=LINE('',#578966,#144944); #105930=LINE('',#578969,#144945); #105931=LINE('',#578971,#144946); #105932=LINE('',#578972,#144947); #105933=LINE('',#578978,#144948); #105934=LINE('',#578981,#144949); #105935=LINE('',#578983,#144950); #105936=LINE('',#578984,#144951); #105937=LINE('',#578987,#144952); #105938=LINE('',#578989,#144953); #105939=LINE('',#578990,#144954); #105940=LINE('',#578994,#144955); #105941=LINE('',#578996,#144956); #105942=LINE('',#578998,#144957); #105943=LINE('',#578999,#144958); #105944=LINE('',#579003,#144959); #105945=LINE('',#579005,#144960); #105946=LINE('',#579007,#144961); #105947=LINE('',#579008,#144962); #105948=LINE('',#579011,#144963); #105949=LINE('',#579013,#144964); #105950=LINE('',#579014,#144965); #105951=LINE('',#579020,#144966); #105952=LINE('',#579022,#144967); #105953=LINE('',#579023,#144968); #105954=LINE('',#579026,#144969); #105955=LINE('',#579028,#144970); #105956=LINE('',#579029,#144971); #105957=LINE('',#579031,#144972); #105958=LINE('',#579032,#144973); #105959=LINE('',#579034,#144974); #105960=LINE('',#579036,#144975); #105961=LINE('',#579038,#144976); #105962=LINE('',#579040,#144977); #105963=LINE('',#579042,#144978); #105964=LINE('',#579044,#144979); #105965=LINE('',#579047,#144980); #105966=LINE('',#579049,#144981); #105967=LINE('',#579051,#144982); #105968=LINE('',#579054,#144983); #105969=LINE('',#579065,#144984); #105970=LINE('',#579067,#144985); #105971=LINE('',#579070,#144986); #105972=LINE('',#579072,#144987); #105973=LINE('',#579075,#144988); #105974=LINE('',#579078,#144989); #105975=LINE('',#579080,#144990); #105976=LINE('',#579081,#144991); #105977=LINE('',#579082,#144992); #105978=LINE('',#579084,#144993); #105979=LINE('',#579088,#144994); #105980=LINE('',#579090,#144995); #105981=LINE('',#579092,#144996); #105982=LINE('',#579093,#144997); #105983=LINE('',#579095,#144998); #105984=LINE('',#579096,#144999); #105985=LINE('',#579100,#145000); #105986=LINE('',#579102,#145001); #105987=LINE('',#579104,#145002); #105988=LINE('',#579105,#145003); #105989=LINE('',#579107,#145004); #105990=LINE('',#579108,#145005); #105991=LINE('',#579110,#145006); #105992=LINE('',#579113,#145007); #105993=LINE('',#579115,#145008); #105994=LINE('',#579116,#145009); #105995=LINE('',#579117,#145010); #105996=LINE('',#579119,#145011); #105997=LINE('',#579122,#145012); #105998=LINE('',#579124,#145013); #105999=LINE('',#579127,#145014); #106000=LINE('',#579130,#145015); #106001=LINE('',#579131,#145016); #106002=LINE('',#579134,#145017); #106003=LINE('',#579136,#145018); #106004=LINE('',#579137,#145019); #106005=LINE('',#579139,#145020); #106006=LINE('',#579140,#145021); #106007=LINE('',#579142,#145022); #106008=LINE('',#579144,#145023); #106009=LINE('',#579152,#145024); #106010=LINE('',#579159,#145025); #106011=LINE('',#579165,#145026); #106012=LINE('',#579167,#145027); #106013=LINE('',#579171,#145028); #106014=LINE('',#579172,#145029); #106015=LINE('',#579187,#145030); #106016=LINE('',#579190,#145031); #106017=LINE('',#579192,#145032); #106018=LINE('',#579195,#145033); #106019=LINE('',#579196,#145034); #106020=LINE('',#579197,#145035); #106021=LINE('',#579198,#145036); #106022=LINE('',#579199,#145037); #106023=LINE('',#579200,#145038); #106024=LINE('',#579201,#145039); #106025=LINE('',#579202,#145040); #106026=LINE('',#579203,#145041); #106027=LINE('',#579204,#145042); #106028=LINE('',#579205,#145043); #106029=LINE('',#579206,#145044); #106030=LINE('',#579208,#145045); #106031=LINE('',#579211,#145046); #106032=LINE('',#579214,#145047); #106033=LINE('',#579217,#145048); #106034=LINE('',#579220,#145049); #106035=LINE('',#579223,#145050); #106036=LINE('',#579226,#145051); #106037=LINE('',#579229,#145052); #106038=LINE('',#579232,#145053); #106039=LINE('',#579235,#145054); #106040=LINE('',#579238,#145055); #106041=LINE('',#579241,#145056); #106042=LINE('',#579246,#145057); #106043=LINE('',#579248,#145058); #106044=LINE('',#579249,#145059); #106045=LINE('',#579251,#145060); #106046=LINE('',#579252,#145061); #106047=LINE('',#579255,#145062); #106048=LINE('',#579256,#145063); #106049=LINE('',#579258,#145064); #106050=LINE('',#579260,#145065); #106051=LINE('',#579262,#145066); #106052=LINE('',#579263,#145067); #106053=LINE('',#579265,#145068); #106054=LINE('',#579266,#145069); #106055=LINE('',#579268,#145070); #106056=LINE('',#579269,#145071); #106057=LINE('',#579271,#145072); #106058=LINE('',#579274,#145073); #106059=LINE('',#579276,#145074); #106060=LINE('',#579277,#145075); #106061=LINE('',#579279,#145076); #106062=LINE('',#579281,#145077); #106063=LINE('',#579283,#145078); #106064=LINE('',#579285,#145079); #106065=LINE('',#579286,#145080); #106066=LINE('',#579289,#145081); #106067=LINE('',#579291,#145082); #106068=LINE('',#579293,#145083); #106069=LINE('',#579295,#145084); #106070=LINE('',#579297,#145085); #106071=LINE('',#579299,#145086); #106072=LINE('',#579301,#145087); #106073=LINE('',#579303,#145088); #106074=LINE('',#579305,#145089); #106075=LINE('',#579307,#145090); #106076=LINE('',#579309,#145091); #106077=LINE('',#579311,#145092); #106078=LINE('',#579313,#145093); #106079=LINE('',#579315,#145094); #106080=LINE('',#579316,#145095); #106081=LINE('',#579319,#145096); #106082=LINE('',#579320,#145097); #106083=LINE('',#579323,#145098); #106084=LINE('',#579324,#145099); #106085=LINE('',#579326,#145100); #106086=LINE('',#579327,#145101); #106087=LINE('',#579331,#145102); #106088=LINE('',#579332,#145103); #106089=LINE('',#579335,#145104); #106090=LINE('',#579336,#145105); #106091=LINE('',#579338,#145106); #106092=LINE('',#579339,#145107); #106093=LINE('',#579343,#145108); #106094=LINE('',#579344,#145109); #106095=LINE('',#579347,#145110); #106096=LINE('',#579348,#145111); #106097=LINE('',#579351,#145112); #106098=LINE('',#579352,#145113); #106099=LINE('',#579355,#145114); #106100=LINE('',#579356,#145115); #106101=LINE('',#579359,#145116); #106102=LINE('',#579360,#145117); #106103=LINE('',#579363,#145118); #106104=LINE('',#579364,#145119); #106105=LINE('',#579367,#145120); #106106=LINE('',#579368,#145121); #106107=LINE('',#579371,#145122); #106108=LINE('',#579372,#145123); #106109=LINE('',#579375,#145124); #106110=LINE('',#579376,#145125); #106111=LINE('',#579379,#145126); #106112=LINE('',#579380,#145127); #106113=LINE('',#579383,#145128); #106114=LINE('',#579384,#145129); #106115=LINE('',#579387,#145130); #106116=LINE('',#579388,#145131); #106117=LINE('',#579391,#145132); #106118=LINE('',#579392,#145133); #106119=LINE('',#579395,#145134); #106120=LINE('',#579396,#145135); #106121=LINE('',#579398,#145136); #106122=LINE('',#579401,#145137); #106123=LINE('',#579402,#145138); #106124=LINE('',#579405,#145139); #106125=LINE('',#579406,#145140); #106126=LINE('',#579409,#145141); #106127=LINE('',#579410,#145142); #106128=LINE('',#579413,#145143); #106129=LINE('',#579414,#145144); #106130=LINE('',#579417,#145145); #106131=LINE('',#579418,#145146); #106132=LINE('',#579421,#145147); #106133=LINE('',#579422,#145148); #106134=LINE('',#579425,#145149); #106135=LINE('',#579426,#145150); #106136=LINE('',#579429,#145151); #106137=LINE('',#579430,#145152); #106138=LINE('',#579433,#145153); #106139=LINE('',#579434,#145154); #106140=LINE('',#579437,#145155); #106141=LINE('',#579438,#145156); #106142=LINE('',#579441,#145157); #106143=LINE('',#579442,#145158); #106144=LINE('',#579445,#145159); #106145=LINE('',#579446,#145160); #106146=LINE('',#579449,#145161); #106147=LINE('',#579450,#145162); #106148=LINE('',#579453,#145163); #106149=LINE('',#579454,#145164); #106150=LINE('',#579456,#145165); #106151=LINE('',#579459,#145166); #106152=LINE('',#579461,#145167); #106153=LINE('',#579464,#145168); #106154=LINE('',#579466,#145169); #106155=LINE('',#579470,#145170); #106156=LINE('',#579477,#145171); #106157=LINE('',#579479,#145172); #106158=LINE('',#579481,#145173); #106159=LINE('',#579482,#145174); #106160=LINE('',#579485,#145175); #106161=LINE('',#579489,#145176); #106162=LINE('',#579493,#145177); #106163=LINE('',#579495,#145178); #106164=LINE('',#579497,#145179); #106165=LINE('',#579501,#145180); #106166=LINE('',#579504,#145181); #106167=LINE('',#579508,#145182); #106168=LINE('',#579510,#145183); #106169=LINE('',#579512,#145184); #106170=LINE('',#579516,#145185); #106171=LINE('',#579520,#145186); #106172=LINE('',#579522,#145187); #106173=LINE('',#579524,#145188); #106174=LINE('',#579528,#145189); #106175=LINE('',#579532,#145190); #106176=LINE('',#579534,#145191); #106177=LINE('',#579535,#145192); #106178=LINE('',#579539,#145193); #106179=LINE('',#579541,#145194); #106180=LINE('',#579542,#145195); #106181=LINE('',#579544,#145196); #106182=LINE('',#579545,#145197); #106183=LINE('',#579549,#145198); #106184=LINE('',#579550,#145199); #106185=LINE('',#579551,#145200); #106186=LINE('',#579554,#145201); #106187=LINE('',#579555,#145202); #106188=LINE('',#579557,#145203); #106189=LINE('',#579558,#145204); #106190=LINE('',#579560,#145205); #106191=LINE('',#579561,#145206); #106192=LINE('',#579563,#145207); #106193=LINE('',#579564,#145208); #106194=LINE('',#579566,#145209); #106195=LINE('',#579567,#145210); #106196=LINE('',#579569,#145211); #106197=LINE('',#579571,#145212); #106198=LINE('',#579573,#145213); #106199=LINE('',#579576,#145214); #106200=LINE('',#579578,#145215); #106201=LINE('',#579580,#145216); #106202=LINE('',#579588,#145217); #106203=LINE('',#579590,#145218); #106204=LINE('',#579592,#145219); #106205=LINE('',#579593,#145220); #106206=LINE('',#579596,#145221); #106207=LINE('',#579600,#145222); #106208=LINE('',#579604,#145223); #106209=LINE('',#579606,#145224); #106210=LINE('',#579608,#145225); #106211=LINE('',#579612,#145226); #106212=LINE('',#579615,#145227); #106213=LINE('',#579619,#145228); #106214=LINE('',#579621,#145229); #106215=LINE('',#579623,#145230); #106216=LINE('',#579627,#145231); #106217=LINE('',#579631,#145232); #106218=LINE('',#579633,#145233); #106219=LINE('',#579635,#145234); #106220=LINE('',#579639,#145235); #106221=LINE('',#579643,#145236); #106222=LINE('',#579645,#145237); #106223=LINE('',#579646,#145238); #106224=LINE('',#579650,#145239); #106225=LINE('',#579652,#145240); #106226=LINE('',#579653,#145241); #106227=LINE('',#579655,#145242); #106228=LINE('',#579656,#145243); #106229=LINE('',#579660,#145244); #106230=LINE('',#579661,#145245); #106231=LINE('',#579662,#145246); #106232=LINE('',#579665,#145247); #106233=LINE('',#579666,#145248); #106234=LINE('',#579668,#145249); #106235=LINE('',#579669,#145250); #106236=LINE('',#579671,#145251); #106237=LINE('',#579672,#145252); #106238=LINE('',#579674,#145253); #106239=LINE('',#579675,#145254); #106240=LINE('',#579677,#145255); #106241=LINE('',#579678,#145256); #106242=LINE('',#579680,#145257); #106243=LINE('',#579682,#145258); #106244=LINE('',#579684,#145259); #106245=LINE('',#579687,#145260); #106246=LINE('',#579689,#145261); #106247=LINE('',#579691,#145262); #106248=LINE('',#579699,#145263); #106249=LINE('',#579701,#145264); #106250=LINE('',#579703,#145265); #106251=LINE('',#579704,#145266); #106252=LINE('',#579707,#145267); #106253=LINE('',#579711,#145268); #106254=LINE('',#579715,#145269); #106255=LINE('',#579717,#145270); #106256=LINE('',#579719,#145271); #106257=LINE('',#579723,#145272); #106258=LINE('',#579726,#145273); #106259=LINE('',#579730,#145274); #106260=LINE('',#579732,#145275); #106261=LINE('',#579734,#145276); #106262=LINE('',#579738,#145277); #106263=LINE('',#579742,#145278); #106264=LINE('',#579744,#145279); #106265=LINE('',#579746,#145280); #106266=LINE('',#579750,#145281); #106267=LINE('',#579754,#145282); #106268=LINE('',#579756,#145283); #106269=LINE('',#579757,#145284); #106270=LINE('',#579761,#145285); #106271=LINE('',#579763,#145286); #106272=LINE('',#579764,#145287); #106273=LINE('',#579766,#145288); #106274=LINE('',#579767,#145289); #106275=LINE('',#579771,#145290); #106276=LINE('',#579772,#145291); #106277=LINE('',#579773,#145292); #106278=LINE('',#579776,#145293); #106279=LINE('',#579777,#145294); #106280=LINE('',#579779,#145295); #106281=LINE('',#579780,#145296); #106282=LINE('',#579782,#145297); #106283=LINE('',#579783,#145298); #106284=LINE('',#579785,#145299); #106285=LINE('',#579786,#145300); #106286=LINE('',#579788,#145301); #106287=LINE('',#579789,#145302); #106288=LINE('',#579791,#145303); #106289=LINE('',#579793,#145304); #106290=LINE('',#579795,#145305); #106291=LINE('',#579798,#145306); #106292=LINE('',#579800,#145307); #106293=LINE('',#579802,#145308); #106294=LINE('',#579810,#145309); #106295=LINE('',#579812,#145310); #106296=LINE('',#579814,#145311); #106297=LINE('',#579815,#145312); #106298=LINE('',#579818,#145313); #106299=LINE('',#579822,#145314); #106300=LINE('',#579826,#145315); #106301=LINE('',#579828,#145316); #106302=LINE('',#579830,#145317); #106303=LINE('',#579834,#145318); #106304=LINE('',#579837,#145319); #106305=LINE('',#579841,#145320); #106306=LINE('',#579843,#145321); #106307=LINE('',#579845,#145322); #106308=LINE('',#579849,#145323); #106309=LINE('',#579853,#145324); #106310=LINE('',#579855,#145325); #106311=LINE('',#579857,#145326); #106312=LINE('',#579861,#145327); #106313=LINE('',#579865,#145328); #106314=LINE('',#579867,#145329); #106315=LINE('',#579868,#145330); #106316=LINE('',#579872,#145331); #106317=LINE('',#579874,#145332); #106318=LINE('',#579875,#145333); #106319=LINE('',#579877,#145334); #106320=LINE('',#579878,#145335); #106321=LINE('',#579882,#145336); #106322=LINE('',#579883,#145337); #106323=LINE('',#579884,#145338); #106324=LINE('',#579887,#145339); #106325=LINE('',#579888,#145340); #106326=LINE('',#579890,#145341); #106327=LINE('',#579891,#145342); #106328=LINE('',#579893,#145343); #106329=LINE('',#579894,#145344); #106330=LINE('',#579896,#145345); #106331=LINE('',#579897,#145346); #106332=LINE('',#579899,#145347); #106333=LINE('',#579900,#145348); #106334=LINE('',#579902,#145349); #106335=LINE('',#579904,#145350); #106336=LINE('',#579906,#145351); #106337=LINE('',#579909,#145352); #106338=LINE('',#579911,#145353); #106339=LINE('',#579913,#145354); #106340=LINE('',#579921,#145355); #106341=LINE('',#579923,#145356); #106342=LINE('',#579925,#145357); #106343=LINE('',#579926,#145358); #106344=LINE('',#579929,#145359); #106345=LINE('',#579933,#145360); #106346=LINE('',#579937,#145361); #106347=LINE('',#579939,#145362); #106348=LINE('',#579941,#145363); #106349=LINE('',#579945,#145364); #106350=LINE('',#579948,#145365); #106351=LINE('',#579952,#145366); #106352=LINE('',#579954,#145367); #106353=LINE('',#579956,#145368); #106354=LINE('',#579960,#145369); #106355=LINE('',#579964,#145370); #106356=LINE('',#579966,#145371); #106357=LINE('',#579968,#145372); #106358=LINE('',#579972,#145373); #106359=LINE('',#579976,#145374); #106360=LINE('',#579978,#145375); #106361=LINE('',#579979,#145376); #106362=LINE('',#579983,#145377); #106363=LINE('',#579985,#145378); #106364=LINE('',#579986,#145379); #106365=LINE('',#579988,#145380); #106366=LINE('',#579989,#145381); #106367=LINE('',#579993,#145382); #106368=LINE('',#579994,#145383); #106369=LINE('',#579995,#145384); #106370=LINE('',#579998,#145385); #106371=LINE('',#579999,#145386); #106372=LINE('',#580001,#145387); #106373=LINE('',#580002,#145388); #106374=LINE('',#580004,#145389); #106375=LINE('',#580005,#145390); #106376=LINE('',#580007,#145391); #106377=LINE('',#580008,#145392); #106378=LINE('',#580010,#145393); #106379=LINE('',#580011,#145394); #106380=LINE('',#580013,#145395); #106381=LINE('',#580015,#145396); #106382=LINE('',#580017,#145397); #106383=LINE('',#580020,#145398); #106384=LINE('',#580022,#145399); #106385=LINE('',#580024,#145400); #106386=LINE('',#580032,#145401); #106387=LINE('',#580034,#145402); #106388=LINE('',#580036,#145403); #106389=LINE('',#580037,#145404); #106390=LINE('',#580040,#145405); #106391=LINE('',#580044,#145406); #106392=LINE('',#580048,#145407); #106393=LINE('',#580050,#145408); #106394=LINE('',#580052,#145409); #106395=LINE('',#580056,#145410); #106396=LINE('',#580059,#145411); #106397=LINE('',#580063,#145412); #106398=LINE('',#580065,#145413); #106399=LINE('',#580067,#145414); #106400=LINE('',#580071,#145415); #106401=LINE('',#580075,#145416); #106402=LINE('',#580077,#145417); #106403=LINE('',#580079,#145418); #106404=LINE('',#580083,#145419); #106405=LINE('',#580087,#145420); #106406=LINE('',#580089,#145421); #106407=LINE('',#580090,#145422); #106408=LINE('',#580094,#145423); #106409=LINE('',#580096,#145424); #106410=LINE('',#580097,#145425); #106411=LINE('',#580099,#145426); #106412=LINE('',#580100,#145427); #106413=LINE('',#580104,#145428); #106414=LINE('',#580105,#145429); #106415=LINE('',#580106,#145430); #106416=LINE('',#580109,#145431); #106417=LINE('',#580110,#145432); #106418=LINE('',#580112,#145433); #106419=LINE('',#580113,#145434); #106420=LINE('',#580115,#145435); #106421=LINE('',#580116,#145436); #106422=LINE('',#580118,#145437); #106423=LINE('',#580119,#145438); #106424=LINE('',#580121,#145439); #106425=LINE('',#580122,#145440); #106426=LINE('',#580124,#145441); #106427=LINE('',#580126,#145442); #106428=LINE('',#580128,#145443); #106429=LINE('',#580131,#145444); #106430=LINE('',#580133,#145445); #106431=LINE('',#580135,#145446); #106432=LINE('',#580143,#145447); #106433=LINE('',#580145,#145448); #106434=LINE('',#580147,#145449); #106435=LINE('',#580148,#145450); #106436=LINE('',#580151,#145451); #106437=LINE('',#580155,#145452); #106438=LINE('',#580159,#145453); #106439=LINE('',#580161,#145454); #106440=LINE('',#580163,#145455); #106441=LINE('',#580167,#145456); #106442=LINE('',#580170,#145457); #106443=LINE('',#580174,#145458); #106444=LINE('',#580176,#145459); #106445=LINE('',#580178,#145460); #106446=LINE('',#580182,#145461); #106447=LINE('',#580186,#145462); #106448=LINE('',#580188,#145463); #106449=LINE('',#580190,#145464); #106450=LINE('',#580194,#145465); #106451=LINE('',#580198,#145466); #106452=LINE('',#580200,#145467); #106453=LINE('',#580201,#145468); #106454=LINE('',#580205,#145469); #106455=LINE('',#580207,#145470); #106456=LINE('',#580208,#145471); #106457=LINE('',#580210,#145472); #106458=LINE('',#580211,#145473); #106459=LINE('',#580215,#145474); #106460=LINE('',#580216,#145475); #106461=LINE('',#580217,#145476); #106462=LINE('',#580220,#145477); #106463=LINE('',#580221,#145478); #106464=LINE('',#580223,#145479); #106465=LINE('',#580224,#145480); #106466=LINE('',#580226,#145481); #106467=LINE('',#580227,#145482); #106468=LINE('',#580229,#145483); #106469=LINE('',#580230,#145484); #106470=LINE('',#580232,#145485); #106471=LINE('',#580233,#145486); #106472=LINE('',#580235,#145487); #106473=LINE('',#580237,#145488); #106474=LINE('',#580239,#145489); #106475=LINE('',#580242,#145490); #106476=LINE('',#580244,#145491); #106477=LINE('',#580246,#145492); #106478=LINE('',#580254,#145493); #106479=LINE('',#580256,#145494); #106480=LINE('',#580258,#145495); #106481=LINE('',#580259,#145496); #106482=LINE('',#580262,#145497); #106483=LINE('',#580264,#145498); #106484=LINE('',#580268,#145499); #106485=LINE('',#580272,#145500); #106486=LINE('',#580274,#145501); #106487=LINE('',#580276,#145502); #106488=LINE('',#580280,#145503); #106489=LINE('',#580285,#145504); #106490=LINE('',#580289,#145505); #106491=LINE('',#580293,#145506); #106492=LINE('',#580295,#145507); #106493=LINE('',#580297,#145508); #106494=LINE('',#580301,#145509); #106495=LINE('',#580305,#145510); #106496=LINE('',#580306,#145511); #106497=LINE('',#580309,#145512); #106498=LINE('',#580311,#145513); #106499=LINE('',#580312,#145514); #106500=LINE('',#580316,#145515); #106501=LINE('',#580318,#145516); #106502=LINE('',#580319,#145517); #106503=LINE('',#580321,#145518); #106504=LINE('',#580322,#145519); #106505=LINE('',#580326,#145520); #106506=LINE('',#580327,#145521); #106507=LINE('',#580328,#145522); #106508=LINE('',#580331,#145523); #106509=LINE('',#580333,#145524); #106510=LINE('',#580334,#145525); #106511=LINE('',#580335,#145526); #106512=LINE('',#580337,#145527); #106513=LINE('',#580338,#145528); #106514=LINE('',#580340,#145529); #106515=LINE('',#580341,#145530); #106516=LINE('',#580343,#145531); #106517=LINE('',#580344,#145532); #106518=LINE('',#580346,#145533); #106519=LINE('',#580348,#145534); #106520=LINE('',#580350,#145535); #106521=LINE('',#580353,#145536); #106522=LINE('',#580355,#145537); #106523=LINE('',#580357,#145538); #106524=LINE('',#580365,#145539); #106525=LINE('',#580367,#145540); #106526=LINE('',#580369,#145541); #106527=LINE('',#580370,#145542); #106528=LINE('',#580373,#145543); #106529=LINE('',#580375,#145544); #106530=LINE('',#580379,#145545); #106531=LINE('',#580383,#145546); #106532=LINE('',#580385,#145547); #106533=LINE('',#580387,#145548); #106534=LINE('',#580391,#145549); #106535=LINE('',#580396,#145550); #106536=LINE('',#580400,#145551); #106537=LINE('',#580404,#145552); #106538=LINE('',#580406,#145553); #106539=LINE('',#580408,#145554); #106540=LINE('',#580412,#145555); #106541=LINE('',#580416,#145556); #106542=LINE('',#580417,#145557); #106543=LINE('',#580420,#145558); #106544=LINE('',#580422,#145559); #106545=LINE('',#580423,#145560); #106546=LINE('',#580427,#145561); #106547=LINE('',#580429,#145562); #106548=LINE('',#580430,#145563); #106549=LINE('',#580432,#145564); #106550=LINE('',#580433,#145565); #106551=LINE('',#580437,#145566); #106552=LINE('',#580438,#145567); #106553=LINE('',#580439,#145568); #106554=LINE('',#580442,#145569); #106555=LINE('',#580444,#145570); #106556=LINE('',#580445,#145571); #106557=LINE('',#580446,#145572); #106558=LINE('',#580448,#145573); #106559=LINE('',#580449,#145574); #106560=LINE('',#580451,#145575); #106561=LINE('',#580452,#145576); #106562=LINE('',#580454,#145577); #106563=LINE('',#580455,#145578); #106564=LINE('',#580457,#145579); #106565=LINE('',#580459,#145580); #106566=LINE('',#580461,#145581); #106567=LINE('',#580464,#145582); #106568=LINE('',#580466,#145583); #106569=LINE('',#580468,#145584); #106570=LINE('',#580476,#145585); #106571=LINE('',#580478,#145586); #106572=LINE('',#580480,#145587); #106573=LINE('',#580481,#145588); #106574=LINE('',#580484,#145589); #106575=LINE('',#580486,#145590); #106576=LINE('',#580490,#145591); #106577=LINE('',#580494,#145592); #106578=LINE('',#580496,#145593); #106579=LINE('',#580498,#145594); #106580=LINE('',#580502,#145595); #106581=LINE('',#580507,#145596); #106582=LINE('',#580511,#145597); #106583=LINE('',#580515,#145598); #106584=LINE('',#580517,#145599); #106585=LINE('',#580519,#145600); #106586=LINE('',#580523,#145601); #106587=LINE('',#580527,#145602); #106588=LINE('',#580528,#145603); #106589=LINE('',#580531,#145604); #106590=LINE('',#580533,#145605); #106591=LINE('',#580534,#145606); #106592=LINE('',#580538,#145607); #106593=LINE('',#580540,#145608); #106594=LINE('',#580541,#145609); #106595=LINE('',#580543,#145610); #106596=LINE('',#580544,#145611); #106597=LINE('',#580548,#145612); #106598=LINE('',#580549,#145613); #106599=LINE('',#580550,#145614); #106600=LINE('',#580553,#145615); #106601=LINE('',#580555,#145616); #106602=LINE('',#580556,#145617); #106603=LINE('',#580557,#145618); #106604=LINE('',#580559,#145619); #106605=LINE('',#580560,#145620); #106606=LINE('',#580562,#145621); #106607=LINE('',#580563,#145622); #106608=LINE('',#580565,#145623); #106609=LINE('',#580566,#145624); #106610=LINE('',#580568,#145625); #106611=LINE('',#580570,#145626); #106612=LINE('',#580572,#145627); #106613=LINE('',#580575,#145628); #106614=LINE('',#580577,#145629); #106615=LINE('',#580579,#145630); #106616=LINE('',#580587,#145631); #106617=LINE('',#580589,#145632); #106618=LINE('',#580591,#145633); #106619=LINE('',#580592,#145634); #106620=LINE('',#580595,#145635); #106621=LINE('',#580597,#145636); #106622=LINE('',#580601,#145637); #106623=LINE('',#580605,#145638); #106624=LINE('',#580607,#145639); #106625=LINE('',#580609,#145640); #106626=LINE('',#580613,#145641); #106627=LINE('',#580618,#145642); #106628=LINE('',#580622,#145643); #106629=LINE('',#580626,#145644); #106630=LINE('',#580628,#145645); #106631=LINE('',#580630,#145646); #106632=LINE('',#580634,#145647); #106633=LINE('',#580638,#145648); #106634=LINE('',#580639,#145649); #106635=LINE('',#580642,#145650); #106636=LINE('',#580644,#145651); #106637=LINE('',#580645,#145652); #106638=LINE('',#580649,#145653); #106639=LINE('',#580651,#145654); #106640=LINE('',#580652,#145655); #106641=LINE('',#580654,#145656); #106642=LINE('',#580655,#145657); #106643=LINE('',#580659,#145658); #106644=LINE('',#580660,#145659); #106645=LINE('',#580661,#145660); #106646=LINE('',#580664,#145661); #106647=LINE('',#580666,#145662); #106648=LINE('',#580667,#145663); #106649=LINE('',#580668,#145664); #106650=LINE('',#580670,#145665); #106651=LINE('',#580671,#145666); #106652=LINE('',#580673,#145667); #106653=LINE('',#580674,#145668); #106654=LINE('',#580676,#145669); #106655=LINE('',#580677,#145670); #106656=LINE('',#580679,#145671); #106657=LINE('',#580681,#145672); #106658=LINE('',#580683,#145673); #106659=LINE('',#580686,#145674); #106660=LINE('',#580688,#145675); #106661=LINE('',#580690,#145676); #106662=LINE('',#580698,#145677); #106663=LINE('',#580700,#145678); #106664=LINE('',#580702,#145679); #106665=LINE('',#580703,#145680); #106666=LINE('',#580706,#145681); #106667=LINE('',#580708,#145682); #106668=LINE('',#580712,#145683); #106669=LINE('',#580716,#145684); #106670=LINE('',#580718,#145685); #106671=LINE('',#580720,#145686); #106672=LINE('',#580724,#145687); #106673=LINE('',#580729,#145688); #106674=LINE('',#580733,#145689); #106675=LINE('',#580737,#145690); #106676=LINE('',#580739,#145691); #106677=LINE('',#580741,#145692); #106678=LINE('',#580745,#145693); #106679=LINE('',#580749,#145694); #106680=LINE('',#580750,#145695); #106681=LINE('',#580753,#145696); #106682=LINE('',#580755,#145697); #106683=LINE('',#580756,#145698); #106684=LINE('',#580760,#145699); #106685=LINE('',#580762,#145700); #106686=LINE('',#580763,#145701); #106687=LINE('',#580765,#145702); #106688=LINE('',#580766,#145703); #106689=LINE('',#580770,#145704); #106690=LINE('',#580771,#145705); #106691=LINE('',#580772,#145706); #106692=LINE('',#580775,#145707); #106693=LINE('',#580777,#145708); #106694=LINE('',#580778,#145709); #106695=LINE('',#580779,#145710); #106696=LINE('',#580781,#145711); #106697=LINE('',#580782,#145712); #106698=LINE('',#580784,#145713); #106699=LINE('',#580785,#145714); #106700=LINE('',#580787,#145715); #106701=LINE('',#580788,#145716); #106702=LINE('',#580790,#145717); #106703=LINE('',#580792,#145718); #106704=LINE('',#580794,#145719); #106705=LINE('',#580797,#145720); #106706=LINE('',#580799,#145721); #106707=LINE('',#580801,#145722); #106708=LINE('',#580809,#145723); #106709=LINE('',#580811,#145724); #106710=LINE('',#580813,#145725); #106711=LINE('',#580814,#145726); #106712=LINE('',#580817,#145727); #106713=LINE('',#580819,#145728); #106714=LINE('',#580823,#145729); #106715=LINE('',#580827,#145730); #106716=LINE('',#580829,#145731); #106717=LINE('',#580831,#145732); #106718=LINE('',#580835,#145733); #106719=LINE('',#580840,#145734); #106720=LINE('',#580844,#145735); #106721=LINE('',#580848,#145736); #106722=LINE('',#580850,#145737); #106723=LINE('',#580852,#145738); #106724=LINE('',#580856,#145739); #106725=LINE('',#580860,#145740); #106726=LINE('',#580861,#145741); #106727=LINE('',#580864,#145742); #106728=LINE('',#580866,#145743); #106729=LINE('',#580867,#145744); #106730=LINE('',#580871,#145745); #106731=LINE('',#580873,#145746); #106732=LINE('',#580874,#145747); #106733=LINE('',#580876,#145748); #106734=LINE('',#580877,#145749); #106735=LINE('',#580881,#145750); #106736=LINE('',#580882,#145751); #106737=LINE('',#580883,#145752); #106738=LINE('',#580886,#145753); #106739=LINE('',#580888,#145754); #106740=LINE('',#580889,#145755); #106741=LINE('',#580890,#145756); #106742=LINE('',#580892,#145757); #106743=LINE('',#580893,#145758); #106744=LINE('',#580895,#145759); #106745=LINE('',#580896,#145760); #106746=LINE('',#580898,#145761); #106747=LINE('',#580899,#145762); #106748=LINE('',#580901,#145763); #106749=LINE('',#580903,#145764); #106750=LINE('',#580905,#145765); #106751=LINE('',#580908,#145766); #106752=LINE('',#580910,#145767); #106753=LINE('',#580912,#145768); #106754=LINE('',#580920,#145769); #106755=LINE('',#580922,#145770); #106756=LINE('',#580924,#145771); #106757=LINE('',#580925,#145772); #106758=LINE('',#580928,#145773); #106759=LINE('',#580930,#145774); #106760=LINE('',#580934,#145775); #106761=LINE('',#580938,#145776); #106762=LINE('',#580940,#145777); #106763=LINE('',#580942,#145778); #106764=LINE('',#580946,#145779); #106765=LINE('',#580951,#145780); #106766=LINE('',#580955,#145781); #106767=LINE('',#580959,#145782); #106768=LINE('',#580961,#145783); #106769=LINE('',#580963,#145784); #106770=LINE('',#580967,#145785); #106771=LINE('',#580971,#145786); #106772=LINE('',#580972,#145787); #106773=LINE('',#580975,#145788); #106774=LINE('',#580977,#145789); #106775=LINE('',#580978,#145790); #106776=LINE('',#580982,#145791); #106777=LINE('',#580984,#145792); #106778=LINE('',#580985,#145793); #106779=LINE('',#580987,#145794); #106780=LINE('',#580988,#145795); #106781=LINE('',#580992,#145796); #106782=LINE('',#580993,#145797); #106783=LINE('',#580994,#145798); #106784=LINE('',#580997,#145799); #106785=LINE('',#580999,#145800); #106786=LINE('',#581000,#145801); #106787=LINE('',#581001,#145802); #106788=LINE('',#581003,#145803); #106789=LINE('',#581004,#145804); #106790=LINE('',#581006,#145805); #106791=LINE('',#581007,#145806); #106792=LINE('',#581009,#145807); #106793=LINE('',#581010,#145808); #106794=LINE('',#581012,#145809); #106795=LINE('',#581014,#145810); #106796=LINE('',#581016,#145811); #106797=LINE('',#581019,#145812); #106798=LINE('',#581021,#145813); #106799=LINE('',#581023,#145814); #106800=LINE('',#581031,#145815); #106801=LINE('',#581033,#145816); #106802=LINE('',#581035,#145817); #106803=LINE('',#581036,#145818); #106804=LINE('',#581039,#145819); #106805=LINE('',#581041,#145820); #106806=LINE('',#581042,#145821); #106807=LINE('',#581045,#145822); #106808=LINE('',#581047,#145823); #106809=LINE('',#581048,#145824); #106810=LINE('',#581051,#145825); #106811=LINE('',#581053,#145826); #106812=LINE('',#581054,#145827); #106813=LINE('',#581059,#145828); #106814=LINE('',#581063,#145829); #106815=LINE('',#581065,#145830); #106816=LINE('',#581066,#145831); #106817=LINE('',#581069,#145832); #106818=LINE('',#581071,#145833); #106819=LINE('',#581072,#145834); #106820=LINE('',#581075,#145835); #106821=LINE('',#581077,#145836); #106822=LINE('',#581078,#145837); #106823=LINE('',#581083,#145838); #106824=LINE('',#581087,#145839); #106825=LINE('',#581089,#145840); #106826=LINE('',#581090,#145841); #106827=LINE('',#581095,#145842); #106828=LINE('',#581101,#145843); #106829=LINE('',#581105,#145844); #106830=LINE('',#581107,#145845); #106831=LINE('',#581108,#145846); #106832=LINE('',#581113,#145847); #106833=LINE('',#581117,#145848); #106834=LINE('',#581119,#145849); #106835=LINE('',#581120,#145850); #106836=LINE('',#581125,#145851); #106837=LINE('',#581129,#145852); #106838=LINE('',#581131,#145853); #106839=LINE('',#581132,#145854); #106840=LINE('',#581135,#145855); #106841=LINE('',#581137,#145856); #106842=LINE('',#581138,#145857); #106843=LINE('',#581141,#145858); #106844=LINE('',#581143,#145859); #106845=LINE('',#581144,#145860); #106846=LINE('',#581147,#145861); #106847=LINE('',#581149,#145862); #106848=LINE('',#581150,#145863); #106849=LINE('',#581153,#145864); #106850=LINE('',#581155,#145865); #106851=LINE('',#581156,#145866); #106852=LINE('',#581159,#145867); #106853=LINE('',#581161,#145868); #106854=LINE('',#581162,#145869); #106855=LINE('',#581165,#145870); #106856=LINE('',#581167,#145871); #106857=LINE('',#581168,#145872); #106858=LINE('',#581173,#145873); #106859=LINE('',#581177,#145874); #106860=LINE('',#581179,#145875); #106861=LINE('',#581180,#145876); #106862=LINE('',#581185,#145877); #106863=LINE('',#581189,#145878); #106864=LINE('',#581191,#145879); #106865=LINE('',#581192,#145880); #106866=LINE('',#581197,#145881); #106867=LINE('',#581203,#145882); #106868=LINE('',#581207,#145883); #106869=LINE('',#581209,#145884); #106870=LINE('',#581210,#145885); #106871=LINE('',#581215,#145886); #106872=LINE('',#581219,#145887); #106873=LINE('',#581221,#145888); #106874=LINE('',#581222,#145889); #106875=LINE('',#581225,#145890); #106876=LINE('',#581227,#145891); #106877=LINE('',#581228,#145892); #106878=LINE('',#581231,#145893); #106879=LINE('',#581233,#145894); #106880=LINE('',#581234,#145895); #106881=LINE('',#581239,#145896); #106882=LINE('',#581242,#145897); #106883=LINE('',#581243,#145898); #106884=LINE('',#581250,#145899); #106885=LINE('',#581252,#145900); #106886=LINE('',#581254,#145901); #106887=LINE('',#581255,#145902); #106888=LINE('',#581259,#145903); #106889=LINE('',#581261,#145904); #106890=LINE('',#581263,#145905); #106891=LINE('',#581264,#145906); #106892=LINE('',#581270,#145907); #106893=LINE('',#581274,#145908); #106894=LINE('',#581278,#145909); #106895=LINE('',#581282,#145910); #106896=LINE('',#581286,#145911); #106897=LINE('',#581290,#145912); #106898=LINE('',#581294,#145913); #106899=LINE('',#581298,#145914); #106900=LINE('',#581300,#145915); #106901=LINE('',#581302,#145916); #106902=LINE('',#581304,#145917); #106903=LINE('',#581308,#145918); #106904=LINE('',#581310,#145919); #106905=LINE('',#581314,#145920); #106906=LINE('',#581318,#145921); #106907=LINE('',#581324,#145922); #106908=LINE('',#581328,#145923); #106909=LINE('',#581332,#145924); #106910=LINE('',#581336,#145925); #106911=LINE('',#581338,#145926); #106912=LINE('',#581342,#145927); #106913=LINE('',#581343,#145928); #106914=LINE('',#581346,#145929); #106915=LINE('',#581348,#145930); #106916=LINE('',#581350,#145931); #106917=LINE('',#581352,#145932); #106918=LINE('',#581354,#145933); #106919=LINE('',#581356,#145934); #106920=LINE('',#581358,#145935); #106921=LINE('',#581359,#145936); #106922=LINE('',#581363,#145937); #106923=LINE('',#581367,#145938); #106924=LINE('',#581369,#145939); #106925=LINE('',#581373,#145940); #106926=LINE('',#581375,#145941); #106927=LINE('',#581379,#145942); #106928=LINE('',#581383,#145943); #106929=LINE('',#581387,#145944); #106930=LINE('',#581393,#145945); #106931=LINE('',#581397,#145946); #106932=LINE('',#581401,#145947); #106933=LINE('',#581403,#145948); #106934=LINE('',#581407,#145949); #106935=LINE('',#581409,#145950); #106936=LINE('',#581411,#145951); #106937=LINE('',#581413,#145952); #106938=LINE('',#581417,#145953); #106939=LINE('',#581421,#145954); #106940=LINE('',#581425,#145955); #106941=LINE('',#581429,#145956); #106942=LINE('',#581433,#145957); #106943=LINE('',#581437,#145958); #106944=LINE('',#581441,#145959); #106945=LINE('',#581443,#145960); #106946=LINE('',#581445,#145961); #106947=LINE('',#581447,#145962); #106948=LINE('',#581449,#145963); #106949=LINE('',#581451,#145964); #106950=LINE('',#581453,#145965); #106951=LINE('',#581454,#145966); #106952=LINE('',#581456,#145967); #106953=LINE('',#581457,#145968); #106954=LINE('',#581459,#145969); #106955=LINE('',#581461,#145970); #106956=LINE('',#581462,#145971); #106957=LINE('',#581468,#145972); #106958=LINE('',#581470,#145973); #106959=LINE('',#581473,#145974); #106960=LINE('',#581479,#145975); #106961=LINE('',#581481,#145976); #106962=LINE('',#581484,#145977); #106963=LINE('',#581489,#145978); #106964=LINE('',#581491,#145979); #106965=LINE('',#581494,#145980); #106966=LINE('',#581496,#145981); #106967=LINE('',#581498,#145982); #106968=LINE('',#581500,#145983); #106969=LINE('',#581502,#145984); #106970=LINE('',#581503,#145985); #106971=LINE('',#581506,#145986); #106972=LINE('',#581508,#145987); #106973=LINE('',#581510,#145988); #106974=LINE('',#581512,#145989); #106975=LINE('',#581514,#145990); #106976=LINE('',#581516,#145991); #106977=LINE('',#581518,#145992); #106978=LINE('',#581519,#145993); #106979=LINE('',#581522,#145994); #106980=LINE('',#581524,#145995); #106981=LINE('',#581526,#145996); #106982=LINE('',#581528,#145997); #106983=LINE('',#581530,#145998); #106984=LINE('',#581532,#145999); #106985=LINE('',#581534,#146000); #106986=LINE('',#581535,#146001); #106987=LINE('',#581539,#146002); #106988=LINE('',#581543,#146003); #106989=LINE('',#581549,#146004); #106990=LINE('',#581553,#146005); #106991=LINE('',#581557,#146006); #106992=LINE('',#581561,#146007); #106993=LINE('',#581565,#146008); #106994=LINE('',#581567,#146009); #106995=LINE('',#581569,#146010); #106996=LINE('',#581575,#146011); #106997=LINE('',#581577,#146012); #106998=LINE('',#581579,#146013); #106999=LINE('',#581581,#146014); #107000=LINE('',#581583,#146015); #107001=LINE('',#581587,#146016); #107002=LINE('',#581591,#146017); #107003=LINE('',#581595,#146018); #107004=LINE('',#581599,#146019); #107005=LINE('',#581603,#146020); #107006=LINE('',#581611,#146021); #107007=LINE('',#581615,#146022); #107008=LINE('',#581619,#146023); #107009=LINE('',#581620,#146024); #107010=LINE('',#581623,#146025); #107011=LINE('',#581625,#146026); #107012=LINE('',#581627,#146027); #107013=LINE('',#581628,#146028); #107014=LINE('',#581632,#146029); #107015=LINE('',#581636,#146030); #107016=LINE('',#581638,#146031); #107017=LINE('',#581640,#146032); #107018=LINE('',#581644,#146033); #107019=LINE('',#581645,#146034); #107020=LINE('',#581650,#146035); #107021=LINE('',#581654,#146036); #107022=LINE('',#581658,#146037); #107023=LINE('',#581662,#146038); #107024=LINE('',#581668,#146039); #107025=LINE('',#581672,#146040); #107026=LINE('',#581676,#146041); #107027=LINE('',#581678,#146042); #107028=LINE('',#581682,#146043); #107029=LINE('',#581684,#146044); #107030=LINE('',#581686,#146045); #107031=LINE('',#581688,#146046); #107032=LINE('',#581692,#146047); #107033=LINE('',#581696,#146048); #107034=LINE('',#581700,#146049); #107035=LINE('',#581705,#146050); #107036=LINE('',#581709,#146051); #107037=LINE('',#581713,#146052); #107038=LINE('',#581715,#146053); #107039=LINE('',#581718,#146054); #107040=LINE('',#581720,#146055); #107041=LINE('',#581722,#146056); #107042=LINE('',#581724,#146057); #107043=LINE('',#581726,#146058); #107044=LINE('',#581728,#146059); #107045=LINE('',#581730,#146060); #107046=LINE('',#581731,#146061); #107047=LINE('',#581734,#146062); #107048=LINE('',#581736,#146063); #107049=LINE('',#581737,#146064); #107050=LINE('',#581740,#146065); #107051=LINE('',#581742,#146066); #107052=LINE('',#581743,#146067); #107053=LINE('',#581746,#146068); #107054=LINE('',#581748,#146069); #107055=LINE('',#581749,#146070); #107056=LINE('',#581752,#146071); #107057=LINE('',#581754,#146072); #107058=LINE('',#581755,#146073); #107059=LINE('',#581765,#146074); #107060=LINE('',#581767,#146075); #107061=LINE('',#581768,#146076); #107062=LINE('',#581771,#146077); #107063=LINE('',#581775,#146078); #107064=LINE('',#581776,#146079); #107065=LINE('',#581781,#146080); #107066=LINE('',#581783,#146081); #107067=LINE('',#581785,#146082); #107068=LINE('',#581787,#146083); #107069=LINE('',#581793,#146084); #107070=LINE('',#581795,#146085); #107071=LINE('',#581797,#146086); #107072=LINE('',#581801,#146087); #107073=LINE('',#581805,#146088); #107074=LINE('',#581809,#146089); #107075=LINE('',#581813,#146090); #107076=LINE('',#581819,#146091); #107077=LINE('',#581823,#146092); #107078=LINE('',#581825,#146093); #107079=LINE('',#581827,#146094); #107080=LINE('',#581831,#146095); #107081=LINE('',#581835,#146096); #107082=LINE('',#581843,#146097); #107083=LINE('',#581847,#146098); #107084=LINE('',#581851,#146099); #107085=LINE('',#581855,#146100); #107086=LINE('',#581859,#146101); #107087=LINE('',#581862,#146102); #107088=LINE('',#581865,#146103); #107089=LINE('',#581867,#146104); #107090=LINE('',#581869,#146105); #107091=LINE('',#581870,#146106); #107092=LINE('',#581873,#146107); #107093=LINE('',#581874,#146108); #107094=LINE('',#581875,#146109); #107095=LINE('',#581877,#146110); #107096=LINE('',#581878,#146111); #107097=LINE('',#581880,#146112); #107098=LINE('',#581881,#146113); #107099=LINE('',#581884,#146114); #107100=LINE('',#581886,#146115); #107101=LINE('',#581887,#146116); #107102=LINE('',#581890,#146117); #107103=LINE('',#581892,#146118); #107104=LINE('',#581893,#146119); #107105=LINE('',#581897,#146120); #107106=LINE('',#581899,#146121); #107107=LINE('',#581901,#146122); #107108=LINE('',#581903,#146123); #107109=LINE('',#581905,#146124); #107110=LINE('',#581909,#146125); #107111=LINE('',#581913,#146126); #107112=LINE('',#581917,#146127); #107113=LINE('',#581921,#146128); #107114=LINE('',#581925,#146129); #107115=LINE('',#581933,#146130); #107116=LINE('',#581937,#146131); #107117=LINE('',#581941,#146132); #107118=LINE('',#581943,#146133); #107119=LINE('',#581945,#146134); #107120=LINE('',#581949,#146135); #107121=LINE('',#581955,#146136); #107122=LINE('',#581959,#146137); #107123=LINE('',#581963,#146138); #107124=LINE('',#581967,#146139); #107125=LINE('',#581971,#146140); #107126=LINE('',#581972,#146141); #107127=LINE('',#581975,#146142); #107128=LINE('',#581977,#146143); #107129=LINE('',#581979,#146144); #107130=LINE('',#581983,#146145); #107131=LINE('',#581989,#146146); #107132=LINE('',#581991,#146147); #107133=LINE('',#581997,#146148); #107134=LINE('',#582000,#146149); #107135=LINE('',#582004,#146150); #107136=LINE('',#582008,#146151); #107137=LINE('',#582012,#146152); #107138=LINE('',#582017,#146153); #107139=LINE('',#582021,#146154); #107140=LINE('',#582025,#146155); #107141=LINE('',#582029,#146156); #107142=LINE('',#582031,#146157); #107143=LINE('',#582033,#146158); #107144=LINE('',#582035,#146159); #107145=LINE('',#582039,#146160); #107146=LINE('',#582041,#146161); #107147=LINE('',#582045,#146162); #107148=LINE('',#582049,#146163); #107149=LINE('',#582055,#146164); #107150=LINE('',#582059,#146165); #107151=LINE('',#582063,#146166); #107152=LINE('',#582066,#146167); #107153=LINE('',#582068,#146168); #107154=LINE('',#582069,#146169); #107155=LINE('',#582071,#146170); #107156=LINE('',#582073,#146171); #107157=LINE('',#582074,#146172); #107158=LINE('',#582102,#146173); #107159=LINE('',#582112,#146174); #107160=LINE('',#582132,#146175); #107161=LINE('',#582133,#146176); #107162=LINE('',#582135,#146177); #107163=LINE('',#582137,#146178); #107164=LINE('',#582138,#146179); #107165=LINE('',#582141,#146180); #107166=LINE('',#582144,#146181); #107167=LINE('',#582148,#146182); #107168=LINE('',#582149,#146183); #107169=LINE('',#582152,#146184); #107170=LINE('',#582156,#146185); #107171=LINE('',#582160,#146186); #107172=LINE('',#582164,#146187); #107173=LINE('',#582167,#146188); #107174=LINE('',#582171,#146189); #107175=LINE('',#582174,#146190); #107176=LINE('',#582199,#146191); #107177=LINE('',#582201,#146192); #107178=LINE('',#582203,#146193); #107179=LINE('',#582207,#146194); #107180=LINE('',#582211,#146195); #107181=LINE('',#582215,#146196); #107182=LINE('',#582219,#146197); #107183=LINE('',#582225,#146198); #107184=LINE('',#582228,#146199); #107185=LINE('',#582230,#146200); #107186=LINE('',#582234,#146201); #107187=LINE('',#582238,#146202); #107188=LINE('',#582246,#146203); #107189=LINE('',#582250,#146204); #107190=LINE('',#582254,#146205); #107191=LINE('',#582258,#146206); #107192=LINE('',#582262,#146207); #107193=LINE('',#582265,#146208); #107194=LINE('',#582268,#146209); #107195=LINE('',#582270,#146210); #107196=LINE('',#582272,#146211); #107197=LINE('',#582276,#146212); #107198=LINE('',#582279,#146213); #107199=LINE('',#582288,#146214); #107200=LINE('',#582290,#146215); #107201=LINE('',#582292,#146216); #107202=LINE('',#582295,#146217); #107203=LINE('',#582297,#146218); #107204=LINE('',#582298,#146219); #107205=LINE('',#582300,#146220); #107206=LINE('',#582302,#146221); #107207=LINE('',#582303,#146222); #107208=LINE('',#582305,#146223); #107209=LINE('',#582307,#146224); #107210=LINE('',#582308,#146225); #107211=LINE('',#582310,#146226); #107212=LINE('',#582312,#146227); #107213=LINE('',#582313,#146228); #107214=LINE('',#582342,#146229); #107215=LINE('',#582343,#146230); #107216=LINE('',#582346,#146231); #107217=LINE('',#582347,#146232); #107218=LINE('',#582349,#146233); #107219=LINE('',#582350,#146234); #107220=LINE('',#582352,#146235); #107221=LINE('',#582357,#146236); #107222=LINE('',#582359,#146237); #107223=LINE('',#582361,#146238); #107224=LINE('',#582363,#146239); #107225=LINE('',#582365,#146240); #107226=LINE('',#582367,#146241); #107227=LINE('',#582369,#146242); #107228=LINE('',#582371,#146243); #107229=LINE('',#582375,#146244); #107230=LINE('',#582376,#146245); #107231=LINE('',#582378,#146246); #107232=LINE('',#582380,#146247); #107233=LINE('',#582383,#146248); #107234=LINE('',#582384,#146249); #107235=LINE('',#582386,#146250); #107236=LINE('',#582389,#146251); #107237=LINE('',#582392,#146252); #107238=LINE('',#582393,#146253); #107239=LINE('',#582395,#146254); #107240=LINE('',#582396,#146255); #107241=LINE('',#582398,#146256); #107242=LINE('',#582399,#146257); #107243=LINE('',#582401,#146258); #107244=LINE('',#582403,#146259); #107245=LINE('',#582405,#146260); #107246=LINE('',#582407,#146261); #107247=LINE('',#582409,#146262); #107248=LINE('',#582411,#146263); #107249=LINE('',#582413,#146264); #107250=LINE('',#582415,#146265); #107251=LINE('',#582418,#146266); #107252=LINE('',#582419,#146267); #107253=LINE('',#582421,#146268); #107254=LINE('',#582422,#146269); #107255=LINE('',#582424,#146270); #107256=LINE('',#582426,#146271); #107257=LINE('',#582428,#146272); #107258=LINE('',#582430,#146273); #107259=LINE('',#582432,#146274); #107260=LINE('',#582434,#146275); #107261=LINE('',#582436,#146276); #107262=LINE('',#582438,#146277); #107263=LINE('',#582441,#146278); #107264=LINE('',#582442,#146279); #107265=LINE('',#582444,#146280); #107266=LINE('',#582446,#146281); #107267=LINE('',#582448,#146282); #107268=LINE('',#582450,#146283); #107269=LINE('',#582452,#146284); #107270=LINE('',#582454,#146285); #107271=LINE('',#582456,#146286); #107272=LINE('',#582458,#146287); #107273=LINE('',#582460,#146288); #107274=LINE('',#582462,#146289); #107275=LINE('',#582464,#146290); #107276=LINE('',#582466,#146291); #107277=LINE('',#582468,#146292); #107278=LINE('',#582470,#146293); #107279=LINE('',#582472,#146294); #107280=LINE('',#582474,#146295); #107281=LINE('',#582476,#146296); #107282=LINE('',#582479,#146297); #107283=LINE('',#582480,#146298); #107284=LINE('',#582482,#146299); #107285=LINE('',#582483,#146300); #107286=LINE('',#582485,#146301); #107287=LINE('',#582487,#146302); #107288=LINE('',#582489,#146303); #107289=LINE('',#582491,#146304); #107290=LINE('',#582493,#146305); #107291=LINE('',#582495,#146306); #107292=LINE('',#582497,#146307); #107293=LINE('',#582499,#146308); #107294=LINE('',#582501,#146309); #107295=LINE('',#582503,#146310); #107296=LINE('',#582505,#146311); #107297=LINE('',#582511,#146312); #107298=LINE('',#582513,#146313); #107299=LINE('',#582515,#146314); #107300=LINE('',#582517,#146315); #107301=LINE('',#582519,#146316); #107302=LINE('',#582521,#146317); #107303=LINE('',#582523,#146318); #107304=LINE('',#582525,#146319); #107305=LINE('',#582528,#146320); #107306=LINE('',#582529,#146321); #107307=LINE('',#582532,#146322); #107308=LINE('',#582533,#146323); #107309=LINE('',#582539,#146324); #107310=LINE('',#582543,#146325); #107311=LINE('',#582547,#146326); #107312=LINE('',#582552,#146327); #107313=LINE('',#582556,#146328); #107314=LINE('',#582560,#146329); #107315=LINE('',#582565,#146330); #107316=LINE('',#582569,#146331); #107317=LINE('',#582573,#146332); #107318=LINE('',#582578,#146333); #107319=LINE('',#582582,#146334); #107320=LINE('',#582586,#146335); #107321=LINE('',#582590,#146336); #107322=LINE('',#582592,#146337); #107323=LINE('',#582594,#146338); #107324=LINE('',#582596,#146339); #107325=LINE('',#582598,#146340); #107326=LINE('',#582600,#146341); #107327=LINE('',#582603,#146342); #107328=LINE('',#582605,#146343); #107329=LINE('',#582607,#146344); #107330=LINE('',#582609,#146345); #107331=LINE('',#582611,#146346); #107332=LINE('',#582613,#146347); #107333=LINE('',#582616,#146348); #107334=LINE('',#582618,#146349); #107335=LINE('',#582619,#146350); #107336=LINE('',#582622,#146351); #107337=LINE('',#582627,#146352); #107338=LINE('',#582631,#146353); #107339=LINE('',#582635,#146354); #107340=LINE('',#582640,#146355); #107341=LINE('',#582644,#146356); #107342=LINE('',#582648,#146357); #107343=LINE('',#582653,#146358); #107344=LINE('',#582657,#146359); #107345=LINE('',#582661,#146360); #107346=LINE('',#582666,#146361); #107347=LINE('',#582670,#146362); #107348=LINE('',#582674,#146363); #107349=LINE('',#582678,#146364); #107350=LINE('',#582680,#146365); #107351=LINE('',#582682,#146366); #107352=LINE('',#582684,#146367); #107353=LINE('',#582686,#146368); #107354=LINE('',#582688,#146369); #107355=LINE('',#582691,#146370); #107356=LINE('',#582693,#146371); #107357=LINE('',#582695,#146372); #107358=LINE('',#582697,#146373); #107359=LINE('',#582699,#146374); #107360=LINE('',#582701,#146375); #107361=LINE('',#582706,#146376); #107362=LINE('',#582708,#146377); #107363=LINE('',#582710,#146378); #107364=LINE('',#582711,#146379); #107365=LINE('',#582717,#146380); #107366=LINE('',#582720,#146381); #107367=LINE('',#582722,#146382); #107368=LINE('',#582723,#146383); #107369=LINE('',#582729,#146384); #107370=LINE('',#582734,#146385); #107371=LINE('',#582736,#146386); #107372=LINE('',#582738,#146387); #107373=LINE('',#582794,#146388); #107374=LINE('',#582800,#146389); #107375=LINE('',#582801,#146390); #107376=LINE('',#582802,#146391); #107377=LINE('',#582814,#146392); #107378=LINE('',#582816,#146393); #107379=LINE('',#582817,#146394); #107380=LINE('',#582820,#146395); #107381=LINE('',#582822,#146396); #107382=LINE('',#582823,#146397); #107383=LINE('',#582825,#146398); #107384=LINE('',#582826,#146399); #107385=LINE('',#582830,#146400); #107386=LINE('',#582831,#146401); #107387=LINE('',#582833,#146402); #107388=LINE('',#582834,#146403); #107389=LINE('',#582836,#146404); #107390=LINE('',#582838,#146405); #107391=LINE('',#582840,#146406); #107392=LINE('',#582842,#146407); #107393=LINE('',#582844,#146408); #107394=LINE('',#582846,#146409); #107395=LINE('',#582848,#146410); #107396=LINE('',#582850,#146411); #107397=LINE('',#582852,#146412); #107398=LINE('',#582854,#146413); #107399=LINE('',#582856,#146414); #107400=LINE('',#582858,#146415); #107401=LINE('',#582861,#146416); #107402=LINE('',#582862,#146417); #107403=LINE('',#582864,#146418); #107404=LINE('',#582866,#146419); #107405=LINE('',#582868,#146420); #107406=LINE('',#582870,#146421); #107407=LINE('',#582872,#146422); #107408=LINE('',#582874,#146423); #107409=LINE('',#582876,#146424); #107410=LINE('',#582878,#146425); #107411=LINE('',#582880,#146426); #107412=LINE('',#582882,#146427); #107413=LINE('',#582885,#146428); #107414=LINE('',#582886,#146429); #107415=LINE('',#582888,#146430); #107416=LINE('',#582889,#146431); #107417=LINE('',#582891,#146432); #107418=LINE('',#582893,#146433); #107419=LINE('',#582900,#146434); #107420=LINE('',#582902,#146435); #107421=LINE('',#582903,#146436); #107422=LINE('',#582906,#146437); #107423=LINE('',#582911,#146438); #107424=LINE('',#582915,#146439); #107425=LINE('',#582919,#146440); #107426=LINE('',#582924,#146441); #107427=LINE('',#582928,#146442); #107428=LINE('',#582932,#146443); #107429=LINE('',#582937,#146444); #107430=LINE('',#582941,#146445); #107431=LINE('',#582945,#146446); #107432=LINE('',#582950,#146447); #107433=LINE('',#582954,#146448); #107434=LINE('',#582958,#146449); #107435=LINE('',#582962,#146450); #107436=LINE('',#582964,#146451); #107437=LINE('',#582966,#146452); #107438=LINE('',#582968,#146453); #107439=LINE('',#582970,#146454); #107440=LINE('',#582972,#146455); #107441=LINE('',#582975,#146456); #107442=LINE('',#582977,#146457); #107443=LINE('',#582979,#146458); #107444=LINE('',#582981,#146459); #107445=LINE('',#582983,#146460); #107446=LINE('',#582985,#146461); #107447=LINE('',#582988,#146462); #107448=LINE('',#582989,#146463); #107449=LINE('',#582992,#146464); #107450=LINE('',#582993,#146465); #107451=LINE('',#582999,#146466); #107452=LINE('',#583003,#146467); #107453=LINE('',#583007,#146468); #107454=LINE('',#583012,#146469); #107455=LINE('',#583016,#146470); #107456=LINE('',#583020,#146471); #107457=LINE('',#583025,#146472); #107458=LINE('',#583029,#146473); #107459=LINE('',#583033,#146474); #107460=LINE('',#583038,#146475); #107461=LINE('',#583042,#146476); #107462=LINE('',#583046,#146477); #107463=LINE('',#583050,#146478); #107464=LINE('',#583052,#146479); #107465=LINE('',#583054,#146480); #107466=LINE('',#583056,#146481); #107467=LINE('',#583058,#146482); #107468=LINE('',#583060,#146483); #107469=LINE('',#583063,#146484); #107470=LINE('',#583065,#146485); #107471=LINE('',#583067,#146486); #107472=LINE('',#583069,#146487); #107473=LINE('',#583071,#146488); #107474=LINE('',#583073,#146489); #107475=LINE('',#583080,#146490); #107476=LINE('',#583083,#146491); #107477=LINE('',#583086,#146492); #107478=LINE('',#583088,#146493); #107479=LINE('',#583089,#146494); #107480=LINE('',#583096,#146495); #107481=LINE('',#583097,#146496); #107482=LINE('',#583098,#146497); #107483=LINE('',#583103,#146498); #107484=LINE('',#583105,#146499); #107485=LINE('',#583108,#146500); #107486=LINE('',#583110,#146501); #107487=LINE('',#583167,#146502); #107488=LINE('',#583172,#146503); #107489=LINE('',#583176,#146504); #107490=LINE('',#583177,#146505); #107491=LINE('',#583186,#146506); #107492=LINE('',#583188,#146507); #107493=LINE('',#583189,#146508); #107494=LINE('',#583192,#146509); #107495=LINE('',#583194,#146510); #107496=LINE('',#583195,#146511); #107497=LINE('',#583197,#146512); #107498=LINE('',#583198,#146513); #107499=LINE('',#583204,#146514); #107500=LINE('',#583206,#146515); #107501=LINE('',#583208,#146516); #107502=LINE('',#583209,#146517); #107503=LINE('',#583214,#146518); #107504=LINE('',#583219,#146519); #107505=LINE('',#583221,#146520); #107506=LINE('',#583223,#146521); #107507=LINE('',#583224,#146522); #107508=LINE('',#583230,#146523); #107509=LINE('',#583236,#146524); #107510=LINE('',#583242,#146525); #107511=LINE('',#583245,#146526); #107512=LINE('',#583247,#146527); #107513=LINE('',#583248,#146528); #107514=LINE('',#583254,#146529); #107515=LINE('',#583260,#146530); #107516=LINE('',#583266,#146531); #107517=LINE('',#583269,#146532); #107518=LINE('',#583271,#146533); #107519=LINE('',#583272,#146534); #107520=LINE('',#583278,#146535); #107521=LINE('',#583281,#146536); #107522=LINE('',#583283,#146537); #107523=LINE('',#583284,#146538); #107524=LINE('',#583291,#146539); #107525=LINE('',#583293,#146540); #107526=LINE('',#583295,#146541); #107527=LINE('',#583296,#146542); #107528=LINE('',#583301,#146543); #107529=LINE('',#583307,#146544); #107530=LINE('',#583313,#146545); #107531=LINE('',#583317,#146546); #107532=LINE('',#583319,#146547); #107533=LINE('',#583320,#146548); #107534=LINE('',#583325,#146549); #107535=LINE('',#583331,#146550); #107536=LINE('',#583337,#146551); #107537=LINE('',#583341,#146552); #107538=LINE('',#583343,#146553); #107539=LINE('',#583344,#146554); #107540=LINE('',#583349,#146555); #107541=LINE('',#583353,#146556); #107542=LINE('',#583355,#146557); #107543=LINE('',#583356,#146558); #107544=LINE('',#583363,#146559); #107545=LINE('',#583365,#146560); #107546=LINE('',#583367,#146561); #107547=LINE('',#583368,#146562); #107548=LINE('',#583372,#146563); #107549=LINE('',#583385,#146564); #107550=LINE('',#583392,#146565); #107551=LINE('',#583394,#146566); #107552=LINE('',#583422,#146567); #107553=LINE('',#583472,#146568); #107554=LINE('',#583474,#146569); #107555=LINE('',#583478,#146570); #107556=LINE('',#583481,#146571); #107557=LINE('',#583483,#146572); #107558=LINE('',#583487,#146573); #107559=LINE('',#583491,#146574); #107560=LINE('',#583492,#146575); #107561=LINE('',#583495,#146576); #107562=LINE('',#583496,#146577); #107563=LINE('',#583500,#146578); #107564=LINE('',#583502,#146579); #107565=LINE('',#583504,#146580); #107566=LINE('',#583505,#146581); #107567=LINE('',#583507,#146582); #107568=LINE('',#583509,#146583); #107569=LINE('',#583513,#146584); #107570=LINE('',#583529,#146585); #107571=LINE('',#583560,#146586); #107572=LINE('',#583582,#146587); #107573=LINE('',#583584,#146588); #107574=LINE('',#583585,#146589); #107575=LINE('',#583599,#146590); #107576=LINE('',#583618,#146591); #107577=LINE('',#583621,#146592); #107578=LINE('',#583626,#146593); #107579=LINE('',#583628,#146594); #107580=LINE('',#583630,#146595); #107581=LINE('',#583631,#146596); #107582=LINE('',#583635,#146597); #107583=LINE('',#583637,#146598); #107584=LINE('',#583639,#146599); #107585=LINE('',#583640,#146600); #107586=LINE('',#583644,#146601); #107587=LINE('',#583648,#146602); #107588=LINE('',#583650,#146603); #107589=LINE('',#583652,#146604); #107590=LINE('',#583653,#146605); #107591=LINE('',#583658,#146606); #107592=LINE('',#583664,#146607); #107593=LINE('',#583672,#146608); #107594=LINE('',#583675,#146609); #107595=LINE('',#583677,#146610); #107596=LINE('',#583678,#146611); #107597=LINE('',#583683,#146612); #107598=LINE('',#583687,#146613); #107599=LINE('',#583689,#146614); #107600=LINE('',#583690,#146615); #107601=LINE('',#583695,#146616); #107602=LINE('',#583699,#146617); #107603=LINE('',#583701,#146618); #107604=LINE('',#583702,#146619); #107605=LINE('',#583707,#146620); #107606=LINE('',#583717,#146621); #107607=LINE('',#583722,#146622); #107608=LINE('',#583728,#146623); #107609=LINE('',#583732,#146624); #107610=LINE('',#583734,#146625); #107611=LINE('',#583735,#146626); #107612=LINE('',#583740,#146627); #107613=LINE('',#583750,#146628); #107614=LINE('',#583755,#146629); #107615=LINE('',#583761,#146630); #107616=LINE('',#583765,#146631); #107617=LINE('',#583767,#146632); #107618=LINE('',#583768,#146633); #107619=LINE('',#583773,#146634); #107620=LINE('',#583777,#146635); #107621=LINE('',#583779,#146636); #107622=LINE('',#583780,#146637); #107623=LINE('',#583785,#146638); #107624=LINE('',#583788,#146639); #107625=LINE('',#583790,#146640); #107626=LINE('',#583793,#146641); #107627=LINE('',#583799,#146642); #107628=LINE('',#583804,#146643); #107629=LINE('',#583810,#146644); #107630=LINE('',#583814,#146645); #107631=LINE('',#583816,#146646); #107632=LINE('',#583817,#146647); #107633=LINE('',#583821,#146648); #107634=LINE('',#583823,#146649); #107635=LINE('',#583825,#146650); #107636=LINE('',#583826,#146651); #107637=LINE('',#583831,#146652); #107638=LINE('',#583838,#146653); #107639=LINE('',#583841,#146654); #107640=LINE('',#583845,#146655); #107641=LINE('',#583848,#146656); #107642=LINE('',#583853,#146657); #107643=LINE('',#583854,#146658); #107644=LINE('',#583856,#146659); #107645=LINE('',#583861,#146660); #107646=LINE('',#583863,#146661); #107647=LINE('',#583867,#146662); #107648=LINE('',#583869,#146663); #107649=LINE('',#583870,#146664); #107650=LINE('',#583873,#146665); #107651=LINE('',#583874,#146666); #107652=LINE('',#583879,#146667); #107653=LINE('',#583896,#146668); #107654=LINE('',#583902,#146669); #107655=LINE('',#583989,#146670); #107656=LINE('',#584001,#146671); #107657=LINE('',#584020,#146672); #107658=LINE('',#584022,#146673); #107659=LINE('',#584024,#146674); #107660=LINE('',#584026,#146675); #107661=LINE('',#584028,#146676); #107662=LINE('',#584030,#146677); #107663=LINE('',#584033,#146678); #107664=LINE('',#584035,#146679); #107665=LINE('',#584037,#146680); #107666=LINE('',#584039,#146681); #107667=LINE('',#584041,#146682); #107668=LINE('',#584043,#146683); #107669=LINE('',#584046,#146684); #107670=LINE('',#584048,#146685); #107671=LINE('',#584050,#146686); #107672=LINE('',#584052,#146687); #107673=LINE('',#584054,#146688); #107674=LINE('',#584056,#146689); #107675=LINE('',#584059,#146690); #107676=LINE('',#584061,#146691); #107677=LINE('',#584063,#146692); #107678=LINE('',#584065,#146693); #107679=LINE('',#584067,#146694); #107680=LINE('',#584069,#146695); #107681=LINE('',#584075,#146696); #107682=LINE('',#584077,#146697); #107683=LINE('',#584079,#146698); #107684=LINE('',#584080,#146699); #107685=LINE('',#584083,#146700); #107686=LINE('',#584087,#146701); #107687=LINE('',#584091,#146702); #107688=LINE('',#584093,#146703); #107689=LINE('',#584095,#146704); #107690=LINE('',#584099,#146705); #107691=LINE('',#584102,#146706); #107692=LINE('',#584106,#146707); #107693=LINE('',#584108,#146708); #107694=LINE('',#584110,#146709); #107695=LINE('',#584114,#146710); #107696=LINE('',#584118,#146711); #107697=LINE('',#584120,#146712); #107698=LINE('',#584122,#146713); #107699=LINE('',#584126,#146714); #107700=LINE('',#584130,#146715); #107701=LINE('',#584132,#146716); #107702=LINE('',#584133,#146717); #107703=LINE('',#584137,#146718); #107704=LINE('',#584139,#146719); #107705=LINE('',#584140,#146720); #107706=LINE('',#584142,#146721); #107707=LINE('',#584143,#146722); #107708=LINE('',#584147,#146723); #107709=LINE('',#584148,#146724); #107710=LINE('',#584149,#146725); #107711=LINE('',#584152,#146726); #107712=LINE('',#584153,#146727); #107713=LINE('',#584155,#146728); #107714=LINE('',#584156,#146729); #107715=LINE('',#584158,#146730); #107716=LINE('',#584159,#146731); #107717=LINE('',#584161,#146732); #107718=LINE('',#584162,#146733); #107719=LINE('',#584164,#146734); #107720=LINE('',#584165,#146735); #107721=LINE('',#584167,#146736); #107722=LINE('',#584169,#146737); #107723=LINE('',#584171,#146738); #107724=LINE('',#584174,#146739); #107725=LINE('',#584176,#146740); #107726=LINE('',#584178,#146741); #107727=LINE('',#584209,#146742); #107728=LINE('',#584211,#146743); #107729=LINE('',#584213,#146744); #107730=LINE('',#584215,#146745); #107731=LINE('',#584217,#146746); #107732=LINE('',#584219,#146747); #107733=LINE('',#584221,#146748); #107734=LINE('',#584223,#146749); #107735=LINE('',#584225,#146750); #107736=LINE('',#584227,#146751); #107737=LINE('',#584229,#146752); #107738=LINE('',#584230,#146753); #107739=LINE('',#584234,#146754); #107740=LINE('',#584236,#146755); #107741=LINE('',#584238,#146756); #107742=LINE('',#584239,#146757); #107743=LINE('',#584243,#146758); #107744=LINE('',#584245,#146759); #107745=LINE('',#584247,#146760); #107746=LINE('',#584248,#146761); #107747=LINE('',#584252,#146762); #107748=LINE('',#584254,#146763); #107749=LINE('',#584256,#146764); #107750=LINE('',#584257,#146765); #107751=LINE('',#584260,#146766); #107752=LINE('',#584262,#146767); #107753=LINE('',#584264,#146768); #107754=LINE('',#584265,#146769); #107755=LINE('',#584269,#146770); #107756=LINE('',#584271,#146771); #107757=LINE('',#584273,#146772); #107758=LINE('',#584274,#146773); #107759=LINE('',#584277,#146774); #107760=LINE('',#584279,#146775); #107761=LINE('',#584281,#146776); #107762=LINE('',#584282,#146777); #107763=LINE('',#584286,#146778); #107764=LINE('',#584288,#146779); #107765=LINE('',#584290,#146780); #107766=LINE('',#584291,#146781); #107767=LINE('',#584294,#146782); #107768=LINE('',#584296,#146783); #107769=LINE('',#584298,#146784); #107770=LINE('',#584299,#146785); #107771=LINE('',#584302,#146786); #107772=LINE('',#584304,#146787); #107773=LINE('',#584306,#146788); #107774=LINE('',#584307,#146789); #107775=LINE('',#584310,#146790); #107776=LINE('',#584311,#146791); #107777=LINE('',#584313,#146792); #107778=LINE('',#584314,#146793); #107779=LINE('',#584317,#146794); #107780=LINE('',#584319,#146795); #107781=LINE('',#584320,#146796); #107782=LINE('',#584321,#146797); #107783=LINE('',#584324,#146798); #107784=LINE('',#584325,#146799); #107785=LINE('',#584328,#146800); #107786=LINE('',#584329,#146801); #107787=LINE('',#584331,#146802); #107788=LINE('',#584332,#146803); #107789=LINE('',#584335,#146804); #107790=LINE('',#584336,#146805); #107791=LINE('',#584337,#146806); #107792=LINE('',#584340,#146807); #107793=LINE('',#584341,#146808); #107794=LINE('',#584345,#146809); #107795=LINE('',#584347,#146810); #107796=LINE('',#584349,#146811); #107797=LINE('',#584351,#146812); #107798=LINE('',#584353,#146813); #107799=LINE('',#584354,#146814); #107800=LINE('',#584355,#146815); #107801=LINE('',#584359,#146816); #107802=LINE('',#584362,#146817); #107803=LINE('',#584363,#146818); #107804=LINE('',#584364,#146819); #107805=LINE('',#584367,#146820); #107806=LINE('',#584371,#146821); #107807=LINE('',#584372,#146822); #107808=LINE('',#584374,#146823); #107809=LINE('',#584375,#146824); #107810=LINE('',#584381,#146825); #107811=LINE('',#584385,#146826); #107812=LINE('',#584387,#146827); #107813=LINE('',#584389,#146828); #107814=LINE('',#584390,#146829); #107815=LINE('',#584391,#146830); #107816=LINE('',#584392,#146831); #107817=LINE('',#584393,#146832); #107818=LINE('',#584395,#146833); #107819=LINE('',#584397,#146834); #107820=LINE('',#584399,#146835); #107821=LINE('',#584400,#146836); #107822=LINE('',#584407,#146837); #107823=LINE('',#584411,#146838); #107824=LINE('',#584413,#146839); #107825=LINE('',#584415,#146840); #107826=LINE('',#584416,#146841); #107827=LINE('',#584418,#146842); #107828=LINE('',#584419,#146843); #107829=LINE('',#584421,#146844); #107830=LINE('',#584422,#146845); #107831=LINE('',#584424,#146846); #107832=LINE('',#584426,#146847); #107833=LINE('',#584428,#146848); #107834=LINE('',#584429,#146849); #107835=LINE('',#584432,#146850); #107836=LINE('',#584434,#146851); #107837=LINE('',#584436,#146852); #107838=LINE('',#584438,#146853); #107839=LINE('',#584442,#146854); #107840=LINE('',#584443,#146855); #107841=LINE('',#584447,#146856); #107842=LINE('',#584448,#146857); #107843=LINE('',#584451,#146858); #107844=LINE('',#584453,#146859); #107845=LINE('',#584455,#146860); #107846=LINE('',#584457,#146861); #107847=LINE('',#584459,#146862); #107848=LINE('',#584461,#146863); #107849=LINE('',#584463,#146864); #107850=LINE('',#584465,#146865); #107851=LINE('',#584467,#146866); #107852=LINE('',#584469,#146867); #107853=LINE('',#584471,#146868); #107854=LINE('',#584479,#146869); #107855=LINE('',#584481,#146870); #107856=LINE('',#584482,#146871); #107857=LINE('',#584485,#146872); #107858=LINE('',#584486,#146873); #107859=LINE('',#584489,#146874); #107860=LINE('',#584490,#146875); #107861=LINE('',#584492,#146876); #107862=LINE('',#584496,#146877); #107863=LINE('',#584498,#146878); #107864=LINE('',#584500,#146879); #107865=LINE('',#584501,#146880); #107866=LINE('',#584503,#146881); #107867=LINE('',#584504,#146882); #107868=LINE('',#584506,#146883); #107869=LINE('',#584508,#146884); #107870=LINE('',#584512,#146885); #107871=LINE('',#584514,#146886); #107872=LINE('',#584515,#146887); #107873=LINE('',#584518,#146888); #107874=LINE('',#584519,#146889); #107875=LINE('',#584522,#146890); #107876=LINE('',#584523,#146891); #107877=LINE('',#584525,#146892); #107878=LINE('',#584529,#146893); #107879=LINE('',#584531,#146894); #107880=LINE('',#584533,#146895); #107881=LINE('',#584534,#146896); #107882=LINE('',#584536,#146897); #107883=LINE('',#584537,#146898); #107884=LINE('',#584539,#146899); #107885=LINE('',#584541,#146900); #107886=LINE('',#584545,#146901); #107887=LINE('',#584547,#146902); #107888=LINE('',#584548,#146903); #107889=LINE('',#584552,#146904); #107890=LINE('',#584554,#146905); #107891=LINE('',#584556,#146906); #107892=LINE('',#584557,#146907); #107893=LINE('',#584560,#146908); #107894=LINE('',#584562,#146909); #107895=LINE('',#584563,#146910); #107896=LINE('',#584565,#146911); #107897=LINE('',#584567,#146912); #107898=LINE('',#584569,#146913); #107899=LINE('',#584570,#146914); #107900=LINE('',#584572,#146915); #107901=LINE('',#584574,#146916); #107902=LINE('',#584578,#146917); #107903=LINE('',#584580,#146918); #107904=LINE('',#584581,#146919); #107905=LINE('',#584585,#146920); #107906=LINE('',#584587,#146921); #107907=LINE('',#584589,#146922); #107908=LINE('',#584590,#146923); #107909=LINE('',#584593,#146924); #107910=LINE('',#584595,#146925); #107911=LINE('',#584596,#146926); #107912=LINE('',#584598,#146927); #107913=LINE('',#584600,#146928); #107914=LINE('',#584602,#146929); #107915=LINE('',#584603,#146930); #107916=LINE('',#584605,#146931); #107917=LINE('',#584607,#146932); #107918=LINE('',#584610,#146933); #107919=LINE('',#584611,#146934); #107920=LINE('',#584613,#146935); #107921=LINE('',#584615,#146936); #107922=LINE('',#584616,#146937); #107923=LINE('',#584618,#146938); #107924=LINE('',#584622,#146939); #107925=LINE('',#584624,#146940); #107926=LINE('',#584666,#146941); #107927=LINE('',#584667,#146942); #107928=LINE('',#584683,#146943); #107929=LINE('',#584684,#146944); #107930=LINE('',#584686,#146945); #107931=LINE('',#584687,#146946); #107932=LINE('',#584696,#146947); #107933=LINE('',#584697,#146948); #107934=LINE('',#584699,#146949); #107935=LINE('',#584700,#146950); #107936=LINE('',#584702,#146951); #107937=LINE('',#584703,#146952); #107938=LINE('',#584705,#146953); #107939=LINE('',#584706,#146954); #107940=LINE('',#584708,#146955); #107941=LINE('',#584709,#146956); #107942=LINE('',#584711,#146957); #107943=LINE('',#584712,#146958); #107944=LINE('',#584714,#146959); #107945=LINE('',#584715,#146960); #107946=LINE('',#584717,#146961); #107947=LINE('',#584718,#146962); #107948=LINE('',#584720,#146963); #107949=LINE('',#584721,#146964); #107950=LINE('',#584764,#146965); #107951=LINE('',#584765,#146966); #107952=LINE('',#584781,#146967); #107953=LINE('',#584782,#146968); #107954=LINE('',#584784,#146969); #107955=LINE('',#584785,#146970); #107956=LINE('',#584794,#146971); #107957=LINE('',#584795,#146972); #107958=LINE('',#584797,#146973); #107959=LINE('',#584798,#146974); #107960=LINE('',#584800,#146975); #107961=LINE('',#584801,#146976); #107962=LINE('',#584803,#146977); #107963=LINE('',#584804,#146978); #107964=LINE('',#584806,#146979); #107965=LINE('',#584807,#146980); #107966=LINE('',#584809,#146981); #107967=LINE('',#584810,#146982); #107968=LINE('',#584812,#146983); #107969=LINE('',#584813,#146984); #107970=LINE('',#584815,#146985); #107971=LINE('',#584816,#146986); #107972=LINE('',#584818,#146987); #107973=LINE('',#584819,#146988); #107974=LINE('',#584829,#146989); #107975=LINE('',#584831,#146990); #107976=LINE('',#584833,#146991); #107977=LINE('',#584834,#146992); #107978=LINE('',#584837,#146993); #107979=LINE('',#584839,#146994); #107980=LINE('',#584840,#146995); #107981=LINE('',#584843,#146996); #107982=LINE('',#584845,#146997); #107983=LINE('',#584846,#146998); #107984=LINE('',#584848,#146999); #107985=LINE('',#584849,#147000); #107986=LINE('',#584913,#147001); #107987=LINE('',#584914,#147002); #107988=LINE('',#584930,#147003); #107989=LINE('',#584931,#147004); #107990=LINE('',#584933,#147005); #107991=LINE('',#584934,#147006); #107992=LINE('',#584943,#147007); #107993=LINE('',#584944,#147008); #107994=LINE('',#584946,#147009); #107995=LINE('',#584947,#147010); #107996=LINE('',#584949,#147011); #107997=LINE('',#584950,#147012); #107998=LINE('',#584952,#147013); #107999=LINE('',#584953,#147014); #108000=LINE('',#584955,#147015); #108001=LINE('',#584956,#147016); #108002=LINE('',#584958,#147017); #108003=LINE('',#584959,#147018); #108004=LINE('',#584961,#147019); #108005=LINE('',#584962,#147020); #108006=LINE('',#584964,#147021); #108007=LINE('',#584965,#147022); #108008=LINE('',#584967,#147023); #108009=LINE('',#584968,#147024); #108010=LINE('',#585011,#147025); #108011=LINE('',#585012,#147026); #108012=LINE('',#585028,#147027); #108013=LINE('',#585029,#147028); #108014=LINE('',#585031,#147029); #108015=LINE('',#585032,#147030); #108016=LINE('',#585041,#147031); #108017=LINE('',#585042,#147032); #108018=LINE('',#585044,#147033); #108019=LINE('',#585045,#147034); #108020=LINE('',#585047,#147035); #108021=LINE('',#585048,#147036); #108022=LINE('',#585050,#147037); #108023=LINE('',#585051,#147038); #108024=LINE('',#585053,#147039); #108025=LINE('',#585054,#147040); #108026=LINE('',#585056,#147041); #108027=LINE('',#585057,#147042); #108028=LINE('',#585059,#147043); #108029=LINE('',#585060,#147044); #108030=LINE('',#585062,#147045); #108031=LINE('',#585063,#147046); #108032=LINE('',#585065,#147047); #108033=LINE('',#585066,#147048); #108034=LINE('',#585076,#147049); #108035=LINE('',#585078,#147050); #108036=LINE('',#585080,#147051); #108037=LINE('',#585081,#147052); #108038=LINE('',#585084,#147053); #108039=LINE('',#585086,#147054); #108040=LINE('',#585087,#147055); #108041=LINE('',#585090,#147056); #108042=LINE('',#585092,#147057); #108043=LINE('',#585093,#147058); #108044=LINE('',#585095,#147059); #108045=LINE('',#585096,#147060); #108046=LINE('',#585138,#147061); #108047=LINE('',#585139,#147062); #108048=LINE('',#585155,#147063); #108049=LINE('',#585156,#147064); #108050=LINE('',#585158,#147065); #108051=LINE('',#585159,#147066); #108052=LINE('',#585168,#147067); #108053=LINE('',#585169,#147068); #108054=LINE('',#585171,#147069); #108055=LINE('',#585172,#147070); #108056=LINE('',#585174,#147071); #108057=LINE('',#585175,#147072); #108058=LINE('',#585177,#147073); #108059=LINE('',#585178,#147074); #108060=LINE('',#585180,#147075); #108061=LINE('',#585181,#147076); #108062=LINE('',#585183,#147077); #108063=LINE('',#585184,#147078); #108064=LINE('',#585186,#147079); #108065=LINE('',#585187,#147080); #108066=LINE('',#585189,#147081); #108067=LINE('',#585190,#147082); #108068=LINE('',#585192,#147083); #108069=LINE('',#585193,#147084); #108070=LINE('',#585236,#147085); #108071=LINE('',#585237,#147086); #108072=LINE('',#585253,#147087); #108073=LINE('',#585254,#147088); #108074=LINE('',#585256,#147089); #108075=LINE('',#585257,#147090); #108076=LINE('',#585266,#147091); #108077=LINE('',#585267,#147092); #108078=LINE('',#585269,#147093); #108079=LINE('',#585270,#147094); #108080=LINE('',#585272,#147095); #108081=LINE('',#585273,#147096); #108082=LINE('',#585275,#147097); #108083=LINE('',#585276,#147098); #108084=LINE('',#585278,#147099); #108085=LINE('',#585279,#147100); #108086=LINE('',#585281,#147101); #108087=LINE('',#585282,#147102); #108088=LINE('',#585284,#147103); #108089=LINE('',#585285,#147104); #108090=LINE('',#585287,#147105); #108091=LINE('',#585288,#147106); #108092=LINE('',#585290,#147107); #108093=LINE('',#585291,#147108); #108094=LINE('',#585301,#147109); #108095=LINE('',#585303,#147110); #108096=LINE('',#585305,#147111); #108097=LINE('',#585306,#147112); #108098=LINE('',#585309,#147113); #108099=LINE('',#585311,#147114); #108100=LINE('',#585312,#147115); #108101=LINE('',#585315,#147116); #108102=LINE('',#585317,#147117); #108103=LINE('',#585318,#147118); #108104=LINE('',#585320,#147119); #108105=LINE('',#585321,#147120); #108106=LINE('',#585331,#147121); #108107=LINE('',#585344,#147122); #108108=LINE('',#585360,#147123); #108109=LINE('',#585375,#147124); #108110=LINE('',#585379,#147125); #108111=LINE('',#585383,#147126); #108112=LINE('',#585391,#147127); #108113=LINE('',#585395,#147128); #108114=LINE('',#585398,#147129); #108115=LINE('',#585411,#147130); #108116=LINE('',#585419,#147131); #108117=LINE('',#585427,#147132); #108118=LINE('',#585443,#147133); #108119=LINE('',#585451,#147134); #108120=LINE('',#585459,#147135); #108121=LINE('',#585468,#147136); #108122=LINE('',#585476,#147137); #108123=LINE('',#585483,#147138); #108124=LINE('',#585485,#147139); #108125=LINE('',#585487,#147140); #108126=LINE('',#585488,#147141); #108127=LINE('',#585493,#147142); #108128=LINE('',#585497,#147143); #108129=LINE('',#585501,#147144); #108130=LINE('',#585504,#147145); #108131=LINE('',#585509,#147146); #108132=LINE('',#585511,#147147); #108133=LINE('',#585513,#147148); #108134=LINE('',#585514,#147149); #108135=LINE('',#585519,#147150); #108136=LINE('',#585523,#147151); #108137=LINE('',#585527,#147152); #108138=LINE('',#585530,#147153); #108139=LINE('',#585535,#147154); #108140=LINE('',#585537,#147155); #108141=LINE('',#585539,#147156); #108142=LINE('',#585540,#147157); #108143=LINE('',#585545,#147158); #108144=LINE('',#585549,#147159); #108145=LINE('',#585553,#147160); #108146=LINE('',#585556,#147161); #108147=LINE('',#585561,#147162); #108148=LINE('',#585563,#147163); #108149=LINE('',#585565,#147164); #108150=LINE('',#585566,#147165); #108151=LINE('',#585571,#147166); #108152=LINE('',#585575,#147167); #108153=LINE('',#585579,#147168); #108154=LINE('',#585582,#147169); #108155=LINE('',#585587,#147170); #108156=LINE('',#585589,#147171); #108157=LINE('',#585591,#147172); #108158=LINE('',#585592,#147173); #108159=LINE('',#585597,#147174); #108160=LINE('',#585601,#147175); #108161=LINE('',#585605,#147176); #108162=LINE('',#585608,#147177); #108163=LINE('',#585613,#147178); #108164=LINE('',#585615,#147179); #108165=LINE('',#585617,#147180); #108166=LINE('',#585618,#147181); #108167=LINE('',#585623,#147182); #108168=LINE('',#585627,#147183); #108169=LINE('',#585631,#147184); #108170=LINE('',#585634,#147185); #108171=LINE('',#585639,#147186); #108172=LINE('',#585641,#147187); #108173=LINE('',#585643,#147188); #108174=LINE('',#585644,#147189); #108175=LINE('',#585649,#147190); #108176=LINE('',#585653,#147191); #108177=LINE('',#585657,#147192); #108178=LINE('',#585660,#147193); #108179=LINE('',#585665,#147194); #108180=LINE('',#585667,#147195); #108181=LINE('',#585669,#147196); #108182=LINE('',#585670,#147197); #108183=LINE('',#585675,#147198); #108184=LINE('',#585679,#147199); #108185=LINE('',#585683,#147200); #108186=LINE('',#585686,#147201); #108187=LINE('',#585691,#147202); #108188=LINE('',#585693,#147203); #108189=LINE('',#585695,#147204); #108190=LINE('',#585696,#147205); #108191=LINE('',#585701,#147206); #108192=LINE('',#585705,#147207); #108193=LINE('',#585709,#147208); #108194=LINE('',#585712,#147209); #108195=LINE('',#585721,#147210); #108196=LINE('',#585727,#147211); #108197=LINE('',#585729,#147212); #108198=LINE('',#585731,#147213); #108199=LINE('',#585732,#147214); #108200=LINE('',#585735,#147215); #108201=LINE('',#585737,#147216); #108202=LINE('',#585738,#147217); #108203=LINE('',#585741,#147218); #108204=LINE('',#585743,#147219); #108205=LINE('',#585744,#147220); #108206=LINE('',#585746,#147221); #108207=LINE('',#585747,#147222); #108208=LINE('',#585750,#147223); #108209=LINE('',#585752,#147224); #108210=LINE('',#585753,#147225); #108211=LINE('',#585756,#147226); #108212=LINE('',#585757,#147227); #108213=LINE('',#585761,#147228); #108214=LINE('',#585762,#147229); #108215=LINE('',#585764,#147230); #108216=LINE('',#585769,#147231); #108217=LINE('',#585771,#147232); #108218=LINE('',#585773,#147233); #108219=LINE('',#585774,#147234); #108220=LINE('',#585777,#147235); #108221=LINE('',#585779,#147236); #108222=LINE('',#585780,#147237); #108223=LINE('',#585783,#147238); #108224=LINE('',#585785,#147239); #108225=LINE('',#585786,#147240); #108226=LINE('',#585788,#147241); #108227=LINE('',#585789,#147242); #108228=LINE('',#585795,#147243); #108229=LINE('',#585797,#147244); #108230=LINE('',#585799,#147245); #108231=LINE('',#585800,#147246); #108232=LINE('',#585803,#147247); #108233=LINE('',#585805,#147248); #108234=LINE('',#585806,#147249); #108235=LINE('',#585812,#147250); #108236=LINE('',#585815,#147251); #108237=LINE('',#585817,#147252); #108238=LINE('',#585818,#147253); #108239=LINE('',#585824,#147254); #108240=LINE('',#585826,#147255); #108241=LINE('',#585827,#147256); #108242=LINE('',#585833,#147257); #108243=LINE('',#585835,#147258); #108244=LINE('',#585837,#147259); #108245=LINE('',#585838,#147260); #108246=LINE('',#585841,#147261); #108247=LINE('',#585843,#147262); #108248=LINE('',#585844,#147263); #108249=LINE('',#585849,#147264); #108250=LINE('',#585853,#147265); #108251=LINE('',#585855,#147266); #108252=LINE('',#585856,#147267); #108253=LINE('',#585861,#147268); #108254=LINE('',#585864,#147269); #108255=LINE('',#585865,#147270); #108256=LINE('',#585871,#147271); #108257=LINE('',#585873,#147272); #108258=LINE('',#585875,#147273); #108259=LINE('',#585876,#147274); #108260=LINE('',#585879,#147275); #108261=LINE('',#585881,#147276); #108262=LINE('',#585882,#147277); #108263=LINE('',#585888,#147278); #108264=LINE('',#585891,#147279); #108265=LINE('',#585893,#147280); #108266=LINE('',#585894,#147281); #108267=LINE('',#585900,#147282); #108268=LINE('',#585902,#147283); #108269=LINE('',#585903,#147284); #108270=LINE('',#585909,#147285); #108271=LINE('',#585911,#147286); #108272=LINE('',#585913,#147287); #108273=LINE('',#585914,#147288); #108274=LINE('',#585917,#147289); #108275=LINE('',#585919,#147290); #108276=LINE('',#585920,#147291); #108277=LINE('',#585926,#147292); #108278=LINE('',#585929,#147293); #108279=LINE('',#585931,#147294); #108280=LINE('',#585932,#147295); #108281=LINE('',#585938,#147296); #108282=LINE('',#585940,#147297); #108283=LINE('',#585941,#147298); #108284=LINE('',#585947,#147299); #108285=LINE('',#585949,#147300); #108286=LINE('',#585951,#147301); #108287=LINE('',#585952,#147302); #108288=LINE('',#585955,#147303); #108289=LINE('',#585957,#147304); #108290=LINE('',#585958,#147305); #108291=LINE('',#585964,#147306); #108292=LINE('',#585967,#147307); #108293=LINE('',#585969,#147308); #108294=LINE('',#585970,#147309); #108295=LINE('',#585976,#147310); #108296=LINE('',#585978,#147311); #108297=LINE('',#585979,#147312); #108298=LINE('',#585985,#147313); #108299=LINE('',#585987,#147314); #108300=LINE('',#585989,#147315); #108301=LINE('',#585990,#147316); #108302=LINE('',#585993,#147317); #108303=LINE('',#585995,#147318); #108304=LINE('',#585996,#147319); #108305=LINE('',#586001,#147320); #108306=LINE('',#586005,#147321); #108307=LINE('',#586007,#147322); #108308=LINE('',#586008,#147323); #108309=LINE('',#586013,#147324); #108310=LINE('',#586016,#147325); #108311=LINE('',#586017,#147326); #108312=LINE('',#586023,#147327); #108313=LINE('',#586025,#147328); #108314=LINE('',#586027,#147329); #108315=LINE('',#586028,#147330); #108316=LINE('',#586031,#147331); #108317=LINE('',#586033,#147332); #108318=LINE('',#586034,#147333); #108319=LINE('',#586039,#147334); #108320=LINE('',#586043,#147335); #108321=LINE('',#586045,#147336); #108322=LINE('',#586046,#147337); #108323=LINE('',#586051,#147338); #108324=LINE('',#586054,#147339); #108325=LINE('',#586055,#147340); #108326=LINE('',#586061,#147341); #108327=LINE('',#586063,#147342); #108328=LINE('',#586065,#147343); #108329=LINE('',#586066,#147344); #108330=LINE('',#586069,#147345); #108331=LINE('',#586071,#147346); #108332=LINE('',#586072,#147347); #108333=LINE('',#586077,#147348); #108334=LINE('',#586081,#147349); #108335=LINE('',#586083,#147350); #108336=LINE('',#586084,#147351); #108337=LINE('',#586089,#147352); #108338=LINE('',#586092,#147353); #108339=LINE('',#586093,#147354); #108340=LINE('',#586099,#147355); #108341=LINE('',#586101,#147356); #108342=LINE('',#586103,#147357); #108343=LINE('',#586104,#147358); #108344=LINE('',#586107,#147359); #108345=LINE('',#586109,#147360); #108346=LINE('',#586110,#147361); #108347=LINE('',#586113,#147362); #108348=LINE('',#586115,#147363); #108349=LINE('',#586116,#147364); #108350=LINE('',#586118,#147365); #108351=LINE('',#586119,#147366); #108352=LINE('',#586125,#147367); #108353=LINE('',#586127,#147368); #108354=LINE('',#586129,#147369); #108355=LINE('',#586130,#147370); #108356=LINE('',#586133,#147371); #108357=LINE('',#586135,#147372); #108358=LINE('',#586136,#147373); #108359=LINE('',#586139,#147374); #108360=LINE('',#586141,#147375); #108361=LINE('',#586142,#147376); #108362=LINE('',#586144,#147377); #108363=LINE('',#586145,#147378); #108364=LINE('',#586151,#147379); #108365=LINE('',#586153,#147380); #108366=LINE('',#586155,#147381); #108367=LINE('',#586156,#147382); #108368=LINE('',#586159,#147383); #108369=LINE('',#586161,#147384); #108370=LINE('',#586162,#147385); #108371=LINE('',#586165,#147386); #108372=LINE('',#586167,#147387); #108373=LINE('',#586168,#147388); #108374=LINE('',#586170,#147389); #108375=LINE('',#586171,#147390); #108376=LINE('',#586177,#147391); #108377=LINE('',#586179,#147392); #108378=LINE('',#586181,#147393); #108379=LINE('',#586182,#147394); #108380=LINE('',#586185,#147395); #108381=LINE('',#586187,#147396); #108382=LINE('',#586188,#147397); #108383=LINE('',#586191,#147398); #108384=LINE('',#586193,#147399); #108385=LINE('',#586194,#147400); #108386=LINE('',#586196,#147401); #108387=LINE('',#586197,#147402); #108388=LINE('',#586203,#147403); #108389=LINE('',#586205,#147404); #108390=LINE('',#586207,#147405); #108391=LINE('',#586208,#147406); #108392=LINE('',#586211,#147407); #108393=LINE('',#586213,#147408); #108394=LINE('',#586214,#147409); #108395=LINE('',#586217,#147410); #108396=LINE('',#586219,#147411); #108397=LINE('',#586220,#147412); #108398=LINE('',#586222,#147413); #108399=LINE('',#586223,#147414); #108400=LINE('',#586229,#147415); #108401=LINE('',#586231,#147416); #108402=LINE('',#586233,#147417); #108403=LINE('',#586234,#147418); #108404=LINE('',#586237,#147419); #108405=LINE('',#586239,#147420); #108406=LINE('',#586240,#147421); #108407=LINE('',#586243,#147422); #108408=LINE('',#586245,#147423); #108409=LINE('',#586246,#147424); #108410=LINE('',#586248,#147425); #108411=LINE('',#586249,#147426); #108412=LINE('',#586255,#147427); #108413=LINE('',#586257,#147428); #108414=LINE('',#586259,#147429); #108415=LINE('',#586260,#147430); #108416=LINE('',#586263,#147431); #108417=LINE('',#586265,#147432); #108418=LINE('',#586266,#147433); #108419=LINE('',#586269,#147434); #108420=LINE('',#586271,#147435); #108421=LINE('',#586272,#147436); #108422=LINE('',#586274,#147437); #108423=LINE('',#586275,#147438); #108424=LINE('',#586281,#147439); #108425=LINE('',#586283,#147440); #108426=LINE('',#586285,#147441); #108427=LINE('',#586286,#147442); #108428=LINE('',#586289,#147443); #108429=LINE('',#586291,#147444); #108430=LINE('',#586292,#147445); #108431=LINE('',#586295,#147446); #108432=LINE('',#586297,#147447); #108433=LINE('',#586298,#147448); #108434=LINE('',#586300,#147449); #108435=LINE('',#586301,#147450); #108436=LINE('',#586311,#147451); #108437=LINE('',#586317,#147452); #108438=LINE('',#586319,#147453); #108439=LINE('',#586321,#147454); #108440=LINE('',#586322,#147455); #108441=LINE('',#586325,#147456); #108442=LINE('',#586327,#147457); #108443=LINE('',#586328,#147458); #108444=LINE('',#586331,#147459); #108445=LINE('',#586333,#147460); #108446=LINE('',#586334,#147461); #108447=LINE('',#586336,#147462); #108448=LINE('',#586337,#147463); #108449=LINE('',#586340,#147464); #108450=LINE('',#586342,#147465); #108451=LINE('',#586343,#147466); #108452=LINE('',#586346,#147467); #108453=LINE('',#586347,#147468); #108454=LINE('',#586351,#147469); #108455=LINE('',#586352,#147470); #108456=LINE('',#586354,#147471); #108457=LINE('',#586359,#147472); #108458=LINE('',#586361,#147473); #108459=LINE('',#586363,#147474); #108460=LINE('',#586364,#147475); #108461=LINE('',#586367,#147476); #108462=LINE('',#586369,#147477); #108463=LINE('',#586370,#147478); #108464=LINE('',#586373,#147479); #108465=LINE('',#586375,#147480); #108466=LINE('',#586376,#147481); #108467=LINE('',#586378,#147482); #108468=LINE('',#586379,#147483); #108469=LINE('',#586385,#147484); #108470=LINE('',#586387,#147485); #108471=LINE('',#586389,#147486); #108472=LINE('',#586390,#147487); #108473=LINE('',#586393,#147488); #108474=LINE('',#586395,#147489); #108475=LINE('',#586396,#147490); #108476=LINE('',#586402,#147491); #108477=LINE('',#586405,#147492); #108478=LINE('',#586407,#147493); #108479=LINE('',#586408,#147494); #108480=LINE('',#586414,#147495); #108481=LINE('',#586416,#147496); #108482=LINE('',#586417,#147497); #108483=LINE('',#586423,#147498); #108484=LINE('',#586425,#147499); #108485=LINE('',#586427,#147500); #108486=LINE('',#586428,#147501); #108487=LINE('',#586431,#147502); #108488=LINE('',#586433,#147503); #108489=LINE('',#586434,#147504); #108490=LINE('',#586439,#147505); #108491=LINE('',#586443,#147506); #108492=LINE('',#586445,#147507); #108493=LINE('',#586446,#147508); #108494=LINE('',#586451,#147509); #108495=LINE('',#586454,#147510); #108496=LINE('',#586455,#147511); #108497=LINE('',#586461,#147512); #108498=LINE('',#586463,#147513); #108499=LINE('',#586465,#147514); #108500=LINE('',#586466,#147515); #108501=LINE('',#586469,#147516); #108502=LINE('',#586471,#147517); #108503=LINE('',#586472,#147518); #108504=LINE('',#586478,#147519); #108505=LINE('',#586481,#147520); #108506=LINE('',#586483,#147521); #108507=LINE('',#586484,#147522); #108508=LINE('',#586490,#147523); #108509=LINE('',#586492,#147524); #108510=LINE('',#586493,#147525); #108511=LINE('',#586499,#147526); #108512=LINE('',#586501,#147527); #108513=LINE('',#586503,#147528); #108514=LINE('',#586504,#147529); #108515=LINE('',#586507,#147530); #108516=LINE('',#586509,#147531); #108517=LINE('',#586510,#147532); #108518=LINE('',#586516,#147533); #108519=LINE('',#586519,#147534); #108520=LINE('',#586521,#147535); #108521=LINE('',#586522,#147536); #108522=LINE('',#586528,#147537); #108523=LINE('',#586530,#147538); #108524=LINE('',#586531,#147539); #108525=LINE('',#586537,#147540); #108526=LINE('',#586539,#147541); #108527=LINE('',#586541,#147542); #108528=LINE('',#586542,#147543); #108529=LINE('',#586545,#147544); #108530=LINE('',#586547,#147545); #108531=LINE('',#586548,#147546); #108532=LINE('',#586554,#147547); #108533=LINE('',#586557,#147548); #108534=LINE('',#586559,#147549); #108535=LINE('',#586560,#147550); #108536=LINE('',#586566,#147551); #108537=LINE('',#586568,#147552); #108538=LINE('',#586569,#147553); #108539=LINE('',#586575,#147554); #108540=LINE('',#586577,#147555); #108541=LINE('',#586579,#147556); #108542=LINE('',#586580,#147557); #108543=LINE('',#586583,#147558); #108544=LINE('',#586585,#147559); #108545=LINE('',#586586,#147560); #108546=LINE('',#586591,#147561); #108547=LINE('',#586595,#147562); #108548=LINE('',#586597,#147563); #108549=LINE('',#586598,#147564); #108550=LINE('',#586603,#147565); #108551=LINE('',#586606,#147566); #108552=LINE('',#586607,#147567); #108553=LINE('',#586613,#147568); #108554=LINE('',#586615,#147569); #108555=LINE('',#586617,#147570); #108556=LINE('',#586618,#147571); #108557=LINE('',#586621,#147572); #108558=LINE('',#586623,#147573); #108559=LINE('',#586624,#147574); #108560=LINE('',#586629,#147575); #108561=LINE('',#586633,#147576); #108562=LINE('',#586635,#147577); #108563=LINE('',#586636,#147578); #108564=LINE('',#586641,#147579); #108565=LINE('',#586644,#147580); #108566=LINE('',#586645,#147581); #108567=LINE('',#586651,#147582); #108568=LINE('',#586653,#147583); #108569=LINE('',#586655,#147584); #108570=LINE('',#586656,#147585); #108571=LINE('',#586659,#147586); #108572=LINE('',#586661,#147587); #108573=LINE('',#586662,#147588); #108574=LINE('',#586667,#147589); #108575=LINE('',#586671,#147590); #108576=LINE('',#586673,#147591); #108577=LINE('',#586674,#147592); #108578=LINE('',#586679,#147593); #108579=LINE('',#586682,#147594); #108580=LINE('',#586683,#147595); #108581=LINE('',#586689,#147596); #108582=LINE('',#586691,#147597); #108583=LINE('',#586693,#147598); #108584=LINE('',#586694,#147599); #108585=LINE('',#586697,#147600); #108586=LINE('',#586699,#147601); #108587=LINE('',#586700,#147602); #108588=LINE('',#586703,#147603); #108589=LINE('',#586705,#147604); #108590=LINE('',#586706,#147605); #108591=LINE('',#586708,#147606); #108592=LINE('',#586709,#147607); #108593=LINE('',#586715,#147608); #108594=LINE('',#586717,#147609); #108595=LINE('',#586719,#147610); #108596=LINE('',#586720,#147611); #108597=LINE('',#586723,#147612); #108598=LINE('',#586725,#147613); #108599=LINE('',#586726,#147614); #108600=LINE('',#586729,#147615); #108601=LINE('',#586731,#147616); #108602=LINE('',#586732,#147617); #108603=LINE('',#586734,#147618); #108604=LINE('',#586735,#147619); #108605=LINE('',#586741,#147620); #108606=LINE('',#586743,#147621); #108607=LINE('',#586745,#147622); #108608=LINE('',#586746,#147623); #108609=LINE('',#586749,#147624); #108610=LINE('',#586751,#147625); #108611=LINE('',#586752,#147626); #108612=LINE('',#586755,#147627); #108613=LINE('',#586757,#147628); #108614=LINE('',#586758,#147629); #108615=LINE('',#586760,#147630); #108616=LINE('',#586761,#147631); #108617=LINE('',#586767,#147632); #108618=LINE('',#586769,#147633); #108619=LINE('',#586771,#147634); #108620=LINE('',#586772,#147635); #108621=LINE('',#586775,#147636); #108622=LINE('',#586777,#147637); #108623=LINE('',#586778,#147638); #108624=LINE('',#586781,#147639); #108625=LINE('',#586783,#147640); #108626=LINE('',#586784,#147641); #108627=LINE('',#586786,#147642); #108628=LINE('',#586787,#147643); #108629=LINE('',#586793,#147644); #108630=LINE('',#586795,#147645); #108631=LINE('',#586797,#147646); #108632=LINE('',#586798,#147647); #108633=LINE('',#586801,#147648); #108634=LINE('',#586803,#147649); #108635=LINE('',#586804,#147650); #108636=LINE('',#586807,#147651); #108637=LINE('',#586809,#147652); #108638=LINE('',#586810,#147653); #108639=LINE('',#586812,#147654); #108640=LINE('',#586813,#147655); #108641=LINE('',#586819,#147656); #108642=LINE('',#586821,#147657); #108643=LINE('',#586823,#147658); #108644=LINE('',#586824,#147659); #108645=LINE('',#586827,#147660); #108646=LINE('',#586829,#147661); #108647=LINE('',#586830,#147662); #108648=LINE('',#586833,#147663); #108649=LINE('',#586835,#147664); #108650=LINE('',#586836,#147665); #108651=LINE('',#586838,#147666); #108652=LINE('',#586839,#147667); #108653=LINE('',#586845,#147668); #108654=LINE('',#586847,#147669); #108655=LINE('',#586849,#147670); #108656=LINE('',#586850,#147671); #108657=LINE('',#586853,#147672); #108658=LINE('',#586855,#147673); #108659=LINE('',#586856,#147674); #108660=LINE('',#586859,#147675); #108661=LINE('',#586861,#147676); #108662=LINE('',#586862,#147677); #108663=LINE('',#586864,#147678); #108664=LINE('',#586865,#147679); #108665=LINE('',#586871,#147680); #108666=LINE('',#586873,#147681); #108667=LINE('',#586875,#147682); #108668=LINE('',#586876,#147683); #108669=LINE('',#586879,#147684); #108670=LINE('',#586881,#147685); #108671=LINE('',#586882,#147686); #108672=LINE('',#586885,#147687); #108673=LINE('',#586887,#147688); #108674=LINE('',#586888,#147689); #108675=LINE('',#586890,#147690); #108676=LINE('',#586891,#147691); #108677=LINE('',#586900,#147692); #108678=LINE('',#586902,#147693); #108679=LINE('',#586904,#147694); #108680=LINE('',#586905,#147695); #108681=LINE('',#586908,#147696); #108682=LINE('',#586910,#147697); #108683=LINE('',#586911,#147698); #108684=LINE('',#586914,#147699); #108685=LINE('',#586916,#147700); #108686=LINE('',#586917,#147701); #108687=LINE('',#586919,#147702); #108688=LINE('',#586920,#147703); #108689=LINE('',#586923,#147704); #108690=LINE('',#586925,#147705); #108691=LINE('',#586926,#147706); #108692=LINE('',#586929,#147707); #108693=LINE('',#586930,#147708); #108694=LINE('',#586934,#147709); #108695=LINE('',#586935,#147710); #108696=LINE('',#586937,#147711); #108697=LINE('',#586942,#147712); #108698=LINE('',#586944,#147713); #108699=LINE('',#586946,#147714); #108700=LINE('',#586947,#147715); #108701=LINE('',#586950,#147716); #108702=LINE('',#586952,#147717); #108703=LINE('',#586953,#147718); #108704=LINE('',#586956,#147719); #108705=LINE('',#586958,#147720); #108706=LINE('',#586959,#147721); #108707=LINE('',#586961,#147722); #108708=LINE('',#586962,#147723); #108709=LINE('',#586968,#147724); #108710=LINE('',#586970,#147725); #108711=LINE('',#586972,#147726); #108712=LINE('',#586973,#147727); #108713=LINE('',#586976,#147728); #108714=LINE('',#586978,#147729); #108715=LINE('',#586979,#147730); #108716=LINE('',#586982,#147731); #108717=LINE('',#586984,#147732); #108718=LINE('',#586985,#147733); #108719=LINE('',#586987,#147734); #108720=LINE('',#586988,#147735); #108721=LINE('',#586994,#147736); #108722=LINE('',#586996,#147737); #108723=LINE('',#586998,#147738); #108724=LINE('',#586999,#147739); #108725=LINE('',#587002,#147740); #108726=LINE('',#587004,#147741); #108727=LINE('',#587005,#147742); #108728=LINE('',#587008,#147743); #108729=LINE('',#587010,#147744); #108730=LINE('',#587011,#147745); #108731=LINE('',#587013,#147746); #108732=LINE('',#587014,#147747); #108733=LINE('',#587020,#147748); #108734=LINE('',#587022,#147749); #108735=LINE('',#587024,#147750); #108736=LINE('',#587025,#147751); #108737=LINE('',#587028,#147752); #108738=LINE('',#587030,#147753); #108739=LINE('',#587031,#147754); #108740=LINE('',#587034,#147755); #108741=LINE('',#587036,#147756); #108742=LINE('',#587037,#147757); #108743=LINE('',#587039,#147758); #108744=LINE('',#587040,#147759); #108745=LINE('',#587050,#147760); #108746=LINE('',#587056,#147761); #108747=LINE('',#587063,#147762); #108748=LINE('',#587116,#147763); #108749=LINE('',#587170,#147764); #108750=LINE('',#587197,#147765); #108751=LINE('',#587242,#147766); #108752=LINE('',#587293,#147767); #108753=LINE('',#588094,#147768); #108754=LINE('',#588096,#147769); #108755=LINE('',#588098,#147770); #108756=LINE('',#588100,#147771); #108757=LINE('',#588102,#147772); #108758=LINE('',#588103,#147773); #108759=LINE('',#588105,#147774); #108760=LINE('',#588106,#147775); #108761=LINE('',#588108,#147776); #108762=LINE('',#588110,#147777); #108763=LINE('',#588112,#147778); #108764=LINE('',#588114,#147779); #108765=LINE('',#588119,#147780); #108766=LINE('',#588143,#147781); #108767=LINE('',#588191,#147782); #108768=LINE('',#588239,#147783); #108769=LINE('',#588287,#147784); #108770=LINE('',#588341,#147785); #108771=LINE('',#588389,#147786); #108772=LINE('',#588437,#147787); #108773=LINE('',#588447,#147788); #108774=LINE('',#588472,#147789); #108775=LINE('',#588496,#147790); #108776=LINE('',#588521,#147791); #108777=LINE('',#588546,#147792); #108778=LINE('',#588570,#147793); #108779=LINE('',#588594,#147794); #108780=LINE('',#588596,#147795); #108781=LINE('',#588598,#147796); #108782=LINE('',#588599,#147797); #108783=LINE('',#588603,#147798); #108784=LINE('',#588605,#147799); #108785=LINE('',#588607,#147800); #108786=LINE('',#588608,#147801); #108787=LINE('',#588612,#147802); #108788=LINE('',#588614,#147803); #108789=LINE('',#588616,#147804); #108790=LINE('',#588617,#147805); #108791=LINE('',#588621,#147806); #108792=LINE('',#588623,#147807); #108793=LINE('',#588625,#147808); #108794=LINE('',#588626,#147809); #108795=LINE('',#588630,#147810); #108796=LINE('',#588632,#147811); #108797=LINE('',#588634,#147812); #108798=LINE('',#588635,#147813); #108799=LINE('',#588639,#147814); #108800=LINE('',#588641,#147815); #108801=LINE('',#588643,#147816); #108802=LINE('',#588644,#147817); #108803=LINE('',#588648,#147818); #108804=LINE('',#588650,#147819); #108805=LINE('',#588652,#147820); #108806=LINE('',#588653,#147821); #108807=LINE('',#588672,#147822); #108808=LINE('',#588688,#147823); #108809=LINE('',#588694,#147824); #108810=LINE('',#588697,#147825); #108811=LINE('',#588699,#147826); #108812=LINE('',#588700,#147827); #108813=LINE('',#588702,#147828); #108814=LINE('',#588703,#147829); #108815=LINE('',#588706,#147830); #108816=LINE('',#588712,#147831); #108817=LINE('',#588714,#147832); #108818=LINE('',#588716,#147833); #108819=LINE('',#588718,#147834); #108820=LINE('',#588720,#147835); #108821=LINE('',#588723,#147836); #108822=LINE('',#588726,#147837); #108823=LINE('',#588728,#147838); #108824=LINE('',#588729,#147839); #108825=LINE('',#588748,#147840); #108826=LINE('',#588764,#147841); #108827=LINE('',#588770,#147842); #108828=LINE('',#588772,#147843); #108829=LINE('',#588773,#147844); #108830=LINE('',#588775,#147845); #108831=LINE('',#588779,#147846); #108832=LINE('',#588781,#147847); #108833=LINE('',#588786,#147848); #108834=LINE('',#588789,#147849); #108835=LINE('',#588791,#147850); #108836=LINE('',#588798,#147851); #108837=LINE('',#588800,#147852); #108838=LINE('',#588805,#147853); #108839=LINE('',#588808,#147854); #108840=LINE('',#588810,#147855); #108841=LINE('',#588817,#147856); #108842=LINE('',#588819,#147857); #108843=LINE('',#588824,#147858); #108844=LINE('',#588827,#147859); #108845=LINE('',#588829,#147860); #108846=LINE('',#588838,#147861); #108847=LINE('',#588841,#147862); #108848=LINE('',#588848,#147863); #108849=LINE('',#588850,#147864); #108850=LINE('',#588855,#147865); #108851=LINE('',#588858,#147866); #108852=LINE('',#588860,#147867); #108853=LINE('',#588867,#147868); #108854=LINE('',#588869,#147869); #108855=LINE('',#588874,#147870); #108856=LINE('',#588877,#147871); #108857=LINE('',#588879,#147872); #108858=LINE('',#588886,#147873); #108859=LINE('',#588888,#147874); #108860=LINE('',#588893,#147875); #108861=LINE('',#588896,#147876); #108862=LINE('',#588898,#147877); #108863=LINE('',#588905,#147878); #108864=LINE('',#588907,#147879); #108865=LINE('',#588912,#147880); #108866=LINE('',#588915,#147881); #108867=LINE('',#588917,#147882); #108868=LINE('',#588961,#147883); #108869=LINE('',#588963,#147884); #108870=LINE('',#588968,#147885); #108871=LINE('',#588971,#147886); #108872=LINE('',#588973,#147887); #108873=LINE('',#588980,#147888); #108874=LINE('',#588982,#147889); #108875=LINE('',#588987,#147890); #108876=LINE('',#588990,#147891); #108877=LINE('',#588992,#147892); #108878=LINE('',#588999,#147893); #108879=LINE('',#589001,#147894); #108880=LINE('',#589006,#147895); #108881=LINE('',#589009,#147896); #108882=LINE('',#589011,#147897); #108883=LINE('',#589018,#147898); #108884=LINE('',#589020,#147899); #108885=LINE('',#589025,#147900); #108886=LINE('',#589028,#147901); #108887=LINE('',#589030,#147902); #108888=LINE('',#589095,#147903); #108889=LINE('',#589097,#147904); #108890=LINE('',#589101,#147905); #108891=LINE('',#589102,#147906); #108892=LINE('',#589103,#147907); #108893=LINE('',#589106,#147908); #108894=LINE('',#589107,#147909); #108895=LINE('',#589111,#147910); #108896=LINE('',#589112,#147911); #108897=LINE('',#589113,#147912); #108898=LINE('',#589116,#147913); #108899=LINE('',#589117,#147914); #108900=LINE('',#589121,#147915); #108901=LINE('',#589122,#147916); #108902=LINE('',#589123,#147917); #108903=LINE('',#589126,#147918); #108904=LINE('',#589127,#147919); #108905=LINE('',#589147,#147920); #108906=LINE('',#589151,#147921); #108907=LINE('',#589153,#147922); #108908=LINE('',#589155,#147923); #108909=LINE('',#589156,#147924); #108910=LINE('',#589160,#147925); #108911=LINE('',#589162,#147926); #108912=LINE('',#589164,#147927); #108913=LINE('',#589165,#147928); #108914=LINE('',#589170,#147929); #108915=LINE('',#589177,#147930); #108916=LINE('',#589180,#147931); #108917=LINE('',#589184,#147932); #108918=LINE('',#589186,#147933); #108919=LINE('',#589190,#147934); #108920=LINE('',#589194,#147935); #108921=LINE('',#589196,#147936); #108922=LINE('',#589199,#147937); #108923=LINE('',#589202,#147938); #108924=LINE('',#589203,#147939); #108925=LINE('',#589204,#147940); #108926=LINE('',#589207,#147941); #108927=LINE('',#589209,#147942); #108928=LINE('',#589210,#147943); #108929=LINE('',#589213,#147944); #108930=LINE('',#589215,#147945); #108931=LINE('',#589216,#147946); #108932=LINE('',#589219,#147947); #108933=LINE('',#589220,#147948); #108934=LINE('',#589224,#147949); #108935=LINE('',#589227,#147950); #108936=LINE('',#589228,#147951); #108937=LINE('',#589234,#147952); #108938=LINE('',#589237,#147953); #108939=LINE('',#589238,#147954); #108940=LINE('',#589240,#147955); #108941=LINE('',#589244,#147956); #108942=LINE('',#589248,#147957); #108943=LINE('',#589250,#147958); #108944=LINE('',#589254,#147959); #108945=LINE('',#589256,#147960); #108946=LINE('',#589260,#147961); #108947=LINE('',#589264,#147962); #108948=LINE('',#589266,#147963); #108949=LINE('',#589269,#147964); #108950=LINE('',#589272,#147965); #108951=LINE('',#589274,#147966); #108952=LINE('',#589275,#147967); #108953=LINE('',#589278,#147968); #108954=LINE('',#589280,#147969); #108955=LINE('',#589284,#147970); #108956=LINE('',#589286,#147971); #108957=LINE('',#589290,#147972); #108958=LINE('',#589294,#147973); #108959=LINE('',#589296,#147974); #108960=LINE('',#589299,#147975); #108961=LINE('',#589300,#147976); #108962=LINE('',#589302,#147977); #108963=LINE('',#589304,#147978); #108964=LINE('',#589306,#147979); #108965=LINE('',#589307,#147980); #108966=LINE('',#589309,#147981); #108967=LINE('',#589311,#147982); #108968=LINE('',#589313,#147983); #108969=LINE('',#589317,#147984); #108970=LINE('',#589321,#147985); #108971=LINE('',#589323,#147986); #108972=LINE('',#589325,#147987); #108973=LINE('',#589326,#147988); #108974=LINE('',#589332,#147989); #108975=LINE('',#589336,#147990); #108976=LINE('',#589340,#147991); #108977=LINE('',#589344,#147992); #108978=LINE('',#589346,#147993); #108979=LINE('',#589347,#147994); #108980=LINE('',#589350,#147995); #108981=LINE('',#589354,#147996); #108982=LINE('',#589356,#147997); #108983=LINE('',#589360,#147998); #108984=LINE('',#589364,#147999); #108985=LINE('',#589366,#148000); #108986=LINE('',#589369,#148001); #108987=LINE('',#589372,#148002); #108988=LINE('',#589373,#148003); #108989=LINE('',#589374,#148004); #108990=LINE('',#589379,#148005); #108991=LINE('',#589380,#148006); #108992=LINE('',#589383,#148007); #108993=LINE('',#589387,#148008); #108994=LINE('',#589388,#148009); #108995=LINE('',#589390,#148010); #108996=LINE('',#589394,#148011); #108997=LINE('',#589396,#148012); #108998=LINE('',#589399,#148013); #108999=LINE('',#589401,#148014); #109000=LINE('',#589403,#148015); #109001=LINE('',#589405,#148016); #109002=LINE('',#589407,#148017); #109003=LINE('',#589408,#148018); #109004=LINE('',#589410,#148019); #109005=LINE('',#589412,#148020); #109006=LINE('',#589418,#148021); #109007=LINE('',#589420,#148022); #109008=LINE('',#589422,#148023); #109009=LINE('',#589423,#148024); #109010=LINE('',#589427,#148025); #109011=LINE('',#589429,#148026); #109012=LINE('',#589431,#148027); #109013=LINE('',#589432,#148028); #109014=LINE('',#589437,#148029); #109015=LINE('',#589444,#148030); #109016=LINE('',#589447,#148031); #109017=LINE('',#589451,#148032); #109018=LINE('',#589453,#148033); #109019=LINE('',#589457,#148034); #109020=LINE('',#589461,#148035); #109021=LINE('',#589463,#148036); #109022=LINE('',#589466,#148037); #109023=LINE('',#589469,#148038); #109024=LINE('',#589470,#148039); #109025=LINE('',#589471,#148040); #109026=LINE('',#589474,#148041); #109027=LINE('',#589476,#148042); #109028=LINE('',#589477,#148043); #109029=LINE('',#589480,#148044); #109030=LINE('',#589482,#148045); #109031=LINE('',#589483,#148046); #109032=LINE('',#589486,#148047); #109033=LINE('',#589487,#148048); #109034=LINE('',#589491,#148049); #109035=LINE('',#589494,#148050); #109036=LINE('',#589495,#148051); #109037=LINE('',#589501,#148052); #109038=LINE('',#589504,#148053); #109039=LINE('',#589505,#148054); #109040=LINE('',#589507,#148055); #109041=LINE('',#589511,#148056); #109042=LINE('',#589515,#148057); #109043=LINE('',#589517,#148058); #109044=LINE('',#589521,#148059); #109045=LINE('',#589523,#148060); #109046=LINE('',#589527,#148061); #109047=LINE('',#589531,#148062); #109048=LINE('',#589533,#148063); #109049=LINE('',#589536,#148064); #109050=LINE('',#589539,#148065); #109051=LINE('',#589541,#148066); #109052=LINE('',#589542,#148067); #109053=LINE('',#589545,#148068); #109054=LINE('',#589547,#148069); #109055=LINE('',#589551,#148070); #109056=LINE('',#589553,#148071); #109057=LINE('',#589557,#148072); #109058=LINE('',#589561,#148073); #109059=LINE('',#589563,#148074); #109060=LINE('',#589566,#148075); #109061=LINE('',#589567,#148076); #109062=LINE('',#589569,#148077); #109063=LINE('',#589570,#148078); #109064=LINE('',#589572,#148079); #109065=LINE('',#589573,#148080); #109066=LINE('',#589575,#148081); #109067=LINE('',#589578,#148082); #109068=LINE('',#589581,#148083); #109069=LINE('',#589583,#148084); #109070=LINE('',#589587,#148085); #109071=LINE('',#589602,#148086); #109072=LINE('',#589606,#148087); #109073=LINE('',#589607,#148088); #109074=LINE('',#589610,#148089); #109075=LINE('',#589612,#148090); #109076=LINE('',#589614,#148091); #109077=LINE('',#589616,#148092); #109078=LINE('',#589618,#148093); #109079=LINE('',#589620,#148094); #109080=LINE('',#589621,#148095); #109081=LINE('',#589622,#148096); #109082=LINE('',#589625,#148097); #109083=LINE('',#589627,#148098); #109084=LINE('',#589629,#148099); #109085=LINE('',#589633,#148100); #109086=LINE('',#589649,#148101); #109087=LINE('',#589653,#148102); #109088=LINE('',#589656,#148103); #109089=LINE('',#589657,#148104); #109090=LINE('',#589662,#148105); #109091=LINE('',#589666,#148106); #109092=LINE('',#589669,#148107); #109093=LINE('',#589673,#148108); #109094=LINE('',#589675,#148109); #109095=LINE('',#589677,#148110); #109096=LINE('',#589679,#148111); #109097=LINE('',#589681,#148112); #109098=LINE('',#589683,#148113); #109099=LINE('',#589685,#148114); #109100=LINE('',#589687,#148115); #109101=LINE('',#589688,#148116); #109102=LINE('',#590486,#148117); #109103=LINE('',#590488,#148118); #109104=LINE('',#590490,#148119); #109105=LINE('',#590492,#148120); #109106=LINE('',#590494,#148121); #109107=LINE('',#590495,#148122); #109108=LINE('',#590498,#148123); #109109=LINE('',#590500,#148124); #109110=LINE('',#590501,#148125); #109111=LINE('',#590504,#148126); #109112=LINE('',#590506,#148127); #109113=LINE('',#590507,#148128); #109114=LINE('',#590510,#148129); #109115=LINE('',#590511,#148130); #109116=LINE('',#590514,#148131); #109117=LINE('',#590515,#148132); #109118=LINE('',#590516,#148133); #109119=LINE('',#590520,#148134); #109120=LINE('',#590522,#148135); #109121=LINE('',#590524,#148136); #109122=LINE('',#590526,#148137); #109123=LINE('',#590528,#148138); #109124=LINE('',#590530,#148139); #109125=LINE('',#590532,#148140); #109126=LINE('',#590534,#148141); #109127=LINE('',#590535,#148142); #109128=LINE('',#590540,#148143); #109129=LINE('',#590542,#148144); #109130=LINE('',#590544,#148145); #109131=LINE('',#590545,#148146); #109132=LINE('',#590548,#148147); #109133=LINE('',#590550,#148148); #109134=LINE('',#590551,#148149); #109135=LINE('',#590555,#148150); #109136=LINE('',#590557,#148151); #109137=LINE('',#590559,#148152); #109138=LINE('',#590560,#148153); #109139=LINE('',#590564,#148154); #109140=LINE('',#590566,#148155); #109141=LINE('',#590568,#148156); #109142=LINE('',#590569,#148157); #109143=LINE('',#590572,#148158); #109144=LINE('',#590574,#148159); #109145=LINE('',#590575,#148160); #109146=LINE('',#590579,#148161); #109147=LINE('',#590581,#148162); #109148=LINE('',#590583,#148163); #109149=LINE('',#590584,#148164); #109150=LINE('',#590589,#148165); #109151=LINE('',#590594,#148166); #109152=LINE('',#590595,#148167); #109153=LINE('',#590596,#148168); #109154=LINE('',#590601,#148169); #109155=LINE('',#590603,#148170); #109156=LINE('',#590607,#148171); #109157=LINE('',#590608,#148172); #109158=LINE('',#590611,#148173); #109159=LINE('',#590613,#148174); #109160=LINE('',#590614,#148175); #109161=LINE('',#590617,#148176); #109162=LINE('',#590618,#148177); #109163=LINE('',#590621,#148178); #109164=LINE('',#590622,#148179); #109165=LINE('',#590628,#148180); #109166=LINE('',#590630,#148181); #109167=LINE('',#590632,#148182); #109168=LINE('',#590633,#148183); #109169=LINE('',#590638,#148184); #109170=LINE('',#590643,#148185); #109171=LINE('',#590644,#148186); #109172=LINE('',#590645,#148187); #109173=LINE('',#590649,#148188); #109174=LINE('',#590651,#148189); #109175=LINE('',#590653,#148190); #109176=LINE('',#590654,#148191); #109177=LINE('',#590656,#148192); #109178=LINE('',#590657,#148193); #109179=LINE('',#590661,#148194); #109180=LINE('',#590663,#148195); #109181=LINE('',#590665,#148196); #109182=LINE('',#590666,#148197); #109183=LINE('',#590671,#148198); #109184=LINE('',#590672,#148199); #109185=LINE('',#590673,#148200); #109186=LINE('',#590679,#148201); #109187=LINE('',#590682,#148202); #109188=LINE('',#590686,#148203); #109189=LINE('',#590687,#148204); #109190=LINE('',#590691,#148205); #109191=LINE('',#590695,#148206); #109192=LINE('',#590697,#148207); #109193=LINE('',#590699,#148208); #109194=LINE('',#590701,#148209); #109195=LINE('',#590702,#148210); #109196=LINE('',#590704,#148211); #109197=LINE('',#590705,#148212); #109198=LINE('',#590709,#148213); #109199=LINE('',#590710,#148214); #109200=LINE('',#590711,#148215); #109201=LINE('',#590714,#148216); #109202=LINE('',#590715,#148217); #109203=LINE('',#590716,#148218); #109204=LINE('',#590722,#148219); #109205=LINE('',#590725,#148220); #109206=LINE('',#590727,#148221); #109207=LINE('',#590729,#148222); #109208=LINE('',#590730,#148223); #109209=LINE('',#590732,#148224); #109210=LINE('',#590733,#148225); #109211=LINE('',#590738,#148226); #109212=LINE('',#590740,#148227); #109213=LINE('',#590741,#148228); #109214=LINE('',#590743,#148229); #109215=LINE('',#590745,#148230); #109216=LINE('',#590748,#148231); #109217=LINE('',#590750,#148232); #109218=LINE('',#590754,#148233); #109219=LINE('',#590756,#148234); #109220=LINE('',#590758,#148235); #109221=LINE('',#590759,#148236); #109222=LINE('',#590765,#148237); #109223=LINE('',#590768,#148238); #109224=LINE('',#590770,#148239); #109225=LINE('',#590771,#148240); #109226=LINE('',#590777,#148241); #109227=LINE('',#590780,#148242); #109228=LINE('',#590782,#148243); #109229=LINE('',#590783,#148244); #109230=LINE('',#590786,#148245); #109231=LINE('',#590787,#148246); #109232=LINE('',#590788,#148247); #109233=LINE('',#590791,#148248); #109234=LINE('',#590792,#148249); #109235=LINE('',#590796,#148250); #109236=LINE('',#590797,#148251); #109237=LINE('',#590798,#148252); #109238=LINE('',#590801,#148253); #109239=LINE('',#590802,#148254); #109240=LINE('',#590805,#148255); #109241=LINE('',#590806,#148256); #109242=LINE('',#590810,#148257); #109243=LINE('',#590813,#148258); #109244=LINE('',#590814,#148259); #109245=LINE('',#590819,#148260); #109246=LINE('',#590820,#148261); #109247=LINE('',#590822,#148262); #109248=LINE('',#590827,#148263); #109249=LINE('',#590828,#148264); #109250=LINE('',#590830,#148265); #109251=LINE('',#590831,#148266); #109252=LINE('',#590835,#148267); #109253=LINE('',#590836,#148268); #109254=LINE('',#590838,#148269); #109255=LINE('',#590840,#148270); #109256=LINE('',#590841,#148271); #109257=LINE('',#590842,#148272); #109258=LINE('',#590850,#148273); #109259=LINE('',#590854,#148274); #109260=LINE('',#590856,#148275); #109261=LINE('',#590858,#148276); #109262=LINE('',#590860,#148277); #109263=LINE('',#590862,#148278); #109264=LINE('',#590865,#148279); #109265=LINE('',#590866,#148280); #109266=LINE('',#590868,#148281); #109267=LINE('',#590869,#148282); #109268=LINE('',#590875,#148283); #109269=LINE('',#590876,#148284); #109270=LINE('',#590883,#148285); #109271=LINE('',#590884,#148286); #109272=LINE('',#590886,#148287); #109273=LINE('',#590890,#148288); #109274=LINE('',#590894,#148289); #109275=LINE('',#590895,#148290); #109276=LINE('',#590897,#148291); #109277=LINE('',#590899,#148292); #109278=LINE('',#590901,#148293); #109279=LINE('',#590902,#148294); #109280=LINE('',#590909,#148295); #109281=LINE('',#590911,#148296); #109282=LINE('',#590913,#148297); #109283=LINE('',#590915,#148298); #109284=LINE('',#590917,#148299); #109285=LINE('',#590919,#148300); #109286=LINE('',#590921,#148301); #109287=LINE('',#590923,#148302); #109288=LINE('',#590925,#148303); #109289=LINE('',#590928,#148304); #109290=LINE('',#590943,#148305); #109291=LINE('',#590947,#148306); #109292=LINE('',#590949,#148307); #109293=LINE('',#590951,#148308); #109294=LINE('',#590955,#148309); #109295=LINE('',#590969,#148310); #109296=LINE('',#590971,#148311); #109297=LINE('',#590973,#148312); #109298=LINE('',#590975,#148313); #109299=LINE('',#590977,#148314); #109300=LINE('',#590979,#148315); #109301=LINE('',#590981,#148316); #109302=LINE('',#590982,#148317); #109303=LINE('',#590985,#148318); #109304=LINE('',#590989,#148319); #109305=LINE('',#590993,#148320); #109306=LINE('',#590995,#148321); #109307=LINE('',#590997,#148322); #109308=LINE('',#591001,#148323); #109309=LINE('',#591004,#148324); #109310=LINE('',#591006,#148325); #109311=LINE('',#591008,#148326); #109312=LINE('',#591012,#148327); #109313=LINE('',#591014,#148328); #109314=LINE('',#591016,#148329); #109315=LINE('',#591018,#148330); #109316=LINE('',#591020,#148331); #109317=LINE('',#591022,#148332); #109318=LINE('',#591024,#148333); #109319=LINE('',#591026,#148334); #109320=LINE('',#591028,#148335); #109321=LINE('',#591032,#148336); #109322=LINE('',#591034,#148337); #109323=LINE('',#591036,#148338); #109324=LINE('',#591037,#148339); #109325=LINE('',#591041,#148340); #109326=LINE('',#591043,#148341); #109327=LINE('',#591045,#148342); #109328=LINE('',#591046,#148343); #109329=LINE('',#591050,#148344); #109330=LINE('',#591053,#148345); #109331=LINE('',#591056,#148346); #109332=LINE('',#591059,#148347); #109333=LINE('',#591064,#148348); #109334=LINE('',#591069,#148349); #109335=LINE('',#591073,#148350); #109336=LINE('',#591075,#148351); #109337=LINE('',#591078,#148352); #109338=LINE('',#591080,#148353); #109339=LINE('',#591081,#148354); #109340=LINE('',#591085,#148355); #109341=LINE('',#591087,#148356); #109342=LINE('',#591089,#148357); #109343=LINE('',#591090,#148358); #109344=LINE('',#591094,#148359); #109345=LINE('',#591096,#148360); #109346=LINE('',#591098,#148361); #109347=LINE('',#591099,#148362); #109348=LINE('',#591103,#148363); #109349=LINE('',#591106,#148364); #109350=LINE('',#591110,#148365); #109351=LINE('',#591116,#148366); #109352=LINE('',#591121,#148367); #109353=LINE('',#591125,#148368); #109354=LINE('',#591127,#148369); #109355=LINE('',#591132,#148370); #109356=LINE('',#591135,#148371); #109357=LINE('',#591137,#148372); #109358=LINE('',#591138,#148373); #109359=LINE('',#591143,#148374); #109360=LINE('',#591145,#148375); #109361=LINE('',#591147,#148376); #109362=LINE('',#591153,#148377); #109363=LINE('',#591157,#148378); #109364=LINE('',#591159,#148379); #109365=LINE('',#591160,#148380); #109366=LINE('',#591163,#148381); #109367=LINE('',#591165,#148382); #109368=LINE('',#591169,#148383); #109369=LINE('',#591170,#148384); #109370=LINE('',#591172,#148385); #109371=LINE('',#591173,#148386); #109372=LINE('',#591178,#148387); #109373=LINE('',#591179,#148388); #109374=LINE('',#591184,#148389); #109375=LINE('',#591187,#148390); #109376=LINE('',#591188,#148391); #109377=LINE('',#591189,#148392); #109378=LINE('',#591195,#148393); #109379=LINE('',#591198,#148394); #109380=LINE('',#591201,#148395); #109381=LINE('',#591203,#148396); #109382=LINE('',#591205,#148397); #109383=LINE('',#591209,#148398); #109384=LINE('',#591211,#148399); #109385=LINE('',#591212,#148400); #109386=LINE('',#591215,#148401); #109387=LINE('',#591218,#148402); #109388=LINE('',#591219,#148403); #109389=LINE('',#591222,#148404); #109390=LINE('',#591227,#148405); #109391=LINE('',#591228,#148406); #109392=LINE('',#591231,#148407); #109393=LINE('',#591233,#148408); #109394=LINE('',#591234,#148409); #109395=LINE('',#591236,#148410); #109396=LINE('',#591237,#148411); #109397=LINE('',#591240,#148412); #109398=LINE('',#591242,#148413); #109399=LINE('',#591245,#148414); #109400=LINE('',#591248,#148415); #109401=LINE('',#591251,#148416); #109402=LINE('',#591253,#148417); #109403=LINE('',#591255,#148418); #109404=LINE('',#591258,#148419); #109405=LINE('',#591261,#148420); #109406=LINE('',#591263,#148421); #109407=LINE('',#591265,#148422); #109408=LINE('',#591267,#148423); #109409=LINE('',#591269,#148424); #109410=LINE('',#591282,#148425); #109411=LINE('',#591296,#148426); #109412=LINE('',#591305,#148427); #109413=LINE('',#591308,#148428); #109414=LINE('',#591314,#148429); #109415=LINE('',#591317,#148430); #109416=LINE('',#591321,#148431); #109417=LINE('',#591325,#148432); #109418=LINE('',#591330,#148433); #109419=LINE('',#591334,#148434); #109420=LINE('',#591339,#148435); #109421=LINE('',#591346,#148436); #109422=LINE('',#591349,#148437); #109423=LINE('',#591350,#148438); #109424=LINE('',#591351,#148439); #109425=LINE('',#591352,#148440); #109426=LINE('',#591354,#148441); #109427=LINE('',#591357,#148442); #109428=LINE('',#591359,#148443); #109429=LINE('',#591360,#148444); #109430=LINE('',#591365,#148445); #109431=LINE('',#591368,#148446); #109432=LINE('',#591369,#148447); #109433=LINE('',#591371,#148448); #109434=LINE('',#591373,#148449); #109435=LINE('',#591375,#148450); #109436=LINE('',#591377,#148451); #109437=LINE('',#591379,#148452); #109438=LINE('',#591383,#148453); #109439=LINE('',#591384,#148454); #109440=LINE('',#591385,#148455); #109441=LINE('',#591389,#148456); #109442=LINE('',#591393,#148457); #109443=LINE('',#591394,#148458); #109444=LINE('',#591396,#148459); #109445=LINE('',#591398,#148460); #109446=LINE('',#591400,#148461); #109447=LINE('',#591403,#148462); #109448=LINE('',#591405,#148463); #109449=LINE('',#591407,#148464); #109450=LINE('',#591414,#148465); #109451=LINE('',#591417,#148466); #109452=LINE('',#591423,#148467); #109453=LINE('',#591426,#148468); #109454=LINE('',#591430,#148469); #109455=LINE('',#591434,#148470); #109456=LINE('',#591439,#148471); #109457=LINE('',#591443,#148472); #109458=LINE('',#591449,#148473); #109459=LINE('',#591455,#148474); #109460=LINE('',#591458,#148475); #109461=LINE('',#591459,#148476); #109462=LINE('',#591460,#148477); #109463=LINE('',#591461,#148478); #109464=LINE('',#591463,#148479); #109465=LINE('',#591467,#148480); #109466=LINE('',#591468,#148481); #109467=LINE('',#591469,#148482); #109468=LINE('',#591472,#148483); #109469=LINE('',#591474,#148484); #109470=LINE('',#591475,#148485); #109471=LINE('',#591477,#148486); #109472=LINE('',#591479,#148487); #109473=LINE('',#591481,#148488); #109474=LINE('',#591483,#148489); #109475=LINE('',#591485,#148490); #109476=LINE('',#591488,#148491); #109477=LINE('',#591490,#148492); #109478=LINE('',#591491,#148493); #109479=LINE('',#591495,#148494); #109480=LINE('',#591496,#148495); #109481=LINE('',#591497,#148496); #109482=LINE('',#591499,#148497); #109483=LINE('',#591501,#148498); #109484=LINE('',#591505,#148499); #109485=LINE('',#591508,#148500); #109486=LINE('',#591510,#148501); #109487=LINE('',#591512,#148502); #109488=LINE('',#591525,#148503); #109489=LINE('',#591531,#148504); #109490=LINE('',#591533,#148505); #109491=LINE('',#591535,#148506); #109492=LINE('',#591536,#148507); #109493=LINE('',#591539,#148508); #109494=LINE('',#591541,#148509); #109495=LINE('',#591542,#148510); #109496=LINE('',#591545,#148511); #109497=LINE('',#591547,#148512); #109498=LINE('',#591548,#148513); #109499=LINE('',#591550,#148514); #109500=LINE('',#591551,#148515); #109501=LINE('',#591554,#148516); #109502=LINE('',#591556,#148517); #109503=LINE('',#591557,#148518); #109504=LINE('',#591560,#148519); #109505=LINE('',#591561,#148520); #109506=LINE('',#591565,#148521); #109507=LINE('',#591566,#148522); #109508=LINE('',#591568,#148523); #109509=LINE('',#591575,#148524); #109510=LINE('',#591577,#148525); #109511=LINE('',#591578,#148526); #109512=LINE('',#591581,#148527); #109513=LINE('',#591584,#148528); #109514=LINE('',#591588,#148529); #109515=LINE('',#591590,#148530); #109516=LINE('',#591592,#148531); #109517=LINE('',#591593,#148532); #109518=LINE('',#591595,#148533); #109519=LINE('',#591596,#148534); #109520=LINE('',#591599,#148535); #109521=LINE('',#591601,#148536); #109522=LINE('',#591602,#148537); #109523=LINE('',#591604,#148538); #109524=LINE('',#591605,#148539); #109525=LINE('',#591613,#148540); #109526=LINE('',#591615,#148541); #109527=LINE('',#591616,#148542); #109528=LINE('',#591619,#148543); #109529=LINE('',#591622,#148544); #109530=LINE('',#591626,#148545); #109531=LINE('',#591628,#148546); #109532=LINE('',#591630,#148547); #109533=LINE('',#591631,#148548); #109534=LINE('',#591633,#148549); #109535=LINE('',#591634,#148550); #109536=LINE('',#591637,#148551); #109537=LINE('',#591639,#148552); #109538=LINE('',#591640,#148553); #109539=LINE('',#591642,#148554); #109540=LINE('',#591643,#148555); #109541=LINE('',#591651,#148556); #109542=LINE('',#591653,#148557); #109543=LINE('',#591654,#148558); #109544=LINE('',#591657,#148559); #109545=LINE('',#591660,#148560); #109546=LINE('',#591664,#148561); #109547=LINE('',#591666,#148562); #109548=LINE('',#591668,#148563); #109549=LINE('',#591669,#148564); #109550=LINE('',#591671,#148565); #109551=LINE('',#591672,#148566); #109552=LINE('',#591675,#148567); #109553=LINE('',#591677,#148568); #109554=LINE('',#591678,#148569); #109555=LINE('',#591680,#148570); #109556=LINE('',#591681,#148571); #109557=LINE('',#591689,#148572); #109558=LINE('',#591691,#148573); #109559=LINE('',#591692,#148574); #109560=LINE('',#591695,#148575); #109561=LINE('',#591698,#148576); #109562=LINE('',#591702,#148577); #109563=LINE('',#591704,#148578); #109564=LINE('',#591706,#148579); #109565=LINE('',#591707,#148580); #109566=LINE('',#591709,#148581); #109567=LINE('',#591710,#148582); #109568=LINE('',#591713,#148583); #109569=LINE('',#591715,#148584); #109570=LINE('',#591716,#148585); #109571=LINE('',#591718,#148586); #109572=LINE('',#591719,#148587); #109573=LINE('',#591727,#148588); #109574=LINE('',#591729,#148589); #109575=LINE('',#591730,#148590); #109576=LINE('',#591733,#148591); #109577=LINE('',#591736,#148592); #109578=LINE('',#591740,#148593); #109579=LINE('',#591742,#148594); #109580=LINE('',#591744,#148595); #109581=LINE('',#591745,#148596); #109582=LINE('',#591747,#148597); #109583=LINE('',#591748,#148598); #109584=LINE('',#591751,#148599); #109585=LINE('',#591753,#148600); #109586=LINE('',#591754,#148601); #109587=LINE('',#591756,#148602); #109588=LINE('',#591757,#148603); #109589=LINE('',#591765,#148604); #109590=LINE('',#591767,#148605); #109591=LINE('',#591768,#148606); #109592=LINE('',#591771,#148607); #109593=LINE('',#591774,#148608); #109594=LINE('',#591778,#148609); #109595=LINE('',#591780,#148610); #109596=LINE('',#591782,#148611); #109597=LINE('',#591783,#148612); #109598=LINE('',#591785,#148613); #109599=LINE('',#591786,#148614); #109600=LINE('',#591789,#148615); #109601=LINE('',#591791,#148616); #109602=LINE('',#591792,#148617); #109603=LINE('',#591794,#148618); #109604=LINE('',#591795,#148619); #109605=LINE('',#591803,#148620); #109606=LINE('',#591805,#148621); #109607=LINE('',#591806,#148622); #109608=LINE('',#591809,#148623); #109609=LINE('',#591812,#148624); #109610=LINE('',#591816,#148625); #109611=LINE('',#591818,#148626); #109612=LINE('',#591820,#148627); #109613=LINE('',#591821,#148628); #109614=LINE('',#591823,#148629); #109615=LINE('',#591824,#148630); #109616=LINE('',#591827,#148631); #109617=LINE('',#591829,#148632); #109618=LINE('',#591830,#148633); #109619=LINE('',#591832,#148634); #109620=LINE('',#591833,#148635); #109621=LINE('',#591841,#148636); #109622=LINE('',#591843,#148637); #109623=LINE('',#591844,#148638); #109624=LINE('',#591847,#148639); #109625=LINE('',#591850,#148640); #109626=LINE('',#591854,#148641); #109627=LINE('',#591856,#148642); #109628=LINE('',#591858,#148643); #109629=LINE('',#591859,#148644); #109630=LINE('',#591861,#148645); #109631=LINE('',#591862,#148646); #109632=LINE('',#591865,#148647); #109633=LINE('',#591867,#148648); #109634=LINE('',#591868,#148649); #109635=LINE('',#591870,#148650); #109636=LINE('',#591871,#148651); #109637=LINE('',#591879,#148652); #109638=LINE('',#591881,#148653); #109639=LINE('',#591882,#148654); #109640=LINE('',#591885,#148655); #109641=LINE('',#591888,#148656); #109642=LINE('',#591892,#148657); #109643=LINE('',#591894,#148658); #109644=LINE('',#591896,#148659); #109645=LINE('',#591897,#148660); #109646=LINE('',#591899,#148661); #109647=LINE('',#591900,#148662); #109648=LINE('',#591903,#148663); #109649=LINE('',#591905,#148664); #109650=LINE('',#591906,#148665); #109651=LINE('',#591908,#148666); #109652=LINE('',#591909,#148667); #109653=LINE('',#591917,#148668); #109654=LINE('',#591919,#148669); #109655=LINE('',#591920,#148670); #109656=LINE('',#591923,#148671); #109657=LINE('',#591926,#148672); #109658=LINE('',#591930,#148673); #109659=LINE('',#591932,#148674); #109660=LINE('',#591934,#148675); #109661=LINE('',#591935,#148676); #109662=LINE('',#591937,#148677); #109663=LINE('',#591938,#148678); #109664=LINE('',#591941,#148679); #109665=LINE('',#591943,#148680); #109666=LINE('',#591944,#148681); #109667=LINE('',#591946,#148682); #109668=LINE('',#591947,#148683); #109669=LINE('',#591955,#148684); #109670=LINE('',#591957,#148685); #109671=LINE('',#591958,#148686); #109672=LINE('',#591961,#148687); #109673=LINE('',#591964,#148688); #109674=LINE('',#591968,#148689); #109675=LINE('',#591970,#148690); #109676=LINE('',#591972,#148691); #109677=LINE('',#591973,#148692); #109678=LINE('',#591975,#148693); #109679=LINE('',#591976,#148694); #109680=LINE('',#591979,#148695); #109681=LINE('',#591981,#148696); #109682=LINE('',#591982,#148697); #109683=LINE('',#591984,#148698); #109684=LINE('',#591985,#148699); #109685=LINE('',#591993,#148700); #109686=LINE('',#591995,#148701); #109687=LINE('',#591996,#148702); #109688=LINE('',#591999,#148703); #109689=LINE('',#592002,#148704); #109690=LINE('',#592006,#148705); #109691=LINE('',#592008,#148706); #109692=LINE('',#592010,#148707); #109693=LINE('',#592011,#148708); #109694=LINE('',#592013,#148709); #109695=LINE('',#592014,#148710); #109696=LINE('',#592017,#148711); #109697=LINE('',#592019,#148712); #109698=LINE('',#592020,#148713); #109699=LINE('',#592022,#148714); #109700=LINE('',#592023,#148715); #109701=LINE('',#592031,#148716); #109702=LINE('',#592033,#148717); #109703=LINE('',#592034,#148718); #109704=LINE('',#592037,#148719); #109705=LINE('',#592040,#148720); #109706=LINE('',#592044,#148721); #109707=LINE('',#592046,#148722); #109708=LINE('',#592048,#148723); #109709=LINE('',#592049,#148724); #109710=LINE('',#592051,#148725); #109711=LINE('',#592052,#148726); #109712=LINE('',#592055,#148727); #109713=LINE('',#592057,#148728); #109714=LINE('',#592058,#148729); #109715=LINE('',#592060,#148730); #109716=LINE('',#592061,#148731); #109717=LINE('',#592069,#148732); #109718=LINE('',#592071,#148733); #109719=LINE('',#592072,#148734); #109720=LINE('',#592075,#148735); #109721=LINE('',#592078,#148736); #109722=LINE('',#592082,#148737); #109723=LINE('',#592084,#148738); #109724=LINE('',#592086,#148739); #109725=LINE('',#592087,#148740); #109726=LINE('',#592089,#148741); #109727=LINE('',#592090,#148742); #109728=LINE('',#592093,#148743); #109729=LINE('',#592095,#148744); #109730=LINE('',#592096,#148745); #109731=LINE('',#592098,#148746); #109732=LINE('',#592099,#148747); #109733=LINE('',#592107,#148748); #109734=LINE('',#592109,#148749); #109735=LINE('',#592110,#148750); #109736=LINE('',#592113,#148751); #109737=LINE('',#592116,#148752); #109738=LINE('',#592120,#148753); #109739=LINE('',#592122,#148754); #109740=LINE('',#592124,#148755); #109741=LINE('',#592125,#148756); #109742=LINE('',#592127,#148757); #109743=LINE('',#592128,#148758); #109744=LINE('',#592131,#148759); #109745=LINE('',#592133,#148760); #109746=LINE('',#592134,#148761); #109747=LINE('',#592136,#148762); #109748=LINE('',#592137,#148763); #109749=LINE('',#592145,#148764); #109750=LINE('',#592147,#148765); #109751=LINE('',#592148,#148766); #109752=LINE('',#592151,#148767); #109753=LINE('',#592154,#148768); #109754=LINE('',#592158,#148769); #109755=LINE('',#592160,#148770); #109756=LINE('',#592162,#148771); #109757=LINE('',#592163,#148772); #109758=LINE('',#592165,#148773); #109759=LINE('',#592166,#148774); #109760=LINE('',#592169,#148775); #109761=LINE('',#592171,#148776); #109762=LINE('',#592172,#148777); #109763=LINE('',#592174,#148778); #109764=LINE('',#592175,#148779); #109765=LINE('',#592183,#148780); #109766=LINE('',#592185,#148781); #109767=LINE('',#592186,#148782); #109768=LINE('',#592189,#148783); #109769=LINE('',#592192,#148784); #109770=LINE('',#592196,#148785); #109771=LINE('',#592198,#148786); #109772=LINE('',#592200,#148787); #109773=LINE('',#592201,#148788); #109774=LINE('',#592203,#148789); #109775=LINE('',#592204,#148790); #109776=LINE('',#592207,#148791); #109777=LINE('',#592209,#148792); #109778=LINE('',#592210,#148793); #109779=LINE('',#592212,#148794); #109780=LINE('',#592213,#148795); #109781=LINE('',#592221,#148796); #109782=LINE('',#592223,#148797); #109783=LINE('',#592224,#148798); #109784=LINE('',#592227,#148799); #109785=LINE('',#592230,#148800); #109786=LINE('',#592234,#148801); #109787=LINE('',#592236,#148802); #109788=LINE('',#592238,#148803); #109789=LINE('',#592239,#148804); #109790=LINE('',#592241,#148805); #109791=LINE('',#592242,#148806); #109792=LINE('',#592245,#148807); #109793=LINE('',#592247,#148808); #109794=LINE('',#592248,#148809); #109795=LINE('',#592250,#148810); #109796=LINE('',#592251,#148811); #109797=LINE('',#592259,#148812); #109798=LINE('',#592261,#148813); #109799=LINE('',#592262,#148814); #109800=LINE('',#592265,#148815); #109801=LINE('',#592268,#148816); #109802=LINE('',#592272,#148817); #109803=LINE('',#592274,#148818); #109804=LINE('',#592276,#148819); #109805=LINE('',#592277,#148820); #109806=LINE('',#592279,#148821); #109807=LINE('',#592280,#148822); #109808=LINE('',#592283,#148823); #109809=LINE('',#592285,#148824); #109810=LINE('',#592286,#148825); #109811=LINE('',#592288,#148826); #109812=LINE('',#592289,#148827); #109813=LINE('',#592297,#148828); #109814=LINE('',#592299,#148829); #109815=LINE('',#592300,#148830); #109816=LINE('',#592303,#148831); #109817=LINE('',#592306,#148832); #109818=LINE('',#592310,#148833); #109819=LINE('',#592312,#148834); #109820=LINE('',#592314,#148835); #109821=LINE('',#592315,#148836); #109822=LINE('',#592317,#148837); #109823=LINE('',#592318,#148838); #109824=LINE('',#592321,#148839); #109825=LINE('',#592323,#148840); #109826=LINE('',#592324,#148841); #109827=LINE('',#592326,#148842); #109828=LINE('',#592327,#148843); #109829=LINE('',#592335,#148844); #109830=LINE('',#592337,#148845); #109831=LINE('',#592338,#148846); #109832=LINE('',#592341,#148847); #109833=LINE('',#592344,#148848); #109834=LINE('',#592348,#148849); #109835=LINE('',#592350,#148850); #109836=LINE('',#592352,#148851); #109837=LINE('',#592353,#148852); #109838=LINE('',#592355,#148853); #109839=LINE('',#592356,#148854); #109840=LINE('',#592359,#148855); #109841=LINE('',#592361,#148856); #109842=LINE('',#592362,#148857); #109843=LINE('',#592364,#148858); #109844=LINE('',#592365,#148859); #109845=LINE('',#592373,#148860); #109846=LINE('',#592375,#148861); #109847=LINE('',#592376,#148862); #109848=LINE('',#592379,#148863); #109849=LINE('',#592382,#148864); #109850=LINE('',#592386,#148865); #109851=LINE('',#592388,#148866); #109852=LINE('',#592390,#148867); #109853=LINE('',#592391,#148868); #109854=LINE('',#592393,#148869); #109855=LINE('',#592394,#148870); #109856=LINE('',#592397,#148871); #109857=LINE('',#592399,#148872); #109858=LINE('',#592400,#148873); #109859=LINE('',#592402,#148874); #109860=LINE('',#592403,#148875); #109861=LINE('',#592411,#148876); #109862=LINE('',#592413,#148877); #109863=LINE('',#592414,#148878); #109864=LINE('',#592417,#148879); #109865=LINE('',#592420,#148880); #109866=LINE('',#592424,#148881); #109867=LINE('',#592426,#148882); #109868=LINE('',#592428,#148883); #109869=LINE('',#592429,#148884); #109870=LINE('',#592431,#148885); #109871=LINE('',#592432,#148886); #109872=LINE('',#592435,#148887); #109873=LINE('',#592437,#148888); #109874=LINE('',#592438,#148889); #109875=LINE('',#592440,#148890); #109876=LINE('',#592441,#148891); #109877=LINE('',#592449,#148892); #109878=LINE('',#592451,#148893); #109879=LINE('',#592452,#148894); #109880=LINE('',#592455,#148895); #109881=LINE('',#592458,#148896); #109882=LINE('',#592462,#148897); #109883=LINE('',#592464,#148898); #109884=LINE('',#592466,#148899); #109885=LINE('',#592467,#148900); #109886=LINE('',#592469,#148901); #109887=LINE('',#592470,#148902); #109888=LINE('',#592473,#148903); #109889=LINE('',#592475,#148904); #109890=LINE('',#592476,#148905); #109891=LINE('',#592478,#148906); #109892=LINE('',#592479,#148907); #109893=LINE('',#592487,#148908); #109894=LINE('',#592489,#148909); #109895=LINE('',#592490,#148910); #109896=LINE('',#592495,#148911); #109897=LINE('',#592496,#148912); #109898=LINE('',#592499,#148913); #109899=LINE('',#592501,#148914); #109900=LINE('',#592502,#148915); #109901=LINE('',#592505,#148916); #109902=LINE('',#592507,#148917); #109903=LINE('',#592508,#148918); #109904=LINE('',#592511,#148919); #109905=LINE('',#592513,#148920); #109906=LINE('',#592514,#148921); #109907=LINE('',#592516,#148922); #109908=LINE('',#592517,#148923); #109909=LINE('',#592525,#148924); #109910=LINE('',#592527,#148925); #109911=LINE('',#592528,#148926); #109912=LINE('',#592533,#148927); #109913=LINE('',#592534,#148928); #109914=LINE('',#592537,#148929); #109915=LINE('',#592539,#148930); #109916=LINE('',#592540,#148931); #109917=LINE('',#592543,#148932); #109918=LINE('',#592545,#148933); #109919=LINE('',#592546,#148934); #109920=LINE('',#592549,#148935); #109921=LINE('',#592551,#148936); #109922=LINE('',#592552,#148937); #109923=LINE('',#592554,#148938); #109924=LINE('',#592555,#148939); #109925=LINE('',#592563,#148940); #109926=LINE('',#592565,#148941); #109927=LINE('',#592566,#148942); #109928=LINE('',#592571,#148943); #109929=LINE('',#592572,#148944); #109930=LINE('',#592575,#148945); #109931=LINE('',#592577,#148946); #109932=LINE('',#592578,#148947); #109933=LINE('',#592581,#148948); #109934=LINE('',#592583,#148949); #109935=LINE('',#592584,#148950); #109936=LINE('',#592587,#148951); #109937=LINE('',#592589,#148952); #109938=LINE('',#592590,#148953); #109939=LINE('',#592592,#148954); #109940=LINE('',#592593,#148955); #109941=LINE('',#592601,#148956); #109942=LINE('',#592603,#148957); #109943=LINE('',#592604,#148958); #109944=LINE('',#592609,#148959); #109945=LINE('',#592610,#148960); #109946=LINE('',#592613,#148961); #109947=LINE('',#592615,#148962); #109948=LINE('',#592616,#148963); #109949=LINE('',#592619,#148964); #109950=LINE('',#592621,#148965); #109951=LINE('',#592622,#148966); #109952=LINE('',#592625,#148967); #109953=LINE('',#592627,#148968); #109954=LINE('',#592628,#148969); #109955=LINE('',#592630,#148970); #109956=LINE('',#592631,#148971); #109957=LINE('',#592639,#148972); #109958=LINE('',#592641,#148973); #109959=LINE('',#592642,#148974); #109960=LINE('',#592647,#148975); #109961=LINE('',#592648,#148976); #109962=LINE('',#592651,#148977); #109963=LINE('',#592653,#148978); #109964=LINE('',#592654,#148979); #109965=LINE('',#592657,#148980); #109966=LINE('',#592659,#148981); #109967=LINE('',#592660,#148982); #109968=LINE('',#592663,#148983); #109969=LINE('',#592665,#148984); #109970=LINE('',#592666,#148985); #109971=LINE('',#592668,#148986); #109972=LINE('',#592669,#148987); #109973=LINE('',#592677,#148988); #109974=LINE('',#592679,#148989); #109975=LINE('',#592680,#148990); #109976=LINE('',#592685,#148991); #109977=LINE('',#592686,#148992); #109978=LINE('',#592689,#148993); #109979=LINE('',#592691,#148994); #109980=LINE('',#592692,#148995); #109981=LINE('',#592695,#148996); #109982=LINE('',#592697,#148997); #109983=LINE('',#592698,#148998); #109984=LINE('',#592701,#148999); #109985=LINE('',#592703,#149000); #109986=LINE('',#592704,#149001); #109987=LINE('',#592706,#149002); #109988=LINE('',#592707,#149003); #109989=LINE('',#592715,#149004); #109990=LINE('',#592717,#149005); #109991=LINE('',#592718,#149006); #109992=LINE('',#592723,#149007); #109993=LINE('',#592724,#149008); #109994=LINE('',#592727,#149009); #109995=LINE('',#592729,#149010); #109996=LINE('',#592730,#149011); #109997=LINE('',#592733,#149012); #109998=LINE('',#592735,#149013); #109999=LINE('',#592736,#149014); #110000=LINE('',#592739,#149015); #110001=LINE('',#592741,#149016); #110002=LINE('',#592742,#149017); #110003=LINE('',#592744,#149018); #110004=LINE('',#592745,#149019); #110005=LINE('',#592753,#149020); #110006=LINE('',#592755,#149021); #110007=LINE('',#592756,#149022); #110008=LINE('',#592761,#149023); #110009=LINE('',#592762,#149024); #110010=LINE('',#592765,#149025); #110011=LINE('',#592767,#149026); #110012=LINE('',#592768,#149027); #110013=LINE('',#592771,#149028); #110014=LINE('',#592773,#149029); #110015=LINE('',#592774,#149030); #110016=LINE('',#592777,#149031); #110017=LINE('',#592779,#149032); #110018=LINE('',#592780,#149033); #110019=LINE('',#592782,#149034); #110020=LINE('',#592783,#149035); #110021=LINE('',#592791,#149036); #110022=LINE('',#592793,#149037); #110023=LINE('',#592794,#149038); #110024=LINE('',#592799,#149039); #110025=LINE('',#592800,#149040); #110026=LINE('',#592803,#149041); #110027=LINE('',#592805,#149042); #110028=LINE('',#592806,#149043); #110029=LINE('',#592809,#149044); #110030=LINE('',#592811,#149045); #110031=LINE('',#592812,#149046); #110032=LINE('',#592815,#149047); #110033=LINE('',#592817,#149048); #110034=LINE('',#592818,#149049); #110035=LINE('',#592820,#149050); #110036=LINE('',#592821,#149051); #110037=LINE('',#592829,#149052); #110038=LINE('',#592831,#149053); #110039=LINE('',#592832,#149054); #110040=LINE('',#592837,#149055); #110041=LINE('',#592838,#149056); #110042=LINE('',#592841,#149057); #110043=LINE('',#592843,#149058); #110044=LINE('',#592844,#149059); #110045=LINE('',#592847,#149060); #110046=LINE('',#592849,#149061); #110047=LINE('',#592850,#149062); #110048=LINE('',#592853,#149063); #110049=LINE('',#592855,#149064); #110050=LINE('',#592856,#149065); #110051=LINE('',#592858,#149066); #110052=LINE('',#592859,#149067); #110053=LINE('',#592867,#149068); #110054=LINE('',#592869,#149069); #110055=LINE('',#592870,#149070); #110056=LINE('',#592875,#149071); #110057=LINE('',#592876,#149072); #110058=LINE('',#592879,#149073); #110059=LINE('',#592881,#149074); #110060=LINE('',#592882,#149075); #110061=LINE('',#592885,#149076); #110062=LINE('',#592887,#149077); #110063=LINE('',#592888,#149078); #110064=LINE('',#592891,#149079); #110065=LINE('',#592893,#149080); #110066=LINE('',#592894,#149081); #110067=LINE('',#592896,#149082); #110068=LINE('',#592897,#149083); #110069=LINE('',#592905,#149084); #110070=LINE('',#592907,#149085); #110071=LINE('',#592908,#149086); #110072=LINE('',#592913,#149087); #110073=LINE('',#592914,#149088); #110074=LINE('',#592917,#149089); #110075=LINE('',#592919,#149090); #110076=LINE('',#592920,#149091); #110077=LINE('',#592923,#149092); #110078=LINE('',#592925,#149093); #110079=LINE('',#592926,#149094); #110080=LINE('',#592929,#149095); #110081=LINE('',#592931,#149096); #110082=LINE('',#592932,#149097); #110083=LINE('',#592934,#149098); #110084=LINE('',#592935,#149099); #110085=LINE('',#592943,#149100); #110086=LINE('',#592945,#149101); #110087=LINE('',#592946,#149102); #110088=LINE('',#592951,#149103); #110089=LINE('',#592952,#149104); #110090=LINE('',#592955,#149105); #110091=LINE('',#592957,#149106); #110092=LINE('',#592958,#149107); #110093=LINE('',#592961,#149108); #110094=LINE('',#592963,#149109); #110095=LINE('',#592964,#149110); #110096=LINE('',#592967,#149111); #110097=LINE('',#592969,#149112); #110098=LINE('',#592970,#149113); #110099=LINE('',#592972,#149114); #110100=LINE('',#592973,#149115); #110101=LINE('',#592981,#149116); #110102=LINE('',#592983,#149117); #110103=LINE('',#592984,#149118); #110104=LINE('',#592989,#149119); #110105=LINE('',#592990,#149120); #110106=LINE('',#592993,#149121); #110107=LINE('',#592995,#149122); #110108=LINE('',#592996,#149123); #110109=LINE('',#592999,#149124); #110110=LINE('',#593001,#149125); #110111=LINE('',#593002,#149126); #110112=LINE('',#593005,#149127); #110113=LINE('',#593007,#149128); #110114=LINE('',#593008,#149129); #110115=LINE('',#593010,#149130); #110116=LINE('',#593011,#149131); #110117=LINE('',#593019,#149132); #110118=LINE('',#593021,#149133); #110119=LINE('',#593022,#149134); #110120=LINE('',#593027,#149135); #110121=LINE('',#593028,#149136); #110122=LINE('',#593031,#149137); #110123=LINE('',#593033,#149138); #110124=LINE('',#593034,#149139); #110125=LINE('',#593037,#149140); #110126=LINE('',#593039,#149141); #110127=LINE('',#593040,#149142); #110128=LINE('',#593043,#149143); #110129=LINE('',#593045,#149144); #110130=LINE('',#593046,#149145); #110131=LINE('',#593048,#149146); #110132=LINE('',#593049,#149147); #110133=LINE('',#593057,#149148); #110134=LINE('',#593059,#149149); #110135=LINE('',#593060,#149150); #110136=LINE('',#593065,#149151); #110137=LINE('',#593066,#149152); #110138=LINE('',#593069,#149153); #110139=LINE('',#593071,#149154); #110140=LINE('',#593072,#149155); #110141=LINE('',#593075,#149156); #110142=LINE('',#593077,#149157); #110143=LINE('',#593078,#149158); #110144=LINE('',#593081,#149159); #110145=LINE('',#593083,#149160); #110146=LINE('',#593084,#149161); #110147=LINE('',#593086,#149162); #110148=LINE('',#593087,#149163); #110149=LINE('',#593095,#149164); #110150=LINE('',#593097,#149165); #110151=LINE('',#593098,#149166); #110152=LINE('',#593103,#149167); #110153=LINE('',#593104,#149168); #110154=LINE('',#593107,#149169); #110155=LINE('',#593109,#149170); #110156=LINE('',#593110,#149171); #110157=LINE('',#593113,#149172); #110158=LINE('',#593115,#149173); #110159=LINE('',#593116,#149174); #110160=LINE('',#593119,#149175); #110161=LINE('',#593121,#149176); #110162=LINE('',#593122,#149177); #110163=LINE('',#593124,#149178); #110164=LINE('',#593125,#149179); #110165=LINE('',#593133,#149180); #110166=LINE('',#593135,#149181); #110167=LINE('',#593136,#149182); #110168=LINE('',#593141,#149183); #110169=LINE('',#593142,#149184); #110170=LINE('',#593145,#149185); #110171=LINE('',#593147,#149186); #110172=LINE('',#593148,#149187); #110173=LINE('',#593151,#149188); #110174=LINE('',#593153,#149189); #110175=LINE('',#593154,#149190); #110176=LINE('',#593157,#149191); #110177=LINE('',#593159,#149192); #110178=LINE('',#593160,#149193); #110179=LINE('',#593162,#149194); #110180=LINE('',#593163,#149195); #110181=LINE('',#593171,#149196); #110182=LINE('',#593173,#149197); #110183=LINE('',#593174,#149198); #110184=LINE('',#593179,#149199); #110185=LINE('',#593180,#149200); #110186=LINE('',#593183,#149201); #110187=LINE('',#593185,#149202); #110188=LINE('',#593186,#149203); #110189=LINE('',#593189,#149204); #110190=LINE('',#593191,#149205); #110191=LINE('',#593192,#149206); #110192=LINE('',#593195,#149207); #110193=LINE('',#593197,#149208); #110194=LINE('',#593198,#149209); #110195=LINE('',#593200,#149210); #110196=LINE('',#593201,#149211); #110197=LINE('',#593209,#149212); #110198=LINE('',#593211,#149213); #110199=LINE('',#593212,#149214); #110200=LINE('',#593217,#149215); #110201=LINE('',#593218,#149216); #110202=LINE('',#593221,#149217); #110203=LINE('',#593223,#149218); #110204=LINE('',#593224,#149219); #110205=LINE('',#593227,#149220); #110206=LINE('',#593229,#149221); #110207=LINE('',#593230,#149222); #110208=LINE('',#593233,#149223); #110209=LINE('',#593235,#149224); #110210=LINE('',#593236,#149225); #110211=LINE('',#593238,#149226); #110212=LINE('',#593239,#149227); #110213=LINE('',#593247,#149228); #110214=LINE('',#593249,#149229); #110215=LINE('',#593250,#149230); #110216=LINE('',#593255,#149231); #110217=LINE('',#593256,#149232); #110218=LINE('',#593259,#149233); #110219=LINE('',#593261,#149234); #110220=LINE('',#593262,#149235); #110221=LINE('',#593265,#149236); #110222=LINE('',#593267,#149237); #110223=LINE('',#593268,#149238); #110224=LINE('',#593271,#149239); #110225=LINE('',#593273,#149240); #110226=LINE('',#593274,#149241); #110227=LINE('',#593276,#149242); #110228=LINE('',#593277,#149243); #110229=LINE('',#593285,#149244); #110230=LINE('',#593287,#149245); #110231=LINE('',#593288,#149246); #110232=LINE('',#593293,#149247); #110233=LINE('',#593294,#149248); #110234=LINE('',#593297,#149249); #110235=LINE('',#593299,#149250); #110236=LINE('',#593300,#149251); #110237=LINE('',#593303,#149252); #110238=LINE('',#593305,#149253); #110239=LINE('',#593306,#149254); #110240=LINE('',#593309,#149255); #110241=LINE('',#593311,#149256); #110242=LINE('',#593312,#149257); #110243=LINE('',#593314,#149258); #110244=LINE('',#593315,#149259); #110245=LINE('',#593323,#149260); #110246=LINE('',#593325,#149261); #110247=LINE('',#593326,#149262); #110248=LINE('',#593331,#149263); #110249=LINE('',#593332,#149264); #110250=LINE('',#593335,#149265); #110251=LINE('',#593337,#149266); #110252=LINE('',#593338,#149267); #110253=LINE('',#593341,#149268); #110254=LINE('',#593343,#149269); #110255=LINE('',#593344,#149270); #110256=LINE('',#593347,#149271); #110257=LINE('',#593349,#149272); #110258=LINE('',#593350,#149273); #110259=LINE('',#593352,#149274); #110260=LINE('',#593353,#149275); #110261=LINE('',#593361,#149276); #110262=LINE('',#593363,#149277); #110263=LINE('',#593364,#149278); #110264=LINE('',#593369,#149279); #110265=LINE('',#593370,#149280); #110266=LINE('',#593373,#149281); #110267=LINE('',#593375,#149282); #110268=LINE('',#593376,#149283); #110269=LINE('',#593379,#149284); #110270=LINE('',#593381,#149285); #110271=LINE('',#593382,#149286); #110272=LINE('',#593385,#149287); #110273=LINE('',#593387,#149288); #110274=LINE('',#593388,#149289); #110275=LINE('',#593390,#149290); #110276=LINE('',#593391,#149291); #110277=LINE('',#593397,#149292); #110278=LINE('',#593399,#149293); #110279=LINE('',#593401,#149294); #110280=LINE('',#593402,#149295); #110281=LINE('',#593405,#149296); #110282=LINE('',#593407,#149297); #110283=LINE('',#593408,#149298); #110284=LINE('',#593411,#149299); #110285=LINE('',#593413,#149300); #110286=LINE('',#593414,#149301); #110287=LINE('',#593416,#149302); #110288=LINE('',#593417,#149303); #110289=LINE('',#593459,#149304); #110290=LINE('',#593460,#149305); #110291=LINE('',#593476,#149306); #110292=LINE('',#593477,#149307); #110293=LINE('',#593479,#149308); #110294=LINE('',#593480,#149309); #110295=LINE('',#593489,#149310); #110296=LINE('',#593490,#149311); #110297=LINE('',#593492,#149312); #110298=LINE('',#593493,#149313); #110299=LINE('',#593495,#149314); #110300=LINE('',#593496,#149315); #110301=LINE('',#593498,#149316); #110302=LINE('',#593499,#149317); #110303=LINE('',#593501,#149318); #110304=LINE('',#593502,#149319); #110305=LINE('',#593504,#149320); #110306=LINE('',#593505,#149321); #110307=LINE('',#593507,#149322); #110308=LINE('',#593508,#149323); #110309=LINE('',#593510,#149324); #110310=LINE('',#593511,#149325); #110311=LINE('',#593513,#149326); #110312=LINE('',#593514,#149327); #110313=LINE('',#593557,#149328); #110314=LINE('',#593558,#149329); #110315=LINE('',#593574,#149330); #110316=LINE('',#593575,#149331); #110317=LINE('',#593577,#149332); #110318=LINE('',#593578,#149333); #110319=LINE('',#593587,#149334); #110320=LINE('',#593588,#149335); #110321=LINE('',#593590,#149336); #110322=LINE('',#593591,#149337); #110323=LINE('',#593593,#149338); #110324=LINE('',#593594,#149339); #110325=LINE('',#593596,#149340); #110326=LINE('',#593597,#149341); #110327=LINE('',#593599,#149342); #110328=LINE('',#593600,#149343); #110329=LINE('',#593602,#149344); #110330=LINE('',#593603,#149345); #110331=LINE('',#593605,#149346); #110332=LINE('',#593606,#149347); #110333=LINE('',#593608,#149348); #110334=LINE('',#593609,#149349); #110335=LINE('',#593611,#149350); #110336=LINE('',#593612,#149351); #110337=LINE('',#593622,#149352); #110338=LINE('',#593624,#149353); #110339=LINE('',#593626,#149354); #110340=LINE('',#593627,#149355); #110341=LINE('',#593630,#149356); #110342=LINE('',#593632,#149357); #110343=LINE('',#593633,#149358); #110344=LINE('',#593636,#149359); #110345=LINE('',#593638,#149360); #110346=LINE('',#593639,#149361); #110347=LINE('',#593641,#149362); #110348=LINE('',#593642,#149363); #110349=LINE('',#593651,#149364); #110350=LINE('',#593653,#149365); #110351=LINE('',#593655,#149366); #110352=LINE('',#593656,#149367); #110353=LINE('',#593659,#149368); #110354=LINE('',#593661,#149369); #110355=LINE('',#593662,#149370); #110356=LINE('',#593665,#149371); #110357=LINE('',#593667,#149372); #110358=LINE('',#593668,#149373); #110359=LINE('',#593670,#149374); #110360=LINE('',#593671,#149375); #110361=LINE('',#593674,#149376); #110362=LINE('',#593676,#149377); #110363=LINE('',#593677,#149378); #110364=LINE('',#593680,#149379); #110365=LINE('',#593681,#149380); #110366=LINE('',#593685,#149381); #110367=LINE('',#593686,#149382); #110368=LINE('',#593688,#149383); #110369=LINE('',#593693,#149384); #110370=LINE('',#593695,#149385); #110371=LINE('',#593697,#149386); #110372=LINE('',#593698,#149387); #110373=LINE('',#593701,#149388); #110374=LINE('',#593703,#149389); #110375=LINE('',#593704,#149390); #110376=LINE('',#593707,#149391); #110377=LINE('',#593709,#149392); #110378=LINE('',#593710,#149393); #110379=LINE('',#593712,#149394); #110380=LINE('',#593713,#149395); #110381=LINE('',#593719,#149396); #110382=LINE('',#593721,#149397); #110383=LINE('',#593723,#149398); #110384=LINE('',#593724,#149399); #110385=LINE('',#593727,#149400); #110386=LINE('',#593729,#149401); #110387=LINE('',#593730,#149402); #110388=LINE('',#593733,#149403); #110389=LINE('',#593735,#149404); #110390=LINE('',#593736,#149405); #110391=LINE('',#593738,#149406); #110392=LINE('',#593739,#149407); #110393=LINE('',#593745,#149408); #110394=LINE('',#593747,#149409); #110395=LINE('',#593749,#149410); #110396=LINE('',#593750,#149411); #110397=LINE('',#593753,#149412); #110398=LINE('',#593755,#149413); #110399=LINE('',#593756,#149414); #110400=LINE('',#593759,#149415); #110401=LINE('',#593761,#149416); #110402=LINE('',#593762,#149417); #110403=LINE('',#593764,#149418); #110404=LINE('',#593765,#149419); #110405=LINE('',#593771,#149420); #110406=LINE('',#593773,#149421); #110407=LINE('',#593775,#149422); #110408=LINE('',#593776,#149423); #110409=LINE('',#593779,#149424); #110410=LINE('',#593781,#149425); #110411=LINE('',#593782,#149426); #110412=LINE('',#593785,#149427); #110413=LINE('',#593787,#149428); #110414=LINE('',#593788,#149429); #110415=LINE('',#593790,#149430); #110416=LINE('',#593791,#149431); #110417=LINE('',#593797,#149432); #110418=LINE('',#593799,#149433); #110419=LINE('',#593801,#149434); #110420=LINE('',#593802,#149435); #110421=LINE('',#593805,#149436); #110422=LINE('',#593807,#149437); #110423=LINE('',#593808,#149438); #110424=LINE('',#593811,#149439); #110425=LINE('',#593813,#149440); #110426=LINE('',#593814,#149441); #110427=LINE('',#593816,#149442); #110428=LINE('',#593817,#149443); #110429=LINE('',#593827,#149444); #110430=LINE('',#593833,#149445); #110431=LINE('',#593835,#149446); #110432=LINE('',#593837,#149447); #110433=LINE('',#593838,#149448); #110434=LINE('',#593841,#149449); #110435=LINE('',#593843,#149450); #110436=LINE('',#593844,#149451); #110437=LINE('',#593847,#149452); #110438=LINE('',#593849,#149453); #110439=LINE('',#593850,#149454); #110440=LINE('',#593852,#149455); #110441=LINE('',#593853,#149456); #110442=LINE('',#593856,#149457); #110443=LINE('',#593858,#149458); #110444=LINE('',#593859,#149459); #110445=LINE('',#593862,#149460); #110446=LINE('',#593863,#149461); #110447=LINE('',#593867,#149462); #110448=LINE('',#593869,#149463); #110449=LINE('',#593871,#149464); #110450=LINE('',#593872,#149465); #110451=LINE('',#593875,#149466); #110452=LINE('',#593876,#149467); #110453=LINE('',#593878,#149468); #110454=LINE('',#593880,#149469); #110455=LINE('',#593881,#149470); #110456=LINE('',#593883,#149471); #110457=LINE('',#593885,#149472); #110458=LINE('',#593893,#149473); #110459=LINE('',#593897,#149474); #110460=LINE('',#593899,#149475); #110461=LINE('',#593901,#149476); #110462=LINE('',#593905,#149477); #110463=LINE('',#593909,#149478); #110464=LINE('',#593911,#149479); #110465=LINE('',#593912,#149480); #110466=LINE('',#593915,#149481); #110467=LINE('',#593917,#149482); #110468=LINE('',#593918,#149483); #110469=LINE('',#593922,#149484); #110470=LINE('',#593925,#149485); #110471=LINE('',#593926,#149486); #110472=LINE('',#593929,#149487); #110473=LINE('',#593930,#149488); #110474=LINE('',#593933,#149489); #110475=LINE('',#593934,#149490); #110476=LINE('',#593938,#149491); #110477=LINE('',#593941,#149492); #110478=LINE('',#593942,#149493); #110479=LINE('',#593946,#149494); #110480=LINE('',#593949,#149495); #110481=LINE('',#593950,#149496); #110482=LINE('',#593953,#149497); #110483=LINE('',#593954,#149498); #110484=LINE('',#593957,#149499); #110485=LINE('',#593958,#149500); #110486=LINE('',#593967,#149501); #110487=LINE('',#593969,#149502); #110488=LINE('',#593971,#149503); #110489=LINE('',#593975,#149504); #110490=LINE('',#593979,#149505); #110491=LINE('',#593981,#149506); #110492=LINE('',#593983,#149507); #110493=LINE('',#593986,#149508); #110494=LINE('',#593989,#149509); #110495=LINE('',#593991,#149510); #110496=LINE('',#593992,#149511); #110497=LINE('',#593995,#149512); #110498=LINE('',#593999,#149513); #110499=LINE('',#594000,#149514); #110500=LINE('',#594003,#149515); #110501=LINE('',#594004,#149516); #110502=LINE('',#594007,#149517); #110503=LINE('',#594008,#149518); #110504=LINE('',#594011,#149519); #110505=LINE('',#594015,#149520); #110506=LINE('',#594016,#149521); #110507=LINE('',#594019,#149522); #110508=LINE('',#594023,#149523); #110509=LINE('',#594024,#149524); #110510=LINE('',#594027,#149525); #110511=LINE('',#594028,#149526); #110512=LINE('',#594031,#149527); #110513=LINE('',#594032,#149528); #110514=LINE('',#594041,#149529); #110515=LINE('',#594045,#149530); #110516=LINE('',#594047,#149531); #110517=LINE('',#594049,#149532); #110518=LINE('',#594053,#149533); #110519=LINE('',#594057,#149534); #110520=LINE('',#594059,#149535); #110521=LINE('',#594060,#149536); #110522=LINE('',#594063,#149537); #110523=LINE('',#594065,#149538); #110524=LINE('',#594066,#149539); #110525=LINE('',#594070,#149540); #110526=LINE('',#594073,#149541); #110527=LINE('',#594074,#149542); #110528=LINE('',#594077,#149543); #110529=LINE('',#594078,#149544); #110530=LINE('',#594081,#149545); #110531=LINE('',#594082,#149546); #110532=LINE('',#594086,#149547); #110533=LINE('',#594089,#149548); #110534=LINE('',#594090,#149549); #110535=LINE('',#594094,#149550); #110536=LINE('',#594097,#149551); #110537=LINE('',#594098,#149552); #110538=LINE('',#594101,#149553); #110539=LINE('',#594102,#149554); #110540=LINE('',#594105,#149555); #110541=LINE('',#594106,#149556); #110542=LINE('',#594115,#149557); #110543=LINE('',#594119,#149558); #110544=LINE('',#594121,#149559); #110545=LINE('',#594123,#149560); #110546=LINE('',#594127,#149561); #110547=LINE('',#594131,#149562); #110548=LINE('',#594133,#149563); #110549=LINE('',#594134,#149564); #110550=LINE('',#594137,#149565); #110551=LINE('',#594139,#149566); #110552=LINE('',#594140,#149567); #110553=LINE('',#594144,#149568); #110554=LINE('',#594147,#149569); #110555=LINE('',#594148,#149570); #110556=LINE('',#594151,#149571); #110557=LINE('',#594152,#149572); #110558=LINE('',#594155,#149573); #110559=LINE('',#594156,#149574); #110560=LINE('',#594160,#149575); #110561=LINE('',#594163,#149576); #110562=LINE('',#594164,#149577); #110563=LINE('',#594168,#149578); #110564=LINE('',#594171,#149579); #110565=LINE('',#594172,#149580); #110566=LINE('',#594175,#149581); #110567=LINE('',#594176,#149582); #110568=LINE('',#594179,#149583); #110569=LINE('',#594180,#149584); #110570=LINE('',#594189,#149585); #110571=LINE('',#594193,#149586); #110572=LINE('',#594195,#149587); #110573=LINE('',#594197,#149588); #110574=LINE('',#594201,#149589); #110575=LINE('',#594205,#149590); #110576=LINE('',#594207,#149591); #110577=LINE('',#594208,#149592); #110578=LINE('',#594211,#149593); #110579=LINE('',#594213,#149594); #110580=LINE('',#594214,#149595); #110581=LINE('',#594218,#149596); #110582=LINE('',#594221,#149597); #110583=LINE('',#594222,#149598); #110584=LINE('',#594225,#149599); #110585=LINE('',#594226,#149600); #110586=LINE('',#594229,#149601); #110587=LINE('',#594230,#149602); #110588=LINE('',#594234,#149603); #110589=LINE('',#594237,#149604); #110590=LINE('',#594238,#149605); #110591=LINE('',#594242,#149606); #110592=LINE('',#594245,#149607); #110593=LINE('',#594246,#149608); #110594=LINE('',#594249,#149609); #110595=LINE('',#594250,#149610); #110596=LINE('',#594253,#149611); #110597=LINE('',#594254,#149612); #110598=LINE('',#594263,#149613); #110599=LINE('',#594265,#149614); #110600=LINE('',#594267,#149615); #110601=LINE('',#594271,#149616); #110602=LINE('',#594275,#149617); #110603=LINE('',#594277,#149618); #110604=LINE('',#594279,#149619); #110605=LINE('',#594282,#149620); #110606=LINE('',#594285,#149621); #110607=LINE('',#594287,#149622); #110608=LINE('',#594288,#149623); #110609=LINE('',#594291,#149624); #110610=LINE('',#594295,#149625); #110611=LINE('',#594296,#149626); #110612=LINE('',#594299,#149627); #110613=LINE('',#594300,#149628); #110614=LINE('',#594303,#149629); #110615=LINE('',#594304,#149630); #110616=LINE('',#594307,#149631); #110617=LINE('',#594311,#149632); #110618=LINE('',#594312,#149633); #110619=LINE('',#594315,#149634); #110620=LINE('',#594319,#149635); #110621=LINE('',#594320,#149636); #110622=LINE('',#594323,#149637); #110623=LINE('',#594324,#149638); #110624=LINE('',#594327,#149639); #110625=LINE('',#594328,#149640); #110626=LINE('',#594337,#149641); #110627=LINE('',#594339,#149642); #110628=LINE('',#594341,#149643); #110629=LINE('',#594345,#149644); #110630=LINE('',#594349,#149645); #110631=LINE('',#594351,#149646); #110632=LINE('',#594353,#149647); #110633=LINE('',#594356,#149648); #110634=LINE('',#594359,#149649); #110635=LINE('',#594361,#149650); #110636=LINE('',#594362,#149651); #110637=LINE('',#594365,#149652); #110638=LINE('',#594369,#149653); #110639=LINE('',#594370,#149654); #110640=LINE('',#594373,#149655); #110641=LINE('',#594374,#149656); #110642=LINE('',#594377,#149657); #110643=LINE('',#594378,#149658); #110644=LINE('',#594381,#149659); #110645=LINE('',#594385,#149660); #110646=LINE('',#594386,#149661); #110647=LINE('',#594389,#149662); #110648=LINE('',#594393,#149663); #110649=LINE('',#594394,#149664); #110650=LINE('',#594397,#149665); #110651=LINE('',#594398,#149666); #110652=LINE('',#594401,#149667); #110653=LINE('',#594402,#149668); #110654=LINE('',#594411,#149669); #110655=LINE('',#594413,#149670); #110656=LINE('',#594415,#149671); #110657=LINE('',#594419,#149672); #110658=LINE('',#594423,#149673); #110659=LINE('',#594425,#149674); #110660=LINE('',#594427,#149675); #110661=LINE('',#594430,#149676); #110662=LINE('',#594433,#149677); #110663=LINE('',#594435,#149678); #110664=LINE('',#594436,#149679); #110665=LINE('',#594439,#149680); #110666=LINE('',#594443,#149681); #110667=LINE('',#594444,#149682); #110668=LINE('',#594447,#149683); #110669=LINE('',#594448,#149684); #110670=LINE('',#594451,#149685); #110671=LINE('',#594452,#149686); #110672=LINE('',#594455,#149687); #110673=LINE('',#594459,#149688); #110674=LINE('',#594460,#149689); #110675=LINE('',#594463,#149690); #110676=LINE('',#594467,#149691); #110677=LINE('',#594468,#149692); #110678=LINE('',#594471,#149693); #110679=LINE('',#594472,#149694); #110680=LINE('',#594475,#149695); #110681=LINE('',#594476,#149696); #110682=LINE('',#594487,#149697); #110683=LINE('',#594493,#149698); #110684=LINE('',#594495,#149699); #110685=LINE('',#594497,#149700); #110686=LINE('',#594498,#149701); #110687=LINE('',#594501,#149702); #110688=LINE('',#594503,#149703); #110689=LINE('',#594504,#149704); #110690=LINE('',#594507,#149705); #110691=LINE('',#594509,#149706); #110692=LINE('',#594510,#149707); #110693=LINE('',#594512,#149708); #110694=LINE('',#594513,#149709); #110695=LINE('',#594516,#149710); #110696=LINE('',#594518,#149711); #110697=LINE('',#594519,#149712); #110698=LINE('',#594522,#149713); #110699=LINE('',#594523,#149714); #110700=LINE('',#594527,#149715); #110701=LINE('',#594529,#149716); #110702=LINE('',#594531,#149717); #110703=LINE('',#594532,#149718); #110704=LINE('',#594535,#149719); #110705=LINE('',#594536,#149720); #110706=LINE('',#594538,#149721); #110707=LINE('',#594540,#149722); #110708=LINE('',#594541,#149723); #110709=LINE('',#594543,#149724); #110710=LINE('',#594545,#149725); #110711=LINE('',#594553,#149726); #110712=LINE('',#594555,#149727); #110713=LINE('',#594557,#149728); #110714=LINE('',#594561,#149729); #110715=LINE('',#594565,#149730); #110716=LINE('',#594567,#149731); #110717=LINE('',#594569,#149732); #110718=LINE('',#594572,#149733); #110719=LINE('',#594575,#149734); #110720=LINE('',#594577,#149735); #110721=LINE('',#594578,#149736); #110722=LINE('',#594581,#149737); #110723=LINE('',#594582,#149738); #110724=LINE('',#594585,#149739); #110725=LINE('',#594586,#149740); #110726=LINE('',#594590,#149741); #110727=LINE('',#594593,#149742); #110728=LINE('',#594594,#149743); #110729=LINE('',#594598,#149744); #110730=LINE('',#594601,#149745); #110731=LINE('',#594602,#149746); #110732=LINE('',#594605,#149747); #110733=LINE('',#594606,#149748); #110734=LINE('',#594609,#149749); #110735=LINE('',#594610,#149750); #110736=LINE('',#594614,#149751); #110737=LINE('',#594617,#149752); #110738=LINE('',#594618,#149753); #110739=LINE('',#594627,#149754); #110740=LINE('',#594629,#149755); #110741=LINE('',#594631,#149756); #110742=LINE('',#594635,#149757); #110743=LINE('',#594639,#149758); #110744=LINE('',#594641,#149759); #110745=LINE('',#594643,#149760); #110746=LINE('',#594646,#149761); #110747=LINE('',#594649,#149762); #110748=LINE('',#594651,#149763); #110749=LINE('',#594652,#149764); #110750=LINE('',#594655,#149765); #110751=LINE('',#594656,#149766); #110752=LINE('',#594659,#149767); #110753=LINE('',#594660,#149768); #110754=LINE('',#594664,#149769); #110755=LINE('',#594667,#149770); #110756=LINE('',#594668,#149771); #110757=LINE('',#594672,#149772); #110758=LINE('',#594675,#149773); #110759=LINE('',#594676,#149774); #110760=LINE('',#594679,#149775); #110761=LINE('',#594680,#149776); #110762=LINE('',#594683,#149777); #110763=LINE('',#594684,#149778); #110764=LINE('',#594688,#149779); #110765=LINE('',#594691,#149780); #110766=LINE('',#594692,#149781); #110767=LINE('',#594701,#149782); #110768=LINE('',#594703,#149783); #110769=LINE('',#594705,#149784); #110770=LINE('',#594709,#149785); #110771=LINE('',#594713,#149786); #110772=LINE('',#594715,#149787); #110773=LINE('',#594717,#149788); #110774=LINE('',#594720,#149789); #110775=LINE('',#594723,#149790); #110776=LINE('',#594725,#149791); #110777=LINE('',#594726,#149792); #110778=LINE('',#594729,#149793); #110779=LINE('',#594730,#149794); #110780=LINE('',#594733,#149795); #110781=LINE('',#594734,#149796); #110782=LINE('',#594738,#149797); #110783=LINE('',#594741,#149798); #110784=LINE('',#594742,#149799); #110785=LINE('',#594746,#149800); #110786=LINE('',#594749,#149801); #110787=LINE('',#594750,#149802); #110788=LINE('',#594753,#149803); #110789=LINE('',#594754,#149804); #110790=LINE('',#594757,#149805); #110791=LINE('',#594758,#149806); #110792=LINE('',#594762,#149807); #110793=LINE('',#594765,#149808); #110794=LINE('',#594766,#149809); #110795=LINE('',#594775,#149810); #110796=LINE('',#594777,#149811); #110797=LINE('',#594779,#149812); #110798=LINE('',#594783,#149813); #110799=LINE('',#594787,#149814); #110800=LINE('',#594789,#149815); #110801=LINE('',#594791,#149816); #110802=LINE('',#594794,#149817); #110803=LINE('',#594797,#149818); #110804=LINE('',#594799,#149819); #110805=LINE('',#594800,#149820); #110806=LINE('',#594803,#149821); #110807=LINE('',#594804,#149822); #110808=LINE('',#594807,#149823); #110809=LINE('',#594808,#149824); #110810=LINE('',#594812,#149825); #110811=LINE('',#594815,#149826); #110812=LINE('',#594816,#149827); #110813=LINE('',#594820,#149828); #110814=LINE('',#594823,#149829); #110815=LINE('',#594824,#149830); #110816=LINE('',#594827,#149831); #110817=LINE('',#594828,#149832); #110818=LINE('',#594831,#149833); #110819=LINE('',#594832,#149834); #110820=LINE('',#594836,#149835); #110821=LINE('',#594839,#149836); #110822=LINE('',#594840,#149837); #110823=LINE('',#594849,#149838); #110824=LINE('',#594851,#149839); #110825=LINE('',#594853,#149840); #110826=LINE('',#594857,#149841); #110827=LINE('',#594861,#149842); #110828=LINE('',#594863,#149843); #110829=LINE('',#594865,#149844); #110830=LINE('',#594868,#149845); #110831=LINE('',#594871,#149846); #110832=LINE('',#594873,#149847); #110833=LINE('',#594874,#149848); #110834=LINE('',#594877,#149849); #110835=LINE('',#594878,#149850); #110836=LINE('',#594881,#149851); #110837=LINE('',#594882,#149852); #110838=LINE('',#594886,#149853); #110839=LINE('',#594889,#149854); #110840=LINE('',#594890,#149855); #110841=LINE('',#594894,#149856); #110842=LINE('',#594897,#149857); #110843=LINE('',#594898,#149858); #110844=LINE('',#594901,#149859); #110845=LINE('',#594902,#149860); #110846=LINE('',#594905,#149861); #110847=LINE('',#594906,#149862); #110848=LINE('',#594910,#149863); #110849=LINE('',#594913,#149864); #110850=LINE('',#594914,#149865); #110851=LINE('',#594957,#149866); #110852=LINE('',#594958,#149867); #110853=LINE('',#594974,#149868); #110854=LINE('',#594975,#149869); #110855=LINE('',#594977,#149870); #110856=LINE('',#594978,#149871); #110857=LINE('',#594987,#149872); #110858=LINE('',#594988,#149873); #110859=LINE('',#594990,#149874); #110860=LINE('',#594991,#149875); #110861=LINE('',#594993,#149876); #110862=LINE('',#594994,#149877); #110863=LINE('',#594996,#149878); #110864=LINE('',#594997,#149879); #110865=LINE('',#594999,#149880); #110866=LINE('',#595000,#149881); #110867=LINE('',#595002,#149882); #110868=LINE('',#595003,#149883); #110869=LINE('',#595005,#149884); #110870=LINE('',#595006,#149885); #110871=LINE('',#595008,#149886); #110872=LINE('',#595009,#149887); #110873=LINE('',#595011,#149888); #110874=LINE('',#595012,#149889); #110875=LINE('',#595055,#149890); #110876=LINE('',#595056,#149891); #110877=LINE('',#595072,#149892); #110878=LINE('',#595073,#149893); #110879=LINE('',#595075,#149894); #110880=LINE('',#595076,#149895); #110881=LINE('',#595085,#149896); #110882=LINE('',#595086,#149897); #110883=LINE('',#595088,#149898); #110884=LINE('',#595089,#149899); #110885=LINE('',#595091,#149900); #110886=LINE('',#595092,#149901); #110887=LINE('',#595094,#149902); #110888=LINE('',#595095,#149903); #110889=LINE('',#595097,#149904); #110890=LINE('',#595098,#149905); #110891=LINE('',#595100,#149906); #110892=LINE('',#595101,#149907); #110893=LINE('',#595103,#149908); #110894=LINE('',#595104,#149909); #110895=LINE('',#595106,#149910); #110896=LINE('',#595107,#149911); #110897=LINE('',#595109,#149912); #110898=LINE('',#595110,#149913); #110899=LINE('',#595120,#149914); #110900=LINE('',#595122,#149915); #110901=LINE('',#595124,#149916); #110902=LINE('',#595125,#149917); #110903=LINE('',#595128,#149918); #110904=LINE('',#595130,#149919); #110905=LINE('',#595131,#149920); #110906=LINE('',#595134,#149921); #110907=LINE('',#595136,#149922); #110908=LINE('',#595137,#149923); #110909=LINE('',#595139,#149924); #110910=LINE('',#595140,#149925); #110911=LINE('',#595224,#149926); #110912=LINE('',#595230,#149927); #110913=LINE('',#595235,#149928); #110914=LINE('',#595237,#149929); #110915=LINE('',#595239,#149930); #110916=LINE('',#595240,#149931); #110917=LINE('',#595243,#149932); #110918=LINE('',#595245,#149933); #110919=LINE('',#595246,#149934); #110920=LINE('',#595249,#149935); #110921=LINE('',#595251,#149936); #110922=LINE('',#595252,#149937); #110923=LINE('',#595255,#149938); #110924=LINE('',#595257,#149939); #110925=LINE('',#595258,#149940); #110926=LINE('',#595261,#149941); #110927=LINE('',#595263,#149942); #110928=LINE('',#595264,#149943); #110929=LINE('',#595267,#149944); #110930=LINE('',#595269,#149945); #110931=LINE('',#595270,#149946); #110932=LINE('',#595273,#149947); #110933=LINE('',#595275,#149948); #110934=LINE('',#595276,#149949); #110935=LINE('',#595279,#149950); #110936=LINE('',#595281,#149951); #110937=LINE('',#595282,#149952); #110938=LINE('',#595285,#149953); #110939=LINE('',#595287,#149954); #110940=LINE('',#595288,#149955); #110941=LINE('',#595291,#149956); #110942=LINE('',#595293,#149957); #110943=LINE('',#595294,#149958); #110944=LINE('',#595297,#149959); #110945=LINE('',#595299,#149960); #110946=LINE('',#595300,#149961); #110947=LINE('',#595302,#149962); #110948=LINE('',#595303,#149963); #110949=LINE('',#595311,#149964); #110950=LINE('',#595317,#149965); #110951=LINE('',#595322,#149966); #110952=LINE('',#595324,#149967); #110953=LINE('',#595326,#149968); #110954=LINE('',#595327,#149969); #110955=LINE('',#595330,#149970); #110956=LINE('',#595332,#149971); #110957=LINE('',#595333,#149972); #110958=LINE('',#595336,#149973); #110959=LINE('',#595338,#149974); #110960=LINE('',#595339,#149975); #110961=LINE('',#595342,#149976); #110962=LINE('',#595344,#149977); #110963=LINE('',#595345,#149978); #110964=LINE('',#595348,#149979); #110965=LINE('',#595350,#149980); #110966=LINE('',#595351,#149981); #110967=LINE('',#595354,#149982); #110968=LINE('',#595356,#149983); #110969=LINE('',#595357,#149984); #110970=LINE('',#595360,#149985); #110971=LINE('',#595362,#149986); #110972=LINE('',#595363,#149987); #110973=LINE('',#595366,#149988); #110974=LINE('',#595368,#149989); #110975=LINE('',#595369,#149990); #110976=LINE('',#595372,#149991); #110977=LINE('',#595374,#149992); #110978=LINE('',#595375,#149993); #110979=LINE('',#595378,#149994); #110980=LINE('',#595380,#149995); #110981=LINE('',#595381,#149996); #110982=LINE('',#595384,#149997); #110983=LINE('',#595386,#149998); #110984=LINE('',#595387,#149999); #110985=LINE('',#595389,#150000); #110986=LINE('',#595390,#150001); #110987=LINE('',#595398,#150002); #110988=LINE('',#595404,#150003); #110989=LINE('',#595409,#150004); #110990=LINE('',#595411,#150005); #110991=LINE('',#595413,#150006); #110992=LINE('',#595414,#150007); #110993=LINE('',#595417,#150008); #110994=LINE('',#595419,#150009); #110995=LINE('',#595420,#150010); #110996=LINE('',#595423,#150011); #110997=LINE('',#595425,#150012); #110998=LINE('',#595426,#150013); #110999=LINE('',#595429,#150014); #111000=LINE('',#595431,#150015); #111001=LINE('',#595432,#150016); #111002=LINE('',#595435,#150017); #111003=LINE('',#595437,#150018); #111004=LINE('',#595438,#150019); #111005=LINE('',#595441,#150020); #111006=LINE('',#595443,#150021); #111007=LINE('',#595444,#150022); #111008=LINE('',#595447,#150023); #111009=LINE('',#595449,#150024); #111010=LINE('',#595450,#150025); #111011=LINE('',#595453,#150026); #111012=LINE('',#595455,#150027); #111013=LINE('',#595456,#150028); #111014=LINE('',#595459,#150029); #111015=LINE('',#595461,#150030); #111016=LINE('',#595462,#150031); #111017=LINE('',#595465,#150032); #111018=LINE('',#595467,#150033); #111019=LINE('',#595468,#150034); #111020=LINE('',#595471,#150035); #111021=LINE('',#595473,#150036); #111022=LINE('',#595474,#150037); #111023=LINE('',#595476,#150038); #111024=LINE('',#595477,#150039); #111025=LINE('',#595483,#150040); #111026=LINE('',#595485,#150041); #111027=LINE('',#595487,#150042); #111028=LINE('',#595488,#150043); #111029=LINE('',#595491,#150044); #111030=LINE('',#595493,#150045); #111031=LINE('',#595494,#150046); #111032=LINE('',#595497,#150047); #111033=LINE('',#595498,#150048); #111034=LINE('',#595501,#150049); #111035=LINE('',#595502,#150050); #111036=LINE('',#595504,#150051); #111037=LINE('',#595513,#150052); #111038=LINE('',#595520,#150053); #111039=LINE('',#595522,#150054); #111040=LINE('',#595523,#150055); #111041=LINE('',#595528,#150056); #111042=LINE('',#595532,#150057); #111043=LINE('',#595534,#150058); #111044=LINE('',#595535,#150059); #111045=LINE('',#595538,#150060); #111046=LINE('',#595539,#150061); #111047=LINE('',#595542,#150062); #111048=LINE('',#595543,#150063); #111049=LINE('',#595550,#150064); #111050=LINE('',#595557,#150065); #111051=LINE('',#595563,#150066); #111052=LINE('',#595566,#150067); #111053=LINE('',#595569,#150068); #111054=LINE('',#595573,#150069); #111055=LINE('',#595577,#150070); #111056=LINE('',#595581,#150071); #111057=LINE('',#595585,#150072); #111058=LINE('',#595589,#150073); #111059=LINE('',#595592,#150074); #111060=LINE('',#595602,#150075); #111061=LINE('',#595612,#150076); #111062=LINE('',#595619,#150077); #111063=LINE('',#595629,#150078); #111064=LINE('',#595639,#150079); #111065=LINE('',#595652,#150080); #111066=LINE('',#595671,#150081); #111067=LINE('',#595676,#150082); #111068=LINE('',#595683,#150083); #111069=LINE('',#595707,#150084); #111070=LINE('',#595709,#150085); #111071=LINE('',#595727,#150086); #111072=LINE('',#595729,#150087); #111073=LINE('',#595730,#150088); #111074=LINE('',#595733,#150089); #111075=LINE('',#595739,#150090); #111076=LINE('',#595741,#150091); #111077=LINE('',#595747,#150092); #111078=LINE('',#595748,#150093); #111079=LINE('',#595754,#150094); #111080=LINE('',#595758,#150095); #111081=LINE('',#595760,#150096); #111082=LINE('',#595761,#150097); #111083=LINE('',#595776,#150098); #111084=LINE('',#595793,#150099); #111085=LINE('',#595808,#150100); #111086=LINE('',#595825,#150101); #111087=LINE('',#595831,#150102); #111088=LINE('',#595832,#150103); #111089=LINE('',#595835,#150104); #111090=LINE('',#595847,#150105); #111091=LINE('',#595850,#150106); #111092=LINE('',#595853,#150107); #111093=LINE('',#595854,#150108); #111094=LINE('',#595857,#150109); #111095=LINE('',#595858,#150110); #111096=LINE('',#595871,#150111); #111097=LINE('',#595874,#150112); #111098=LINE('',#595889,#150113); #111099=LINE('',#595891,#150114); #111100=LINE('',#595895,#150115); #111101=LINE('',#595907,#150116); #111102=LINE('',#595910,#150117); #111103=LINE('',#595922,#150118); #111104=LINE('',#595925,#150119); #111105=LINE('',#595926,#150120); #111106=LINE('',#595928,#150121); #111107=LINE('',#595929,#150122); #111108=LINE('',#595931,#150123); #111109=LINE('',#595932,#150124); #111110=LINE('',#595945,#150125); #111111=LINE('',#595958,#150126); #111112=LINE('',#595960,#150127); #111113=LINE('',#595962,#150128); #111114=LINE('',#595963,#150129); #111115=LINE('',#595964,#150130); #111116=LINE('',#595967,#150131); #111117=LINE('',#595983,#150132); #111118=LINE('',#595987,#150133); #111119=LINE('',#595992,#150134); #111120=LINE('',#595996,#150135); #111121=LINE('',#596001,#150136); #111122=LINE('',#596009,#150137); #111123=LINE('',#596013,#150138); #111124=LINE('',#596022,#150139); #111125=LINE('',#596025,#150140); #111126=LINE('',#596028,#150141); #111127=LINE('',#596031,#150142); #111128=LINE('',#596033,#150143); #111129=LINE('',#596034,#150144); #111130=LINE('',#596038,#150145); #111131=LINE('',#596041,#150146); #111132=LINE('',#596051,#150147); #111133=LINE('',#596057,#150148); #111134=LINE('',#596060,#150149); #111135=LINE('',#596063,#150150); #111136=LINE('',#596065,#150151); #111137=LINE('',#596070,#150152); #111138=LINE('',#596074,#150153); #111139=LINE('',#596076,#150154); #111140=LINE('',#596078,#150155); #111141=LINE('',#596079,#150156); #111142=LINE('',#596082,#150157); #111143=LINE('',#596083,#150158); #111144=LINE('',#596091,#150159); #111145=LINE('',#596095,#150160); #111146=LINE('',#596097,#150161); #111147=LINE('',#596099,#150162); #111148=LINE('',#596100,#150163); #111149=LINE('',#596105,#150164); #111150=LINE('',#596110,#150165); #111151=LINE('',#596112,#150166); #111152=LINE('',#596114,#150167); #111153=LINE('',#596115,#150168); #111154=LINE('',#596118,#150169); #111155=LINE('',#596120,#150170); #111156=LINE('',#596121,#150171); #111157=LINE('',#596124,#150172); #111158=LINE('',#596126,#150173); #111159=LINE('',#596127,#150174); #111160=LINE('',#596129,#150175); #111161=LINE('',#596130,#150176); #111162=LINE('',#596133,#150177); #111163=LINE('',#596135,#150178); #111164=LINE('',#596136,#150179); #111165=LINE('',#596144,#150180); #111166=LINE('',#596149,#150181); #111167=LINE('',#596153,#150182); #111168=LINE('',#596160,#150183); #111169=LINE('',#596164,#150184); #111170=LINE('',#596167,#150185); #111171=LINE('',#596171,#150186); #111172=LINE('',#596175,#150187); #111173=LINE('',#596181,#150188); #111174=LINE('',#596192,#150189); #111175=LINE('',#596196,#150190); #111176=LINE('',#596200,#150191); #111177=LINE('',#596204,#150192); #111178=LINE('',#596208,#150193); #111179=LINE('',#596213,#150194); #111180=LINE('',#596226,#150195); #111181=LINE('',#596228,#150196); #111182=LINE('',#596229,#150197); #111183=LINE('',#596255,#150198); #111184=LINE('',#596258,#150199); #111185=LINE('',#596261,#150200); #111186=LINE('',#596263,#150201); #111187=LINE('',#596279,#150202); #111188=LINE('',#596283,#150203); #111189=LINE('',#596289,#150204); #111190=LINE('',#596291,#150205); #111191=LINE('',#596293,#150206); #111192=LINE('',#596294,#150207); #111193=LINE('',#596297,#150208); #111194=LINE('',#596298,#150209); #111195=LINE('',#596302,#150210); #111196=LINE('',#596304,#150211); #111197=LINE('',#596307,#150212); #111198=LINE('',#596308,#150213); #111199=LINE('',#596309,#150214); #111200=LINE('',#596311,#150215); #111201=LINE('',#596314,#150216); #111202=LINE('',#596315,#150217); #111203=LINE('',#596321,#150218); #111204=LINE('',#596325,#150219); #111205=LINE('',#596328,#150220); #111206=LINE('',#596329,#150221); #111207=LINE('',#596331,#150222); #111208=LINE('',#596333,#150223); #111209=LINE('',#596340,#150224); #111210=LINE('',#596341,#150225); #111211=LINE('',#596345,#150226); #111212=LINE('',#596346,#150227); #111213=LINE('',#596348,#150228); #111214=LINE('',#596349,#150229); #111215=LINE('',#596353,#150230); #111216=LINE('',#596358,#150231); #111217=LINE('',#596359,#150232); #111218=LINE('',#596363,#150233); #111219=LINE('',#596364,#150234); #111220=LINE('',#596366,#150235); #111221=LINE('',#596367,#150236); #111222=LINE('',#596370,#150237); #111223=LINE('',#596374,#150238); #111224=LINE('',#596377,#150239); #111225=LINE('',#596380,#150240); #111226=LINE('',#596383,#150241); #111227=LINE('',#596387,#150242); #111228=LINE('',#596388,#150243); #111229=LINE('',#596390,#150244); #111230=LINE('',#596391,#150245); #111231=LINE('',#596395,#150246); #111232=LINE('',#596399,#150247); #111233=LINE('',#596401,#150248); #111234=LINE('',#596402,#150249); #111235=LINE('',#596403,#150250); #111236=LINE('',#596405,#150251); #111237=LINE('',#596409,#150252); #111238=LINE('',#596411,#150253); #111239=LINE('',#596412,#150254); #111240=LINE('',#596415,#150255); #111241=LINE('',#596417,#150256); #111242=LINE('',#596418,#150257); #111243=LINE('',#596422,#150258); #111244=LINE('',#596423,#150259); #111245=LINE('',#596425,#150260); #111246=LINE('',#596426,#150261); #111247=LINE('',#596429,#150262); #111248=LINE('',#596430,#150263); #111249=LINE('',#596433,#150264); #111250=LINE('',#596435,#150265); #111251=LINE('',#596436,#150266); #111252=LINE('',#596438,#150267); #111253=LINE('',#596439,#150268); #111254=LINE('',#596444,#150269); #111255=LINE('',#596446,#150270); #111256=LINE('',#596448,#150271); #111257=LINE('',#596449,#150272); #111258=LINE('',#596451,#150273); #111259=LINE('',#596452,#150274); #111260=LINE('',#596455,#150275); #111261=LINE('',#596456,#150276); #111262=LINE('',#596459,#150277); #111263=LINE('',#596460,#150278); #111264=LINE('',#596462,#150279); #111265=LINE('',#596465,#150280); #111266=LINE('',#596467,#150281); #111267=LINE('',#596468,#150282); #111268=LINE('',#596471,#150283); #111269=LINE('',#596473,#150284); #111270=LINE('',#596474,#150285); #111271=LINE('',#596477,#150286); #111272=LINE('',#596479,#150287); #111273=LINE('',#596480,#150288); #111274=LINE('',#596483,#150289); #111275=LINE('',#596485,#150290); #111276=LINE('',#596487,#150291); #111277=LINE('',#596488,#150292); #111278=LINE('',#596491,#150293); #111279=LINE('',#596492,#150294); #111280=LINE('',#596495,#150295); #111281=LINE('',#596497,#150296); #111282=LINE('',#596498,#150297); #111283=LINE('',#596501,#150298); #111284=LINE('',#596503,#150299); #111285=LINE('',#596504,#150300); #111286=LINE('',#596507,#150301); #111287=LINE('',#596509,#150302); #111288=LINE('',#596510,#150303); #111289=LINE('',#596514,#150304); #111290=LINE('',#596516,#150305); #111291=LINE('',#596518,#150306); #111292=LINE('',#596519,#150307); #111293=LINE('',#596521,#150308); #111294=LINE('',#596522,#150309); #111295=LINE('',#596526,#150310); #111296=LINE('',#596528,#150311); #111297=LINE('',#596530,#150312); #111298=LINE('',#596531,#150313); #111299=LINE('',#596533,#150314); #111300=LINE('',#596535,#150315); #111301=LINE('',#596538,#150316); #111302=LINE('',#596542,#150317); #111303=LINE('',#596544,#150318); #111304=LINE('',#596546,#150319); #111305=LINE('',#596547,#150320); #111306=LINE('',#596549,#150321); #111307=LINE('',#596550,#150322); #111308=LINE('',#596554,#150323); #111309=LINE('',#596556,#150324); #111310=LINE('',#596558,#150325); #111311=LINE('',#596559,#150326); #111312=LINE('',#596561,#150327); #111313=LINE('',#596563,#150328); #111314=LINE('',#596568,#150329); #111315=LINE('',#596572,#150330); #111316=LINE('',#596576,#150331); #111317=LINE('',#596578,#150332); #111318=LINE('',#596579,#150333); #111319=LINE('',#596582,#150334); #111320=LINE('',#596584,#150335); #111321=LINE('',#596585,#150336); #111322=LINE('',#596589,#150337); #111323=LINE('',#596591,#150338); #111324=LINE('',#596593,#150339); #111325=LINE('',#596595,#150340); #111326=LINE('',#596597,#150341); #111327=LINE('',#596599,#150342); #111328=LINE('',#596601,#150343); #111329=LINE('',#596602,#150344); #111330=LINE('',#596604,#150345); #111331=LINE('',#596605,#150346); #111332=LINE('',#596609,#150347); #111333=LINE('',#596611,#150348); #111334=LINE('',#596613,#150349); #111335=LINE('',#596615,#150350); #111336=LINE('',#596617,#150351); #111337=LINE('',#596619,#150352); #111338=LINE('',#596621,#150353); #111339=LINE('',#596622,#150354); #111340=LINE('',#596629,#150355); #111341=LINE('',#596630,#150356); #111342=LINE('',#596633,#150357); #111343=LINE('',#596635,#150358); #111344=LINE('',#596636,#150359); #111345=LINE('',#596644,#150360); #111346=LINE('',#596651,#150361); #111347=LINE('',#596653,#150362); #111348=LINE('',#596656,#150363); #111349=LINE('',#596662,#150364); #111350=LINE('',#596664,#150365); #111351=LINE('',#596666,#150366); #111352=LINE('',#596668,#150367); #111353=LINE('',#596669,#150368); #111354=LINE('',#596674,#150369); #111355=LINE('',#596680,#150370); #111356=LINE('',#596683,#150371); #111357=LINE('',#596684,#150372); #111358=LINE('',#596685,#150373); #111359=LINE('',#596692,#150374); #111360=LINE('',#596694,#150375); #111361=LINE('',#596696,#150376); #111362=LINE('',#596697,#150377); #111363=LINE('',#596702,#150378); #111364=LINE('',#596707,#150379); #111365=LINE('',#596709,#150380); #111366=LINE('',#596711,#150381); #111367=LINE('',#596712,#150382); #111368=LINE('',#596715,#150383); #111369=LINE('',#596717,#150384); #111370=LINE('',#596718,#150385); #111371=LINE('',#596721,#150386); #111372=LINE('',#596723,#150387); #111373=LINE('',#596724,#150388); #111374=LINE('',#596730,#150389); #111375=LINE('',#596732,#150390); #111376=LINE('',#596733,#150391); #111377=LINE('',#596735,#150392); #111378=LINE('',#596736,#150393); #111379=LINE('',#596740,#150394); #111380=LINE('',#596742,#150395); #111381=LINE('',#596744,#150396); #111382=LINE('',#596745,#150397); #111383=LINE('',#596748,#150398); #111384=LINE('',#596750,#150399); #111385=LINE('',#596751,#150400); #111386=LINE('',#596754,#150401); #111387=LINE('',#596756,#150402); #111388=LINE('',#596757,#150403); #111389=LINE('',#596759,#150404); #111390=LINE('',#596760,#150405); #111391=LINE('',#596765,#150406); #111392=LINE('',#596770,#150407); #111393=LINE('',#596772,#150408); #111394=LINE('',#596774,#150409); #111395=LINE('',#596775,#150410); #111396=LINE('',#596781,#150411); #111397=LINE('',#596784,#150412); #111398=LINE('',#596786,#150413); #111399=LINE('',#596787,#150414); #111400=LINE('',#597133,#150415); #111401=LINE('',#597137,#150416); #111402=LINE('',#597141,#150417); #111403=LINE('',#597145,#150418); #111404=LINE('',#597164,#150419); #111405=LINE('',#597167,#150420); #111406=LINE('',#597198,#150421); #111407=LINE('',#597241,#150422); #111408=LINE('',#597272,#150423); #111409=LINE('',#597293,#150424); #111410=LINE('',#597324,#150425); #111411=LINE('',#597367,#150426); #111412=LINE('',#597401,#150427); #111413=LINE('',#597406,#150428); #111414=LINE('',#597412,#150429); #111415=LINE('',#597416,#150430); #111416=LINE('',#597421,#150431); #111417=LINE('',#597429,#150432); #111418=LINE('',#597437,#150433); #111419=LINE('',#597445,#150434); #111420=VECTOR('',#378330,15.); #111421=VECTOR('',#378335,1.); #111422=VECTOR('',#378338,1.); #111423=VECTOR('',#378343,1.015950799887); #111424=VECTOR('',#378344,5.5); #111425=VECTOR('',#378345,1.015950799887); #111426=VECTOR('',#378346,1.); #111427=VECTOR('',#378347,1.015950799887); #111428=VECTOR('',#378348,8.499999999999); #111429=VECTOR('',#378351,2.67665519286001); #111430=VECTOR('',#378352,24.); #111431=VECTOR('',#378353,3.69260599274501); #111432=VECTOR('',#378354,5.); #111433=VECTOR('',#378355,1.015950799886); #111434=VECTOR('',#378360,1.08712152522); #111435=VECTOR('',#378363,24.); #111436=VECTOR('',#378364,0.9000000000001); #111437=VECTOR('',#378365,8.499999999999); #111438=VECTOR('',#378366,1.08712152522); #111439=VECTOR('',#378367,1.); #111440=VECTOR('',#378368,1.08712152522); #111441=VECTOR('',#378369,5.5); #111442=VECTOR('',#378370,1.08712152522); #111443=VECTOR('',#378371,5.); #111444=VECTOR('',#378372,1.98712152522); #111445=VECTOR('',#378375,24.); #111446=VECTOR('',#378376,20.); #111447=VECTOR('',#378377,20.); #111448=VECTOR('',#378380,1.98712152522); #111449=VECTOR('',#378381,5.); #111450=VECTOR('',#378382,1.08712152522); #111451=VECTOR('',#378383,1.); #111452=VECTOR('',#378384,1.08712152522); #111453=VECTOR('',#378385,5.5); #111454=VECTOR('',#378386,1.08712152522); #111455=VECTOR('',#378387,1.); #111456=VECTOR('',#378388,1.08712152522); #111457=VECTOR('',#378389,8.499999999999); #111458=VECTOR('',#378390,0.9); #111459=VECTOR('',#378393,0.2908730975177); #111460=VECTOR('',#378396,30.4); #111461=VECTOR('',#378399,40.); #111462=VECTOR('',#378402,40.); #111463=VECTOR('',#378405,40.); #111464=VECTOR('',#378408,7.10015414345); #111465=VECTOR('',#378411,1.49447484924296); #111466=VECTOR('',#378412,2.58191695754); #111467=VECTOR('',#378415,0.3180194846598); #111468=VECTOR('',#378418,2.45792810717101); #111469=VECTOR('',#378425,2.45792810717201); #111470=VECTOR('',#378428,2.67665519285401); #111471=VECTOR('',#378431,0.9000000000001); #111472=VECTOR('',#378432,20.); #111473=VECTOR('',#378433,0.9000000000002); #111474=VECTOR('',#378436,2.67665519285901); #111475=VECTOR('',#378439,2.45792810717501); #111476=VECTOR('',#378446,2.45792810717201); #111477=VECTOR('',#378453,2.67665519285901); #111478=VECTOR('',#378456,2.45792810717501); #111479=VECTOR('',#378463,2.45792810717201); #111480=VECTOR('',#378466,2.67665519286101); #111481=VECTOR('',#378469,0.9); #111482=VECTOR('',#378470,20.); #111483=VECTOR('',#378471,0.9000000000002); #111484=VECTOR('',#378474,2.67665519285901); #111485=VECTOR('',#378477,2.45792810717001); #111486=VECTOR('',#378484,2.45792810717201); #111487=VECTOR('',#378487,2.67665519285401); #111488=VECTOR('',#378490,0.9000000000001); #111489=VECTOR('',#378491,20.); #111490=VECTOR('',#378492,1.98966602864); #111491=VECTOR('',#378493,10.); #111492=VECTOR('',#378496,1.37782338782495); #111493=VECTOR('',#378497,1.94133306814501); #111494=VECTOR('',#378512,0.29087309752); #111495=VECTOR('',#378513,4.); #111496=VECTOR('',#378514,4.); #111497=VECTOR('',#378517,30.4); #111498=VECTOR('',#378524,1.75127292461301); #111499=VECTOR('',#378525,0.318019484659); #111500=VECTOR('',#378528,2.581916957544); #111501=VECTOR('',#378529,1.49447484923896); #111502=VECTOR('',#378532,7.10015414345); #111503=VECTOR('',#378535,40.); #111504=VECTOR('',#378538,40.); #111505=VECTOR('',#378541,40.); #111506=VECTOR('',#378550,19.91983935678); #111507=VECTOR('',#378557,19.91983935678); #111508=VECTOR('',#378564,19.91983935678); #111509=VECTOR('',#378571,19.91983935678); #111510=VECTOR('',#378582,4.); #111511=VECTOR('',#378583,4.); #111512=VECTOR('',#378586,4.); #111513=VECTOR('',#378589,4.); #111514=VECTOR('',#378592,4.); #111515=VECTOR('',#378595,4.); #111516=VECTOR('',#378598,4.); #111517=VECTOR('',#378601,4.); #111518=VECTOR('',#378604,4.); #111519=VECTOR('',#378607,4.); #111520=VECTOR('',#378610,4.); #111521=VECTOR('',#378613,4.); #111522=VECTOR('',#378616,4.); #111523=VECTOR('',#378619,4.); #111524=VECTOR('',#378622,4.); #111525=VECTOR('',#378625,8.499999999999); #111526=VECTOR('',#378626,1.015950799886); #111527=VECTOR('',#378627,1.); #111528=VECTOR('',#378628,1.015950799886); #111529=VECTOR('',#378629,5.5); #111530=VECTOR('',#378630,1.015950799886); #111531=VECTOR('',#378631,1.); #111532=VECTOR('',#378632,1.015950799886); #111533=VECTOR('',#378633,5.); #111534=VECTOR('',#378634,1.94133306812487); #111535=VECTOR('',#378635,4.); #111536=VECTOR('',#378636,1.75127292461801); #111537=VECTOR('',#378637,4.); #111538=VECTOR('',#378638,24.); #111539=VECTOR('',#378639,4.000000003721); #111540=VECTOR('',#378640,2.999999986976); #111541=VECTOR('',#378643,10.); #111542=VECTOR('',#378650,10.); #111543=VECTOR('',#378651,24.); #111544=VECTOR('',#378652,1.98712152522); #111545=VECTOR('',#378653,5.); #111546=VECTOR('',#378654,1.08712152522); #111547=VECTOR('',#378655,1.); #111548=VECTOR('',#378656,1.08712152522); #111549=VECTOR('',#378657,5.5); #111550=VECTOR('',#378658,1.08712152522); #111551=VECTOR('',#378659,1.); #111552=VECTOR('',#378660,10.); #111553=VECTOR('',#378695,30.4); #111554=VECTOR('',#378698,0.29087309752); #111555=VECTOR('',#378703,20.); #111556=VECTOR('',#378704,1.98712152522); #111557=VECTOR('',#378707,3.69260599274501); #111558=VECTOR('',#378710,3.46492395550001); #111559=VECTOR('',#378713,3.46492395547901); #111560=VECTOR('',#378716,3.69260599274801); #111561=VECTOR('',#378719,1.987121525221); #111562=VECTOR('',#378720,20.); #111563=VECTOR('',#378721,1.98712152522); #111564=VECTOR('',#378724,3.69260599274601); #111565=VECTOR('',#378727,3.46492395547401); #111566=VECTOR('',#378730,3.46492395548201); #111567=VECTOR('',#378733,3.69260599274101); #111568=VECTOR('',#378740,3.46492395548101); #111569=VECTOR('',#378743,3.46492395548201); #111570=VECTOR('',#378746,3.69260599274001); #111571=VECTOR('',#378749,1.98712152522); #111572=VECTOR('',#378750,20.); #111573=VECTOR('',#378751,1.987121525221); #111574=VECTOR('',#378754,3.69260599274501); #111575=VECTOR('',#378757,3.46492395548201); #111576=VECTOR('',#378760,3.46492395548177); #111577=VECTOR('',#378763,0.3180194846593); #111578=VECTOR('',#378766,2.581916957544); #111579=VECTOR('',#378767,1.49447484923896); #111580=VECTOR('',#378770,7.10015414345); #111581=VECTOR('',#378773,40.); #111582=VECTOR('',#378776,40.); #111583=VECTOR('',#378779,40.); #111584=VECTOR('',#378792,30.4); #111585=VECTOR('',#378793,4.); #111586=VECTOR('',#378794,4.); #111587=VECTOR('',#378797,0.2908730975177); #111588=VECTOR('',#378802,40.); #111589=VECTOR('',#378805,40.); #111590=VECTOR('',#378808,40.); #111591=VECTOR('',#378811,7.10015414345); #111592=VECTOR('',#378814,1.49447484924296); #111593=VECTOR('',#378815,2.58191695754); #111594=VECTOR('',#378818,0.3180194846596); #111595=VECTOR('',#378829,19.91983935678); #111596=VECTOR('',#378836,19.91983935678); #111597=VECTOR('',#378843,19.91983935678); #111598=VECTOR('',#378850,19.91983935678); #111599=VECTOR('',#378861,4.); #111600=VECTOR('',#378862,4.); #111601=VECTOR('',#378865,4.); #111602=VECTOR('',#378872,4.); #111603=VECTOR('',#378875,4.); #111604=VECTOR('',#378878,4.); #111605=VECTOR('',#378881,4.); #111606=VECTOR('',#378884,4.); #111607=VECTOR('',#378887,4.); #111608=VECTOR('',#378890,4.); #111609=VECTOR('',#378893,4.); #111610=VECTOR('',#378896,4.); #111611=VECTOR('',#378899,4.); #111612=VECTOR('',#378902,4.); #111613=VECTOR('',#378905,4.); #111614=VECTOR('',#378952,23.8); #111615=VECTOR('',#378955,2.999999999997); #111616=VECTOR('',#378958,2.999999993021); #111617=VECTOR('',#378981,15.); #111618=VECTOR('',#379112,1.37782337886904); #111619=VECTOR('',#379115,10.); #111620=VECTOR('',#379154,10.); #111621=VECTOR('',#379155,10.); #111622=VECTOR('',#379158,1.250891983182); #111623=VECTOR('',#379163,1.08322563416697); #111624=VECTOR('',#379214,2.25); #111625=VECTOR('',#379217,2.25); #111626=VECTOR('',#379220,2.25); #111627=VECTOR('',#379223,2.25); #111628=VECTOR('',#379226,24.); #111629=VECTOR('',#379229,1.); #111630=VECTOR('',#379230,1.006995848311); #111631=VECTOR('',#379231,5.5); #111632=VECTOR('',#379232,1.00699584831); #111633=VECTOR('',#379233,1.); #111634=VECTOR('',#379234,1.00699584831); #111635=VECTOR('',#379235,8.499999999999); #111636=VECTOR('',#379236,5.); #111637=VECTOR('',#379237,1.006995848311); #111638=VECTOR('',#379240,1.); #111639=VECTOR('',#379247,5.5); #111640=VECTOR('',#379248,1.006995848308); #111641=VECTOR('',#379249,1.006995848308); #111642=VECTOR('',#379250,5.); #111643=VECTOR('',#379251,24.); #111644=VECTOR('',#379252,8.499999999999); #111645=VECTOR('',#379253,1.006995848308); #111646=VECTOR('',#379254,1.); #111647=VECTOR('',#379255,1.006995848308); #111648=VECTOR('',#379286,24.); #111649=VECTOR('',#379289,1.); #111650=VECTOR('',#379290,1.015950799887); #111651=VECTOR('',#379291,5.5); #111652=VECTOR('',#379292,1.015950799887); #111653=VECTOR('',#379293,1.); #111654=VECTOR('',#379294,1.015950799887); #111655=VECTOR('',#379295,8.499999999999); #111656=VECTOR('',#379298,5.); #111657=VECTOR('',#379299,1.015950799887); #111658=VECTOR('',#379304,1.); #111659=VECTOR('',#379309,1.08712152522); #111660=VECTOR('',#379314,5.5); #111661=VECTOR('',#379321,1.08712152522); #111662=VECTOR('',#379324,1.); #111663=VECTOR('',#379329,1.08712152522); #111664=VECTOR('',#379334,8.499999999999); #111665=VECTOR('',#379341,24.); #111666=VECTOR('',#379342,1.08712152522); #111667=VECTOR('',#379343,5.); #111668=VECTOR('',#379346,24.); #111669=VECTOR('',#379349,5.); #111670=VECTOR('',#379350,1.087121525221); #111671=VECTOR('',#379351,1.); #111672=VECTOR('',#379352,1.087121525221); #111673=VECTOR('',#379353,5.5); #111674=VECTOR('',#379354,1.08712152522); #111675=VECTOR('',#379355,1.); #111676=VECTOR('',#379356,1.08712152522); #111677=VECTOR('',#379357,8.499999999999); #111678=VECTOR('',#379366,5.5); #111679=VECTOR('',#379367,1.015950799886); #111680=VECTOR('',#379368,1.); #111681=VECTOR('',#379369,1.015950799887); #111682=VECTOR('',#379370,5.); #111683=VECTOR('',#379371,24.); #111684=VECTOR('',#379372,8.5); #111685=VECTOR('',#379373,1.015950799886); #111686=VECTOR('',#379374,1.); #111687=VECTOR('',#379375,1.015950799886); #111688=VECTOR('',#379412,24.); #111689=VECTOR('',#379415,1.); #111690=VECTOR('',#379416,1.006995848311); #111691=VECTOR('',#379417,5.5); #111692=VECTOR('',#379418,1.00699584830993); #111693=VECTOR('',#379419,1.); #111694=VECTOR('',#379420,1.00699584831); #111695=VECTOR('',#379421,8.5); #111696=VECTOR('',#379422,5.); #111697=VECTOR('',#379423,1.006995848311); #111698=VECTOR('',#379426,1.); #111699=VECTOR('',#379433,5.5); #111700=VECTOR('',#379434,1.006995848311); #111701=VECTOR('',#379435,1.00699584831); #111702=VECTOR('',#379436,5.); #111703=VECTOR('',#379437,24.); #111704=VECTOR('',#379438,8.499999999998); #111705=VECTOR('',#379439,1.006995848311); #111706=VECTOR('',#379440,1.); #111707=VECTOR('',#379441,1.006995848311); #111708=VECTOR('',#379494,5.); #111709=VECTOR('',#379499,5.5); #111710=VECTOR('',#379500,1.01595079988693); #111711=VECTOR('',#379501,1.); #111712=VECTOR('',#379502,1.015950799887); #111713=VECTOR('',#379503,24.); #111714=VECTOR('',#379506,8.499999999999); #111715=VECTOR('',#379507,1.015950799887); #111716=VECTOR('',#379508,1.); #111717=VECTOR('',#379509,1.015950799887); #111718=VECTOR('',#379546,24.); #111719=VECTOR('',#379549,1.); #111720=VECTOR('',#379550,1.00699584831); #111721=VECTOR('',#379551,5.5); #111722=VECTOR('',#379552,1.006995848311); #111723=VECTOR('',#379553,1.); #111724=VECTOR('',#379554,1.00699584830993); #111725=VECTOR('',#379555,8.5); #111726=VECTOR('',#379556,5.); #111727=VECTOR('',#379557,1.00699584831); #111728=VECTOR('',#379560,1.); #111729=VECTOR('',#379567,5.5); #111730=VECTOR('',#379568,1.006995848311); #111731=VECTOR('',#379569,1.006995848311); #111732=VECTOR('',#379570,5.); #111733=VECTOR('',#379571,24.); #111734=VECTOR('',#379572,8.499999999999); #111735=VECTOR('',#379573,1.006995848309); #111736=VECTOR('',#379574,1.); #111737=VECTOR('',#379575,1.006995848311); #111738=VECTOR('',#379606,24.); #111739=VECTOR('',#379609,1.); #111740=VECTOR('',#379610,1.015950799886); #111741=VECTOR('',#379611,5.5); #111742=VECTOR('',#379612,1.015950799886); #111743=VECTOR('',#379613,1.); #111744=VECTOR('',#379614,1.015950799886); #111745=VECTOR('',#379615,8.499999999999); #111746=VECTOR('',#379618,5.); #111747=VECTOR('',#379619,1.015950799886); #111748=VECTOR('',#379624,1.); #111749=VECTOR('',#379629,1.08712152522); #111750=VECTOR('',#379634,5.5); #111751=VECTOR('',#379641,1.08712152522); #111752=VECTOR('',#379644,1.); #111753=VECTOR('',#379649,1.087121525221); #111754=VECTOR('',#379654,8.499999999999); #111755=VECTOR('',#379661,24.); #111756=VECTOR('',#379662,1.08712152522); #111757=VECTOR('',#379663,5.); #111758=VECTOR('',#379666,24.); #111759=VECTOR('',#379669,5.); #111760=VECTOR('',#379670,1.087121525221); #111761=VECTOR('',#379671,1.); #111762=VECTOR('',#379672,1.087121525221); #111763=VECTOR('',#379673,5.5); #111764=VECTOR('',#379674,1.087121525221); #111765=VECTOR('',#379675,1.); #111766=VECTOR('',#379676,1.08712152522); #111767=VECTOR('',#379677,8.5); #111768=VECTOR('',#379686,5.5); #111769=VECTOR('',#379687,1.015950799887); #111770=VECTOR('',#379688,1.); #111771=VECTOR('',#379689,1.015950799887); #111772=VECTOR('',#379690,5.); #111773=VECTOR('',#379691,24.); #111774=VECTOR('',#379692,8.499999999999); #111775=VECTOR('',#379693,1.015950799887); #111776=VECTOR('',#379694,1.); #111777=VECTOR('',#379695,1.015950799887); #111778=VECTOR('',#379732,24.); #111779=VECTOR('',#379735,1.); #111780=VECTOR('',#379736,1.006995848308); #111781=VECTOR('',#379737,5.5); #111782=VECTOR('',#379738,1.006995848308); #111783=VECTOR('',#379739,1.); #111784=VECTOR('',#379740,1.006995848308); #111785=VECTOR('',#379741,8.499999999999); #111786=VECTOR('',#379742,5.); #111787=VECTOR('',#379743,1.006995848308); #111788=VECTOR('',#379746,1.); #111789=VECTOR('',#379753,5.5); #111790=VECTOR('',#379754,1.006995848311); #111791=VECTOR('',#379755,1.00699584831); #111792=VECTOR('',#379756,5.); #111793=VECTOR('',#379757,24.); #111794=VECTOR('',#379758,8.499999999999); #111795=VECTOR('',#379759,1.006995848308); #111796=VECTOR('',#379760,1.); #111797=VECTOR('',#379761,1.006995848311); #111798=VECTOR('',#379792,24.); #111799=VECTOR('',#379795,1.); #111800=VECTOR('',#379796,1.015950799887); #111801=VECTOR('',#379797,5.5); #111802=VECTOR('',#379798,1.015950799887); #111803=VECTOR('',#379799,1.); #111804=VECTOR('',#379800,1.015950799887); #111805=VECTOR('',#379801,8.499999999999); #111806=VECTOR('',#379804,5.); #111807=VECTOR('',#379805,1.015950799887); #111808=VECTOR('',#379810,1.); #111809=VECTOR('',#379815,1.08712152522); #111810=VECTOR('',#379820,5.5); #111811=VECTOR('',#379827,1.08712152522); #111812=VECTOR('',#379830,1.); #111813=VECTOR('',#379835,1.08712152522); #111814=VECTOR('',#379840,8.499999999999); #111815=VECTOR('',#379847,24.); #111816=VECTOR('',#379848,1.08712152522); #111817=VECTOR('',#379849,5.); #111818=VECTOR('',#379878,4.); #111819=VECTOR('',#379885,2.25); #111820=VECTOR('',#379888,2.25); #111821=VECTOR('',#379891,2.25); #111822=VECTOR('',#379894,2.25); #111823=VECTOR('',#379933,8.91832209613391); #111824=VECTOR('',#379936,12.98758303364); #111825=VECTOR('',#379947,8.91832209622134); #111826=VECTOR('',#379954,10.0944578340998); #111827=VECTOR('',#379955,10.); #111828=VECTOR('',#379958,10.2815691463304); #111829=VECTOR('',#379963,10.0944578333006); #111830=VECTOR('',#379966,10.); #111831=VECTOR('',#379973,8.91832202022105); #111832=VECTOR('',#379974,10.09445783334); #111833=VECTOR('',#379981,8.91832209616085); #111834=VECTOR('',#379982,10.0944578344499); #111835=VECTOR('',#379983,10.); #111836=VECTOR('',#379988,10.2815669638202); #111837=VECTOR('',#379991,10.0944578335303); #111838=VECTOR('',#379992,10.); #111839=VECTOR('',#379999,8.91832209616905); #111840=VECTOR('',#380002,10.2815689651098); #111841=VECTOR('',#380029,10.2815679632596); #111842=VECTOR('',#380044,10.2815662326499); #111843=VECTOR('',#380061,10.); #111844=VECTOR('',#380062,10.); #111845=VECTOR('',#380063,10.); #111846=VECTOR('',#380068,10.); #111847=VECTOR('',#380069,10.); #111848=VECTOR('',#380074,10.); #111849=VECTOR('',#380075,10.); #111850=VECTOR('',#380076,10.); #111851=VECTOR('',#380081,10.); #111852=VECTOR('',#380084,10.); #111853=VECTOR('',#380087,10.); #111854=VECTOR('',#380092,10.); #111855=VECTOR('',#380099,10.); #111856=VECTOR('',#380100,10.); #111857=VECTOR('',#380101,10.); #111858=VECTOR('',#380104,10.); #111859=VECTOR('',#380109,10.); #111860=VECTOR('',#380110,10.); #111861=VECTOR('',#380111,10.); #111862=VECTOR('',#380112,10.); #111863=VECTOR('',#380113,10.); #111864=VECTOR('',#380114,10.); #111865=VECTOR('',#380117,10.); #111866=VECTOR('',#380118,10.); #111867=VECTOR('',#380119,10.); #111868=VECTOR('',#380120,10.); #111869=VECTOR('',#380123,10.); #111870=VECTOR('',#380124,10.); #111871=VECTOR('',#380125,10.); #111872=VECTOR('',#380126,10.); #111873=VECTOR('',#380129,10.); #111874=VECTOR('',#380130,10.); #111875=VECTOR('',#380133,10.); #111876=VECTOR('',#380134,10.); #111877=VECTOR('',#380135,10.); #111878=VECTOR('',#380136,10.); #111879=VECTOR('',#380137,10.); #111880=VECTOR('',#380140,10.); #111881=VECTOR('',#380141,10.); #111882=VECTOR('',#380142,10.); #111883=VECTOR('',#380143,10.); #111884=VECTOR('',#380144,10.); #111885=VECTOR('',#380147,10.); #111886=VECTOR('',#380148,10.); #111887=VECTOR('',#380149,10.); #111888=VECTOR('',#380154,10.); #111889=VECTOR('',#380157,10.); #111890=VECTOR('',#380162,10.); #111891=VECTOR('',#380165,10.); #111892=VECTOR('',#380168,10.); #111893=VECTOR('',#380173,10.); #111894=VECTOR('',#380174,10.); #111895=VECTOR('',#380175,10.); #111896=VECTOR('',#380180,10.); #111897=VECTOR('',#380183,10.); #111898=VECTOR('',#380186,10.); #111899=VECTOR('',#380187,10.); #111900=VECTOR('',#380188,10.); #111901=VECTOR('',#380189,10.); #111902=VECTOR('',#380190,10.); #111903=VECTOR('',#380193,10.); #111904=VECTOR('',#380194,10.); #111905=VECTOR('',#380195,10.); #111906=VECTOR('',#380196,10.); #111907=VECTOR('',#380199,10.); #111908=VECTOR('',#380202,10.); #111909=VECTOR('',#380203,10.); #111910=VECTOR('',#380206,10.); #111911=VECTOR('',#380209,10.); #111912=VECTOR('',#380210,10.); #111913=VECTOR('',#380211,10.); #111914=VECTOR('',#380212,10.); #111915=VECTOR('',#380215,10.); #111916=VECTOR('',#380218,10.); #111917=VECTOR('',#380221,10.); #111918=VECTOR('',#380226,10.); #111919=VECTOR('',#380229,10.); #111920=VECTOR('',#380232,10.); #111921=VECTOR('',#380233,10.); #111922=VECTOR('',#380234,10.); #111923=VECTOR('',#380235,10.); #111924=VECTOR('',#380236,10.); #111925=VECTOR('',#380237,10.); #111926=VECTOR('',#380238,10.); #111927=VECTOR('',#380241,10.); #111928=VECTOR('',#380242,10.); #111929=VECTOR('',#380243,10.); #111930=VECTOR('',#380244,10.); #111931=VECTOR('',#380247,10.); #111932=VECTOR('',#380250,10.); #111933=VECTOR('',#380251,10.); #111934=VECTOR('',#380256,10.); #111935=VECTOR('',#380259,10.); #111936=VECTOR('',#380262,10.); #111937=VECTOR('',#380263,10.); #111938=VECTOR('',#380264,10.); #111939=VECTOR('',#380265,10.); #111940=VECTOR('',#380266,10.); #111941=VECTOR('',#380267,10.); #111942=VECTOR('',#380270,10.); #111943=VECTOR('',#380271,10.); #111944=VECTOR('',#380272,10.); #111945=VECTOR('',#380273,10.); #111946=VECTOR('',#380276,10.); #111947=VECTOR('',#380279,10.); #111948=VECTOR('',#380280,10.); #111949=VECTOR('',#380281,10.); #111950=VECTOR('',#380284,10.); #111951=VECTOR('',#380287,10.); #111952=VECTOR('',#380290,10.); #111953=VECTOR('',#380293,10.); #111954=VECTOR('',#380294,10.); #111955=VECTOR('',#380299,10.); #111956=VECTOR('',#380302,10.); #111957=VECTOR('',#380307,10.); #111958=VECTOR('',#380310,10.); #111959=VECTOR('',#380311,10.); #111960=VECTOR('',#380314,10.); #111961=VECTOR('',#380315,10.); #111962=VECTOR('',#380316,10.); #111963=VECTOR('',#380319,10.); #111964=VECTOR('',#380320,10.); #111965=VECTOR('',#380323,10.); #111966=VECTOR('',#380324,10.); #111967=VECTOR('',#380325,10.); #111968=VECTOR('',#380328,10.); #111969=VECTOR('',#380331,10.); #111970=VECTOR('',#380332,10.); #111971=VECTOR('',#380333,10.); #111972=VECTOR('',#380338,10.); #111973=VECTOR('',#380341,10.); #111974=VECTOR('',#380344,10.); #111975=VECTOR('',#380347,10.); #111976=VECTOR('',#380354,10.); #111977=VECTOR('',#380355,10.); #111978=VECTOR('',#380360,10.); #111979=VECTOR('',#380365,10.); #111980=VECTOR('',#380366,10.); #111981=VECTOR('',#380371,10.); #111982=VECTOR('',#380372,10.); #111983=VECTOR('',#380373,10.); #111984=VECTOR('',#380376,10.); #111985=VECTOR('',#380377,10.); #111986=VECTOR('',#380378,10.); #111987=VECTOR('',#380381,10.); #111988=VECTOR('',#380382,10.); #111989=VECTOR('',#380383,10.); #111990=VECTOR('',#380384,10.); #111991=VECTOR('',#380387,10.); #111992=VECTOR('',#380388,10.); #111993=VECTOR('',#380389,10.); #111994=VECTOR('',#380394,10.); #111995=VECTOR('',#380395,10.); #111996=VECTOR('',#380396,10.); #111997=VECTOR('',#380403,10.); #111998=VECTOR('',#380406,10.); #111999=VECTOR('',#380409,10.); #112000=VECTOR('',#380412,10.); #112001=VECTOR('',#380415,10.); #112002=VECTOR('',#380416,10.); #112003=VECTOR('',#380419,10.); #112004=VECTOR('',#380426,10.); #112005=VECTOR('',#380427,10.); #112006=VECTOR('',#380432,10.); #112007=VECTOR('',#380437,10.); #112008=VECTOR('',#380440,10.); #112009=VECTOR('',#380445,10.); #112010=VECTOR('',#380448,10.); #112011=VECTOR('',#380449,10.); #112012=VECTOR('',#380450,10.); #112013=VECTOR('',#380455,10.); #112014=VECTOR('',#380456,10.); #112015=VECTOR('',#380459,10.); #112016=VECTOR('',#380460,10.); #112017=VECTOR('',#380463,10.); #112018=VECTOR('',#380470,10.); #112019=VECTOR('',#380471,10.); #112020=VECTOR('',#380472,10.); #112021=VECTOR('',#380473,10.); #112022=VECTOR('',#380474,10.); #112023=VECTOR('',#380479,10.); #112024=VECTOR('',#380484,10.); #112025=VECTOR('',#380491,10.); #112026=VECTOR('',#380494,10.); #112027=VECTOR('',#380495,10.); #112028=VECTOR('',#380500,10.); #112029=VECTOR('',#380501,10.); #112030=VECTOR('',#380504,10.); #112031=VECTOR('',#380505,10.); #112032=VECTOR('',#380508,10.); #112033=VECTOR('',#380515,10.); #112034=VECTOR('',#380516,10.); #112035=VECTOR('',#380519,10.); #112036=VECTOR('',#380520,10.); #112037=VECTOR('',#380523,10.); #112038=VECTOR('',#380530,10.); #112039=VECTOR('',#380535,10.); #112040=VECTOR('',#380536,10.); #112041=VECTOR('',#380537,10.); #112042=VECTOR('',#380540,10.); #112043=VECTOR('',#380541,10.); #112044=VECTOR('',#380542,10.); #112045=VECTOR('',#380543,10.); #112046=VECTOR('',#380544,10.); #112047=VECTOR('',#380547,10.); #112048=VECTOR('',#380548,10.); #112049=VECTOR('',#380551,10.); #112050=VECTOR('',#380552,10.); #112051=VECTOR('',#380555,10.); #112052=VECTOR('',#380556,10.); #112053=VECTOR('',#380571,10.); #112054=VECTOR('',#380572,10.); #112055=VECTOR('',#380575,10.); #112056=VECTOR('',#380578,10.); #112057=VECTOR('',#380579,10.); #112058=VECTOR('',#380580,10.); #112059=VECTOR('',#380581,10.); #112060=VECTOR('',#380584,10.); #112061=VECTOR('',#380585,10.); #112062=VECTOR('',#380586,10.); #112063=VECTOR('',#380589,10.); #112064=VECTOR('',#380590,10.); #112065=VECTOR('',#380593,10.); #112066=VECTOR('',#380594,10.); #112067=VECTOR('',#380595,10.); #112068=VECTOR('',#380598,10.); #112069=VECTOR('',#380599,10.); #112070=VECTOR('',#380600,10.); #112071=VECTOR('',#380601,10.); #112072=VECTOR('',#380604,10.); #112073=VECTOR('',#380605,10.); #112074=VECTOR('',#380608,10.); #112075=VECTOR('',#380609,10.); #112076=VECTOR('',#380610,10.); #112077=VECTOR('',#380613,10.); #112078=VECTOR('',#380614,10.); #112079=VECTOR('',#380627,10.75); #112080=VECTOR('',#380646,10.75); #112081=VECTOR('',#380649,10.75); #112082=VECTOR('',#380658,10.); #112083=VECTOR('',#380659,10.); #112084=VECTOR('',#380660,10.); #112085=VECTOR('',#380661,10.); #112086=VECTOR('',#380664,10.); #112087=VECTOR('',#380665,10.); #112088=VECTOR('',#380666,10.); #112089=VECTOR('',#380669,10.); #112090=VECTOR('',#380670,10.); #112091=VECTOR('',#380671,10.); #112092=VECTOR('',#380674,10.); #112093=VECTOR('',#380675,10.); #112094=VECTOR('',#380676,10.); #112095=VECTOR('',#380677,10.); #112096=VECTOR('',#380678,10.); #112097=VECTOR('',#380681,10.); #112098=VECTOR('',#380682,10.); #112099=VECTOR('',#380683,10.); #112100=VECTOR('',#380684,10.); #112101=VECTOR('',#380685,10.); #112102=VECTOR('',#380688,10.); #112103=VECTOR('',#380691,10.); #112104=VECTOR('',#380694,10.); #112105=VECTOR('',#380697,10.); #112106=VECTOR('',#380700,10.); #112107=VECTOR('',#380707,10.); #112108=VECTOR('',#380708,10.); #112109=VECTOR('',#380709,10.); #112110=VECTOR('',#380712,10.); #112111=VECTOR('',#380713,10.); #112112=VECTOR('',#380716,10.); #112113=VECTOR('',#380717,10.); #112114=VECTOR('',#380718,10.); #112115=VECTOR('',#380721,10.); #112116=VECTOR('',#380722,10.); #112117=VECTOR('',#380727,10.); #112118=VECTOR('',#380732,10.); #112119=VECTOR('',#380735,10.); #112120=VECTOR('',#380738,10.); #112121=VECTOR('',#380741,10.); #112122=VECTOR('',#380746,10.); #112123=VECTOR('',#380747,10.); #112124=VECTOR('',#380750,10.); #112125=VECTOR('',#380755,10.); #112126=VECTOR('',#380756,10.); #112127=VECTOR('',#380759,10.); #112128=VECTOR('',#380762,10.); #112129=VECTOR('',#380767,10.); #112130=VECTOR('',#380776,10.); #112131=VECTOR('',#380777,10.); #112132=VECTOR('',#380778,10.); #112133=VECTOR('',#380779,10.); #112134=VECTOR('',#380782,10.); #112135=VECTOR('',#380783,10.); #112136=VECTOR('',#380784,10.); #112137=VECTOR('',#380787,10.); #112138=VECTOR('',#380790,10.); #112139=VECTOR('',#380793,10.); #112140=VECTOR('',#380796,10.); #112141=VECTOR('',#380797,10.); #112142=VECTOR('',#380798,10.); #112143=VECTOR('',#380799,10.); #112144=VECTOR('',#380800,10.); #112145=VECTOR('',#380801,10.); #112146=VECTOR('',#380804,10.); #112147=VECTOR('',#380805,10.); #112148=VECTOR('',#380806,10.); #112149=VECTOR('',#380809,10.); #112150=VECTOR('',#380810,10.); #112151=VECTOR('',#380813,10.); #112152=VECTOR('',#380814,10.); #112153=VECTOR('',#380815,10.); #112154=VECTOR('',#380818,10.); #112155=VECTOR('',#380821,10.); #112156=VECTOR('',#380824,10.); #112157=VECTOR('',#380825,10.); #112158=VECTOR('',#380830,10.); #112159=VECTOR('',#380833,10.); #112160=VECTOR('',#380834,10.); #112161=VECTOR('',#380839,10.); #112162=VECTOR('',#380842,10.); #112163=VECTOR('',#380843,10.); #112164=VECTOR('',#380848,10.); #112165=VECTOR('',#380851,10.); #112166=VECTOR('',#380856,10.); #112167=VECTOR('',#380857,10.); #112168=VECTOR('',#380858,10.); #112169=VECTOR('',#380859,10.); #112170=VECTOR('',#380864,32.7842712474619); #112171=VECTOR('',#380867,10.); #112172=VECTOR('',#380868,10.); #112173=VECTOR('',#380869,10.); #112174=VECTOR('',#380870,10.); #112175=VECTOR('',#380873,10.); #112176=VECTOR('',#380874,10.); #112177=VECTOR('',#380875,10.); #112178=VECTOR('',#380878,10.); #112179=VECTOR('',#380879,10.); #112180=VECTOR('',#380880,10.); #112181=VECTOR('',#380883,10.); #112182=VECTOR('',#380884,10.); #112183=VECTOR('',#380885,10.); #112184=VECTOR('',#380888,10.); #112185=VECTOR('',#380889,10.); #112186=VECTOR('',#380890,10.); #112187=VECTOR('',#380893,10.); #112188=VECTOR('',#380894,10.); #112189=VECTOR('',#380895,10.); #112190=VECTOR('',#380898,10.); #112191=VECTOR('',#380899,10.); #112192=VECTOR('',#380900,10.); #112193=VECTOR('',#380903,10.); #112194=VECTOR('',#380904,10.); #112195=VECTOR('',#380905,10.); #112196=VECTOR('',#380908,10.); #112197=VECTOR('',#380909,10.); #112198=VECTOR('',#380910,10.); #112199=VECTOR('',#380913,10.); #112200=VECTOR('',#380914,10.); #112201=VECTOR('',#380915,10.); #112202=VECTOR('',#380918,10.); #112203=VECTOR('',#380919,10.); #112204=VECTOR('',#380920,10.); #112205=VECTOR('',#380925,33.2842712474619); #112206=VECTOR('',#380930,10.); #112207=VECTOR('',#380939,10.); #112208=VECTOR('',#380948,10.); #112209=VECTOR('',#380953,10.); #112210=VECTOR('',#380956,10.); #112211=VECTOR('',#380961,10.); #112212=VECTOR('',#380970,10.); #112213=VECTOR('',#380979,10.); #112214=VECTOR('',#380988,10.); #112215=VECTOR('',#380997,10.); #112216=VECTOR('',#381006,10.); #112217=VECTOR('',#381015,10.); #112218=VECTOR('',#381024,10.); #112219=VECTOR('',#381033,10.); #112220=VECTOR('',#381040,29.2842712474619); #112221=VECTOR('',#381047,10.); #112222=VECTOR('',#381052,10.); #112223=VECTOR('',#381053,10.); #112224=VECTOR('',#381054,10.); #112225=VECTOR('',#381057,10.); #112226=VECTOR('',#381064,10.); #112227=VECTOR('',#381065,10.); #112228=VECTOR('',#381066,10.); #112229=VECTOR('',#381069,10.); #112230=VECTOR('',#381076,10.); #112231=VECTOR('',#381077,10.); #112232=VECTOR('',#381078,10.); #112233=VECTOR('',#381091,10.); #112234=VECTOR('',#381094,10.); #112235=VECTOR('',#381097,10.); #112236=VECTOR('',#381104,10.); #112237=VECTOR('',#381109,10.); #112238=VECTOR('',#381110,10.); #112239=VECTOR('',#381113,10.); #112240=VECTOR('',#381118,10.); #112241=VECTOR('',#381119,10.); #112242=VECTOR('',#381122,10.); #112243=VECTOR('',#381127,10.); #112244=VECTOR('',#381128,10.); #112245=VECTOR('',#381137,10.); #112246=VECTOR('',#381142,10.); #112247=VECTOR('',#381143,10.); #112248=VECTOR('',#381144,10.); #112249=VECTOR('',#381147,10.); #112250=VECTOR('',#381154,10.); #112251=VECTOR('',#381155,10.); #112252=VECTOR('',#381156,10.); #112253=VECTOR('',#381159,10.); #112254=VECTOR('',#381166,10.); #112255=VECTOR('',#381167,10.); #112256=VECTOR('',#381168,10.); #112257=VECTOR('',#381181,10.); #112258=VECTOR('',#381184,10.); #112259=VECTOR('',#381187,10.); #112260=VECTOR('',#381194,10.); #112261=VECTOR('',#381199,10.); #112262=VECTOR('',#381200,10.); #112263=VECTOR('',#381203,10.); #112264=VECTOR('',#381208,10.); #112265=VECTOR('',#381209,10.); #112266=VECTOR('',#381212,10.); #112267=VECTOR('',#381217,10.); #112268=VECTOR('',#381218,10.); #112269=VECTOR('',#381227,10.); #112270=VECTOR('',#381232,10.); #112271=VECTOR('',#381233,10.); #112272=VECTOR('',#381234,10.); #112273=VECTOR('',#381237,10.); #112274=VECTOR('',#381244,10.); #112275=VECTOR('',#381245,10.); #112276=VECTOR('',#381246,10.); #112277=VECTOR('',#381249,10.); #112278=VECTOR('',#381256,10.); #112279=VECTOR('',#381257,10.); #112280=VECTOR('',#381258,10.); #112281=VECTOR('',#381271,10.); #112282=VECTOR('',#381274,10.); #112283=VECTOR('',#381277,10.); #112284=VECTOR('',#381284,10.); #112285=VECTOR('',#381289,10.); #112286=VECTOR('',#381290,10.); #112287=VECTOR('',#381293,10.); #112288=VECTOR('',#381298,10.); #112289=VECTOR('',#381299,10.); #112290=VECTOR('',#381302,10.); #112291=VECTOR('',#381307,10.); #112292=VECTOR('',#381308,10.); #112293=VECTOR('',#381317,10.); #112294=VECTOR('',#381322,10.); #112295=VECTOR('',#381323,10.); #112296=VECTOR('',#381324,10.); #112297=VECTOR('',#381327,10.); #112298=VECTOR('',#381334,10.); #112299=VECTOR('',#381335,10.); #112300=VECTOR('',#381336,10.); #112301=VECTOR('',#381339,10.); #112302=VECTOR('',#381346,10.); #112303=VECTOR('',#381347,10.); #112304=VECTOR('',#381348,10.); #112305=VECTOR('',#381361,10.); #112306=VECTOR('',#381364,10.); #112307=VECTOR('',#381367,10.); #112308=VECTOR('',#381374,10.); #112309=VECTOR('',#381379,10.); #112310=VECTOR('',#381380,10.); #112311=VECTOR('',#381383,10.); #112312=VECTOR('',#381388,10.); #112313=VECTOR('',#381389,10.); #112314=VECTOR('',#381392,10.); #112315=VECTOR('',#381397,10.); #112316=VECTOR('',#381398,10.); #112317=VECTOR('',#381405,10.); #112318=VECTOR('',#381406,10.); #112319=VECTOR('',#381409,10.); #112320=VECTOR('',#381412,10.); #112321=VECTOR('',#381413,10.); #112322=VECTOR('',#381416,10.); #112323=VECTOR('',#381419,10.); #112324=VECTOR('',#381420,10.); #112325=VECTOR('',#381423,10.); #112326=VECTOR('',#381426,10.); #112327=VECTOR('',#381427,10.); #112328=VECTOR('',#381430,10.); #112329=VECTOR('',#381433,10.); #112330=VECTOR('',#381434,10.); #112331=VECTOR('',#381437,10.); #112332=VECTOR('',#381440,10.); #112333=VECTOR('',#381443,10.); #112334=VECTOR('',#381446,10.); #112335=VECTOR('',#381449,10.); #112336=VECTOR('',#381452,10.); #112337=VECTOR('',#381453,10.); #112338=VECTOR('',#381458,10.); #112339=VECTOR('',#381461,10.); #112340=VECTOR('',#381462,10.); #112341=VECTOR('',#381465,10.); #112342=VECTOR('',#381468,10.); #112343=VECTOR('',#381469,10.); #112344=VECTOR('',#381474,10.); #112345=VECTOR('',#381477,10.); #112346=VECTOR('',#381480,10.); #112347=VECTOR('',#381481,10.); #112348=VECTOR('',#381486,10.); #112349=VECTOR('',#381495,10.); #112350=VECTOR('',#381498,10.); #112351=VECTOR('',#381507,10.); #112352=VECTOR('',#381510,10.); #112353=VECTOR('',#381511,10.); #112354=VECTOR('',#381514,10.); #112355=VECTOR('',#381515,10.); #112356=VECTOR('',#381518,10.); #112357=VECTOR('',#381519,10.); #112358=VECTOR('',#381522,10.); #112359=VECTOR('',#381523,10.); #112360=VECTOR('',#381526,10.); #112361=VECTOR('',#381527,10.); #112362=VECTOR('',#381530,10.); #112363=VECTOR('',#381531,10.); #112364=VECTOR('',#381534,10.); #112365=VECTOR('',#381535,10.); #112366=VECTOR('',#381538,10.); #112367=VECTOR('',#381539,10.); #112368=VECTOR('',#381542,10.); #112369=VECTOR('',#381543,31.2842712474619); #112370=VECTOR('',#381546,10.); #112371=VECTOR('',#381547,10.); #112372=VECTOR('',#381550,10.); #112373=VECTOR('',#381553,10.); #112374=VECTOR('',#381554,10.); #112375=VECTOR('',#381557,10.); #112376=VECTOR('',#381558,10.); #112377=VECTOR('',#381561,10.); #112378=VECTOR('',#381562,10.); #112379=VECTOR('',#381565,10.); #112380=VECTOR('',#381566,10.); #112381=VECTOR('',#381569,10.); #112382=VECTOR('',#381570,10.); #112383=VECTOR('',#381573,10.); #112384=VECTOR('',#381574,10.); #112385=VECTOR('',#381577,10.); #112386=VECTOR('',#381586,10.); #112387=VECTOR('',#381589,10.); #112388=VECTOR('',#381590,10.); #112389=VECTOR('',#381591,10.); #112390=VECTOR('',#381602,10.); #112391=VECTOR('',#381603,10.); #112392=VECTOR('',#381606,10.); #112393=VECTOR('',#381611,10.); #112394=VECTOR('',#381616,10.); #112395=VECTOR('',#381639,10.); #112396=VECTOR('',#381642,10.); #112397=VECTOR('',#381643,10.); #112398=VECTOR('',#381644,10.); #112399=VECTOR('',#381647,10.); #112400=VECTOR('',#381648,10.); #112401=VECTOR('',#381655,10.); #112402=VECTOR('',#381656,10.); #112403=VECTOR('',#381659,10.); #112404=VECTOR('',#381660,10.); #112405=VECTOR('',#381661,10.); #112406=VECTOR('',#381662,10.); #112407=VECTOR('',#381667,2.3); #112408=VECTOR('',#381678,2.3); #112409=VECTOR('',#381689,2.3); #112410=VECTOR('',#381700,2.3); #112411=VECTOR('',#381709,1.7); #112412=VECTOR('',#381716,1.7); #112413=VECTOR('',#381723,1.7); #112414=VECTOR('',#381730,1.7); #112415=VECTOR('',#381741,30.2842712474619); #112416=VECTOR('',#381744,10.); #112417=VECTOR('',#381745,10.); #112418=VECTOR('',#381746,10.); #112419=VECTOR('',#381747,10.); #112420=VECTOR('',#381750,10.); #112421=VECTOR('',#381751,10.); #112422=VECTOR('',#381754,10.); #112423=VECTOR('',#381755,10.); #112424=VECTOR('',#381758,10.); #112425=VECTOR('',#381759,10.); #112426=VECTOR('',#381762,10.); #112427=VECTOR('',#381763,10.); #112428=VECTOR('',#381764,10.); #112429=VECTOR('',#381765,10.); #112430=VECTOR('',#381768,10.); #112431=VECTOR('',#381769,10.); #112432=VECTOR('',#381770,10.); #112433=VECTOR('',#381773,10.); #112434=VECTOR('',#381776,35.2842712474619); #112435=VECTOR('',#381777,10.); #112436=VECTOR('',#381778,35.2842712474619); #112437=VECTOR('',#381781,10.); #112438=VECTOR('',#381782,10.); #112439=VECTOR('',#381783,10.); #112440=VECTOR('',#381784,10.); #112441=VECTOR('',#381785,10.); #112442=VECTOR('',#381786,10.); #112443=VECTOR('',#381787,10.); #112444=VECTOR('',#381788,10.); #112445=VECTOR('',#381789,10.); #112446=VECTOR('',#381790,10.); #112447=VECTOR('',#381791,10.); #112448=VECTOR('',#381792,10.); #112449=VECTOR('',#381793,10.); #112450=VECTOR('',#381794,10.); #112451=VECTOR('',#381795,10.); #112452=VECTOR('',#381796,10.); #112453=VECTOR('',#381797,10.); #112454=VECTOR('',#381798,10.); #112455=VECTOR('',#381799,10.); #112456=VECTOR('',#381800,10.); #112457=VECTOR('',#381801,10.); #112458=VECTOR('',#381802,10.); #112459=VECTOR('',#381803,10.); #112460=VECTOR('',#381804,10.); #112461=VECTOR('',#381805,10.); #112462=VECTOR('',#381806,10.); #112463=VECTOR('',#381807,10.); #112464=VECTOR('',#381808,10.); #112465=VECTOR('',#381809,10.); #112466=VECTOR('',#381814,10.); #112467=VECTOR('',#381815,10.); #112468=VECTOR('',#381816,10.); #112469=VECTOR('',#381817,10.); #112470=VECTOR('',#381820,10.); #112471=VECTOR('',#381821,10.); #112472=VECTOR('',#381824,10.); #112473=VECTOR('',#381825,10.); #112474=VECTOR('',#381828,10.); #112475=VECTOR('',#381829,10.); #112476=VECTOR('',#381832,10.); #112477=VECTOR('',#381833,10.); #112478=VECTOR('',#381834,10.); #112479=VECTOR('',#381837,10.); #112480=VECTOR('',#381838,10.); #112481=VECTOR('',#381841,10.); #112482=VECTOR('',#381846,10.); #112483=VECTOR('',#381847,10.); #112484=VECTOR('',#381848,10.); #112485=VECTOR('',#381849,10.); #112486=VECTOR('',#381852,10.); #112487=VECTOR('',#381853,10.); #112488=VECTOR('',#381856,10.); #112489=VECTOR('',#381857,10.); #112490=VECTOR('',#381860,10.); #112491=VECTOR('',#381861,10.); #112492=VECTOR('',#381864,10.); #112493=VECTOR('',#381865,10.); #112494=VECTOR('',#381866,10.); #112495=VECTOR('',#381869,10.); #112496=VECTOR('',#381870,10.); #112497=VECTOR('',#381873,10.); #112498=VECTOR('',#381878,10.); #112499=VECTOR('',#381879,10.); #112500=VECTOR('',#381880,10.); #112501=VECTOR('',#381881,10.); #112502=VECTOR('',#381884,10.); #112503=VECTOR('',#381885,10.); #112504=VECTOR('',#381888,10.); #112505=VECTOR('',#381889,10.); #112506=VECTOR('',#381892,10.); #112507=VECTOR('',#381893,10.); #112508=VECTOR('',#381896,10.); #112509=VECTOR('',#381897,10.); #112510=VECTOR('',#381898,10.); #112511=VECTOR('',#381901,10.); #112512=VECTOR('',#381902,10.); #112513=VECTOR('',#381905,10.); #112514=VECTOR('',#381910,10.); #112515=VECTOR('',#381911,10.); #112516=VECTOR('',#381912,10.); #112517=VECTOR('',#381913,10.); #112518=VECTOR('',#381916,10.); #112519=VECTOR('',#381917,10.); #112520=VECTOR('',#381920,10.); #112521=VECTOR('',#381921,10.); #112522=VECTOR('',#381924,10.); #112523=VECTOR('',#381925,10.); #112524=VECTOR('',#381928,10.); #112525=VECTOR('',#381929,10.); #112526=VECTOR('',#381930,10.); #112527=VECTOR('',#381933,10.); #112528=VECTOR('',#381934,10.); #112529=VECTOR('',#381937,10.); #112530=VECTOR('',#381942,10.); #112531=VECTOR('',#381943,10.); #112532=VECTOR('',#381944,10.); #112533=VECTOR('',#381945,10.); #112534=VECTOR('',#381948,10.); #112535=VECTOR('',#381949,10.); #112536=VECTOR('',#381952,10.); #112537=VECTOR('',#381953,10.); #112538=VECTOR('',#381956,10.); #112539=VECTOR('',#381957,10.); #112540=VECTOR('',#381960,10.); #112541=VECTOR('',#381961,10.); #112542=VECTOR('',#381962,10.); #112543=VECTOR('',#381965,10.); #112544=VECTOR('',#381966,10.); #112545=VECTOR('',#381969,10.); #112546=VECTOR('',#381974,10.); #112547=VECTOR('',#381975,10.); #112548=VECTOR('',#381976,10.); #112549=VECTOR('',#381977,10.); #112550=VECTOR('',#381980,10.); #112551=VECTOR('',#381981,10.); #112552=VECTOR('',#381984,10.); #112553=VECTOR('',#381985,10.); #112554=VECTOR('',#381988,10.); #112555=VECTOR('',#381989,10.); #112556=VECTOR('',#381992,10.); #112557=VECTOR('',#381993,10.); #112558=VECTOR('',#381994,10.); #112559=VECTOR('',#381997,10.); #112560=VECTOR('',#381998,10.); #112561=VECTOR('',#382001,10.); #112562=VECTOR('',#382006,10.); #112563=VECTOR('',#382007,10.); #112564=VECTOR('',#382008,10.); #112565=VECTOR('',#382009,10.); #112566=VECTOR('',#382012,10.); #112567=VECTOR('',#382013,10.); #112568=VECTOR('',#382016,10.); #112569=VECTOR('',#382017,10.); #112570=VECTOR('',#382020,10.); #112571=VECTOR('',#382021,10.); #112572=VECTOR('',#382024,10.); #112573=VECTOR('',#382025,10.); #112574=VECTOR('',#382026,10.); #112575=VECTOR('',#382029,10.); #112576=VECTOR('',#382030,10.); #112577=VECTOR('',#382033,10.); #112578=VECTOR('',#382038,10.); #112579=VECTOR('',#382039,10.); #112580=VECTOR('',#382040,10.); #112581=VECTOR('',#382041,10.); #112582=VECTOR('',#382044,10.); #112583=VECTOR('',#382045,10.); #112584=VECTOR('',#382048,10.); #112585=VECTOR('',#382049,10.); #112586=VECTOR('',#382052,10.); #112587=VECTOR('',#382053,10.); #112588=VECTOR('',#382056,10.); #112589=VECTOR('',#382057,10.); #112590=VECTOR('',#382058,10.); #112591=VECTOR('',#382061,10.); #112592=VECTOR('',#382062,10.); #112593=VECTOR('',#382065,10.); #112594=VECTOR('',#382070,10.); #112595=VECTOR('',#382071,10.); #112596=VECTOR('',#382072,10.); #112597=VECTOR('',#382073,10.); #112598=VECTOR('',#382076,10.); #112599=VECTOR('',#382077,10.); #112600=VECTOR('',#382080,10.); #112601=VECTOR('',#382081,10.); #112602=VECTOR('',#382084,10.); #112603=VECTOR('',#382085,10.); #112604=VECTOR('',#382088,10.); #112605=VECTOR('',#382089,10.); #112606=VECTOR('',#382090,10.); #112607=VECTOR('',#382093,10.); #112608=VECTOR('',#382094,10.); #112609=VECTOR('',#382097,10.); #112610=VECTOR('',#382102,10.); #112611=VECTOR('',#382103,10.); #112612=VECTOR('',#382104,10.); #112613=VECTOR('',#382105,10.); #112614=VECTOR('',#382108,10.); #112615=VECTOR('',#382109,10.); #112616=VECTOR('',#382112,10.); #112617=VECTOR('',#382113,10.); #112618=VECTOR('',#382116,10.); #112619=VECTOR('',#382117,10.); #112620=VECTOR('',#382120,10.); #112621=VECTOR('',#382121,10.); #112622=VECTOR('',#382122,10.); #112623=VECTOR('',#382125,10.); #112624=VECTOR('',#382126,10.); #112625=VECTOR('',#382129,10.); #112626=VECTOR('',#382134,10.); #112627=VECTOR('',#382135,10.); #112628=VECTOR('',#382136,10.); #112629=VECTOR('',#382137,10.); #112630=VECTOR('',#382140,10.); #112631=VECTOR('',#382141,10.); #112632=VECTOR('',#382144,10.); #112633=VECTOR('',#382145,10.); #112634=VECTOR('',#382148,10.); #112635=VECTOR('',#382149,10.); #112636=VECTOR('',#382152,10.); #112637=VECTOR('',#382153,10.); #112638=VECTOR('',#382154,10.); #112639=VECTOR('',#382157,10.); #112640=VECTOR('',#382158,10.); #112641=VECTOR('',#382161,10.); #112642=VECTOR('',#382166,10.); #112643=VECTOR('',#382167,10.); #112644=VECTOR('',#382168,10.); #112645=VECTOR('',#382169,10.); #112646=VECTOR('',#382172,10.); #112647=VECTOR('',#382173,10.); #112648=VECTOR('',#382176,10.); #112649=VECTOR('',#382177,10.); #112650=VECTOR('',#382180,10.); #112651=VECTOR('',#382181,10.); #112652=VECTOR('',#382184,10.); #112653=VECTOR('',#382185,10.); #112654=VECTOR('',#382186,10.); #112655=VECTOR('',#382189,10.); #112656=VECTOR('',#382190,10.); #112657=VECTOR('',#382193,10.); #112658=VECTOR('',#382198,10.); #112659=VECTOR('',#382199,10.); #112660=VECTOR('',#382200,10.); #112661=VECTOR('',#382201,10.); #112662=VECTOR('',#382204,10.); #112663=VECTOR('',#382205,10.); #112664=VECTOR('',#382208,10.); #112665=VECTOR('',#382209,10.); #112666=VECTOR('',#382212,10.); #112667=VECTOR('',#382213,10.); #112668=VECTOR('',#382216,10.); #112669=VECTOR('',#382217,10.); #112670=VECTOR('',#382218,10.); #112671=VECTOR('',#382221,10.); #112672=VECTOR('',#382222,10.); #112673=VECTOR('',#382225,10.); #112674=VECTOR('',#382230,10.); #112675=VECTOR('',#382231,10.); #112676=VECTOR('',#382232,10.); #112677=VECTOR('',#382233,10.); #112678=VECTOR('',#382236,10.); #112679=VECTOR('',#382237,10.); #112680=VECTOR('',#382240,10.); #112681=VECTOR('',#382241,10.); #112682=VECTOR('',#382244,10.); #112683=VECTOR('',#382245,10.); #112684=VECTOR('',#382248,10.); #112685=VECTOR('',#382249,10.); #112686=VECTOR('',#382250,10.); #112687=VECTOR('',#382253,10.); #112688=VECTOR('',#382254,10.); #112689=VECTOR('',#382257,10.); #112690=VECTOR('',#382262,10.); #112691=VECTOR('',#382263,10.); #112692=VECTOR('',#382264,10.); #112693=VECTOR('',#382265,10.); #112694=VECTOR('',#382268,10.); #112695=VECTOR('',#382269,10.); #112696=VECTOR('',#382272,10.); #112697=VECTOR('',#382273,10.); #112698=VECTOR('',#382276,10.); #112699=VECTOR('',#382277,10.); #112700=VECTOR('',#382280,10.); #112701=VECTOR('',#382281,10.); #112702=VECTOR('',#382282,10.); #112703=VECTOR('',#382285,10.); #112704=VECTOR('',#382286,10.); #112705=VECTOR('',#382289,10.); #112706=VECTOR('',#382294,10.); #112707=VECTOR('',#382301,10.); #112708=VECTOR('',#382302,10.); #112709=VECTOR('',#382307,10.); #112710=VECTOR('',#382308,10.); #112711=VECTOR('',#382311,10.); #112712=VECTOR('',#382316,10.); #112713=VECTOR('',#382319,10.); #112714=VECTOR('',#382324,10.); #112715=VECTOR('',#382327,10.); #112716=VECTOR('',#382330,10.); #112717=VECTOR('',#382333,10.); #112718=VECTOR('',#382336,10.); #112719=VECTOR('',#382339,10.); #112720=VECTOR('',#382342,10.); #112721=VECTOR('',#382345,10.); #112722=VECTOR('',#382350,10.); #112723=VECTOR('',#382357,33.6592712474619); #112724=VECTOR('',#382364,33.7842712474619); #112725=VECTOR('',#382385,1.7); #112726=VECTOR('',#382392,1.7); #112727=VECTOR('',#382399,1.7); #112728=VECTOR('',#382406,1.7); #112729=VECTOR('',#382411,3.); #112730=VECTOR('',#382418,3.); #112731=VECTOR('',#382425,3.); #112732=VECTOR('',#382432,3.); #112733=VECTOR('',#382439,25.8000000000002); #112734=VECTOR('',#382444,35.2842712474619); #112735=VECTOR('',#382451,29.5); #112736=VECTOR('',#382454,10.); #112737=VECTOR('',#382461,29.2842712474619); #112738=VECTOR('',#382512,10.); #112739=VECTOR('',#382513,10.); #112740=VECTOR('',#382514,10.); #112741=VECTOR('',#382515,10.); #112742=VECTOR('',#382518,10.); #112743=VECTOR('',#382519,10.); #112744=VECTOR('',#382520,10.); #112745=VECTOR('',#382521,10.); #112746=VECTOR('',#382524,10.); #112747=VECTOR('',#382525,10.); #112748=VECTOR('',#382528,32.7842712474619); #112749=VECTOR('',#382533,10.); #112750=VECTOR('',#382534,10.); #112751=VECTOR('',#382537,10.); #112752=VECTOR('',#382538,10.); #112753=VECTOR('',#382541,10.); #112754=VECTOR('',#382542,10.); #112755=VECTOR('',#382545,10.); #112756=VECTOR('',#382546,10.); #112757=VECTOR('',#382549,10.); #112758=VECTOR('',#382550,10.); #112759=VECTOR('',#382553,10.); #112760=VECTOR('',#382554,10.); #112761=VECTOR('',#382557,10.); #112762=VECTOR('',#382560,10.); #112763=VECTOR('',#382563,10.); #112764=VECTOR('',#382566,10.); #112765=VECTOR('',#382567,10.); #112766=VECTOR('',#382570,10.); #112767=VECTOR('',#382571,10.); #112768=VECTOR('',#382574,10.); #112769=VECTOR('',#382575,10.); #112770=VECTOR('',#382578,10.); #112771=VECTOR('',#382581,10.); #112772=VECTOR('',#382584,10.); #112773=VECTOR('',#382587,10.); #112774=VECTOR('',#382590,10.); #112775=VECTOR('',#382593,10.); #112776=VECTOR('',#382596,10.); #112777=VECTOR('',#382605,10.); #112778=VECTOR('',#382608,10.); #112779=VECTOR('',#382611,10.); #112780=VECTOR('',#382612,10.); #112781=VECTOR('',#382615,10.); #112782=VECTOR('',#382618,10.); #112783=VECTOR('',#382621,10.); #112784=VECTOR('',#382624,10.); #112785=VECTOR('',#382629,10.); #112786=VECTOR('',#382632,10.); #112787=VECTOR('',#382637,33.2842712474619); #112788=VECTOR('',#382642,10.); #112789=VECTOR('',#382643,10.); #112790=VECTOR('',#382644,10.); #112791=VECTOR('',#382645,10.); #112792=VECTOR('',#382648,10.); #112793=VECTOR('',#382649,10.); #112794=VECTOR('',#382650,10.); #112795=VECTOR('',#382651,10.); #112796=VECTOR('',#382654,10.); #112797=VECTOR('',#382655,10.); #112798=VECTOR('',#382656,10.); #112799=VECTOR('',#382657,10.); #112800=VECTOR('',#382658,10.); #112801=VECTOR('',#382659,10.); #112802=VECTOR('',#382660,10.); #112803=VECTOR('',#382663,10.); #112804=VECTOR('',#382664,10.); #112805=VECTOR('',#382665,10.); #112806=VECTOR('',#382668,10.); #112807=VECTOR('',#382669,10.); #112808=VECTOR('',#382670,10.); #112809=VECTOR('',#382671,10.); #112810=VECTOR('',#382674,10.); #112811=VECTOR('',#382675,10.); #112812=VECTOR('',#382676,10.); #112813=VECTOR('',#382677,10.); #112814=VECTOR('',#382678,10.); #112815=VECTOR('',#382679,10.); #112816=VECTOR('',#382684,10.); #112817=VECTOR('',#382685,10.); #112818=VECTOR('',#382686,10.); #112819=VECTOR('',#382695,10.); #112820=VECTOR('',#382696,10.); #112821=VECTOR('',#382697,10.); #112822=VECTOR('',#382700,10.); #112823=VECTOR('',#382701,10.); #112824=VECTOR('',#382702,10.); #112825=VECTOR('',#382703,10.); #112826=VECTOR('',#382704,10.); #112827=VECTOR('',#382707,10.); #112828=VECTOR('',#382708,10.); #112829=VECTOR('',#382709,10.); #112830=VECTOR('',#382710,10.); #112831=VECTOR('',#382713,10.); #112832=VECTOR('',#382714,10.); #112833=VECTOR('',#382715,10.); #112834=VECTOR('',#382718,10.); #112835=VECTOR('',#382719,10.); #112836=VECTOR('',#382720,10.); #112837=VECTOR('',#382721,10.); #112838=VECTOR('',#382724,10.); #112839=VECTOR('',#382727,10.); #112840=VECTOR('',#382732,10.); #112841=VECTOR('',#382735,10.); #112842=VECTOR('',#382740,10.); #112843=VECTOR('',#382741,10.); #112844=VECTOR('',#382742,10.); #112845=VECTOR('',#382745,10.); #112846=VECTOR('',#382748,10.); #112847=VECTOR('',#382751,10.); #112848=VECTOR('',#382752,10.); #112849=VECTOR('',#382755,10.); #112850=VECTOR('',#382756,10.); #112851=VECTOR('',#382759,10.); #112852=VECTOR('',#382760,10.); #112853=VECTOR('',#382761,10.); #112854=VECTOR('',#382762,10.); #112855=VECTOR('',#382763,10.); #112856=VECTOR('',#382764,10.); #112857=VECTOR('',#382767,10.); #112858=VECTOR('',#382768,10.); #112859=VECTOR('',#382769,10.); #112860=VECTOR('',#382770,10.); #112861=VECTOR('',#382771,10.); #112862=VECTOR('',#382772,10.); #112863=VECTOR('',#382775,10.); #112864=VECTOR('',#382776,10.); #112865=VECTOR('',#382777,10.); #112866=VECTOR('',#382778,10.); #112867=VECTOR('',#382779,10.); #112868=VECTOR('',#382780,10.); #112869=VECTOR('',#382785,10.); #112870=VECTOR('',#382790,10.); #112871=VECTOR('',#382791,10.); #112872=VECTOR('',#382792,10.); #112873=VECTOR('',#382793,10.); #112874=VECTOR('',#382798,10.); #112875=VECTOR('',#382807,10.); #112876=VECTOR('',#382814,10.); #112877=VECTOR('',#382817,10.); #112878=VECTOR('',#382818,10.); #112879=VECTOR('',#382819,10.); #112880=VECTOR('',#382820,10.); #112881=VECTOR('',#382825,10.); #112882=VECTOR('',#382834,10.); #112883=VECTOR('',#382841,10.); #112884=VECTOR('',#382846,10.); #112885=VECTOR('',#382849,10.); #112886=VECTOR('',#382856,10.); #112887=VECTOR('',#382859,10.); #112888=VECTOR('',#382860,10.); #112889=VECTOR('',#382865,10.); #112890=VECTOR('',#382872,10.); #112891=VECTOR('',#382875,10.); #112892=VECTOR('',#382878,10.); #112893=VECTOR('',#382879,10.); #112894=VECTOR('',#382884,10.); #112895=VECTOR('',#382887,10.); #112896=VECTOR('',#382890,10.); #112897=VECTOR('',#382891,10.); #112898=VECTOR('',#382892,10.); #112899=VECTOR('',#382899,10.); #112900=VECTOR('',#382902,10.); #112901=VECTOR('',#382905,10.); #112902=VECTOR('',#382906,10.); #112903=VECTOR('',#382913,10.); #112904=VECTOR('',#382916,10.); #112905=VECTOR('',#382959,10.); #112906=VECTOR('',#382962,10.); #112907=VECTOR('',#382963,10.); #112908=VECTOR('',#382970,10.); #112909=VECTOR('',#382975,10.); #112910=VECTOR('',#382978,10.); #112911=VECTOR('',#382985,10.); #112912=VECTOR('',#382986,10.); #112913=VECTOR('',#382989,10.); #112914=VECTOR('',#382992,10.); #112915=VECTOR('',#382993,10.); #112916=VECTOR('',#382996,10.); #112917=VECTOR('',#382999,10.); #112918=VECTOR('',#383002,29.5); #112919=VECTOR('',#383003,10.); #112920=VECTOR('',#383026,31.75); #112921=VECTOR('',#383039,10.); #112922=VECTOR('',#383042,10.); #112923=VECTOR('',#383045,10.); #112924=VECTOR('',#383046,10.); #112925=VECTOR('',#383049,10.); #112926=VECTOR('',#383054,10.); #112927=VECTOR('',#383055,10.); #112928=VECTOR('',#383058,10.); #112929=VECTOR('',#383063,10.); #112930=VECTOR('',#383064,10.); #112931=VECTOR('',#383067,10.); #112932=VECTOR('',#383070,10.); #112933=VECTOR('',#383071,10.); #112934=VECTOR('',#383074,10.); #112935=VECTOR('',#383093,0.325); #112936=VECTOR('',#383100,0.325); #112937=VECTOR('',#383107,0.600000000000001); #112938=VECTOR('',#383114,0.175000000000001); #112939=VECTOR('',#383121,0.175000000000001); #112940=VECTOR('',#383128,0.175000000000001); #112941=VECTOR('',#383135,0.175000000000001); #112942=VECTOR('',#383142,0.175000000000001); #112943=VECTOR('',#383149,0.175000000000001); #112944=VECTOR('',#383156,0.175000000000001); #112945=VECTOR('',#383163,0.175000000000001); #112946=VECTOR('',#383170,0.175000000000001); #112947=VECTOR('',#383177,0.175000000000001); #112948=VECTOR('',#383184,0.175000000000001); #112949=VECTOR('',#383191,0.175000000000001); #112950=VECTOR('',#383198,0.175000000000001); #112951=VECTOR('',#383205,0.175000000000001); #112952=VECTOR('',#383212,0.175000000000001); #112953=VECTOR('',#383219,0.5); #112954=VECTOR('',#383226,0.175000000000001); #112955=VECTOR('',#383233,0.175000000000001); #112956=VECTOR('',#383240,0.175000000000001); #112957=VECTOR('',#383247,0.175000000000001); #112958=VECTOR('',#383254,0.175000000000001); #112959=VECTOR('',#383261,0.175000000000001); #112960=VECTOR('',#383268,0.175000000000001); #112961=VECTOR('',#383275,0.175000000000001); #112962=VECTOR('',#383282,0.175000000000001); #112963=VECTOR('',#383289,0.175000000000001); #112964=VECTOR('',#383296,0.175000000000001); #112965=VECTOR('',#383303,0.175000000000001); #112966=VECTOR('',#383310,0.175000000000001); #112967=VECTOR('',#383317,0.175000000000001); #112968=VECTOR('',#383324,0.175000000000001); #112969=VECTOR('',#383331,0.550000000000002); #112970=VECTOR('',#383338,0.175000000000001); #112971=VECTOR('',#383345,0.175000000000001); #112972=VECTOR('',#383352,0.175000000000001); #112973=VECTOR('',#383359,0.175000000000001); #112974=VECTOR('',#383366,0.175000000000001); #112975=VECTOR('',#383373,0.175000000000001); #112976=VECTOR('',#383380,0.175000000000001); #112977=VECTOR('',#383387,0.175000000000001); #112978=VECTOR('',#383394,0.175000000000001); #112979=VECTOR('',#383401,0.175000000000001); #112980=VECTOR('',#383408,0.175000000000001); #112981=VECTOR('',#383415,0.175000000000001); #112982=VECTOR('',#383422,0.175000000000001); #112983=VECTOR('',#383429,0.175000000000001); #112984=VECTOR('',#383436,0.175000000000001); #112985=VECTOR('',#383443,0.5); #112986=VECTOR('',#383450,0.175000000000001); #112987=VECTOR('',#383457,0.175000000000001); #112988=VECTOR('',#383464,0.175000000000001); #112989=VECTOR('',#383471,0.175000000000001); #112990=VECTOR('',#383478,0.175000000000001); #112991=VECTOR('',#383485,0.175000000000001); #112992=VECTOR('',#383492,0.175000000000001); #112993=VECTOR('',#383499,0.175000000000001); #112994=VECTOR('',#383506,0.175000000000001); #112995=VECTOR('',#383513,0.175000000000001); #112996=VECTOR('',#383520,0.175000000000001); #112997=VECTOR('',#383527,0.175000000000001); #112998=VECTOR('',#383534,0.175000000000001); #112999=VECTOR('',#383541,0.175000000000001); #113000=VECTOR('',#383548,0.175000000000001); #113001=VECTOR('',#383555,0.600000000000001); #113002=VECTOR('',#383562,0.175000000000001); #113003=VECTOR('',#383569,0.175000000000001); #113004=VECTOR('',#383576,0.175000000000001); #113005=VECTOR('',#383583,0.175000000000001); #113006=VECTOR('',#383590,0.175000000000001); #113007=VECTOR('',#383597,0.175); #113008=VECTOR('',#383604,0.175000000000001); #113009=VECTOR('',#383611,0.175000000000001); #113010=VECTOR('',#383618,0.175000000000001); #113011=VECTOR('',#383625,0.175000000000001); #113012=VECTOR('',#383632,0.175000000000001); #113013=VECTOR('',#383639,0.175000000000001); #113014=VECTOR('',#383646,0.175000000000001); #113015=VECTOR('',#383653,0.175); #113016=VECTOR('',#383660,0.175000000000001); #113017=VECTOR('',#383667,0.175000000000001); #113018=VECTOR('',#383674,0.175000000000001); #113019=VECTOR('',#383681,0.175000000000001); #113020=VECTOR('',#383688,0.175000000000001); #113021=VECTOR('',#383695,0.175000000000001); #113022=VECTOR('',#383702,0.175000000000001); #113023=VECTOR('',#383709,0.175000000000001); #113024=VECTOR('',#383716,0.175000000000001); #113025=VECTOR('',#383723,0.175000000000001); #113026=VECTOR('',#383730,0.175000000000001); #113027=VECTOR('',#383737,0.175000000000001); #113028=VECTOR('',#383744,0.175000000000001); #113029=VECTOR('',#383751,0.175000000000001); #113030=VECTOR('',#383758,0.175000000000001); #113031=VECTOR('',#383765,0.175000000000001); #113032=VECTOR('',#383772,0.175000000000001); #113033=VECTOR('',#383779,0.499999999999998); #113034=VECTOR('',#383786,0.175000000000001); #113035=VECTOR('',#383793,0.175000000000001); #113036=VECTOR('',#383800,0.175000000000001); #113037=VECTOR('',#383807,0.175000000000001); #113038=VECTOR('',#383814,0.175000000000001); #113039=VECTOR('',#383821,0.175000000000001); #113040=VECTOR('',#383828,0.175000000000001); #113041=VECTOR('',#383835,0.175000000000001); #113042=VECTOR('',#383842,0.175000000000001); #113043=VECTOR('',#383849,0.175000000000001); #113044=VECTOR('',#383856,0.175000000000001); #113045=VECTOR('',#383863,0.175000000000001); #113046=VECTOR('',#383870,0.175000000000001); #113047=VECTOR('',#383877,0.175000000000001); #113048=VECTOR('',#383884,0.175000000000001); #113049=VECTOR('',#383891,0.499999999999998); #113050=VECTOR('',#383898,0.175000000000001); #113051=VECTOR('',#383905,0.175000000000001); #113052=VECTOR('',#383912,0.175000000000001); #113053=VECTOR('',#383919,0.175000000000001); #113054=VECTOR('',#383926,0.175000000000001); #113055=VECTOR('',#383933,0.175000000000001); #113056=VECTOR('',#383940,0.175000000000001); #113057=VECTOR('',#383947,0.175000000000001); #113058=VECTOR('',#383954,0.175000000000001); #113059=VECTOR('',#383961,0.175000000000001); #113060=VECTOR('',#383968,0.175000000000001); #113061=VECTOR('',#383975,0.175000000000001); #113062=VECTOR('',#383982,0.175000000000001); #113063=VECTOR('',#383989,0.175000000000001); #113064=VECTOR('',#383996,0.175000000000001); #113065=VECTOR('',#384003,0.550000000000002); #113066=VECTOR('',#384010,0.150000000000001); #113067=VECTOR('',#384017,0.175); #113068=VECTOR('',#384024,0.150000000000001); #113069=VECTOR('',#384031,0.175000000000001); #113070=VECTOR('',#384038,0.175000000000001); #113071=VECTOR('',#384045,0.175); #113072=VECTOR('',#384052,0.150000000000001); #113073=VECTOR('',#384059,0.175000000000001); #113074=VECTOR('',#384066,0.175000000000001); #113075=VECTOR('',#384073,0.175); #113076=VECTOR('',#384080,0.175000000000001); #113077=VECTOR('',#384087,0.175000000000001); #113078=VECTOR('',#384094,0.175000000000001); #113079=VECTOR('',#384101,0.175); #113080=VECTOR('',#384108,0.175000000000001); #113081=VECTOR('',#384115,0.175000000000001); #113082=VECTOR('',#384122,0.175000000000001); #113083=VECTOR('',#384129,0.175); #113084=VECTOR('',#384136,0.175000000000001); #113085=VECTOR('',#384143,0.175000000000001); #113086=VECTOR('',#384150,0.175000000000001); #113087=VECTOR('',#384157,0.175000000000001); #113088=VECTOR('',#384164,0.175000000000001); #113089=VECTOR('',#384171,0.175000000000001); #113090=VECTOR('',#384178,0.175000000000001); #113091=VECTOR('',#384185,0.175); #113092=VECTOR('',#384192,0.175000000000001); #113093=VECTOR('',#384199,0.175000000000001); #113094=VECTOR('',#384206,0.175000000000001); #113095=VECTOR('',#384213,0.175); #113096=VECTOR('',#384220,0.175000000000001); #113097=VECTOR('',#384227,0.499999999999998); #113098=VECTOR('',#384232,10.); #113099=VECTOR('',#384233,10.); #113100=VECTOR('',#384234,10.); #113101=VECTOR('',#384235,10.); #113102=VECTOR('',#384242,10.); #113103=VECTOR('',#384245,10.); #113104=VECTOR('',#384246,10.); #113105=VECTOR('',#384247,10.); #113106=VECTOR('',#384258,0.175000000000001); #113107=VECTOR('',#384263,10.); #113108=VECTOR('',#384264,10.); #113109=VECTOR('',#384265,10.); #113110=VECTOR('',#384266,10.); #113111=VECTOR('',#384273,10.); #113112=VECTOR('',#384276,10.); #113113=VECTOR('',#384277,10.); #113114=VECTOR('',#384278,10.); #113115=VECTOR('',#384289,0.175000000000001); #113116=VECTOR('',#384296,0.150000000000001); #113117=VECTOR('',#384303,0.175000000000001); #113118=VECTOR('',#384308,10.); #113119=VECTOR('',#384309,10.); #113120=VECTOR('',#384310,10.); #113121=VECTOR('',#384311,10.); #113122=VECTOR('',#384318,10.); #113123=VECTOR('',#384321,10.); #113124=VECTOR('',#384322,10.); #113125=VECTOR('',#384323,10.); #113126=VECTOR('',#384334,0.175000000000001); #113127=VECTOR('',#384341,0.150000000000001); #113128=VECTOR('',#384348,0.175000000000001); #113129=VECTOR('',#384355,0.150000000000001); #113130=VECTOR('',#384362,0.175000000000001); #113131=VECTOR('',#384369,0.150000000000001); #113132=VECTOR('',#384376,0.175000000000001); #113133=VECTOR('',#384383,0.150000000000001); #113134=VECTOR('',#384390,0.175000000000001); #113135=VECTOR('',#384397,0.175000000000001); #113136=VECTOR('',#384402,10.); #113137=VECTOR('',#384403,10.); #113138=VECTOR('',#384404,10.); #113139=VECTOR('',#384405,10.); #113140=VECTOR('',#384412,10.); #113141=VECTOR('',#384415,10.); #113142=VECTOR('',#384416,10.); #113143=VECTOR('',#384417,10.); #113144=VECTOR('',#384428,0.175000000000001); #113145=VECTOR('',#384433,10.); #113146=VECTOR('',#384434,10.); #113147=VECTOR('',#384435,10.); #113148=VECTOR('',#384436,10.); #113149=VECTOR('',#384443,10.); #113150=VECTOR('',#384446,10.); #113151=VECTOR('',#384447,10.); #113152=VECTOR('',#384448,10.); #113153=VECTOR('',#384459,0.175000000000001); #113154=VECTOR('',#384464,10.); #113155=VECTOR('',#384465,10.); #113156=VECTOR('',#384466,10.); #113157=VECTOR('',#384467,10.); #113158=VECTOR('',#384474,10.); #113159=VECTOR('',#384477,10.); #113160=VECTOR('',#384478,10.); #113161=VECTOR('',#384479,10.); #113162=VECTOR('',#384490,0.175000000000001); #113163=VECTOR('',#384497,0.175000000000001); #113164=VECTOR('',#384504,0.175000000000001); #113165=VECTOR('',#384511,0.175000000000001); #113166=VECTOR('',#384518,0.600000000000001); #113167=VECTOR('',#384525,0.175000000000001); #113168=VECTOR('',#384532,0.175000000000001); #113169=VECTOR('',#384539,0.175000000000001); #113170=VECTOR('',#384546,0.175000000000001); #113171=VECTOR('',#384553,0.175000000000001); #113172=VECTOR('',#384560,0.175000000000001); #113173=VECTOR('',#384567,0.175000000000001); #113174=VECTOR('',#384574,0.175000000000001); #113175=VECTOR('',#384581,0.175000000000001); #113176=VECTOR('',#384588,0.175000000000001); #113177=VECTOR('',#384595,0.175000000000001); #113178=VECTOR('',#384602,0.175000000000001); #113179=VECTOR('',#384609,0.175000000000001); #113180=VECTOR('',#384616,0.175000000000001); #113181=VECTOR('',#384623,0.175000000000001); #113182=VECTOR('',#384630,0.499999999999998); #113183=VECTOR('',#384637,0.175000000000001); #113184=VECTOR('',#384644,0.175000000000001); #113185=VECTOR('',#384651,0.175000000000001); #113186=VECTOR('',#384658,0.175000000000001); #113187=VECTOR('',#384665,0.175000000000001); #113188=VECTOR('',#384672,0.175000000000001); #113189=VECTOR('',#384679,0.175000000000001); #113190=VECTOR('',#384686,0.175000000000001); #113191=VECTOR('',#384693,0.175000000000001); #113192=VECTOR('',#384700,0.175000000000001); #113193=VECTOR('',#384707,0.175000000000001); #113194=VECTOR('',#384714,0.175000000000001); #113195=VECTOR('',#384721,0.175000000000001); #113196=VECTOR('',#384728,0.175000000000001); #113197=VECTOR('',#384735,0.175000000000001); #113198=VECTOR('',#384742,0.549999999999997); #113199=VECTOR('',#384749,0.175000000000001); #113200=VECTOR('',#384756,0.175000000000001); #113201=VECTOR('',#384763,0.175000000000001); #113202=VECTOR('',#384770,0.175000000000001); #113203=VECTOR('',#384777,0.175000000000001); #113204=VECTOR('',#384784,0.175000000000001); #113205=VECTOR('',#384791,0.175000000000001); #113206=VECTOR('',#384798,0.175000000000001); #113207=VECTOR('',#384805,0.175000000000001); #113208=VECTOR('',#384812,0.175000000000001); #113209=VECTOR('',#384819,0.175000000000001); #113210=VECTOR('',#384826,0.175000000000001); #113211=VECTOR('',#384833,0.175000000000001); #113212=VECTOR('',#384840,0.175000000000001); #113213=VECTOR('',#384847,0.175000000000001); #113214=VECTOR('',#384854,0.499999999999998); #113215=VECTOR('',#384861,0.175000000000001); #113216=VECTOR('',#384868,0.175000000000001); #113217=VECTOR('',#384875,0.175000000000001); #113218=VECTOR('',#384882,0.175000000000001); #113219=VECTOR('',#384889,0.175000000000001); #113220=VECTOR('',#384896,0.175000000000001); #113221=VECTOR('',#384903,0.175000000000001); #113222=VECTOR('',#384910,0.175000000000001); #113223=VECTOR('',#384917,0.175000000000001); #113224=VECTOR('',#384924,0.175000000000001); #113225=VECTOR('',#384931,0.175000000000001); #113226=VECTOR('',#384938,0.175000000000001); #113227=VECTOR('',#384945,0.175000000000001); #113228=VECTOR('',#384952,0.175000000000001); #113229=VECTOR('',#384959,0.175000000000001); #113230=VECTOR('',#384966,0.600000000000001); #113231=VECTOR('',#384973,0.175000000000001); #113232=VECTOR('',#384980,0.175000000000001); #113233=VECTOR('',#384987,0.175000000000001); #113234=VECTOR('',#384994,0.175000000000001); #113235=VECTOR('',#385001,0.175000000000001); #113236=VECTOR('',#385008,0.175000000000001); #113237=VECTOR('',#385015,0.175000000000001); #113238=VECTOR('',#385022,0.175000000000001); #113239=VECTOR('',#385029,0.175000000000001); #113240=VECTOR('',#385036,0.175000000000001); #113241=VECTOR('',#385043,0.175000000000001); #113242=VECTOR('',#385050,0.175000000000001); #113243=VECTOR('',#385057,0.175000000000001); #113244=VECTOR('',#385064,0.175000000000001); #113245=VECTOR('',#385071,0.175000000000001); #113246=VECTOR('',#385078,0.499999999999998); #113247=VECTOR('',#385085,0.175000000000001); #113248=VECTOR('',#385092,0.175000000000001); #113249=VECTOR('',#385099,0.175000000000001); #113250=VECTOR('',#385106,0.175000000000001); #113251=VECTOR('',#385113,0.175000000000001); #113252=VECTOR('',#385120,0.175000000000001); #113253=VECTOR('',#385127,0.175000000000001); #113254=VECTOR('',#385134,0.175000000000001); #113255=VECTOR('',#385141,0.175000000000001); #113256=VECTOR('',#385148,0.175000000000001); #113257=VECTOR('',#385155,0.175000000000001); #113258=VECTOR('',#385162,0.175000000000001); #113259=VECTOR('',#385169,0.175000000000001); #113260=VECTOR('',#385176,0.175000000000001); #113261=VECTOR('',#385183,0.175000000000001); #113262=VECTOR('',#385190,0.549999999999997); #113263=VECTOR('',#385197,0.175000000000001); #113264=VECTOR('',#385204,0.175000000000001); #113265=VECTOR('',#385211,0.175000000000001); #113266=VECTOR('',#385218,0.175000000000001); #113267=VECTOR('',#385225,0.175000000000001); #113268=VECTOR('',#385232,0.175000000000001); #113269=VECTOR('',#385239,0.175000000000001); #113270=VECTOR('',#385246,0.175000000000001); #113271=VECTOR('',#385253,0.175000000000001); #113272=VECTOR('',#385260,0.175000000000001); #113273=VECTOR('',#385267,0.175000000000001); #113274=VECTOR('',#385274,0.175000000000001); #113275=VECTOR('',#385281,0.175000000000001); #113276=VECTOR('',#385288,0.175000000000001); #113277=VECTOR('',#385295,0.175000000000001); #113278=VECTOR('',#385302,0.499999999999998); #113279=VECTOR('',#385309,0.175000000000001); #113280=VECTOR('',#385316,0.175000000000001); #113281=VECTOR('',#385323,0.175000000000001); #113282=VECTOR('',#385330,0.175000000000001); #113283=VECTOR('',#385337,0.175000000000001); #113284=VECTOR('',#385344,0.175000000000001); #113285=VECTOR('',#385351,0.175000000000001); #113286=VECTOR('',#385358,0.175000000000001); #113287=VECTOR('',#385365,0.175000000000001); #113288=VECTOR('',#385372,0.175000000000001); #113289=VECTOR('',#385379,0.175000000000001); #113290=VECTOR('',#385386,0.175000000000001); #113291=VECTOR('',#385393,0.175000000000001); #113292=VECTOR('',#385400,0.175000000000001); #113293=VECTOR('',#385407,0.175000000000001); #113294=VECTOR('',#385414,34.); #113295=VECTOR('',#385425,0.14); #113296=VECTOR('',#385432,0.175000000000001); #113297=VECTOR('',#385443,0.14); #113298=VECTOR('',#385450,0.175000000000001); #113299=VECTOR('',#385461,0.14); #113300=VECTOR('',#385468,0.175000000000001); #113301=VECTOR('',#385479,0.139999999999998); #113302=VECTOR('',#385486,0.175000000000001); #113303=VECTOR('',#385497,0.139999999999998); #113304=VECTOR('',#385504,0.175000000000001); #113305=VECTOR('',#385515,0.14); #113306=VECTOR('',#385522,0.175000000000001); #113307=VECTOR('',#385533,0.140000000000002); #113308=VECTOR('',#385540,0.175000000000001); #113309=VECTOR('',#385551,0.139999999999998); #113310=VECTOR('',#385558,0.175000000000001); #113311=VECTOR('',#385569,0.139999999999998); #113312=VECTOR('',#385576,0.175000000000001); #113313=VECTOR('',#385587,0.14); #113314=VECTOR('',#385594,0.175000000000001); #113315=VECTOR('',#385605,0.139999999999998); #113316=VECTOR('',#385612,0.175000000000001); #113317=VECTOR('',#385623,0.139999999999998); #113318=VECTOR('',#385630,0.175000000000001); #113319=VECTOR('',#385641,0.140000000000002); #113320=VECTOR('',#385648,0.175000000000001); #113321=VECTOR('',#385659,0.14); #113322=VECTOR('',#385666,0.175000000000001); #113323=VECTOR('',#385677,0.139999999999998); #113324=VECTOR('',#385684,0.175000000000001); #113325=VECTOR('',#385695,0.465); #113326=VECTOR('',#385702,0.499999999999998); #113327=VECTOR('',#385713,0.139999999999998); #113328=VECTOR('',#385720,0.175000000000001); #113329=VECTOR('',#385731,0.14); #113330=VECTOR('',#385738,0.175000000000001); #113331=VECTOR('',#385749,0.139999999999998); #113332=VECTOR('',#385756,0.175000000000001); #113333=VECTOR('',#385767,0.139999999999998); #113334=VECTOR('',#385774,0.175000000000001); #113335=VECTOR('',#385785,0.139999999999998); #113336=VECTOR('',#385792,0.175000000000001); #113337=VECTOR('',#385803,0.14); #113338=VECTOR('',#385810,0.175000000000001); #113339=VECTOR('',#385821,0.139999999999998); #113340=VECTOR('',#385828,0.175000000000001); #113341=VECTOR('',#385839,0.139999999999998); #113342=VECTOR('',#385846,0.175000000000001); #113343=VECTOR('',#385857,0.139999999999998); #113344=VECTOR('',#385864,0.175000000000001); #113345=VECTOR('',#385875,0.14); #113346=VECTOR('',#385882,0.175000000000001); #113347=VECTOR('',#385893,0.139999999999998); #113348=VECTOR('',#385900,0.175000000000001); #113349=VECTOR('',#385911,0.139999999999998); #113350=VECTOR('',#385918,0.175000000000001); #113351=VECTOR('',#385929,0.139999999999998); #113352=VECTOR('',#385936,0.175000000000001); #113353=VECTOR('',#385947,0.14); #113354=VECTOR('',#385954,0.175000000000001); #113355=VECTOR('',#385965,0.139999999999998); #113356=VECTOR('',#385972,0.175000000000001); #113357=VECTOR('',#385983,0.514999999999999); #113358=VECTOR('',#385990,0.549999999999997); #113359=VECTOR('',#386001,0.139999999999998); #113360=VECTOR('',#386008,0.175000000000001); #113361=VECTOR('',#386019,0.140000000000002); #113362=VECTOR('',#386026,0.175000000000001); #113363=VECTOR('',#386037,0.139999999999998); #113364=VECTOR('',#386044,0.175000000000001); #113365=VECTOR('',#386055,0.139999999999998); #113366=VECTOR('',#386062,0.175000000000001); #113367=VECTOR('',#386073,0.140000000000002); #113368=VECTOR('',#386080,0.175000000000001); #113369=VECTOR('',#386091,0.140000000000002); #113370=VECTOR('',#386098,0.175000000000001); #113371=VECTOR('',#386109,0.139999999999998); #113372=VECTOR('',#386116,0.175000000000001); #113373=VECTOR('',#386127,0.139999999999998); #113374=VECTOR('',#386134,0.175000000000001); #113375=VECTOR('',#386145,0.140000000000002); #113376=VECTOR('',#386152,0.175000000000001); #113377=VECTOR('',#386163,0.140000000000002); #113378=VECTOR('',#386170,0.175000000000001); #113379=VECTOR('',#386181,0.140000000000002); #113380=VECTOR('',#386188,0.175000000000001); #113381=VECTOR('',#386199,0.139999999999998); #113382=VECTOR('',#386206,0.175000000000001); #113383=VECTOR('',#386217,0.140000000000002); #113384=VECTOR('',#386224,0.175000000000001); #113385=VECTOR('',#386235,0.140000000000002); #113386=VECTOR('',#386242,0.175000000000001); #113387=VECTOR('',#386253,0.140000000000002); #113388=VECTOR('',#386260,0.175000000000001); #113389=VECTOR('',#386271,0.465); #113390=VECTOR('',#386278,0.499999999999998); #113391=VECTOR('',#386289,0.139999999999998); #113392=VECTOR('',#386296,0.175000000000001); #113393=VECTOR('',#386307,0.140000000000002); #113394=VECTOR('',#386314,0.175000000000001); #113395=VECTOR('',#386325,0.139999999999998); #113396=VECTOR('',#386332,0.175000000000001); #113397=VECTOR('',#386343,0.139999999999998); #113398=VECTOR('',#386350,0.175000000000001); #113399=VECTOR('',#386361,0.139999999999998); #113400=VECTOR('',#386368,0.175000000000001); #113401=VECTOR('',#386379,0.140000000000002); #113402=VECTOR('',#386386,0.175000000000001); #113403=VECTOR('',#386397,0.139999999999998); #113404=VECTOR('',#386404,0.175000000000001); #113405=VECTOR('',#386415,0.139999999999998); #113406=VECTOR('',#386422,0.175000000000001); #113407=VECTOR('',#386433,0.139999999999998); #113408=VECTOR('',#386440,0.175000000000001); #113409=VECTOR('',#386451,0.140000000000002); #113410=VECTOR('',#386458,0.175000000000001); #113411=VECTOR('',#386469,0.139999999999998); #113412=VECTOR('',#386476,0.175000000000001); #113413=VECTOR('',#386487,0.139999999999998); #113414=VECTOR('',#386494,0.175000000000001); #113415=VECTOR('',#386505,0.139999999999998); #113416=VECTOR('',#386512,0.175000000000001); #113417=VECTOR('',#386523,0.14); #113418=VECTOR('',#386530,0.175000000000001); #113419=VECTOR('',#386541,0.139999999999998); #113420=VECTOR('',#386548,0.175000000000001); #113421=VECTOR('',#386559,0.564999999999998); #113422=VECTOR('',#386566,0.600000000000001); #113423=VECTOR('',#386577,0.140000000000002); #113424=VECTOR('',#386584,0.175000000000001); #113425=VECTOR('',#386595,0.140000000000002); #113426=VECTOR('',#386602,0.175000000000001); #113427=VECTOR('',#386613,0.140000000000002); #113428=VECTOR('',#386620,0.175000000000001); #113429=VECTOR('',#386631,0.139999999999998); #113430=VECTOR('',#386638,0.175000000000001); #113431=VECTOR('',#386649,0.140000000000002); #113432=VECTOR('',#386656,0.175000000000001); #113433=VECTOR('',#386667,0.140000000000002); #113434=VECTOR('',#386674,0.175000000000001); #113435=VECTOR('',#386685,0.140000000000002); #113436=VECTOR('',#386692,0.175000000000001); #113437=VECTOR('',#386703,0.139999999999998); #113438=VECTOR('',#386710,0.175000000000001); #113439=VECTOR('',#386721,0.140000000000002); #113440=VECTOR('',#386728,0.175000000000001); #113441=VECTOR('',#386739,0.140000000000002); #113442=VECTOR('',#386746,0.175000000000001); #113443=VECTOR('',#386757,0.140000000000002); #113444=VECTOR('',#386764,0.175000000000001); #113445=VECTOR('',#386775,0.139999999999998); #113446=VECTOR('',#386782,0.175000000000001); #113447=VECTOR('',#386793,0.140000000000002); #113448=VECTOR('',#386800,0.175000000000001); #113449=VECTOR('',#386811,0.140000000000002); #113450=VECTOR('',#386818,0.175000000000001); #113451=VECTOR('',#386829,0.140000000000002); #113452=VECTOR('',#386836,0.175000000000001); #113453=VECTOR('',#386847,0.465); #113454=VECTOR('',#386854,0.499999999999998); #113455=VECTOR('',#386865,0.140000000000002); #113456=VECTOR('',#386872,0.175000000000001); #113457=VECTOR('',#386883,0.140000000000002); #113458=VECTOR('',#386890,0.175000000000001); #113459=VECTOR('',#386901,0.140000000000002); #113460=VECTOR('',#386908,0.175000000000001); #113461=VECTOR('',#386919,0.139999999999998); #113462=VECTOR('',#386926,0.175000000000001); #113463=VECTOR('',#386937,0.140000000000002); #113464=VECTOR('',#386944,0.175000000000001); #113465=VECTOR('',#386955,0.140000000000002); #113466=VECTOR('',#386962,0.175000000000001); #113467=VECTOR('',#386973,0.140000000000002); #113468=VECTOR('',#386980,0.175000000000001); #113469=VECTOR('',#386991,0.139999999999998); #113470=VECTOR('',#386998,0.175000000000001); #113471=VECTOR('',#387009,0.140000000000002); #113472=VECTOR('',#387016,0.175000000000001); #113473=VECTOR('',#387027,0.140000000000002); #113474=VECTOR('',#387034,0.175000000000001); #113475=VECTOR('',#387045,0.140000000000002); #113476=VECTOR('',#387052,0.175000000000001); #113477=VECTOR('',#387063,0.139999999999998); #113478=VECTOR('',#387070,0.175000000000001); #113479=VECTOR('',#387081,0.140000000000002); #113480=VECTOR('',#387088,0.175000000000001); #113481=VECTOR('',#387099,0.140000000000002); #113482=VECTOR('',#387106,0.175000000000001); #113483=VECTOR('',#387117,0.140000000000002); #113484=VECTOR('',#387124,0.175000000000001); #113485=VECTOR('',#387135,0.514999999999999); #113486=VECTOR('',#387142,0.549999999999997); #113487=VECTOR('',#387153,0.140000000000002); #113488=VECTOR('',#387160,0.175000000000001); #113489=VECTOR('',#387171,0.140000000000002); #113490=VECTOR('',#387178,0.175000000000001); #113491=VECTOR('',#387189,0.140000000000002); #113492=VECTOR('',#387196,0.175000000000001); #113493=VECTOR('',#387207,0.139999999999998); #113494=VECTOR('',#387214,0.175000000000001); #113495=VECTOR('',#387225,0.140000000000002); #113496=VECTOR('',#387232,0.175000000000001); #113497=VECTOR('',#387243,0.139999999999998); #113498=VECTOR('',#387250,0.175000000000001); #113499=VECTOR('',#387261,0.140000000000002); #113500=VECTOR('',#387268,0.175000000000001); #113501=VECTOR('',#387279,0.139999999999998); #113502=VECTOR('',#387286,0.175000000000001); #113503=VECTOR('',#387297,0.140000000000002); #113504=VECTOR('',#387304,0.175000000000001); #113505=VECTOR('',#387315,0.139999999999998); #113506=VECTOR('',#387322,0.175000000000001); #113507=VECTOR('',#387333,0.140000000000002); #113508=VECTOR('',#387340,0.175000000000001); #113509=VECTOR('',#387351,0.139999999999998); #113510=VECTOR('',#387358,0.175000000000001); #113511=VECTOR('',#387369,0.140000000000002); #113512=VECTOR('',#387376,0.175000000000001); #113513=VECTOR('',#387387,0.139999999999998); #113514=VECTOR('',#387394,0.175000000000001); #113515=VECTOR('',#387405,0.140000000000002); #113516=VECTOR('',#387412,0.175000000000001); #113517=VECTOR('',#387423,0.465); #113518=VECTOR('',#387430,0.499999999999998); #113519=VECTOR('',#387441,0.140000000000002); #113520=VECTOR('',#387448,0.175000000000001); #113521=VECTOR('',#387459,0.140000000000002); #113522=VECTOR('',#387466,0.175000000000001); #113523=VECTOR('',#387477,0.140000000000002); #113524=VECTOR('',#387484,0.175000000000001); #113525=VECTOR('',#387495,0.139999999999998); #113526=VECTOR('',#387502,0.175000000000001); #113527=VECTOR('',#387513,0.140000000000002); #113528=VECTOR('',#387520,0.175000000000001); #113529=VECTOR('',#387531,0.140000000000002); #113530=VECTOR('',#387538,0.175000000000001); #113531=VECTOR('',#387549,0.140000000000002); #113532=VECTOR('',#387556,0.175000000000001); #113533=VECTOR('',#387567,0.139999999999998); #113534=VECTOR('',#387574,0.175000000000001); #113535=VECTOR('',#387585,0.140000000000002); #113536=VECTOR('',#387592,0.175000000000001); #113537=VECTOR('',#387603,0.140000000000002); #113538=VECTOR('',#387610,0.175000000000001); #113539=VECTOR('',#387621,0.140000000000002); #113540=VECTOR('',#387628,0.175000000000001); #113541=VECTOR('',#387639,0.139999999999998); #113542=VECTOR('',#387646,0.175000000000001); #113543=VECTOR('',#387657,0.140000000000002); #113544=VECTOR('',#387664,0.175000000000001); #113545=VECTOR('',#387675,0.140000000000002); #113546=VECTOR('',#387682,0.175000000000001); #113547=VECTOR('',#387693,0.140000000000002); #113548=VECTOR('',#387700,0.175000000000001); #113549=VECTOR('',#387711,0.564999999999998); #113550=VECTOR('',#387718,0.600000000000001); #113551=VECTOR('',#387729,0.14); #113552=VECTOR('',#387736,0.175000000000001); #113553=VECTOR('',#387747,0.139999999999998); #113554=VECTOR('',#387754,0.175000000000001); #113555=VECTOR('',#387765,0.140000000000002); #113556=VECTOR('',#387772,0.175000000000001); #113557=VECTOR('',#387783,0.139999999999998); #113558=VECTOR('',#387790,0.175000000000001); #113559=VECTOR('',#387799,10.); #113560=VECTOR('',#387800,10.); #113561=VECTOR('',#387801,10.); #113562=VECTOR('',#387802,10.); #113563=VECTOR('',#387809,10.); #113564=VECTOR('',#387812,10.); #113565=VECTOR('',#387813,10.); #113566=VECTOR('',#387814,10.); #113567=VECTOR('',#387823,10.); #113568=VECTOR('',#387824,10.); #113569=VECTOR('',#387825,10.); #113570=VECTOR('',#387826,10.); #113571=VECTOR('',#387833,10.); #113572=VECTOR('',#387836,10.); #113573=VECTOR('',#387837,10.); #113574=VECTOR('',#387838,10.); #113575=VECTOR('',#387853,0.139999999999998); #113576=VECTOR('',#387860,0.175000000000001); #113577=VECTOR('',#387869,10.); #113578=VECTOR('',#387870,10.); #113579=VECTOR('',#387871,10.); #113580=VECTOR('',#387872,10.); #113581=VECTOR('',#387879,10.); #113582=VECTOR('',#387882,10.); #113583=VECTOR('',#387883,10.); #113584=VECTOR('',#387884,10.); #113585=VECTOR('',#387893,10.); #113586=VECTOR('',#387894,10.); #113587=VECTOR('',#387895,10.); #113588=VECTOR('',#387896,10.); #113589=VECTOR('',#387903,10.); #113590=VECTOR('',#387906,10.); #113591=VECTOR('',#387907,10.); #113592=VECTOR('',#387908,10.); #113593=VECTOR('',#387923,0.139999999999998); #113594=VECTOR('',#387930,0.175000000000001); #113595=VECTOR('',#387939,10.); #113596=VECTOR('',#387940,10.); #113597=VECTOR('',#387941,10.); #113598=VECTOR('',#387942,10.); #113599=VECTOR('',#387949,10.); #113600=VECTOR('',#387952,10.); #113601=VECTOR('',#387953,10.); #113602=VECTOR('',#387954,10.); #113603=VECTOR('',#387963,10.); #113604=VECTOR('',#387964,10.); #113605=VECTOR('',#387965,10.); #113606=VECTOR('',#387966,10.); #113607=VECTOR('',#387973,10.); #113608=VECTOR('',#387976,10.); #113609=VECTOR('',#387977,10.); #113610=VECTOR('',#387978,10.); #113611=VECTOR('',#387993,0.140000000000002); #113612=VECTOR('',#388000,0.175000000000001); #113613=VECTOR('',#388011,0.139999999999998); #113614=VECTOR('',#388018,0.175000000000001); #113615=VECTOR('',#388029,0.114999999999998); #113616=VECTOR('',#388036,0.150000000000001); #113617=VECTOR('',#388047,0.139999999999998); #113618=VECTOR('',#388054,0.175000000000001); #113619=VECTOR('',#388065,0.114999999999998); #113620=VECTOR('',#388072,0.150000000000001); #113621=VECTOR('',#388083,0.139999999999998); #113622=VECTOR('',#388090,0.175000000000001); #113623=VECTOR('',#388101,0.114999999999998); #113624=VECTOR('',#388108,0.150000000000001); #113625=VECTOR('',#388119,0.139999999999998); #113626=VECTOR('',#388126,0.175000000000001); #113627=VECTOR('',#388137,0.114999999999998); #113628=VECTOR('',#388144,0.150000000000001); #113629=VECTOR('',#388155,0.139999999999998); #113630=VECTOR('',#388162,0.175000000000001); #113631=VECTOR('',#388171,10.); #113632=VECTOR('',#388172,10.); #113633=VECTOR('',#388173,10.); #113634=VECTOR('',#388174,10.); #113635=VECTOR('',#388181,10.); #113636=VECTOR('',#388184,10.); #113637=VECTOR('',#388185,10.); #113638=VECTOR('',#388186,10.); #113639=VECTOR('',#388195,10.); #113640=VECTOR('',#388196,10.); #113641=VECTOR('',#388197,10.); #113642=VECTOR('',#388198,10.); #113643=VECTOR('',#388205,10.); #113644=VECTOR('',#388208,10.); #113645=VECTOR('',#388209,10.); #113646=VECTOR('',#388210,10.); #113647=VECTOR('',#388225,0.139999999999998); #113648=VECTOR('',#388232,0.175000000000001); #113649=VECTOR('',#388243,0.114999999999998); #113650=VECTOR('',#388250,0.150000000000001); #113651=VECTOR('',#388261,0.139999999999998); #113652=VECTOR('',#388268,0.175000000000001); #113653=VECTOR('',#388277,10.); #113654=VECTOR('',#388278,10.); #113655=VECTOR('',#388279,10.); #113656=VECTOR('',#388280,10.); #113657=VECTOR('',#388287,10.); #113658=VECTOR('',#388290,10.); #113659=VECTOR('',#388291,10.); #113660=VECTOR('',#388292,10.); #113661=VECTOR('',#388301,10.); #113662=VECTOR('',#388302,10.); #113663=VECTOR('',#388303,10.); #113664=VECTOR('',#388304,10.); #113665=VECTOR('',#388311,10.); #113666=VECTOR('',#388314,10.); #113667=VECTOR('',#388315,10.); #113668=VECTOR('',#388316,10.); #113669=VECTOR('',#388331,0.139999999999998); #113670=VECTOR('',#388338,0.175000000000001); #113671=VECTOR('',#388347,10.); #113672=VECTOR('',#388348,10.); #113673=VECTOR('',#388349,10.); #113674=VECTOR('',#388350,10.); #113675=VECTOR('',#388357,10.); #113676=VECTOR('',#388360,10.); #113677=VECTOR('',#388361,10.); #113678=VECTOR('',#388362,10.); #113679=VECTOR('',#388371,10.); #113680=VECTOR('',#388372,10.); #113681=VECTOR('',#388373,10.); #113682=VECTOR('',#388374,10.); #113683=VECTOR('',#388381,10.); #113684=VECTOR('',#388384,10.); #113685=VECTOR('',#388385,10.); #113686=VECTOR('',#388386,10.); #113687=VECTOR('',#388401,0.465); #113688=VECTOR('',#388408,0.499999999999998); #113689=VECTOR('',#388419,0.139999999999998); #113690=VECTOR('',#388426,0.175000000000001); #113691=VECTOR('',#388437,0.14); #113692=VECTOR('',#388444,0.175); #113693=VECTOR('',#388455,0.139999999999998); #113694=VECTOR('',#388462,0.175000000000001); #113695=VECTOR('',#388473,0.139999999999998); #113696=VECTOR('',#388480,0.175000000000001); #113697=VECTOR('',#388491,0.139999999999998); #113698=VECTOR('',#388498,0.175000000000001); #113699=VECTOR('',#388509,0.14); #113700=VECTOR('',#388516,0.175); #113701=VECTOR('',#388527,0.139999999999998); #113702=VECTOR('',#388534,0.175000000000001); #113703=VECTOR('',#388545,0.139999999999998); #113704=VECTOR('',#388552,0.175000000000001); #113705=VECTOR('',#388563,0.139999999999998); #113706=VECTOR('',#388570,0.175000000000001); #113707=VECTOR('',#388581,0.14); #113708=VECTOR('',#388588,0.175000000000001); #113709=VECTOR('',#388599,0.139999999999998); #113710=VECTOR('',#388606,0.175000000000001); #113711=VECTOR('',#388617,0.139999999999998); #113712=VECTOR('',#388624,0.175000000000001); #113713=VECTOR('',#388635,0.139999999999998); #113714=VECTOR('',#388642,0.175000000000001); #113715=VECTOR('',#388653,0.14); #113716=VECTOR('',#388660,0.175); #113717=VECTOR('',#388671,0.139999999999998); #113718=VECTOR('',#388678,0.175000000000001); #113719=VECTOR('',#388689,0.139999999999998); #113720=VECTOR('',#388696,0.175000000000001); #113721=VECTOR('',#388707,0.140000000000002); #113722=VECTOR('',#388714,0.175000000000001); #113723=VECTOR('',#388725,0.14); #113724=VECTOR('',#388732,0.175); #113725=VECTOR('',#388743,0.139999999999998); #113726=VECTOR('',#388750,0.175000000000001); #113727=VECTOR('',#388761,0.139999999999998); #113728=VECTOR('',#388768,0.175000000000001); #113729=VECTOR('',#388779,0.139999999999998); #113730=VECTOR('',#388786,0.175000000000001); #113731=VECTOR('',#388797,0.14); #113732=VECTOR('',#388804,0.175); #113733=VECTOR('',#388815,0.139999999999998); #113734=VECTOR('',#388822,0.175000000000001); #113735=VECTOR('',#388833,0.139999999999998); #113736=VECTOR('',#388840,0.175000000000001); #113737=VECTOR('',#388851,0.114999999999998); #113738=VECTOR('',#388858,0.150000000000001); #113739=VECTOR('',#388869,0.14); #113740=VECTOR('',#388876,0.175); #113741=VECTOR('',#388887,0.140000000000002); #113742=VECTOR('',#388894,0.175000000000001); #113743=VECTOR('',#388905,0.139999999999998); #113744=VECTOR('',#388912,0.175000000000001); #113745=VECTOR('',#388923,0.114999999999998); #113746=VECTOR('',#388930,0.150000000000001); #113747=VECTOR('',#388941,0.14); #113748=VECTOR('',#388948,0.175); #113749=VECTOR('',#388959,0.114999999999998); #113750=VECTOR('',#388966,0.150000000000001); #113751=VECTOR('',#388977,0.514999999999999); #113752=VECTOR('',#388984,0.550000000000002); #113753=VECTOR('',#388995,0.139999999999998); #113754=VECTOR('',#389002,0.175000000000001); #113755=VECTOR('',#389013,0.140000000000002); #113756=VECTOR('',#389020,0.175000000000001); #113757=VECTOR('',#389031,0.139999999999998); #113758=VECTOR('',#389038,0.175000000000001); #113759=VECTOR('',#389049,0.139999999999998); #113760=VECTOR('',#389056,0.175000000000001); #113761=VECTOR('',#389067,0.139999999999998); #113762=VECTOR('',#389074,0.175000000000001); #113763=VECTOR('',#389085,0.140000000000002); #113764=VECTOR('',#389092,0.175000000000001); #113765=VECTOR('',#389103,0.139999999999998); #113766=VECTOR('',#389110,0.175000000000001); #113767=VECTOR('',#389121,0.139999999999998); #113768=VECTOR('',#389128,0.175000000000001); #113769=VECTOR('',#389139,0.139999999999998); #113770=VECTOR('',#389146,0.175000000000001); #113771=VECTOR('',#389157,0.140000000000002); #113772=VECTOR('',#389164,0.175000000000001); #113773=VECTOR('',#389175,0.139999999999998); #113774=VECTOR('',#389182,0.175000000000001); #113775=VECTOR('',#389193,0.139999999999998); #113776=VECTOR('',#389200,0.175000000000001); #113777=VECTOR('',#389211,0.139999999999998); #113778=VECTOR('',#389218,0.175000000000001); #113779=VECTOR('',#389229,0.140000000000002); #113780=VECTOR('',#389236,0.175000000000001); #113781=VECTOR('',#389247,0.139999999999998); #113782=VECTOR('',#389254,0.175000000000001); #113783=VECTOR('',#389265,0.465); #113784=VECTOR('',#389272,0.499999999999998); #113785=VECTOR('',#389283,0.139999999999998); #113786=VECTOR('',#389290,0.175000000000001); #113787=VECTOR('',#389301,0.140000000000002); #113788=VECTOR('',#389308,0.175000000000001); #113789=VECTOR('',#389319,0.139999999999998); #113790=VECTOR('',#389326,0.175000000000001); #113791=VECTOR('',#389337,0.139999999999998); #113792=VECTOR('',#389344,0.175000000000001); #113793=VECTOR('',#389355,0.14); #113794=VECTOR('',#389362,0.175000000000001); #113795=VECTOR('',#389373,0.140000000000002); #113796=VECTOR('',#389380,0.175000000000001); #113797=VECTOR('',#389391,0.139999999999998); #113798=VECTOR('',#389398,0.175000000000001); #113799=VECTOR('',#389409,0.139999999999998); #113800=VECTOR('',#389416,0.175000000000001); #113801=VECTOR('',#389427,0.139999999999998); #113802=VECTOR('',#389434,0.175000000000001); #113803=VECTOR('',#389445,0.140000000000002); #113804=VECTOR('',#389452,0.175000000000001); #113805=VECTOR('',#389463,0.139999999999998); #113806=VECTOR('',#389470,0.175000000000001); #113807=VECTOR('',#389481,0.139999999999998); #113808=VECTOR('',#389488,0.175000000000001); #113809=VECTOR('',#389499,0.139999999999998); #113810=VECTOR('',#389506,0.175000000000001); #113811=VECTOR('',#389517,0.140000000000002); #113812=VECTOR('',#389524,0.175000000000001); #113813=VECTOR('',#389535,0.139999999999998); #113814=VECTOR('',#389542,0.175000000000001); #113815=VECTOR('',#389553,0.465); #113816=VECTOR('',#389560,0.499999999999998); #113817=VECTOR('',#389571,0.14); #113818=VECTOR('',#389578,0.175000000000001); #113819=VECTOR('',#389589,0.140000000000002); #113820=VECTOR('',#389596,0.175000000000001); #113821=VECTOR('',#389607,0.14); #113822=VECTOR('',#389614,0.175000000000001); #113823=VECTOR('',#389625,0.139999999999998); #113824=VECTOR('',#389632,0.175000000000001); #113825=VECTOR('',#389643,0.14); #113826=VECTOR('',#389650,0.175000000000001); #113827=VECTOR('',#389661,0.140000000000002); #113828=VECTOR('',#389668,0.175000000000001); #113829=VECTOR('',#389679,0.14); #113830=VECTOR('',#389686,0.175000000000001); #113831=VECTOR('',#389697,0.139999999999998); #113832=VECTOR('',#389704,0.175000000000001); #113833=VECTOR('',#389715,0.14); #113834=VECTOR('',#389722,0.175000000000001); #113835=VECTOR('',#389733,0.140000000000002); #113836=VECTOR('',#389740,0.175000000000001); #113837=VECTOR('',#389751,0.14); #113838=VECTOR('',#389758,0.175000000000001); #113839=VECTOR('',#389769,0.139999999999998); #113840=VECTOR('',#389776,0.175000000000001); #113841=VECTOR('',#389787,0.14); #113842=VECTOR('',#389794,0.175000000000001); #113843=VECTOR('',#389805,0.140000000000002); #113844=VECTOR('',#389812,0.175000000000001); #113845=VECTOR('',#389823,0.14); #113846=VECTOR('',#389830,0.175000000000001); #113847=VECTOR('',#389841,0.139999999999998); #113848=VECTOR('',#389848,0.175000000000001); #113849=VECTOR('',#389859,0.14); #113850=VECTOR('',#389866,0.175000000000001); #113851=VECTOR('',#389877,0.14); #113852=VECTOR('',#389884,0.175); #113853=VECTOR('',#389895,0.14); #113854=VECTOR('',#389902,0.175000000000001); #113855=VECTOR('',#389913,0.139999999999998); #113856=VECTOR('',#389920,0.175000000000001); #113857=VECTOR('',#389931,0.14); #113858=VECTOR('',#389938,0.175000000000001); #113859=VECTOR('',#389949,0.14); #113860=VECTOR('',#389956,0.175000000000001); #113861=VECTOR('',#389967,0.14); #113862=VECTOR('',#389974,0.175000000000001); #113863=VECTOR('',#389985,0.139999999999998); #113864=VECTOR('',#389992,0.175000000000001); #113865=VECTOR('',#390003,0.139999999999998); #113866=VECTOR('',#390010,0.175000000000001); #113867=VECTOR('',#390021,0.14); #113868=VECTOR('',#390028,0.175); #113869=VECTOR('',#390039,0.139999999999998); #113870=VECTOR('',#390046,0.175000000000001); #113871=VECTOR('',#390057,0.139999999999998); #113872=VECTOR('',#390064,0.175000000000001); #113873=VECTOR('',#390075,0.139999999999998); #113874=VECTOR('',#390082,0.175000000000001); #113875=VECTOR('',#390093,0.14); #113876=VECTOR('',#390100,0.175000000000001); #113877=VECTOR('',#390111,0.139999999999998); #113878=VECTOR('',#390118,0.175000000000001); #113879=VECTOR('',#390129,0.565000000000002); #113880=VECTOR('',#390136,0.600000000000001); #113881=VECTOR('',#390147,0.140000000000002); #113882=VECTOR('',#390154,0.175000000000001); #113883=VECTOR('',#390165,0.139999999999998); #113884=VECTOR('',#390172,0.175000000000001); #113885=VECTOR('',#390183,0.140000000000002); #113886=VECTOR('',#390190,0.175000000000001); #113887=VECTOR('',#390201,0.139999999999998); #113888=VECTOR('',#390208,0.175000000000001); #113889=VECTOR('',#390219,0.140000000000002); #113890=VECTOR('',#390226,0.175000000000001); #113891=VECTOR('',#390237,0.139999999999998); #113892=VECTOR('',#390244,0.175000000000001); #113893=VECTOR('',#390255,0.140000000000002); #113894=VECTOR('',#390262,0.175000000000001); #113895=VECTOR('',#390273,0.139999999999998); #113896=VECTOR('',#390280,0.175000000000001); #113897=VECTOR('',#390291,0.140000000000002); #113898=VECTOR('',#390298,0.175000000000001); #113899=VECTOR('',#390309,0.139999999999998); #113900=VECTOR('',#390316,0.175000000000001); #113901=VECTOR('',#390327,0.139999999999998); #113902=VECTOR('',#390334,0.175000000000001); #113903=VECTOR('',#390345,0.139999999999998); #113904=VECTOR('',#390352,0.175000000000001); #113905=VECTOR('',#390363,0.139999999999998); #113906=VECTOR('',#390370,0.175000000000001); #113907=VECTOR('',#390381,0.139999999999998); #113908=VECTOR('',#390388,0.175000000000001); #113909=VECTOR('',#390399,0.140000000000002); #113910=VECTOR('',#390406,0.175000000000001); #113911=VECTOR('',#390417,0.465); #113912=VECTOR('',#390424,0.5); #113913=VECTOR('',#390435,0.14); #113914=VECTOR('',#390442,0.175000000000001); #113915=VECTOR('',#390453,0.139999999999998); #113916=VECTOR('',#390460,0.175000000000001); #113917=VECTOR('',#390471,0.14); #113918=VECTOR('',#390478,0.175000000000001); #113919=VECTOR('',#390489,0.139999999999998); #113920=VECTOR('',#390496,0.175000000000001); #113921=VECTOR('',#390507,0.14); #113922=VECTOR('',#390514,0.175000000000001); #113923=VECTOR('',#390525,0.139999999999998); #113924=VECTOR('',#390532,0.175000000000001); #113925=VECTOR('',#390543,0.14); #113926=VECTOR('',#390550,0.175000000000001); #113927=VECTOR('',#390561,0.139999999999998); #113928=VECTOR('',#390568,0.175000000000001); #113929=VECTOR('',#390579,0.14); #113930=VECTOR('',#390586,0.175000000000001); #113931=VECTOR('',#390597,0.139999999999998); #113932=VECTOR('',#390604,0.175000000000001); #113933=VECTOR('',#390615,0.14); #113934=VECTOR('',#390622,0.175000000000001); #113935=VECTOR('',#390633,0.139999999999998); #113936=VECTOR('',#390640,0.175000000000001); #113937=VECTOR('',#390651,0.14); #113938=VECTOR('',#390658,0.175000000000001); #113939=VECTOR('',#390669,0.139999999999998); #113940=VECTOR('',#390676,0.175000000000001); #113941=VECTOR('',#390687,0.14); #113942=VECTOR('',#390694,0.175000000000001); #113943=VECTOR('',#390705,0.514999999999999); #113944=VECTOR('',#390712,0.550000000000002); #113945=VECTOR('',#390723,0.140000000000002); #113946=VECTOR('',#390730,0.175000000000001); #113947=VECTOR('',#390741,0.139999999999998); #113948=VECTOR('',#390748,0.175000000000001); #113949=VECTOR('',#390759,0.140000000000002); #113950=VECTOR('',#390766,0.175000000000001); #113951=VECTOR('',#390777,0.139999999999998); #113952=VECTOR('',#390784,0.175000000000001); #113953=VECTOR('',#390795,0.140000000000002); #113954=VECTOR('',#390802,0.175000000000001); #113955=VECTOR('',#390813,0.139999999999998); #113956=VECTOR('',#390820,0.175000000000001); #113957=VECTOR('',#390831,0.140000000000002); #113958=VECTOR('',#390838,0.175000000000001); #113959=VECTOR('',#390849,0.139999999999998); #113960=VECTOR('',#390856,0.175000000000001); #113961=VECTOR('',#390867,0.140000000000002); #113962=VECTOR('',#390874,0.175000000000001); #113963=VECTOR('',#390885,0.139999999999998); #113964=VECTOR('',#390892,0.175000000000001); #113965=VECTOR('',#390903,0.140000000000002); #113966=VECTOR('',#390910,0.175000000000001); #113967=VECTOR('',#390921,0.139999999999998); #113968=VECTOR('',#390928,0.175000000000001); #113969=VECTOR('',#390939,0.140000000000002); #113970=VECTOR('',#390946,0.175000000000001); #113971=VECTOR('',#390957,0.139999999999998); #113972=VECTOR('',#390964,0.175000000000001); #113973=VECTOR('',#390975,0.140000000000002); #113974=VECTOR('',#390982,0.175000000000001); #113975=VECTOR('',#390993,0.465); #113976=VECTOR('',#391000,0.5); #113977=VECTOR('',#391011,0.140000000000002); #113978=VECTOR('',#391018,0.175000000000001); #113979=VECTOR('',#391029,0.139999999999998); #113980=VECTOR('',#391036,0.175000000000001); #113981=VECTOR('',#391047,0.140000000000002); #113982=VECTOR('',#391054,0.175000000000001); #113983=VECTOR('',#391065,0.139999999999998); #113984=VECTOR('',#391072,0.175000000000001); #113985=VECTOR('',#391083,0.140000000000002); #113986=VECTOR('',#391090,0.175000000000001); #113987=VECTOR('',#391101,0.139999999999998); #113988=VECTOR('',#391108,0.175000000000001); #113989=VECTOR('',#391119,0.140000000000002); #113990=VECTOR('',#391126,0.175000000000001); #113991=VECTOR('',#391137,0.139999999999998); #113992=VECTOR('',#391144,0.175000000000001); #113993=VECTOR('',#391155,0.140000000000002); #113994=VECTOR('',#391162,0.175000000000001); #113995=VECTOR('',#391173,0.139999999999998); #113996=VECTOR('',#391180,0.175000000000001); #113997=VECTOR('',#391191,0.140000000000002); #113998=VECTOR('',#391198,0.175000000000001); #113999=VECTOR('',#391209,0.139999999999998); #114000=VECTOR('',#391216,0.175000000000001); #114001=VECTOR('',#391227,0.140000000000002); #114002=VECTOR('',#391234,0.175000000000001); #114003=VECTOR('',#391245,0.140000000000002); #114004=VECTOR('',#391252,0.175000000000001); #114005=VECTOR('',#391263,0.140000000000002); #114006=VECTOR('',#391270,0.175000000000001); #114007=VECTOR('',#391281,0.564999999999998); #114008=VECTOR('',#391288,0.600000000000001); #114009=VECTOR('',#391301,0.564999999999998); #114010=VECTOR('',#391306,10.); #114011=VECTOR('',#391307,10.); #114012=VECTOR('',#391308,10.); #114013=VECTOR('',#391309,10.); #114014=VECTOR('',#391316,10.); #114015=VECTOR('',#391329,10.); #114016=VECTOR('',#391330,10.); #114017=VECTOR('',#391331,10.); #114018=VECTOR('',#391332,10.); #114019=VECTOR('',#391335,10.); #114020=VECTOR('',#391336,10.); #114021=VECTOR('',#391337,10.); #114022=VECTOR('',#391340,10.); #114023=VECTOR('',#391341,10.); #114024=VECTOR('',#391342,10.); #114025=VECTOR('',#391345,10.); #114026=VECTOR('',#391346,10.); #114027=VECTOR('',#391353,10.); #114028=VECTOR('',#391354,10.); #114029=VECTOR('',#391355,10.); #114030=VECTOR('',#391356,10.); #114031=VECTOR('',#391359,10.); #114032=VECTOR('',#391360,10.); #114033=VECTOR('',#391361,10.); #114034=VECTOR('',#391364,10.); #114035=VECTOR('',#391365,10.); #114036=VECTOR('',#391366,10.); #114037=VECTOR('',#391369,10.); #114038=VECTOR('',#391370,10.); #114039=VECTOR('',#391377,10.); #114040=VECTOR('',#391378,10.); #114041=VECTOR('',#391379,10.); #114042=VECTOR('',#391380,10.); #114043=VECTOR('',#391383,10.); #114044=VECTOR('',#391384,10.); #114045=VECTOR('',#391385,10.); #114046=VECTOR('',#391388,10.); #114047=VECTOR('',#391389,10.); #114048=VECTOR('',#391390,10.); #114049=VECTOR('',#391393,10.); #114050=VECTOR('',#391394,10.); #114051=VECTOR('',#391401,10.); #114052=VECTOR('',#391402,10.); #114053=VECTOR('',#391403,10.); #114054=VECTOR('',#391404,10.); #114055=VECTOR('',#391407,10.); #114056=VECTOR('',#391408,10.); #114057=VECTOR('',#391409,10.); #114058=VECTOR('',#391412,10.); #114059=VECTOR('',#391413,10.); #114060=VECTOR('',#391414,10.); #114061=VECTOR('',#391417,10.); #114062=VECTOR('',#391418,10.); #114063=VECTOR('',#391425,10.); #114064=VECTOR('',#391426,10.); #114065=VECTOR('',#391427,10.); #114066=VECTOR('',#391428,10.); #114067=VECTOR('',#391431,10.); #114068=VECTOR('',#391432,10.); #114069=VECTOR('',#391433,10.); #114070=VECTOR('',#391436,10.); #114071=VECTOR('',#391437,10.); #114072=VECTOR('',#391438,10.); #114073=VECTOR('',#391441,10.); #114074=VECTOR('',#391442,10.); #114075=VECTOR('',#391449,10.); #114076=VECTOR('',#391450,10.); #114077=VECTOR('',#391451,10.); #114078=VECTOR('',#391452,10.); #114079=VECTOR('',#391455,10.); #114080=VECTOR('',#391456,10.); #114081=VECTOR('',#391457,10.); #114082=VECTOR('',#391460,10.); #114083=VECTOR('',#391461,10.); #114084=VECTOR('',#391462,10.); #114085=VECTOR('',#391465,10.); #114086=VECTOR('',#391466,10.); #114087=VECTOR('',#391473,10.); #114088=VECTOR('',#391474,10.); #114089=VECTOR('',#391475,10.); #114090=VECTOR('',#391476,10.); #114091=VECTOR('',#391479,10.); #114092=VECTOR('',#391480,10.); #114093=VECTOR('',#391481,10.); #114094=VECTOR('',#391484,10.); #114095=VECTOR('',#391485,10.); #114096=VECTOR('',#391486,10.); #114097=VECTOR('',#391489,10.); #114098=VECTOR('',#391490,10.); #114099=VECTOR('',#391497,10.); #114100=VECTOR('',#391498,10.); #114101=VECTOR('',#391499,10.); #114102=VECTOR('',#391500,10.); #114103=VECTOR('',#391503,10.); #114104=VECTOR('',#391504,10.); #114105=VECTOR('',#391505,10.); #114106=VECTOR('',#391508,10.); #114107=VECTOR('',#391509,10.); #114108=VECTOR('',#391510,10.); #114109=VECTOR('',#391513,10.); #114110=VECTOR('',#391514,10.); #114111=VECTOR('',#391521,10.); #114112=VECTOR('',#391522,10.); #114113=VECTOR('',#391523,10.); #114114=VECTOR('',#391524,10.); #114115=VECTOR('',#391527,10.); #114116=VECTOR('',#391528,10.); #114117=VECTOR('',#391529,10.); #114118=VECTOR('',#391532,10.); #114119=VECTOR('',#391533,10.); #114120=VECTOR('',#391534,10.); #114121=VECTOR('',#391537,10.); #114122=VECTOR('',#391538,10.); #114123=VECTOR('',#391545,10.); #114124=VECTOR('',#391546,10.); #114125=VECTOR('',#391547,10.); #114126=VECTOR('',#391548,10.); #114127=VECTOR('',#391551,10.); #114128=VECTOR('',#391552,10.); #114129=VECTOR('',#391553,10.); #114130=VECTOR('',#391556,10.); #114131=VECTOR('',#391557,10.); #114132=VECTOR('',#391558,10.); #114133=VECTOR('',#391561,10.); #114134=VECTOR('',#391562,10.); #114135=VECTOR('',#391571,0.565000000000002); #114136=VECTOR('',#391576,10.); #114137=VECTOR('',#391577,10.); #114138=VECTOR('',#391578,10.); #114139=VECTOR('',#391579,10.); #114140=VECTOR('',#391586,10.); #114141=VECTOR('',#391601,0.140000000000002); #114142=VECTOR('',#391608,0.140000000000002); #114143=VECTOR('',#391613,10.); #114144=VECTOR('',#391614,10.); #114145=VECTOR('',#391615,10.); #114146=VECTOR('',#391616,10.); #114147=VECTOR('',#391623,10.); #114148=VECTOR('',#391630,10.); #114149=VECTOR('',#391633,10.); #114150=VECTOR('',#391634,10.); #114151=VECTOR('',#391635,10.); #114152=VECTOR('',#391642,10.); #114153=VECTOR('',#391649,10.); #114154=VECTOR('',#391652,10.); #114155=VECTOR('',#391653,10.); #114156=VECTOR('',#391654,10.); #114157=VECTOR('',#391669,0.514999999999999); #114158=VECTOR('',#391674,10.); #114159=VECTOR('',#391675,10.); #114160=VECTOR('',#391676,10.); #114161=VECTOR('',#391677,10.); #114162=VECTOR('',#391684,10.); #114163=VECTOR('',#391699,0.465); #114164=VECTOR('',#391704,10.); #114165=VECTOR('',#391705,10.); #114166=VECTOR('',#391706,10.); #114167=VECTOR('',#391707,10.); #114168=VECTOR('',#391714,10.); #114169=VECTOR('',#391729,0.139999999999998); #114170=VECTOR('',#391734,10.); #114171=VECTOR('',#391735,10.); #114172=VECTOR('',#391736,10.); #114173=VECTOR('',#391737,10.); #114174=VECTOR('',#391744,10.); #114175=VECTOR('',#391747,10.); #114176=VECTOR('',#391748,10.); #114177=VECTOR('',#391749,10.); #114178=VECTOR('',#391756,10.); #114179=VECTOR('',#391759,10.); #114180=VECTOR('',#391760,10.); #114181=VECTOR('',#391761,10.); #114182=VECTOR('',#391764,10.); #114183=VECTOR('',#391765,10.); #114184=VECTOR('',#391766,10.); #114185=VECTOR('',#391769,10.); #114186=VECTOR('',#391770,10.); #114187=VECTOR('',#391771,10.); #114188=VECTOR('',#391774,10.); #114189=VECTOR('',#391775,10.); #114190=VECTOR('',#391776,10.); #114191=VECTOR('',#391779,10.); #114192=VECTOR('',#391780,10.); #114193=VECTOR('',#391781,10.); #114194=VECTOR('',#391784,10.); #114195=VECTOR('',#391785,10.); #114196=VECTOR('',#391786,10.); #114197=VECTOR('',#391789,10.); #114198=VECTOR('',#391790,10.); #114199=VECTOR('',#391791,10.); #114200=VECTOR('',#391798,10.); #114201=VECTOR('',#391813,0.139999999999998); #114202=VECTOR('',#391818,10.); #114203=VECTOR('',#391819,10.); #114204=VECTOR('',#391820,10.); #114205=VECTOR('',#391821,10.); #114206=VECTOR('',#391828,10.); #114207=VECTOR('',#391831,10.); #114208=VECTOR('',#391832,10.); #114209=VECTOR('',#391833,10.); #114210=VECTOR('',#391836,10.); #114211=VECTOR('',#391837,10.); #114212=VECTOR('',#391838,10.); #114213=VECTOR('',#391841,10.); #114214=VECTOR('',#391842,10.); #114215=VECTOR('',#391843,10.); #114216=VECTOR('',#391846,10.); #114217=VECTOR('',#391847,10.); #114218=VECTOR('',#391848,10.); #114219=VECTOR('',#391851,10.); #114220=VECTOR('',#391852,10.); #114221=VECTOR('',#391853,10.); #114222=VECTOR('',#391856,10.); #114223=VECTOR('',#391857,10.); #114224=VECTOR('',#391858,10.); #114225=VECTOR('',#391861,10.); #114226=VECTOR('',#391862,10.); #114227=VECTOR('',#391863,10.); #114228=VECTOR('',#391876,10.); #114229=VECTOR('',#391877,10.); #114230=VECTOR('',#391878,10.); #114231=VECTOR('',#391879,10.); #114232=VECTOR('',#391882,10.); #114233=VECTOR('',#391883,10.); #114234=VECTOR('',#391884,10.); #114235=VECTOR('',#391887,10.); #114236=VECTOR('',#391888,10.); #114237=VECTOR('',#391889,10.); #114238=VECTOR('',#391892,10.); #114239=VECTOR('',#391893,10.); #114240=VECTOR('',#391894,10.); #114241=VECTOR('',#391897,10.); #114242=VECTOR('',#391898,10.); #114243=VECTOR('',#391899,10.); #114244=VECTOR('',#391902,10.); #114245=VECTOR('',#391903,10.); #114246=VECTOR('',#391904,10.); #114247=VECTOR('',#391907,10.); #114248=VECTOR('',#391908,10.); #114249=VECTOR('',#391909,10.); #114250=VECTOR('',#391912,10.); #114251=VECTOR('',#391913,10.); #114252=VECTOR('',#391914,10.); #114253=VECTOR('',#391921,10.); #114254=VECTOR('',#391924,10.); #114255=VECTOR('',#391925,10.); #114256=VECTOR('',#391926,10.); #114257=VECTOR('',#391933,10.); #114258=VECTOR('',#391936,10.); #114259=VECTOR('',#391937,10.); #114260=VECTOR('',#391938,10.); #114261=VECTOR('',#391941,10.); #114262=VECTOR('',#391942,10.); #114263=VECTOR('',#391943,10.); #114264=VECTOR('',#391946,10.); #114265=VECTOR('',#391947,10.); #114266=VECTOR('',#391948,10.); #114267=VECTOR('',#391951,10.); #114268=VECTOR('',#391952,10.); #114269=VECTOR('',#391953,10.); #114270=VECTOR('',#391956,10.); #114271=VECTOR('',#391957,10.); #114272=VECTOR('',#391958,10.); #114273=VECTOR('',#391961,10.); #114274=VECTOR('',#391962,10.); #114275=VECTOR('',#391963,10.); #114276=VECTOR('',#391966,10.); #114277=VECTOR('',#391967,10.); #114278=VECTOR('',#391968,10.); #114279=VECTOR('',#391975,10.); #114280=VECTOR('',#391978,10.); #114281=VECTOR('',#391979,10.); #114282=VECTOR('',#391986,10.); #114283=VECTOR('',#391987,10.); #114284=VECTOR('',#391988,10.); #114285=VECTOR('',#391989,10.); #114286=VECTOR('',#391992,10.); #114287=VECTOR('',#391993,10.); #114288=VECTOR('',#391994,10.); #114289=VECTOR('',#391997,10.); #114290=VECTOR('',#391998,10.); #114291=VECTOR('',#391999,10.); #114292=VECTOR('',#392002,10.); #114293=VECTOR('',#392003,10.); #114294=VECTOR('',#392004,10.); #114295=VECTOR('',#392007,10.); #114296=VECTOR('',#392008,10.); #114297=VECTOR('',#392009,10.); #114298=VECTOR('',#392016,10.); #114299=VECTOR('',#392019,10.); #114300=VECTOR('',#392020,10.); #114301=VECTOR('',#392021,10.); #114302=VECTOR('',#392024,10.); #114303=VECTOR('',#392025,10.); #114304=VECTOR('',#392026,10.); #114305=VECTOR('',#392029,10.); #114306=VECTOR('',#392030,10.); #114307=VECTOR('',#392031,10.); #114308=VECTOR('',#392034,10.); #114309=VECTOR('',#392035,10.); #114310=VECTOR('',#392036,10.); #114311=VECTOR('',#392039,10.); #114312=VECTOR('',#392040,10.); #114313=VECTOR('',#392041,10.); #114314=VECTOR('',#392044,10.); #114315=VECTOR('',#392045,10.); #114316=VECTOR('',#392046,10.); #114317=VECTOR('',#392049,10.); #114318=VECTOR('',#392050,10.); #114319=VECTOR('',#392051,10.); #114320=VECTOR('',#392058,10.); #114321=VECTOR('',#392061,10.); #114322=VECTOR('',#392062,10.); #114323=VECTOR('',#392063,10.); #114324=VECTOR('',#392066,10.); #114325=VECTOR('',#392067,10.); #114326=VECTOR('',#392068,10.); #114327=VECTOR('',#392075,10.); #114328=VECTOR('',#392078,10.); #114329=VECTOR('',#392079,10.); #114330=VECTOR('',#392080,10.); #114331=VECTOR('',#392083,10.); #114332=VECTOR('',#392084,10.); #114333=VECTOR('',#392085,10.); #114334=VECTOR('',#392088,10.); #114335=VECTOR('',#392089,10.); #114336=VECTOR('',#392090,10.); #114337=VECTOR('',#392093,10.); #114338=VECTOR('',#392094,10.); #114339=VECTOR('',#392095,10.); #114340=VECTOR('',#392098,10.); #114341=VECTOR('',#392099,10.); #114342=VECTOR('',#392100,10.); #114343=VECTOR('',#392103,10.); #114344=VECTOR('',#392104,10.); #114345=VECTOR('',#392105,10.); #114346=VECTOR('',#392108,10.); #114347=VECTOR('',#392109,10.); #114348=VECTOR('',#392110,10.); #114349=VECTOR('',#392117,10.); #114350=VECTOR('',#392120,10.); #114351=VECTOR('',#392121,10.); #114352=VECTOR('',#392122,10.); #114353=VECTOR('',#392125,10.); #114354=VECTOR('',#392126,10.); #114355=VECTOR('',#392127,10.); #114356=VECTOR('',#392130,10.); #114357=VECTOR('',#392131,10.); #114358=VECTOR('',#392132,10.); #114359=VECTOR('',#392135,10.); #114360=VECTOR('',#392136,10.); #114361=VECTOR('',#392137,10.); #114362=VECTOR('',#392140,10.); #114363=VECTOR('',#392141,10.); #114364=VECTOR('',#392142,10.); #114365=VECTOR('',#392149,10.); #114366=VECTOR('',#392152,10.); #114367=VECTOR('',#392153,10.); #114368=VECTOR('',#392154,10.); #114369=VECTOR('',#392161,10.); #114370=VECTOR('',#392164,10.); #114371=VECTOR('',#392165,10.); #114372=VECTOR('',#392166,10.); #114373=VECTOR('',#392169,10.); #114374=VECTOR('',#392170,10.); #114375=VECTOR('',#392171,10.); #114376=VECTOR('',#392174,10.); #114377=VECTOR('',#392175,10.); #114378=VECTOR('',#392182,10.); #114379=VECTOR('',#392183,10.); #114380=VECTOR('',#392184,10.); #114381=VECTOR('',#392185,10.); #114382=VECTOR('',#392188,10.); #114383=VECTOR('',#392189,10.); #114384=VECTOR('',#392190,10.); #114385=VECTOR('',#392193,10.); #114386=VECTOR('',#392194,10.); #114387=VECTOR('',#392195,10.); #114388=VECTOR('',#392198,10.); #114389=VECTOR('',#392199,10.); #114390=VECTOR('',#392200,10.); #114391=VECTOR('',#392203,10.); #114392=VECTOR('',#392204,10.); #114393=VECTOR('',#392205,10.); #114394=VECTOR('',#392208,10.); #114395=VECTOR('',#392209,10.); #114396=VECTOR('',#392210,10.); #114397=VECTOR('',#392217,10.); #114398=VECTOR('',#392220,10.); #114399=VECTOR('',#392221,10.); #114400=VECTOR('',#392222,10.); #114401=VECTOR('',#392229,10.); #114402=VECTOR('',#392232,10.); #114403=VECTOR('',#392233,10.); #114404=VECTOR('',#392234,10.); #114405=VECTOR('',#392237,10.); #114406=VECTOR('',#392238,10.); #114407=VECTOR('',#392239,10.); #114408=VECTOR('',#392242,10.); #114409=VECTOR('',#392243,10.); #114410=VECTOR('',#392244,10.); #114411=VECTOR('',#392247,10.); #114412=VECTOR('',#392248,10.); #114413=VECTOR('',#392249,10.); #114414=VECTOR('',#392252,10.); #114415=VECTOR('',#392253,10.); #114416=VECTOR('',#392254,10.); #114417=VECTOR('',#392261,10.); #114418=VECTOR('',#392264,10.); #114419=VECTOR('',#392265,10.); #114420=VECTOR('',#392266,10.); #114421=VECTOR('',#392269,10.); #114422=VECTOR('',#392270,10.); #114423=VECTOR('',#392271,10.); #114424=VECTOR('',#392274,10.); #114425=VECTOR('',#392275,10.); #114426=VECTOR('',#392276,10.); #114427=VECTOR('',#392279,10.); #114428=VECTOR('',#392280,10.); #114429=VECTOR('',#392281,10.); #114430=VECTOR('',#392284,10.); #114431=VECTOR('',#392285,10.); #114432=VECTOR('',#392286,10.); #114433=VECTOR('',#392289,10.); #114434=VECTOR('',#392290,10.); #114435=VECTOR('',#392291,10.); #114436=VECTOR('',#392294,10.); #114437=VECTOR('',#392295,10.); #114438=VECTOR('',#392296,10.); #114439=VECTOR('',#392303,10.); #114440=VECTOR('',#392306,10.); #114441=VECTOR('',#392307,10.); #114442=VECTOR('',#392308,10.); #114443=VECTOR('',#392311,10.); #114444=VECTOR('',#392312,10.); #114445=VECTOR('',#392313,10.); #114446=VECTOR('',#392320,10.); #114447=VECTOR('',#392323,10.); #114448=VECTOR('',#392324,10.); #114449=VECTOR('',#392325,10.); #114450=VECTOR('',#392328,10.); #114451=VECTOR('',#392329,10.); #114452=VECTOR('',#392330,10.); #114453=VECTOR('',#392333,10.); #114454=VECTOR('',#392334,10.); #114455=VECTOR('',#392335,10.); #114456=VECTOR('',#392338,10.); #114457=VECTOR('',#392339,10.); #114458=VECTOR('',#392340,10.); #114459=VECTOR('',#392343,10.); #114460=VECTOR('',#392344,10.); #114461=VECTOR('',#392345,10.); #114462=VECTOR('',#392348,10.); #114463=VECTOR('',#392349,10.); #114464=VECTOR('',#392350,10.); #114465=VECTOR('',#392353,10.); #114466=VECTOR('',#392354,10.); #114467=VECTOR('',#392355,10.); #114468=VECTOR('',#392362,10.); #114469=VECTOR('',#392365,10.); #114470=VECTOR('',#392366,10.); #114471=VECTOR('',#392367,10.); #114472=VECTOR('',#392370,10.); #114473=VECTOR('',#392371,10.); #114474=VECTOR('',#392380,0.139999999999998); #114475=VECTOR('',#392385,10.); #114476=VECTOR('',#392386,10.); #114477=VECTOR('',#392387,10.); #114478=VECTOR('',#392388,10.); #114479=VECTOR('',#392395,10.); #114480=VECTOR('',#392398,10.); #114481=VECTOR('',#392399,10.); #114482=VECTOR('',#392400,10.); #114483=VECTOR('',#392403,10.); #114484=VECTOR('',#392404,10.); #114485=VECTOR('',#392405,10.); #114486=VECTOR('',#392412,10.); #114487=VECTOR('',#392415,10.); #114488=VECTOR('',#392416,10.); #114489=VECTOR('',#392417,10.); #114490=VECTOR('',#392420,10.); #114491=VECTOR('',#392421,10.); #114492=VECTOR('',#392422,10.); #114493=VECTOR('',#392429,10.); #114494=VECTOR('',#392432,10.); #114495=VECTOR('',#392433,10.); #114496=VECTOR('',#392434,10.); #114497=VECTOR('',#392437,10.); #114498=VECTOR('',#392438,10.); #114499=VECTOR('',#392439,10.); #114500=VECTOR('',#392442,10.); #114501=VECTOR('',#392443,10.); #114502=VECTOR('',#392444,10.); #114503=VECTOR('',#392447,10.); #114504=VECTOR('',#392448,10.); #114505=VECTOR('',#392449,10.); #114506=VECTOR('',#392452,10.); #114507=VECTOR('',#392453,10.); #114508=VECTOR('',#392454,10.); #114509=VECTOR('',#392457,10.); #114510=VECTOR('',#392458,10.); #114511=VECTOR('',#392459,10.); #114512=VECTOR('',#392462,10.); #114513=VECTOR('',#392463,10.); #114514=VECTOR('',#392464,10.); #114515=VECTOR('',#392467,10.); #114516=VECTOR('',#392468,10.); #114517=VECTOR('',#392469,10.); #114518=VECTOR('',#392476,10.); #114519=VECTOR('',#392479,10.); #114520=VECTOR('',#392480,10.); #114521=VECTOR('',#392481,10.); #114522=VECTOR('',#392484,10.); #114523=VECTOR('',#392485,10.); #114524=VECTOR('',#392486,10.); #114525=VECTOR('',#392493,10.); #114526=VECTOR('',#392496,10.); #114527=VECTOR('',#392497,10.); #114528=VECTOR('',#392498,10.); #114529=VECTOR('',#392513,0.139999999999998); #114530=VECTOR('',#392518,10.); #114531=VECTOR('',#392519,10.); #114532=VECTOR('',#392520,10.); #114533=VECTOR('',#392521,10.); #114534=VECTOR('',#392528,10.); #114535=VECTOR('',#392531,10.); #114536=VECTOR('',#392532,10.); #114537=VECTOR('',#392533,10.); #114538=VECTOR('',#392536,10.); #114539=VECTOR('',#392537,10.); #114540=VECTOR('',#392538,10.); #114541=VECTOR('',#392545,10.); #114542=VECTOR('',#392548,10.); #114543=VECTOR('',#392549,10.); #114544=VECTOR('',#392550,10.); #114545=VECTOR('',#392553,10.); #114546=VECTOR('',#392554,10.); #114547=VECTOR('',#392555,10.); #114548=VECTOR('',#392558,10.); #114549=VECTOR('',#392559,10.); #114550=VECTOR('',#392560,10.); #114551=VECTOR('',#392563,10.); #114552=VECTOR('',#392564,10.); #114553=VECTOR('',#392565,10.); #114554=VECTOR('',#392568,10.); #114555=VECTOR('',#392569,10.); #114556=VECTOR('',#392570,10.); #114557=VECTOR('',#392573,10.); #114558=VECTOR('',#392574,10.); #114559=VECTOR('',#392575,10.); #114560=VECTOR('',#392578,10.); #114561=VECTOR('',#392579,10.); #114562=VECTOR('',#392580,10.); #114563=VECTOR('',#392583,10.); #114564=VECTOR('',#392584,10.); #114565=VECTOR('',#392585,10.); #114566=VECTOR('',#392592,10.); #114567=VECTOR('',#392595,10.); #114568=VECTOR('',#392596,10.); #114569=VECTOR('',#392597,10.); #114570=VECTOR('',#392612,0.139999999999998); #114571=VECTOR('',#392617,10.); #114572=VECTOR('',#392618,10.); #114573=VECTOR('',#392619,10.); #114574=VECTOR('',#392620,10.); #114575=VECTOR('',#392627,10.); #114576=VECTOR('',#392630,10.); #114577=VECTOR('',#392631,10.); #114578=VECTOR('',#392632,10.); #114579=VECTOR('',#392635,10.); #114580=VECTOR('',#392636,10.); #114581=VECTOR('',#392637,10.); #114582=VECTOR('',#392640,10.); #114583=VECTOR('',#392641,10.); #114584=VECTOR('',#392642,10.); #114585=VECTOR('',#392645,10.); #114586=VECTOR('',#392646,10.); #114587=VECTOR('',#392647,10.); #114588=VECTOR('',#392650,10.); #114589=VECTOR('',#392651,10.); #114590=VECTOR('',#392652,10.); #114591=VECTOR('',#392655,10.); #114592=VECTOR('',#392656,10.); #114593=VECTOR('',#392657,10.); #114594=VECTOR('',#392660,10.); #114595=VECTOR('',#392661,10.); #114596=VECTOR('',#392662,10.); #114597=VECTOR('',#392669,10.); #114598=VECTOR('',#392672,10.); #114599=VECTOR('',#392673,10.); #114600=VECTOR('',#392674,10.); #114601=VECTOR('',#392689,0.139999999999998); #114602=VECTOR('',#392694,10.); #114603=VECTOR('',#392695,10.); #114604=VECTOR('',#392696,10.); #114605=VECTOR('',#392697,10.); #114606=VECTOR('',#392704,10.); #114607=VECTOR('',#392707,10.); #114608=VECTOR('',#392708,10.); #114609=VECTOR('',#392709,10.); #114610=VECTOR('',#392716,10.); #114611=VECTOR('',#392719,10.); #114612=VECTOR('',#392720,10.); #114613=VECTOR('',#392721,10.); #114614=VECTOR('',#392724,10.); #114615=VECTOR('',#392725,10.); #114616=VECTOR('',#392726,10.); #114617=VECTOR('',#392729,10.); #114618=VECTOR('',#392730,10.); #114619=VECTOR('',#392731,10.); #114620=VECTOR('',#392734,10.); #114621=VECTOR('',#392735,10.); #114622=VECTOR('',#392736,10.); #114623=VECTOR('',#392739,10.); #114624=VECTOR('',#392740,10.); #114625=VECTOR('',#392741,10.); #114626=VECTOR('',#392744,10.); #114627=VECTOR('',#392745,10.); #114628=VECTOR('',#392746,10.); #114629=VECTOR('',#392749,10.); #114630=VECTOR('',#392750,10.); #114631=VECTOR('',#392751,10.); #114632=VECTOR('',#392754,10.); #114633=VECTOR('',#392755,10.); #114634=VECTOR('',#392756,10.); #114635=VECTOR('',#392759,10.); #114636=VECTOR('',#392760,10.); #114637=VECTOR('',#392761,10.); #114638=VECTOR('',#392776,0.140000000000002); #114639=VECTOR('',#392783,0.140000000000002); #114640=VECTOR('',#392788,10.); #114641=VECTOR('',#392789,10.); #114642=VECTOR('',#392790,10.); #114643=VECTOR('',#392791,10.); #114644=VECTOR('',#392798,10.); #114645=VECTOR('',#392805,10.); #114646=VECTOR('',#392808,10.); #114647=VECTOR('',#392809,10.); #114648=VECTOR('',#392810,10.); #114649=VECTOR('',#392817,10.); #114650=VECTOR('',#392824,10.); #114651=VECTOR('',#392827,10.); #114652=VECTOR('',#392828,10.); #114653=VECTOR('',#392829,10.); #114654=VECTOR('',#392844,0.139999999999998); #114655=VECTOR('',#392849,10.); #114656=VECTOR('',#392850,10.); #114657=VECTOR('',#392851,10.); #114658=VECTOR('',#392852,10.); #114659=VECTOR('',#392859,10.); #114660=VECTOR('',#392862,10.); #114661=VECTOR('',#392863,10.); #114662=VECTOR('',#392864,10.); #114663=VECTOR('',#392867,10.); #114664=VECTOR('',#392868,10.); #114665=VECTOR('',#392869,10.); #114666=VECTOR('',#392876,10.); #114667=VECTOR('',#392879,10.); #114668=VECTOR('',#392880,10.); #114669=VECTOR('',#392881,10.); #114670=VECTOR('',#392884,10.); #114671=VECTOR('',#392885,10.); #114672=VECTOR('',#392886,10.); #114673=VECTOR('',#392889,10.); #114674=VECTOR('',#392890,10.); #114675=VECTOR('',#392891,10.); #114676=VECTOR('',#392894,10.); #114677=VECTOR('',#392895,10.); #114678=VECTOR('',#392896,10.); #114679=VECTOR('',#392899,10.); #114680=VECTOR('',#392900,10.); #114681=VECTOR('',#392901,10.); #114682=VECTOR('',#392904,10.); #114683=VECTOR('',#392905,10.); #114684=VECTOR('',#392906,10.); #114685=VECTOR('',#392909,10.); #114686=VECTOR('',#392910,10.); #114687=VECTOR('',#392911,10.); #114688=VECTOR('',#392914,10.); #114689=VECTOR('',#392915,10.); #114690=VECTOR('',#392916,10.); #114691=VECTOR('',#392923,10.); #114692=VECTOR('',#392926,10.); #114693=VECTOR('',#392927,10.); #114694=VECTOR('',#392928,10.); #114695=VECTOR('',#392943,0.139999999999998); #114696=VECTOR('',#392948,10.); #114697=VECTOR('',#392949,10.); #114698=VECTOR('',#392950,10.); #114699=VECTOR('',#392951,10.); #114700=VECTOR('',#392958,10.); #114701=VECTOR('',#392961,10.); #114702=VECTOR('',#392962,10.); #114703=VECTOR('',#392963,10.); #114704=VECTOR('',#392966,10.); #114705=VECTOR('',#392967,10.); #114706=VECTOR('',#392968,10.); #114707=VECTOR('',#392975,10.); #114708=VECTOR('',#392978,10.); #114709=VECTOR('',#392979,10.); #114710=VECTOR('',#392980,10.); #114711=VECTOR('',#392983,10.); #114712=VECTOR('',#392984,10.); #114713=VECTOR('',#392985,10.); #114714=VECTOR('',#392992,10.); #114715=VECTOR('',#392995,10.); #114716=VECTOR('',#392996,10.); #114717=VECTOR('',#392997,10.); #114718=VECTOR('',#393000,10.); #114719=VECTOR('',#393001,10.); #114720=VECTOR('',#393002,10.); #114721=VECTOR('',#393005,10.); #114722=VECTOR('',#393006,10.); #114723=VECTOR('',#393007,10.); #114724=VECTOR('',#393010,10.); #114725=VECTOR('',#393011,10.); #114726=VECTOR('',#393012,10.); #114727=VECTOR('',#393015,10.); #114728=VECTOR('',#393016,10.); #114729=VECTOR('',#393017,10.); #114730=VECTOR('',#393020,10.); #114731=VECTOR('',#393021,10.); #114732=VECTOR('',#393022,10.); #114733=VECTOR('',#393025,10.); #114734=VECTOR('',#393026,10.); #114735=VECTOR('',#393027,10.); #114736=VECTOR('',#393030,10.); #114737=VECTOR('',#393031,10.); #114738=VECTOR('',#393032,10.); #114739=VECTOR('',#393039,10.); #114740=VECTOR('',#393042,10.); #114741=VECTOR('',#393043,10.); #114742=VECTOR('',#393044,10.); #114743=VECTOR('',#393047,10.); #114744=VECTOR('',#393048,10.); #114745=VECTOR('',#393049,10.); #114746=VECTOR('',#393056,10.); #114747=VECTOR('',#393059,10.); #114748=VECTOR('',#393060,10.); #114749=VECTOR('',#393061,10.); #114750=VECTOR('',#393074,10.); #114751=VECTOR('',#393075,10.); #114752=VECTOR('',#393076,10.); #114753=VECTOR('',#393077,10.); #114754=VECTOR('',#393080,10.); #114755=VECTOR('',#393081,10.); #114756=VECTOR('',#393082,10.); #114757=VECTOR('',#393085,10.); #114758=VECTOR('',#393086,10.); #114759=VECTOR('',#393087,10.); #114760=VECTOR('',#393090,10.); #114761=VECTOR('',#393091,10.); #114762=VECTOR('',#393092,10.); #114763=VECTOR('',#393099,10.); #114764=VECTOR('',#393102,10.); #114765=VECTOR('',#393103,10.); #114766=VECTOR('',#393104,10.); #114767=VECTOR('',#393111,10.); #114768=VECTOR('',#393114,10.); #114769=VECTOR('',#393115,10.); #114770=VECTOR('',#393116,10.); #114771=VECTOR('',#393119,10.); #114772=VECTOR('',#393120,10.); #114773=VECTOR('',#393121,10.); #114774=VECTOR('',#393124,10.); #114775=VECTOR('',#393125,10.); #114776=VECTOR('',#393126,10.); #114777=VECTOR('',#393133,10.); #114778=VECTOR('',#393136,10.); #114779=VECTOR('',#393137,10.); #114780=VECTOR('',#393138,10.); #114781=VECTOR('',#393141,10.); #114782=VECTOR('',#393142,10.); #114783=VECTOR('',#393143,10.); #114784=VECTOR('',#393146,10.); #114785=VECTOR('',#393147,10.); #114786=VECTOR('',#393148,10.); #114787=VECTOR('',#393151,10.); #114788=VECTOR('',#393152,10.); #114789=VECTOR('',#393153,10.); #114790=VECTOR('',#393156,10.); #114791=VECTOR('',#393157,10.); #114792=VECTOR('',#393158,10.); #114793=VECTOR('',#393161,10.); #114794=VECTOR('',#393162,10.); #114795=VECTOR('',#393163,10.); #114796=VECTOR('',#393166,10.); #114797=VECTOR('',#393167,10.); #114798=VECTOR('',#393168,10.); #114799=VECTOR('',#393175,10.); #114800=VECTOR('',#393178,10.); #114801=VECTOR('',#393179,10.); #114802=VECTOR('',#393180,10.); #114803=VECTOR('',#393187,10.); #114804=VECTOR('',#393190,10.); #114805=VECTOR('',#393191,10.); #114806=VECTOR('',#393192,10.); #114807=VECTOR('',#393195,10.); #114808=VECTOR('',#393196,10.); #114809=VECTOR('',#393197,10.); #114810=VECTOR('',#393200,10.); #114811=VECTOR('',#393201,10.); #114812=VECTOR('',#393202,10.); #114813=VECTOR('',#393205,10.); #114814=VECTOR('',#393206,10.); #114815=VECTOR('',#393207,10.); #114816=VECTOR('',#393210,10.); #114817=VECTOR('',#393211,10.); #114818=VECTOR('',#393220,0.139999999999998); #114819=VECTOR('',#393225,10.); #114820=VECTOR('',#393226,10.); #114821=VECTOR('',#393227,10.); #114822=VECTOR('',#393228,10.); #114823=VECTOR('',#393235,10.); #114824=VECTOR('',#393238,10.); #114825=VECTOR('',#393239,10.); #114826=VECTOR('',#393240,10.); #114827=VECTOR('',#393243,10.); #114828=VECTOR('',#393244,10.); #114829=VECTOR('',#393245,10.); #114830=VECTOR('',#393252,10.); #114831=VECTOR('',#393255,10.); #114832=VECTOR('',#393256,10.); #114833=VECTOR('',#393257,10.); #114834=VECTOR('',#393264,10.); #114835=VECTOR('',#393267,10.); #114836=VECTOR('',#393268,10.); #114837=VECTOR('',#393269,10.); #114838=VECTOR('',#393276,10.); #114839=VECTOR('',#393279,10.); #114840=VECTOR('',#393280,10.); #114841=VECTOR('',#393281,10.); #114842=VECTOR('',#393284,10.); #114843=VECTOR('',#393285,10.); #114844=VECTOR('',#393286,10.); #114845=VECTOR('',#393289,10.); #114846=VECTOR('',#393290,10.); #114847=VECTOR('',#393291,10.); #114848=VECTOR('',#393294,10.); #114849=VECTOR('',#393295,10.); #114850=VECTOR('',#393296,10.); #114851=VECTOR('',#393299,10.); #114852=VECTOR('',#393300,10.); #114853=VECTOR('',#393301,10.); #114854=VECTOR('',#393304,10.); #114855=VECTOR('',#393305,10.); #114856=VECTOR('',#393306,10.); #114857=VECTOR('',#393309,10.); #114858=VECTOR('',#393310,10.); #114859=VECTOR('',#393311,10.); #114860=VECTOR('',#393318,10.); #114861=VECTOR('',#393321,10.); #114862=VECTOR('',#393322,10.); #114863=VECTOR('',#393323,10.); #114864=VECTOR('',#393326,10.); #114865=VECTOR('',#393327,10.); #114866=VECTOR('',#393328,10.); #114867=VECTOR('',#393331,10.); #114868=VECTOR('',#393332,10.); #114869=VECTOR('',#393333,10.); #114870=VECTOR('',#393336,10.); #114871=VECTOR('',#393337,10.); #114872=VECTOR('',#393338,10.); #114873=VECTOR('',#393345,10.); #114874=VECTOR('',#393348,10.); #114875=VECTOR('',#393349,10.); #114876=VECTOR('',#393350,10.); #114877=VECTOR('',#393365,0.139999999999998); #114878=VECTOR('',#393370,10.); #114879=VECTOR('',#393371,10.); #114880=VECTOR('',#393372,10.); #114881=VECTOR('',#393373,10.); #114882=VECTOR('',#393380,10.); #114883=VECTOR('',#393383,10.); #114884=VECTOR('',#393384,10.); #114885=VECTOR('',#393385,10.); #114886=VECTOR('',#393388,10.); #114887=VECTOR('',#393389,10.); #114888=VECTOR('',#393390,10.); #114889=VECTOR('',#393393,10.); #114890=VECTOR('',#393394,10.); #114891=VECTOR('',#393395,10.); #114892=VECTOR('',#393398,10.); #114893=VECTOR('',#393399,10.); #114894=VECTOR('',#393400,10.); #114895=VECTOR('',#393403,10.); #114896=VECTOR('',#393404,10.); #114897=VECTOR('',#393405,10.); #114898=VECTOR('',#393408,10.); #114899=VECTOR('',#393409,10.); #114900=VECTOR('',#393410,10.); #114901=VECTOR('',#393413,10.); #114902=VECTOR('',#393414,10.); #114903=VECTOR('',#393415,10.); #114904=VECTOR('',#393422,10.); #114905=VECTOR('',#393435,10.); #114906=VECTOR('',#393436,10.); #114907=VECTOR('',#393437,10.); #114908=VECTOR('',#393438,10.); #114909=VECTOR('',#393441,10.); #114910=VECTOR('',#393442,10.); #114911=VECTOR('',#393443,10.); #114912=VECTOR('',#393446,10.); #114913=VECTOR('',#393447,10.); #114914=VECTOR('',#393448,10.); #114915=VECTOR('',#393451,10.); #114916=VECTOR('',#393452,10.); #114917=VECTOR('',#393453,10.); #114918=VECTOR('',#393456,10.); #114919=VECTOR('',#393457,10.); #114920=VECTOR('',#393458,10.); #114921=VECTOR('',#393465,10.); #114922=VECTOR('',#393468,10.); #114923=VECTOR('',#393469,10.); #114924=VECTOR('',#393470,10.); #114925=VECTOR('',#393473,10.); #114926=VECTOR('',#393474,10.); #114927=VECTOR('',#393475,10.); #114928=VECTOR('',#393478,10.); #114929=VECTOR('',#393479,10.); #114930=VECTOR('',#393480,10.); #114931=VECTOR('',#393487,10.); #114932=VECTOR('',#393490,10.); #114933=VECTOR('',#393491,10.); #114934=VECTOR('',#393492,10.); #114935=VECTOR('',#393499,10.); #114936=VECTOR('',#393502,10.); #114937=VECTOR('',#393503,10.); #114938=VECTOR('',#393504,10.); #114939=VECTOR('',#393511,10.); #114940=VECTOR('',#393514,10.); #114941=VECTOR('',#393515,10.); #114942=VECTOR('',#393516,10.); #114943=VECTOR('',#393519,10.); #114944=VECTOR('',#393520,10.); #114945=VECTOR('',#393521,10.); #114946=VECTOR('',#393528,10.); #114947=VECTOR('',#393531,10.); #114948=VECTOR('',#393532,10.); #114949=VECTOR('',#393533,10.); #114950=VECTOR('',#393536,10.); #114951=VECTOR('',#393537,10.); #114952=VECTOR('',#393538,10.); #114953=VECTOR('',#393541,10.); #114954=VECTOR('',#393542,10.); #114955=VECTOR('',#393543,10.); #114956=VECTOR('',#393546,10.); #114957=VECTOR('',#393547,10.); #114958=VECTOR('',#393548,10.); #114959=VECTOR('',#393551,10.); #114960=VECTOR('',#393552,10.); #114961=VECTOR('',#393553,10.); #114962=VECTOR('',#393556,10.); #114963=VECTOR('',#393557,10.); #114964=VECTOR('',#393558,10.); #114965=VECTOR('',#393561,10.); #114966=VECTOR('',#393562,10.); #114967=VECTOR('',#393563,10.); #114968=VECTOR('',#393570,10.); #114969=VECTOR('',#393573,10.); #114970=VECTOR('',#393574,10.); #114971=VECTOR('',#393575,10.); #114972=VECTOR('',#393578,10.); #114973=VECTOR('',#393579,10.); #114974=VECTOR('',#393580,10.); #114975=VECTOR('',#393587,10.); #114976=VECTOR('',#393590,10.); #114977=VECTOR('',#393591,10.); #114978=VECTOR('',#393592,10.); #114979=VECTOR('',#393595,10.); #114980=VECTOR('',#393596,10.); #114981=VECTOR('',#393597,10.); #114982=VECTOR('',#393600,10.); #114983=VECTOR('',#393601,10.); #114984=VECTOR('',#393602,10.); #114985=VECTOR('',#393605,10.); #114986=VECTOR('',#393606,10.); #114987=VECTOR('',#393607,10.); #114988=VECTOR('',#393614,10.); #114989=VECTOR('',#393617,10.); #114990=VECTOR('',#393618,10.); #114991=VECTOR('',#393619,10.); #114992=VECTOR('',#393626,10.); #114993=VECTOR('',#393629,10.); #114994=VECTOR('',#393630,10.); #114995=VECTOR('',#393631,10.); #114996=VECTOR('',#393634,10.); #114997=VECTOR('',#393635,10.); #114998=VECTOR('',#393636,10.); #114999=VECTOR('',#393639,10.); #115000=VECTOR('',#393640,10.); #115001=VECTOR('',#393647,10.); #115002=VECTOR('',#393648,10.); #115003=VECTOR('',#393649,10.); #115004=VECTOR('',#393650,10.); #115005=VECTOR('',#393653,10.); #115006=VECTOR('',#393654,10.); #115007=VECTOR('',#393655,10.); #115008=VECTOR('',#393658,10.); #115009=VECTOR('',#393659,10.); #115010=VECTOR('',#393660,10.); #115011=VECTOR('',#393663,10.); #115012=VECTOR('',#393664,10.); #115013=VECTOR('',#393665,10.); #115014=VECTOR('',#393668,10.); #115015=VECTOR('',#393669,10.); #115016=VECTOR('',#393670,10.); #115017=VECTOR('',#393673,10.); #115018=VECTOR('',#393674,10.); #115019=VECTOR('',#393675,10.); #115020=VECTOR('',#393682,10.); #115021=VECTOR('',#393685,10.); #115022=VECTOR('',#393686,10.); #115023=VECTOR('',#393687,10.); #115024=VECTOR('',#393694,10.); #115025=VECTOR('',#393697,10.); #115026=VECTOR('',#393698,10.); #115027=VECTOR('',#393699,10.); #115028=VECTOR('',#393702,10.); #115029=VECTOR('',#393703,10.); #115030=VECTOR('',#393704,10.); #115031=VECTOR('',#393707,10.); #115032=VECTOR('',#393708,10.); #115033=VECTOR('',#393709,10.); #115034=VECTOR('',#393712,10.); #115035=VECTOR('',#393713,10.); #115036=VECTOR('',#393714,10.); #115037=VECTOR('',#393721,10.); #115038=VECTOR('',#393724,10.); #115039=VECTOR('',#393725,10.); #115040=VECTOR('',#393726,10.); #115041=VECTOR('',#393729,10.); #115042=VECTOR('',#393730,10.); #115043=VECTOR('',#393731,10.); #115044=VECTOR('',#393738,10.); #115045=VECTOR('',#393741,10.); #115046=VECTOR('',#393742,10.); #115047=VECTOR('',#393743,10.); #115048=VECTOR('',#393746,10.); #115049=VECTOR('',#393747,10.); #115050=VECTOR('',#393748,10.); #115051=VECTOR('',#393751,10.); #115052=VECTOR('',#393752,10.); #115053=VECTOR('',#393753,10.); #115054=VECTOR('',#393756,10.); #115055=VECTOR('',#393757,10.); #115056=VECTOR('',#393758,10.); #115057=VECTOR('',#393761,10.); #115058=VECTOR('',#393762,10.); #115059=VECTOR('',#393763,10.); #115060=VECTOR('',#393766,10.); #115061=VECTOR('',#393767,10.); #115062=VECTOR('',#393768,10.); #115063=VECTOR('',#393771,10.); #115064=VECTOR('',#393772,10.); #115065=VECTOR('',#393773,10.); #115066=VECTOR('',#393780,10.); #115067=VECTOR('',#393783,10.); #115068=VECTOR('',#393784,10.); #115069=VECTOR('',#393785,10.); #115070=VECTOR('',#393788,10.); #115071=VECTOR('',#393789,10.); #115072=VECTOR('',#393790,10.); #115073=VECTOR('',#393797,10.); #115074=VECTOR('',#393800,10.); #115075=VECTOR('',#393801,10.); #115076=VECTOR('',#393802,10.); #115077=VECTOR('',#393809,10.); #115078=VECTOR('',#393812,10.); #115079=VECTOR('',#393813,10.); #115080=VECTOR('',#393814,10.); #115081=VECTOR('',#393821,10.); #115082=VECTOR('',#393824,10.); #115083=VECTOR('',#393825,10.); #115084=VECTOR('',#393826,10.); #115085=VECTOR('',#393829,10.); #115086=VECTOR('',#393830,10.); #115087=VECTOR('',#393831,10.); #115088=VECTOR('',#393834,10.); #115089=VECTOR('',#393835,10.); #115090=VECTOR('',#393836,10.); #115091=VECTOR('',#393843,10.); #115092=VECTOR('',#393846,10.); #115093=VECTOR('',#393847,10.); #115094=VECTOR('',#393848,10.); #115095=VECTOR('',#393851,10.); #115096=VECTOR('',#393852,10.); #115097=VECTOR('',#393859,10.); #115098=VECTOR('',#393860,10.); #115099=VECTOR('',#393861,10.); #115100=VECTOR('',#393862,10.); #115101=VECTOR('',#393865,10.); #115102=VECTOR('',#393866,10.); #115103=VECTOR('',#393867,10.); #115104=VECTOR('',#393870,10.); #115105=VECTOR('',#393871,10.); #115106=VECTOR('',#393872,10.); #115107=VECTOR('',#393875,10.); #115108=VECTOR('',#393876,10.); #115109=VECTOR('',#393877,10.); #115110=VECTOR('',#393884,10.); #115111=VECTOR('',#393887,10.); #115112=VECTOR('',#393888,10.); #115113=VECTOR('',#393889,10.); #115114=VECTOR('',#393892,10.); #115115=VECTOR('',#393893,10.); #115116=VECTOR('',#393894,10.); #115117=VECTOR('',#393901,10.); #115118=VECTOR('',#393904,10.); #115119=VECTOR('',#393905,10.); #115120=VECTOR('',#393906,10.); #115121=VECTOR('',#393909,10.); #115122=VECTOR('',#393910,10.); #115123=VECTOR('',#393911,10.); #115124=VECTOR('',#393914,10.); #115125=VECTOR('',#393915,10.); #115126=VECTOR('',#393916,10.); #115127=VECTOR('',#393919,10.); #115128=VECTOR('',#393920,10.); #115129=VECTOR('',#393921,10.); #115130=VECTOR('',#393924,10.); #115131=VECTOR('',#393925,10.); #115132=VECTOR('',#393926,10.); #115133=VECTOR('',#393929,10.); #115134=VECTOR('',#393930,10.); #115135=VECTOR('',#393931,10.); #115136=VECTOR('',#393934,10.); #115137=VECTOR('',#393935,10.); #115138=VECTOR('',#393936,10.); #115139=VECTOR('',#393943,10.); #115140=VECTOR('',#393946,10.); #115141=VECTOR('',#393947,10.); #115142=VECTOR('',#393948,10.); #115143=VECTOR('',#393951,10.); #115144=VECTOR('',#393952,10.); #115145=VECTOR('',#393953,10.); #115146=VECTOR('',#393956,10.); #115147=VECTOR('',#393957,10.); #115148=VECTOR('',#393958,10.); #115149=VECTOR('',#393961,10.); #115150=VECTOR('',#393962,10.); #115151=VECTOR('',#393971,0.139999999999998); #115152=VECTOR('',#393976,10.); #115153=VECTOR('',#393977,10.); #115154=VECTOR('',#393978,10.); #115155=VECTOR('',#393979,10.); #115156=VECTOR('',#393982,10.); #115157=VECTOR('',#393983,10.); #115158=VECTOR('',#393984,10.); #115159=VECTOR('',#393991,10.); #115160=VECTOR('',#393994,10.); #115161=VECTOR('',#393995,10.); #115162=VECTOR('',#393996,10.); #115163=VECTOR('',#393999,10.); #115164=VECTOR('',#394000,10.); #115165=VECTOR('',#394001,10.); #115166=VECTOR('',#394004,10.); #115167=VECTOR('',#394005,10.); #115168=VECTOR('',#394006,10.); #115169=VECTOR('',#394013,10.); #115170=VECTOR('',#394016,10.); #115171=VECTOR('',#394017,10.); #115172=VECTOR('',#394018,10.); #115173=VECTOR('',#394021,10.); #115174=VECTOR('',#394022,10.); #115175=VECTOR('',#394023,10.); #115176=VECTOR('',#394030,10.); #115177=VECTOR('',#394037,10.); #115178=VECTOR('',#394040,10.); #115179=VECTOR('',#394041,10.); #115180=VECTOR('',#394042,10.); #115181=VECTOR('',#394049,10.); #115182=VECTOR('',#394052,10.); #115183=VECTOR('',#394053,10.); #115184=VECTOR('',#394054,10.); #115185=VECTOR('',#394057,10.); #115186=VECTOR('',#394058,10.); #115187=VECTOR('',#394059,10.); #115188=VECTOR('',#394066,10.); #115189=VECTOR('',#394069,10.); #115190=VECTOR('',#394070,10.); #115191=VECTOR('',#394071,10.); #115192=VECTOR('',#394074,10.); #115193=VECTOR('',#394075,10.); #115194=VECTOR('',#394076,10.); #115195=VECTOR('',#394079,10.); #115196=VECTOR('',#394080,10.); #115197=VECTOR('',#394081,10.); #115198=VECTOR('',#394084,10.); #115199=VECTOR('',#394085,10.); #115200=VECTOR('',#394086,10.); #115201=VECTOR('',#394089,10.); #115202=VECTOR('',#394090,10.); #115203=VECTOR('',#394099,0.139999999999998); #115204=VECTOR('',#394104,10.); #115205=VECTOR('',#394105,10.); #115206=VECTOR('',#394106,10.); #115207=VECTOR('',#394107,10.); #115208=VECTOR('',#394114,10.); #115209=VECTOR('',#394117,10.); #115210=VECTOR('',#394118,10.); #115211=VECTOR('',#394119,10.); #115212=VECTOR('',#394122,10.); #115213=VECTOR('',#394123,10.); #115214=VECTOR('',#394124,10.); #115215=VECTOR('',#394131,10.); #115216=VECTOR('',#394134,10.); #115217=VECTOR('',#394135,10.); #115218=VECTOR('',#394136,10.); #115219=VECTOR('',#394139,10.); #115220=VECTOR('',#394140,10.); #115221=VECTOR('',#394141,10.); #115222=VECTOR('',#394144,10.); #115223=VECTOR('',#394145,10.); #115224=VECTOR('',#394146,10.); #115225=VECTOR('',#394149,10.); #115226=VECTOR('',#394150,10.); #115227=VECTOR('',#394151,10.); #115228=VECTOR('',#394154,10.); #115229=VECTOR('',#394155,10.); #115230=VECTOR('',#394156,10.); #115231=VECTOR('',#394159,10.); #115232=VECTOR('',#394160,10.); #115233=VECTOR('',#394161,10.); #115234=VECTOR('',#394164,10.); #115235=VECTOR('',#394165,10.); #115236=VECTOR('',#394166,10.); #115237=VECTOR('',#394169,10.); #115238=VECTOR('',#394170,10.); #115239=VECTOR('',#394171,10.); #115240=VECTOR('',#394178,10.); #115241=VECTOR('',#394181,10.); #115242=VECTOR('',#394182,10.); #115243=VECTOR('',#394183,10.); #115244=VECTOR('',#394198,0.139999999999998); #115245=VECTOR('',#394203,10.); #115246=VECTOR('',#394204,10.); #115247=VECTOR('',#394205,10.); #115248=VECTOR('',#394206,10.); #115249=VECTOR('',#394213,10.); #115250=VECTOR('',#394220,10.); #115251=VECTOR('',#394223,10.); #115252=VECTOR('',#394224,10.); #115253=VECTOR('',#394225,10.); #115254=VECTOR('',#394228,10.); #115255=VECTOR('',#394229,10.); #115256=VECTOR('',#394230,10.); #115257=VECTOR('',#394233,10.); #115258=VECTOR('',#394234,10.); #115259=VECTOR('',#394235,10.); #115260=VECTOR('',#394238,10.); #115261=VECTOR('',#394239,10.); #115262=VECTOR('',#394240,10.); #115263=VECTOR('',#394243,10.); #115264=VECTOR('',#394244,10.); #115265=VECTOR('',#394245,10.); #115266=VECTOR('',#394248,10.); #115267=VECTOR('',#394249,10.); #115268=VECTOR('',#394250,10.); #115269=VECTOR('',#394253,10.); #115270=VECTOR('',#394254,10.); #115271=VECTOR('',#394255,10.); #115272=VECTOR('',#394262,10.); #115273=VECTOR('',#394265,10.); #115274=VECTOR('',#394266,10.); #115275=VECTOR('',#394267,10.); #115276=VECTOR('',#394282,0.139999999999998); #115277=VECTOR('',#394287,10.); #115278=VECTOR('',#394288,10.); #115279=VECTOR('',#394289,10.); #115280=VECTOR('',#394290,10.); #115281=VECTOR('',#394293,10.); #115282=VECTOR('',#394294,10.); #115283=VECTOR('',#394295,10.); #115284=VECTOR('',#394298,10.); #115285=VECTOR('',#394299,10.); #115286=VECTOR('',#394300,10.); #115287=VECTOR('',#394303,10.); #115288=VECTOR('',#394304,10.); #115289=VECTOR('',#394305,10.); #115290=VECTOR('',#394308,10.); #115291=VECTOR('',#394309,10.); #115292=VECTOR('',#394310,10.); #115293=VECTOR('',#394317,10.); #115294=VECTOR('',#394320,10.); #115295=VECTOR('',#394321,10.); #115296=VECTOR('',#394322,10.); #115297=VECTOR('',#394325,10.); #115298=VECTOR('',#394326,10.); #115299=VECTOR('',#394327,10.); #115300=VECTOR('',#394334,10.); #115301=VECTOR('',#394337,10.); #115302=VECTOR('',#394338,10.); #115303=VECTOR('',#394339,10.); #115304=VECTOR('',#394346,10.); #115305=VECTOR('',#394353,10.); #115306=VECTOR('',#394356,10.); #115307=VECTOR('',#394357,10.); #115308=VECTOR('',#394358,10.); #115309=VECTOR('',#394361,10.); #115310=VECTOR('',#394362,10.); #115311=VECTOR('',#394363,10.); #115312=VECTOR('',#394370,10.); #115313=VECTOR('',#394373,10.); #115314=VECTOR('',#394374,10.); #115315=VECTOR('',#394375,10.); #115316=VECTOR('',#394378,10.); #115317=VECTOR('',#394379,10.); #115318=VECTOR('',#394380,10.); #115319=VECTOR('',#394383,10.); #115320=VECTOR('',#394384,10.); #115321=VECTOR('',#394393,0.139999999999998); #115322=VECTOR('',#394398,10.); #115323=VECTOR('',#394399,10.); #115324=VECTOR('',#394400,10.); #115325=VECTOR('',#394401,10.); #115326=VECTOR('',#394408,10.); #115327=VECTOR('',#394411,10.); #115328=VECTOR('',#394412,10.); #115329=VECTOR('',#394413,10.); #115330=VECTOR('',#394420,10.); #115331=VECTOR('',#394423,10.); #115332=VECTOR('',#394424,10.); #115333=VECTOR('',#394425,10.); #115334=VECTOR('',#394428,10.); #115335=VECTOR('',#394429,10.); #115336=VECTOR('',#394430,10.); #115337=VECTOR('',#394433,10.); #115338=VECTOR('',#394434,10.); #115339=VECTOR('',#394435,10.); #115340=VECTOR('',#394438,10.); #115341=VECTOR('',#394439,10.); #115342=VECTOR('',#394440,10.); #115343=VECTOR('',#394443,10.); #115344=VECTOR('',#394444,10.); #115345=VECTOR('',#394445,10.); #115346=VECTOR('',#394448,10.); #115347=VECTOR('',#394449,10.); #115348=VECTOR('',#394450,10.); #115349=VECTOR('',#394453,10.); #115350=VECTOR('',#394454,10.); #115351=VECTOR('',#394455,10.); #115352=VECTOR('',#394462,10.); #115353=VECTOR('',#394477,0.139999999999998); #115354=VECTOR('',#394482,10.); #115355=VECTOR('',#394483,10.); #115356=VECTOR('',#394484,10.); #115357=VECTOR('',#394485,10.); #115358=VECTOR('',#394488,10.); #115359=VECTOR('',#394489,10.); #115360=VECTOR('',#394490,10.); #115361=VECTOR('',#394493,10.); #115362=VECTOR('',#394494,10.); #115363=VECTOR('',#394495,10.); #115364=VECTOR('',#394498,10.); #115365=VECTOR('',#394499,10.); #115366=VECTOR('',#394500,10.); #115367=VECTOR('',#394503,10.); #115368=VECTOR('',#394504,10.); #115369=VECTOR('',#394505,10.); #115370=VECTOR('',#394508,10.); #115371=VECTOR('',#394509,10.); #115372=VECTOR('',#394510,10.); #115373=VECTOR('',#394517,10.); #115374=VECTOR('',#394520,10.); #115375=VECTOR('',#394521,10.); #115376=VECTOR('',#394522,10.); #115377=VECTOR('',#394525,10.); #115378=VECTOR('',#394526,10.); #115379=VECTOR('',#394527,10.); #115380=VECTOR('',#394534,10.); #115381=VECTOR('',#394541,10.); #115382=VECTOR('',#394544,10.); #115383=VECTOR('',#394545,10.); #115384=VECTOR('',#394546,10.); #115385=VECTOR('',#394553,10.); #115386=VECTOR('',#394556,10.); #115387=VECTOR('',#394557,10.); #115388=VECTOR('',#394558,10.); #115389=VECTOR('',#394561,10.); #115390=VECTOR('',#394562,10.); #115391=VECTOR('',#394563,10.); #115392=VECTOR('',#394570,10.); #115393=VECTOR('',#394573,10.); #115394=VECTOR('',#394574,10.); #115395=VECTOR('',#394575,10.); #115396=VECTOR('',#394578,10.); #115397=VECTOR('',#394579,10.); #115398=VECTOR('',#394588,0.139999999999998); #115399=VECTOR('',#394593,10.); #115400=VECTOR('',#394594,10.); #115401=VECTOR('',#394595,10.); #115402=VECTOR('',#394596,10.); #115403=VECTOR('',#394603,10.); #115404=VECTOR('',#394606,10.); #115405=VECTOR('',#394607,10.); #115406=VECTOR('',#394608,10.); #115407=VECTOR('',#394611,10.); #115408=VECTOR('',#394612,10.); #115409=VECTOR('',#394613,10.); #115410=VECTOR('',#394616,10.); #115411=VECTOR('',#394617,10.); #115412=VECTOR('',#394618,10.); #115413=VECTOR('',#394621,10.); #115414=VECTOR('',#394622,10.); #115415=VECTOR('',#394623,10.); #115416=VECTOR('',#394626,10.); #115417=VECTOR('',#394627,10.); #115418=VECTOR('',#394628,10.); #115419=VECTOR('',#394631,10.); #115420=VECTOR('',#394632,10.); #115421=VECTOR('',#394633,10.); #115422=VECTOR('',#394636,10.); #115423=VECTOR('',#394637,10.); #115424=VECTOR('',#394638,10.); #115425=VECTOR('',#394645,10.); #115426=VECTOR('',#394660,0.140000000000002); #115427=VECTOR('',#394665,10.); #115428=VECTOR('',#394666,10.); #115429=VECTOR('',#394667,10.); #115430=VECTOR('',#394668,10.); #115431=VECTOR('',#394675,10.); #115432=VECTOR('',#394682,10.); #115433=VECTOR('',#394685,10.); #115434=VECTOR('',#394686,10.); #115435=VECTOR('',#394687,10.); #115436=VECTOR('',#394690,10.); #115437=VECTOR('',#394691,10.); #115438=VECTOR('',#394692,10.); #115439=VECTOR('',#394695,10.); #115440=VECTOR('',#394696,10.); #115441=VECTOR('',#394697,10.); #115442=VECTOR('',#394700,10.); #115443=VECTOR('',#394701,10.); #115444=VECTOR('',#394702,10.); #115445=VECTOR('',#394705,10.); #115446=VECTOR('',#394706,10.); #115447=VECTOR('',#394707,10.); #115448=VECTOR('',#394710,10.); #115449=VECTOR('',#394711,10.); #115450=VECTOR('',#394712,10.); #115451=VECTOR('',#394715,10.); #115452=VECTOR('',#394716,10.); #115453=VECTOR('',#394717,10.); #115454=VECTOR('',#394732,0.139999999999998); #115455=VECTOR('',#394737,10.); #115456=VECTOR('',#394738,10.); #115457=VECTOR('',#394739,10.); #115458=VECTOR('',#394740,10.); #115459=VECTOR('',#394743,10.); #115460=VECTOR('',#394744,10.); #115461=VECTOR('',#394745,10.); #115462=VECTOR('',#394748,10.); #115463=VECTOR('',#394749,10.); #115464=VECTOR('',#394750,10.); #115465=VECTOR('',#394753,10.); #115466=VECTOR('',#394754,10.); #115467=VECTOR('',#394755,10.); #115468=VECTOR('',#394758,10.); #115469=VECTOR('',#394759,10.); #115470=VECTOR('',#394760,10.); #115471=VECTOR('',#394767,10.); #115472=VECTOR('',#394770,10.); #115473=VECTOR('',#394771,10.); #115474=VECTOR('',#394772,10.); #115475=VECTOR('',#394775,10.); #115476=VECTOR('',#394776,10.); #115477=VECTOR('',#394777,10.); #115478=VECTOR('',#394780,10.); #115479=VECTOR('',#394781,10.); #115480=VECTOR('',#394782,10.); #115481=VECTOR('',#394785,10.); #115482=VECTOR('',#394786,10.); #115483=VECTOR('',#394787,10.); #115484=VECTOR('',#394790,10.); #115485=VECTOR('',#394791,10.); #115486=VECTOR('',#394792,10.); #115487=VECTOR('',#394799,10.); #115488=VECTOR('',#394806,10.); #115489=VECTOR('',#394809,10.); #115490=VECTOR('',#394810,10.); #115491=VECTOR('',#394811,10.); #115492=VECTOR('',#394818,10.); #115493=VECTOR('',#394821,10.); #115494=VECTOR('',#394822,10.); #115495=VECTOR('',#394823,10.); #115496=VECTOR('',#394830,10.); #115497=VECTOR('',#394833,10.); #115498=VECTOR('',#394834,10.); #115499=VECTOR('',#394835,10.); #115500=VECTOR('',#394842,10.); #115501=VECTOR('',#394845,10.); #115502=VECTOR('',#394846,10.); #115503=VECTOR('',#394847,10.); #115504=VECTOR('',#394854,10.); #115505=VECTOR('',#394857,10.); #115506=VECTOR('',#394858,10.); #115507=VECTOR('',#394859,10.); #115508=VECTOR('',#394862,10.); #115509=VECTOR('',#394863,10.); #115510=VECTOR('',#394872,0.139999999999998); #115511=VECTOR('',#394877,10.); #115512=VECTOR('',#394878,10.); #115513=VECTOR('',#394879,10.); #115514=VECTOR('',#394880,10.); #115515=VECTOR('',#394883,10.); #115516=VECTOR('',#394884,10.); #115517=VECTOR('',#394885,10.); #115518=VECTOR('',#394888,10.); #115519=VECTOR('',#394889,10.); #115520=VECTOR('',#394890,10.); #115521=VECTOR('',#394893,10.); #115522=VECTOR('',#394894,10.); #115523=VECTOR('',#394895,10.); #115524=VECTOR('',#394898,10.); #115525=VECTOR('',#394899,10.); #115526=VECTOR('',#394900,10.); #115527=VECTOR('',#394903,10.); #115528=VECTOR('',#394904,10.); #115529=VECTOR('',#394905,10.); #115530=VECTOR('',#394908,10.); #115531=VECTOR('',#394909,10.); #115532=VECTOR('',#394910,10.); #115533=VECTOR('',#394913,10.); #115534=VECTOR('',#394914,10.); #115535=VECTOR('',#394915,10.); #115536=VECTOR('',#394922,10.); #115537=VECTOR('',#394929,10.); #115538=VECTOR('',#394932,10.); #115539=VECTOR('',#394933,10.); #115540=VECTOR('',#394934,10.); #115541=VECTOR('',#394941,10.); #115542=VECTOR('',#394944,10.); #115543=VECTOR('',#394945,10.); #115544=VECTOR('',#394946,10.); #115545=VECTOR('',#394953,10.); #115546=VECTOR('',#394956,10.); #115547=VECTOR('',#394957,10.); #115548=VECTOR('',#394958,10.); #115549=VECTOR('',#394965,10.); #115550=VECTOR('',#394968,10.); #115551=VECTOR('',#394969,10.); #115552=VECTOR('',#394978,0.140000000000002); #115553=VECTOR('',#394983,10.); #115554=VECTOR('',#394984,10.); #115555=VECTOR('',#394985,10.); #115556=VECTOR('',#394986,10.); #115557=VECTOR('',#394989,10.); #115558=VECTOR('',#394990,10.); #115559=VECTOR('',#394991,10.); #115560=VECTOR('',#394994,10.); #115561=VECTOR('',#394995,10.); #115562=VECTOR('',#394996,10.); #115563=VECTOR('',#394999,10.); #115564=VECTOR('',#395000,10.); #115565=VECTOR('',#395001,10.); #115566=VECTOR('',#395004,10.); #115567=VECTOR('',#395005,10.); #115568=VECTOR('',#395006,10.); #115569=VECTOR('',#395013,10.); #115570=VECTOR('',#395016,10.); #115571=VECTOR('',#395017,10.); #115572=VECTOR('',#395018,10.); #115573=VECTOR('',#395025,10.); #115574=VECTOR('',#395028,10.); #115575=VECTOR('',#395029,10.); #115576=VECTOR('',#395030,10.); #115577=VECTOR('',#395037,10.); #115578=VECTOR('',#395040,10.); #115579=VECTOR('',#395041,10.); #115580=VECTOR('',#395042,10.); #115581=VECTOR('',#395049,10.); #115582=VECTOR('',#395052,10.); #115583=VECTOR('',#395053,10.); #115584=VECTOR('',#395054,10.); #115585=VECTOR('',#395061,10.); #115586=VECTOR('',#395068,10.); #115587=VECTOR('',#395071,10.); #115588=VECTOR('',#395072,10.); #115589=VECTOR('',#395073,10.); #115590=VECTOR('',#395076,10.); #115591=VECTOR('',#395077,10.); #115592=VECTOR('',#395078,10.); #115593=VECTOR('',#395081,10.); #115594=VECTOR('',#395082,10.); #115595=VECTOR('',#395083,10.); #115596=VECTOR('',#395086,10.); #115597=VECTOR('',#395087,10.); #115598=VECTOR('',#395088,10.); #115599=VECTOR('',#395091,10.); #115600=VECTOR('',#395092,10.); #115601=VECTOR('',#395093,10.); #115602=VECTOR('',#395100,10.); #115603=VECTOR('',#395103,10.); #115604=VECTOR('',#395104,10.); #115605=VECTOR('',#395105,10.); #115606=VECTOR('',#395108,10.); #115607=VECTOR('',#395109,10.); #115608=VECTOR('',#395116,10.); #115609=VECTOR('',#395117,10.); #115610=VECTOR('',#395118,10.); #115611=VECTOR('',#395119,10.); #115612=VECTOR('',#395122,10.); #115613=VECTOR('',#395123,10.); #115614=VECTOR('',#395124,10.); #115615=VECTOR('',#395127,10.); #115616=VECTOR('',#395128,10.); #115617=VECTOR('',#395129,10.); #115618=VECTOR('',#395132,10.); #115619=VECTOR('',#395133,10.); #115620=VECTOR('',#395134,10.); #115621=VECTOR('',#395141,10.); #115622=VECTOR('',#395144,10.); #115623=VECTOR('',#395145,10.); #115624=VECTOR('',#395146,10.); #115625=VECTOR('',#395153,10.); #115626=VECTOR('',#395156,10.); #115627=VECTOR('',#395157,10.); #115628=VECTOR('',#395158,10.); #115629=VECTOR('',#395161,10.); #115630=VECTOR('',#395162,10.); #115631=VECTOR('',#395163,10.); #115632=VECTOR('',#395166,10.); #115633=VECTOR('',#395167,10.); #115634=VECTOR('',#395168,10.); #115635=VECTOR('',#395171,10.); #115636=VECTOR('',#395172,10.); #115637=VECTOR('',#395173,10.); #115638=VECTOR('',#395176,10.); #115639=VECTOR('',#395177,10.); #115640=VECTOR('',#395178,10.); #115641=VECTOR('',#395181,10.); #115642=VECTOR('',#395182,10.); #115643=VECTOR('',#395183,10.); #115644=VECTOR('',#395186,10.); #115645=VECTOR('',#395187,10.); #115646=VECTOR('',#395188,10.); #115647=VECTOR('',#395191,10.); #115648=VECTOR('',#395192,10.); #115649=VECTOR('',#395193,10.); #115650=VECTOR('',#395196,10.); #115651=VECTOR('',#395197,10.); #115652=VECTOR('',#395198,10.); #115653=VECTOR('',#395205,10.); #115654=VECTOR('',#395208,10.); #115655=VECTOR('',#395209,10.); #115656=VECTOR('',#395210,10.); #115657=VECTOR('',#395217,10.); #115658=VECTOR('',#395220,10.); #115659=VECTOR('',#395221,10.); #115660=VECTOR('',#395222,10.); #115661=VECTOR('',#395225,10.); #115662=VECTOR('',#395226,10.); #115663=VECTOR('',#395227,10.); #115664=VECTOR('',#395230,10.); #115665=VECTOR('',#395231,10.); #115666=VECTOR('',#395232,10.); #115667=VECTOR('',#395235,10.); #115668=VECTOR('',#395236,10.); #115669=VECTOR('',#395237,10.); #115670=VECTOR('',#395240,10.); #115671=VECTOR('',#395241,10.); #115672=VECTOR('',#395250,0.139999999999998); #115673=VECTOR('',#395255,10.); #115674=VECTOR('',#395256,10.); #115675=VECTOR('',#395257,10.); #115676=VECTOR('',#395258,10.); #115677=VECTOR('',#395261,10.); #115678=VECTOR('',#395262,10.); #115679=VECTOR('',#395263,10.); #115680=VECTOR('',#395266,10.); #115681=VECTOR('',#395267,10.); #115682=VECTOR('',#395268,10.); #115683=VECTOR('',#395271,10.); #115684=VECTOR('',#395272,10.); #115685=VECTOR('',#395281,0.139999999999998); #115686=VECTOR('',#395286,10.); #115687=VECTOR('',#395287,10.); #115688=VECTOR('',#395288,10.); #115689=VECTOR('',#395289,10.); #115690=VECTOR('',#395296,10.); #115691=VECTOR('',#395299,10.); #115692=VECTOR('',#395300,10.); #115693=VECTOR('',#395301,10.); #115694=VECTOR('',#395308,10.); #115695=VECTOR('',#395311,10.); #115696=VECTOR('',#395312,10.); #115697=VECTOR('',#395313,10.); #115698=VECTOR('',#395316,10.); #115699=VECTOR('',#395317,10.); #115700=VECTOR('',#395318,10.); #115701=VECTOR('',#395321,10.); #115702=VECTOR('',#395322,10.); #115703=VECTOR('',#395323,10.); #115704=VECTOR('',#395326,10.); #115705=VECTOR('',#395327,10.); #115706=VECTOR('',#395328,10.); #115707=VECTOR('',#395335,10.); #115708=VECTOR('',#395338,10.); #115709=VECTOR('',#395339,10.); #115710=VECTOR('',#395340,10.); #115711=VECTOR('',#395343,10.); #115712=VECTOR('',#395344,10.); #115713=VECTOR('',#395345,10.); #115714=VECTOR('',#395348,10.); #115715=VECTOR('',#395349,10.); #115716=VECTOR('',#395350,10.); #115717=VECTOR('',#395353,10.); #115718=VECTOR('',#395354,10.); #115719=VECTOR('',#395355,10.); #115720=VECTOR('',#395358,10.); #115721=VECTOR('',#395359,10.); #115722=VECTOR('',#395360,10.); #115723=VECTOR('',#395363,10.); #115724=VECTOR('',#395364,10.); #115725=VECTOR('',#395365,10.); #115726=VECTOR('',#395368,10.); #115727=VECTOR('',#395369,10.); #115728=VECTOR('',#395370,10.); #115729=VECTOR('',#395377,10.); #115730=VECTOR('',#395380,10.); #115731=VECTOR('',#395381,10.); #115732=VECTOR('',#395382,10.); #115733=VECTOR('',#395389,10.); #115734=VECTOR('',#395392,10.); #115735=VECTOR('',#395393,10.); #115736=VECTOR('',#395394,10.); #115737=VECTOR('',#395401,10.); #115738=VECTOR('',#395404,10.); #115739=VECTOR('',#395405,10.); #115740=VECTOR('',#395406,10.); #115741=VECTOR('',#395409,10.); #115742=VECTOR('',#395410,10.); #115743=VECTOR('',#395411,10.); #115744=VECTOR('',#395426,0.139999999999998); #115745=VECTOR('',#395431,10.); #115746=VECTOR('',#395432,10.); #115747=VECTOR('',#395433,10.); #115748=VECTOR('',#395434,10.); #115749=VECTOR('',#395437,10.); #115750=VECTOR('',#395438,10.); #115751=VECTOR('',#395439,10.); #115752=VECTOR('',#395442,10.); #115753=VECTOR('',#395443,10.); #115754=VECTOR('',#395444,10.); #115755=VECTOR('',#395447,10.); #115756=VECTOR('',#395448,10.); #115757=VECTOR('',#395449,10.); #115758=VECTOR('',#395452,10.); #115759=VECTOR('',#395453,10.); #115760=VECTOR('',#395454,10.); #115761=VECTOR('',#395457,10.); #115762=VECTOR('',#395458,10.); #115763=VECTOR('',#395459,10.); #115764=VECTOR('',#395462,10.); #115765=VECTOR('',#395463,10.); #115766=VECTOR('',#395464,10.); #115767=VECTOR('',#395471,10.); #115768=VECTOR('',#395474,10.); #115769=VECTOR('',#395475,10.); #115770=VECTOR('',#395476,10.); #115771=VECTOR('',#395483,10.); #115772=VECTOR('',#395490,10.); #115773=VECTOR('',#395493,10.); #115774=VECTOR('',#395494,10.); #115775=VECTOR('',#395495,10.); #115776=VECTOR('',#395498,10.); #115777=VECTOR('',#395499,10.); #115778=VECTOR('',#395500,10.); #115779=VECTOR('',#395507,10.); #115780=VECTOR('',#395510,10.); #115781=VECTOR('',#395511,10.); #115782=VECTOR('',#395520,0.140000000000002); #115783=VECTOR('',#395525,10.); #115784=VECTOR('',#395526,10.); #115785=VECTOR('',#395527,10.); #115786=VECTOR('',#395528,10.); #115787=VECTOR('',#395531,10.); #115788=VECTOR('',#395532,10.); #115789=VECTOR('',#395533,10.); #115790=VECTOR('',#395536,10.); #115791=VECTOR('',#395537,10.); #115792=VECTOR('',#395538,10.); #115793=VECTOR('',#395541,10.); #115794=VECTOR('',#395542,10.); #115795=VECTOR('',#395543,10.); #115796=VECTOR('',#395546,10.); #115797=VECTOR('',#395547,10.); #115798=VECTOR('',#395548,10.); #115799=VECTOR('',#395551,10.); #115800=VECTOR('',#395552,10.); #115801=VECTOR('',#395553,10.); #115802=VECTOR('',#395556,10.); #115803=VECTOR('',#395557,10.); #115804=VECTOR('',#395558,10.); #115805=VECTOR('',#395561,10.); #115806=VECTOR('',#395562,10.); #115807=VECTOR('',#395563,10.); #115808=VECTOR('',#395566,10.); #115809=VECTOR('',#395567,10.); #115810=VECTOR('',#395568,10.); #115811=VECTOR('',#395571,10.); #115812=VECTOR('',#395572,10.); #115813=VECTOR('',#395573,10.); #115814=VECTOR('',#395580,10.); #115815=VECTOR('',#395583,10.); #115816=VECTOR('',#395584,10.); #115817=VECTOR('',#395585,10.); #115818=VECTOR('',#395592,10.); #115819=VECTOR('',#395595,10.); #115820=VECTOR('',#395596,10.); #115821=VECTOR('',#395597,10.); #115822=VECTOR('',#395600,10.); #115823=VECTOR('',#395601,10.); #115824=VECTOR('',#395602,10.); #115825=VECTOR('',#395605,10.); #115826=VECTOR('',#395606,10.); #115827=VECTOR('',#395607,10.); #115828=VECTOR('',#395614,10.); #115829=VECTOR('',#395617,10.); #115830=VECTOR('',#395618,10.); #115831=VECTOR('',#395619,10.); #115832=VECTOR('',#395626,10.); #115833=VECTOR('',#395629,10.); #115834=VECTOR('',#395630,10.); #115835=VECTOR('',#395631,10.); #115836=VECTOR('',#395634,10.); #115837=VECTOR('',#395635,10.); #115838=VECTOR('',#395636,10.); #115839=VECTOR('',#395639,10.); #115840=VECTOR('',#395640,10.); #115841=VECTOR('',#395641,10.); #115842=VECTOR('',#395648,10.); #115843=VECTOR('',#395655,10.); #115844=VECTOR('',#395658,10.); #115845=VECTOR('',#395659,10.); #115846=VECTOR('',#395660,10.); #115847=VECTOR('',#395667,10.); #115848=VECTOR('',#395670,10.); #115849=VECTOR('',#395671,10.); #115850=VECTOR('',#395672,10.); #115851=VECTOR('',#395679,10.); #115852=VECTOR('',#395682,10.); #115853=VECTOR('',#395683,10.); #115854=VECTOR('',#395684,10.); #115855=VECTOR('',#395687,10.); #115856=VECTOR('',#395688,10.); #115857=VECTOR('',#395689,10.); #115858=VECTOR('',#395692,10.); #115859=VECTOR('',#395693,10.); #115860=VECTOR('',#395694,10.); #115861=VECTOR('',#395701,10.); #115862=VECTOR('',#395704,10.); #115863=VECTOR('',#395705,10.); #115864=VECTOR('',#395706,10.); #115865=VECTOR('',#395713,10.); #115866=VECTOR('',#395716,10.); #115867=VECTOR('',#395717,10.); #115868=VECTOR('',#395718,10.); #115869=VECTOR('',#395721,10.); #115870=VECTOR('',#395722,10.); #115871=VECTOR('',#395723,10.); #115872=VECTOR('',#395726,10.); #115873=VECTOR('',#395727,10.); #115874=VECTOR('',#395728,10.); #115875=VECTOR('',#395731,10.); #115876=VECTOR('',#395732,10.); #115877=VECTOR('',#395733,10.); #115878=VECTOR('',#395736,10.); #115879=VECTOR('',#395737,10.); #115880=VECTOR('',#395738,10.); #115881=VECTOR('',#395741,10.); #115882=VECTOR('',#395742,10.); #115883=VECTOR('',#395743,10.); #115884=VECTOR('',#395746,10.); #115885=VECTOR('',#395747,10.); #115886=VECTOR('',#395748,10.); #115887=VECTOR('',#395751,10.); #115888=VECTOR('',#395752,10.); #115889=VECTOR('',#395753,10.); #115890=VECTOR('',#395756,10.); #115891=VECTOR('',#395757,10.); #115892=VECTOR('',#395766,0.140000000000002); #115893=VECTOR('',#395771,10.); #115894=VECTOR('',#395772,10.); #115895=VECTOR('',#395773,10.); #115896=VECTOR('',#395774,10.); #115897=VECTOR('',#395777,10.); #115898=VECTOR('',#395778,10.); #115899=VECTOR('',#395779,10.); #115900=VECTOR('',#395782,10.); #115901=VECTOR('',#395783,10.); #115902=VECTOR('',#395784,10.); #115903=VECTOR('',#395787,10.); #115904=VECTOR('',#395788,10.); #115905=VECTOR('',#395789,10.); #115906=VECTOR('',#395792,10.); #115907=VECTOR('',#395793,10.); #115908=VECTOR('',#395794,10.); #115909=VECTOR('',#395801,10.); #115910=VECTOR('',#395804,10.); #115911=VECTOR('',#395805,10.); #115912=VECTOR('',#395806,10.); #115913=VECTOR('',#395809,10.); #115914=VECTOR('',#395810,10.); #115915=VECTOR('',#395811,10.); #115916=VECTOR('',#395818,10.); #115917=VECTOR('',#395821,10.); #115918=VECTOR('',#395822,10.); #115919=VECTOR('',#395823,10.); #115920=VECTOR('',#395826,10.); #115921=VECTOR('',#395827,10.); #115922=VECTOR('',#395828,10.); #115923=VECTOR('',#395835,10.); #115924=VECTOR('',#395842,10.); #115925=VECTOR('',#395845,10.); #115926=VECTOR('',#395846,10.); #115927=VECTOR('',#395847,10.); #115928=VECTOR('',#395854,10.); #115929=VECTOR('',#395857,10.); #115930=VECTOR('',#395858,10.); #115931=VECTOR('',#395859,10.); #115932=VECTOR('',#395862,10.); #115933=VECTOR('',#395863,10.); #115934=VECTOR('',#395864,10.); #115935=VECTOR('',#395871,10.); #115936=VECTOR('',#395874,10.); #115937=VECTOR('',#395875,10.); #115938=VECTOR('',#395876,10.); #115939=VECTOR('',#395879,10.); #115940=VECTOR('',#395880,10.); #115941=VECTOR('',#395881,10.); #115942=VECTOR('',#395884,10.); #115943=VECTOR('',#395885,10.); #115944=VECTOR('',#395894,0.139999999999998); #115945=VECTOR('',#395899,10.); #115946=VECTOR('',#395900,10.); #115947=VECTOR('',#395901,10.); #115948=VECTOR('',#395902,10.); #115949=VECTOR('',#395905,10.); #115950=VECTOR('',#395906,10.); #115951=VECTOR('',#395907,10.); #115952=VECTOR('',#395910,10.); #115953=VECTOR('',#395911,10.); #115954=VECTOR('',#395912,10.); #115955=VECTOR('',#395915,10.); #115956=VECTOR('',#395916,10.); #115957=VECTOR('',#395925,0.139999999999998); #115958=VECTOR('',#395930,10.); #115959=VECTOR('',#395931,10.); #115960=VECTOR('',#395932,10.); #115961=VECTOR('',#395933,10.); #115962=VECTOR('',#395936,10.); #115963=VECTOR('',#395937,10.); #115964=VECTOR('',#395938,10.); #115965=VECTOR('',#395941,10.); #115966=VECTOR('',#395942,10.); #115967=VECTOR('',#395943,10.); #115968=VECTOR('',#395946,10.); #115969=VECTOR('',#395947,10.); #115970=VECTOR('',#395956,0.139999999999998); #115971=VECTOR('',#395961,10.); #115972=VECTOR('',#395962,10.); #115973=VECTOR('',#395963,10.); #115974=VECTOR('',#395964,10.); #115975=VECTOR('',#395971,10.); #115976=VECTOR('',#395974,10.); #115977=VECTOR('',#395975,10.); #115978=VECTOR('',#395976,10.); #115979=VECTOR('',#395983,10.); #115980=VECTOR('',#395986,10.); #115981=VECTOR('',#395987,10.); #115982=VECTOR('',#395988,10.); #115983=VECTOR('',#395991,10.); #115984=VECTOR('',#395992,10.); #115985=VECTOR('',#395993,10.); #115986=VECTOR('',#395996,10.); #115987=VECTOR('',#395997,10.); #115988=VECTOR('',#395998,10.); #115989=VECTOR('',#396001,10.); #115990=VECTOR('',#396002,10.); #115991=VECTOR('',#396003,10.); #115992=VECTOR('',#396006,10.); #115993=VECTOR('',#396007,10.); #115994=VECTOR('',#396008,10.); #115995=VECTOR('',#396011,10.); #115996=VECTOR('',#396012,10.); #115997=VECTOR('',#396013,10.); #115998=VECTOR('',#396016,10.); #115999=VECTOR('',#396017,10.); #116000=VECTOR('',#396018,10.); #116001=VECTOR('',#396021,10.); #116002=VECTOR('',#396022,10.); #116003=VECTOR('',#396023,10.); #116004=VECTOR('',#396026,10.); #116005=VECTOR('',#396027,10.); #116006=VECTOR('',#396028,10.); #116007=VECTOR('',#396043,0.465); #116008=VECTOR('',#396048,10.); #116009=VECTOR('',#396049,10.); #116010=VECTOR('',#396050,10.); #116011=VECTOR('',#396051,10.); #116012=VECTOR('',#396058,10.); #116013=VECTOR('',#396073,0.139999999999998); #116014=VECTOR('',#396080,0.465); #116015=VECTOR('',#396085,10.); #116016=VECTOR('',#396086,10.); #116017=VECTOR('',#396087,10.); #116018=VECTOR('',#396088,10.); #116019=VECTOR('',#396095,10.); #116020=VECTOR('',#396098,10.); #116021=VECTOR('',#396099,10.); #116022=VECTOR('',#396100,10.); #116023=VECTOR('',#396107,10.); #116024=VECTOR('',#396114,10.); #116025=VECTOR('',#396117,10.); #116026=VECTOR('',#396118,10.); #116027=VECTOR('',#396119,10.); #116028=VECTOR('',#396134,0.465); #116029=VECTOR('',#396139,10.); #116030=VECTOR('',#396140,10.); #116031=VECTOR('',#396141,10.); #116032=VECTOR('',#396142,10.); #116033=VECTOR('',#396149,10.); #116034=VECTOR('',#396164,0.564999999999998); #116035=VECTOR('',#396169,10.); #116036=VECTOR('',#396170,10.); #116037=VECTOR('',#396171,10.); #116038=VECTOR('',#396172,10.); #116039=VECTOR('',#396175,10.); #116040=VECTOR('',#396176,10.); #116041=VECTOR('',#396177,10.); #116042=VECTOR('',#396180,10.); #116043=VECTOR('',#396181,10.); #116044=VECTOR('',#396182,10.); #116045=VECTOR('',#396185,10.); #116046=VECTOR('',#396186,10.); #116047=VECTOR('',#396195,0.139999999999998); #116048=VECTOR('',#396200,10.); #116049=VECTOR('',#396201,10.); #116050=VECTOR('',#396202,10.); #116051=VECTOR('',#396203,10.); #116052=VECTOR('',#396206,10.); #116053=VECTOR('',#396207,10.); #116054=VECTOR('',#396208,10.); #116055=VECTOR('',#396211,10.); #116056=VECTOR('',#396212,10.); #116057=VECTOR('',#396213,10.); #116058=VECTOR('',#396216,10.); #116059=VECTOR('',#396217,10.); #116060=VECTOR('',#396218,10.); #116061=VECTOR('',#396221,10.); #116062=VECTOR('',#396222,10.); #116063=VECTOR('',#396223,10.); #116064=VECTOR('',#396226,10.); #116065=VECTOR('',#396227,10.); #116066=VECTOR('',#396228,10.); #116067=VECTOR('',#396231,10.); #116068=VECTOR('',#396232,10.); #116069=VECTOR('',#396233,10.); #116070=VECTOR('',#396236,10.); #116071=VECTOR('',#396237,10.); #116072=VECTOR('',#396238,10.); #116073=VECTOR('',#396241,10.); #116074=VECTOR('',#396242,10.); #116075=VECTOR('',#396243,10.); #116076=VECTOR('',#396246,10.); #116077=VECTOR('',#396247,10.); #116078=VECTOR('',#396248,10.); #116079=VECTOR('',#396251,10.); #116080=VECTOR('',#396252,10.); #116081=VECTOR('',#396253,10.); #116082=VECTOR('',#396256,10.); #116083=VECTOR('',#396257,10.); #116084=VECTOR('',#396258,10.); #116085=VECTOR('',#396265,10.); #116086=VECTOR('',#396268,10.); #116087=VECTOR('',#396269,10.); #116088=VECTOR('',#396270,10.); #116089=VECTOR('',#396277,10.); #116090=VECTOR('',#396280,10.); #116091=VECTOR('',#396281,10.); #116092=VECTOR('',#396282,10.); #116093=VECTOR('',#396285,10.); #116094=VECTOR('',#396286,10.); #116095=VECTOR('',#396287,10.); #116096=VECTOR('',#396290,10.); #116097=VECTOR('',#396291,10.); #116098=VECTOR('',#396292,10.); #116099=VECTOR('',#396299,10.); #116100=VECTOR('',#396302,10.); #116101=VECTOR('',#396303,10.); #116102=VECTOR('',#396304,10.); #116103=VECTOR('',#396311,10.); #116104=VECTOR('',#396314,10.); #116105=VECTOR('',#396315,10.); #116106=VECTOR('',#396316,10.); #116107=VECTOR('',#396323,10.); #116108=VECTOR('',#396330,10.); #116109=VECTOR('',#396333,10.); #116110=VECTOR('',#396334,10.); #116111=VECTOR('',#396335,10.); #116112=VECTOR('',#396338,10.); #116113=VECTOR('',#396339,10.); #116114=VECTOR('',#396340,10.); #116115=VECTOR('',#396343,10.); #116116=VECTOR('',#396344,10.); #116117=VECTOR('',#396345,10.); #116118=VECTOR('',#396352,10.); #116119=VECTOR('',#396355,10.); #116120=VECTOR('',#396356,10.); #116121=VECTOR('',#396357,10.); #116122=VECTOR('',#396364,10.); #116123=VECTOR('',#396367,10.); #116124=VECTOR('',#396368,10.); #116125=VECTOR('',#396369,10.); #116126=VECTOR('',#396372,10.); #116127=VECTOR('',#396373,10.); #116128=VECTOR('',#396374,10.); #116129=VECTOR('',#396377,10.); #116130=VECTOR('',#396378,10.); #116131=VECTOR('',#396379,10.); #116132=VECTOR('',#396386,10.); #116133=VECTOR('',#396389,10.); #116134=VECTOR('',#396390,10.); #116135=VECTOR('',#396391,10.); #116136=VECTOR('',#396398,10.); #116137=VECTOR('',#396401,10.); #116138=VECTOR('',#396402,10.); #116139=VECTOR('',#396403,10.); #116140=VECTOR('',#396406,10.); #116141=VECTOR('',#396407,10.); #116142=VECTOR('',#396408,10.); #116143=VECTOR('',#396411,10.); #116144=VECTOR('',#396412,10.); #116145=VECTOR('',#396413,10.); #116146=VECTOR('',#396416,10.); #116147=VECTOR('',#396417,10.); #116148=VECTOR('',#396418,10.); #116149=VECTOR('',#396421,10.); #116150=VECTOR('',#396422,10.); #116151=VECTOR('',#396423,10.); #116152=VECTOR('',#396426,10.); #116153=VECTOR('',#396427,10.); #116154=VECTOR('',#396428,10.); #116155=VECTOR('',#396431,10.); #116156=VECTOR('',#396432,10.); #116157=VECTOR('',#396441,0.139999999999998); #116158=VECTOR('',#396446,10.); #116159=VECTOR('',#396447,10.); #116160=VECTOR('',#396448,10.); #116161=VECTOR('',#396449,10.); #116162=VECTOR('',#396452,10.); #116163=VECTOR('',#396453,10.); #116164=VECTOR('',#396454,10.); #116165=VECTOR('',#396457,10.); #116166=VECTOR('',#396458,10.); #116167=VECTOR('',#396459,10.); #116168=VECTOR('',#396462,10.); #116169=VECTOR('',#396463,10.); #116170=VECTOR('',#396464,10.); #116171=VECTOR('',#396471,10.); #116172=VECTOR('',#396474,10.); #116173=VECTOR('',#396475,10.); #116174=VECTOR('',#396476,10.); #116175=VECTOR('',#396483,10.); #116176=VECTOR('',#396486,10.); #116177=VECTOR('',#396487,10.); #116178=VECTOR('',#396488,10.); #116179=VECTOR('',#396491,10.); #116180=VECTOR('',#396492,10.); #116181=VECTOR('',#396493,10.); #116182=VECTOR('',#396500,10.); #116183=VECTOR('',#396503,10.); #116184=VECTOR('',#396504,10.); #116185=VECTOR('',#396505,10.); #116186=VECTOR('',#396512,10.); #116187=VECTOR('',#396519,10.); #116188=VECTOR('',#396522,10.); #116189=VECTOR('',#396523,10.); #116190=VECTOR('',#396524,10.); #116191=VECTOR('',#396527,10.); #116192=VECTOR('',#396528,10.); #116193=VECTOR('',#396529,10.); #116194=VECTOR('',#396536,10.); #116195=VECTOR('',#396539,10.); #116196=VECTOR('',#396540,10.); #116197=VECTOR('',#396541,10.); #116198=VECTOR('',#396544,10.); #116199=VECTOR('',#396545,10.); #116200=VECTOR('',#396546,10.); #116201=VECTOR('',#396549,10.); #116202=VECTOR('',#396550,10.); #116203=VECTOR('',#396551,10.); #116204=VECTOR('',#396554,10.); #116205=VECTOR('',#396555,10.); #116206=VECTOR('',#396556,10.); #116207=VECTOR('',#396559,10.); #116208=VECTOR('',#396560,10.); #116209=VECTOR('',#396567,10.); #116210=VECTOR('',#396568,10.); #116211=VECTOR('',#396569,10.); #116212=VECTOR('',#396570,10.); #116213=VECTOR('',#396573,10.); #116214=VECTOR('',#396574,10.); #116215=VECTOR('',#396575,10.); #116216=VECTOR('',#396578,10.); #116217=VECTOR('',#396579,10.); #116218=VECTOR('',#396580,10.); #116219=VECTOR('',#396583,10.); #116220=VECTOR('',#396584,10.); #116221=VECTOR('',#396585,10.); #116222=VECTOR('',#396588,10.); #116223=VECTOR('',#396589,10.); #116224=VECTOR('',#396590,10.); #116225=VECTOR('',#396593,10.); #116226=VECTOR('',#396594,10.); #116227=VECTOR('',#396595,10.); #116228=VECTOR('',#396598,10.); #116229=VECTOR('',#396599,10.); #116230=VECTOR('',#396600,10.); #116231=VECTOR('',#396603,10.); #116232=VECTOR('',#396604,10.); #116233=VECTOR('',#396605,10.); #116234=VECTOR('',#396608,10.); #116235=VECTOR('',#396609,10.); #116236=VECTOR('',#396610,10.); #116237=VECTOR('',#396613,10.); #116238=VECTOR('',#396614,10.); #116239=VECTOR('',#396615,10.); #116240=VECTOR('',#396618,10.); #116241=VECTOR('',#396619,10.); #116242=VECTOR('',#396620,10.); #116243=VECTOR('',#396623,10.); #116244=VECTOR('',#396624,10.); #116245=VECTOR('',#396631,10.); #116246=VECTOR('',#396632,10.); #116247=VECTOR('',#396633,10.); #116248=VECTOR('',#396634,10.); #116249=VECTOR('',#396637,10.); #116250=VECTOR('',#396638,10.); #116251=VECTOR('',#396639,10.); #116252=VECTOR('',#396642,10.); #116253=VECTOR('',#396643,10.); #116254=VECTOR('',#396644,10.); #116255=VECTOR('',#396647,10.); #116256=VECTOR('',#396648,10.); #116257=VECTOR('',#396649,10.); #116258=VECTOR('',#396652,10.); #116259=VECTOR('',#396653,10.); #116260=VECTOR('',#396654,10.); #116261=VECTOR('',#396657,10.); #116262=VECTOR('',#396658,10.); #116263=VECTOR('',#396659,10.); #116264=VECTOR('',#396662,10.); #116265=VECTOR('',#396663,10.); #116266=VECTOR('',#396664,10.); #116267=VECTOR('',#396667,10.); #116268=VECTOR('',#396668,10.); #116269=VECTOR('',#396669,10.); #116270=VECTOR('',#396672,10.); #116271=VECTOR('',#396673,10.); #116272=VECTOR('',#396674,10.); #116273=VECTOR('',#396677,10.); #116274=VECTOR('',#396678,10.); #116275=VECTOR('',#396679,10.); #116276=VECTOR('',#396682,10.); #116277=VECTOR('',#396683,10.); #116278=VECTOR('',#396684,10.); #116279=VECTOR('',#396687,10.); #116280=VECTOR('',#396688,10.); #116281=VECTOR('',#396697,0.140000000000002); #116282=VECTOR('',#396702,10.); #116283=VECTOR('',#396703,10.); #116284=VECTOR('',#396704,10.); #116285=VECTOR('',#396705,10.); #116286=VECTOR('',#396708,10.); #116287=VECTOR('',#396709,10.); #116288=VECTOR('',#396710,10.); #116289=VECTOR('',#396713,10.); #116290=VECTOR('',#396714,10.); #116291=VECTOR('',#396715,10.); #116292=VECTOR('',#396718,10.); #116293=VECTOR('',#396719,10.); #116294=VECTOR('',#396720,10.); #116295=VECTOR('',#396727,10.); #116296=VECTOR('',#396730,10.); #116297=VECTOR('',#396731,10.); #116298=VECTOR('',#396732,10.); #116299=VECTOR('',#396739,10.); #116300=VECTOR('',#396742,10.); #116301=VECTOR('',#396743,10.); #116302=VECTOR('',#396744,10.); #116303=VECTOR('',#396751,10.); #116304=VECTOR('',#396754,10.); #116305=VECTOR('',#396755,10.); #116306=VECTOR('',#396756,10.); #116307=VECTOR('',#396763,10.); #116308=VECTOR('',#396770,10.); #116309=VECTOR('',#396773,10.); #116310=VECTOR('',#396774,10.); #116311=VECTOR('',#396775,10.); #116312=VECTOR('',#396778,10.); #116313=VECTOR('',#396779,10.); #116314=VECTOR('',#396780,10.); #116315=VECTOR('',#396783,10.); #116316=VECTOR('',#396784,10.); #116317=VECTOR('',#396785,10.); #116318=VECTOR('',#396788,10.); #116319=VECTOR('',#396789,10.); #116320=VECTOR('',#396790,10.); #116321=VECTOR('',#396793,10.); #116322=VECTOR('',#396794,10.); #116323=VECTOR('',#396803,0.139999999999998); #116324=VECTOR('',#396808,10.); #116325=VECTOR('',#396809,10.); #116326=VECTOR('',#396810,10.); #116327=VECTOR('',#396811,10.); #116328=VECTOR('',#396818,10.); #116329=VECTOR('',#396821,10.); #116330=VECTOR('',#396822,10.); #116331=VECTOR('',#396823,10.); #116332=VECTOR('',#396830,10.); #116333=VECTOR('',#396833,10.); #116334=VECTOR('',#396834,10.); #116335=VECTOR('',#396835,10.); #116336=VECTOR('',#396842,10.); #116337=VECTOR('',#396845,10.); #116338=VECTOR('',#396846,10.); #116339=VECTOR('',#396847,10.); #116340=VECTOR('',#396850,10.); #116341=VECTOR('',#396851,10.); #116342=VECTOR('',#396852,10.); #116343=VECTOR('',#396855,10.); #116344=VECTOR('',#396856,10.); #116345=VECTOR('',#396857,10.); #116346=VECTOR('',#396860,10.); #116347=VECTOR('',#396861,10.); #116348=VECTOR('',#396862,10.); #116349=VECTOR('',#396865,10.); #116350=VECTOR('',#396866,10.); #116351=VECTOR('',#396867,10.); #116352=VECTOR('',#396870,10.); #116353=VECTOR('',#396871,10.); #116354=VECTOR('',#396872,10.); #116355=VECTOR('',#396875,10.); #116356=VECTOR('',#396876,10.); #116357=VECTOR('',#396877,10.); #116358=VECTOR('',#396880,10.); #116359=VECTOR('',#396881,10.); #116360=VECTOR('',#396882,10.); #116361=VECTOR('',#396885,10.); #116362=VECTOR('',#396886,10.); #116363=VECTOR('',#396887,10.); #116364=VECTOR('',#396894,10.); #116365=VECTOR('',#396897,10.); #116366=VECTOR('',#396898,10.); #116367=VECTOR('',#396899,10.); #116368=VECTOR('',#396906,10.); #116369=VECTOR('',#396909,10.); #116370=VECTOR('',#396910,10.); #116371=VECTOR('',#396911,10.); #116372=VECTOR('',#396914,10.); #116373=VECTOR('',#396915,10.); #116374=VECTOR('',#396916,10.); #116375=VECTOR('',#396919,10.); #116376=VECTOR('',#396920,10.); #116377=VECTOR('',#396921,10.); #116378=VECTOR('',#396936,0.139999999999998); #116379=VECTOR('',#396941,10.); #116380=VECTOR('',#396942,10.); #116381=VECTOR('',#396943,10.); #116382=VECTOR('',#396944,10.); #116383=VECTOR('',#396951,10.); #116384=VECTOR('',#396958,10.); #116385=VECTOR('',#396961,10.); #116386=VECTOR('',#396962,10.); #116387=VECTOR('',#396963,10.); #116388=VECTOR('',#396966,10.); #116389=VECTOR('',#396967,10.); #116390=VECTOR('',#396968,10.); #116391=VECTOR('',#396971,10.); #116392=VECTOR('',#396972,10.); #116393=VECTOR('',#396973,10.); #116394=VECTOR('',#396976,10.); #116395=VECTOR('',#396977,10.); #116396=VECTOR('',#396978,10.); #116397=VECTOR('',#396981,10.); #116398=VECTOR('',#396982,10.); #116399=VECTOR('',#396983,10.); #116400=VECTOR('',#396986,10.); #116401=VECTOR('',#396987,10.); #116402=VECTOR('',#396988,10.); #116403=VECTOR('',#396995,10.); #116404=VECTOR('',#396998,10.); #116405=VECTOR('',#396999,10.); #116406=VECTOR('',#397000,10.); #116407=VECTOR('',#397013,10.); #116408=VECTOR('',#397014,10.); #116409=VECTOR('',#397015,10.); #116410=VECTOR('',#397016,10.); #116411=VECTOR('',#397019,10.); #116412=VECTOR('',#397020,10.); #116413=VECTOR('',#397021,10.); #116414=VECTOR('',#397024,10.); #116415=VECTOR('',#397025,10.); #116416=VECTOR('',#397026,10.); #116417=VECTOR('',#397029,10.); #116418=VECTOR('',#397030,10.); #116419=VECTOR('',#397031,10.); #116420=VECTOR('',#397038,10.); #116421=VECTOR('',#397041,10.); #116422=VECTOR('',#397042,10.); #116423=VECTOR('',#397043,10.); #116424=VECTOR('',#397050,10.); #116425=VECTOR('',#397053,10.); #116426=VECTOR('',#397054,10.); #116427=VECTOR('',#397055,10.); #116428=VECTOR('',#397058,10.); #116429=VECTOR('',#397059,10.); #116430=VECTOR('',#397060,10.); #116431=VECTOR('',#397063,10.); #116432=VECTOR('',#397064,10.); #116433=VECTOR('',#397065,10.); #116434=VECTOR('',#397072,10.); #116435=VECTOR('',#397075,10.); #116436=VECTOR('',#397076,10.); #116437=VECTOR('',#397077,10.); #116438=VECTOR('',#397084,10.); #116439=VECTOR('',#397087,10.); #116440=VECTOR('',#397088,10.); #116441=VECTOR('',#397089,10.); #116442=VECTOR('',#397092,10.); #116443=VECTOR('',#397093,10.); #116444=VECTOR('',#397094,10.); #116445=VECTOR('',#397097,10.); #116446=VECTOR('',#397098,10.); #116447=VECTOR('',#397099,10.); #116448=VECTOR('',#397102,10.); #116449=VECTOR('',#397103,10.); #116450=VECTOR('',#397104,10.); #116451=VECTOR('',#397107,10.); #116452=VECTOR('',#397108,10.); #116453=VECTOR('',#397109,10.); #116454=VECTOR('',#397112,10.); #116455=VECTOR('',#397113,10.); #116456=VECTOR('',#397114,10.); #116457=VECTOR('',#397117,10.); #116458=VECTOR('',#397118,10.); #116459=VECTOR('',#397119,10.); #116460=VECTOR('',#397122,10.); #116461=VECTOR('',#397123,10.); #116462=VECTOR('',#397124,10.); #116463=VECTOR('',#397127,10.); #116464=VECTOR('',#397128,10.); #116465=VECTOR('',#397129,10.); #116466=VECTOR('',#397136,10.); #116467=VECTOR('',#397139,10.); #116468=VECTOR('',#397140,10.); #116469=VECTOR('',#397141,10.); #116470=VECTOR('',#397148,10.); #116471=VECTOR('',#397151,10.); #116472=VECTOR('',#397152,10.); #116473=VECTOR('',#397153,10.); #116474=VECTOR('',#397156,10.); #116475=VECTOR('',#397157,10.); #116476=VECTOR('',#397158,10.); #116477=VECTOR('',#397161,10.); #116478=VECTOR('',#397162,10.); #116479=VECTOR('',#397163,10.); #116480=VECTOR('',#397170,10.); #116481=VECTOR('',#397173,10.); #116482=VECTOR('',#397174,10.); #116483=VECTOR('',#397175,10.); #116484=VECTOR('',#397182,10.); #116485=VECTOR('',#397185,10.); #116486=VECTOR('',#397186,10.); #116487=VECTOR('',#397187,10.); #116488=VECTOR('',#397190,10.); #116489=VECTOR('',#397191,10.); #116490=VECTOR('',#397192,10.); #116491=VECTOR('',#397195,10.); #116492=VECTOR('',#397196,10.); #116493=VECTOR('',#397197,10.); #116494=VECTOR('',#397200,10.); #116495=VECTOR('',#397201,10.); #116496=VECTOR('',#397202,10.); #116497=VECTOR('',#397205,10.); #116498=VECTOR('',#397206,10.); #116499=VECTOR('',#397215,0.139999999999998); #116500=VECTOR('',#397220,10.); #116501=VECTOR('',#397221,10.); #116502=VECTOR('',#397222,10.); #116503=VECTOR('',#397223,10.); #116504=VECTOR('',#397230,10.); #116505=VECTOR('',#397233,10.); #116506=VECTOR('',#397234,10.); #116507=VECTOR('',#397235,10.); #116508=VECTOR('',#397238,10.); #116509=VECTOR('',#397239,10.); #116510=VECTOR('',#397240,10.); #116511=VECTOR('',#397243,10.); #116512=VECTOR('',#397244,10.); #116513=VECTOR('',#397245,10.); #116514=VECTOR('',#397248,10.); #116515=VECTOR('',#397249,10.); #116516=VECTOR('',#397250,10.); #116517=VECTOR('',#397253,10.); #116518=VECTOR('',#397254,10.); #116519=VECTOR('',#397255,10.); #116520=VECTOR('',#397258,10.); #116521=VECTOR('',#397259,10.); #116522=VECTOR('',#397260,10.); #116523=VECTOR('',#397263,10.); #116524=VECTOR('',#397264,10.); #116525=VECTOR('',#397265,10.); #116526=VECTOR('',#397280,0.139999999999998); #116527=VECTOR('',#397287,0.139999999999998); #116528=VECTOR('',#397292,10.); #116529=VECTOR('',#397293,10.); #116530=VECTOR('',#397294,10.); #116531=VECTOR('',#397295,10.); #116532=VECTOR('',#397298,10.); #116533=VECTOR('',#397299,10.); #116534=VECTOR('',#397300,10.); #116535=VECTOR('',#397307,10.); #116536=VECTOR('',#397314,10.); #116537=VECTOR('',#397317,10.); #116538=VECTOR('',#397318,10.); #116539=VECTOR('',#397319,10.); #116540=VECTOR('',#397322,10.); #116541=VECTOR('',#397323,10.); #116542=VECTOR('',#397324,10.); #116543=VECTOR('',#397331,10.); #116544=VECTOR('',#397338,10.); #116545=VECTOR('',#397341,10.); #116546=VECTOR('',#397342,10.); #116547=VECTOR('',#397343,10.); #116548=VECTOR('',#397346,10.); #116549=VECTOR('',#397347,10.); #116550=VECTOR('',#397348,10.); #116551=VECTOR('',#397351,10.); #116552=VECTOR('',#397352,10.); #116553=VECTOR('',#397353,10.); #116554=VECTOR('',#397356,10.); #116555=VECTOR('',#397357,10.); #116556=VECTOR('',#397358,10.); #116557=VECTOR('',#397361,10.); #116558=VECTOR('',#397362,10.); #116559=VECTOR('',#397363,10.); #116560=VECTOR('',#397366,10.); #116561=VECTOR('',#397367,10.); #116562=VECTOR('',#397368,10.); #116563=VECTOR('',#397371,10.); #116564=VECTOR('',#397372,10.); #116565=VECTOR('',#397373,10.); #116566=VECTOR('',#397376,10.); #116567=VECTOR('',#397377,10.); #116568=VECTOR('',#397378,10.); #116569=VECTOR('',#397381,10.); #116570=VECTOR('',#397382,10.); #116571=VECTOR('',#397383,10.); #116572=VECTOR('',#397386,10.); #116573=VECTOR('',#397387,10.); #116574=VECTOR('',#397388,10.); #116575=VECTOR('',#397395,10.); #116576=VECTOR('',#397398,10.); #116577=VECTOR('',#397399,10.); #116578=VECTOR('',#397400,10.); #116579=VECTOR('',#397413,10.); #116580=VECTOR('',#397414,10.); #116581=VECTOR('',#397415,10.); #116582=VECTOR('',#397416,10.); #116583=VECTOR('',#397419,10.); #116584=VECTOR('',#397420,10.); #116585=VECTOR('',#397421,10.); #116586=VECTOR('',#397424,10.); #116587=VECTOR('',#397425,10.); #116588=VECTOR('',#397426,10.); #116589=VECTOR('',#397429,10.); #116590=VECTOR('',#397430,10.); #116591=VECTOR('',#397431,10.); #116592=VECTOR('',#397438,10.); #116593=VECTOR('',#397441,10.); #116594=VECTOR('',#397442,10.); #116595=VECTOR('',#397443,10.); #116596=VECTOR('',#397446,10.); #116597=VECTOR('',#397447,10.); #116598=VECTOR('',#397448,10.); #116599=VECTOR('',#397451,10.); #116600=VECTOR('',#397452,10.); #116601=VECTOR('',#397453,10.); #116602=VECTOR('',#397456,10.); #116603=VECTOR('',#397457,10.); #116604=VECTOR('',#397458,10.); #116605=VECTOR('',#397461,10.); #116606=VECTOR('',#397462,10.); #116607=VECTOR('',#397463,10.); #116608=VECTOR('',#397466,10.); #116609=VECTOR('',#397467,10.); #116610=VECTOR('',#397468,10.); #116611=VECTOR('',#397471,10.); #116612=VECTOR('',#397472,10.); #116613=VECTOR('',#397473,10.); #116614=VECTOR('',#397476,10.); #116615=VECTOR('',#397477,10.); #116616=VECTOR('',#397478,10.); #116617=VECTOR('',#397481,10.); #116618=VECTOR('',#397482,10.); #116619=VECTOR('',#397491,0.14); #116620=VECTOR('',#397498,0.14); #116621=VECTOR('',#397505,0.14); #116622=VECTOR('',#397512,0.14); #116623=VECTOR('',#397519,0.14); #116624=VECTOR('',#397526,0.14); #116625=VECTOR('',#397533,0.14); #116626=VECTOR('',#397540,0.14); #116627=VECTOR('',#397547,0.465); #116628=VECTOR('',#397554,0.514999999999999); #116629=VECTOR('',#397561,0.14); #116630=VECTOR('',#397566,10.); #116631=VECTOR('',#397567,10.); #116632=VECTOR('',#397568,10.); #116633=VECTOR('',#397569,10.); #116634=VECTOR('',#397572,10.); #116635=VECTOR('',#397573,10.); #116636=VECTOR('',#397574,10.); #116637=VECTOR('',#397577,10.); #116638=VECTOR('',#397578,10.); #116639=VECTOR('',#397579,10.); #116640=VECTOR('',#397582,10.); #116641=VECTOR('',#397583,10.); #116642=VECTOR('',#397584,10.); #116643=VECTOR('',#397591,10.); #116644=VECTOR('',#397594,10.); #116645=VECTOR('',#397595,10.); #116646=VECTOR('',#397596,10.); #116647=VECTOR('',#397603,10.); #116648=VECTOR('',#397606,10.); #116649=VECTOR('',#397607,10.); #116650=VECTOR('',#397608,10.); #116651=VECTOR('',#397611,10.); #116652=VECTOR('',#397612,10.); #116653=VECTOR('',#397613,10.); #116654=VECTOR('',#397616,10.); #116655=VECTOR('',#397617,10.); #116656=VECTOR('',#397618,10.); #116657=VECTOR('',#397621,10.); #116658=VECTOR('',#397622,10.); #116659=VECTOR('',#397623,10.); #116660=VECTOR('',#397626,10.); #116661=VECTOR('',#397627,10.); #116662=VECTOR('',#397628,10.); #116663=VECTOR('',#397631,10.); #116664=VECTOR('',#397632,10.); #116665=VECTOR('',#397633,10.); #116666=VECTOR('',#397636,10.); #116667=VECTOR('',#397637,10.); #116668=VECTOR('',#397638,10.); #116669=VECTOR('',#397641,10.); #116670=VECTOR('',#397642,10.); #116671=VECTOR('',#397643,10.); #116672=VECTOR('',#397646,10.); #116673=VECTOR('',#397647,10.); #116674=VECTOR('',#397648,10.); #116675=VECTOR('',#397651,10.); #116676=VECTOR('',#397652,10.); #116677=VECTOR('',#397653,10.); #116678=VECTOR('',#397656,10.); #116679=VECTOR('',#397657,10.); #116680=VECTOR('',#397658,10.); #116681=VECTOR('',#397661,10.); #116682=VECTOR('',#397662,10.); #116683=VECTOR('',#397663,10.); #116684=VECTOR('',#397666,10.); #116685=VECTOR('',#397667,10.); #116686=VECTOR('',#397668,10.); #116687=VECTOR('',#397671,10.); #116688=VECTOR('',#397672,10.); #116689=VECTOR('',#397673,10.); #116690=VECTOR('',#397676,10.); #116691=VECTOR('',#397677,10.); #116692=VECTOR('',#397678,10.); #116693=VECTOR('',#397681,10.); #116694=VECTOR('',#397682,10.); #116695=VECTOR('',#397683,10.); #116696=VECTOR('',#397686,10.); #116697=VECTOR('',#397687,10.); #116698=VECTOR('',#397688,10.); #116699=VECTOR('',#397691,10.); #116700=VECTOR('',#397692,10.); #116701=VECTOR('',#397693,10.); #116702=VECTOR('',#397696,10.); #116703=VECTOR('',#397697,10.); #116704=VECTOR('',#397698,10.); #116705=VECTOR('',#397701,10.); #116706=VECTOR('',#397702,10.); #116707=VECTOR('',#397703,10.); #116708=VECTOR('',#397706,10.); #116709=VECTOR('',#397707,10.); #116710=VECTOR('',#397708,10.); #116711=VECTOR('',#397711,10.); #116712=VECTOR('',#397712,10.); #116713=VECTOR('',#397713,10.); #116714=VECTOR('',#397716,10.); #116715=VECTOR('',#397717,10.); #116716=VECTOR('',#397718,10.); #116717=VECTOR('',#397721,10.); #116718=VECTOR('',#397722,10.); #116719=VECTOR('',#397723,10.); #116720=VECTOR('',#397726,10.); #116721=VECTOR('',#397727,10.); #116722=VECTOR('',#397728,10.); #116723=VECTOR('',#397731,10.); #116724=VECTOR('',#397732,10.); #116725=VECTOR('',#397733,10.); #116726=VECTOR('',#397736,10.); #116727=VECTOR('',#397737,10.); #116728=VECTOR('',#397738,10.); #116729=VECTOR('',#397741,10.); #116730=VECTOR('',#397742,10.); #116731=VECTOR('',#397743,10.); #116732=VECTOR('',#397746,10.); #116733=VECTOR('',#397747,10.); #116734=VECTOR('',#397748,10.); #116735=VECTOR('',#397751,10.); #116736=VECTOR('',#397752,10.); #116737=VECTOR('',#397753,10.); #116738=VECTOR('',#397756,10.); #116739=VECTOR('',#397757,10.); #116740=VECTOR('',#397758,10.); #116741=VECTOR('',#397761,10.); #116742=VECTOR('',#397762,10.); #116743=VECTOR('',#397763,10.); #116744=VECTOR('',#397766,10.); #116745=VECTOR('',#397767,10.); #116746=VECTOR('',#397768,10.); #116747=VECTOR('',#397771,10.); #116748=VECTOR('',#397772,10.); #116749=VECTOR('',#397773,10.); #116750=VECTOR('',#397776,10.); #116751=VECTOR('',#397777,10.); #116752=VECTOR('',#397778,10.); #116753=VECTOR('',#397781,10.); #116754=VECTOR('',#397782,10.); #116755=VECTOR('',#397783,10.); #116756=VECTOR('',#397786,10.); #116757=VECTOR('',#397787,10.); #116758=VECTOR('',#397788,10.); #116759=VECTOR('',#397791,10.); #116760=VECTOR('',#397792,10.); #116761=VECTOR('',#397793,10.); #116762=VECTOR('',#397796,10.); #116763=VECTOR('',#397797,10.); #116764=VECTOR('',#397798,10.); #116765=VECTOR('',#397801,10.); #116766=VECTOR('',#397802,10.); #116767=VECTOR('',#397803,10.); #116768=VECTOR('',#397806,10.); #116769=VECTOR('',#397807,10.); #116770=VECTOR('',#397808,10.); #116771=VECTOR('',#397811,10.); #116772=VECTOR('',#397812,10.); #116773=VECTOR('',#397813,10.); #116774=VECTOR('',#397816,10.); #116775=VECTOR('',#397817,10.); #116776=VECTOR('',#397818,10.); #116777=VECTOR('',#397821,10.); #116778=VECTOR('',#397822,10.); #116779=VECTOR('',#397823,10.); #116780=VECTOR('',#397826,10.); #116781=VECTOR('',#397827,10.); #116782=VECTOR('',#397828,10.); #116783=VECTOR('',#397831,10.); #116784=VECTOR('',#397832,10.); #116785=VECTOR('',#397833,10.); #116786=VECTOR('',#397836,10.); #116787=VECTOR('',#397837,10.); #116788=VECTOR('',#397838,10.); #116789=VECTOR('',#397841,10.); #116790=VECTOR('',#397842,10.); #116791=VECTOR('',#397843,10.); #116792=VECTOR('',#397846,10.); #116793=VECTOR('',#397847,10.); #116794=VECTOR('',#397848,10.); #116795=VECTOR('',#397851,10.); #116796=VECTOR('',#397852,10.); #116797=VECTOR('',#397853,10.); #116798=VECTOR('',#397856,10.); #116799=VECTOR('',#397857,10.); #116800=VECTOR('',#397858,10.); #116801=VECTOR('',#397861,10.); #116802=VECTOR('',#397862,10.); #116803=VECTOR('',#397863,10.); #116804=VECTOR('',#397866,10.); #116805=VECTOR('',#397867,10.); #116806=VECTOR('',#397868,10.); #116807=VECTOR('',#397871,10.); #116808=VECTOR('',#397872,10.); #116809=VECTOR('',#397873,10.); #116810=VECTOR('',#397876,10.); #116811=VECTOR('',#397877,10.); #116812=VECTOR('',#397878,10.); #116813=VECTOR('',#397881,10.); #116814=VECTOR('',#397882,10.); #116815=VECTOR('',#397883,10.); #116816=VECTOR('',#397886,10.); #116817=VECTOR('',#397887,10.); #116818=VECTOR('',#397888,10.); #116819=VECTOR('',#397891,10.); #116820=VECTOR('',#397892,10.); #116821=VECTOR('',#397893,10.); #116822=VECTOR('',#397896,10.); #116823=VECTOR('',#397897,10.); #116824=VECTOR('',#397898,10.); #116825=VECTOR('',#397901,10.); #116826=VECTOR('',#397902,10.); #116827=VECTOR('',#397903,10.); #116828=VECTOR('',#397906,10.); #116829=VECTOR('',#397907,10.); #116830=VECTOR('',#397908,10.); #116831=VECTOR('',#397911,10.); #116832=VECTOR('',#397912,10.); #116833=VECTOR('',#397913,10.); #116834=VECTOR('',#397916,10.); #116835=VECTOR('',#397917,10.); #116836=VECTOR('',#397918,10.); #116837=VECTOR('',#397921,10.); #116838=VECTOR('',#397922,10.); #116839=VECTOR('',#397923,10.); #116840=VECTOR('',#397926,10.); #116841=VECTOR('',#397927,10.); #116842=VECTOR('',#397928,10.); #116843=VECTOR('',#397931,10.); #116844=VECTOR('',#397932,10.); #116845=VECTOR('',#397933,10.); #116846=VECTOR('',#397936,10.); #116847=VECTOR('',#397937,10.); #116848=VECTOR('',#397938,10.); #116849=VECTOR('',#397941,10.); #116850=VECTOR('',#397942,10.); #116851=VECTOR('',#397943,10.); #116852=VECTOR('',#397946,10.); #116853=VECTOR('',#397947,10.); #116854=VECTOR('',#397948,10.); #116855=VECTOR('',#397951,10.); #116856=VECTOR('',#397952,10.); #116857=VECTOR('',#397953,10.); #116858=VECTOR('',#397956,10.); #116859=VECTOR('',#397957,10.); #116860=VECTOR('',#397958,10.); #116861=VECTOR('',#397961,10.); #116862=VECTOR('',#397962,10.); #116863=VECTOR('',#397963,10.); #116864=VECTOR('',#397966,10.); #116865=VECTOR('',#397967,10.); #116866=VECTOR('',#397968,10.); #116867=VECTOR('',#397971,10.); #116868=VECTOR('',#397972,10.); #116869=VECTOR('',#397973,10.); #116870=VECTOR('',#397976,10.); #116871=VECTOR('',#397977,10.); #116872=VECTOR('',#397978,10.); #116873=VECTOR('',#397981,10.); #116874=VECTOR('',#397982,10.); #116875=VECTOR('',#397983,10.); #116876=VECTOR('',#397986,10.); #116877=VECTOR('',#397987,10.); #116878=VECTOR('',#397988,10.); #116879=VECTOR('',#397991,10.); #116880=VECTOR('',#397992,10.); #116881=VECTOR('',#397993,10.); #116882=VECTOR('',#397996,10.); #116883=VECTOR('',#397997,10.); #116884=VECTOR('',#397998,10.); #116885=VECTOR('',#398001,10.); #116886=VECTOR('',#398002,10.); #116887=VECTOR('',#398003,10.); #116888=VECTOR('',#398006,10.); #116889=VECTOR('',#398007,10.); #116890=VECTOR('',#398008,10.); #116891=VECTOR('',#398011,10.); #116892=VECTOR('',#398012,10.); #116893=VECTOR('',#398013,10.); #116894=VECTOR('',#398016,10.); #116895=VECTOR('',#398017,10.); #116896=VECTOR('',#398018,10.); #116897=VECTOR('',#398021,10.); #116898=VECTOR('',#398022,10.); #116899=VECTOR('',#398023,10.); #116900=VECTOR('',#398026,10.); #116901=VECTOR('',#398027,10.); #116902=VECTOR('',#398028,10.); #116903=VECTOR('',#398031,10.); #116904=VECTOR('',#398032,10.); #116905=VECTOR('',#398033,10.); #116906=VECTOR('',#398036,10.); #116907=VECTOR('',#398037,10.); #116908=VECTOR('',#398038,10.); #116909=VECTOR('',#398041,10.); #116910=VECTOR('',#398042,10.); #116911=VECTOR('',#398043,10.); #116912=VECTOR('',#398046,10.); #116913=VECTOR('',#398047,10.); #116914=VECTOR('',#398048,10.); #116915=VECTOR('',#398051,10.); #116916=VECTOR('',#398052,10.); #116917=VECTOR('',#398053,10.); #116918=VECTOR('',#398056,10.); #116919=VECTOR('',#398057,10.); #116920=VECTOR('',#398058,10.); #116921=VECTOR('',#398061,10.); #116922=VECTOR('',#398062,10.); #116923=VECTOR('',#398063,10.); #116924=VECTOR('',#398066,10.); #116925=VECTOR('',#398067,10.); #116926=VECTOR('',#398068,10.); #116927=VECTOR('',#398071,10.); #116928=VECTOR('',#398072,10.); #116929=VECTOR('',#398073,10.); #116930=VECTOR('',#398076,10.); #116931=VECTOR('',#398077,10.); #116932=VECTOR('',#398078,10.); #116933=VECTOR('',#398081,10.); #116934=VECTOR('',#398082,10.); #116935=VECTOR('',#398083,10.); #116936=VECTOR('',#398086,10.); #116937=VECTOR('',#398087,10.); #116938=VECTOR('',#398088,10.); #116939=VECTOR('',#398091,10.); #116940=VECTOR('',#398092,10.); #116941=VECTOR('',#398093,10.); #116942=VECTOR('',#398096,10.); #116943=VECTOR('',#398097,10.); #116944=VECTOR('',#398098,10.); #116945=VECTOR('',#398101,10.); #116946=VECTOR('',#398102,10.); #116947=VECTOR('',#398103,10.); #116948=VECTOR('',#398106,10.); #116949=VECTOR('',#398107,10.); #116950=VECTOR('',#398108,10.); #116951=VECTOR('',#398111,10.); #116952=VECTOR('',#398112,10.); #116953=VECTOR('',#398113,10.); #116954=VECTOR('',#398116,10.); #116955=VECTOR('',#398117,10.); #116956=VECTOR('',#398118,10.); #116957=VECTOR('',#398121,10.); #116958=VECTOR('',#398122,10.); #116959=VECTOR('',#398123,10.); #116960=VECTOR('',#398126,10.); #116961=VECTOR('',#398127,10.); #116962=VECTOR('',#398128,10.); #116963=VECTOR('',#398131,10.); #116964=VECTOR('',#398132,10.); #116965=VECTOR('',#398133,10.); #116966=VECTOR('',#398136,10.); #116967=VECTOR('',#398137,10.); #116968=VECTOR('',#398138,10.); #116969=VECTOR('',#398141,10.); #116970=VECTOR('',#398142,10.); #116971=VECTOR('',#398143,10.); #116972=VECTOR('',#398146,10.); #116973=VECTOR('',#398147,10.); #116974=VECTOR('',#398148,10.); #116975=VECTOR('',#398151,10.); #116976=VECTOR('',#398152,10.); #116977=VECTOR('',#398153,10.); #116978=VECTOR('',#398156,10.); #116979=VECTOR('',#398157,10.); #116980=VECTOR('',#398158,10.); #116981=VECTOR('',#398161,10.); #116982=VECTOR('',#398162,10.); #116983=VECTOR('',#398163,10.); #116984=VECTOR('',#398166,10.); #116985=VECTOR('',#398167,10.); #116986=VECTOR('',#398168,10.); #116987=VECTOR('',#398171,10.); #116988=VECTOR('',#398172,10.); #116989=VECTOR('',#398173,10.); #116990=VECTOR('',#398176,10.); #116991=VECTOR('',#398177,10.); #116992=VECTOR('',#398178,10.); #116993=VECTOR('',#398181,10.); #116994=VECTOR('',#398182,10.); #116995=VECTOR('',#398183,10.); #116996=VECTOR('',#398186,10.); #116997=VECTOR('',#398187,10.); #116998=VECTOR('',#398188,10.); #116999=VECTOR('',#398191,10.); #117000=VECTOR('',#398192,10.); #117001=VECTOR('',#398193,10.); #117002=VECTOR('',#398200,10.); #117003=VECTOR('',#398203,10.); #117004=VECTOR('',#398204,10.); #117005=VECTOR('',#398205,10.); #117006=VECTOR('',#398212,10.); #117007=VECTOR('',#398215,10.); #117008=VECTOR('',#398216,10.); #117009=VECTOR('',#398217,10.); #117010=VECTOR('',#398220,10.); #117011=VECTOR('',#398221,10.); #117012=VECTOR('',#398222,10.); #117013=VECTOR('',#398225,10.); #117014=VECTOR('',#398226,10.); #117015=VECTOR('',#398227,10.); #117016=VECTOR('',#398230,10.); #117017=VECTOR('',#398231,10.); #117018=VECTOR('',#398232,10.); #117019=VECTOR('',#398235,10.); #117020=VECTOR('',#398236,10.); #117021=VECTOR('',#398245,0.465); #117022=VECTOR('',#398250,10.); #117023=VECTOR('',#398251,10.); #117024=VECTOR('',#398252,10.); #117025=VECTOR('',#398253,10.); #117026=VECTOR('',#398260,10.); #117027=VECTOR('',#398273,10.); #117028=VECTOR('',#398274,10.); #117029=VECTOR('',#398275,10.); #117030=VECTOR('',#398276,10.); #117031=VECTOR('',#398279,10.); #117032=VECTOR('',#398280,10.); #117033=VECTOR('',#398281,10.); #117034=VECTOR('',#398284,10.); #117035=VECTOR('',#398285,10.); #117036=VECTOR('',#398286,10.); #117037=VECTOR('',#398289,10.); #117038=VECTOR('',#398290,10.); #117039=VECTOR('',#398291,10.); #117040=VECTOR('',#398294,10.); #117041=VECTOR('',#398295,10.); #117042=VECTOR('',#398296,10.); #117043=VECTOR('',#398299,10.); #117044=VECTOR('',#398300,10.); #117045=VECTOR('',#398301,10.); #117046=VECTOR('',#398304,10.); #117047=VECTOR('',#398305,10.); #117048=VECTOR('',#398306,10.); #117049=VECTOR('',#398309,10.); #117050=VECTOR('',#398310,10.); #117051=VECTOR('',#398311,10.); #117052=VECTOR('',#398314,10.); #117053=VECTOR('',#398315,10.); #117054=VECTOR('',#398316,10.); #117055=VECTOR('',#398319,10.); #117056=VECTOR('',#398320,10.); #117057=VECTOR('',#398321,10.); #117058=VECTOR('',#398324,10.); #117059=VECTOR('',#398325,10.); #117060=VECTOR('',#398326,10.); #117061=VECTOR('',#398329,10.); #117062=VECTOR('',#398330,10.); #117063=VECTOR('',#398331,10.); #117064=VECTOR('',#398334,10.); #117065=VECTOR('',#398335,10.); #117066=VECTOR('',#398336,10.); #117067=VECTOR('',#398339,10.); #117068=VECTOR('',#398340,10.); #117069=VECTOR('',#398341,10.); #117070=VECTOR('',#398344,10.); #117071=VECTOR('',#398345,10.); #117072=VECTOR('',#398346,10.); #117073=VECTOR('',#398349,10.); #117074=VECTOR('',#398350,10.); #117075=VECTOR('',#398351,10.); #117076=VECTOR('',#398354,10.); #117077=VECTOR('',#398355,10.); #117078=VECTOR('',#398356,10.); #117079=VECTOR('',#398359,10.); #117080=VECTOR('',#398360,10.); #117081=VECTOR('',#398361,10.); #117082=VECTOR('',#398364,10.); #117083=VECTOR('',#398365,10.); #117084=VECTOR('',#398366,10.); #117085=VECTOR('',#398369,10.); #117086=VECTOR('',#398370,10.); #117087=VECTOR('',#398377,10.); #117088=VECTOR('',#398378,10.); #117089=VECTOR('',#398379,10.); #117090=VECTOR('',#398380,10.); #117091=VECTOR('',#398383,10.); #117092=VECTOR('',#398384,10.); #117093=VECTOR('',#398385,10.); #117094=VECTOR('',#398388,10.); #117095=VECTOR('',#398389,10.); #117096=VECTOR('',#398390,10.); #117097=VECTOR('',#398393,10.); #117098=VECTOR('',#398394,10.); #117099=VECTOR('',#398395,10.); #117100=VECTOR('',#398398,10.); #117101=VECTOR('',#398399,10.); #117102=VECTOR('',#398400,10.); #117103=VECTOR('',#398403,10.); #117104=VECTOR('',#398404,10.); #117105=VECTOR('',#398405,10.); #117106=VECTOR('',#398408,10.); #117107=VECTOR('',#398409,10.); #117108=VECTOR('',#398410,10.); #117109=VECTOR('',#398413,10.); #117110=VECTOR('',#398414,10.); #117111=VECTOR('',#398415,10.); #117112=VECTOR('',#398418,10.); #117113=VECTOR('',#398419,10.); #117114=VECTOR('',#398420,10.); #117115=VECTOR('',#398423,10.); #117116=VECTOR('',#398424,10.); #117117=VECTOR('',#398425,10.); #117118=VECTOR('',#398428,10.); #117119=VECTOR('',#398429,10.); #117120=VECTOR('',#398430,10.); #117121=VECTOR('',#398433,10.); #117122=VECTOR('',#398434,10.); #117123=VECTOR('',#398435,10.); #117124=VECTOR('',#398438,10.); #117125=VECTOR('',#398439,10.); #117126=VECTOR('',#398440,10.); #117127=VECTOR('',#398443,10.); #117128=VECTOR('',#398444,10.); #117129=VECTOR('',#398445,10.); #117130=VECTOR('',#398448,10.); #117131=VECTOR('',#398449,10.); #117132=VECTOR('',#398450,10.); #117133=VECTOR('',#398453,10.); #117134=VECTOR('',#398454,10.); #117135=VECTOR('',#398455,10.); #117136=VECTOR('',#398458,10.); #117137=VECTOR('',#398459,10.); #117138=VECTOR('',#398460,10.); #117139=VECTOR('',#398463,10.); #117140=VECTOR('',#398464,10.); #117141=VECTOR('',#398465,10.); #117142=VECTOR('',#398468,10.); #117143=VECTOR('',#398469,10.); #117144=VECTOR('',#398470,10.); #117145=VECTOR('',#398473,10.); #117146=VECTOR('',#398474,10.); #117147=VECTOR('',#398475,10.); #117148=VECTOR('',#398478,10.); #117149=VECTOR('',#398479,10.); #117150=VECTOR('',#398486,10.); #117151=VECTOR('',#398487,10.); #117152=VECTOR('',#398488,10.); #117153=VECTOR('',#398489,10.); #117154=VECTOR('',#398492,10.); #117155=VECTOR('',#398493,10.); #117156=VECTOR('',#398494,10.); #117157=VECTOR('',#398497,10.); #117158=VECTOR('',#398498,10.); #117159=VECTOR('',#398499,10.); #117160=VECTOR('',#398502,10.); #117161=VECTOR('',#398503,10.); #117162=VECTOR('',#398504,10.); #117163=VECTOR('',#398507,10.); #117164=VECTOR('',#398508,10.); #117165=VECTOR('',#398509,10.); #117166=VECTOR('',#398512,10.); #117167=VECTOR('',#398513,10.); #117168=VECTOR('',#398514,10.); #117169=VECTOR('',#398517,10.); #117170=VECTOR('',#398518,10.); #117171=VECTOR('',#398519,10.); #117172=VECTOR('',#398522,10.); #117173=VECTOR('',#398523,10.); #117174=VECTOR('',#398524,10.); #117175=VECTOR('',#398527,10.); #117176=VECTOR('',#398528,10.); #117177=VECTOR('',#398529,10.); #117178=VECTOR('',#398532,10.); #117179=VECTOR('',#398533,10.); #117180=VECTOR('',#398534,10.); #117181=VECTOR('',#398537,10.); #117182=VECTOR('',#398538,10.); #117183=VECTOR('',#398539,10.); #117184=VECTOR('',#398542,10.); #117185=VECTOR('',#398543,10.); #117186=VECTOR('',#398544,10.); #117187=VECTOR('',#398547,10.); #117188=VECTOR('',#398548,10.); #117189=VECTOR('',#398549,10.); #117190=VECTOR('',#398552,10.); #117191=VECTOR('',#398553,10.); #117192=VECTOR('',#398554,10.); #117193=VECTOR('',#398557,10.); #117194=VECTOR('',#398558,10.); #117195=VECTOR('',#398559,10.); #117196=VECTOR('',#398562,10.); #117197=VECTOR('',#398563,10.); #117198=VECTOR('',#398564,10.); #117199=VECTOR('',#398567,10.); #117200=VECTOR('',#398568,10.); #117201=VECTOR('',#398569,10.); #117202=VECTOR('',#398572,10.); #117203=VECTOR('',#398573,10.); #117204=VECTOR('',#398574,10.); #117205=VECTOR('',#398577,10.); #117206=VECTOR('',#398578,10.); #117207=VECTOR('',#398579,10.); #117208=VECTOR('',#398582,10.); #117209=VECTOR('',#398583,10.); #117210=VECTOR('',#398590,10.); #117211=VECTOR('',#398591,10.); #117212=VECTOR('',#398592,10.); #117213=VECTOR('',#398593,10.); #117214=VECTOR('',#398596,10.); #117215=VECTOR('',#398597,10.); #117216=VECTOR('',#398598,10.); #117217=VECTOR('',#398601,10.); #117218=VECTOR('',#398602,10.); #117219=VECTOR('',#398603,10.); #117220=VECTOR('',#398606,10.); #117221=VECTOR('',#398607,10.); #117222=VECTOR('',#398608,10.); #117223=VECTOR('',#398611,10.); #117224=VECTOR('',#398612,10.); #117225=VECTOR('',#398613,10.); #117226=VECTOR('',#398616,10.); #117227=VECTOR('',#398617,10.); #117228=VECTOR('',#398618,10.); #117229=VECTOR('',#398621,10.); #117230=VECTOR('',#398622,10.); #117231=VECTOR('',#398623,10.); #117232=VECTOR('',#398626,10.); #117233=VECTOR('',#398627,10.); #117234=VECTOR('',#398628,10.); #117235=VECTOR('',#398631,10.); #117236=VECTOR('',#398632,10.); #117237=VECTOR('',#398633,10.); #117238=VECTOR('',#398636,10.); #117239=VECTOR('',#398637,10.); #117240=VECTOR('',#398638,10.); #117241=VECTOR('',#398641,10.); #117242=VECTOR('',#398642,10.); #117243=VECTOR('',#398643,10.); #117244=VECTOR('',#398646,10.); #117245=VECTOR('',#398647,10.); #117246=VECTOR('',#398648,10.); #117247=VECTOR('',#398651,10.); #117248=VECTOR('',#398652,10.); #117249=VECTOR('',#398653,10.); #117250=VECTOR('',#398656,10.); #117251=VECTOR('',#398657,10.); #117252=VECTOR('',#398658,10.); #117253=VECTOR('',#398661,10.); #117254=VECTOR('',#398662,10.); #117255=VECTOR('',#398663,10.); #117256=VECTOR('',#398666,10.); #117257=VECTOR('',#398667,10.); #117258=VECTOR('',#398668,10.); #117259=VECTOR('',#398671,10.); #117260=VECTOR('',#398672,10.); #117261=VECTOR('',#398673,10.); #117262=VECTOR('',#398676,10.); #117263=VECTOR('',#398677,10.); #117264=VECTOR('',#398678,10.); #117265=VECTOR('',#398681,10.); #117266=VECTOR('',#398682,10.); #117267=VECTOR('',#398683,10.); #117268=VECTOR('',#398686,10.); #117269=VECTOR('',#398687,10.); #117270=VECTOR('',#398688,10.); #117271=VECTOR('',#398691,10.); #117272=VECTOR('',#398692,10.); #117273=VECTOR('',#398701,0.140000000000002); #117274=VECTOR('',#398708,0.140000000000002); #117275=VECTOR('',#398715,0.140000000000002); #117276=VECTOR('',#398720,10.); #117277=VECTOR('',#398721,10.); #117278=VECTOR('',#398722,10.); #117279=VECTOR('',#398723,10.); #117280=VECTOR('',#398726,10.); #117281=VECTOR('',#398727,10.); #117282=VECTOR('',#398728,10.); #117283=VECTOR('',#398731,10.); #117284=VECTOR('',#398732,10.); #117285=VECTOR('',#398733,10.); #117286=VECTOR('',#398736,10.); #117287=VECTOR('',#398737,10.); #117288=VECTOR('',#398738,10.); #117289=VECTOR('',#398741,10.); #117290=VECTOR('',#398742,10.); #117291=VECTOR('',#398743,10.); #117292=VECTOR('',#398746,10.); #117293=VECTOR('',#398747,10.); #117294=VECTOR('',#398748,10.); #117295=VECTOR('',#398751,10.); #117296=VECTOR('',#398752,10.); #117297=VECTOR('',#398753,10.); #117298=VECTOR('',#398756,10.); #117299=VECTOR('',#398757,10.); #117300=VECTOR('',#398758,10.); #117301=VECTOR('',#398761,10.); #117302=VECTOR('',#398762,10.); #117303=VECTOR('',#398763,10.); #117304=VECTOR('',#398766,10.); #117305=VECTOR('',#398767,10.); #117306=VECTOR('',#398768,10.); #117307=VECTOR('',#398771,10.); #117308=VECTOR('',#398772,10.); #117309=VECTOR('',#398773,10.); #117310=VECTOR('',#398776,10.); #117311=VECTOR('',#398777,10.); #117312=VECTOR('',#398778,10.); #117313=VECTOR('',#398781,10.); #117314=VECTOR('',#398782,10.); #117315=VECTOR('',#398783,10.); #117316=VECTOR('',#398786,10.); #117317=VECTOR('',#398787,10.); #117318=VECTOR('',#398788,10.); #117319=VECTOR('',#398791,10.); #117320=VECTOR('',#398792,10.); #117321=VECTOR('',#398793,10.); #117322=VECTOR('',#398796,10.); #117323=VECTOR('',#398797,10.); #117324=VECTOR('',#398798,10.); #117325=VECTOR('',#398801,10.); #117326=VECTOR('',#398802,10.); #117327=VECTOR('',#398803,10.); #117328=VECTOR('',#398806,10.); #117329=VECTOR('',#398807,10.); #117330=VECTOR('',#398808,10.); #117331=VECTOR('',#398811,10.); #117332=VECTOR('',#398812,10.); #117333=VECTOR('',#398813,10.); #117334=VECTOR('',#398816,10.); #117335=VECTOR('',#398817,10.); #117336=VECTOR('',#398818,10.); #117337=VECTOR('',#398821,10.); #117338=VECTOR('',#398822,10.); #117339=VECTOR('',#398823,10.); #117340=VECTOR('',#398826,10.); #117341=VECTOR('',#398827,10.); #117342=VECTOR('',#398828,10.); #117343=VECTOR('',#398831,10.); #117344=VECTOR('',#398832,10.); #117345=VECTOR('',#398833,10.); #117346=VECTOR('',#398836,10.); #117347=VECTOR('',#398837,10.); #117348=VECTOR('',#398838,10.); #117349=VECTOR('',#398841,10.); #117350=VECTOR('',#398842,10.); #117351=VECTOR('',#398843,10.); #117352=VECTOR('',#398846,10.); #117353=VECTOR('',#398847,10.); #117354=VECTOR('',#398848,10.); #117355=VECTOR('',#398851,10.); #117356=VECTOR('',#398852,10.); #117357=VECTOR('',#398853,10.); #117358=VECTOR('',#398856,10.); #117359=VECTOR('',#398857,10.); #117360=VECTOR('',#398858,10.); #117361=VECTOR('',#398861,10.); #117362=VECTOR('',#398862,10.); #117363=VECTOR('',#398863,10.); #117364=VECTOR('',#398866,10.); #117365=VECTOR('',#398867,10.); #117366=VECTOR('',#398868,10.); #117367=VECTOR('',#398871,10.); #117368=VECTOR('',#398872,10.); #117369=VECTOR('',#398873,10.); #117370=VECTOR('',#398876,10.); #117371=VECTOR('',#398877,10.); #117372=VECTOR('',#398878,10.); #117373=VECTOR('',#398881,10.); #117374=VECTOR('',#398882,10.); #117375=VECTOR('',#398883,10.); #117376=VECTOR('',#398886,10.); #117377=VECTOR('',#398887,10.); #117378=VECTOR('',#398888,10.); #117379=VECTOR('',#398891,10.); #117380=VECTOR('',#398892,10.); #117381=VECTOR('',#398893,10.); #117382=VECTOR('',#398896,10.); #117383=VECTOR('',#398897,10.); #117384=VECTOR('',#398898,10.); #117385=VECTOR('',#398901,10.); #117386=VECTOR('',#398902,10.); #117387=VECTOR('',#398903,10.); #117388=VECTOR('',#398906,10.); #117389=VECTOR('',#398907,10.); #117390=VECTOR('',#398908,10.); #117391=VECTOR('',#398911,10.); #117392=VECTOR('',#398912,10.); #117393=VECTOR('',#398913,10.); #117394=VECTOR('',#398916,10.); #117395=VECTOR('',#398917,10.); #117396=VECTOR('',#398918,10.); #117397=VECTOR('',#398921,10.); #117398=VECTOR('',#398922,10.); #117399=VECTOR('',#398923,10.); #117400=VECTOR('',#398926,10.); #117401=VECTOR('',#398927,10.); #117402=VECTOR('',#398928,10.); #117403=VECTOR('',#398931,10.); #117404=VECTOR('',#398932,10.); #117405=VECTOR('',#398933,10.); #117406=VECTOR('',#398936,10.); #117407=VECTOR('',#398937,10.); #117408=VECTOR('',#398938,10.); #117409=VECTOR('',#398941,10.); #117410=VECTOR('',#398942,10.); #117411=VECTOR('',#398943,10.); #117412=VECTOR('',#398946,10.); #117413=VECTOR('',#398947,10.); #117414=VECTOR('',#398948,10.); #117415=VECTOR('',#398951,10.); #117416=VECTOR('',#398952,10.); #117417=VECTOR('',#398953,10.); #117418=VECTOR('',#398956,10.); #117419=VECTOR('',#398957,10.); #117420=VECTOR('',#398958,10.); #117421=VECTOR('',#398961,10.); #117422=VECTOR('',#398962,10.); #117423=VECTOR('',#398963,10.); #117424=VECTOR('',#398966,10.); #117425=VECTOR('',#398967,10.); #117426=VECTOR('',#398968,10.); #117427=VECTOR('',#398971,10.); #117428=VECTOR('',#398972,10.); #117429=VECTOR('',#398973,10.); #117430=VECTOR('',#398976,10.); #117431=VECTOR('',#398977,10.); #117432=VECTOR('',#398978,10.); #117433=VECTOR('',#398981,10.); #117434=VECTOR('',#398982,10.); #117435=VECTOR('',#398983,10.); #117436=VECTOR('',#398986,10.); #117437=VECTOR('',#398987,10.); #117438=VECTOR('',#398988,10.); #117439=VECTOR('',#398991,10.); #117440=VECTOR('',#398992,10.); #117441=VECTOR('',#398993,10.); #117442=VECTOR('',#398996,10.); #117443=VECTOR('',#398997,10.); #117444=VECTOR('',#398998,10.); #117445=VECTOR('',#399001,10.); #117446=VECTOR('',#399002,10.); #117447=VECTOR('',#399003,10.); #117448=VECTOR('',#399006,10.); #117449=VECTOR('',#399007,10.); #117450=VECTOR('',#399008,10.); #117451=VECTOR('',#399011,10.); #117452=VECTOR('',#399012,10.); #117453=VECTOR('',#399013,10.); #117454=VECTOR('',#399016,10.); #117455=VECTOR('',#399017,10.); #117456=VECTOR('',#399018,10.); #117457=VECTOR('',#399021,10.); #117458=VECTOR('',#399022,10.); #117459=VECTOR('',#399023,10.); #117460=VECTOR('',#399026,10.); #117461=VECTOR('',#399027,10.); #117462=VECTOR('',#399028,10.); #117463=VECTOR('',#399031,10.); #117464=VECTOR('',#399032,10.); #117465=VECTOR('',#399033,10.); #117466=VECTOR('',#399036,10.); #117467=VECTOR('',#399037,10.); #117468=VECTOR('',#399038,10.); #117469=VECTOR('',#399041,10.); #117470=VECTOR('',#399042,10.); #117471=VECTOR('',#399043,10.); #117472=VECTOR('',#399046,10.); #117473=VECTOR('',#399047,10.); #117474=VECTOR('',#399048,10.); #117475=VECTOR('',#399051,10.); #117476=VECTOR('',#399052,10.); #117477=VECTOR('',#399053,10.); #117478=VECTOR('',#399056,10.); #117479=VECTOR('',#399057,10.); #117480=VECTOR('',#399058,10.); #117481=VECTOR('',#399061,10.); #117482=VECTOR('',#399062,10.); #117483=VECTOR('',#399063,10.); #117484=VECTOR('',#399066,10.); #117485=VECTOR('',#399067,10.); #117486=VECTOR('',#399068,10.); #117487=VECTOR('',#399071,10.); #117488=VECTOR('',#399072,10.); #117489=VECTOR('',#399073,10.); #117490=VECTOR('',#399076,10.); #117491=VECTOR('',#399077,10.); #117492=VECTOR('',#399078,10.); #117493=VECTOR('',#399081,10.); #117494=VECTOR('',#399082,10.); #117495=VECTOR('',#399083,10.); #117496=VECTOR('',#399086,10.); #117497=VECTOR('',#399087,10.); #117498=VECTOR('',#399088,10.); #117499=VECTOR('',#399091,10.); #117500=VECTOR('',#399092,10.); #117501=VECTOR('',#399093,10.); #117502=VECTOR('',#399096,10.); #117503=VECTOR('',#399097,10.); #117504=VECTOR('',#399098,10.); #117505=VECTOR('',#399101,10.); #117506=VECTOR('',#399102,10.); #117507=VECTOR('',#399103,10.); #117508=VECTOR('',#399106,10.); #117509=VECTOR('',#399107,10.); #117510=VECTOR('',#399108,10.); #117511=VECTOR('',#399111,10.); #117512=VECTOR('',#399112,10.); #117513=VECTOR('',#399113,10.); #117514=VECTOR('',#399116,10.); #117515=VECTOR('',#399117,10.); #117516=VECTOR('',#399118,10.); #117517=VECTOR('',#399121,10.); #117518=VECTOR('',#399122,10.); #117519=VECTOR('',#399123,10.); #117520=VECTOR('',#399126,10.); #117521=VECTOR('',#399127,10.); #117522=VECTOR('',#399128,10.); #117523=VECTOR('',#399131,10.); #117524=VECTOR('',#399132,10.); #117525=VECTOR('',#399133,10.); #117526=VECTOR('',#399136,10.); #117527=VECTOR('',#399137,10.); #117528=VECTOR('',#399138,10.); #117529=VECTOR('',#399141,10.); #117530=VECTOR('',#399142,10.); #117531=VECTOR('',#399143,10.); #117532=VECTOR('',#399146,10.); #117533=VECTOR('',#399147,10.); #117534=VECTOR('',#399148,10.); #117535=VECTOR('',#399151,10.); #117536=VECTOR('',#399152,10.); #117537=VECTOR('',#399153,10.); #117538=VECTOR('',#399156,10.); #117539=VECTOR('',#399157,10.); #117540=VECTOR('',#399158,10.); #117541=VECTOR('',#399161,10.); #117542=VECTOR('',#399162,10.); #117543=VECTOR('',#399163,10.); #117544=VECTOR('',#399166,10.); #117545=VECTOR('',#399167,10.); #117546=VECTOR('',#399174,10.); #117547=VECTOR('',#399175,10.); #117548=VECTOR('',#399176,10.); #117549=VECTOR('',#399177,10.); #117550=VECTOR('',#399180,10.); #117551=VECTOR('',#399181,10.); #117552=VECTOR('',#399182,10.); #117553=VECTOR('',#399185,10.); #117554=VECTOR('',#399186,10.); #117555=VECTOR('',#399187,10.); #117556=VECTOR('',#399190,10.); #117557=VECTOR('',#399191,10.); #117558=VECTOR('',#399192,10.); #117559=VECTOR('',#399195,10.); #117560=VECTOR('',#399196,10.); #117561=VECTOR('',#399197,10.); #117562=VECTOR('',#399200,10.); #117563=VECTOR('',#399201,10.); #117564=VECTOR('',#399202,10.); #117565=VECTOR('',#399205,10.); #117566=VECTOR('',#399206,10.); #117567=VECTOR('',#399207,10.); #117568=VECTOR('',#399210,10.); #117569=VECTOR('',#399211,10.); #117570=VECTOR('',#399212,10.); #117571=VECTOR('',#399215,10.); #117572=VECTOR('',#399216,10.); #117573=VECTOR('',#399217,10.); #117574=VECTOR('',#399220,10.); #117575=VECTOR('',#399221,10.); #117576=VECTOR('',#399222,10.); #117577=VECTOR('',#399225,10.); #117578=VECTOR('',#399226,10.); #117579=VECTOR('',#399227,10.); #117580=VECTOR('',#399230,10.); #117581=VECTOR('',#399231,10.); #117582=VECTOR('',#399232,10.); #117583=VECTOR('',#399235,10.); #117584=VECTOR('',#399236,10.); #117585=VECTOR('',#399237,10.); #117586=VECTOR('',#399240,10.); #117587=VECTOR('',#399241,10.); #117588=VECTOR('',#399242,10.); #117589=VECTOR('',#399245,10.); #117590=VECTOR('',#399246,10.); #117591=VECTOR('',#399247,10.); #117592=VECTOR('',#399250,10.); #117593=VECTOR('',#399251,10.); #117594=VECTOR('',#399252,10.); #117595=VECTOR('',#399255,10.); #117596=VECTOR('',#399256,10.); #117597=VECTOR('',#399257,10.); #117598=VECTOR('',#399260,10.); #117599=VECTOR('',#399261,10.); #117600=VECTOR('',#399262,10.); #117601=VECTOR('',#399265,10.); #117602=VECTOR('',#399266,10.); #117603=VECTOR('',#399267,10.); #117604=VECTOR('',#399270,10.); #117605=VECTOR('',#399271,10.); #117606=VECTOR('',#399272,10.); #117607=VECTOR('',#399275,10.); #117608=VECTOR('',#399276,10.); #117609=VECTOR('',#399277,10.); #117610=VECTOR('',#399280,10.); #117611=VECTOR('',#399281,10.); #117612=VECTOR('',#399282,10.); #117613=VECTOR('',#399285,10.); #117614=VECTOR('',#399286,10.); #117615=VECTOR('',#399287,10.); #117616=VECTOR('',#399290,10.); #117617=VECTOR('',#399291,10.); #117618=VECTOR('',#399292,10.); #117619=VECTOR('',#399295,10.); #117620=VECTOR('',#399296,10.); #117621=VECTOR('',#399297,10.); #117622=VECTOR('',#399300,10.); #117623=VECTOR('',#399301,10.); #117624=VECTOR('',#399302,10.); #117625=VECTOR('',#399305,10.); #117626=VECTOR('',#399306,10.); #117627=VECTOR('',#399307,10.); #117628=VECTOR('',#399310,10.); #117629=VECTOR('',#399311,10.); #117630=VECTOR('',#399312,10.); #117631=VECTOR('',#399315,10.); #117632=VECTOR('',#399316,10.); #117633=VECTOR('',#399317,10.); #117634=VECTOR('',#399320,10.); #117635=VECTOR('',#399321,10.); #117636=VECTOR('',#399322,10.); #117637=VECTOR('',#399325,10.); #117638=VECTOR('',#399326,10.); #117639=VECTOR('',#399327,10.); #117640=VECTOR('',#399330,10.); #117641=VECTOR('',#399331,10.); #117642=VECTOR('',#399332,10.); #117643=VECTOR('',#399335,10.); #117644=VECTOR('',#399336,10.); #117645=VECTOR('',#399337,10.); #117646=VECTOR('',#399340,10.); #117647=VECTOR('',#399341,10.); #117648=VECTOR('',#399348,10.); #117649=VECTOR('',#399349,10.); #117650=VECTOR('',#399350,10.); #117651=VECTOR('',#399351,10.); #117652=VECTOR('',#399354,10.); #117653=VECTOR('',#399355,10.); #117654=VECTOR('',#399356,10.); #117655=VECTOR('',#399359,10.); #117656=VECTOR('',#399360,10.); #117657=VECTOR('',#399361,10.); #117658=VECTOR('',#399364,10.); #117659=VECTOR('',#399365,10.); #117660=VECTOR('',#399366,10.); #117661=VECTOR('',#399369,10.); #117662=VECTOR('',#399370,10.); #117663=VECTOR('',#399371,10.); #117664=VECTOR('',#399374,10.); #117665=VECTOR('',#399375,10.); #117666=VECTOR('',#399376,10.); #117667=VECTOR('',#399379,10.); #117668=VECTOR('',#399380,10.); #117669=VECTOR('',#399381,10.); #117670=VECTOR('',#399384,10.); #117671=VECTOR('',#399385,10.); #117672=VECTOR('',#399386,10.); #117673=VECTOR('',#399389,10.); #117674=VECTOR('',#399390,10.); #117675=VECTOR('',#399391,10.); #117676=VECTOR('',#399394,10.); #117677=VECTOR('',#399395,10.); #117678=VECTOR('',#399396,10.); #117679=VECTOR('',#399399,10.); #117680=VECTOR('',#399400,10.); #117681=VECTOR('',#399401,10.); #117682=VECTOR('',#399404,10.); #117683=VECTOR('',#399405,10.); #117684=VECTOR('',#399406,10.); #117685=VECTOR('',#399409,10.); #117686=VECTOR('',#399410,10.); #117687=VECTOR('',#399411,10.); #117688=VECTOR('',#399414,10.); #117689=VECTOR('',#399415,10.); #117690=VECTOR('',#399416,10.); #117691=VECTOR('',#399419,10.); #117692=VECTOR('',#399420,10.); #117693=VECTOR('',#399421,10.); #117694=VECTOR('',#399424,10.); #117695=VECTOR('',#399425,10.); #117696=VECTOR('',#399426,10.); #117697=VECTOR('',#399429,10.); #117698=VECTOR('',#399430,10.); #117699=VECTOR('',#399431,10.); #117700=VECTOR('',#399434,10.); #117701=VECTOR('',#399435,10.); #117702=VECTOR('',#399436,10.); #117703=VECTOR('',#399439,10.); #117704=VECTOR('',#399440,10.); #117705=VECTOR('',#399441,10.); #117706=VECTOR('',#399444,10.); #117707=VECTOR('',#399445,10.); #117708=VECTOR('',#399452,10.); #117709=VECTOR('',#399453,10.); #117710=VECTOR('',#399454,10.); #117711=VECTOR('',#399455,10.); #117712=VECTOR('',#399458,10.); #117713=VECTOR('',#399459,10.); #117714=VECTOR('',#399460,10.); #117715=VECTOR('',#399463,10.); #117716=VECTOR('',#399464,10.); #117717=VECTOR('',#399465,10.); #117718=VECTOR('',#399468,10.); #117719=VECTOR('',#399469,10.); #117720=VECTOR('',#399470,10.); #117721=VECTOR('',#399473,10.); #117722=VECTOR('',#399474,10.); #117723=VECTOR('',#399475,10.); #117724=VECTOR('',#399478,10.); #117725=VECTOR('',#399479,10.); #117726=VECTOR('',#399480,10.); #117727=VECTOR('',#399483,10.); #117728=VECTOR('',#399484,10.); #117729=VECTOR('',#399485,10.); #117730=VECTOR('',#399488,10.); #117731=VECTOR('',#399489,10.); #117732=VECTOR('',#399490,10.); #117733=VECTOR('',#399493,10.); #117734=VECTOR('',#399494,10.); #117735=VECTOR('',#399495,10.); #117736=VECTOR('',#399498,10.); #117737=VECTOR('',#399499,10.); #117738=VECTOR('',#399500,10.); #117739=VECTOR('',#399503,10.); #117740=VECTOR('',#399504,10.); #117741=VECTOR('',#399505,10.); #117742=VECTOR('',#399508,10.); #117743=VECTOR('',#399509,10.); #117744=VECTOR('',#399510,10.); #117745=VECTOR('',#399513,10.); #117746=VECTOR('',#399514,10.); #117747=VECTOR('',#399515,10.); #117748=VECTOR('',#399518,10.); #117749=VECTOR('',#399519,10.); #117750=VECTOR('',#399520,10.); #117751=VECTOR('',#399523,10.); #117752=VECTOR('',#399524,10.); #117753=VECTOR('',#399525,10.); #117754=VECTOR('',#399528,10.); #117755=VECTOR('',#399529,10.); #117756=VECTOR('',#399530,10.); #117757=VECTOR('',#399533,10.); #117758=VECTOR('',#399534,10.); #117759=VECTOR('',#399535,10.); #117760=VECTOR('',#399538,10.); #117761=VECTOR('',#399539,10.); #117762=VECTOR('',#399540,10.); #117763=VECTOR('',#399543,10.); #117764=VECTOR('',#399544,10.); #117765=VECTOR('',#399545,10.); #117766=VECTOR('',#399548,10.); #117767=VECTOR('',#399549,10.); #117768=VECTOR('',#399550,10.); #117769=VECTOR('',#399553,10.); #117770=VECTOR('',#399554,10.); #117771=VECTOR('',#399563,0.140000000000002); #117772=VECTOR('',#399568,10.); #117773=VECTOR('',#399569,10.); #117774=VECTOR('',#399570,10.); #117775=VECTOR('',#399571,10.); #117776=VECTOR('',#399578,10.); #117777=VECTOR('',#399581,10.); #117778=VECTOR('',#399582,10.); #117779=VECTOR('',#399583,10.); #117780=VECTOR('',#399594,0.514999999999999); #117781=VECTOR('',#399601,0.140000000000002); #117782=VECTOR('',#399608,0.140000000000002); #117783=VECTOR('',#399615,0.140000000000002); #117784=VECTOR('',#399622,0.140000000000002); #117785=VECTOR('',#399629,0.140000000000002); #117786=VECTOR('',#399636,0.140000000000002); #117787=VECTOR('',#399643,0.140000000000002); #117788=VECTOR('',#399650,0.140000000000002); #117789=VECTOR('',#399655,10.); #117790=VECTOR('',#399656,10.); #117791=VECTOR('',#399657,10.); #117792=VECTOR('',#399658,10.); #117793=VECTOR('',#399661,10.); #117794=VECTOR('',#399662,10.); #117795=VECTOR('',#399663,10.); #117796=VECTOR('',#399666,10.); #117797=VECTOR('',#399667,10.); #117798=VECTOR('',#399668,10.); #117799=VECTOR('',#399671,10.); #117800=VECTOR('',#399672,10.); #117801=VECTOR('',#399673,10.); #117802=VECTOR('',#399676,10.); #117803=VECTOR('',#399677,10.); #117804=VECTOR('',#399678,10.); #117805=VECTOR('',#399681,10.); #117806=VECTOR('',#399682,10.); #117807=VECTOR('',#399683,10.); #117808=VECTOR('',#399686,10.); #117809=VECTOR('',#399687,10.); #117810=VECTOR('',#399688,10.); #117811=VECTOR('',#399691,10.); #117812=VECTOR('',#399692,10.); #117813=VECTOR('',#399693,10.); #117814=VECTOR('',#399696,10.); #117815=VECTOR('',#399697,10.); #117816=VECTOR('',#399698,10.); #117817=VECTOR('',#399701,10.); #117818=VECTOR('',#399702,10.); #117819=VECTOR('',#399703,10.); #117820=VECTOR('',#399706,10.); #117821=VECTOR('',#399707,10.); #117822=VECTOR('',#399708,10.); #117823=VECTOR('',#399711,10.); #117824=VECTOR('',#399712,10.); #117825=VECTOR('',#399713,10.); #117826=VECTOR('',#399716,10.); #117827=VECTOR('',#399717,10.); #117828=VECTOR('',#399718,10.); #117829=VECTOR('',#399721,10.); #117830=VECTOR('',#399722,10.); #117831=VECTOR('',#399723,10.); #117832=VECTOR('',#399726,10.); #117833=VECTOR('',#399727,10.); #117834=VECTOR('',#399728,10.); #117835=VECTOR('',#399731,10.); #117836=VECTOR('',#399732,10.); #117837=VECTOR('',#399735,10.); #117838=VECTOR('',#399736,10.); #117839=VECTOR('',#399737,10.); #117840=VECTOR('',#399738,10.); #117841=VECTOR('',#399741,10.); #117842=VECTOR('',#399742,10.); #117843=VECTOR('',#399743,10.); #117844=VECTOR('',#399746,10.); #117845=VECTOR('',#399747,10.); #117846=VECTOR('',#399748,10.); #117847=VECTOR('',#399751,10.); #117848=VECTOR('',#399752,10.); #117849=VECTOR('',#399753,10.); #117850=VECTOR('',#399756,10.); #117851=VECTOR('',#399757,10.); #117852=VECTOR('',#399758,10.); #117853=VECTOR('',#399761,10.); #117854=VECTOR('',#399762,10.); #117855=VECTOR('',#399763,10.); #117856=VECTOR('',#399766,10.); #117857=VECTOR('',#399767,10.); #117858=VECTOR('',#399768,10.); #117859=VECTOR('',#399771,10.); #117860=VECTOR('',#399772,10.); #117861=VECTOR('',#399773,10.); #117862=VECTOR('',#399776,10.); #117863=VECTOR('',#399777,10.); #117864=VECTOR('',#399778,10.); #117865=VECTOR('',#399781,10.); #117866=VECTOR('',#399782,10.); #117867=VECTOR('',#399783,10.); #117868=VECTOR('',#399786,10.); #117869=VECTOR('',#399787,10.); #117870=VECTOR('',#399788,10.); #117871=VECTOR('',#399791,10.); #117872=VECTOR('',#399792,10.); #117873=VECTOR('',#399793,10.); #117874=VECTOR('',#399796,10.); #117875=VECTOR('',#399797,10.); #117876=VECTOR('',#399798,10.); #117877=VECTOR('',#399801,10.); #117878=VECTOR('',#399802,10.); #117879=VECTOR('',#399803,10.); #117880=VECTOR('',#399806,10.); #117881=VECTOR('',#399807,10.); #117882=VECTOR('',#399808,10.); #117883=VECTOR('',#399811,10.); #117884=VECTOR('',#399812,10.); #117885=VECTOR('',#399813,10.); #117886=VECTOR('',#399816,10.); #117887=VECTOR('',#399817,10.); #117888=VECTOR('',#399818,10.); #117889=VECTOR('',#399821,10.); #117890=VECTOR('',#399822,10.); #117891=VECTOR('',#399823,10.); #117892=VECTOR('',#399826,10.); #117893=VECTOR('',#399827,10.); #117894=VECTOR('',#399828,10.); #117895=VECTOR('',#399831,10.); #117896=VECTOR('',#399832,10.); #117897=VECTOR('',#399833,10.); #117898=VECTOR('',#399836,10.); #117899=VECTOR('',#399837,10.); #117900=VECTOR('',#399838,10.); #117901=VECTOR('',#399841,10.); #117902=VECTOR('',#399842,10.); #117903=VECTOR('',#399843,10.); #117904=VECTOR('',#399846,10.); #117905=VECTOR('',#399847,10.); #117906=VECTOR('',#399848,10.); #117907=VECTOR('',#399851,10.); #117908=VECTOR('',#399852,10.); #117909=VECTOR('',#399853,10.); #117910=VECTOR('',#399856,10.); #117911=VECTOR('',#399857,10.); #117912=VECTOR('',#399858,10.); #117913=VECTOR('',#399861,10.); #117914=VECTOR('',#399862,10.); #117915=VECTOR('',#399863,10.); #117916=VECTOR('',#399866,10.); #117917=VECTOR('',#399867,10.); #117918=VECTOR('',#399868,10.); #117919=VECTOR('',#399871,10.); #117920=VECTOR('',#399872,10.); #117921=VECTOR('',#399873,10.); #117922=VECTOR('',#399876,10.); #117923=VECTOR('',#399877,10.); #117924=VECTOR('',#399878,10.); #117925=VECTOR('',#399881,10.); #117926=VECTOR('',#399882,10.); #117927=VECTOR('',#399883,10.); #117928=VECTOR('',#399886,10.); #117929=VECTOR('',#399887,10.); #117930=VECTOR('',#399888,10.); #117931=VECTOR('',#399891,10.); #117932=VECTOR('',#399892,10.); #117933=VECTOR('',#399893,10.); #117934=VECTOR('',#399896,10.); #117935=VECTOR('',#399897,10.); #117936=VECTOR('',#399898,10.); #117937=VECTOR('',#399901,10.); #117938=VECTOR('',#399902,10.); #117939=VECTOR('',#399903,10.); #117940=VECTOR('',#399906,10.); #117941=VECTOR('',#399907,10.); #117942=VECTOR('',#399908,10.); #117943=VECTOR('',#399911,10.); #117944=VECTOR('',#399912,10.); #117945=VECTOR('',#399913,10.); #117946=VECTOR('',#399916,10.); #117947=VECTOR('',#399917,10.); #117948=VECTOR('',#399918,10.); #117949=VECTOR('',#399921,10.); #117950=VECTOR('',#399922,10.); #117951=VECTOR('',#399923,10.); #117952=VECTOR('',#399926,10.); #117953=VECTOR('',#399927,10.); #117954=VECTOR('',#399928,10.); #117955=VECTOR('',#399931,10.); #117956=VECTOR('',#399932,10.); #117957=VECTOR('',#399933,10.); #117958=VECTOR('',#399936,10.); #117959=VECTOR('',#399937,10.); #117960=VECTOR('',#399938,10.); #117961=VECTOR('',#399941,10.); #117962=VECTOR('',#399942,10.); #117963=VECTOR('',#399943,10.); #117964=VECTOR('',#399946,10.); #117965=VECTOR('',#399947,10.); #117966=VECTOR('',#399948,10.); #117967=VECTOR('',#399951,10.); #117968=VECTOR('',#399952,10.); #117969=VECTOR('',#399953,10.); #117970=VECTOR('',#399956,10.); #117971=VECTOR('',#399957,10.); #117972=VECTOR('',#399958,10.); #117973=VECTOR('',#399961,10.); #117974=VECTOR('',#399962,10.); #117975=VECTOR('',#399963,10.); #117976=VECTOR('',#399966,10.); #117977=VECTOR('',#399967,10.); #117978=VECTOR('',#399968,10.); #117979=VECTOR('',#399971,10.); #117980=VECTOR('',#399972,10.); #117981=VECTOR('',#399973,10.); #117982=VECTOR('',#399976,10.); #117983=VECTOR('',#399977,10.); #117984=VECTOR('',#399978,10.); #117985=VECTOR('',#399981,10.); #117986=VECTOR('',#399982,10.); #117987=VECTOR('',#399983,10.); #117988=VECTOR('',#399986,10.); #117989=VECTOR('',#399987,10.); #117990=VECTOR('',#399988,10.); #117991=VECTOR('',#399991,10.); #117992=VECTOR('',#399992,10.); #117993=VECTOR('',#399993,10.); #117994=VECTOR('',#399996,10.); #117995=VECTOR('',#399997,10.); #117996=VECTOR('',#399998,10.); #117997=VECTOR('',#400001,10.); #117998=VECTOR('',#400002,10.); #117999=VECTOR('',#400003,10.); #118000=VECTOR('',#400006,10.); #118001=VECTOR('',#400007,10.); #118002=VECTOR('',#400008,10.); #118003=VECTOR('',#400011,10.); #118004=VECTOR('',#400012,10.); #118005=VECTOR('',#400013,10.); #118006=VECTOR('',#400016,10.); #118007=VECTOR('',#400017,10.); #118008=VECTOR('',#400018,10.); #118009=VECTOR('',#400021,10.); #118010=VECTOR('',#400022,10.); #118011=VECTOR('',#400023,10.); #118012=VECTOR('',#400026,10.); #118013=VECTOR('',#400027,10.); #118014=VECTOR('',#400028,10.); #118015=VECTOR('',#400031,10.); #118016=VECTOR('',#400032,10.); #118017=VECTOR('',#400033,10.); #118018=VECTOR('',#400036,10.); #118019=VECTOR('',#400037,10.); #118020=VECTOR('',#400038,10.); #118021=VECTOR('',#400041,10.); #118022=VECTOR('',#400042,10.); #118023=VECTOR('',#400043,10.); #118024=VECTOR('',#400046,10.); #118025=VECTOR('',#400047,10.); #118026=VECTOR('',#400048,10.); #118027=VECTOR('',#400051,10.); #118028=VECTOR('',#400052,10.); #118029=VECTOR('',#400053,10.); #118030=VECTOR('',#400056,10.); #118031=VECTOR('',#400057,10.); #118032=VECTOR('',#400058,10.); #118033=VECTOR('',#400061,10.); #118034=VECTOR('',#400062,10.); #118035=VECTOR('',#400063,10.); #118036=VECTOR('',#400066,10.); #118037=VECTOR('',#400067,10.); #118038=VECTOR('',#400068,10.); #118039=VECTOR('',#400071,10.); #118040=VECTOR('',#400072,10.); #118041=VECTOR('',#400073,10.); #118042=VECTOR('',#400076,10.); #118043=VECTOR('',#400077,10.); #118044=VECTOR('',#400078,10.); #118045=VECTOR('',#400081,10.); #118046=VECTOR('',#400082,10.); #118047=VECTOR('',#400083,10.); #118048=VECTOR('',#400086,10.); #118049=VECTOR('',#400087,10.); #118050=VECTOR('',#400088,10.); #118051=VECTOR('',#400091,10.); #118052=VECTOR('',#400092,10.); #118053=VECTOR('',#400093,10.); #118054=VECTOR('',#400096,10.); #118055=VECTOR('',#400097,10.); #118056=VECTOR('',#400098,10.); #118057=VECTOR('',#400101,10.); #118058=VECTOR('',#400102,10.); #118059=VECTOR('',#400103,10.); #118060=VECTOR('',#400106,10.); #118061=VECTOR('',#400107,10.); #118062=VECTOR('',#400108,10.); #118063=VECTOR('',#400111,10.); #118064=VECTOR('',#400112,10.); #118065=VECTOR('',#400113,10.); #118066=VECTOR('',#400116,10.); #118067=VECTOR('',#400117,10.); #118068=VECTOR('',#400118,10.); #118069=VECTOR('',#400121,10.); #118070=VECTOR('',#400122,10.); #118071=VECTOR('',#400123,10.); #118072=VECTOR('',#400126,10.); #118073=VECTOR('',#400127,10.); #118074=VECTOR('',#400128,10.); #118075=VECTOR('',#400131,10.); #118076=VECTOR('',#400132,10.); #118077=VECTOR('',#400133,10.); #118078=VECTOR('',#400136,10.); #118079=VECTOR('',#400137,10.); #118080=VECTOR('',#400138,10.); #118081=VECTOR('',#400141,10.); #118082=VECTOR('',#400142,10.); #118083=VECTOR('',#400143,10.); #118084=VECTOR('',#400146,10.); #118085=VECTOR('',#400147,10.); #118086=VECTOR('',#400148,10.); #118087=VECTOR('',#400151,10.); #118088=VECTOR('',#400152,10.); #118089=VECTOR('',#400153,10.); #118090=VECTOR('',#400156,10.); #118091=VECTOR('',#400157,10.); #118092=VECTOR('',#400158,10.); #118093=VECTOR('',#400161,10.); #118094=VECTOR('',#400162,10.); #118095=VECTOR('',#400163,10.); #118096=VECTOR('',#400166,10.); #118097=VECTOR('',#400167,10.); #118098=VECTOR('',#400168,10.); #118099=VECTOR('',#400171,10.); #118100=VECTOR('',#400172,10.); #118101=VECTOR('',#400173,10.); #118102=VECTOR('',#400176,10.); #118103=VECTOR('',#400177,10.); #118104=VECTOR('',#400178,10.); #118105=VECTOR('',#400181,10.); #118106=VECTOR('',#400182,10.); #118107=VECTOR('',#400183,10.); #118108=VECTOR('',#400186,10.); #118109=VECTOR('',#400187,10.); #118110=VECTOR('',#400188,10.); #118111=VECTOR('',#400191,10.); #118112=VECTOR('',#400192,10.); #118113=VECTOR('',#400193,10.); #118114=VECTOR('',#400196,10.); #118115=VECTOR('',#400197,10.); #118116=VECTOR('',#400198,10.); #118117=VECTOR('',#400201,10.); #118118=VECTOR('',#400202,10.); #118119=VECTOR('',#400203,10.); #118120=VECTOR('',#400206,10.); #118121=VECTOR('',#400207,10.); #118122=VECTOR('',#400208,10.); #118123=VECTOR('',#400211,10.); #118124=VECTOR('',#400212,10.); #118125=VECTOR('',#400213,10.); #118126=VECTOR('',#400216,10.); #118127=VECTOR('',#400217,10.); #118128=VECTOR('',#400218,10.); #118129=VECTOR('',#400221,10.); #118130=VECTOR('',#400222,10.); #118131=VECTOR('',#400223,10.); #118132=VECTOR('',#400226,10.); #118133=VECTOR('',#400227,10.); #118134=VECTOR('',#400228,10.); #118135=VECTOR('',#400231,10.); #118136=VECTOR('',#400232,10.); #118137=VECTOR('',#400233,10.); #118138=VECTOR('',#400236,10.); #118139=VECTOR('',#400237,10.); #118140=VECTOR('',#400238,10.); #118141=VECTOR('',#400241,10.); #118142=VECTOR('',#400242,10.); #118143=VECTOR('',#400243,10.); #118144=VECTOR('',#400246,10.); #118145=VECTOR('',#400247,10.); #118146=VECTOR('',#400248,10.); #118147=VECTOR('',#400251,10.); #118148=VECTOR('',#400252,10.); #118149=VECTOR('',#400253,10.); #118150=VECTOR('',#400256,10.); #118151=VECTOR('',#400257,10.); #118152=VECTOR('',#400258,10.); #118153=VECTOR('',#400261,10.); #118154=VECTOR('',#400262,10.); #118155=VECTOR('',#400263,10.); #118156=VECTOR('',#400266,10.); #118157=VECTOR('',#400267,10.); #118158=VECTOR('',#400268,10.); #118159=VECTOR('',#400271,10.); #118160=VECTOR('',#400272,10.); #118161=VECTOR('',#400273,10.); #118162=VECTOR('',#400276,10.); #118163=VECTOR('',#400277,10.); #118164=VECTOR('',#400278,10.); #118165=VECTOR('',#400281,10.); #118166=VECTOR('',#400282,10.); #118167=VECTOR('',#400283,10.); #118168=VECTOR('',#400286,10.); #118169=VECTOR('',#400287,10.); #118170=VECTOR('',#400288,10.); #118171=VECTOR('',#400291,10.); #118172=VECTOR('',#400292,10.); #118173=VECTOR('',#400293,10.); #118174=VECTOR('',#400296,10.); #118175=VECTOR('',#400297,10.); #118176=VECTOR('',#400298,10.); #118177=VECTOR('',#400301,10.); #118178=VECTOR('',#400302,10.); #118179=VECTOR('',#400303,10.); #118180=VECTOR('',#400306,10.); #118181=VECTOR('',#400307,10.); #118182=VECTOR('',#400308,10.); #118183=VECTOR('',#400311,10.); #118184=VECTOR('',#400312,10.); #118185=VECTOR('',#400313,10.); #118186=VECTOR('',#400316,10.); #118187=VECTOR('',#400317,10.); #118188=VECTOR('',#400318,10.); #118189=VECTOR('',#400321,10.); #118190=VECTOR('',#400322,10.); #118191=VECTOR('',#400323,10.); #118192=VECTOR('',#400326,10.); #118193=VECTOR('',#400327,10.); #118194=VECTOR('',#400328,10.); #118195=VECTOR('',#400331,10.); #118196=VECTOR('',#400332,10.); #118197=VECTOR('',#400333,10.); #118198=VECTOR('',#400336,10.); #118199=VECTOR('',#400337,10.); #118200=VECTOR('',#400338,10.); #118201=VECTOR('',#400341,10.); #118202=VECTOR('',#400342,10.); #118203=VECTOR('',#400343,10.); #118204=VECTOR('',#400346,10.); #118205=VECTOR('',#400347,10.); #118206=VECTOR('',#400348,10.); #118207=VECTOR('',#400351,10.); #118208=VECTOR('',#400352,10.); #118209=VECTOR('',#400353,10.); #118210=VECTOR('',#400356,10.); #118211=VECTOR('',#400357,10.); #118212=VECTOR('',#400358,10.); #118213=VECTOR('',#400361,10.); #118214=VECTOR('',#400362,10.); #118215=VECTOR('',#400363,10.); #118216=VECTOR('',#400366,10.); #118217=VECTOR('',#400367,10.); #118218=VECTOR('',#400368,10.); #118219=VECTOR('',#400371,10.); #118220=VECTOR('',#400372,10.); #118221=VECTOR('',#400373,10.); #118222=VECTOR('',#400376,10.); #118223=VECTOR('',#400377,10.); #118224=VECTOR('',#400378,10.); #118225=VECTOR('',#400381,10.); #118226=VECTOR('',#400382,10.); #118227=VECTOR('',#400383,10.); #118228=VECTOR('',#400386,10.); #118229=VECTOR('',#400387,10.); #118230=VECTOR('',#400388,10.); #118231=VECTOR('',#400391,10.); #118232=VECTOR('',#400392,10.); #118233=VECTOR('',#400393,10.); #118234=VECTOR('',#400396,10.); #118235=VECTOR('',#400397,10.); #118236=VECTOR('',#400398,10.); #118237=VECTOR('',#400401,10.); #118238=VECTOR('',#400402,10.); #118239=VECTOR('',#400403,10.); #118240=VECTOR('',#400406,10.); #118241=VECTOR('',#400407,10.); #118242=VECTOR('',#400408,10.); #118243=VECTOR('',#400411,10.); #118244=VECTOR('',#400412,10.); #118245=VECTOR('',#400413,10.); #118246=VECTOR('',#400416,10.); #118247=VECTOR('',#400417,10.); #118248=VECTOR('',#400418,10.); #118249=VECTOR('',#400421,10.); #118250=VECTOR('',#400422,10.); #118251=VECTOR('',#400423,10.); #118252=VECTOR('',#400426,10.); #118253=VECTOR('',#400427,10.); #118254=VECTOR('',#400428,10.); #118255=VECTOR('',#400431,10.); #118256=VECTOR('',#400432,10.); #118257=VECTOR('',#400433,10.); #118258=VECTOR('',#400436,10.); #118259=VECTOR('',#400437,10.); #118260=VECTOR('',#400438,10.); #118261=VECTOR('',#400441,10.); #118262=VECTOR('',#400442,10.); #118263=VECTOR('',#400443,10.); #118264=VECTOR('',#400446,10.); #118265=VECTOR('',#400447,10.); #118266=VECTOR('',#400448,10.); #118267=VECTOR('',#400451,10.); #118268=VECTOR('',#400452,10.); #118269=VECTOR('',#400453,10.); #118270=VECTOR('',#400456,10.); #118271=VECTOR('',#400457,10.); #118272=VECTOR('',#400458,10.); #118273=VECTOR('',#400461,10.); #118274=VECTOR('',#400462,10.); #118275=VECTOR('',#400463,10.); #118276=VECTOR('',#400466,10.); #118277=VECTOR('',#400467,10.); #118278=VECTOR('',#400468,10.); #118279=VECTOR('',#400471,10.); #118280=VECTOR('',#400472,10.); #118281=VECTOR('',#400473,10.); #118282=VECTOR('',#400476,10.); #118283=VECTOR('',#400477,10.); #118284=VECTOR('',#400478,10.); #118285=VECTOR('',#400481,10.); #118286=VECTOR('',#400482,10.); #118287=VECTOR('',#400483,10.); #118288=VECTOR('',#400486,10.); #118289=VECTOR('',#400487,10.); #118290=VECTOR('',#400488,10.); #118291=VECTOR('',#400491,10.); #118292=VECTOR('',#400492,10.); #118293=VECTOR('',#400493,10.); #118294=VECTOR('',#400496,10.); #118295=VECTOR('',#400497,10.); #118296=VECTOR('',#400498,10.); #118297=VECTOR('',#400501,10.); #118298=VECTOR('',#400502,10.); #118299=VECTOR('',#400503,10.); #118300=VECTOR('',#400506,10.); #118301=VECTOR('',#400507,10.); #118302=VECTOR('',#400508,10.); #118303=VECTOR('',#400511,10.); #118304=VECTOR('',#400512,10.); #118305=VECTOR('',#400513,10.); #118306=VECTOR('',#400516,10.); #118307=VECTOR('',#400517,10.); #118308=VECTOR('',#400518,10.); #118309=VECTOR('',#400521,10.); #118310=VECTOR('',#400522,10.); #118311=VECTOR('',#400523,10.); #118312=VECTOR('',#400526,10.); #118313=VECTOR('',#400527,10.); #118314=VECTOR('',#400528,10.); #118315=VECTOR('',#400531,10.); #118316=VECTOR('',#400532,10.); #118317=VECTOR('',#400533,10.); #118318=VECTOR('',#400536,10.); #118319=VECTOR('',#400537,10.); #118320=VECTOR('',#400538,10.); #118321=VECTOR('',#400541,10.); #118322=VECTOR('',#400542,10.); #118323=VECTOR('',#400543,10.); #118324=VECTOR('',#400546,10.); #118325=VECTOR('',#400547,10.); #118326=VECTOR('',#400548,10.); #118327=VECTOR('',#400551,10.); #118328=VECTOR('',#400552,10.); #118329=VECTOR('',#400553,10.); #118330=VECTOR('',#400556,10.); #118331=VECTOR('',#400557,10.); #118332=VECTOR('',#400558,10.); #118333=VECTOR('',#400561,10.); #118334=VECTOR('',#400562,10.); #118335=VECTOR('',#400563,10.); #118336=VECTOR('',#400566,10.); #118337=VECTOR('',#400567,10.); #118338=VECTOR('',#400568,10.); #118339=VECTOR('',#400571,10.); #118340=VECTOR('',#400572,10.); #118341=VECTOR('',#400573,10.); #118342=VECTOR('',#400576,10.); #118343=VECTOR('',#400577,10.); #118344=VECTOR('',#400578,10.); #118345=VECTOR('',#400581,10.); #118346=VECTOR('',#400582,10.); #118347=VECTOR('',#400583,10.); #118348=VECTOR('',#400586,10.); #118349=VECTOR('',#400587,10.); #118350=VECTOR('',#400588,10.); #118351=VECTOR('',#400591,10.); #118352=VECTOR('',#400592,10.); #118353=VECTOR('',#400593,10.); #118354=VECTOR('',#400596,10.); #118355=VECTOR('',#400597,10.); #118356=VECTOR('',#400598,10.); #118357=VECTOR('',#400601,10.); #118358=VECTOR('',#400602,10.); #118359=VECTOR('',#400603,10.); #118360=VECTOR('',#400606,10.); #118361=VECTOR('',#400607,10.); #118362=VECTOR('',#400608,10.); #118363=VECTOR('',#400611,10.); #118364=VECTOR('',#400612,10.); #118365=VECTOR('',#400613,10.); #118366=VECTOR('',#400616,10.); #118367=VECTOR('',#400617,10.); #118368=VECTOR('',#400618,10.); #118369=VECTOR('',#400621,10.); #118370=VECTOR('',#400622,10.); #118371=VECTOR('',#400623,10.); #118372=VECTOR('',#400626,10.); #118373=VECTOR('',#400627,10.); #118374=VECTOR('',#400628,10.); #118375=VECTOR('',#400631,10.); #118376=VECTOR('',#400632,10.); #118377=VECTOR('',#400633,10.); #118378=VECTOR('',#400636,10.); #118379=VECTOR('',#400637,10.); #118380=VECTOR('',#400638,10.); #118381=VECTOR('',#400641,10.); #118382=VECTOR('',#400642,10.); #118383=VECTOR('',#400643,10.); #118384=VECTOR('',#400646,10.); #118385=VECTOR('',#400647,10.); #118386=VECTOR('',#400648,10.); #118387=VECTOR('',#400651,10.); #118388=VECTOR('',#400652,10.); #118389=VECTOR('',#400653,10.); #118390=VECTOR('',#400656,10.); #118391=VECTOR('',#400657,10.); #118392=VECTOR('',#400658,10.); #118393=VECTOR('',#400661,10.); #118394=VECTOR('',#400662,10.); #118395=VECTOR('',#400663,10.); #118396=VECTOR('',#400666,10.); #118397=VECTOR('',#400667,10.); #118398=VECTOR('',#400668,10.); #118399=VECTOR('',#400671,10.); #118400=VECTOR('',#400672,10.); #118401=VECTOR('',#400673,10.); #118402=VECTOR('',#400676,10.); #118403=VECTOR('',#400677,10.); #118404=VECTOR('',#400678,10.); #118405=VECTOR('',#400681,10.); #118406=VECTOR('',#400682,10.); #118407=VECTOR('',#400683,10.); #118408=VECTOR('',#400686,10.); #118409=VECTOR('',#400687,10.); #118410=VECTOR('',#400688,10.); #118411=VECTOR('',#400691,10.); #118412=VECTOR('',#400692,10.); #118413=VECTOR('',#400693,10.); #118414=VECTOR('',#400696,10.); #118415=VECTOR('',#400697,10.); #118416=VECTOR('',#400698,10.); #118417=VECTOR('',#400701,10.); #118418=VECTOR('',#400702,10.); #118419=VECTOR('',#400703,10.); #118420=VECTOR('',#400706,10.); #118421=VECTOR('',#400707,10.); #118422=VECTOR('',#400708,10.); #118423=VECTOR('',#400711,10.); #118424=VECTOR('',#400712,10.); #118425=VECTOR('',#400713,10.); #118426=VECTOR('',#400716,10.); #118427=VECTOR('',#400717,10.); #118428=VECTOR('',#400718,10.); #118429=VECTOR('',#400721,10.); #118430=VECTOR('',#400722,10.); #118431=VECTOR('',#400723,10.); #118432=VECTOR('',#400726,10.); #118433=VECTOR('',#400727,10.); #118434=VECTOR('',#400728,10.); #118435=VECTOR('',#400731,10.); #118436=VECTOR('',#400732,10.); #118437=VECTOR('',#400733,10.); #118438=VECTOR('',#400736,10.); #118439=VECTOR('',#400737,10.); #118440=VECTOR('',#400738,10.); #118441=VECTOR('',#400741,10.); #118442=VECTOR('',#400742,10.); #118443=VECTOR('',#400743,10.); #118444=VECTOR('',#400746,10.); #118445=VECTOR('',#400747,10.); #118446=VECTOR('',#400748,10.); #118447=VECTOR('',#400751,10.); #118448=VECTOR('',#400752,10.); #118449=VECTOR('',#400753,10.); #118450=VECTOR('',#400756,10.); #118451=VECTOR('',#400757,10.); #118452=VECTOR('',#400758,10.); #118453=VECTOR('',#400761,10.); #118454=VECTOR('',#400762,10.); #118455=VECTOR('',#400763,10.); #118456=VECTOR('',#400766,10.); #118457=VECTOR('',#400767,10.); #118458=VECTOR('',#400768,10.); #118459=VECTOR('',#400771,10.); #118460=VECTOR('',#400772,10.); #118461=VECTOR('',#400773,10.); #118462=VECTOR('',#400776,10.); #118463=VECTOR('',#400777,10.); #118464=VECTOR('',#400778,10.); #118465=VECTOR('',#400781,10.); #118466=VECTOR('',#400782,10.); #118467=VECTOR('',#400783,10.); #118468=VECTOR('',#400786,10.); #118469=VECTOR('',#400787,10.); #118470=VECTOR('',#400794,10.); #118471=VECTOR('',#400795,10.); #118472=VECTOR('',#400796,10.); #118473=VECTOR('',#400797,10.); #118474=VECTOR('',#400800,10.); #118475=VECTOR('',#400801,10.); #118476=VECTOR('',#400802,10.); #118477=VECTOR('',#400805,10.); #118478=VECTOR('',#400806,10.); #118479=VECTOR('',#400807,10.); #118480=VECTOR('',#400810,10.); #118481=VECTOR('',#400811,10.); #118482=VECTOR('',#400812,10.); #118483=VECTOR('',#400815,10.); #118484=VECTOR('',#400816,10.); #118485=VECTOR('',#400817,10.); #118486=VECTOR('',#400820,10.); #118487=VECTOR('',#400821,10.); #118488=VECTOR('',#400822,10.); #118489=VECTOR('',#400825,10.); #118490=VECTOR('',#400826,10.); #118491=VECTOR('',#400827,10.); #118492=VECTOR('',#400830,10.); #118493=VECTOR('',#400831,10.); #118494=VECTOR('',#400832,10.); #118495=VECTOR('',#400835,10.); #118496=VECTOR('',#400836,10.); #118497=VECTOR('',#400837,10.); #118498=VECTOR('',#400840,10.); #118499=VECTOR('',#400841,10.); #118500=VECTOR('',#400842,10.); #118501=VECTOR('',#400845,10.); #118502=VECTOR('',#400846,10.); #118503=VECTOR('',#400847,10.); #118504=VECTOR('',#400850,10.); #118505=VECTOR('',#400851,10.); #118506=VECTOR('',#400852,10.); #118507=VECTOR('',#400855,10.); #118508=VECTOR('',#400856,10.); #118509=VECTOR('',#400857,10.); #118510=VECTOR('',#400860,10.); #118511=VECTOR('',#400861,10.); #118512=VECTOR('',#400862,10.); #118513=VECTOR('',#400865,10.); #118514=VECTOR('',#400866,10.); #118515=VECTOR('',#400867,10.); #118516=VECTOR('',#400870,10.); #118517=VECTOR('',#400871,10.); #118518=VECTOR('',#400872,10.); #118519=VECTOR('',#400875,10.); #118520=VECTOR('',#400876,10.); #118521=VECTOR('',#400877,10.); #118522=VECTOR('',#400880,10.); #118523=VECTOR('',#400881,10.); #118524=VECTOR('',#400882,10.); #118525=VECTOR('',#400885,10.); #118526=VECTOR('',#400886,10.); #118527=VECTOR('',#400887,10.); #118528=VECTOR('',#400890,10.); #118529=VECTOR('',#400891,10.); #118530=VECTOR('',#400892,10.); #118531=VECTOR('',#400895,10.); #118532=VECTOR('',#400896,10.); #118533=VECTOR('',#400903,10.); #118534=VECTOR('',#400904,10.); #118535=VECTOR('',#400905,10.); #118536=VECTOR('',#400906,10.); #118537=VECTOR('',#400909,10.); #118538=VECTOR('',#400910,10.); #118539=VECTOR('',#400911,10.); #118540=VECTOR('',#400914,10.); #118541=VECTOR('',#400915,10.); #118542=VECTOR('',#400916,10.); #118543=VECTOR('',#400919,10.); #118544=VECTOR('',#400920,10.); #118545=VECTOR('',#400921,10.); #118546=VECTOR('',#400924,10.); #118547=VECTOR('',#400925,10.); #118548=VECTOR('',#400926,10.); #118549=VECTOR('',#400929,10.); #118550=VECTOR('',#400930,10.); #118551=VECTOR('',#400931,10.); #118552=VECTOR('',#400934,10.); #118553=VECTOR('',#400935,10.); #118554=VECTOR('',#400936,10.); #118555=VECTOR('',#400939,10.); #118556=VECTOR('',#400940,10.); #118557=VECTOR('',#400941,10.); #118558=VECTOR('',#400944,10.); #118559=VECTOR('',#400945,10.); #118560=VECTOR('',#400946,10.); #118561=VECTOR('',#400949,10.); #118562=VECTOR('',#400950,10.); #118563=VECTOR('',#400951,10.); #118564=VECTOR('',#400954,10.); #118565=VECTOR('',#400955,10.); #118566=VECTOR('',#400956,10.); #118567=VECTOR('',#400959,10.); #118568=VECTOR('',#400960,10.); #118569=VECTOR('',#400961,10.); #118570=VECTOR('',#400964,10.); #118571=VECTOR('',#400965,10.); #118572=VECTOR('',#400966,10.); #118573=VECTOR('',#400969,10.); #118574=VECTOR('',#400970,10.); #118575=VECTOR('',#400971,10.); #118576=VECTOR('',#400974,10.); #118577=VECTOR('',#400975,10.); #118578=VECTOR('',#400976,10.); #118579=VECTOR('',#400979,10.); #118580=VECTOR('',#400980,10.); #118581=VECTOR('',#400981,10.); #118582=VECTOR('',#400984,10.); #118583=VECTOR('',#400985,10.); #118584=VECTOR('',#400986,10.); #118585=VECTOR('',#400989,10.); #118586=VECTOR('',#400990,10.); #118587=VECTOR('',#400991,10.); #118588=VECTOR('',#400994,10.); #118589=VECTOR('',#400995,10.); #118590=VECTOR('',#400996,10.); #118591=VECTOR('',#400999,10.); #118592=VECTOR('',#401000,10.); #118593=VECTOR('',#401009,0.139999999999998); #118594=VECTOR('',#401016,0.139999999999998); #118595=VECTOR('',#401023,0.139999999999998); #118596=VECTOR('',#401030,0.465); #118597=VECTOR('',#401037,0.139999999999998); #118598=VECTOR('',#401044,0.139999999999998); #118599=VECTOR('',#401051,0.139999999999998); #118600=VECTOR('',#401058,0.139999999999998); #118601=VECTOR('',#401065,0.139999999999998); #118602=VECTOR('',#401072,0.139999999999998); #118603=VECTOR('',#401079,0.14); #118604=VECTOR('',#401086,0.14); #118605=VECTOR('',#401093,0.14); #118606=VECTOR('',#401100,0.14); #118607=VECTOR('',#401107,0.140000000000002); #118608=VECTOR('',#401114,0.140000000000002); #118609=VECTOR('',#401121,0.140000000000002); #118610=VECTOR('',#401128,0.140000000000002); #118611=VECTOR('',#401135,0.140000000000002); #118612=VECTOR('',#401142,0.140000000000002); #118613=VECTOR('',#401149,0.140000000000002); #118614=VECTOR('',#401156,0.140000000000002); #118615=VECTOR('',#401163,0.140000000000002); #118616=VECTOR('',#401170,0.140000000000002); #118617=VECTOR('',#401177,0.140000000000002); #118618=VECTOR('',#401184,0.140000000000002); #118619=VECTOR('',#401191,0.14); #118620=VECTOR('',#401198,0.14); #118621=VECTOR('',#401205,0.14); #118622=VECTOR('',#401212,0.14); #118623=VECTOR('',#401219,0.14); #118624=VECTOR('',#401226,0.14); #118625=VECTOR('',#401233,0.14); #118626=VECTOR('',#401240,0.14); #118627=VECTOR('',#401247,0.465); #118628=VECTOR('',#401252,10.); #118629=VECTOR('',#401253,10.); #118630=VECTOR('',#401254,10.); #118631=VECTOR('',#401255,10.); #118632=VECTOR('',#401262,10.); #118633=VECTOR('',#401265,10.); #118634=VECTOR('',#401266,10.); #118635=VECTOR('',#401267,10.); #118636=VECTOR('',#401278,0.114999999999998); #118637=VECTOR('',#401285,0.114999999999998); #118638=VECTOR('',#401292,0.114999999999998); #118639=VECTOR('',#401299,0.114999999999998); #118640=VECTOR('',#401304,10.); #118641=VECTOR('',#401305,10.); #118642=VECTOR('',#401306,10.); #118643=VECTOR('',#401307,10.); #118644=VECTOR('',#401314,10.); #118645=VECTOR('',#401317,10.); #118646=VECTOR('',#401318,10.); #118647=VECTOR('',#401319,10.); #118648=VECTOR('',#401328,10.); #118649=VECTOR('',#401329,10.); #118650=VECTOR('',#401330,10.); #118651=VECTOR('',#401331,10.); #118652=VECTOR('',#401338,10.); #118653=VECTOR('',#401341,10.); #118654=VECTOR('',#401342,10.); #118655=VECTOR('',#401343,10.); #118656=VECTOR('',#401352,10.); #118657=VECTOR('',#401353,10.); #118658=VECTOR('',#401354,10.); #118659=VECTOR('',#401355,10.); #118660=VECTOR('',#401362,10.); #118661=VECTOR('',#401365,10.); #118662=VECTOR('',#401366,10.); #118663=VECTOR('',#401367,10.); #118664=VECTOR('',#401378,0.140000000000002); #118665=VECTOR('',#401385,0.140000000000002); #118666=VECTOR('',#401392,0.564999999999998); #118667=VECTOR('',#401399,0.14); #118668=VECTOR('',#401406,0.140000000000002); #118669=VECTOR('',#401413,0.140000000000002); #118670=VECTOR('',#401420,0.140000000000002); #118671=VECTOR('',#401427,0.140000000000002); #118672=VECTOR('',#401434,0.140000000000002); #118673=VECTOR('',#401441,0.140000000000002); #118674=VECTOR('',#401448,0.140000000000002); #118675=VECTOR('',#401455,0.14); #118676=VECTOR('',#401462,0.14); #118677=VECTOR('',#401469,0.14); #118678=VECTOR('',#401476,0.14); #118679=VECTOR('',#401483,0.14); #118680=VECTOR('',#401490,0.14); #118681=VECTOR('',#401497,0.14); #118682=VECTOR('',#401504,0.14); #118683=VECTOR('',#401509,10.); #118684=VECTOR('',#401510,10.); #118685=VECTOR('',#401511,10.); #118686=VECTOR('',#401512,10.); #118687=VECTOR('',#401515,10.); #118688=VECTOR('',#401516,10.); #118689=VECTOR('',#401517,10.); #118690=VECTOR('',#401520,10.); #118691=VECTOR('',#401521,10.); #118692=VECTOR('',#401522,10.); #118693=VECTOR('',#401525,10.); #118694=VECTOR('',#401526,10.); #118695=VECTOR('',#401527,10.); #118696=VECTOR('',#401530,10.); #118697=VECTOR('',#401531,10.); #118698=VECTOR('',#401532,10.); #118699=VECTOR('',#401535,10.); #118700=VECTOR('',#401536,10.); #118701=VECTOR('',#401537,10.); #118702=VECTOR('',#401540,10.); #118703=VECTOR('',#401541,10.); #118704=VECTOR('',#401542,10.); #118705=VECTOR('',#401545,10.); #118706=VECTOR('',#401546,10.); #118707=VECTOR('',#401549,10.); #118708=VECTOR('',#401550,10.); #118709=VECTOR('',#401551,10.); #118710=VECTOR('',#401552,10.); #118711=VECTOR('',#401555,10.); #118712=VECTOR('',#401556,10.); #118713=VECTOR('',#401557,10.); #118714=VECTOR('',#401560,10.); #118715=VECTOR('',#401561,10.); #118716=VECTOR('',#401562,10.); #118717=VECTOR('',#401565,10.); #118718=VECTOR('',#401566,10.); #118719=VECTOR('',#401567,10.); #118720=VECTOR('',#401570,10.); #118721=VECTOR('',#401571,10.); #118722=VECTOR('',#401572,10.); #118723=VECTOR('',#401575,10.); #118724=VECTOR('',#401576,10.); #118725=VECTOR('',#401577,10.); #118726=VECTOR('',#401580,10.); #118727=VECTOR('',#401581,10.); #118728=VECTOR('',#401582,10.); #118729=VECTOR('',#401585,10.); #118730=VECTOR('',#401586,10.); #118731=VECTOR('',#401587,10.); #118732=VECTOR('',#401590,10.); #118733=VECTOR('',#401591,10.); #118734=VECTOR('',#401592,10.); #118735=VECTOR('',#401595,10.); #118736=VECTOR('',#401596,10.); #118737=VECTOR('',#401597,10.); #118738=VECTOR('',#401600,10.); #118739=VECTOR('',#401601,10.); #118740=VECTOR('',#401602,10.); #118741=VECTOR('',#401605,10.); #118742=VECTOR('',#401606,10.); #118743=VECTOR('',#401607,10.); #118744=VECTOR('',#401610,10.); #118745=VECTOR('',#401611,10.); #118746=VECTOR('',#401612,10.); #118747=VECTOR('',#401615,10.); #118748=VECTOR('',#401616,10.); #118749=VECTOR('',#401617,10.); #118750=VECTOR('',#401620,10.); #118751=VECTOR('',#401621,10.); #118752=VECTOR('',#401622,10.); #118753=VECTOR('',#401625,10.); #118754=VECTOR('',#401626,10.); #118755=VECTOR('',#401629,10.); #118756=VECTOR('',#401630,10.); #118757=VECTOR('',#401631,10.); #118758=VECTOR('',#401632,10.); #118759=VECTOR('',#401635,10.); #118760=VECTOR('',#401636,10.); #118761=VECTOR('',#401637,10.); #118762=VECTOR('',#401640,10.); #118763=VECTOR('',#401641,10.); #118764=VECTOR('',#401642,10.); #118765=VECTOR('',#401645,10.); #118766=VECTOR('',#401646,10.); #118767=VECTOR('',#401647,10.); #118768=VECTOR('',#401650,10.); #118769=VECTOR('',#401651,10.); #118770=VECTOR('',#401652,10.); #118771=VECTOR('',#401655,10.); #118772=VECTOR('',#401656,10.); #118773=VECTOR('',#401657,10.); #118774=VECTOR('',#401660,10.); #118775=VECTOR('',#401661,10.); #118776=VECTOR('',#401662,10.); #118777=VECTOR('',#401665,10.); #118778=VECTOR('',#401666,10.); #118779=VECTOR('',#401667,10.); #118780=VECTOR('',#401670,10.); #118781=VECTOR('',#401671,10.); #118782=VECTOR('',#401672,10.); #118783=VECTOR('',#401675,10.); #118784=VECTOR('',#401676,10.); #118785=VECTOR('',#401677,10.); #118786=VECTOR('',#401680,10.); #118787=VECTOR('',#401681,10.); #118788=VECTOR('',#401682,10.); #118789=VECTOR('',#401685,10.); #118790=VECTOR('',#401686,10.); #118791=VECTOR('',#401687,10.); #118792=VECTOR('',#401690,10.); #118793=VECTOR('',#401691,10.); #118794=VECTOR('',#401692,10.); #118795=VECTOR('',#401695,10.); #118796=VECTOR('',#401696,10.); #118797=VECTOR('',#401697,10.); #118798=VECTOR('',#401700,10.); #118799=VECTOR('',#401701,10.); #118800=VECTOR('',#401702,10.); #118801=VECTOR('',#401705,10.); #118802=VECTOR('',#401706,10.); #118803=VECTOR('',#401709,10.); #118804=VECTOR('',#401710,10.); #118805=VECTOR('',#401711,10.); #118806=VECTOR('',#401712,10.); #118807=VECTOR('',#401715,10.); #118808=VECTOR('',#401716,10.); #118809=VECTOR('',#401717,10.); #118810=VECTOR('',#401720,10.); #118811=VECTOR('',#401721,10.); #118812=VECTOR('',#401722,10.); #118813=VECTOR('',#401725,10.); #118814=VECTOR('',#401726,10.); #118815=VECTOR('',#401727,10.); #118816=VECTOR('',#401730,10.); #118817=VECTOR('',#401731,10.); #118818=VECTOR('',#401732,10.); #118819=VECTOR('',#401735,10.); #118820=VECTOR('',#401736,10.); #118821=VECTOR('',#401737,10.); #118822=VECTOR('',#401740,10.); #118823=VECTOR('',#401741,10.); #118824=VECTOR('',#401742,10.); #118825=VECTOR('',#401745,10.); #118826=VECTOR('',#401746,10.); #118827=VECTOR('',#401747,10.); #118828=VECTOR('',#401750,10.); #118829=VECTOR('',#401751,10.); #118830=VECTOR('',#401752,10.); #118831=VECTOR('',#401755,10.); #118832=VECTOR('',#401756,10.); #118833=VECTOR('',#401757,10.); #118834=VECTOR('',#401760,10.); #118835=VECTOR('',#401761,10.); #118836=VECTOR('',#401762,10.); #118837=VECTOR('',#401765,10.); #118838=VECTOR('',#401766,10.); #118839=VECTOR('',#401767,10.); #118840=VECTOR('',#401770,10.); #118841=VECTOR('',#401771,10.); #118842=VECTOR('',#401772,10.); #118843=VECTOR('',#401775,10.); #118844=VECTOR('',#401776,10.); #118845=VECTOR('',#401777,10.); #118846=VECTOR('',#401780,10.); #118847=VECTOR('',#401781,10.); #118848=VECTOR('',#401782,10.); #118849=VECTOR('',#401785,10.); #118850=VECTOR('',#401786,10.); #118851=VECTOR('',#401787,10.); #118852=VECTOR('',#401790,10.); #118853=VECTOR('',#401791,10.); #118854=VECTOR('',#401792,10.); #118855=VECTOR('',#401795,10.); #118856=VECTOR('',#401796,10.); #118857=VECTOR('',#401797,10.); #118858=VECTOR('',#401800,10.); #118859=VECTOR('',#401801,10.); #118860=VECTOR('',#401802,10.); #118861=VECTOR('',#401805,10.); #118862=VECTOR('',#401806,10.); #118863=VECTOR('',#401807,10.); #118864=VECTOR('',#401810,10.); #118865=VECTOR('',#401811,10.); #118866=VECTOR('',#401812,10.); #118867=VECTOR('',#401815,10.); #118868=VECTOR('',#401816,10.); #118869=VECTOR('',#401817,10.); #118870=VECTOR('',#401820,10.); #118871=VECTOR('',#401821,10.); #118872=VECTOR('',#401822,10.); #118873=VECTOR('',#401825,10.); #118874=VECTOR('',#401826,10.); #118875=VECTOR('',#401827,10.); #118876=VECTOR('',#401830,10.); #118877=VECTOR('',#401831,10.); #118878=VECTOR('',#401832,10.); #118879=VECTOR('',#401835,10.); #118880=VECTOR('',#401836,10.); #118881=VECTOR('',#401837,10.); #118882=VECTOR('',#401840,10.); #118883=VECTOR('',#401841,10.); #118884=VECTOR('',#401842,10.); #118885=VECTOR('',#401845,10.); #118886=VECTOR('',#401846,10.); #118887=VECTOR('',#401847,10.); #118888=VECTOR('',#401850,10.); #118889=VECTOR('',#401851,10.); #118890=VECTOR('',#401852,10.); #118891=VECTOR('',#401855,10.); #118892=VECTOR('',#401856,10.); #118893=VECTOR('',#401857,10.); #118894=VECTOR('',#401860,10.); #118895=VECTOR('',#401861,10.); #118896=VECTOR('',#401862,10.); #118897=VECTOR('',#401865,10.); #118898=VECTOR('',#401866,10.); #118899=VECTOR('',#401867,10.); #118900=VECTOR('',#401870,10.); #118901=VECTOR('',#401871,10.); #118902=VECTOR('',#401872,10.); #118903=VECTOR('',#401875,10.); #118904=VECTOR('',#401876,10.); #118905=VECTOR('',#401877,10.); #118906=VECTOR('',#401880,10.); #118907=VECTOR('',#401881,10.); #118908=VECTOR('',#401882,10.); #118909=VECTOR('',#401885,10.); #118910=VECTOR('',#401886,10.); #118911=VECTOR('',#401889,10.); #118912=VECTOR('',#401890,10.); #118913=VECTOR('',#401891,10.); #118914=VECTOR('',#401892,10.); #118915=VECTOR('',#401895,10.); #118916=VECTOR('',#401896,10.); #118917=VECTOR('',#401897,10.); #118918=VECTOR('',#401900,10.); #118919=VECTOR('',#401901,10.); #118920=VECTOR('',#401902,10.); #118921=VECTOR('',#401905,10.); #118922=VECTOR('',#401906,10.); #118923=VECTOR('',#401907,10.); #118924=VECTOR('',#401910,10.); #118925=VECTOR('',#401911,10.); #118926=VECTOR('',#401912,10.); #118927=VECTOR('',#401915,10.); #118928=VECTOR('',#401916,10.); #118929=VECTOR('',#401917,10.); #118930=VECTOR('',#401920,10.); #118931=VECTOR('',#401921,10.); #118932=VECTOR('',#401922,10.); #118933=VECTOR('',#401925,10.); #118934=VECTOR('',#401926,10.); #118935=VECTOR('',#401927,10.); #118936=VECTOR('',#401930,10.); #118937=VECTOR('',#401931,10.); #118938=VECTOR('',#401932,10.); #118939=VECTOR('',#401935,10.); #118940=VECTOR('',#401936,10.); #118941=VECTOR('',#401937,10.); #118942=VECTOR('',#401940,10.); #118943=VECTOR('',#401941,10.); #118944=VECTOR('',#401942,10.); #118945=VECTOR('',#401945,10.); #118946=VECTOR('',#401946,10.); #118947=VECTOR('',#401947,10.); #118948=VECTOR('',#401950,10.); #118949=VECTOR('',#401951,10.); #118950=VECTOR('',#401952,10.); #118951=VECTOR('',#401955,10.); #118952=VECTOR('',#401956,10.); #118953=VECTOR('',#401957,10.); #118954=VECTOR('',#401960,10.); #118955=VECTOR('',#401961,10.); #118956=VECTOR('',#401962,10.); #118957=VECTOR('',#401965,10.); #118958=VECTOR('',#401966,10.); #118959=VECTOR('',#401967,10.); #118960=VECTOR('',#401970,10.); #118961=VECTOR('',#401971,10.); #118962=VECTOR('',#401972,10.); #118963=VECTOR('',#401975,10.); #118964=VECTOR('',#401976,10.); #118965=VECTOR('',#401977,10.); #118966=VECTOR('',#401980,10.); #118967=VECTOR('',#401981,10.); #118968=VECTOR('',#401982,10.); #118969=VECTOR('',#401985,10.); #118970=VECTOR('',#401986,10.); #118971=VECTOR('',#401987,10.); #118972=VECTOR('',#401990,10.); #118973=VECTOR('',#401991,10.); #118974=VECTOR('',#401992,10.); #118975=VECTOR('',#401995,10.); #118976=VECTOR('',#401996,10.); #118977=VECTOR('',#401997,10.); #118978=VECTOR('',#402000,10.); #118979=VECTOR('',#402001,10.); #118980=VECTOR('',#402002,10.); #118981=VECTOR('',#402005,10.); #118982=VECTOR('',#402006,10.); #118983=VECTOR('',#402007,10.); #118984=VECTOR('',#402010,10.); #118985=VECTOR('',#402011,10.); #118986=VECTOR('',#402012,10.); #118987=VECTOR('',#402015,10.); #118988=VECTOR('',#402016,10.); #118989=VECTOR('',#402017,10.); #118990=VECTOR('',#402020,10.); #118991=VECTOR('',#402021,10.); #118992=VECTOR('',#402022,10.); #118993=VECTOR('',#402025,10.); #118994=VECTOR('',#402026,10.); #118995=VECTOR('',#402027,10.); #118996=VECTOR('',#402030,10.); #118997=VECTOR('',#402031,10.); #118998=VECTOR('',#402032,10.); #118999=VECTOR('',#402035,10.); #119000=VECTOR('',#402036,10.); #119001=VECTOR('',#402037,10.); #119002=VECTOR('',#402040,10.); #119003=VECTOR('',#402041,10.); #119004=VECTOR('',#402042,10.); #119005=VECTOR('',#402045,10.); #119006=VECTOR('',#402046,10.); #119007=VECTOR('',#402047,10.); #119008=VECTOR('',#402050,10.); #119009=VECTOR('',#402051,10.); #119010=VECTOR('',#402052,10.); #119011=VECTOR('',#402055,10.); #119012=VECTOR('',#402056,10.); #119013=VECTOR('',#402057,10.); #119014=VECTOR('',#402060,10.); #119015=VECTOR('',#402061,10.); #119016=VECTOR('',#402062,10.); #119017=VECTOR('',#402065,10.); #119018=VECTOR('',#402066,10.); #119019=VECTOR('',#402069,10.); #119020=VECTOR('',#402070,10.); #119021=VECTOR('',#402071,10.); #119022=VECTOR('',#402072,10.); #119023=VECTOR('',#402075,10.); #119024=VECTOR('',#402076,10.); #119025=VECTOR('',#402077,10.); #119026=VECTOR('',#402080,10.); #119027=VECTOR('',#402081,10.); #119028=VECTOR('',#402082,10.); #119029=VECTOR('',#402085,10.); #119030=VECTOR('',#402086,10.); #119031=VECTOR('',#402087,10.); #119032=VECTOR('',#402090,10.); #119033=VECTOR('',#402091,10.); #119034=VECTOR('',#402092,10.); #119035=VECTOR('',#402095,10.); #119036=VECTOR('',#402096,10.); #119037=VECTOR('',#402097,10.); #119038=VECTOR('',#402100,10.); #119039=VECTOR('',#402101,10.); #119040=VECTOR('',#402102,10.); #119041=VECTOR('',#402105,10.); #119042=VECTOR('',#402106,10.); #119043=VECTOR('',#402107,10.); #119044=VECTOR('',#402110,10.); #119045=VECTOR('',#402111,10.); #119046=VECTOR('',#402112,10.); #119047=VECTOR('',#402115,10.); #119048=VECTOR('',#402116,10.); #119049=VECTOR('',#402117,10.); #119050=VECTOR('',#402120,10.); #119051=VECTOR('',#402121,10.); #119052=VECTOR('',#402122,10.); #119053=VECTOR('',#402125,10.); #119054=VECTOR('',#402126,10.); #119055=VECTOR('',#402127,10.); #119056=VECTOR('',#402130,10.); #119057=VECTOR('',#402131,10.); #119058=VECTOR('',#402132,10.); #119059=VECTOR('',#402135,10.); #119060=VECTOR('',#402136,10.); #119061=VECTOR('',#402137,10.); #119062=VECTOR('',#402140,10.); #119063=VECTOR('',#402141,10.); #119064=VECTOR('',#402142,10.); #119065=VECTOR('',#402145,10.); #119066=VECTOR('',#402146,10.); #119067=VECTOR('',#402147,10.); #119068=VECTOR('',#402150,10.); #119069=VECTOR('',#402151,10.); #119070=VECTOR('',#402152,10.); #119071=VECTOR('',#402155,10.); #119072=VECTOR('',#402156,10.); #119073=VECTOR('',#402157,10.); #119074=VECTOR('',#402160,10.); #119075=VECTOR('',#402161,10.); #119076=VECTOR('',#402162,10.); #119077=VECTOR('',#402165,10.); #119078=VECTOR('',#402166,10.); #119079=VECTOR('',#402167,10.); #119080=VECTOR('',#402170,10.); #119081=VECTOR('',#402171,10.); #119082=VECTOR('',#402172,10.); #119083=VECTOR('',#402175,10.); #119084=VECTOR('',#402176,10.); #119085=VECTOR('',#402177,10.); #119086=VECTOR('',#402180,10.); #119087=VECTOR('',#402181,10.); #119088=VECTOR('',#402182,10.); #119089=VECTOR('',#402185,10.); #119090=VECTOR('',#402186,10.); #119091=VECTOR('',#402187,10.); #119092=VECTOR('',#402190,10.); #119093=VECTOR('',#402191,10.); #119094=VECTOR('',#402192,10.); #119095=VECTOR('',#402195,10.); #119096=VECTOR('',#402196,10.); #119097=VECTOR('',#402197,10.); #119098=VECTOR('',#402200,10.); #119099=VECTOR('',#402201,10.); #119100=VECTOR('',#402202,10.); #119101=VECTOR('',#402205,10.); #119102=VECTOR('',#402206,10.); #119103=VECTOR('',#402207,10.); #119104=VECTOR('',#402210,10.); #119105=VECTOR('',#402211,10.); #119106=VECTOR('',#402212,10.); #119107=VECTOR('',#402215,10.); #119108=VECTOR('',#402216,10.); #119109=VECTOR('',#402217,10.); #119110=VECTOR('',#402220,10.); #119111=VECTOR('',#402221,10.); #119112=VECTOR('',#402222,10.); #119113=VECTOR('',#402225,10.); #119114=VECTOR('',#402226,10.); #119115=VECTOR('',#402227,10.); #119116=VECTOR('',#402230,10.); #119117=VECTOR('',#402231,10.); #119118=VECTOR('',#402232,10.); #119119=VECTOR('',#402235,10.); #119120=VECTOR('',#402236,10.); #119121=VECTOR('',#402237,10.); #119122=VECTOR('',#402240,10.); #119123=VECTOR('',#402241,10.); #119124=VECTOR('',#402242,10.); #119125=VECTOR('',#402245,10.); #119126=VECTOR('',#402246,10.); #119127=VECTOR('',#402247,10.); #119128=VECTOR('',#402250,10.); #119129=VECTOR('',#402251,10.); #119130=VECTOR('',#402252,10.); #119131=VECTOR('',#402255,10.); #119132=VECTOR('',#402256,10.); #119133=VECTOR('',#402257,10.); #119134=VECTOR('',#402260,10.); #119135=VECTOR('',#402261,10.); #119136=VECTOR('',#402262,10.); #119137=VECTOR('',#402265,10.); #119138=VECTOR('',#402266,10.); #119139=VECTOR('',#402267,10.); #119140=VECTOR('',#402270,10.); #119141=VECTOR('',#402271,10.); #119142=VECTOR('',#402272,10.); #119143=VECTOR('',#402275,10.); #119144=VECTOR('',#402276,10.); #119145=VECTOR('',#402277,10.); #119146=VECTOR('',#402280,10.); #119147=VECTOR('',#402281,10.); #119148=VECTOR('',#402282,10.); #119149=VECTOR('',#402285,10.); #119150=VECTOR('',#402286,10.); #119151=VECTOR('',#402287,10.); #119152=VECTOR('',#402290,10.); #119153=VECTOR('',#402291,10.); #119154=VECTOR('',#402292,10.); #119155=VECTOR('',#402295,10.); #119156=VECTOR('',#402296,10.); #119157=VECTOR('',#402297,10.); #119158=VECTOR('',#402300,10.); #119159=VECTOR('',#402301,10.); #119160=VECTOR('',#402302,10.); #119161=VECTOR('',#402305,10.); #119162=VECTOR('',#402306,10.); #119163=VECTOR('',#402307,10.); #119164=VECTOR('',#402310,10.); #119165=VECTOR('',#402311,10.); #119166=VECTOR('',#402312,10.); #119167=VECTOR('',#402315,10.); #119168=VECTOR('',#402316,10.); #119169=VECTOR('',#402317,10.); #119170=VECTOR('',#402320,10.); #119171=VECTOR('',#402321,10.); #119172=VECTOR('',#402322,10.); #119173=VECTOR('',#402325,10.); #119174=VECTOR('',#402326,10.); #119175=VECTOR('',#402327,10.); #119176=VECTOR('',#402330,10.); #119177=VECTOR('',#402331,10.); #119178=VECTOR('',#402332,10.); #119179=VECTOR('',#402335,10.); #119180=VECTOR('',#402336,10.); #119181=VECTOR('',#402337,10.); #119182=VECTOR('',#402340,10.); #119183=VECTOR('',#402341,10.); #119184=VECTOR('',#402342,10.); #119185=VECTOR('',#402345,10.); #119186=VECTOR('',#402346,10.); #119187=VECTOR('',#402347,10.); #119188=VECTOR('',#402350,10.); #119189=VECTOR('',#402351,10.); #119190=VECTOR('',#402352,10.); #119191=VECTOR('',#402355,10.); #119192=VECTOR('',#402356,10.); #119193=VECTOR('',#402357,10.); #119194=VECTOR('',#402360,10.); #119195=VECTOR('',#402361,10.); #119196=VECTOR('',#402362,10.); #119197=VECTOR('',#402365,10.); #119198=VECTOR('',#402366,10.); #119199=VECTOR('',#402367,10.); #119200=VECTOR('',#402370,10.); #119201=VECTOR('',#402371,10.); #119202=VECTOR('',#402372,10.); #119203=VECTOR('',#402375,10.); #119204=VECTOR('',#402376,10.); #119205=VECTOR('',#402377,10.); #119206=VECTOR('',#402380,10.); #119207=VECTOR('',#402381,10.); #119208=VECTOR('',#402382,10.); #119209=VECTOR('',#402385,10.); #119210=VECTOR('',#402386,10.); #119211=VECTOR('',#402387,10.); #119212=VECTOR('',#402390,10.); #119213=VECTOR('',#402391,10.); #119214=VECTOR('',#402392,10.); #119215=VECTOR('',#402395,10.); #119216=VECTOR('',#402396,10.); #119217=VECTOR('',#402397,10.); #119218=VECTOR('',#402400,10.); #119219=VECTOR('',#402401,10.); #119220=VECTOR('',#402402,10.); #119221=VECTOR('',#402405,10.); #119222=VECTOR('',#402406,10.); #119223=VECTOR('',#402407,10.); #119224=VECTOR('',#402410,10.); #119225=VECTOR('',#402411,10.); #119226=VECTOR('',#402412,10.); #119227=VECTOR('',#402415,10.); #119228=VECTOR('',#402416,10.); #119229=VECTOR('',#402417,10.); #119230=VECTOR('',#402420,10.); #119231=VECTOR('',#402421,10.); #119232=VECTOR('',#402422,10.); #119233=VECTOR('',#402425,10.); #119234=VECTOR('',#402426,10.); #119235=VECTOR('',#402427,10.); #119236=VECTOR('',#402430,10.); #119237=VECTOR('',#402431,10.); #119238=VECTOR('',#402432,10.); #119239=VECTOR('',#402435,10.); #119240=VECTOR('',#402436,10.); #119241=VECTOR('',#402437,10.); #119242=VECTOR('',#402440,10.); #119243=VECTOR('',#402441,10.); #119244=VECTOR('',#402442,10.); #119245=VECTOR('',#402445,10.); #119246=VECTOR('',#402446,10.); #119247=VECTOR('',#402447,10.); #119248=VECTOR('',#402450,10.); #119249=VECTOR('',#402451,10.); #119250=VECTOR('',#402452,10.); #119251=VECTOR('',#402455,10.); #119252=VECTOR('',#402456,10.); #119253=VECTOR('',#402457,10.); #119254=VECTOR('',#402460,10.); #119255=VECTOR('',#402461,10.); #119256=VECTOR('',#402462,10.); #119257=VECTOR('',#402465,10.); #119258=VECTOR('',#402466,10.); #119259=VECTOR('',#402467,10.); #119260=VECTOR('',#402470,10.); #119261=VECTOR('',#402471,10.); #119262=VECTOR('',#402472,10.); #119263=VECTOR('',#402475,10.); #119264=VECTOR('',#402476,10.); #119265=VECTOR('',#402477,10.); #119266=VECTOR('',#402480,10.); #119267=VECTOR('',#402481,10.); #119268=VECTOR('',#402482,10.); #119269=VECTOR('',#402485,10.); #119270=VECTOR('',#402486,10.); #119271=VECTOR('',#402487,10.); #119272=VECTOR('',#402490,10.); #119273=VECTOR('',#402491,10.); #119274=VECTOR('',#402492,10.); #119275=VECTOR('',#402495,10.); #119276=VECTOR('',#402496,10.); #119277=VECTOR('',#402497,10.); #119278=VECTOR('',#402500,10.); #119279=VECTOR('',#402501,10.); #119280=VECTOR('',#402502,10.); #119281=VECTOR('',#402505,10.); #119282=VECTOR('',#402506,10.); #119283=VECTOR('',#402507,10.); #119284=VECTOR('',#402510,10.); #119285=VECTOR('',#402511,10.); #119286=VECTOR('',#402512,10.); #119287=VECTOR('',#402515,10.); #119288=VECTOR('',#402516,10.); #119289=VECTOR('',#402517,10.); #119290=VECTOR('',#402520,10.); #119291=VECTOR('',#402521,10.); #119292=VECTOR('',#402522,10.); #119293=VECTOR('',#402525,10.); #119294=VECTOR('',#402526,10.); #119295=VECTOR('',#402527,10.); #119296=VECTOR('',#402530,10.); #119297=VECTOR('',#402531,10.); #119298=VECTOR('',#402532,10.); #119299=VECTOR('',#402535,10.); #119300=VECTOR('',#402536,10.); #119301=VECTOR('',#402537,10.); #119302=VECTOR('',#402540,10.); #119303=VECTOR('',#402541,10.); #119304=VECTOR('',#402542,10.); #119305=VECTOR('',#402545,10.); #119306=VECTOR('',#402546,10.); #119307=VECTOR('',#402547,10.); #119308=VECTOR('',#402550,10.); #119309=VECTOR('',#402551,10.); #119310=VECTOR('',#402552,10.); #119311=VECTOR('',#402555,10.); #119312=VECTOR('',#402556,10.); #119313=VECTOR('',#402557,10.); #119314=VECTOR('',#402560,10.); #119315=VECTOR('',#402561,10.); #119316=VECTOR('',#402562,10.); #119317=VECTOR('',#402565,10.); #119318=VECTOR('',#402566,10.); #119319=VECTOR('',#402567,10.); #119320=VECTOR('',#402570,10.); #119321=VECTOR('',#402571,10.); #119322=VECTOR('',#402572,10.); #119323=VECTOR('',#402575,10.); #119324=VECTOR('',#402576,10.); #119325=VECTOR('',#402577,10.); #119326=VECTOR('',#402580,10.); #119327=VECTOR('',#402581,10.); #119328=VECTOR('',#402582,10.); #119329=VECTOR('',#402585,10.); #119330=VECTOR('',#402586,10.); #119331=VECTOR('',#402587,10.); #119332=VECTOR('',#402590,10.); #119333=VECTOR('',#402591,10.); #119334=VECTOR('',#402592,10.); #119335=VECTOR('',#402595,10.); #119336=VECTOR('',#402596,10.); #119337=VECTOR('',#402597,10.); #119338=VECTOR('',#402600,10.); #119339=VECTOR('',#402601,10.); #119340=VECTOR('',#402602,10.); #119341=VECTOR('',#402605,10.); #119342=VECTOR('',#402606,10.); #119343=VECTOR('',#402607,10.); #119344=VECTOR('',#402610,10.); #119345=VECTOR('',#402611,10.); #119346=VECTOR('',#402612,10.); #119347=VECTOR('',#402615,10.); #119348=VECTOR('',#402616,10.); #119349=VECTOR('',#402617,10.); #119350=VECTOR('',#402620,10.); #119351=VECTOR('',#402621,10.); #119352=VECTOR('',#402622,10.); #119353=VECTOR('',#402625,10.); #119354=VECTOR('',#402626,10.); #119355=VECTOR('',#402627,10.); #119356=VECTOR('',#402630,10.); #119357=VECTOR('',#402631,10.); #119358=VECTOR('',#402632,10.); #119359=VECTOR('',#402635,10.); #119360=VECTOR('',#402636,10.); #119361=VECTOR('',#402637,10.); #119362=VECTOR('',#402640,10.); #119363=VECTOR('',#402641,10.); #119364=VECTOR('',#402642,10.); #119365=VECTOR('',#402645,10.); #119366=VECTOR('',#402646,10.); #119367=VECTOR('',#402647,10.); #119368=VECTOR('',#402650,10.); #119369=VECTOR('',#402651,10.); #119370=VECTOR('',#402652,10.); #119371=VECTOR('',#402655,10.); #119372=VECTOR('',#402656,10.); #119373=VECTOR('',#402657,10.); #119374=VECTOR('',#402660,10.); #119375=VECTOR('',#402661,10.); #119376=VECTOR('',#402662,10.); #119377=VECTOR('',#402665,10.); #119378=VECTOR('',#402666,10.); #119379=VECTOR('',#402667,10.); #119380=VECTOR('',#402670,10.); #119381=VECTOR('',#402671,10.); #119382=VECTOR('',#402672,10.); #119383=VECTOR('',#402675,10.); #119384=VECTOR('',#402676,10.); #119385=VECTOR('',#402677,10.); #119386=VECTOR('',#402680,10.); #119387=VECTOR('',#402681,10.); #119388=VECTOR('',#402682,10.); #119389=VECTOR('',#402685,10.); #119390=VECTOR('',#402686,10.); #119391=VECTOR('',#402687,10.); #119392=VECTOR('',#402690,10.); #119393=VECTOR('',#402691,10.); #119394=VECTOR('',#402692,10.); #119395=VECTOR('',#402695,10.); #119396=VECTOR('',#402696,10.); #119397=VECTOR('',#402697,10.); #119398=VECTOR('',#402700,10.); #119399=VECTOR('',#402701,10.); #119400=VECTOR('',#402702,10.); #119401=VECTOR('',#402705,10.); #119402=VECTOR('',#402706,10.); #119403=VECTOR('',#402707,10.); #119404=VECTOR('',#402710,10.); #119405=VECTOR('',#402711,10.); #119406=VECTOR('',#402712,10.); #119407=VECTOR('',#402715,10.); #119408=VECTOR('',#402716,10.); #119409=VECTOR('',#402717,10.); #119410=VECTOR('',#402720,10.); #119411=VECTOR('',#402721,10.); #119412=VECTOR('',#402722,10.); #119413=VECTOR('',#402725,10.); #119414=VECTOR('',#402726,10.); #119415=VECTOR('',#402727,10.); #119416=VECTOR('',#402730,10.); #119417=VECTOR('',#402731,10.); #119418=VECTOR('',#402732,10.); #119419=VECTOR('',#402735,10.); #119420=VECTOR('',#402736,10.); #119421=VECTOR('',#402737,10.); #119422=VECTOR('',#402740,10.); #119423=VECTOR('',#402741,10.); #119424=VECTOR('',#402742,10.); #119425=VECTOR('',#402745,10.); #119426=VECTOR('',#402746,10.); #119427=VECTOR('',#402747,10.); #119428=VECTOR('',#402750,10.); #119429=VECTOR('',#402751,10.); #119430=VECTOR('',#402752,10.); #119431=VECTOR('',#402755,10.); #119432=VECTOR('',#402756,10.); #119433=VECTOR('',#402757,10.); #119434=VECTOR('',#402760,10.); #119435=VECTOR('',#402761,10.); #119436=VECTOR('',#402762,10.); #119437=VECTOR('',#402765,10.); #119438=VECTOR('',#402766,10.); #119439=VECTOR('',#402767,10.); #119440=VECTOR('',#402770,10.); #119441=VECTOR('',#402771,10.); #119442=VECTOR('',#402772,10.); #119443=VECTOR('',#402775,10.); #119444=VECTOR('',#402776,10.); #119445=VECTOR('',#402777,10.); #119446=VECTOR('',#402780,10.); #119447=VECTOR('',#402781,10.); #119448=VECTOR('',#402782,10.); #119449=VECTOR('',#402785,10.); #119450=VECTOR('',#402786,10.); #119451=VECTOR('',#402787,10.); #119452=VECTOR('',#402790,10.); #119453=VECTOR('',#402791,10.); #119454=VECTOR('',#402792,10.); #119455=VECTOR('',#402795,10.); #119456=VECTOR('',#402796,10.); #119457=VECTOR('',#402797,10.); #119458=VECTOR('',#402800,10.); #119459=VECTOR('',#402801,10.); #119460=VECTOR('',#402802,10.); #119461=VECTOR('',#402805,10.); #119462=VECTOR('',#402806,10.); #119463=VECTOR('',#402807,10.); #119464=VECTOR('',#402810,10.); #119465=VECTOR('',#402811,10.); #119466=VECTOR('',#402812,10.); #119467=VECTOR('',#402815,10.); #119468=VECTOR('',#402816,10.); #119469=VECTOR('',#402817,10.); #119470=VECTOR('',#402820,10.); #119471=VECTOR('',#402821,10.); #119472=VECTOR('',#402822,10.); #119473=VECTOR('',#402825,10.); #119474=VECTOR('',#402826,10.); #119475=VECTOR('',#402827,10.); #119476=VECTOR('',#402830,10.); #119477=VECTOR('',#402831,10.); #119478=VECTOR('',#402832,10.); #119479=VECTOR('',#402835,10.); #119480=VECTOR('',#402836,10.); #119481=VECTOR('',#402837,10.); #119482=VECTOR('',#402840,10.); #119483=VECTOR('',#402841,10.); #119484=VECTOR('',#402842,10.); #119485=VECTOR('',#402845,10.); #119486=VECTOR('',#402846,10.); #119487=VECTOR('',#402847,10.); #119488=VECTOR('',#402850,10.); #119489=VECTOR('',#402851,10.); #119490=VECTOR('',#402852,10.); #119491=VECTOR('',#402855,10.); #119492=VECTOR('',#402856,10.); #119493=VECTOR('',#402857,10.); #119494=VECTOR('',#402860,10.); #119495=VECTOR('',#402861,10.); #119496=VECTOR('',#402862,10.); #119497=VECTOR('',#402865,10.); #119498=VECTOR('',#402866,10.); #119499=VECTOR('',#402867,10.); #119500=VECTOR('',#402870,10.); #119501=VECTOR('',#402871,10.); #119502=VECTOR('',#402872,10.); #119503=VECTOR('',#402875,10.); #119504=VECTOR('',#402876,10.); #119505=VECTOR('',#402877,10.); #119506=VECTOR('',#402880,10.); #119507=VECTOR('',#402881,10.); #119508=VECTOR('',#402882,10.); #119509=VECTOR('',#402885,10.); #119510=VECTOR('',#402886,10.); #119511=VECTOR('',#402887,10.); #119512=VECTOR('',#402890,10.); #119513=VECTOR('',#402891,10.); #119514=VECTOR('',#402892,10.); #119515=VECTOR('',#402895,10.); #119516=VECTOR('',#402896,10.); #119517=VECTOR('',#402897,10.); #119518=VECTOR('',#402900,10.); #119519=VECTOR('',#402901,10.); #119520=VECTOR('',#402902,10.); #119521=VECTOR('',#402905,10.); #119522=VECTOR('',#402906,10.); #119523=VECTOR('',#402907,10.); #119524=VECTOR('',#402910,10.); #119525=VECTOR('',#402911,10.); #119526=VECTOR('',#402912,10.); #119527=VECTOR('',#402915,10.); #119528=VECTOR('',#402916,10.); #119529=VECTOR('',#402917,10.); #119530=VECTOR('',#402920,10.); #119531=VECTOR('',#402921,10.); #119532=VECTOR('',#402922,10.); #119533=VECTOR('',#402925,10.); #119534=VECTOR('',#402926,10.); #119535=VECTOR('',#402927,10.); #119536=VECTOR('',#402930,10.); #119537=VECTOR('',#402931,10.); #119538=VECTOR('',#402932,10.); #119539=VECTOR('',#402935,10.); #119540=VECTOR('',#402936,10.); #119541=VECTOR('',#402937,10.); #119542=VECTOR('',#402940,10.); #119543=VECTOR('',#402941,10.); #119544=VECTOR('',#402942,10.); #119545=VECTOR('',#402945,10.); #119546=VECTOR('',#402946,10.); #119547=VECTOR('',#402947,10.); #119548=VECTOR('',#402950,10.); #119549=VECTOR('',#402951,10.); #119550=VECTOR('',#402952,10.); #119551=VECTOR('',#402955,10.); #119552=VECTOR('',#402956,10.); #119553=VECTOR('',#402957,10.); #119554=VECTOR('',#402960,10.); #119555=VECTOR('',#402961,10.); #119556=VECTOR('',#402962,10.); #119557=VECTOR('',#402965,10.); #119558=VECTOR('',#402966,10.); #119559=VECTOR('',#402967,10.); #119560=VECTOR('',#402970,10.); #119561=VECTOR('',#402971,10.); #119562=VECTOR('',#402972,10.); #119563=VECTOR('',#402975,10.); #119564=VECTOR('',#402976,10.); #119565=VECTOR('',#402977,10.); #119566=VECTOR('',#402980,10.); #119567=VECTOR('',#402981,10.); #119568=VECTOR('',#402982,10.); #119569=VECTOR('',#402985,10.); #119570=VECTOR('',#402986,10.); #119571=VECTOR('',#402987,10.); #119572=VECTOR('',#402990,10.); #119573=VECTOR('',#402991,10.); #119574=VECTOR('',#402992,10.); #119575=VECTOR('',#402995,10.); #119576=VECTOR('',#402996,10.); #119577=VECTOR('',#402997,10.); #119578=VECTOR('',#403000,10.); #119579=VECTOR('',#403001,10.); #119580=VECTOR('',#403002,10.); #119581=VECTOR('',#403005,10.); #119582=VECTOR('',#403006,10.); #119583=VECTOR('',#403007,10.); #119584=VECTOR('',#403010,10.); #119585=VECTOR('',#403011,10.); #119586=VECTOR('',#403012,10.); #119587=VECTOR('',#403015,10.); #119588=VECTOR('',#403016,10.); #119589=VECTOR('',#403017,10.); #119590=VECTOR('',#403020,10.); #119591=VECTOR('',#403021,10.); #119592=VECTOR('',#403022,10.); #119593=VECTOR('',#403025,10.); #119594=VECTOR('',#403026,10.); #119595=VECTOR('',#403027,10.); #119596=VECTOR('',#403030,10.); #119597=VECTOR('',#403031,10.); #119598=VECTOR('',#403032,10.); #119599=VECTOR('',#403035,10.); #119600=VECTOR('',#403036,10.); #119601=VECTOR('',#403037,10.); #119602=VECTOR('',#403040,10.); #119603=VECTOR('',#403041,10.); #119604=VECTOR('',#403042,10.); #119605=VECTOR('',#403045,10.); #119606=VECTOR('',#403046,10.); #119607=VECTOR('',#403047,10.); #119608=VECTOR('',#403050,10.); #119609=VECTOR('',#403051,10.); #119610=VECTOR('',#403052,10.); #119611=VECTOR('',#403055,10.); #119612=VECTOR('',#403056,10.); #119613=VECTOR('',#403057,10.); #119614=VECTOR('',#403060,10.); #119615=VECTOR('',#403061,10.); #119616=VECTOR('',#403062,10.); #119617=VECTOR('',#403065,10.); #119618=VECTOR('',#403066,10.); #119619=VECTOR('',#403067,10.); #119620=VECTOR('',#403070,10.); #119621=VECTOR('',#403071,10.); #119622=VECTOR('',#403072,10.); #119623=VECTOR('',#403075,10.); #119624=VECTOR('',#403076,10.); #119625=VECTOR('',#403077,10.); #119626=VECTOR('',#403080,10.); #119627=VECTOR('',#403081,10.); #119628=VECTOR('',#403082,10.); #119629=VECTOR('',#403085,10.); #119630=VECTOR('',#403086,10.); #119631=VECTOR('',#403087,10.); #119632=VECTOR('',#403090,10.); #119633=VECTOR('',#403091,10.); #119634=VECTOR('',#403092,10.); #119635=VECTOR('',#403095,10.); #119636=VECTOR('',#403096,10.); #119637=VECTOR('',#403097,10.); #119638=VECTOR('',#403100,10.); #119639=VECTOR('',#403101,10.); #119640=VECTOR('',#403102,10.); #119641=VECTOR('',#403105,10.); #119642=VECTOR('',#403106,10.); #119643=VECTOR('',#403107,10.); #119644=VECTOR('',#403110,10.); #119645=VECTOR('',#403111,10.); #119646=VECTOR('',#403112,10.); #119647=VECTOR('',#403115,10.); #119648=VECTOR('',#403116,10.); #119649=VECTOR('',#403117,10.); #119650=VECTOR('',#403120,10.); #119651=VECTOR('',#403121,10.); #119652=VECTOR('',#403122,10.); #119653=VECTOR('',#403125,10.); #119654=VECTOR('',#403126,10.); #119655=VECTOR('',#403127,10.); #119656=VECTOR('',#403130,10.); #119657=VECTOR('',#403131,10.); #119658=VECTOR('',#403132,10.); #119659=VECTOR('',#403135,10.); #119660=VECTOR('',#403136,10.); #119661=VECTOR('',#403137,10.); #119662=VECTOR('',#403140,10.); #119663=VECTOR('',#403141,10.); #119664=VECTOR('',#403142,10.); #119665=VECTOR('',#403145,10.); #119666=VECTOR('',#403146,10.); #119667=VECTOR('',#403147,10.); #119668=VECTOR('',#403150,10.); #119669=VECTOR('',#403151,10.); #119670=VECTOR('',#403152,10.); #119671=VECTOR('',#403155,10.); #119672=VECTOR('',#403156,10.); #119673=VECTOR('',#403157,10.); #119674=VECTOR('',#403160,10.); #119675=VECTOR('',#403161,10.); #119676=VECTOR('',#403162,10.); #119677=VECTOR('',#403165,10.); #119678=VECTOR('',#403166,10.); #119679=VECTOR('',#403167,10.); #119680=VECTOR('',#403170,10.); #119681=VECTOR('',#403171,10.); #119682=VECTOR('',#403172,10.); #119683=VECTOR('',#403175,10.); #119684=VECTOR('',#403176,10.); #119685=VECTOR('',#403177,10.); #119686=VECTOR('',#403180,10.); #119687=VECTOR('',#403181,10.); #119688=VECTOR('',#403182,10.); #119689=VECTOR('',#403185,10.); #119690=VECTOR('',#403186,10.); #119691=VECTOR('',#403187,10.); #119692=VECTOR('',#403190,10.); #119693=VECTOR('',#403191,10.); #119694=VECTOR('',#403192,10.); #119695=VECTOR('',#403195,10.); #119696=VECTOR('',#403196,10.); #119697=VECTOR('',#403197,10.); #119698=VECTOR('',#403200,10.); #119699=VECTOR('',#403201,10.); #119700=VECTOR('',#403202,10.); #119701=VECTOR('',#403205,10.); #119702=VECTOR('',#403206,10.); #119703=VECTOR('',#403207,10.); #119704=VECTOR('',#403210,10.); #119705=VECTOR('',#403211,10.); #119706=VECTOR('',#403212,10.); #119707=VECTOR('',#403215,10.); #119708=VECTOR('',#403216,10.); #119709=VECTOR('',#403217,10.); #119710=VECTOR('',#403220,10.); #119711=VECTOR('',#403221,10.); #119712=VECTOR('',#403222,10.); #119713=VECTOR('',#403225,10.); #119714=VECTOR('',#403226,10.); #119715=VECTOR('',#403227,10.); #119716=VECTOR('',#403230,10.); #119717=VECTOR('',#403231,10.); #119718=VECTOR('',#403232,10.); #119719=VECTOR('',#403235,10.); #119720=VECTOR('',#403236,10.); #119721=VECTOR('',#403237,10.); #119722=VECTOR('',#403240,10.); #119723=VECTOR('',#403241,10.); #119724=VECTOR('',#403242,10.); #119725=VECTOR('',#403245,10.); #119726=VECTOR('',#403246,10.); #119727=VECTOR('',#403247,10.); #119728=VECTOR('',#403250,10.); #119729=VECTOR('',#403251,10.); #119730=VECTOR('',#403252,10.); #119731=VECTOR('',#403255,10.); #119732=VECTOR('',#403256,10.); #119733=VECTOR('',#403257,10.); #119734=VECTOR('',#403260,10.); #119735=VECTOR('',#403261,10.); #119736=VECTOR('',#403262,10.); #119737=VECTOR('',#403265,10.); #119738=VECTOR('',#403266,10.); #119739=VECTOR('',#403267,10.); #119740=VECTOR('',#403270,10.); #119741=VECTOR('',#403271,10.); #119742=VECTOR('',#403272,10.); #119743=VECTOR('',#403275,10.); #119744=VECTOR('',#403276,10.); #119745=VECTOR('',#403277,10.); #119746=VECTOR('',#403280,10.); #119747=VECTOR('',#403281,10.); #119748=VECTOR('',#403282,10.); #119749=VECTOR('',#403285,10.); #119750=VECTOR('',#403286,10.); #119751=VECTOR('',#403287,10.); #119752=VECTOR('',#403290,10.); #119753=VECTOR('',#403291,10.); #119754=VECTOR('',#403292,10.); #119755=VECTOR('',#403295,10.); #119756=VECTOR('',#403296,10.); #119757=VECTOR('',#403297,10.); #119758=VECTOR('',#403300,10.); #119759=VECTOR('',#403301,10.); #119760=VECTOR('',#403302,10.); #119761=VECTOR('',#403305,10.); #119762=VECTOR('',#403306,10.); #119763=VECTOR('',#403307,10.); #119764=VECTOR('',#403310,10.); #119765=VECTOR('',#403311,10.); #119766=VECTOR('',#403312,10.); #119767=VECTOR('',#403315,10.); #119768=VECTOR('',#403316,10.); #119769=VECTOR('',#403317,10.); #119770=VECTOR('',#403320,10.); #119771=VECTOR('',#403321,10.); #119772=VECTOR('',#403322,10.); #119773=VECTOR('',#403325,10.); #119774=VECTOR('',#403326,10.); #119775=VECTOR('',#403327,10.); #119776=VECTOR('',#403330,10.); #119777=VECTOR('',#403331,10.); #119778=VECTOR('',#403332,10.); #119779=VECTOR('',#403335,10.); #119780=VECTOR('',#403336,10.); #119781=VECTOR('',#403337,10.); #119782=VECTOR('',#403340,10.); #119783=VECTOR('',#403341,10.); #119784=VECTOR('',#403342,10.); #119785=VECTOR('',#403345,10.); #119786=VECTOR('',#403346,10.); #119787=VECTOR('',#403347,10.); #119788=VECTOR('',#403350,10.); #119789=VECTOR('',#403351,10.); #119790=VECTOR('',#403352,10.); #119791=VECTOR('',#403355,10.); #119792=VECTOR('',#403356,10.); #119793=VECTOR('',#403357,10.); #119794=VECTOR('',#403360,10.); #119795=VECTOR('',#403361,10.); #119796=VECTOR('',#403362,10.); #119797=VECTOR('',#403365,10.); #119798=VECTOR('',#403366,10.); #119799=VECTOR('',#403367,10.); #119800=VECTOR('',#403370,10.); #119801=VECTOR('',#403371,10.); #119802=VECTOR('',#403372,10.); #119803=VECTOR('',#403375,10.); #119804=VECTOR('',#403376,10.); #119805=VECTOR('',#403377,10.); #119806=VECTOR('',#403380,10.); #119807=VECTOR('',#403381,10.); #119808=VECTOR('',#403382,10.); #119809=VECTOR('',#403385,10.); #119810=VECTOR('',#403386,10.); #119811=VECTOR('',#403387,10.); #119812=VECTOR('',#403390,10.); #119813=VECTOR('',#403391,10.); #119814=VECTOR('',#403392,10.); #119815=VECTOR('',#403395,10.); #119816=VECTOR('',#403396,10.); #119817=VECTOR('',#403397,10.); #119818=VECTOR('',#403400,10.); #119819=VECTOR('',#403401,10.); #119820=VECTOR('',#403402,10.); #119821=VECTOR('',#403405,10.); #119822=VECTOR('',#403406,10.); #119823=VECTOR('',#403407,10.); #119824=VECTOR('',#403410,10.); #119825=VECTOR('',#403411,10.); #119826=VECTOR('',#403412,10.); #119827=VECTOR('',#403415,10.); #119828=VECTOR('',#403416,10.); #119829=VECTOR('',#403417,10.); #119830=VECTOR('',#403420,10.); #119831=VECTOR('',#403421,10.); #119832=VECTOR('',#403422,10.); #119833=VECTOR('',#403425,10.); #119834=VECTOR('',#403426,10.); #119835=VECTOR('',#403427,10.); #119836=VECTOR('',#403430,10.); #119837=VECTOR('',#403431,10.); #119838=VECTOR('',#403432,10.); #119839=VECTOR('',#403435,10.); #119840=VECTOR('',#403436,10.); #119841=VECTOR('',#403437,10.); #119842=VECTOR('',#403440,10.); #119843=VECTOR('',#403441,10.); #119844=VECTOR('',#403442,10.); #119845=VECTOR('',#403445,10.); #119846=VECTOR('',#403446,10.); #119847=VECTOR('',#403447,10.); #119848=VECTOR('',#403450,10.); #119849=VECTOR('',#403451,10.); #119850=VECTOR('',#403452,10.); #119851=VECTOR('',#403455,10.); #119852=VECTOR('',#403456,10.); #119853=VECTOR('',#403457,10.); #119854=VECTOR('',#403460,10.); #119855=VECTOR('',#403461,10.); #119856=VECTOR('',#403462,10.); #119857=VECTOR('',#403465,10.); #119858=VECTOR('',#403466,10.); #119859=VECTOR('',#403467,10.); #119860=VECTOR('',#403470,10.); #119861=VECTOR('',#403471,10.); #119862=VECTOR('',#403472,10.); #119863=VECTOR('',#403475,10.); #119864=VECTOR('',#403476,10.); #119865=VECTOR('',#403477,10.); #119866=VECTOR('',#403480,10.); #119867=VECTOR('',#403481,10.); #119868=VECTOR('',#403482,10.); #119869=VECTOR('',#403485,10.); #119870=VECTOR('',#403486,10.); #119871=VECTOR('',#403487,10.); #119872=VECTOR('',#403490,10.); #119873=VECTOR('',#403491,10.); #119874=VECTOR('',#403492,10.); #119875=VECTOR('',#403495,10.); #119876=VECTOR('',#403496,10.); #119877=VECTOR('',#403497,10.); #119878=VECTOR('',#403500,10.); #119879=VECTOR('',#403501,10.); #119880=VECTOR('',#403502,10.); #119881=VECTOR('',#403505,10.); #119882=VECTOR('',#403506,10.); #119883=VECTOR('',#403507,10.); #119884=VECTOR('',#403510,10.); #119885=VECTOR('',#403511,10.); #119886=VECTOR('',#403512,10.); #119887=VECTOR('',#403515,10.); #119888=VECTOR('',#403516,10.); #119889=VECTOR('',#403517,10.); #119890=VECTOR('',#403520,10.); #119891=VECTOR('',#403521,10.); #119892=VECTOR('',#403522,10.); #119893=VECTOR('',#403525,10.); #119894=VECTOR('',#403526,10.); #119895=VECTOR('',#403527,10.); #119896=VECTOR('',#403530,10.); #119897=VECTOR('',#403531,10.); #119898=VECTOR('',#403532,10.); #119899=VECTOR('',#403535,10.); #119900=VECTOR('',#403536,10.); #119901=VECTOR('',#403537,10.); #119902=VECTOR('',#403540,10.); #119903=VECTOR('',#403541,10.); #119904=VECTOR('',#403542,10.); #119905=VECTOR('',#403545,10.); #119906=VECTOR('',#403546,10.); #119907=VECTOR('',#403547,10.); #119908=VECTOR('',#403550,10.); #119909=VECTOR('',#403551,10.); #119910=VECTOR('',#403552,10.); #119911=VECTOR('',#403555,10.); #119912=VECTOR('',#403556,10.); #119913=VECTOR('',#403557,10.); #119914=VECTOR('',#403560,10.); #119915=VECTOR('',#403561,10.); #119916=VECTOR('',#403562,10.); #119917=VECTOR('',#403565,10.); #119918=VECTOR('',#403566,10.); #119919=VECTOR('',#403567,10.); #119920=VECTOR('',#403570,10.); #119921=VECTOR('',#403571,10.); #119922=VECTOR('',#403572,10.); #119923=VECTOR('',#403575,10.); #119924=VECTOR('',#403576,10.); #119925=VECTOR('',#403577,10.); #119926=VECTOR('',#403580,10.); #119927=VECTOR('',#403581,10.); #119928=VECTOR('',#403582,10.); #119929=VECTOR('',#403585,10.); #119930=VECTOR('',#403586,10.); #119931=VECTOR('',#403587,10.); #119932=VECTOR('',#403590,10.); #119933=VECTOR('',#403591,10.); #119934=VECTOR('',#403592,10.); #119935=VECTOR('',#403595,10.); #119936=VECTOR('',#403596,10.); #119937=VECTOR('',#403597,10.); #119938=VECTOR('',#403600,10.); #119939=VECTOR('',#403601,10.); #119940=VECTOR('',#403602,10.); #119941=VECTOR('',#403605,10.); #119942=VECTOR('',#403606,10.); #119943=VECTOR('',#403607,10.); #119944=VECTOR('',#403610,10.); #119945=VECTOR('',#403611,10.); #119946=VECTOR('',#403612,10.); #119947=VECTOR('',#403615,10.); #119948=VECTOR('',#403616,10.); #119949=VECTOR('',#403617,10.); #119950=VECTOR('',#403620,10.); #119951=VECTOR('',#403621,10.); #119952=VECTOR('',#403622,10.); #119953=VECTOR('',#403625,10.); #119954=VECTOR('',#403626,10.); #119955=VECTOR('',#403627,10.); #119956=VECTOR('',#403630,10.); #119957=VECTOR('',#403631,10.); #119958=VECTOR('',#403632,10.); #119959=VECTOR('',#403635,10.); #119960=VECTOR('',#403636,10.); #119961=VECTOR('',#403637,10.); #119962=VECTOR('',#403640,10.); #119963=VECTOR('',#403641,10.); #119964=VECTOR('',#403642,10.); #119965=VECTOR('',#403645,10.); #119966=VECTOR('',#403646,10.); #119967=VECTOR('',#403647,10.); #119968=VECTOR('',#403650,10.); #119969=VECTOR('',#403651,10.); #119970=VECTOR('',#403652,10.); #119971=VECTOR('',#403655,10.); #119972=VECTOR('',#403656,10.); #119973=VECTOR('',#403657,10.); #119974=VECTOR('',#403660,10.); #119975=VECTOR('',#403661,10.); #119976=VECTOR('',#403662,10.); #119977=VECTOR('',#403665,10.); #119978=VECTOR('',#403666,10.); #119979=VECTOR('',#403667,10.); #119980=VECTOR('',#403670,10.); #119981=VECTOR('',#403671,10.); #119982=VECTOR('',#403672,10.); #119983=VECTOR('',#403675,10.); #119984=VECTOR('',#403676,10.); #119985=VECTOR('',#403677,10.); #119986=VECTOR('',#403680,10.); #119987=VECTOR('',#403681,10.); #119988=VECTOR('',#403682,10.); #119989=VECTOR('',#403685,10.); #119990=VECTOR('',#403686,10.); #119991=VECTOR('',#403687,10.); #119992=VECTOR('',#403690,10.); #119993=VECTOR('',#403691,10.); #119994=VECTOR('',#403692,10.); #119995=VECTOR('',#403695,10.); #119996=VECTOR('',#403696,10.); #119997=VECTOR('',#403697,10.); #119998=VECTOR('',#403700,10.); #119999=VECTOR('',#403701,10.); #120000=VECTOR('',#403702,10.); #120001=VECTOR('',#403705,10.); #120002=VECTOR('',#403706,10.); #120003=VECTOR('',#403707,10.); #120004=VECTOR('',#403710,10.); #120005=VECTOR('',#403711,10.); #120006=VECTOR('',#403712,10.); #120007=VECTOR('',#403715,10.); #120008=VECTOR('',#403716,10.); #120009=VECTOR('',#403717,10.); #120010=VECTOR('',#403720,10.); #120011=VECTOR('',#403721,10.); #120012=VECTOR('',#403722,10.); #120013=VECTOR('',#403725,10.); #120014=VECTOR('',#403726,10.); #120015=VECTOR('',#403727,10.); #120016=VECTOR('',#403730,10.); #120017=VECTOR('',#403731,10.); #120018=VECTOR('',#403732,10.); #120019=VECTOR('',#403735,10.); #120020=VECTOR('',#403736,10.); #120021=VECTOR('',#403737,10.); #120022=VECTOR('',#403740,10.); #120023=VECTOR('',#403741,10.); #120024=VECTOR('',#403742,10.); #120025=VECTOR('',#403745,10.); #120026=VECTOR('',#403746,10.); #120027=VECTOR('',#403747,10.); #120028=VECTOR('',#403750,10.); #120029=VECTOR('',#403751,10.); #120030=VECTOR('',#403752,10.); #120031=VECTOR('',#403755,10.); #120032=VECTOR('',#403756,10.); #120033=VECTOR('',#403757,10.); #120034=VECTOR('',#403760,10.); #120035=VECTOR('',#403761,10.); #120036=VECTOR('',#403762,10.); #120037=VECTOR('',#403765,10.); #120038=VECTOR('',#403766,10.); #120039=VECTOR('',#403767,10.); #120040=VECTOR('',#403770,10.); #120041=VECTOR('',#403771,10.); #120042=VECTOR('',#403772,10.); #120043=VECTOR('',#403775,10.); #120044=VECTOR('',#403776,10.); #120045=VECTOR('',#403777,10.); #120046=VECTOR('',#403780,10.); #120047=VECTOR('',#403781,10.); #120048=VECTOR('',#403782,10.); #120049=VECTOR('',#403785,10.); #120050=VECTOR('',#403786,10.); #120051=VECTOR('',#403787,10.); #120052=VECTOR('',#403790,10.); #120053=VECTOR('',#403791,10.); #120054=VECTOR('',#403792,10.); #120055=VECTOR('',#403795,10.); #120056=VECTOR('',#403796,10.); #120057=VECTOR('',#403797,10.); #120058=VECTOR('',#403800,10.); #120059=VECTOR('',#403801,10.); #120060=VECTOR('',#403802,10.); #120061=VECTOR('',#403805,10.); #120062=VECTOR('',#403806,10.); #120063=VECTOR('',#403807,10.); #120064=VECTOR('',#403810,10.); #120065=VECTOR('',#403811,10.); #120066=VECTOR('',#403812,10.); #120067=VECTOR('',#403815,10.); #120068=VECTOR('',#403816,10.); #120069=VECTOR('',#403817,10.); #120070=VECTOR('',#403820,10.); #120071=VECTOR('',#403821,10.); #120072=VECTOR('',#403822,10.); #120073=VECTOR('',#403825,10.); #120074=VECTOR('',#403826,10.); #120075=VECTOR('',#403827,10.); #120076=VECTOR('',#403830,10.); #120077=VECTOR('',#403831,10.); #120078=VECTOR('',#403832,10.); #120079=VECTOR('',#403835,10.); #120080=VECTOR('',#403836,10.); #120081=VECTOR('',#403837,10.); #120082=VECTOR('',#403840,10.); #120083=VECTOR('',#403841,10.); #120084=VECTOR('',#403842,10.); #120085=VECTOR('',#403845,10.); #120086=VECTOR('',#403846,10.); #120087=VECTOR('',#403847,10.); #120088=VECTOR('',#403850,10.); #120089=VECTOR('',#403851,10.); #120090=VECTOR('',#403852,10.); #120091=VECTOR('',#403855,10.); #120092=VECTOR('',#403856,10.); #120093=VECTOR('',#403857,10.); #120094=VECTOR('',#403860,10.); #120095=VECTOR('',#403861,10.); #120096=VECTOR('',#403862,10.); #120097=VECTOR('',#403865,10.); #120098=VECTOR('',#403866,10.); #120099=VECTOR('',#403867,10.); #120100=VECTOR('',#403870,10.); #120101=VECTOR('',#403871,10.); #120102=VECTOR('',#403872,10.); #120103=VECTOR('',#403875,10.); #120104=VECTOR('',#403876,10.); #120105=VECTOR('',#403877,10.); #120106=VECTOR('',#403880,10.); #120107=VECTOR('',#403881,10.); #120108=VECTOR('',#403882,10.); #120109=VECTOR('',#403885,10.); #120110=VECTOR('',#403886,10.); #120111=VECTOR('',#403887,10.); #120112=VECTOR('',#403890,10.); #120113=VECTOR('',#403891,10.); #120114=VECTOR('',#403892,10.); #120115=VECTOR('',#403895,10.); #120116=VECTOR('',#403896,10.); #120117=VECTOR('',#403897,10.); #120118=VECTOR('',#403900,10.); #120119=VECTOR('',#403901,10.); #120120=VECTOR('',#403902,10.); #120121=VECTOR('',#403905,10.); #120122=VECTOR('',#403906,10.); #120123=VECTOR('',#403907,10.); #120124=VECTOR('',#403910,10.); #120125=VECTOR('',#403911,10.); #120126=VECTOR('',#403912,10.); #120127=VECTOR('',#403915,10.); #120128=VECTOR('',#403916,10.); #120129=VECTOR('',#403917,10.); #120130=VECTOR('',#403920,10.); #120131=VECTOR('',#403921,10.); #120132=VECTOR('',#403922,10.); #120133=VECTOR('',#403925,10.); #120134=VECTOR('',#403926,10.); #120135=VECTOR('',#403927,10.); #120136=VECTOR('',#403930,10.); #120137=VECTOR('',#403931,10.); #120138=VECTOR('',#403932,10.); #120139=VECTOR('',#403935,10.); #120140=VECTOR('',#403936,10.); #120141=VECTOR('',#403937,10.); #120142=VECTOR('',#403940,10.); #120143=VECTOR('',#403941,10.); #120144=VECTOR('',#403942,10.); #120145=VECTOR('',#403945,10.); #120146=VECTOR('',#403946,10.); #120147=VECTOR('',#403947,10.); #120148=VECTOR('',#403950,10.); #120149=VECTOR('',#403951,10.); #120150=VECTOR('',#403952,10.); #120151=VECTOR('',#403955,10.); #120152=VECTOR('',#403956,10.); #120153=VECTOR('',#403957,10.); #120154=VECTOR('',#403960,10.); #120155=VECTOR('',#403961,10.); #120156=VECTOR('',#403962,10.); #120157=VECTOR('',#403965,10.); #120158=VECTOR('',#403966,10.); #120159=VECTOR('',#403967,10.); #120160=VECTOR('',#403970,10.); #120161=VECTOR('',#403971,10.); #120162=VECTOR('',#403972,10.); #120163=VECTOR('',#403975,10.); #120164=VECTOR('',#403976,10.); #120165=VECTOR('',#403977,10.); #120166=VECTOR('',#403980,10.); #120167=VECTOR('',#403981,10.); #120168=VECTOR('',#403982,10.); #120169=VECTOR('',#403985,10.); #120170=VECTOR('',#403986,10.); #120171=VECTOR('',#403987,10.); #120172=VECTOR('',#403990,10.); #120173=VECTOR('',#403991,10.); #120174=VECTOR('',#403992,10.); #120175=VECTOR('',#403995,10.); #120176=VECTOR('',#403996,10.); #120177=VECTOR('',#403997,10.); #120178=VECTOR('',#404000,10.); #120179=VECTOR('',#404001,10.); #120180=VECTOR('',#404002,10.); #120181=VECTOR('',#404005,10.); #120182=VECTOR('',#404006,10.); #120183=VECTOR('',#404007,10.); #120184=VECTOR('',#404010,10.); #120185=VECTOR('',#404011,10.); #120186=VECTOR('',#404012,10.); #120187=VECTOR('',#404015,10.); #120188=VECTOR('',#404016,10.); #120189=VECTOR('',#404017,10.); #120190=VECTOR('',#404020,10.); #120191=VECTOR('',#404021,10.); #120192=VECTOR('',#404022,10.); #120193=VECTOR('',#404025,10.); #120194=VECTOR('',#404026,10.); #120195=VECTOR('',#404027,10.); #120196=VECTOR('',#404030,10.); #120197=VECTOR('',#404031,10.); #120198=VECTOR('',#404032,10.); #120199=VECTOR('',#404035,10.); #120200=VECTOR('',#404036,10.); #120201=VECTOR('',#404037,10.); #120202=VECTOR('',#404040,10.); #120203=VECTOR('',#404041,10.); #120204=VECTOR('',#404042,10.); #120205=VECTOR('',#404045,10.); #120206=VECTOR('',#404046,10.); #120207=VECTOR('',#404047,10.); #120208=VECTOR('',#404050,10.); #120209=VECTOR('',#404051,10.); #120210=VECTOR('',#404052,10.); #120211=VECTOR('',#404055,10.); #120212=VECTOR('',#404056,10.); #120213=VECTOR('',#404057,10.); #120214=VECTOR('',#404060,10.); #120215=VECTOR('',#404061,10.); #120216=VECTOR('',#404062,10.); #120217=VECTOR('',#404065,10.); #120218=VECTOR('',#404066,10.); #120219=VECTOR('',#404067,10.); #120220=VECTOR('',#404070,10.); #120221=VECTOR('',#404071,10.); #120222=VECTOR('',#404072,10.); #120223=VECTOR('',#404075,10.); #120224=VECTOR('',#404076,10.); #120225=VECTOR('',#404077,10.); #120226=VECTOR('',#404080,10.); #120227=VECTOR('',#404081,10.); #120228=VECTOR('',#404082,10.); #120229=VECTOR('',#404085,10.); #120230=VECTOR('',#404086,10.); #120231=VECTOR('',#404087,10.); #120232=VECTOR('',#404090,10.); #120233=VECTOR('',#404091,10.); #120234=VECTOR('',#404092,10.); #120235=VECTOR('',#404095,10.); #120236=VECTOR('',#404096,10.); #120237=VECTOR('',#404097,10.); #120238=VECTOR('',#404100,10.); #120239=VECTOR('',#404101,10.); #120240=VECTOR('',#404102,10.); #120241=VECTOR('',#404105,10.); #120242=VECTOR('',#404106,10.); #120243=VECTOR('',#404107,10.); #120244=VECTOR('',#404110,10.); #120245=VECTOR('',#404111,10.); #120246=VECTOR('',#404112,10.); #120247=VECTOR('',#404115,10.); #120248=VECTOR('',#404116,10.); #120249=VECTOR('',#404117,10.); #120250=VECTOR('',#404120,10.); #120251=VECTOR('',#404121,10.); #120252=VECTOR('',#404122,10.); #120253=VECTOR('',#404125,10.); #120254=VECTOR('',#404126,10.); #120255=VECTOR('',#404127,10.); #120256=VECTOR('',#404130,10.); #120257=VECTOR('',#404131,10.); #120258=VECTOR('',#404132,10.); #120259=VECTOR('',#404135,10.); #120260=VECTOR('',#404136,10.); #120261=VECTOR('',#404137,10.); #120262=VECTOR('',#404140,10.); #120263=VECTOR('',#404141,10.); #120264=VECTOR('',#404142,10.); #120265=VECTOR('',#404145,10.); #120266=VECTOR('',#404146,10.); #120267=VECTOR('',#404147,10.); #120268=VECTOR('',#404150,10.); #120269=VECTOR('',#404151,10.); #120270=VECTOR('',#404152,10.); #120271=VECTOR('',#404155,10.); #120272=VECTOR('',#404156,10.); #120273=VECTOR('',#404157,10.); #120274=VECTOR('',#404160,10.); #120275=VECTOR('',#404161,10.); #120276=VECTOR('',#404162,10.); #120277=VECTOR('',#404165,10.); #120278=VECTOR('',#404166,10.); #120279=VECTOR('',#404167,10.); #120280=VECTOR('',#404170,10.); #120281=VECTOR('',#404171,10.); #120282=VECTOR('',#404172,10.); #120283=VECTOR('',#404175,10.); #120284=VECTOR('',#404176,10.); #120285=VECTOR('',#404177,10.); #120286=VECTOR('',#404180,10.); #120287=VECTOR('',#404181,10.); #120288=VECTOR('',#404182,10.); #120289=VECTOR('',#404185,10.); #120290=VECTOR('',#404186,10.); #120291=VECTOR('',#404187,10.); #120292=VECTOR('',#404190,10.); #120293=VECTOR('',#404191,10.); #120294=VECTOR('',#404192,10.); #120295=VECTOR('',#404195,10.); #120296=VECTOR('',#404196,10.); #120297=VECTOR('',#404197,10.); #120298=VECTOR('',#404200,10.); #120299=VECTOR('',#404201,10.); #120300=VECTOR('',#404202,10.); #120301=VECTOR('',#404205,10.); #120302=VECTOR('',#404206,10.); #120303=VECTOR('',#404207,10.); #120304=VECTOR('',#404210,10.); #120305=VECTOR('',#404211,10.); #120306=VECTOR('',#404212,10.); #120307=VECTOR('',#404215,10.); #120308=VECTOR('',#404216,10.); #120309=VECTOR('',#404217,10.); #120310=VECTOR('',#404220,10.); #120311=VECTOR('',#404221,10.); #120312=VECTOR('',#404222,10.); #120313=VECTOR('',#404225,10.); #120314=VECTOR('',#404226,10.); #120315=VECTOR('',#404227,10.); #120316=VECTOR('',#404230,10.); #120317=VECTOR('',#404231,10.); #120318=VECTOR('',#404232,10.); #120319=VECTOR('',#404235,10.); #120320=VECTOR('',#404236,10.); #120321=VECTOR('',#404237,10.); #120322=VECTOR('',#404240,10.); #120323=VECTOR('',#404241,10.); #120324=VECTOR('',#404242,10.); #120325=VECTOR('',#404245,10.); #120326=VECTOR('',#404246,10.); #120327=VECTOR('',#404247,10.); #120328=VECTOR('',#404250,10.); #120329=VECTOR('',#404251,10.); #120330=VECTOR('',#404252,10.); #120331=VECTOR('',#404255,10.); #120332=VECTOR('',#404256,10.); #120333=VECTOR('',#404257,10.); #120334=VECTOR('',#404260,10.); #120335=VECTOR('',#404261,10.); #120336=VECTOR('',#404262,10.); #120337=VECTOR('',#404265,10.); #120338=VECTOR('',#404266,10.); #120339=VECTOR('',#404267,10.); #120340=VECTOR('',#404270,10.); #120341=VECTOR('',#404271,10.); #120342=VECTOR('',#404272,10.); #120343=VECTOR('',#404275,10.); #120344=VECTOR('',#404276,10.); #120345=VECTOR('',#404277,10.); #120346=VECTOR('',#404280,10.); #120347=VECTOR('',#404281,10.); #120348=VECTOR('',#404282,10.); #120349=VECTOR('',#404285,10.); #120350=VECTOR('',#404286,10.); #120351=VECTOR('',#404287,10.); #120352=VECTOR('',#404290,10.); #120353=VECTOR('',#404291,10.); #120354=VECTOR('',#404292,10.); #120355=VECTOR('',#404295,10.); #120356=VECTOR('',#404296,10.); #120357=VECTOR('',#404297,10.); #120358=VECTOR('',#404300,10.); #120359=VECTOR('',#404301,10.); #120360=VECTOR('',#404302,10.); #120361=VECTOR('',#404305,10.); #120362=VECTOR('',#404306,10.); #120363=VECTOR('',#404307,10.); #120364=VECTOR('',#404310,10.); #120365=VECTOR('',#404311,10.); #120366=VECTOR('',#404312,10.); #120367=VECTOR('',#404315,10.); #120368=VECTOR('',#404316,10.); #120369=VECTOR('',#404317,10.); #120370=VECTOR('',#404320,10.); #120371=VECTOR('',#404321,10.); #120372=VECTOR('',#404322,10.); #120373=VECTOR('',#404325,10.); #120374=VECTOR('',#404326,10.); #120375=VECTOR('',#404327,10.); #120376=VECTOR('',#404330,10.); #120377=VECTOR('',#404331,10.); #120378=VECTOR('',#404332,10.); #120379=VECTOR('',#404335,10.); #120380=VECTOR('',#404336,10.); #120381=VECTOR('',#404337,10.); #120382=VECTOR('',#404340,10.); #120383=VECTOR('',#404341,10.); #120384=VECTOR('',#404342,10.); #120385=VECTOR('',#404345,10.); #120386=VECTOR('',#404346,10.); #120387=VECTOR('',#404347,10.); #120388=VECTOR('',#404350,10.); #120389=VECTOR('',#404351,10.); #120390=VECTOR('',#404352,10.); #120391=VECTOR('',#404355,10.); #120392=VECTOR('',#404356,10.); #120393=VECTOR('',#404357,10.); #120394=VECTOR('',#404360,10.); #120395=VECTOR('',#404361,10.); #120396=VECTOR('',#404362,10.); #120397=VECTOR('',#404365,10.); #120398=VECTOR('',#404366,10.); #120399=VECTOR('',#404367,10.); #120400=VECTOR('',#404370,10.); #120401=VECTOR('',#404371,10.); #120402=VECTOR('',#404372,10.); #120403=VECTOR('',#404375,10.); #120404=VECTOR('',#404376,10.); #120405=VECTOR('',#404377,10.); #120406=VECTOR('',#404380,10.); #120407=VECTOR('',#404381,10.); #120408=VECTOR('',#404382,10.); #120409=VECTOR('',#404385,10.); #120410=VECTOR('',#404386,10.); #120411=VECTOR('',#404387,10.); #120412=VECTOR('',#404390,10.); #120413=VECTOR('',#404391,10.); #120414=VECTOR('',#404392,10.); #120415=VECTOR('',#404395,10.); #120416=VECTOR('',#404396,10.); #120417=VECTOR('',#404397,10.); #120418=VECTOR('',#404400,10.); #120419=VECTOR('',#404401,10.); #120420=VECTOR('',#404402,10.); #120421=VECTOR('',#404405,10.); #120422=VECTOR('',#404406,10.); #120423=VECTOR('',#404407,10.); #120424=VECTOR('',#404410,10.); #120425=VECTOR('',#404411,10.); #120426=VECTOR('',#404412,10.); #120427=VECTOR('',#404415,10.); #120428=VECTOR('',#404416,10.); #120429=VECTOR('',#404417,10.); #120430=VECTOR('',#404420,10.); #120431=VECTOR('',#404421,10.); #120432=VECTOR('',#404422,10.); #120433=VECTOR('',#404425,10.); #120434=VECTOR('',#404426,10.); #120435=VECTOR('',#404427,10.); #120436=VECTOR('',#404430,10.); #120437=VECTOR('',#404431,10.); #120438=VECTOR('',#404432,10.); #120439=VECTOR('',#404435,10.); #120440=VECTOR('',#404436,10.); #120441=VECTOR('',#404437,10.); #120442=VECTOR('',#404440,10.); #120443=VECTOR('',#404441,10.); #120444=VECTOR('',#404442,10.); #120445=VECTOR('',#404445,10.); #120446=VECTOR('',#404446,10.); #120447=VECTOR('',#404447,10.); #120448=VECTOR('',#404450,10.); #120449=VECTOR('',#404451,10.); #120450=VECTOR('',#404452,10.); #120451=VECTOR('',#404455,10.); #120452=VECTOR('',#404456,10.); #120453=VECTOR('',#404457,10.); #120454=VECTOR('',#404460,10.); #120455=VECTOR('',#404461,10.); #120456=VECTOR('',#404462,10.); #120457=VECTOR('',#404465,10.); #120458=VECTOR('',#404466,10.); #120459=VECTOR('',#404467,10.); #120460=VECTOR('',#404470,10.); #120461=VECTOR('',#404471,10.); #120462=VECTOR('',#404472,10.); #120463=VECTOR('',#404475,10.); #120464=VECTOR('',#404476,10.); #120465=VECTOR('',#404477,10.); #120466=VECTOR('',#404480,10.); #120467=VECTOR('',#404481,10.); #120468=VECTOR('',#404482,10.); #120469=VECTOR('',#404485,10.); #120470=VECTOR('',#404486,10.); #120471=VECTOR('',#404487,10.); #120472=VECTOR('',#404490,10.); #120473=VECTOR('',#404491,10.); #120474=VECTOR('',#404492,10.); #120475=VECTOR('',#404495,10.); #120476=VECTOR('',#404496,10.); #120477=VECTOR('',#404497,10.); #120478=VECTOR('',#404500,10.); #120479=VECTOR('',#404501,10.); #120480=VECTOR('',#404502,10.); #120481=VECTOR('',#404505,10.); #120482=VECTOR('',#404506,10.); #120483=VECTOR('',#404507,10.); #120484=VECTOR('',#404510,10.); #120485=VECTOR('',#404511,10.); #120486=VECTOR('',#404512,10.); #120487=VECTOR('',#404515,10.); #120488=VECTOR('',#404516,10.); #120489=VECTOR('',#404517,10.); #120490=VECTOR('',#404520,10.); #120491=VECTOR('',#404521,10.); #120492=VECTOR('',#404522,10.); #120493=VECTOR('',#404525,10.); #120494=VECTOR('',#404526,10.); #120495=VECTOR('',#404527,10.); #120496=VECTOR('',#404530,10.); #120497=VECTOR('',#404531,10.); #120498=VECTOR('',#404532,10.); #120499=VECTOR('',#404535,10.); #120500=VECTOR('',#404536,10.); #120501=VECTOR('',#404537,10.); #120502=VECTOR('',#404540,10.); #120503=VECTOR('',#404541,10.); #120504=VECTOR('',#404542,10.); #120505=VECTOR('',#404545,10.); #120506=VECTOR('',#404546,10.); #120507=VECTOR('',#404547,10.); #120508=VECTOR('',#404550,10.); #120509=VECTOR('',#404551,10.); #120510=VECTOR('',#404552,10.); #120511=VECTOR('',#404555,10.); #120512=VECTOR('',#404556,10.); #120513=VECTOR('',#404557,10.); #120514=VECTOR('',#404560,10.); #120515=VECTOR('',#404561,10.); #120516=VECTOR('',#404562,10.); #120517=VECTOR('',#404565,10.); #120518=VECTOR('',#404566,10.); #120519=VECTOR('',#404567,10.); #120520=VECTOR('',#404570,10.); #120521=VECTOR('',#404571,10.); #120522=VECTOR('',#404572,10.); #120523=VECTOR('',#404575,10.); #120524=VECTOR('',#404576,10.); #120525=VECTOR('',#404577,10.); #120526=VECTOR('',#404580,10.); #120527=VECTOR('',#404581,10.); #120528=VECTOR('',#404582,10.); #120529=VECTOR('',#404585,10.); #120530=VECTOR('',#404586,10.); #120531=VECTOR('',#404587,10.); #120532=VECTOR('',#404590,10.); #120533=VECTOR('',#404591,10.); #120534=VECTOR('',#404592,10.); #120535=VECTOR('',#404595,10.); #120536=VECTOR('',#404596,10.); #120537=VECTOR('',#404597,10.); #120538=VECTOR('',#404600,10.); #120539=VECTOR('',#404601,10.); #120540=VECTOR('',#404602,10.); #120541=VECTOR('',#404605,10.); #120542=VECTOR('',#404606,10.); #120543=VECTOR('',#404607,10.); #120544=VECTOR('',#404610,10.); #120545=VECTOR('',#404611,10.); #120546=VECTOR('',#404612,10.); #120547=VECTOR('',#404615,10.); #120548=VECTOR('',#404616,10.); #120549=VECTOR('',#404617,10.); #120550=VECTOR('',#404620,10.); #120551=VECTOR('',#404621,10.); #120552=VECTOR('',#404622,10.); #120553=VECTOR('',#404625,10.); #120554=VECTOR('',#404626,10.); #120555=VECTOR('',#404627,10.); #120556=VECTOR('',#404630,10.); #120557=VECTOR('',#404631,10.); #120558=VECTOR('',#404632,10.); #120559=VECTOR('',#404635,10.); #120560=VECTOR('',#404636,10.); #120561=VECTOR('',#404637,10.); #120562=VECTOR('',#404640,10.); #120563=VECTOR('',#404641,10.); #120564=VECTOR('',#404642,10.); #120565=VECTOR('',#404645,10.); #120566=VECTOR('',#404646,10.); #120567=VECTOR('',#404647,10.); #120568=VECTOR('',#404650,10.); #120569=VECTOR('',#404651,10.); #120570=VECTOR('',#404652,10.); #120571=VECTOR('',#404655,10.); #120572=VECTOR('',#404656,10.); #120573=VECTOR('',#404657,10.); #120574=VECTOR('',#404660,10.); #120575=VECTOR('',#404661,10.); #120576=VECTOR('',#404662,10.); #120577=VECTOR('',#404665,10.); #120578=VECTOR('',#404666,10.); #120579=VECTOR('',#404667,10.); #120580=VECTOR('',#404670,10.); #120581=VECTOR('',#404671,10.); #120582=VECTOR('',#404672,10.); #120583=VECTOR('',#404675,10.); #120584=VECTOR('',#404676,10.); #120585=VECTOR('',#404677,10.); #120586=VECTOR('',#404680,10.); #120587=VECTOR('',#404681,10.); #120588=VECTOR('',#404682,10.); #120589=VECTOR('',#404685,10.); #120590=VECTOR('',#404686,10.); #120591=VECTOR('',#404687,10.); #120592=VECTOR('',#404690,10.); #120593=VECTOR('',#404691,10.); #120594=VECTOR('',#404692,10.); #120595=VECTOR('',#404695,10.); #120596=VECTOR('',#404696,10.); #120597=VECTOR('',#404697,10.); #120598=VECTOR('',#404700,10.); #120599=VECTOR('',#404701,10.); #120600=VECTOR('',#404702,10.); #120601=VECTOR('',#404705,10.); #120602=VECTOR('',#404706,10.); #120603=VECTOR('',#404707,10.); #120604=VECTOR('',#404710,10.); #120605=VECTOR('',#404711,10.); #120606=VECTOR('',#404712,10.); #120607=VECTOR('',#404715,10.); #120608=VECTOR('',#404716,10.); #120609=VECTOR('',#404717,10.); #120610=VECTOR('',#404720,10.); #120611=VECTOR('',#404721,10.); #120612=VECTOR('',#404722,10.); #120613=VECTOR('',#404725,10.); #120614=VECTOR('',#404726,10.); #120615=VECTOR('',#404727,10.); #120616=VECTOR('',#404730,10.); #120617=VECTOR('',#404731,10.); #120618=VECTOR('',#404732,10.); #120619=VECTOR('',#404735,10.); #120620=VECTOR('',#404736,10.); #120621=VECTOR('',#404737,10.); #120622=VECTOR('',#404740,10.); #120623=VECTOR('',#404741,10.); #120624=VECTOR('',#404742,10.); #120625=VECTOR('',#404745,10.); #120626=VECTOR('',#404746,10.); #120627=VECTOR('',#404747,10.); #120628=VECTOR('',#404750,10.); #120629=VECTOR('',#404751,10.); #120630=VECTOR('',#404752,10.); #120631=VECTOR('',#404755,10.); #120632=VECTOR('',#404756,10.); #120633=VECTOR('',#404757,10.); #120634=VECTOR('',#404760,10.); #120635=VECTOR('',#404761,10.); #120636=VECTOR('',#404762,10.); #120637=VECTOR('',#404765,10.); #120638=VECTOR('',#404766,10.); #120639=VECTOR('',#404767,10.); #120640=VECTOR('',#404770,10.); #120641=VECTOR('',#404771,10.); #120642=VECTOR('',#404772,10.); #120643=VECTOR('',#404775,10.); #120644=VECTOR('',#404776,10.); #120645=VECTOR('',#404777,10.); #120646=VECTOR('',#404780,10.); #120647=VECTOR('',#404781,10.); #120648=VECTOR('',#404782,10.); #120649=VECTOR('',#404785,10.); #120650=VECTOR('',#404786,10.); #120651=VECTOR('',#404787,10.); #120652=VECTOR('',#404790,10.); #120653=VECTOR('',#404791,10.); #120654=VECTOR('',#404792,10.); #120655=VECTOR('',#404795,10.); #120656=VECTOR('',#404796,10.); #120657=VECTOR('',#404797,10.); #120658=VECTOR('',#404800,10.); #120659=VECTOR('',#404801,10.); #120660=VECTOR('',#404802,10.); #120661=VECTOR('',#404805,10.); #120662=VECTOR('',#404806,10.); #120663=VECTOR('',#404807,10.); #120664=VECTOR('',#404810,10.); #120665=VECTOR('',#404811,10.); #120666=VECTOR('',#404812,10.); #120667=VECTOR('',#404815,10.); #120668=VECTOR('',#404816,10.); #120669=VECTOR('',#404817,10.); #120670=VECTOR('',#404820,10.); #120671=VECTOR('',#404821,10.); #120672=VECTOR('',#404822,10.); #120673=VECTOR('',#404825,10.); #120674=VECTOR('',#404826,10.); #120675=VECTOR('',#404827,10.); #120676=VECTOR('',#404830,10.); #120677=VECTOR('',#404831,10.); #120678=VECTOR('',#404832,10.); #120679=VECTOR('',#404835,10.); #120680=VECTOR('',#404836,10.); #120681=VECTOR('',#404837,10.); #120682=VECTOR('',#404840,10.); #120683=VECTOR('',#404841,10.); #120684=VECTOR('',#404842,10.); #120685=VECTOR('',#404845,10.); #120686=VECTOR('',#404846,10.); #120687=VECTOR('',#404847,10.); #120688=VECTOR('',#404850,10.); #120689=VECTOR('',#404851,10.); #120690=VECTOR('',#404852,10.); #120691=VECTOR('',#404855,10.); #120692=VECTOR('',#404856,10.); #120693=VECTOR('',#404857,10.); #120694=VECTOR('',#404860,10.); #120695=VECTOR('',#404861,10.); #120696=VECTOR('',#404862,10.); #120697=VECTOR('',#404865,10.); #120698=VECTOR('',#404866,10.); #120699=VECTOR('',#404867,10.); #120700=VECTOR('',#404870,10.); #120701=VECTOR('',#404871,10.); #120702=VECTOR('',#404872,10.); #120703=VECTOR('',#404875,10.); #120704=VECTOR('',#404876,10.); #120705=VECTOR('',#404877,10.); #120706=VECTOR('',#404880,10.); #120707=VECTOR('',#404881,10.); #120708=VECTOR('',#404882,10.); #120709=VECTOR('',#404885,10.); #120710=VECTOR('',#404886,10.); #120711=VECTOR('',#404887,10.); #120712=VECTOR('',#404890,10.); #120713=VECTOR('',#404891,10.); #120714=VECTOR('',#404892,10.); #120715=VECTOR('',#404895,10.); #120716=VECTOR('',#404896,10.); #120717=VECTOR('',#404897,10.); #120718=VECTOR('',#404900,10.); #120719=VECTOR('',#404901,10.); #120720=VECTOR('',#404902,10.); #120721=VECTOR('',#404905,10.); #120722=VECTOR('',#404906,10.); #120723=VECTOR('',#404907,10.); #120724=VECTOR('',#404910,10.); #120725=VECTOR('',#404911,10.); #120726=VECTOR('',#404912,10.); #120727=VECTOR('',#404915,10.); #120728=VECTOR('',#404916,10.); #120729=VECTOR('',#404917,10.); #120730=VECTOR('',#404920,10.); #120731=VECTOR('',#404921,10.); #120732=VECTOR('',#404922,10.); #120733=VECTOR('',#404925,10.); #120734=VECTOR('',#404926,10.); #120735=VECTOR('',#404927,10.); #120736=VECTOR('',#404930,10.); #120737=VECTOR('',#404931,10.); #120738=VECTOR('',#404932,10.); #120739=VECTOR('',#404935,10.); #120740=VECTOR('',#404936,10.); #120741=VECTOR('',#404937,10.); #120742=VECTOR('',#404940,10.); #120743=VECTOR('',#404941,10.); #120744=VECTOR('',#404942,10.); #120745=VECTOR('',#404945,10.); #120746=VECTOR('',#404946,10.); #120747=VECTOR('',#404947,10.); #120748=VECTOR('',#404950,10.); #120749=VECTOR('',#404951,10.); #120750=VECTOR('',#404952,10.); #120751=VECTOR('',#404955,10.); #120752=VECTOR('',#404956,10.); #120753=VECTOR('',#404959,10.); #120754=VECTOR('',#404960,10.); #120755=VECTOR('',#404961,10.); #120756=VECTOR('',#404962,10.); #120757=VECTOR('',#404965,10.); #120758=VECTOR('',#404966,10.); #120759=VECTOR('',#404967,10.); #120760=VECTOR('',#404970,10.); #120761=VECTOR('',#404971,10.); #120762=VECTOR('',#404972,10.); #120763=VECTOR('',#404975,10.); #120764=VECTOR('',#404976,10.); #120765=VECTOR('',#404977,10.); #120766=VECTOR('',#404980,10.); #120767=VECTOR('',#404981,10.); #120768=VECTOR('',#404982,10.); #120769=VECTOR('',#404985,10.); #120770=VECTOR('',#404986,10.); #120771=VECTOR('',#404987,10.); #120772=VECTOR('',#404990,10.); #120773=VECTOR('',#404991,10.); #120774=VECTOR('',#404992,10.); #120775=VECTOR('',#404995,10.); #120776=VECTOR('',#404996,10.); #120777=VECTOR('',#404997,10.); #120778=VECTOR('',#405000,10.); #120779=VECTOR('',#405001,10.); #120780=VECTOR('',#405002,10.); #120781=VECTOR('',#405005,10.); #120782=VECTOR('',#405006,10.); #120783=VECTOR('',#405007,10.); #120784=VECTOR('',#405010,10.); #120785=VECTOR('',#405011,10.); #120786=VECTOR('',#405012,10.); #120787=VECTOR('',#405015,10.); #120788=VECTOR('',#405016,10.); #120789=VECTOR('',#405017,10.); #120790=VECTOR('',#405020,10.); #120791=VECTOR('',#405021,10.); #120792=VECTOR('',#405022,10.); #120793=VECTOR('',#405025,10.); #120794=VECTOR('',#405026,10.); #120795=VECTOR('',#405027,10.); #120796=VECTOR('',#405030,10.); #120797=VECTOR('',#405031,10.); #120798=VECTOR('',#405032,10.); #120799=VECTOR('',#405035,10.); #120800=VECTOR('',#405036,10.); #120801=VECTOR('',#405037,10.); #120802=VECTOR('',#405040,10.); #120803=VECTOR('',#405041,10.); #120804=VECTOR('',#405042,10.); #120805=VECTOR('',#405045,10.); #120806=VECTOR('',#405046,10.); #120807=VECTOR('',#405047,10.); #120808=VECTOR('',#405050,10.); #120809=VECTOR('',#405051,10.); #120810=VECTOR('',#405052,10.); #120811=VECTOR('',#405055,10.); #120812=VECTOR('',#405056,10.); #120813=VECTOR('',#405057,10.); #120814=VECTOR('',#405060,10.); #120815=VECTOR('',#405061,10.); #120816=VECTOR('',#405062,10.); #120817=VECTOR('',#405065,10.); #120818=VECTOR('',#405066,10.); #120819=VECTOR('',#405067,10.); #120820=VECTOR('',#405070,10.); #120821=VECTOR('',#405071,10.); #120822=VECTOR('',#405072,10.); #120823=VECTOR('',#405075,10.); #120824=VECTOR('',#405076,10.); #120825=VECTOR('',#405077,10.); #120826=VECTOR('',#405080,10.); #120827=VECTOR('',#405081,10.); #120828=VECTOR('',#405082,10.); #120829=VECTOR('',#405085,10.); #120830=VECTOR('',#405086,10.); #120831=VECTOR('',#405087,10.); #120832=VECTOR('',#405090,10.); #120833=VECTOR('',#405091,10.); #120834=VECTOR('',#405092,10.); #120835=VECTOR('',#405095,10.); #120836=VECTOR('',#405096,10.); #120837=VECTOR('',#405097,10.); #120838=VECTOR('',#405100,10.); #120839=VECTOR('',#405101,10.); #120840=VECTOR('',#405102,10.); #120841=VECTOR('',#405105,10.); #120842=VECTOR('',#405106,10.); #120843=VECTOR('',#405107,10.); #120844=VECTOR('',#405110,10.); #120845=VECTOR('',#405111,10.); #120846=VECTOR('',#405112,10.); #120847=VECTOR('',#405115,10.); #120848=VECTOR('',#405116,10.); #120849=VECTOR('',#405117,10.); #120850=VECTOR('',#405120,10.); #120851=VECTOR('',#405121,10.); #120852=VECTOR('',#405122,10.); #120853=VECTOR('',#405125,10.); #120854=VECTOR('',#405126,10.); #120855=VECTOR('',#405127,10.); #120856=VECTOR('',#405130,10.); #120857=VECTOR('',#405131,10.); #120858=VECTOR('',#405132,10.); #120859=VECTOR('',#405135,10.); #120860=VECTOR('',#405136,10.); #120861=VECTOR('',#405137,10.); #120862=VECTOR('',#405140,10.); #120863=VECTOR('',#405141,10.); #120864=VECTOR('',#405142,10.); #120865=VECTOR('',#405145,10.); #120866=VECTOR('',#405146,10.); #120867=VECTOR('',#405147,10.); #120868=VECTOR('',#405150,10.); #120869=VECTOR('',#405151,10.); #120870=VECTOR('',#405152,10.); #120871=VECTOR('',#405155,10.); #120872=VECTOR('',#405156,10.); #120873=VECTOR('',#405157,10.); #120874=VECTOR('',#405160,10.); #120875=VECTOR('',#405161,10.); #120876=VECTOR('',#405162,10.); #120877=VECTOR('',#405165,10.); #120878=VECTOR('',#405166,10.); #120879=VECTOR('',#405167,10.); #120880=VECTOR('',#405170,10.); #120881=VECTOR('',#405171,10.); #120882=VECTOR('',#405172,10.); #120883=VECTOR('',#405175,10.); #120884=VECTOR('',#405176,10.); #120885=VECTOR('',#405177,10.); #120886=VECTOR('',#405180,10.); #120887=VECTOR('',#405181,10.); #120888=VECTOR('',#405182,10.); #120889=VECTOR('',#405185,10.); #120890=VECTOR('',#405186,10.); #120891=VECTOR('',#405187,10.); #120892=VECTOR('',#405190,10.); #120893=VECTOR('',#405191,10.); #120894=VECTOR('',#405192,10.); #120895=VECTOR('',#405195,10.); #120896=VECTOR('',#405196,10.); #120897=VECTOR('',#405197,10.); #120898=VECTOR('',#405200,10.); #120899=VECTOR('',#405201,10.); #120900=VECTOR('',#405202,10.); #120901=VECTOR('',#405205,10.); #120902=VECTOR('',#405206,10.); #120903=VECTOR('',#405207,10.); #120904=VECTOR('',#405210,10.); #120905=VECTOR('',#405211,10.); #120906=VECTOR('',#405212,10.); #120907=VECTOR('',#405215,10.); #120908=VECTOR('',#405216,10.); #120909=VECTOR('',#405217,10.); #120910=VECTOR('',#405220,10.); #120911=VECTOR('',#405221,10.); #120912=VECTOR('',#405222,10.); #120913=VECTOR('',#405225,10.); #120914=VECTOR('',#405226,10.); #120915=VECTOR('',#405227,10.); #120916=VECTOR('',#405230,10.); #120917=VECTOR('',#405231,10.); #120918=VECTOR('',#405232,10.); #120919=VECTOR('',#405235,10.); #120920=VECTOR('',#405236,10.); #120921=VECTOR('',#405237,10.); #120922=VECTOR('',#405240,10.); #120923=VECTOR('',#405241,10.); #120924=VECTOR('',#405242,10.); #120925=VECTOR('',#405245,10.); #120926=VECTOR('',#405246,10.); #120927=VECTOR('',#405247,10.); #120928=VECTOR('',#405250,10.); #120929=VECTOR('',#405251,10.); #120930=VECTOR('',#405252,10.); #120931=VECTOR('',#405255,10.); #120932=VECTOR('',#405256,10.); #120933=VECTOR('',#405257,10.); #120934=VECTOR('',#405260,10.); #120935=VECTOR('',#405261,10.); #120936=VECTOR('',#405262,10.); #120937=VECTOR('',#405265,10.); #120938=VECTOR('',#405266,10.); #120939=VECTOR('',#405267,10.); #120940=VECTOR('',#405270,10.); #120941=VECTOR('',#405271,10.); #120942=VECTOR('',#405272,10.); #120943=VECTOR('',#405275,10.); #120944=VECTOR('',#405276,10.); #120945=VECTOR('',#405277,10.); #120946=VECTOR('',#405280,10.); #120947=VECTOR('',#405281,10.); #120948=VECTOR('',#405282,10.); #120949=VECTOR('',#405285,10.); #120950=VECTOR('',#405286,10.); #120951=VECTOR('',#405287,10.); #120952=VECTOR('',#405290,10.); #120953=VECTOR('',#405291,10.); #120954=VECTOR('',#405292,10.); #120955=VECTOR('',#405295,10.); #120956=VECTOR('',#405296,10.); #120957=VECTOR('',#405297,10.); #120958=VECTOR('',#405300,10.); #120959=VECTOR('',#405301,10.); #120960=VECTOR('',#405302,10.); #120961=VECTOR('',#405305,10.); #120962=VECTOR('',#405306,10.); #120963=VECTOR('',#405307,10.); #120964=VECTOR('',#405310,10.); #120965=VECTOR('',#405311,10.); #120966=VECTOR('',#405312,10.); #120967=VECTOR('',#405315,10.); #120968=VECTOR('',#405316,10.); #120969=VECTOR('',#405317,10.); #120970=VECTOR('',#405320,10.); #120971=VECTOR('',#405321,10.); #120972=VECTOR('',#405322,10.); #120973=VECTOR('',#405325,10.); #120974=VECTOR('',#405326,10.); #120975=VECTOR('',#405327,10.); #120976=VECTOR('',#405330,10.); #120977=VECTOR('',#405331,10.); #120978=VECTOR('',#405332,10.); #120979=VECTOR('',#405335,10.); #120980=VECTOR('',#405336,10.); #120981=VECTOR('',#405337,10.); #120982=VECTOR('',#405340,10.); #120983=VECTOR('',#405341,10.); #120984=VECTOR('',#405342,10.); #120985=VECTOR('',#405345,10.); #120986=VECTOR('',#405346,10.); #120987=VECTOR('',#405347,10.); #120988=VECTOR('',#405350,10.); #120989=VECTOR('',#405351,10.); #120990=VECTOR('',#405352,10.); #120991=VECTOR('',#405355,10.); #120992=VECTOR('',#405356,10.); #120993=VECTOR('',#405357,10.); #120994=VECTOR('',#405360,10.); #120995=VECTOR('',#405361,10.); #120996=VECTOR('',#405362,10.); #120997=VECTOR('',#405365,10.); #120998=VECTOR('',#405366,10.); #120999=VECTOR('',#405367,10.); #121000=VECTOR('',#405370,10.); #121001=VECTOR('',#405371,10.); #121002=VECTOR('',#405372,10.); #121003=VECTOR('',#405375,10.); #121004=VECTOR('',#405376,10.); #121005=VECTOR('',#405377,10.); #121006=VECTOR('',#405380,10.); #121007=VECTOR('',#405381,10.); #121008=VECTOR('',#405382,10.); #121009=VECTOR('',#405385,10.); #121010=VECTOR('',#405386,10.); #121011=VECTOR('',#405387,10.); #121012=VECTOR('',#405390,10.); #121013=VECTOR('',#405391,10.); #121014=VECTOR('',#405392,10.); #121015=VECTOR('',#405395,10.); #121016=VECTOR('',#405396,10.); #121017=VECTOR('',#405397,10.); #121018=VECTOR('',#405400,10.); #121019=VECTOR('',#405401,10.); #121020=VECTOR('',#405402,10.); #121021=VECTOR('',#405405,10.); #121022=VECTOR('',#405406,10.); #121023=VECTOR('',#405407,10.); #121024=VECTOR('',#405410,10.); #121025=VECTOR('',#405411,10.); #121026=VECTOR('',#405412,10.); #121027=VECTOR('',#405415,10.); #121028=VECTOR('',#405416,10.); #121029=VECTOR('',#405417,10.); #121030=VECTOR('',#405420,10.); #121031=VECTOR('',#405421,10.); #121032=VECTOR('',#405422,10.); #121033=VECTOR('',#405425,10.); #121034=VECTOR('',#405426,10.); #121035=VECTOR('',#405427,10.); #121036=VECTOR('',#405430,10.); #121037=VECTOR('',#405431,10.); #121038=VECTOR('',#405432,10.); #121039=VECTOR('',#405435,10.); #121040=VECTOR('',#405436,10.); #121041=VECTOR('',#405437,10.); #121042=VECTOR('',#405440,10.); #121043=VECTOR('',#405441,10.); #121044=VECTOR('',#405442,10.); #121045=VECTOR('',#405445,10.); #121046=VECTOR('',#405446,10.); #121047=VECTOR('',#405447,10.); #121048=VECTOR('',#405450,10.); #121049=VECTOR('',#405451,10.); #121050=VECTOR('',#405452,10.); #121051=VECTOR('',#405455,10.); #121052=VECTOR('',#405456,10.); #121053=VECTOR('',#405457,10.); #121054=VECTOR('',#405460,10.); #121055=VECTOR('',#405461,10.); #121056=VECTOR('',#405462,10.); #121057=VECTOR('',#405465,10.); #121058=VECTOR('',#405466,10.); #121059=VECTOR('',#405467,10.); #121060=VECTOR('',#405470,10.); #121061=VECTOR('',#405471,10.); #121062=VECTOR('',#405472,10.); #121063=VECTOR('',#405475,10.); #121064=VECTOR('',#405476,10.); #121065=VECTOR('',#405477,10.); #121066=VECTOR('',#405480,10.); #121067=VECTOR('',#405481,10.); #121068=VECTOR('',#405482,10.); #121069=VECTOR('',#405485,10.); #121070=VECTOR('',#405486,10.); #121071=VECTOR('',#405487,10.); #121072=VECTOR('',#405490,10.); #121073=VECTOR('',#405491,10.); #121074=VECTOR('',#405492,10.); #121075=VECTOR('',#405495,10.); #121076=VECTOR('',#405496,10.); #121077=VECTOR('',#405497,10.); #121078=VECTOR('',#405500,10.); #121079=VECTOR('',#405501,10.); #121080=VECTOR('',#405502,10.); #121081=VECTOR('',#405505,10.); #121082=VECTOR('',#405506,10.); #121083=VECTOR('',#405507,10.); #121084=VECTOR('',#405510,10.); #121085=VECTOR('',#405511,10.); #121086=VECTOR('',#405512,10.); #121087=VECTOR('',#405515,10.); #121088=VECTOR('',#405516,10.); #121089=VECTOR('',#405517,10.); #121090=VECTOR('',#405520,10.); #121091=VECTOR('',#405521,10.); #121092=VECTOR('',#405522,10.); #121093=VECTOR('',#405525,10.); #121094=VECTOR('',#405526,10.); #121095=VECTOR('',#405527,10.); #121096=VECTOR('',#405530,10.); #121097=VECTOR('',#405531,10.); #121098=VECTOR('',#405532,10.); #121099=VECTOR('',#405535,10.); #121100=VECTOR('',#405536,10.); #121101=VECTOR('',#405537,10.); #121102=VECTOR('',#405540,10.); #121103=VECTOR('',#405541,10.); #121104=VECTOR('',#405542,10.); #121105=VECTOR('',#405545,10.); #121106=VECTOR('',#405546,10.); #121107=VECTOR('',#405547,10.); #121108=VECTOR('',#405550,10.); #121109=VECTOR('',#405551,10.); #121110=VECTOR('',#405554,10.); #121111=VECTOR('',#405555,10.); #121112=VECTOR('',#405556,10.); #121113=VECTOR('',#405557,10.); #121114=VECTOR('',#405560,10.); #121115=VECTOR('',#405561,10.); #121116=VECTOR('',#405562,10.); #121117=VECTOR('',#405565,10.); #121118=VECTOR('',#405566,10.); #121119=VECTOR('',#405567,10.); #121120=VECTOR('',#405570,10.); #121121=VECTOR('',#405571,10.); #121122=VECTOR('',#405572,10.); #121123=VECTOR('',#405575,10.); #121124=VECTOR('',#405576,10.); #121125=VECTOR('',#405577,10.); #121126=VECTOR('',#405580,10.); #121127=VECTOR('',#405581,10.); #121128=VECTOR('',#405582,10.); #121129=VECTOR('',#405585,10.); #121130=VECTOR('',#405586,10.); #121131=VECTOR('',#405587,10.); #121132=VECTOR('',#405590,10.); #121133=VECTOR('',#405591,10.); #121134=VECTOR('',#405594,10.); #121135=VECTOR('',#405595,10.); #121136=VECTOR('',#405596,10.); #121137=VECTOR('',#405597,10.); #121138=VECTOR('',#405600,10.); #121139=VECTOR('',#405601,10.); #121140=VECTOR('',#405602,10.); #121141=VECTOR('',#405605,10.); #121142=VECTOR('',#405606,10.); #121143=VECTOR('',#405607,10.); #121144=VECTOR('',#405610,10.); #121145=VECTOR('',#405611,10.); #121146=VECTOR('',#405612,10.); #121147=VECTOR('',#405615,10.); #121148=VECTOR('',#405616,10.); #121149=VECTOR('',#405617,10.); #121150=VECTOR('',#405620,10.); #121151=VECTOR('',#405621,10.); #121152=VECTOR('',#405622,10.); #121153=VECTOR('',#405625,10.); #121154=VECTOR('',#405626,10.); #121155=VECTOR('',#405627,10.); #121156=VECTOR('',#405630,10.); #121157=VECTOR('',#405631,10.); #121158=VECTOR('',#405632,10.); #121159=VECTOR('',#405635,10.); #121160=VECTOR('',#405636,10.); #121161=VECTOR('',#405637,10.); #121162=VECTOR('',#405640,10.); #121163=VECTOR('',#405641,10.); #121164=VECTOR('',#405642,10.); #121165=VECTOR('',#405645,10.); #121166=VECTOR('',#405646,10.); #121167=VECTOR('',#405647,10.); #121168=VECTOR('',#405650,10.); #121169=VECTOR('',#405651,10.); #121170=VECTOR('',#405652,10.); #121171=VECTOR('',#405655,10.); #121172=VECTOR('',#405656,10.); #121173=VECTOR('',#405657,10.); #121174=VECTOR('',#405660,10.); #121175=VECTOR('',#405661,10.); #121176=VECTOR('',#405662,10.); #121177=VECTOR('',#405665,10.); #121178=VECTOR('',#405666,10.); #121179=VECTOR('',#405667,10.); #121180=VECTOR('',#405670,10.); #121181=VECTOR('',#405671,10.); #121182=VECTOR('',#405672,10.); #121183=VECTOR('',#405675,10.); #121184=VECTOR('',#405676,10.); #121185=VECTOR('',#405677,10.); #121186=VECTOR('',#405680,10.); #121187=VECTOR('',#405681,10.); #121188=VECTOR('',#405682,10.); #121189=VECTOR('',#405685,10.); #121190=VECTOR('',#405686,10.); #121191=VECTOR('',#405687,10.); #121192=VECTOR('',#405690,10.); #121193=VECTOR('',#405691,10.); #121194=VECTOR('',#405692,10.); #121195=VECTOR('',#405695,10.); #121196=VECTOR('',#405696,10.); #121197=VECTOR('',#405697,10.); #121198=VECTOR('',#405700,10.); #121199=VECTOR('',#405701,10.); #121200=VECTOR('',#405702,10.); #121201=VECTOR('',#405705,10.); #121202=VECTOR('',#405706,10.); #121203=VECTOR('',#405707,10.); #121204=VECTOR('',#405710,10.); #121205=VECTOR('',#405711,10.); #121206=VECTOR('',#405712,10.); #121207=VECTOR('',#405715,10.); #121208=VECTOR('',#405716,10.); #121209=VECTOR('',#405717,10.); #121210=VECTOR('',#405720,10.); #121211=VECTOR('',#405721,10.); #121212=VECTOR('',#405722,10.); #121213=VECTOR('',#405725,10.); #121214=VECTOR('',#405726,10.); #121215=VECTOR('',#405727,10.); #121216=VECTOR('',#405730,10.); #121217=VECTOR('',#405731,10.); #121218=VECTOR('',#405732,10.); #121219=VECTOR('',#405735,10.); #121220=VECTOR('',#405736,10.); #121221=VECTOR('',#405737,10.); #121222=VECTOR('',#405740,10.); #121223=VECTOR('',#405741,10.); #121224=VECTOR('',#405742,10.); #121225=VECTOR('',#405745,10.); #121226=VECTOR('',#405746,10.); #121227=VECTOR('',#405747,10.); #121228=VECTOR('',#405750,10.); #121229=VECTOR('',#405751,10.); #121230=VECTOR('',#405752,10.); #121231=VECTOR('',#405755,10.); #121232=VECTOR('',#405756,10.); #121233=VECTOR('',#405757,10.); #121234=VECTOR('',#405760,10.); #121235=VECTOR('',#405761,10.); #121236=VECTOR('',#405762,10.); #121237=VECTOR('',#405765,10.); #121238=VECTOR('',#405766,10.); #121239=VECTOR('',#405767,10.); #121240=VECTOR('',#405770,10.); #121241=VECTOR('',#405771,10.); #121242=VECTOR('',#405772,10.); #121243=VECTOR('',#405775,10.); #121244=VECTOR('',#405776,10.); #121245=VECTOR('',#405777,10.); #121246=VECTOR('',#405780,10.); #121247=VECTOR('',#405781,10.); #121248=VECTOR('',#405782,10.); #121249=VECTOR('',#405785,10.); #121250=VECTOR('',#405786,10.); #121251=VECTOR('',#405787,10.); #121252=VECTOR('',#405790,10.); #121253=VECTOR('',#405791,10.); #121254=VECTOR('',#405792,10.); #121255=VECTOR('',#405795,10.); #121256=VECTOR('',#405796,10.); #121257=VECTOR('',#405797,10.); #121258=VECTOR('',#405800,10.); #121259=VECTOR('',#405801,10.); #121260=VECTOR('',#405802,10.); #121261=VECTOR('',#405805,10.); #121262=VECTOR('',#405806,10.); #121263=VECTOR('',#405807,10.); #121264=VECTOR('',#405810,10.); #121265=VECTOR('',#405811,10.); #121266=VECTOR('',#405812,10.); #121267=VECTOR('',#405815,10.); #121268=VECTOR('',#405816,10.); #121269=VECTOR('',#405817,10.); #121270=VECTOR('',#405820,10.); #121271=VECTOR('',#405821,10.); #121272=VECTOR('',#405822,10.); #121273=VECTOR('',#405825,10.); #121274=VECTOR('',#405826,10.); #121275=VECTOR('',#405827,10.); #121276=VECTOR('',#405830,10.); #121277=VECTOR('',#405831,10.); #121278=VECTOR('',#405832,10.); #121279=VECTOR('',#405835,10.); #121280=VECTOR('',#405836,10.); #121281=VECTOR('',#405837,10.); #121282=VECTOR('',#405840,10.); #121283=VECTOR('',#405841,10.); #121284=VECTOR('',#405842,10.); #121285=VECTOR('',#405845,10.); #121286=VECTOR('',#405846,10.); #121287=VECTOR('',#405847,10.); #121288=VECTOR('',#405850,10.); #121289=VECTOR('',#405851,10.); #121290=VECTOR('',#405852,10.); #121291=VECTOR('',#405855,10.); #121292=VECTOR('',#405856,10.); #121293=VECTOR('',#405857,10.); #121294=VECTOR('',#405860,10.); #121295=VECTOR('',#405861,10.); #121296=VECTOR('',#405862,10.); #121297=VECTOR('',#405865,10.); #121298=VECTOR('',#405866,10.); #121299=VECTOR('',#405867,10.); #121300=VECTOR('',#405870,10.); #121301=VECTOR('',#405871,10.); #121302=VECTOR('',#405872,10.); #121303=VECTOR('',#405875,10.); #121304=VECTOR('',#405876,10.); #121305=VECTOR('',#405877,10.); #121306=VECTOR('',#405880,10.); #121307=VECTOR('',#405881,10.); #121308=VECTOR('',#405882,10.); #121309=VECTOR('',#405885,10.); #121310=VECTOR('',#405886,10.); #121311=VECTOR('',#405887,10.); #121312=VECTOR('',#405890,10.); #121313=VECTOR('',#405891,10.); #121314=VECTOR('',#405892,10.); #121315=VECTOR('',#405895,10.); #121316=VECTOR('',#405896,10.); #121317=VECTOR('',#405897,10.); #121318=VECTOR('',#405900,10.); #121319=VECTOR('',#405901,10.); #121320=VECTOR('',#405902,10.); #121321=VECTOR('',#405905,10.); #121322=VECTOR('',#405906,10.); #121323=VECTOR('',#405907,10.); #121324=VECTOR('',#405910,10.); #121325=VECTOR('',#405911,10.); #121326=VECTOR('',#405912,10.); #121327=VECTOR('',#405915,10.); #121328=VECTOR('',#405916,10.); #121329=VECTOR('',#405917,10.); #121330=VECTOR('',#405920,10.); #121331=VECTOR('',#405921,10.); #121332=VECTOR('',#405922,10.); #121333=VECTOR('',#405925,10.); #121334=VECTOR('',#405926,10.); #121335=VECTOR('',#405927,10.); #121336=VECTOR('',#405930,10.); #121337=VECTOR('',#405931,10.); #121338=VECTOR('',#405932,10.); #121339=VECTOR('',#405935,10.); #121340=VECTOR('',#405936,10.); #121341=VECTOR('',#405937,10.); #121342=VECTOR('',#405940,10.); #121343=VECTOR('',#405941,10.); #121344=VECTOR('',#405942,10.); #121345=VECTOR('',#405945,10.); #121346=VECTOR('',#405946,10.); #121347=VECTOR('',#405947,10.); #121348=VECTOR('',#405950,10.); #121349=VECTOR('',#405951,10.); #121350=VECTOR('',#405952,10.); #121351=VECTOR('',#405955,10.); #121352=VECTOR('',#405956,10.); #121353=VECTOR('',#405957,10.); #121354=VECTOR('',#405960,10.); #121355=VECTOR('',#405961,10.); #121356=VECTOR('',#405962,10.); #121357=VECTOR('',#405965,10.); #121358=VECTOR('',#405966,10.); #121359=VECTOR('',#405967,10.); #121360=VECTOR('',#405970,10.); #121361=VECTOR('',#405971,10.); #121362=VECTOR('',#405972,10.); #121363=VECTOR('',#405975,10.); #121364=VECTOR('',#405976,10.); #121365=VECTOR('',#405977,10.); #121366=VECTOR('',#405980,10.); #121367=VECTOR('',#405981,10.); #121368=VECTOR('',#405982,10.); #121369=VECTOR('',#405985,10.); #121370=VECTOR('',#405986,10.); #121371=VECTOR('',#405987,10.); #121372=VECTOR('',#405990,10.); #121373=VECTOR('',#405991,10.); #121374=VECTOR('',#405992,10.); #121375=VECTOR('',#405995,10.); #121376=VECTOR('',#405996,10.); #121377=VECTOR('',#405997,10.); #121378=VECTOR('',#406000,10.); #121379=VECTOR('',#406001,10.); #121380=VECTOR('',#406002,10.); #121381=VECTOR('',#406005,10.); #121382=VECTOR('',#406006,10.); #121383=VECTOR('',#406007,10.); #121384=VECTOR('',#406010,10.); #121385=VECTOR('',#406011,10.); #121386=VECTOR('',#406012,10.); #121387=VECTOR('',#406015,10.); #121388=VECTOR('',#406016,10.); #121389=VECTOR('',#406017,10.); #121390=VECTOR('',#406020,10.); #121391=VECTOR('',#406021,10.); #121392=VECTOR('',#406022,10.); #121393=VECTOR('',#406025,10.); #121394=VECTOR('',#406026,10.); #121395=VECTOR('',#406027,10.); #121396=VECTOR('',#406030,10.); #121397=VECTOR('',#406031,10.); #121398=VECTOR('',#406032,10.); #121399=VECTOR('',#406035,10.); #121400=VECTOR('',#406036,10.); #121401=VECTOR('',#406037,10.); #121402=VECTOR('',#406040,10.); #121403=VECTOR('',#406041,10.); #121404=VECTOR('',#406042,10.); #121405=VECTOR('',#406045,10.); #121406=VECTOR('',#406046,10.); #121407=VECTOR('',#406047,10.); #121408=VECTOR('',#406050,10.); #121409=VECTOR('',#406051,10.); #121410=VECTOR('',#406052,10.); #121411=VECTOR('',#406055,10.); #121412=VECTOR('',#406056,10.); #121413=VECTOR('',#406057,10.); #121414=VECTOR('',#406060,10.); #121415=VECTOR('',#406061,10.); #121416=VECTOR('',#406062,10.); #121417=VECTOR('',#406065,10.); #121418=VECTOR('',#406066,10.); #121419=VECTOR('',#406067,10.); #121420=VECTOR('',#406070,10.); #121421=VECTOR('',#406071,10.); #121422=VECTOR('',#406072,10.); #121423=VECTOR('',#406075,10.); #121424=VECTOR('',#406076,10.); #121425=VECTOR('',#406077,10.); #121426=VECTOR('',#406080,10.); #121427=VECTOR('',#406081,10.); #121428=VECTOR('',#406082,10.); #121429=VECTOR('',#406085,10.); #121430=VECTOR('',#406086,10.); #121431=VECTOR('',#406087,10.); #121432=VECTOR('',#406090,10.); #121433=VECTOR('',#406091,10.); #121434=VECTOR('',#406092,10.); #121435=VECTOR('',#406095,10.); #121436=VECTOR('',#406096,10.); #121437=VECTOR('',#406097,10.); #121438=VECTOR('',#406100,10.); #121439=VECTOR('',#406101,10.); #121440=VECTOR('',#406102,10.); #121441=VECTOR('',#406105,10.); #121442=VECTOR('',#406106,10.); #121443=VECTOR('',#406107,10.); #121444=VECTOR('',#406110,10.); #121445=VECTOR('',#406111,10.); #121446=VECTOR('',#406112,10.); #121447=VECTOR('',#406115,10.); #121448=VECTOR('',#406116,10.); #121449=VECTOR('',#406117,10.); #121450=VECTOR('',#406120,10.); #121451=VECTOR('',#406121,10.); #121452=VECTOR('',#406122,10.); #121453=VECTOR('',#406125,10.); #121454=VECTOR('',#406126,10.); #121455=VECTOR('',#406127,10.); #121456=VECTOR('',#406130,10.); #121457=VECTOR('',#406131,10.); #121458=VECTOR('',#406132,10.); #121459=VECTOR('',#406135,10.); #121460=VECTOR('',#406136,10.); #121461=VECTOR('',#406137,10.); #121462=VECTOR('',#406140,10.); #121463=VECTOR('',#406141,10.); #121464=VECTOR('',#406142,10.); #121465=VECTOR('',#406145,10.); #121466=VECTOR('',#406146,10.); #121467=VECTOR('',#406147,10.); #121468=VECTOR('',#406150,10.); #121469=VECTOR('',#406151,10.); #121470=VECTOR('',#406152,10.); #121471=VECTOR('',#406155,10.); #121472=VECTOR('',#406156,10.); #121473=VECTOR('',#406157,10.); #121474=VECTOR('',#406160,10.); #121475=VECTOR('',#406161,10.); #121476=VECTOR('',#406162,10.); #121477=VECTOR('',#406165,10.); #121478=VECTOR('',#406166,10.); #121479=VECTOR('',#406167,10.); #121480=VECTOR('',#406170,10.); #121481=VECTOR('',#406171,10.); #121482=VECTOR('',#406172,10.); #121483=VECTOR('',#406175,10.); #121484=VECTOR('',#406176,10.); #121485=VECTOR('',#406177,10.); #121486=VECTOR('',#406180,10.); #121487=VECTOR('',#406181,10.); #121488=VECTOR('',#406182,10.); #121489=VECTOR('',#406185,10.); #121490=VECTOR('',#406186,10.); #121491=VECTOR('',#406187,10.); #121492=VECTOR('',#406190,10.); #121493=VECTOR('',#406191,10.); #121494=VECTOR('',#406198,10.); #121495=VECTOR('',#406199,10.); #121496=VECTOR('',#406200,10.); #121497=VECTOR('',#406201,10.); #121498=VECTOR('',#406204,10.); #121499=VECTOR('',#406205,10.); #121500=VECTOR('',#406206,10.); #121501=VECTOR('',#406209,10.); #121502=VECTOR('',#406210,10.); #121503=VECTOR('',#406211,10.); #121504=VECTOR('',#406214,10.); #121505=VECTOR('',#406215,10.); #121506=VECTOR('',#406216,10.); #121507=VECTOR('',#406219,10.); #121508=VECTOR('',#406220,10.); #121509=VECTOR('',#406221,10.); #121510=VECTOR('',#406224,10.); #121511=VECTOR('',#406225,10.); #121512=VECTOR('',#406226,10.); #121513=VECTOR('',#406229,10.); #121514=VECTOR('',#406230,10.); #121515=VECTOR('',#406231,10.); #121516=VECTOR('',#406234,10.); #121517=VECTOR('',#406235,10.); #121518=VECTOR('',#406236,10.); #121519=VECTOR('',#406239,10.); #121520=VECTOR('',#406240,10.); #121521=VECTOR('',#406241,10.); #121522=VECTOR('',#406244,10.); #121523=VECTOR('',#406245,10.); #121524=VECTOR('',#406246,10.); #121525=VECTOR('',#406249,10.); #121526=VECTOR('',#406250,10.); #121527=VECTOR('',#406251,10.); #121528=VECTOR('',#406254,10.); #121529=VECTOR('',#406255,10.); #121530=VECTOR('',#406256,10.); #121531=VECTOR('',#406259,10.); #121532=VECTOR('',#406260,10.); #121533=VECTOR('',#406261,10.); #121534=VECTOR('',#406264,10.); #121535=VECTOR('',#406265,10.); #121536=VECTOR('',#406266,10.); #121537=VECTOR('',#406269,10.); #121538=VECTOR('',#406270,10.); #121539=VECTOR('',#406271,10.); #121540=VECTOR('',#406274,10.); #121541=VECTOR('',#406275,10.); #121542=VECTOR('',#406276,10.); #121543=VECTOR('',#406279,10.); #121544=VECTOR('',#406280,10.); #121545=VECTOR('',#406281,10.); #121546=VECTOR('',#406284,10.); #121547=VECTOR('',#406285,10.); #121548=VECTOR('',#406286,10.); #121549=VECTOR('',#406289,10.); #121550=VECTOR('',#406290,10.); #121551=VECTOR('',#406291,10.); #121552=VECTOR('',#406294,10.); #121553=VECTOR('',#406295,10.); #121554=VECTOR('',#406296,10.); #121555=VECTOR('',#406299,10.); #121556=VECTOR('',#406300,10.); #121557=VECTOR('',#406307,10.); #121558=VECTOR('',#406308,10.); #121559=VECTOR('',#406309,10.); #121560=VECTOR('',#406310,10.); #121561=VECTOR('',#406313,10.); #121562=VECTOR('',#406314,10.); #121563=VECTOR('',#406315,10.); #121564=VECTOR('',#406318,10.); #121565=VECTOR('',#406319,10.); #121566=VECTOR('',#406320,10.); #121567=VECTOR('',#406323,10.); #121568=VECTOR('',#406324,10.); #121569=VECTOR('',#406325,10.); #121570=VECTOR('',#406328,10.); #121571=VECTOR('',#406329,10.); #121572=VECTOR('',#406330,10.); #121573=VECTOR('',#406333,10.); #121574=VECTOR('',#406334,10.); #121575=VECTOR('',#406335,10.); #121576=VECTOR('',#406338,10.); #121577=VECTOR('',#406339,10.); #121578=VECTOR('',#406340,10.); #121579=VECTOR('',#406343,10.); #121580=VECTOR('',#406344,10.); #121581=VECTOR('',#406345,10.); #121582=VECTOR('',#406348,10.); #121583=VECTOR('',#406349,10.); #121584=VECTOR('',#406350,10.); #121585=VECTOR('',#406353,10.); #121586=VECTOR('',#406354,10.); #121587=VECTOR('',#406355,10.); #121588=VECTOR('',#406358,10.); #121589=VECTOR('',#406359,10.); #121590=VECTOR('',#406360,10.); #121591=VECTOR('',#406363,10.); #121592=VECTOR('',#406364,10.); #121593=VECTOR('',#406365,10.); #121594=VECTOR('',#406368,10.); #121595=VECTOR('',#406369,10.); #121596=VECTOR('',#406370,10.); #121597=VECTOR('',#406373,10.); #121598=VECTOR('',#406374,10.); #121599=VECTOR('',#406375,10.); #121600=VECTOR('',#406378,10.); #121601=VECTOR('',#406379,10.); #121602=VECTOR('',#406380,10.); #121603=VECTOR('',#406383,10.); #121604=VECTOR('',#406384,10.); #121605=VECTOR('',#406385,10.); #121606=VECTOR('',#406388,10.); #121607=VECTOR('',#406389,10.); #121608=VECTOR('',#406390,10.); #121609=VECTOR('',#406393,10.); #121610=VECTOR('',#406394,10.); #121611=VECTOR('',#406395,10.); #121612=VECTOR('',#406398,10.); #121613=VECTOR('',#406399,10.); #121614=VECTOR('',#406400,10.); #121615=VECTOR('',#406403,10.); #121616=VECTOR('',#406404,10.); #121617=VECTOR('',#406405,10.); #121618=VECTOR('',#406408,10.); #121619=VECTOR('',#406409,10.); #121620=VECTOR('',#406410,10.); #121621=VECTOR('',#406413,10.); #121622=VECTOR('',#406414,10.); #121623=VECTOR('',#406415,10.); #121624=VECTOR('',#406418,10.); #121625=VECTOR('',#406419,10.); #121626=VECTOR('',#406420,10.); #121627=VECTOR('',#406423,10.); #121628=VECTOR('',#406424,10.); #121629=VECTOR('',#406425,10.); #121630=VECTOR('',#406428,10.); #121631=VECTOR('',#406429,10.); #121632=VECTOR('',#406430,10.); #121633=VECTOR('',#406433,10.); #121634=VECTOR('',#406434,10.); #121635=VECTOR('',#406441,10.); #121636=VECTOR('',#406442,10.); #121637=VECTOR('',#406443,10.); #121638=VECTOR('',#406444,10.); #121639=VECTOR('',#406447,10.); #121640=VECTOR('',#406448,10.); #121641=VECTOR('',#406449,10.); #121642=VECTOR('',#406452,10.); #121643=VECTOR('',#406453,10.); #121644=VECTOR('',#406454,10.); #121645=VECTOR('',#406457,10.); #121646=VECTOR('',#406458,10.); #121647=VECTOR('',#406459,10.); #121648=VECTOR('',#406462,10.); #121649=VECTOR('',#406463,10.); #121650=VECTOR('',#406464,10.); #121651=VECTOR('',#406467,10.); #121652=VECTOR('',#406468,10.); #121653=VECTOR('',#406469,10.); #121654=VECTOR('',#406472,10.); #121655=VECTOR('',#406473,10.); #121656=VECTOR('',#406474,10.); #121657=VECTOR('',#406477,10.); #121658=VECTOR('',#406478,10.); #121659=VECTOR('',#406479,10.); #121660=VECTOR('',#406482,10.); #121661=VECTOR('',#406483,10.); #121662=VECTOR('',#406484,10.); #121663=VECTOR('',#406487,10.); #121664=VECTOR('',#406488,10.); #121665=VECTOR('',#406489,10.); #121666=VECTOR('',#406492,10.); #121667=VECTOR('',#406493,10.); #121668=VECTOR('',#406494,10.); #121669=VECTOR('',#406497,10.); #121670=VECTOR('',#406498,10.); #121671=VECTOR('',#406499,10.); #121672=VECTOR('',#406502,10.); #121673=VECTOR('',#406503,10.); #121674=VECTOR('',#406504,10.); #121675=VECTOR('',#406507,10.); #121676=VECTOR('',#406508,10.); #121677=VECTOR('',#406509,10.); #121678=VECTOR('',#406512,10.); #121679=VECTOR('',#406513,10.); #121680=VECTOR('',#406514,10.); #121681=VECTOR('',#406517,10.); #121682=VECTOR('',#406518,10.); #121683=VECTOR('',#406519,10.); #121684=VECTOR('',#406522,10.); #121685=VECTOR('',#406523,10.); #121686=VECTOR('',#406524,10.); #121687=VECTOR('',#406527,10.); #121688=VECTOR('',#406528,10.); #121689=VECTOR('',#406529,10.); #121690=VECTOR('',#406532,10.); #121691=VECTOR('',#406533,10.); #121692=VECTOR('',#406534,10.); #121693=VECTOR('',#406537,10.); #121694=VECTOR('',#406538,10.); #121695=VECTOR('',#406545,10.); #121696=VECTOR('',#406546,10.); #121697=VECTOR('',#406547,10.); #121698=VECTOR('',#406548,10.); #121699=VECTOR('',#406551,10.); #121700=VECTOR('',#406552,10.); #121701=VECTOR('',#406553,10.); #121702=VECTOR('',#406556,10.); #121703=VECTOR('',#406557,10.); #121704=VECTOR('',#406558,10.); #121705=VECTOR('',#406561,10.); #121706=VECTOR('',#406562,10.); #121707=VECTOR('',#406563,10.); #121708=VECTOR('',#406566,10.); #121709=VECTOR('',#406567,10.); #121710=VECTOR('',#406568,10.); #121711=VECTOR('',#406571,10.); #121712=VECTOR('',#406572,10.); #121713=VECTOR('',#406573,10.); #121714=VECTOR('',#406576,10.); #121715=VECTOR('',#406577,10.); #121716=VECTOR('',#406578,10.); #121717=VECTOR('',#406581,10.); #121718=VECTOR('',#406582,10.); #121719=VECTOR('',#406583,10.); #121720=VECTOR('',#406586,10.); #121721=VECTOR('',#406587,10.); #121722=VECTOR('',#406588,10.); #121723=VECTOR('',#406591,10.); #121724=VECTOR('',#406592,10.); #121725=VECTOR('',#406593,10.); #121726=VECTOR('',#406596,10.); #121727=VECTOR('',#406597,10.); #121728=VECTOR('',#406598,10.); #121729=VECTOR('',#406601,10.); #121730=VECTOR('',#406602,10.); #121731=VECTOR('',#406603,10.); #121732=VECTOR('',#406606,10.); #121733=VECTOR('',#406607,10.); #121734=VECTOR('',#406608,10.); #121735=VECTOR('',#406611,10.); #121736=VECTOR('',#406612,10.); #121737=VECTOR('',#406613,10.); #121738=VECTOR('',#406616,10.); #121739=VECTOR('',#406617,10.); #121740=VECTOR('',#406618,10.); #121741=VECTOR('',#406621,10.); #121742=VECTOR('',#406622,10.); #121743=VECTOR('',#406623,10.); #121744=VECTOR('',#406626,10.); #121745=VECTOR('',#406627,10.); #121746=VECTOR('',#406628,10.); #121747=VECTOR('',#406631,10.); #121748=VECTOR('',#406632,10.); #121749=VECTOR('',#406633,10.); #121750=VECTOR('',#406636,10.); #121751=VECTOR('',#406637,10.); #121752=VECTOR('',#406638,10.); #121753=VECTOR('',#406641,10.); #121754=VECTOR('',#406642,10.); #121755=VECTOR('',#406643,10.); #121756=VECTOR('',#406646,10.); #121757=VECTOR('',#406647,10.); #121758=VECTOR('',#406648,10.); #121759=VECTOR('',#406651,10.); #121760=VECTOR('',#406652,10.); #121761=VECTOR('',#406653,10.); #121762=VECTOR('',#406656,10.); #121763=VECTOR('',#406657,10.); #121764=VECTOR('',#406664,10.); #121765=VECTOR('',#406665,10.); #121766=VECTOR('',#406666,10.); #121767=VECTOR('',#406667,10.); #121768=VECTOR('',#406670,10.); #121769=VECTOR('',#406671,10.); #121770=VECTOR('',#406672,10.); #121771=VECTOR('',#406675,10.); #121772=VECTOR('',#406676,10.); #121773=VECTOR('',#406677,10.); #121774=VECTOR('',#406680,10.); #121775=VECTOR('',#406681,10.); #121776=VECTOR('',#406682,10.); #121777=VECTOR('',#406685,10.); #121778=VECTOR('',#406686,10.); #121779=VECTOR('',#406687,10.); #121780=VECTOR('',#406690,10.); #121781=VECTOR('',#406691,10.); #121782=VECTOR('',#406692,10.); #121783=VECTOR('',#406695,10.); #121784=VECTOR('',#406696,10.); #121785=VECTOR('',#406697,10.); #121786=VECTOR('',#406700,10.); #121787=VECTOR('',#406701,10.); #121788=VECTOR('',#406702,10.); #121789=VECTOR('',#406705,10.); #121790=VECTOR('',#406706,10.); #121791=VECTOR('',#406707,10.); #121792=VECTOR('',#406710,10.); #121793=VECTOR('',#406711,10.); #121794=VECTOR('',#406712,10.); #121795=VECTOR('',#406715,10.); #121796=VECTOR('',#406716,10.); #121797=VECTOR('',#406717,10.); #121798=VECTOR('',#406720,10.); #121799=VECTOR('',#406721,10.); #121800=VECTOR('',#406722,10.); #121801=VECTOR('',#406725,10.); #121802=VECTOR('',#406726,10.); #121803=VECTOR('',#406727,10.); #121804=VECTOR('',#406730,10.); #121805=VECTOR('',#406731,10.); #121806=VECTOR('',#406732,10.); #121807=VECTOR('',#406735,10.); #121808=VECTOR('',#406736,10.); #121809=VECTOR('',#406737,10.); #121810=VECTOR('',#406740,10.); #121811=VECTOR('',#406741,10.); #121812=VECTOR('',#406742,10.); #121813=VECTOR('',#406745,10.); #121814=VECTOR('',#406746,10.); #121815=VECTOR('',#406747,10.); #121816=VECTOR('',#406750,10.); #121817=VECTOR('',#406751,10.); #121818=VECTOR('',#406752,10.); #121819=VECTOR('',#406755,10.); #121820=VECTOR('',#406756,10.); #121821=VECTOR('',#406757,10.); #121822=VECTOR('',#406760,10.); #121823=VECTOR('',#406761,10.); #121824=VECTOR('',#406762,10.); #121825=VECTOR('',#406765,10.); #121826=VECTOR('',#406766,10.); #121827=VECTOR('',#406773,10.); #121828=VECTOR('',#406774,10.); #121829=VECTOR('',#406775,10.); #121830=VECTOR('',#406776,10.); #121831=VECTOR('',#406779,10.); #121832=VECTOR('',#406780,10.); #121833=VECTOR('',#406781,10.); #121834=VECTOR('',#406784,10.); #121835=VECTOR('',#406785,10.); #121836=VECTOR('',#406786,10.); #121837=VECTOR('',#406789,10.); #121838=VECTOR('',#406790,10.); #121839=VECTOR('',#406791,10.); #121840=VECTOR('',#406794,10.); #121841=VECTOR('',#406795,10.); #121842=VECTOR('',#406796,10.); #121843=VECTOR('',#406799,10.); #121844=VECTOR('',#406800,10.); #121845=VECTOR('',#406801,10.); #121846=VECTOR('',#406804,10.); #121847=VECTOR('',#406805,10.); #121848=VECTOR('',#406806,10.); #121849=VECTOR('',#406809,10.); #121850=VECTOR('',#406810,10.); #121851=VECTOR('',#406811,10.); #121852=VECTOR('',#406814,10.); #121853=VECTOR('',#406815,10.); #121854=VECTOR('',#406816,10.); #121855=VECTOR('',#406819,10.); #121856=VECTOR('',#406820,10.); #121857=VECTOR('',#406821,10.); #121858=VECTOR('',#406824,10.); #121859=VECTOR('',#406825,10.); #121860=VECTOR('',#406826,10.); #121861=VECTOR('',#406829,10.); #121862=VECTOR('',#406830,10.); #121863=VECTOR('',#406831,10.); #121864=VECTOR('',#406834,10.); #121865=VECTOR('',#406835,10.); #121866=VECTOR('',#406836,10.); #121867=VECTOR('',#406839,10.); #121868=VECTOR('',#406840,10.); #121869=VECTOR('',#406841,10.); #121870=VECTOR('',#406844,10.); #121871=VECTOR('',#406845,10.); #121872=VECTOR('',#406846,10.); #121873=VECTOR('',#406849,10.); #121874=VECTOR('',#406850,10.); #121875=VECTOR('',#406851,10.); #121876=VECTOR('',#406854,10.); #121877=VECTOR('',#406855,10.); #121878=VECTOR('',#406856,10.); #121879=VECTOR('',#406859,10.); #121880=VECTOR('',#406860,10.); #121881=VECTOR('',#406861,10.); #121882=VECTOR('',#406864,10.); #121883=VECTOR('',#406865,10.); #121884=VECTOR('',#406866,10.); #121885=VECTOR('',#406869,10.); #121886=VECTOR('',#406870,10.); #121887=VECTOR('',#406871,10.); #121888=VECTOR('',#406874,10.); #121889=VECTOR('',#406875,10.); #121890=VECTOR('',#406876,10.); #121891=VECTOR('',#406879,10.); #121892=VECTOR('',#406880,10.); #121893=VECTOR('',#406881,10.); #121894=VECTOR('',#406884,10.); #121895=VECTOR('',#406885,10.); #121896=VECTOR('',#406886,10.); #121897=VECTOR('',#406889,10.); #121898=VECTOR('',#406890,10.); #121899=VECTOR('',#406891,10.); #121900=VECTOR('',#406894,10.); #121901=VECTOR('',#406895,10.); #121902=VECTOR('',#406896,10.); #121903=VECTOR('',#406899,10.); #121904=VECTOR('',#406900,10.); #121905=VECTOR('',#406901,10.); #121906=VECTOR('',#406904,10.); #121907=VECTOR('',#406905,10.); #121908=VECTOR('',#406906,10.); #121909=VECTOR('',#406909,10.); #121910=VECTOR('',#406910,10.); #121911=VECTOR('',#406911,10.); #121912=VECTOR('',#406914,10.); #121913=VECTOR('',#406915,10.); #121914=VECTOR('',#406916,10.); #121915=VECTOR('',#406919,10.); #121916=VECTOR('',#406920,10.); #121917=VECTOR('',#406927,10.); #121918=VECTOR('',#406928,10.); #121919=VECTOR('',#406929,10.); #121920=VECTOR('',#406930,10.); #121921=VECTOR('',#406933,10.); #121922=VECTOR('',#406934,10.); #121923=VECTOR('',#406935,10.); #121924=VECTOR('',#406938,10.); #121925=VECTOR('',#406939,10.); #121926=VECTOR('',#406940,10.); #121927=VECTOR('',#406943,10.); #121928=VECTOR('',#406944,10.); #121929=VECTOR('',#406945,10.); #121930=VECTOR('',#406948,10.); #121931=VECTOR('',#406949,10.); #121932=VECTOR('',#406950,10.); #121933=VECTOR('',#406953,10.); #121934=VECTOR('',#406954,10.); #121935=VECTOR('',#406955,10.); #121936=VECTOR('',#406958,10.); #121937=VECTOR('',#406959,10.); #121938=VECTOR('',#406960,10.); #121939=VECTOR('',#406963,10.); #121940=VECTOR('',#406964,10.); #121941=VECTOR('',#406965,10.); #121942=VECTOR('',#406968,10.); #121943=VECTOR('',#406969,10.); #121944=VECTOR('',#406970,10.); #121945=VECTOR('',#406973,10.); #121946=VECTOR('',#406974,10.); #121947=VECTOR('',#406975,10.); #121948=VECTOR('',#406978,10.); #121949=VECTOR('',#406979,10.); #121950=VECTOR('',#406980,10.); #121951=VECTOR('',#406983,10.); #121952=VECTOR('',#406984,10.); #121953=VECTOR('',#406985,10.); #121954=VECTOR('',#406988,10.); #121955=VECTOR('',#406989,10.); #121956=VECTOR('',#406990,10.); #121957=VECTOR('',#406993,10.); #121958=VECTOR('',#406994,10.); #121959=VECTOR('',#406995,10.); #121960=VECTOR('',#406998,10.); #121961=VECTOR('',#406999,10.); #121962=VECTOR('',#407000,10.); #121963=VECTOR('',#407003,10.); #121964=VECTOR('',#407004,10.); #121965=VECTOR('',#407005,10.); #121966=VECTOR('',#407008,10.); #121967=VECTOR('',#407009,10.); #121968=VECTOR('',#407010,10.); #121969=VECTOR('',#407013,10.); #121970=VECTOR('',#407014,10.); #121971=VECTOR('',#407015,10.); #121972=VECTOR('',#407018,10.); #121973=VECTOR('',#407019,10.); #121974=VECTOR('',#407020,10.); #121975=VECTOR('',#407023,10.); #121976=VECTOR('',#407024,10.); #121977=VECTOR('',#407031,10.); #121978=VECTOR('',#407032,10.); #121979=VECTOR('',#407033,10.); #121980=VECTOR('',#407034,10.); #121981=VECTOR('',#407037,10.); #121982=VECTOR('',#407038,10.); #121983=VECTOR('',#407039,10.); #121984=VECTOR('',#407042,10.); #121985=VECTOR('',#407043,10.); #121986=VECTOR('',#407044,10.); #121987=VECTOR('',#407047,10.); #121988=VECTOR('',#407048,10.); #121989=VECTOR('',#407049,10.); #121990=VECTOR('',#407052,10.); #121991=VECTOR('',#407053,10.); #121992=VECTOR('',#407054,10.); #121993=VECTOR('',#407057,10.); #121994=VECTOR('',#407058,10.); #121995=VECTOR('',#407059,10.); #121996=VECTOR('',#407062,10.); #121997=VECTOR('',#407063,10.); #121998=VECTOR('',#407064,10.); #121999=VECTOR('',#407067,10.); #122000=VECTOR('',#407068,10.); #122001=VECTOR('',#407069,10.); #122002=VECTOR('',#407072,10.); #122003=VECTOR('',#407073,10.); #122004=VECTOR('',#407074,10.); #122005=VECTOR('',#407077,10.); #122006=VECTOR('',#407078,10.); #122007=VECTOR('',#407079,10.); #122008=VECTOR('',#407082,10.); #122009=VECTOR('',#407083,10.); #122010=VECTOR('',#407084,10.); #122011=VECTOR('',#407087,10.); #122012=VECTOR('',#407088,10.); #122013=VECTOR('',#407089,10.); #122014=VECTOR('',#407092,10.); #122015=VECTOR('',#407093,10.); #122016=VECTOR('',#407094,10.); #122017=VECTOR('',#407097,10.); #122018=VECTOR('',#407098,10.); #122019=VECTOR('',#407099,10.); #122020=VECTOR('',#407102,10.); #122021=VECTOR('',#407103,10.); #122022=VECTOR('',#407104,10.); #122023=VECTOR('',#407107,10.); #122024=VECTOR('',#407108,10.); #122025=VECTOR('',#407109,10.); #122026=VECTOR('',#407112,10.); #122027=VECTOR('',#407113,10.); #122028=VECTOR('',#407114,10.); #122029=VECTOR('',#407117,10.); #122030=VECTOR('',#407118,10.); #122031=VECTOR('',#407119,10.); #122032=VECTOR('',#407122,10.); #122033=VECTOR('',#407123,10.); #122034=VECTOR('',#407124,10.); #122035=VECTOR('',#407127,10.); #122036=VECTOR('',#407128,10.); #122037=VECTOR('',#407129,10.); #122038=VECTOR('',#407132,10.); #122039=VECTOR('',#407133,10.); #122040=VECTOR('',#407134,10.); #122041=VECTOR('',#407137,10.); #122042=VECTOR('',#407138,10.); #122043=VECTOR('',#407139,10.); #122044=VECTOR('',#407142,10.); #122045=VECTOR('',#407143,10.); #122046=VECTOR('',#407144,10.); #122047=VECTOR('',#407147,10.); #122048=VECTOR('',#407148,10.); #122049=VECTOR('',#407149,10.); #122050=VECTOR('',#407152,10.); #122051=VECTOR('',#407153,10.); #122052=VECTOR('',#407154,10.); #122053=VECTOR('',#407157,10.); #122054=VECTOR('',#407158,10.); #122055=VECTOR('',#407159,10.); #122056=VECTOR('',#407162,10.); #122057=VECTOR('',#407163,10.); #122058=VECTOR('',#407164,10.); #122059=VECTOR('',#407167,10.); #122060=VECTOR('',#407168,10.); #122061=VECTOR('',#407169,10.); #122062=VECTOR('',#407172,10.); #122063=VECTOR('',#407173,10.); #122064=VECTOR('',#407174,10.); #122065=VECTOR('',#407177,10.); #122066=VECTOR('',#407178,10.); #122067=VECTOR('',#407179,10.); #122068=VECTOR('',#407182,10.); #122069=VECTOR('',#407183,10.); #122070=VECTOR('',#407184,10.); #122071=VECTOR('',#407187,10.); #122072=VECTOR('',#407188,10.); #122073=VECTOR('',#407189,10.); #122074=VECTOR('',#407192,10.); #122075=VECTOR('',#407193,10.); #122076=VECTOR('',#407202,0.139999999999998); #122077=VECTOR('',#407209,0.139999999999998); #122078=VECTOR('',#407216,0.139999999999998); #122079=VECTOR('',#407221,10.); #122080=VECTOR('',#407222,10.); #122081=VECTOR('',#407223,10.); #122082=VECTOR('',#407224,10.); #122083=VECTOR('',#407227,10.); #122084=VECTOR('',#407228,10.); #122085=VECTOR('',#407229,10.); #122086=VECTOR('',#407232,10.); #122087=VECTOR('',#407233,10.); #122088=VECTOR('',#407234,10.); #122089=VECTOR('',#407237,10.); #122090=VECTOR('',#407238,10.); #122091=VECTOR('',#407239,10.); #122092=VECTOR('',#407242,10.); #122093=VECTOR('',#407243,10.); #122094=VECTOR('',#407244,10.); #122095=VECTOR('',#407247,10.); #122096=VECTOR('',#407248,10.); #122097=VECTOR('',#407249,10.); #122098=VECTOR('',#407252,10.); #122099=VECTOR('',#407253,10.); #122100=VECTOR('',#407254,10.); #122101=VECTOR('',#407257,10.); #122102=VECTOR('',#407258,10.); #122103=VECTOR('',#407259,10.); #122104=VECTOR('',#407262,10.); #122105=VECTOR('',#407263,10.); #122106=VECTOR('',#407264,10.); #122107=VECTOR('',#407267,10.); #122108=VECTOR('',#407268,10.); #122109=VECTOR('',#407269,10.); #122110=VECTOR('',#407272,10.); #122111=VECTOR('',#407273,10.); #122112=VECTOR('',#407274,10.); #122113=VECTOR('',#407277,10.); #122114=VECTOR('',#407278,10.); #122115=VECTOR('',#407279,10.); #122116=VECTOR('',#407282,10.); #122117=VECTOR('',#407283,10.); #122118=VECTOR('',#407284,10.); #122119=VECTOR('',#407287,10.); #122120=VECTOR('',#407288,10.); #122121=VECTOR('',#407289,10.); #122122=VECTOR('',#407292,10.); #122123=VECTOR('',#407293,10.); #122124=VECTOR('',#407294,10.); #122125=VECTOR('',#407297,10.); #122126=VECTOR('',#407298,10.); #122127=VECTOR('',#407299,10.); #122128=VECTOR('',#407302,10.); #122129=VECTOR('',#407303,10.); #122130=VECTOR('',#407304,10.); #122131=VECTOR('',#407307,10.); #122132=VECTOR('',#407308,10.); #122133=VECTOR('',#407309,10.); #122134=VECTOR('',#407312,10.); #122135=VECTOR('',#407313,10.); #122136=VECTOR('',#407314,10.); #122137=VECTOR('',#407317,10.); #122138=VECTOR('',#407318,10.); #122139=VECTOR('',#407319,10.); #122140=VECTOR('',#407322,10.); #122141=VECTOR('',#407323,10.); #122142=VECTOR('',#407324,10.); #122143=VECTOR('',#407327,10.); #122144=VECTOR('',#407328,10.); #122145=VECTOR('',#407329,10.); #122146=VECTOR('',#407332,10.); #122147=VECTOR('',#407333,10.); #122148=VECTOR('',#407334,10.); #122149=VECTOR('',#407337,10.); #122150=VECTOR('',#407338,10.); #122151=VECTOR('',#407339,10.); #122152=VECTOR('',#407342,10.); #122153=VECTOR('',#407343,10.); #122154=VECTOR('',#407344,10.); #122155=VECTOR('',#407347,10.); #122156=VECTOR('',#407348,10.); #122157=VECTOR('',#407349,10.); #122158=VECTOR('',#407352,10.); #122159=VECTOR('',#407353,10.); #122160=VECTOR('',#407354,10.); #122161=VECTOR('',#407357,10.); #122162=VECTOR('',#407358,10.); #122163=VECTOR('',#407359,10.); #122164=VECTOR('',#407362,10.); #122165=VECTOR('',#407363,10.); #122166=VECTOR('',#407364,10.); #122167=VECTOR('',#407367,10.); #122168=VECTOR('',#407368,10.); #122169=VECTOR('',#407369,10.); #122170=VECTOR('',#407372,10.); #122171=VECTOR('',#407373,10.); #122172=VECTOR('',#407374,10.); #122173=VECTOR('',#407377,10.); #122174=VECTOR('',#407378,10.); #122175=VECTOR('',#407379,10.); #122176=VECTOR('',#407382,10.); #122177=VECTOR('',#407383,10.); #122178=VECTOR('',#407384,10.); #122179=VECTOR('',#407387,10.); #122180=VECTOR('',#407388,10.); #122181=VECTOR('',#407389,10.); #122182=VECTOR('',#407392,10.); #122183=VECTOR('',#407393,10.); #122184=VECTOR('',#407394,10.); #122185=VECTOR('',#407397,10.); #122186=VECTOR('',#407398,10.); #122187=VECTOR('',#407399,10.); #122188=VECTOR('',#407402,10.); #122189=VECTOR('',#407403,10.); #122190=VECTOR('',#407404,10.); #122191=VECTOR('',#407407,10.); #122192=VECTOR('',#407408,10.); #122193=VECTOR('',#407409,10.); #122194=VECTOR('',#407412,10.); #122195=VECTOR('',#407413,10.); #122196=VECTOR('',#407414,10.); #122197=VECTOR('',#407417,10.); #122198=VECTOR('',#407418,10.); #122199=VECTOR('',#407419,10.); #122200=VECTOR('',#407422,10.); #122201=VECTOR('',#407423,10.); #122202=VECTOR('',#407424,10.); #122203=VECTOR('',#407427,10.); #122204=VECTOR('',#407428,10.); #122205=VECTOR('',#407429,10.); #122206=VECTOR('',#407432,10.); #122207=VECTOR('',#407433,10.); #122208=VECTOR('',#407434,10.); #122209=VECTOR('',#407437,10.); #122210=VECTOR('',#407438,10.); #122211=VECTOR('',#407439,10.); #122212=VECTOR('',#407442,10.); #122213=VECTOR('',#407443,10.); #122214=VECTOR('',#407444,10.); #122215=VECTOR('',#407447,10.); #122216=VECTOR('',#407448,10.); #122217=VECTOR('',#407449,10.); #122218=VECTOR('',#407452,10.); #122219=VECTOR('',#407453,10.); #122220=VECTOR('',#407454,10.); #122221=VECTOR('',#407457,10.); #122222=VECTOR('',#407458,10.); #122223=VECTOR('',#407459,10.); #122224=VECTOR('',#407462,10.); #122225=VECTOR('',#407463,10.); #122226=VECTOR('',#407464,10.); #122227=VECTOR('',#407467,10.); #122228=VECTOR('',#407468,10.); #122229=VECTOR('',#407469,10.); #122230=VECTOR('',#407472,10.); #122231=VECTOR('',#407473,10.); #122232=VECTOR('',#407474,10.); #122233=VECTOR('',#407477,10.); #122234=VECTOR('',#407478,10.); #122235=VECTOR('',#407479,10.); #122236=VECTOR('',#407482,10.); #122237=VECTOR('',#407483,10.); #122238=VECTOR('',#407484,10.); #122239=VECTOR('',#407487,10.); #122240=VECTOR('',#407488,10.); #122241=VECTOR('',#407489,10.); #122242=VECTOR('',#407492,10.); #122243=VECTOR('',#407493,10.); #122244=VECTOR('',#407494,10.); #122245=VECTOR('',#407497,10.); #122246=VECTOR('',#407498,10.); #122247=VECTOR('',#407499,10.); #122248=VECTOR('',#407502,10.); #122249=VECTOR('',#407503,10.); #122250=VECTOR('',#407504,10.); #122251=VECTOR('',#407507,10.); #122252=VECTOR('',#407508,10.); #122253=VECTOR('',#407509,10.); #122254=VECTOR('',#407512,10.); #122255=VECTOR('',#407513,10.); #122256=VECTOR('',#407514,10.); #122257=VECTOR('',#407517,10.); #122258=VECTOR('',#407518,10.); #122259=VECTOR('',#407519,10.); #122260=VECTOR('',#407522,10.); #122261=VECTOR('',#407523,10.); #122262=VECTOR('',#407524,10.); #122263=VECTOR('',#407527,10.); #122264=VECTOR('',#407528,10.); #122265=VECTOR('',#407529,10.); #122266=VECTOR('',#407532,10.); #122267=VECTOR('',#407533,10.); #122268=VECTOR('',#407534,10.); #122269=VECTOR('',#407537,10.); #122270=VECTOR('',#407538,10.); #122271=VECTOR('',#407539,10.); #122272=VECTOR('',#407542,10.); #122273=VECTOR('',#407543,10.); #122274=VECTOR('',#407544,10.); #122275=VECTOR('',#407547,10.); #122276=VECTOR('',#407548,10.); #122277=VECTOR('',#407549,10.); #122278=VECTOR('',#407552,10.); #122279=VECTOR('',#407553,10.); #122280=VECTOR('',#407554,10.); #122281=VECTOR('',#407557,10.); #122282=VECTOR('',#407558,10.); #122283=VECTOR('',#407559,10.); #122284=VECTOR('',#407562,10.); #122285=VECTOR('',#407563,10.); #122286=VECTOR('',#407564,10.); #122287=VECTOR('',#407567,10.); #122288=VECTOR('',#407568,10.); #122289=VECTOR('',#407569,10.); #122290=VECTOR('',#407572,10.); #122291=VECTOR('',#407573,10.); #122292=VECTOR('',#407574,10.); #122293=VECTOR('',#407577,10.); #122294=VECTOR('',#407578,10.); #122295=VECTOR('',#407579,10.); #122296=VECTOR('',#407582,10.); #122297=VECTOR('',#407583,10.); #122298=VECTOR('',#407584,10.); #122299=VECTOR('',#407587,10.); #122300=VECTOR('',#407588,10.); #122301=VECTOR('',#407589,10.); #122302=VECTOR('',#407592,10.); #122303=VECTOR('',#407593,10.); #122304=VECTOR('',#407594,10.); #122305=VECTOR('',#407597,10.); #122306=VECTOR('',#407598,10.); #122307=VECTOR('',#407599,10.); #122308=VECTOR('',#407602,10.); #122309=VECTOR('',#407603,10.); #122310=VECTOR('',#407604,10.); #122311=VECTOR('',#407607,10.); #122312=VECTOR('',#407608,10.); #122313=VECTOR('',#407609,10.); #122314=VECTOR('',#407612,10.); #122315=VECTOR('',#407613,10.); #122316=VECTOR('',#407614,10.); #122317=VECTOR('',#407617,10.); #122318=VECTOR('',#407618,10.); #122319=VECTOR('',#407619,10.); #122320=VECTOR('',#407622,10.); #122321=VECTOR('',#407623,10.); #122322=VECTOR('',#407624,10.); #122323=VECTOR('',#407627,10.); #122324=VECTOR('',#407628,10.); #122325=VECTOR('',#407629,10.); #122326=VECTOR('',#407632,10.); #122327=VECTOR('',#407633,10.); #122328=VECTOR('',#407634,10.); #122329=VECTOR('',#407637,10.); #122330=VECTOR('',#407638,10.); #122331=VECTOR('',#407639,10.); #122332=VECTOR('',#407642,10.); #122333=VECTOR('',#407643,10.); #122334=VECTOR('',#407644,10.); #122335=VECTOR('',#407647,10.); #122336=VECTOR('',#407648,10.); #122337=VECTOR('',#407649,10.); #122338=VECTOR('',#407652,10.); #122339=VECTOR('',#407653,10.); #122340=VECTOR('',#407660,10.); #122341=VECTOR('',#407661,10.); #122342=VECTOR('',#407662,10.); #122343=VECTOR('',#407663,10.); #122344=VECTOR('',#407666,10.); #122345=VECTOR('',#407667,10.); #122346=VECTOR('',#407668,10.); #122347=VECTOR('',#407671,10.); #122348=VECTOR('',#407672,10.); #122349=VECTOR('',#407673,10.); #122350=VECTOR('',#407676,10.); #122351=VECTOR('',#407677,10.); #122352=VECTOR('',#407678,10.); #122353=VECTOR('',#407681,10.); #122354=VECTOR('',#407682,10.); #122355=VECTOR('',#407683,10.); #122356=VECTOR('',#407686,10.); #122357=VECTOR('',#407687,10.); #122358=VECTOR('',#407688,10.); #122359=VECTOR('',#407691,10.); #122360=VECTOR('',#407692,10.); #122361=VECTOR('',#407693,10.); #122362=VECTOR('',#407696,10.); #122363=VECTOR('',#407697,10.); #122364=VECTOR('',#407698,10.); #122365=VECTOR('',#407701,10.); #122366=VECTOR('',#407702,10.); #122367=VECTOR('',#407703,10.); #122368=VECTOR('',#407706,10.); #122369=VECTOR('',#407707,10.); #122370=VECTOR('',#407708,10.); #122371=VECTOR('',#407711,10.); #122372=VECTOR('',#407712,10.); #122373=VECTOR('',#407713,10.); #122374=VECTOR('',#407716,10.); #122375=VECTOR('',#407717,10.); #122376=VECTOR('',#407718,10.); #122377=VECTOR('',#407721,10.); #122378=VECTOR('',#407722,10.); #122379=VECTOR('',#407723,10.); #122380=VECTOR('',#407726,10.); #122381=VECTOR('',#407727,10.); #122382=VECTOR('',#407728,10.); #122383=VECTOR('',#407731,10.); #122384=VECTOR('',#407732,10.); #122385=VECTOR('',#407733,10.); #122386=VECTOR('',#407736,10.); #122387=VECTOR('',#407737,10.); #122388=VECTOR('',#407738,10.); #122389=VECTOR('',#407741,10.); #122390=VECTOR('',#407742,10.); #122391=VECTOR('',#407743,10.); #122392=VECTOR('',#407746,10.); #122393=VECTOR('',#407747,10.); #122394=VECTOR('',#407748,10.); #122395=VECTOR('',#407751,10.); #122396=VECTOR('',#407752,10.); #122397=VECTOR('',#407753,10.); #122398=VECTOR('',#407756,10.); #122399=VECTOR('',#407757,10.); #122400=VECTOR('',#407758,10.); #122401=VECTOR('',#407761,10.); #122402=VECTOR('',#407762,10.); #122403=VECTOR('',#407763,10.); #122404=VECTOR('',#407766,10.); #122405=VECTOR('',#407767,10.); #122406=VECTOR('',#407768,10.); #122407=VECTOR('',#407771,10.); #122408=VECTOR('',#407772,10.); #122409=VECTOR('',#407773,10.); #122410=VECTOR('',#407776,10.); #122411=VECTOR('',#407777,10.); #122412=VECTOR('',#407778,10.); #122413=VECTOR('',#407781,10.); #122414=VECTOR('',#407782,10.); #122415=VECTOR('',#407783,10.); #122416=VECTOR('',#407786,10.); #122417=VECTOR('',#407787,10.); #122418=VECTOR('',#407788,10.); #122419=VECTOR('',#407791,10.); #122420=VECTOR('',#407792,10.); #122421=VECTOR('',#407793,10.); #122422=VECTOR('',#407796,10.); #122423=VECTOR('',#407797,10.); #122424=VECTOR('',#407798,10.); #122425=VECTOR('',#407801,10.); #122426=VECTOR('',#407802,10.); #122427=VECTOR('',#407809,10.); #122428=VECTOR('',#407810,10.); #122429=VECTOR('',#407811,10.); #122430=VECTOR('',#407812,10.); #122431=VECTOR('',#407815,10.); #122432=VECTOR('',#407816,10.); #122433=VECTOR('',#407817,10.); #122434=VECTOR('',#407820,10.); #122435=VECTOR('',#407821,10.); #122436=VECTOR('',#407822,10.); #122437=VECTOR('',#407825,10.); #122438=VECTOR('',#407826,10.); #122439=VECTOR('',#407827,10.); #122440=VECTOR('',#407830,10.); #122441=VECTOR('',#407831,10.); #122442=VECTOR('',#407832,10.); #122443=VECTOR('',#407835,10.); #122444=VECTOR('',#407836,10.); #122445=VECTOR('',#407837,10.); #122446=VECTOR('',#407840,10.); #122447=VECTOR('',#407841,10.); #122448=VECTOR('',#407842,10.); #122449=VECTOR('',#407845,10.); #122450=VECTOR('',#407846,10.); #122451=VECTOR('',#407847,10.); #122452=VECTOR('',#407850,10.); #122453=VECTOR('',#407851,10.); #122454=VECTOR('',#407852,10.); #122455=VECTOR('',#407855,10.); #122456=VECTOR('',#407856,10.); #122457=VECTOR('',#407857,10.); #122458=VECTOR('',#407860,10.); #122459=VECTOR('',#407861,10.); #122460=VECTOR('',#407862,10.); #122461=VECTOR('',#407865,10.); #122462=VECTOR('',#407866,10.); #122463=VECTOR('',#407867,10.); #122464=VECTOR('',#407870,10.); #122465=VECTOR('',#407871,10.); #122466=VECTOR('',#407872,10.); #122467=VECTOR('',#407875,10.); #122468=VECTOR('',#407876,10.); #122469=VECTOR('',#407877,10.); #122470=VECTOR('',#407880,10.); #122471=VECTOR('',#407881,10.); #122472=VECTOR('',#407882,10.); #122473=VECTOR('',#407885,10.); #122474=VECTOR('',#407886,10.); #122475=VECTOR('',#407887,10.); #122476=VECTOR('',#407890,10.); #122477=VECTOR('',#407891,10.); #122478=VECTOR('',#407892,10.); #122479=VECTOR('',#407895,10.); #122480=VECTOR('',#407896,10.); #122481=VECTOR('',#407897,10.); #122482=VECTOR('',#407900,10.); #122483=VECTOR('',#407901,10.); #122484=VECTOR('',#407910,0.139999999999998); #122485=VECTOR('',#407917,0.139999999999998); #122486=VECTOR('',#407924,0.139999999999998); #122487=VECTOR('',#407931,0.139999999999998); #122488=VECTOR('',#407938,0.139999999999998); #122489=VECTOR('',#407945,0.139999999999998); #122490=VECTOR('',#407952,0.139999999999998); #122491=VECTOR('',#407959,0.139999999999998); #122492=VECTOR('',#407966,0.139999999999998); #122493=VECTOR('',#407973,0.139999999999998); #122494=VECTOR('',#407980,0.139999999999998); #122495=VECTOR('',#407987,0.139999999999998); #122496=VECTOR('',#407994,0.139999999999998); #122497=VECTOR('',#408001,0.139999999999998); #122498=VECTOR('',#408008,0.139999999999998); #122499=VECTOR('',#408015,0.139999999999998); #122500=VECTOR('',#408022,0.139999999999998); #122501=VECTOR('',#408029,0.139999999999998); #122502=VECTOR('',#408036,0.139999999999998); #122503=VECTOR('',#408043,0.139999999999998); #122504=VECTOR('',#408050,0.139999999999998); #122505=VECTOR('',#408057,0.139999999999998); #122506=VECTOR('',#408064,0.139999999999998); #122507=VECTOR('',#408071,0.139999999999998); #122508=VECTOR('',#408078,0.139999999999998); #122509=VECTOR('',#408085,0.139999999999998); #122510=VECTOR('',#408092,0.139999999999998); #122511=VECTOR('',#408099,0.139999999999998); #122512=VECTOR('',#408106,0.139999999999998); #122513=VECTOR('',#408113,0.139999999999998); #122514=VECTOR('',#408120,0.139999999999998); #122515=VECTOR('',#408127,0.139999999999998); #122516=VECTOR('',#408134,0.139999999999998); #122517=VECTOR('',#408141,0.139999999999998); #122518=VECTOR('',#408148,0.139999999999998); #122519=VECTOR('',#408155,0.139999999999998); #122520=VECTOR('',#408162,0.139999999999998); #122521=VECTOR('',#408169,0.139999999999998); #122522=VECTOR('',#408176,0.139999999999998); #122523=VECTOR('',#408183,0.139999999999998); #122524=VECTOR('',#408190,0.139999999999998); #122525=VECTOR('',#408197,0.139999999999998); #122526=VECTOR('',#408204,0.139999999999998); #122527=VECTOR('',#408211,0.139999999999998); #122528=VECTOR('',#408218,0.139999999999998); #122529=VECTOR('',#408225,0.139999999999998); #122530=VECTOR('',#408232,0.139999999999998); #122531=VECTOR('',#408239,0.139999999999998); #122532=VECTOR('',#408246,0.139999999999998); #122533=VECTOR('',#408251,10.); #122534=VECTOR('',#408252,10.); #122535=VECTOR('',#408253,10.); #122536=VECTOR('',#408254,10.); #122537=VECTOR('',#408257,10.); #122538=VECTOR('',#408258,10.); #122539=VECTOR('',#408259,10.); #122540=VECTOR('',#408262,10.); #122541=VECTOR('',#408263,10.); #122542=VECTOR('',#408264,10.); #122543=VECTOR('',#408267,10.); #122544=VECTOR('',#408268,10.); #122545=VECTOR('',#408269,10.); #122546=VECTOR('',#408272,10.); #122547=VECTOR('',#408273,10.); #122548=VECTOR('',#408274,10.); #122549=VECTOR('',#408277,10.); #122550=VECTOR('',#408278,10.); #122551=VECTOR('',#408279,10.); #122552=VECTOR('',#408282,10.); #122553=VECTOR('',#408283,10.); #122554=VECTOR('',#408284,10.); #122555=VECTOR('',#408287,10.); #122556=VECTOR('',#408288,10.); #122557=VECTOR('',#408289,10.); #122558=VECTOR('',#408292,10.); #122559=VECTOR('',#408293,10.); #122560=VECTOR('',#408294,10.); #122561=VECTOR('',#408297,10.); #122562=VECTOR('',#408298,10.); #122563=VECTOR('',#408299,10.); #122564=VECTOR('',#408302,10.); #122565=VECTOR('',#408303,10.); #122566=VECTOR('',#408304,10.); #122567=VECTOR('',#408307,10.); #122568=VECTOR('',#408308,10.); #122569=VECTOR('',#408309,10.); #122570=VECTOR('',#408312,10.); #122571=VECTOR('',#408313,10.); #122572=VECTOR('',#408314,10.); #122573=VECTOR('',#408317,10.); #122574=VECTOR('',#408318,10.); #122575=VECTOR('',#408319,10.); #122576=VECTOR('',#408322,10.); #122577=VECTOR('',#408323,10.); #122578=VECTOR('',#408324,10.); #122579=VECTOR('',#408327,10.); #122580=VECTOR('',#408328,10.); #122581=VECTOR('',#408329,10.); #122582=VECTOR('',#408332,10.); #122583=VECTOR('',#408333,10.); #122584=VECTOR('',#408334,10.); #122585=VECTOR('',#408337,10.); #122586=VECTOR('',#408338,10.); #122587=VECTOR('',#408339,10.); #122588=VECTOR('',#408342,10.); #122589=VECTOR('',#408343,10.); #122590=VECTOR('',#408344,10.); #122591=VECTOR('',#408347,10.); #122592=VECTOR('',#408348,10.); #122593=VECTOR('',#408349,10.); #122594=VECTOR('',#408352,10.); #122595=VECTOR('',#408353,10.); #122596=VECTOR('',#408354,10.); #122597=VECTOR('',#408357,10.); #122598=VECTOR('',#408358,10.); #122599=VECTOR('',#408359,10.); #122600=VECTOR('',#408362,10.); #122601=VECTOR('',#408363,10.); #122602=VECTOR('',#408364,10.); #122603=VECTOR('',#408367,10.); #122604=VECTOR('',#408368,10.); #122605=VECTOR('',#408369,10.); #122606=VECTOR('',#408372,10.); #122607=VECTOR('',#408373,10.); #122608=VECTOR('',#408374,10.); #122609=VECTOR('',#408377,10.); #122610=VECTOR('',#408378,10.); #122611=VECTOR('',#408379,10.); #122612=VECTOR('',#408382,10.); #122613=VECTOR('',#408383,10.); #122614=VECTOR('',#408384,10.); #122615=VECTOR('',#408387,10.); #122616=VECTOR('',#408388,10.); #122617=VECTOR('',#408389,10.); #122618=VECTOR('',#408392,10.); #122619=VECTOR('',#408393,10.); #122620=VECTOR('',#408394,10.); #122621=VECTOR('',#408397,10.); #122622=VECTOR('',#408398,10.); #122623=VECTOR('',#408399,10.); #122624=VECTOR('',#408402,10.); #122625=VECTOR('',#408403,10.); #122626=VECTOR('',#408404,10.); #122627=VECTOR('',#408407,10.); #122628=VECTOR('',#408408,10.); #122629=VECTOR('',#408409,10.); #122630=VECTOR('',#408412,10.); #122631=VECTOR('',#408413,10.); #122632=VECTOR('',#408414,10.); #122633=VECTOR('',#408417,10.); #122634=VECTOR('',#408418,10.); #122635=VECTOR('',#408419,10.); #122636=VECTOR('',#408422,10.); #122637=VECTOR('',#408423,10.); #122638=VECTOR('',#408424,10.); #122639=VECTOR('',#408427,10.); #122640=VECTOR('',#408428,10.); #122641=VECTOR('',#408429,10.); #122642=VECTOR('',#408432,10.); #122643=VECTOR('',#408433,10.); #122644=VECTOR('',#408434,10.); #122645=VECTOR('',#408437,10.); #122646=VECTOR('',#408438,10.); #122647=VECTOR('',#408439,10.); #122648=VECTOR('',#408442,10.); #122649=VECTOR('',#408443,10.); #122650=VECTOR('',#408444,10.); #122651=VECTOR('',#408447,10.); #122652=VECTOR('',#408448,10.); #122653=VECTOR('',#408449,10.); #122654=VECTOR('',#408452,10.); #122655=VECTOR('',#408453,10.); #122656=VECTOR('',#408454,10.); #122657=VECTOR('',#408457,10.); #122658=VECTOR('',#408458,10.); #122659=VECTOR('',#408459,10.); #122660=VECTOR('',#408462,10.); #122661=VECTOR('',#408463,10.); #122662=VECTOR('',#408464,10.); #122663=VECTOR('',#408467,10.); #122664=VECTOR('',#408468,10.); #122665=VECTOR('',#408469,10.); #122666=VECTOR('',#408472,10.); #122667=VECTOR('',#408473,10.); #122668=VECTOR('',#408474,10.); #122669=VECTOR('',#408477,10.); #122670=VECTOR('',#408478,10.); #122671=VECTOR('',#408479,10.); #122672=VECTOR('',#408482,10.); #122673=VECTOR('',#408483,10.); #122674=VECTOR('',#408484,10.); #122675=VECTOR('',#408487,10.); #122676=VECTOR('',#408488,10.); #122677=VECTOR('',#408489,10.); #122678=VECTOR('',#408492,10.); #122679=VECTOR('',#408493,10.); #122680=VECTOR('',#408494,10.); #122681=VECTOR('',#408497,10.); #122682=VECTOR('',#408498,10.); #122683=VECTOR('',#408499,10.); #122684=VECTOR('',#408502,10.); #122685=VECTOR('',#408503,10.); #122686=VECTOR('',#408504,10.); #122687=VECTOR('',#408507,10.); #122688=VECTOR('',#408508,10.); #122689=VECTOR('',#408509,10.); #122690=VECTOR('',#408512,10.); #122691=VECTOR('',#408513,10.); #122692=VECTOR('',#408514,10.); #122693=VECTOR('',#408517,10.); #122694=VECTOR('',#408518,10.); #122695=VECTOR('',#408519,10.); #122696=VECTOR('',#408522,10.); #122697=VECTOR('',#408523,10.); #122698=VECTOR('',#408524,10.); #122699=VECTOR('',#408527,10.); #122700=VECTOR('',#408528,10.); #122701=VECTOR('',#408529,10.); #122702=VECTOR('',#408532,10.); #122703=VECTOR('',#408533,10.); #122704=VECTOR('',#408534,10.); #122705=VECTOR('',#408537,10.); #122706=VECTOR('',#408538,10.); #122707=VECTOR('',#408539,10.); #122708=VECTOR('',#408542,10.); #122709=VECTOR('',#408543,10.); #122710=VECTOR('',#408544,10.); #122711=VECTOR('',#408547,10.); #122712=VECTOR('',#408548,10.); #122713=VECTOR('',#408549,10.); #122714=VECTOR('',#408552,10.); #122715=VECTOR('',#408553,10.); #122716=VECTOR('',#408554,10.); #122717=VECTOR('',#408557,10.); #122718=VECTOR('',#408558,10.); #122719=VECTOR('',#408559,10.); #122720=VECTOR('',#408562,10.); #122721=VECTOR('',#408563,10.); #122722=VECTOR('',#408564,10.); #122723=VECTOR('',#408567,10.); #122724=VECTOR('',#408568,10.); #122725=VECTOR('',#408569,10.); #122726=VECTOR('',#408572,10.); #122727=VECTOR('',#408573,10.); #122728=VECTOR('',#408574,10.); #122729=VECTOR('',#408577,10.); #122730=VECTOR('',#408578,10.); #122731=VECTOR('',#408579,10.); #122732=VECTOR('',#408582,10.); #122733=VECTOR('',#408583,10.); #122734=VECTOR('',#408584,10.); #122735=VECTOR('',#408587,10.); #122736=VECTOR('',#408588,10.); #122737=VECTOR('',#408589,10.); #122738=VECTOR('',#408592,10.); #122739=VECTOR('',#408593,10.); #122740=VECTOR('',#408594,10.); #122741=VECTOR('',#408597,10.); #122742=VECTOR('',#408598,10.); #122743=VECTOR('',#408599,10.); #122744=VECTOR('',#408602,10.); #122745=VECTOR('',#408603,10.); #122746=VECTOR('',#408604,10.); #122747=VECTOR('',#408607,10.); #122748=VECTOR('',#408608,10.); #122749=VECTOR('',#408609,10.); #122750=VECTOR('',#408612,10.); #122751=VECTOR('',#408613,10.); #122752=VECTOR('',#408614,10.); #122753=VECTOR('',#408617,10.); #122754=VECTOR('',#408618,10.); #122755=VECTOR('',#408619,10.); #122756=VECTOR('',#408622,10.); #122757=VECTOR('',#408623,10.); #122758=VECTOR('',#408624,10.); #122759=VECTOR('',#408627,10.); #122760=VECTOR('',#408628,10.); #122761=VECTOR('',#408629,10.); #122762=VECTOR('',#408632,10.); #122763=VECTOR('',#408633,10.); #122764=VECTOR('',#408634,10.); #122765=VECTOR('',#408637,10.); #122766=VECTOR('',#408638,10.); #122767=VECTOR('',#408639,10.); #122768=VECTOR('',#408642,10.); #122769=VECTOR('',#408643,10.); #122770=VECTOR('',#408644,10.); #122771=VECTOR('',#408647,10.); #122772=VECTOR('',#408648,10.); #122773=VECTOR('',#408649,10.); #122774=VECTOR('',#408652,10.); #122775=VECTOR('',#408653,10.); #122776=VECTOR('',#408654,10.); #122777=VECTOR('',#408657,10.); #122778=VECTOR('',#408658,10.); #122779=VECTOR('',#408659,10.); #122780=VECTOR('',#408662,10.); #122781=VECTOR('',#408663,10.); #122782=VECTOR('',#408664,10.); #122783=VECTOR('',#408667,10.); #122784=VECTOR('',#408668,10.); #122785=VECTOR('',#408669,10.); #122786=VECTOR('',#408672,10.); #122787=VECTOR('',#408673,10.); #122788=VECTOR('',#408674,10.); #122789=VECTOR('',#408677,10.); #122790=VECTOR('',#408678,10.); #122791=VECTOR('',#408679,10.); #122792=VECTOR('',#408682,10.); #122793=VECTOR('',#408683,10.); #122794=VECTOR('',#408684,10.); #122795=VECTOR('',#408687,10.); #122796=VECTOR('',#408688,10.); #122797=VECTOR('',#408689,10.); #122798=VECTOR('',#408692,10.); #122799=VECTOR('',#408693,10.); #122800=VECTOR('',#408694,10.); #122801=VECTOR('',#408697,10.); #122802=VECTOR('',#408698,10.); #122803=VECTOR('',#408699,10.); #122804=VECTOR('',#408702,10.); #122805=VECTOR('',#408703,10.); #122806=VECTOR('',#408704,10.); #122807=VECTOR('',#408707,10.); #122808=VECTOR('',#408708,10.); #122809=VECTOR('',#408709,10.); #122810=VECTOR('',#408712,10.); #122811=VECTOR('',#408713,10.); #122812=VECTOR('',#408714,10.); #122813=VECTOR('',#408717,10.); #122814=VECTOR('',#408718,10.); #122815=VECTOR('',#408719,10.); #122816=VECTOR('',#408722,10.); #122817=VECTOR('',#408723,10.); #122818=VECTOR('',#408724,10.); #122819=VECTOR('',#408727,10.); #122820=VECTOR('',#408728,10.); #122821=VECTOR('',#408729,10.); #122822=VECTOR('',#408732,10.); #122823=VECTOR('',#408733,10.); #122824=VECTOR('',#408734,10.); #122825=VECTOR('',#408737,10.); #122826=VECTOR('',#408738,10.); #122827=VECTOR('',#408739,10.); #122828=VECTOR('',#408742,10.); #122829=VECTOR('',#408743,10.); #122830=VECTOR('',#408744,10.); #122831=VECTOR('',#408747,10.); #122832=VECTOR('',#408748,10.); #122833=VECTOR('',#408749,10.); #122834=VECTOR('',#408752,10.); #122835=VECTOR('',#408753,10.); #122836=VECTOR('',#408754,10.); #122837=VECTOR('',#408757,10.); #122838=VECTOR('',#408758,10.); #122839=VECTOR('',#408759,10.); #122840=VECTOR('',#408762,10.); #122841=VECTOR('',#408763,10.); #122842=VECTOR('',#408764,10.); #122843=VECTOR('',#408767,10.); #122844=VECTOR('',#408768,10.); #122845=VECTOR('',#408769,10.); #122846=VECTOR('',#408772,10.); #122847=VECTOR('',#408773,10.); #122848=VECTOR('',#408774,10.); #122849=VECTOR('',#408777,10.); #122850=VECTOR('',#408778,10.); #122851=VECTOR('',#408779,10.); #122852=VECTOR('',#408782,10.); #122853=VECTOR('',#408783,10.); #122854=VECTOR('',#408784,10.); #122855=VECTOR('',#408787,10.); #122856=VECTOR('',#408788,10.); #122857=VECTOR('',#408789,10.); #122858=VECTOR('',#408792,10.); #122859=VECTOR('',#408793,10.); #122860=VECTOR('',#408794,10.); #122861=VECTOR('',#408797,10.); #122862=VECTOR('',#408798,10.); #122863=VECTOR('',#408799,10.); #122864=VECTOR('',#408802,10.); #122865=VECTOR('',#408803,10.); #122866=VECTOR('',#408804,10.); #122867=VECTOR('',#408807,10.); #122868=VECTOR('',#408808,10.); #122869=VECTOR('',#408809,10.); #122870=VECTOR('',#408812,10.); #122871=VECTOR('',#408813,10.); #122872=VECTOR('',#408814,10.); #122873=VECTOR('',#408817,10.); #122874=VECTOR('',#408818,10.); #122875=VECTOR('',#408819,10.); #122876=VECTOR('',#408822,10.); #122877=VECTOR('',#408823,10.); #122878=VECTOR('',#408824,10.); #122879=VECTOR('',#408827,10.); #122880=VECTOR('',#408828,10.); #122881=VECTOR('',#408829,10.); #122882=VECTOR('',#408832,10.); #122883=VECTOR('',#408833,10.); #122884=VECTOR('',#408834,10.); #122885=VECTOR('',#408837,10.); #122886=VECTOR('',#408838,10.); #122887=VECTOR('',#408839,10.); #122888=VECTOR('',#408842,10.); #122889=VECTOR('',#408843,10.); #122890=VECTOR('',#408844,10.); #122891=VECTOR('',#408847,10.); #122892=VECTOR('',#408848,10.); #122893=VECTOR('',#408849,10.); #122894=VECTOR('',#408852,10.); #122895=VECTOR('',#408853,10.); #122896=VECTOR('',#408854,10.); #122897=VECTOR('',#408857,10.); #122898=VECTOR('',#408858,10.); #122899=VECTOR('',#408859,10.); #122900=VECTOR('',#408862,10.); #122901=VECTOR('',#408863,10.); #122902=VECTOR('',#408864,10.); #122903=VECTOR('',#408867,10.); #122904=VECTOR('',#408868,10.); #122905=VECTOR('',#408869,10.); #122906=VECTOR('',#408872,10.); #122907=VECTOR('',#408873,10.); #122908=VECTOR('',#408874,10.); #122909=VECTOR('',#408877,10.); #122910=VECTOR('',#408878,10.); #122911=VECTOR('',#408879,10.); #122912=VECTOR('',#408882,10.); #122913=VECTOR('',#408883,10.); #122914=VECTOR('',#408884,10.); #122915=VECTOR('',#408887,10.); #122916=VECTOR('',#408888,10.); #122917=VECTOR('',#408889,10.); #122918=VECTOR('',#408892,10.); #122919=VECTOR('',#408893,10.); #122920=VECTOR('',#408894,10.); #122921=VECTOR('',#408897,10.); #122922=VECTOR('',#408898,10.); #122923=VECTOR('',#408899,10.); #122924=VECTOR('',#408902,10.); #122925=VECTOR('',#408903,10.); #122926=VECTOR('',#408904,10.); #122927=VECTOR('',#408907,10.); #122928=VECTOR('',#408908,10.); #122929=VECTOR('',#408909,10.); #122930=VECTOR('',#408912,10.); #122931=VECTOR('',#408913,10.); #122932=VECTOR('',#408914,10.); #122933=VECTOR('',#408917,10.); #122934=VECTOR('',#408918,10.); #122935=VECTOR('',#408919,10.); #122936=VECTOR('',#408922,10.); #122937=VECTOR('',#408923,10.); #122938=VECTOR('',#408924,10.); #122939=VECTOR('',#408927,10.); #122940=VECTOR('',#408928,10.); #122941=VECTOR('',#408929,10.); #122942=VECTOR('',#408932,10.); #122943=VECTOR('',#408933,10.); #122944=VECTOR('',#408934,10.); #122945=VECTOR('',#408937,10.); #122946=VECTOR('',#408938,10.); #122947=VECTOR('',#408939,10.); #122948=VECTOR('',#408942,10.); #122949=VECTOR('',#408943,10.); #122950=VECTOR('',#408944,10.); #122951=VECTOR('',#408947,10.); #122952=VECTOR('',#408948,10.); #122953=VECTOR('',#408949,10.); #122954=VECTOR('',#408952,10.); #122955=VECTOR('',#408953,10.); #122956=VECTOR('',#408954,10.); #122957=VECTOR('',#408957,10.); #122958=VECTOR('',#408958,10.); #122959=VECTOR('',#408959,10.); #122960=VECTOR('',#408962,10.); #122961=VECTOR('',#408963,10.); #122962=VECTOR('',#408964,10.); #122963=VECTOR('',#408967,10.); #122964=VECTOR('',#408968,10.); #122965=VECTOR('',#408969,10.); #122966=VECTOR('',#408972,10.); #122967=VECTOR('',#408973,10.); #122968=VECTOR('',#408974,10.); #122969=VECTOR('',#408977,10.); #122970=VECTOR('',#408978,10.); #122971=VECTOR('',#408979,10.); #122972=VECTOR('',#408982,10.); #122973=VECTOR('',#408983,10.); #122974=VECTOR('',#408984,10.); #122975=VECTOR('',#408987,10.); #122976=VECTOR('',#408988,10.); #122977=VECTOR('',#408989,10.); #122978=VECTOR('',#408992,10.); #122979=VECTOR('',#408993,10.); #122980=VECTOR('',#408994,10.); #122981=VECTOR('',#408997,10.); #122982=VECTOR('',#408998,10.); #122983=VECTOR('',#408999,10.); #122984=VECTOR('',#409002,10.); #122985=VECTOR('',#409003,10.); #122986=VECTOR('',#409004,10.); #122987=VECTOR('',#409007,10.); #122988=VECTOR('',#409008,10.); #122989=VECTOR('',#409009,10.); #122990=VECTOR('',#409012,10.); #122991=VECTOR('',#409013,10.); #122992=VECTOR('',#409014,10.); #122993=VECTOR('',#409017,10.); #122994=VECTOR('',#409018,10.); #122995=VECTOR('',#409019,10.); #122996=VECTOR('',#409022,10.); #122997=VECTOR('',#409023,10.); #122998=VECTOR('',#409024,10.); #122999=VECTOR('',#409027,10.); #123000=VECTOR('',#409028,10.); #123001=VECTOR('',#409029,10.); #123002=VECTOR('',#409032,10.); #123003=VECTOR('',#409033,10.); #123004=VECTOR('',#409034,10.); #123005=VECTOR('',#409037,10.); #123006=VECTOR('',#409038,10.); #123007=VECTOR('',#409039,10.); #123008=VECTOR('',#409042,10.); #123009=VECTOR('',#409043,10.); #123010=VECTOR('',#409044,10.); #123011=VECTOR('',#409047,10.); #123012=VECTOR('',#409048,10.); #123013=VECTOR('',#409049,10.); #123014=VECTOR('',#409052,10.); #123015=VECTOR('',#409053,10.); #123016=VECTOR('',#409054,10.); #123017=VECTOR('',#409057,10.); #123018=VECTOR('',#409058,10.); #123019=VECTOR('',#409059,10.); #123020=VECTOR('',#409062,10.); #123021=VECTOR('',#409063,10.); #123022=VECTOR('',#409064,10.); #123023=VECTOR('',#409067,10.); #123024=VECTOR('',#409068,10.); #123025=VECTOR('',#409069,10.); #123026=VECTOR('',#409072,10.); #123027=VECTOR('',#409073,10.); #123028=VECTOR('',#409074,10.); #123029=VECTOR('',#409077,10.); #123030=VECTOR('',#409078,10.); #123031=VECTOR('',#409079,10.); #123032=VECTOR('',#409082,10.); #123033=VECTOR('',#409083,10.); #123034=VECTOR('',#409084,10.); #123035=VECTOR('',#409087,10.); #123036=VECTOR('',#409088,10.); #123037=VECTOR('',#409089,10.); #123038=VECTOR('',#409092,10.); #123039=VECTOR('',#409093,10.); #123040=VECTOR('',#409094,10.); #123041=VECTOR('',#409097,10.); #123042=VECTOR('',#409098,10.); #123043=VECTOR('',#409099,10.); #123044=VECTOR('',#409102,10.); #123045=VECTOR('',#409103,10.); #123046=VECTOR('',#409104,10.); #123047=VECTOR('',#409107,10.); #123048=VECTOR('',#409108,10.); #123049=VECTOR('',#409109,10.); #123050=VECTOR('',#409112,10.); #123051=VECTOR('',#409113,10.); #123052=VECTOR('',#409114,10.); #123053=VECTOR('',#409117,10.); #123054=VECTOR('',#409118,10.); #123055=VECTOR('',#409119,10.); #123056=VECTOR('',#409122,10.); #123057=VECTOR('',#409123,10.); #123058=VECTOR('',#409124,10.); #123059=VECTOR('',#409127,10.); #123060=VECTOR('',#409128,10.); #123061=VECTOR('',#409129,10.); #123062=VECTOR('',#409132,10.); #123063=VECTOR('',#409133,10.); #123064=VECTOR('',#409134,10.); #123065=VECTOR('',#409137,10.); #123066=VECTOR('',#409138,10.); #123067=VECTOR('',#409139,10.); #123068=VECTOR('',#409142,10.); #123069=VECTOR('',#409143,10.); #123070=VECTOR('',#409144,10.); #123071=VECTOR('',#409147,10.); #123072=VECTOR('',#409148,10.); #123073=VECTOR('',#409149,10.); #123074=VECTOR('',#409152,10.); #123075=VECTOR('',#409153,10.); #123076=VECTOR('',#409154,10.); #123077=VECTOR('',#409157,10.); #123078=VECTOR('',#409158,10.); #123079=VECTOR('',#409159,10.); #123080=VECTOR('',#409162,10.); #123081=VECTOR('',#409163,10.); #123082=VECTOR('',#409164,10.); #123083=VECTOR('',#409167,10.); #123084=VECTOR('',#409168,10.); #123085=VECTOR('',#409169,10.); #123086=VECTOR('',#409172,10.); #123087=VECTOR('',#409173,10.); #123088=VECTOR('',#409174,10.); #123089=VECTOR('',#409177,10.); #123090=VECTOR('',#409178,10.); #123091=VECTOR('',#409179,10.); #123092=VECTOR('',#409182,10.); #123093=VECTOR('',#409183,10.); #123094=VECTOR('',#409184,10.); #123095=VECTOR('',#409187,10.); #123096=VECTOR('',#409188,10.); #123097=VECTOR('',#409189,10.); #123098=VECTOR('',#409192,10.); #123099=VECTOR('',#409193,10.); #123100=VECTOR('',#409194,10.); #123101=VECTOR('',#409197,10.); #123102=VECTOR('',#409198,10.); #123103=VECTOR('',#409199,10.); #123104=VECTOR('',#409202,10.); #123105=VECTOR('',#409203,10.); #123106=VECTOR('',#409204,10.); #123107=VECTOR('',#409207,10.); #123108=VECTOR('',#409208,10.); #123109=VECTOR('',#409209,10.); #123110=VECTOR('',#409212,10.); #123111=VECTOR('',#409213,10.); #123112=VECTOR('',#409214,10.); #123113=VECTOR('',#409217,10.); #123114=VECTOR('',#409218,10.); #123115=VECTOR('',#409219,10.); #123116=VECTOR('',#409222,10.); #123117=VECTOR('',#409223,10.); #123118=VECTOR('',#409224,10.); #123119=VECTOR('',#409227,10.); #123120=VECTOR('',#409228,10.); #123121=VECTOR('',#409229,10.); #123122=VECTOR('',#409232,10.); #123123=VECTOR('',#409233,10.); #123124=VECTOR('',#409234,10.); #123125=VECTOR('',#409237,10.); #123126=VECTOR('',#409238,10.); #123127=VECTOR('',#409239,10.); #123128=VECTOR('',#409242,10.); #123129=VECTOR('',#409243,10.); #123130=VECTOR('',#409244,10.); #123131=VECTOR('',#409247,10.); #123132=VECTOR('',#409248,10.); #123133=VECTOR('',#409249,10.); #123134=VECTOR('',#409252,10.); #123135=VECTOR('',#409253,10.); #123136=VECTOR('',#409254,10.); #123137=VECTOR('',#409257,10.); #123138=VECTOR('',#409258,10.); #123139=VECTOR('',#409259,10.); #123140=VECTOR('',#409262,10.); #123141=VECTOR('',#409263,10.); #123142=VECTOR('',#409264,10.); #123143=VECTOR('',#409267,10.); #123144=VECTOR('',#409268,10.); #123145=VECTOR('',#409269,10.); #123146=VECTOR('',#409272,10.); #123147=VECTOR('',#409273,10.); #123148=VECTOR('',#409274,10.); #123149=VECTOR('',#409277,10.); #123150=VECTOR('',#409278,10.); #123151=VECTOR('',#409279,10.); #123152=VECTOR('',#409282,10.); #123153=VECTOR('',#409283,10.); #123154=VECTOR('',#409284,10.); #123155=VECTOR('',#409287,10.); #123156=VECTOR('',#409288,10.); #123157=VECTOR('',#409289,10.); #123158=VECTOR('',#409292,10.); #123159=VECTOR('',#409293,10.); #123160=VECTOR('',#409294,10.); #123161=VECTOR('',#409297,10.); #123162=VECTOR('',#409298,10.); #123163=VECTOR('',#409299,10.); #123164=VECTOR('',#409302,10.); #123165=VECTOR('',#409303,10.); #123166=VECTOR('',#409304,10.); #123167=VECTOR('',#409307,10.); #123168=VECTOR('',#409308,10.); #123169=VECTOR('',#409309,10.); #123170=VECTOR('',#409312,10.); #123171=VECTOR('',#409313,10.); #123172=VECTOR('',#409314,10.); #123173=VECTOR('',#409317,10.); #123174=VECTOR('',#409318,10.); #123175=VECTOR('',#409319,10.); #123176=VECTOR('',#409322,10.); #123177=VECTOR('',#409323,10.); #123178=VECTOR('',#409324,10.); #123179=VECTOR('',#409327,10.); #123180=VECTOR('',#409328,10.); #123181=VECTOR('',#409329,10.); #123182=VECTOR('',#409332,10.); #123183=VECTOR('',#409333,10.); #123184=VECTOR('',#409334,10.); #123185=VECTOR('',#409337,10.); #123186=VECTOR('',#409338,10.); #123187=VECTOR('',#409339,10.); #123188=VECTOR('',#409342,10.); #123189=VECTOR('',#409343,10.); #123190=VECTOR('',#409344,10.); #123191=VECTOR('',#409347,10.); #123192=VECTOR('',#409348,10.); #123193=VECTOR('',#409349,10.); #123194=VECTOR('',#409352,10.); #123195=VECTOR('',#409353,10.); #123196=VECTOR('',#409354,10.); #123197=VECTOR('',#409357,10.); #123198=VECTOR('',#409358,10.); #123199=VECTOR('',#409359,10.); #123200=VECTOR('',#409362,10.); #123201=VECTOR('',#409363,10.); #123202=VECTOR('',#409364,10.); #123203=VECTOR('',#409367,10.); #123204=VECTOR('',#409368,10.); #123205=VECTOR('',#409369,10.); #123206=VECTOR('',#409372,10.); #123207=VECTOR('',#409373,10.); #123208=VECTOR('',#409374,10.); #123209=VECTOR('',#409377,10.); #123210=VECTOR('',#409378,10.); #123211=VECTOR('',#409379,10.); #123212=VECTOR('',#409382,10.); #123213=VECTOR('',#409383,10.); #123214=VECTOR('',#409384,10.); #123215=VECTOR('',#409387,10.); #123216=VECTOR('',#409388,10.); #123217=VECTOR('',#409389,10.); #123218=VECTOR('',#409392,10.); #123219=VECTOR('',#409393,10.); #123220=VECTOR('',#409394,10.); #123221=VECTOR('',#409397,10.); #123222=VECTOR('',#409398,10.); #123223=VECTOR('',#409399,10.); #123224=VECTOR('',#409402,10.); #123225=VECTOR('',#409403,10.); #123226=VECTOR('',#409404,10.); #123227=VECTOR('',#409407,10.); #123228=VECTOR('',#409408,10.); #123229=VECTOR('',#409409,10.); #123230=VECTOR('',#409412,10.); #123231=VECTOR('',#409413,10.); #123232=VECTOR('',#409414,10.); #123233=VECTOR('',#409417,10.); #123234=VECTOR('',#409418,10.); #123235=VECTOR('',#409419,10.); #123236=VECTOR('',#409422,10.); #123237=VECTOR('',#409423,10.); #123238=VECTOR('',#409424,10.); #123239=VECTOR('',#409427,10.); #123240=VECTOR('',#409428,10.); #123241=VECTOR('',#409429,10.); #123242=VECTOR('',#409432,10.); #123243=VECTOR('',#409433,10.); #123244=VECTOR('',#409434,10.); #123245=VECTOR('',#409437,10.); #123246=VECTOR('',#409438,10.); #123247=VECTOR('',#409439,10.); #123248=VECTOR('',#409442,10.); #123249=VECTOR('',#409443,10.); #123250=VECTOR('',#409444,10.); #123251=VECTOR('',#409447,10.); #123252=VECTOR('',#409448,10.); #123253=VECTOR('',#409449,10.); #123254=VECTOR('',#409452,10.); #123255=VECTOR('',#409453,10.); #123256=VECTOR('',#409454,10.); #123257=VECTOR('',#409457,10.); #123258=VECTOR('',#409458,10.); #123259=VECTOR('',#409459,10.); #123260=VECTOR('',#409462,10.); #123261=VECTOR('',#409463,10.); #123262=VECTOR('',#409464,10.); #123263=VECTOR('',#409467,10.); #123264=VECTOR('',#409468,10.); #123265=VECTOR('',#409469,10.); #123266=VECTOR('',#409472,10.); #123267=VECTOR('',#409473,10.); #123268=VECTOR('',#409474,10.); #123269=VECTOR('',#409477,10.); #123270=VECTOR('',#409478,10.); #123271=VECTOR('',#409479,10.); #123272=VECTOR('',#409482,10.); #123273=VECTOR('',#409483,10.); #123274=VECTOR('',#409484,10.); #123275=VECTOR('',#409487,10.); #123276=VECTOR('',#409488,10.); #123277=VECTOR('',#409489,10.); #123278=VECTOR('',#409492,10.); #123279=VECTOR('',#409493,10.); #123280=VECTOR('',#409494,10.); #123281=VECTOR('',#409497,10.); #123282=VECTOR('',#409498,10.); #123283=VECTOR('',#409499,10.); #123284=VECTOR('',#409502,10.); #123285=VECTOR('',#409503,10.); #123286=VECTOR('',#409504,10.); #123287=VECTOR('',#409507,10.); #123288=VECTOR('',#409508,10.); #123289=VECTOR('',#409509,10.); #123290=VECTOR('',#409512,10.); #123291=VECTOR('',#409513,10.); #123292=VECTOR('',#409514,10.); #123293=VECTOR('',#409517,10.); #123294=VECTOR('',#409518,10.); #123295=VECTOR('',#409519,10.); #123296=VECTOR('',#409522,10.); #123297=VECTOR('',#409523,10.); #123298=VECTOR('',#409524,10.); #123299=VECTOR('',#409527,10.); #123300=VECTOR('',#409528,10.); #123301=VECTOR('',#409529,10.); #123302=VECTOR('',#409532,10.); #123303=VECTOR('',#409533,10.); #123304=VECTOR('',#409534,10.); #123305=VECTOR('',#409537,10.); #123306=VECTOR('',#409538,10.); #123307=VECTOR('',#409539,10.); #123308=VECTOR('',#409542,10.); #123309=VECTOR('',#409543,10.); #123310=VECTOR('',#409544,10.); #123311=VECTOR('',#409547,10.); #123312=VECTOR('',#409548,10.); #123313=VECTOR('',#409549,10.); #123314=VECTOR('',#409552,10.); #123315=VECTOR('',#409553,10.); #123316=VECTOR('',#409554,10.); #123317=VECTOR('',#409557,10.); #123318=VECTOR('',#409558,10.); #123319=VECTOR('',#409559,10.); #123320=VECTOR('',#409562,10.); #123321=VECTOR('',#409563,10.); #123322=VECTOR('',#409564,10.); #123323=VECTOR('',#409567,10.); #123324=VECTOR('',#409568,10.); #123325=VECTOR('',#409569,10.); #123326=VECTOR('',#409572,10.); #123327=VECTOR('',#409573,10.); #123328=VECTOR('',#409574,10.); #123329=VECTOR('',#409577,10.); #123330=VECTOR('',#409578,10.); #123331=VECTOR('',#409579,10.); #123332=VECTOR('',#409582,10.); #123333=VECTOR('',#409583,10.); #123334=VECTOR('',#409584,10.); #123335=VECTOR('',#409587,10.); #123336=VECTOR('',#409588,10.); #123337=VECTOR('',#409589,10.); #123338=VECTOR('',#409592,10.); #123339=VECTOR('',#409593,10.); #123340=VECTOR('',#409594,10.); #123341=VECTOR('',#409597,10.); #123342=VECTOR('',#409598,10.); #123343=VECTOR('',#409599,10.); #123344=VECTOR('',#409602,10.); #123345=VECTOR('',#409603,10.); #123346=VECTOR('',#409604,10.); #123347=VECTOR('',#409607,10.); #123348=VECTOR('',#409608,10.); #123349=VECTOR('',#409609,10.); #123350=VECTOR('',#409612,10.); #123351=VECTOR('',#409613,10.); #123352=VECTOR('',#409614,10.); #123353=VECTOR('',#409617,10.); #123354=VECTOR('',#409618,10.); #123355=VECTOR('',#409619,10.); #123356=VECTOR('',#409622,10.); #123357=VECTOR('',#409623,10.); #123358=VECTOR('',#409624,10.); #123359=VECTOR('',#409627,10.); #123360=VECTOR('',#409628,10.); #123361=VECTOR('',#409629,10.); #123362=VECTOR('',#409632,10.); #123363=VECTOR('',#409633,10.); #123364=VECTOR('',#409634,10.); #123365=VECTOR('',#409637,10.); #123366=VECTOR('',#409638,10.); #123367=VECTOR('',#409639,10.); #123368=VECTOR('',#409642,10.); #123369=VECTOR('',#409643,10.); #123370=VECTOR('',#409644,10.); #123371=VECTOR('',#409647,10.); #123372=VECTOR('',#409648,10.); #123373=VECTOR('',#409649,10.); #123374=VECTOR('',#409652,10.); #123375=VECTOR('',#409653,10.); #123376=VECTOR('',#409654,10.); #123377=VECTOR('',#409657,10.); #123378=VECTOR('',#409658,10.); #123379=VECTOR('',#409659,10.); #123380=VECTOR('',#409662,10.); #123381=VECTOR('',#409663,10.); #123382=VECTOR('',#409664,10.); #123383=VECTOR('',#409667,10.); #123384=VECTOR('',#409668,10.); #123385=VECTOR('',#409669,10.); #123386=VECTOR('',#409672,10.); #123387=VECTOR('',#409673,10.); #123388=VECTOR('',#409674,10.); #123389=VECTOR('',#409677,10.); #123390=VECTOR('',#409678,10.); #123391=VECTOR('',#409679,10.); #123392=VECTOR('',#409682,10.); #123393=VECTOR('',#409683,10.); #123394=VECTOR('',#409684,10.); #123395=VECTOR('',#409687,10.); #123396=VECTOR('',#409688,10.); #123397=VECTOR('',#409689,10.); #123398=VECTOR('',#409692,10.); #123399=VECTOR('',#409693,10.); #123400=VECTOR('',#409694,10.); #123401=VECTOR('',#409697,10.); #123402=VECTOR('',#409698,10.); #123403=VECTOR('',#409699,10.); #123404=VECTOR('',#409702,10.); #123405=VECTOR('',#409703,10.); #123406=VECTOR('',#409704,10.); #123407=VECTOR('',#409707,10.); #123408=VECTOR('',#409708,10.); #123409=VECTOR('',#409709,10.); #123410=VECTOR('',#409712,10.); #123411=VECTOR('',#409713,10.); #123412=VECTOR('',#409714,10.); #123413=VECTOR('',#409717,10.); #123414=VECTOR('',#409718,10.); #123415=VECTOR('',#409719,10.); #123416=VECTOR('',#409722,10.); #123417=VECTOR('',#409723,10.); #123418=VECTOR('',#409724,10.); #123419=VECTOR('',#409727,10.); #123420=VECTOR('',#409728,10.); #123421=VECTOR('',#409735,10.); #123422=VECTOR('',#409736,10.); #123423=VECTOR('',#409737,10.); #123424=VECTOR('',#409738,10.); #123425=VECTOR('',#409741,10.); #123426=VECTOR('',#409742,10.); #123427=VECTOR('',#409743,10.); #123428=VECTOR('',#409746,10.); #123429=VECTOR('',#409747,10.); #123430=VECTOR('',#409748,10.); #123431=VECTOR('',#409751,10.); #123432=VECTOR('',#409752,10.); #123433=VECTOR('',#409753,10.); #123434=VECTOR('',#409756,10.); #123435=VECTOR('',#409757,10.); #123436=VECTOR('',#409758,10.); #123437=VECTOR('',#409761,10.); #123438=VECTOR('',#409762,10.); #123439=VECTOR('',#409763,10.); #123440=VECTOR('',#409766,10.); #123441=VECTOR('',#409767,10.); #123442=VECTOR('',#409768,10.); #123443=VECTOR('',#409771,10.); #123444=VECTOR('',#409772,10.); #123445=VECTOR('',#409773,10.); #123446=VECTOR('',#409776,10.); #123447=VECTOR('',#409777,10.); #123448=VECTOR('',#409778,10.); #123449=VECTOR('',#409781,10.); #123450=VECTOR('',#409782,10.); #123451=VECTOR('',#409783,10.); #123452=VECTOR('',#409786,10.); #123453=VECTOR('',#409787,10.); #123454=VECTOR('',#409788,10.); #123455=VECTOR('',#409791,10.); #123456=VECTOR('',#409792,10.); #123457=VECTOR('',#409793,10.); #123458=VECTOR('',#409796,10.); #123459=VECTOR('',#409797,10.); #123460=VECTOR('',#409798,10.); #123461=VECTOR('',#409801,10.); #123462=VECTOR('',#409802,10.); #123463=VECTOR('',#409803,10.); #123464=VECTOR('',#409806,10.); #123465=VECTOR('',#409807,10.); #123466=VECTOR('',#409808,10.); #123467=VECTOR('',#409811,10.); #123468=VECTOR('',#409812,10.); #123469=VECTOR('',#409813,10.); #123470=VECTOR('',#409816,10.); #123471=VECTOR('',#409817,10.); #123472=VECTOR('',#409818,10.); #123473=VECTOR('',#409821,10.); #123474=VECTOR('',#409822,10.); #123475=VECTOR('',#409823,10.); #123476=VECTOR('',#409826,10.); #123477=VECTOR('',#409827,10.); #123478=VECTOR('',#409828,10.); #123479=VECTOR('',#409831,10.); #123480=VECTOR('',#409832,10.); #123481=VECTOR('',#409833,10.); #123482=VECTOR('',#409836,10.); #123483=VECTOR('',#409837,10.); #123484=VECTOR('',#409844,10.); #123485=VECTOR('',#409845,10.); #123486=VECTOR('',#409846,10.); #123487=VECTOR('',#409847,10.); #123488=VECTOR('',#409850,10.); #123489=VECTOR('',#409851,10.); #123490=VECTOR('',#409852,10.); #123491=VECTOR('',#409855,10.); #123492=VECTOR('',#409856,10.); #123493=VECTOR('',#409857,10.); #123494=VECTOR('',#409860,10.); #123495=VECTOR('',#409861,10.); #123496=VECTOR('',#409862,10.); #123497=VECTOR('',#409865,10.); #123498=VECTOR('',#409866,10.); #123499=VECTOR('',#409867,10.); #123500=VECTOR('',#409870,10.); #123501=VECTOR('',#409871,10.); #123502=VECTOR('',#409872,10.); #123503=VECTOR('',#409875,10.); #123504=VECTOR('',#409876,10.); #123505=VECTOR('',#409877,10.); #123506=VECTOR('',#409880,10.); #123507=VECTOR('',#409881,10.); #123508=VECTOR('',#409882,10.); #123509=VECTOR('',#409885,10.); #123510=VECTOR('',#409886,10.); #123511=VECTOR('',#409887,10.); #123512=VECTOR('',#409890,10.); #123513=VECTOR('',#409891,10.); #123514=VECTOR('',#409892,10.); #123515=VECTOR('',#409895,10.); #123516=VECTOR('',#409896,10.); #123517=VECTOR('',#409897,10.); #123518=VECTOR('',#409900,10.); #123519=VECTOR('',#409901,10.); #123520=VECTOR('',#409902,10.); #123521=VECTOR('',#409905,10.); #123522=VECTOR('',#409906,10.); #123523=VECTOR('',#409907,10.); #123524=VECTOR('',#409910,10.); #123525=VECTOR('',#409911,10.); #123526=VECTOR('',#409912,10.); #123527=VECTOR('',#409915,10.); #123528=VECTOR('',#409916,10.); #123529=VECTOR('',#409917,10.); #123530=VECTOR('',#409920,10.); #123531=VECTOR('',#409921,10.); #123532=VECTOR('',#409922,10.); #123533=VECTOR('',#409925,10.); #123534=VECTOR('',#409926,10.); #123535=VECTOR('',#409927,10.); #123536=VECTOR('',#409930,10.); #123537=VECTOR('',#409931,10.); #123538=VECTOR('',#409932,10.); #123539=VECTOR('',#409935,10.); #123540=VECTOR('',#409936,10.); #123541=VECTOR('',#409937,10.); #123542=VECTOR('',#409940,10.); #123543=VECTOR('',#409941,10.); #123544=VECTOR('',#409942,10.); #123545=VECTOR('',#409945,10.); #123546=VECTOR('',#409946,10.); #123547=VECTOR('',#409953,10.); #123548=VECTOR('',#409954,10.); #123549=VECTOR('',#409955,10.); #123550=VECTOR('',#409956,10.); #123551=VECTOR('',#409959,10.); #123552=VECTOR('',#409960,10.); #123553=VECTOR('',#409961,10.); #123554=VECTOR('',#409964,10.); #123555=VECTOR('',#409965,10.); #123556=VECTOR('',#409966,10.); #123557=VECTOR('',#409969,10.); #123558=VECTOR('',#409970,10.); #123559=VECTOR('',#409971,10.); #123560=VECTOR('',#409974,10.); #123561=VECTOR('',#409975,10.); #123562=VECTOR('',#409976,10.); #123563=VECTOR('',#409979,10.); #123564=VECTOR('',#409980,10.); #123565=VECTOR('',#409981,10.); #123566=VECTOR('',#409984,10.); #123567=VECTOR('',#409985,10.); #123568=VECTOR('',#409986,10.); #123569=VECTOR('',#409989,10.); #123570=VECTOR('',#409990,10.); #123571=VECTOR('',#409991,10.); #123572=VECTOR('',#409994,10.); #123573=VECTOR('',#409995,10.); #123574=VECTOR('',#409996,10.); #123575=VECTOR('',#409999,10.); #123576=VECTOR('',#410000,10.); #123577=VECTOR('',#410001,10.); #123578=VECTOR('',#410004,10.); #123579=VECTOR('',#410005,10.); #123580=VECTOR('',#410006,10.); #123581=VECTOR('',#410009,10.); #123582=VECTOR('',#410010,10.); #123583=VECTOR('',#410011,10.); #123584=VECTOR('',#410014,10.); #123585=VECTOR('',#410015,10.); #123586=VECTOR('',#410016,10.); #123587=VECTOR('',#410019,10.); #123588=VECTOR('',#410020,10.); #123589=VECTOR('',#410021,10.); #123590=VECTOR('',#410024,10.); #123591=VECTOR('',#410025,10.); #123592=VECTOR('',#410026,10.); #123593=VECTOR('',#410029,10.); #123594=VECTOR('',#410030,10.); #123595=VECTOR('',#410031,10.); #123596=VECTOR('',#410034,10.); #123597=VECTOR('',#410035,10.); #123598=VECTOR('',#410036,10.); #123599=VECTOR('',#410039,10.); #123600=VECTOR('',#410040,10.); #123601=VECTOR('',#410041,10.); #123602=VECTOR('',#410044,10.); #123603=VECTOR('',#410045,10.); #123604=VECTOR('',#410052,10.); #123605=VECTOR('',#410053,10.); #123606=VECTOR('',#410054,10.); #123607=VECTOR('',#410055,10.); #123608=VECTOR('',#410058,10.); #123609=VECTOR('',#410059,10.); #123610=VECTOR('',#410060,10.); #123611=VECTOR('',#410063,10.); #123612=VECTOR('',#410064,10.); #123613=VECTOR('',#410065,10.); #123614=VECTOR('',#410068,10.); #123615=VECTOR('',#410069,10.); #123616=VECTOR('',#410070,10.); #123617=VECTOR('',#410073,10.); #123618=VECTOR('',#410074,10.); #123619=VECTOR('',#410075,10.); #123620=VECTOR('',#410078,10.); #123621=VECTOR('',#410079,10.); #123622=VECTOR('',#410080,10.); #123623=VECTOR('',#410083,10.); #123624=VECTOR('',#410084,10.); #123625=VECTOR('',#410085,10.); #123626=VECTOR('',#410088,10.); #123627=VECTOR('',#410089,10.); #123628=VECTOR('',#410090,10.); #123629=VECTOR('',#410093,10.); #123630=VECTOR('',#410094,10.); #123631=VECTOR('',#410095,10.); #123632=VECTOR('',#410098,10.); #123633=VECTOR('',#410099,10.); #123634=VECTOR('',#410100,10.); #123635=VECTOR('',#410103,10.); #123636=VECTOR('',#410104,10.); #123637=VECTOR('',#410105,10.); #123638=VECTOR('',#410108,10.); #123639=VECTOR('',#410109,10.); #123640=VECTOR('',#410110,10.); #123641=VECTOR('',#410113,10.); #123642=VECTOR('',#410114,10.); #123643=VECTOR('',#410115,10.); #123644=VECTOR('',#410118,10.); #123645=VECTOR('',#410119,10.); #123646=VECTOR('',#410120,10.); #123647=VECTOR('',#410123,10.); #123648=VECTOR('',#410124,10.); #123649=VECTOR('',#410125,10.); #123650=VECTOR('',#410128,10.); #123651=VECTOR('',#410129,10.); #123652=VECTOR('',#410130,10.); #123653=VECTOR('',#410133,10.); #123654=VECTOR('',#410134,10.); #123655=VECTOR('',#410135,10.); #123656=VECTOR('',#410138,10.); #123657=VECTOR('',#410139,10.); #123658=VECTOR('',#410140,10.); #123659=VECTOR('',#410143,10.); #123660=VECTOR('',#410144,10.); #123661=VECTOR('',#410151,10.); #123662=VECTOR('',#410152,10.); #123663=VECTOR('',#410153,10.); #123664=VECTOR('',#410154,10.); #123665=VECTOR('',#410157,10.); #123666=VECTOR('',#410158,10.); #123667=VECTOR('',#410159,10.); #123668=VECTOR('',#410162,10.); #123669=VECTOR('',#410163,10.); #123670=VECTOR('',#410164,10.); #123671=VECTOR('',#410167,10.); #123672=VECTOR('',#410168,10.); #123673=VECTOR('',#410169,10.); #123674=VECTOR('',#410172,10.); #123675=VECTOR('',#410173,10.); #123676=VECTOR('',#410174,10.); #123677=VECTOR('',#410177,10.); #123678=VECTOR('',#410178,10.); #123679=VECTOR('',#410179,10.); #123680=VECTOR('',#410182,10.); #123681=VECTOR('',#410183,10.); #123682=VECTOR('',#410184,10.); #123683=VECTOR('',#410187,10.); #123684=VECTOR('',#410188,10.); #123685=VECTOR('',#410189,10.); #123686=VECTOR('',#410192,10.); #123687=VECTOR('',#410193,10.); #123688=VECTOR('',#410194,10.); #123689=VECTOR('',#410197,10.); #123690=VECTOR('',#410198,10.); #123691=VECTOR('',#410199,10.); #123692=VECTOR('',#410202,10.); #123693=VECTOR('',#410203,10.); #123694=VECTOR('',#410204,10.); #123695=VECTOR('',#410207,10.); #123696=VECTOR('',#410208,10.); #123697=VECTOR('',#410209,10.); #123698=VECTOR('',#410212,10.); #123699=VECTOR('',#410213,10.); #123700=VECTOR('',#410214,10.); #123701=VECTOR('',#410217,10.); #123702=VECTOR('',#410218,10.); #123703=VECTOR('',#410219,10.); #123704=VECTOR('',#410222,10.); #123705=VECTOR('',#410223,10.); #123706=VECTOR('',#410224,10.); #123707=VECTOR('',#410227,10.); #123708=VECTOR('',#410228,10.); #123709=VECTOR('',#410229,10.); #123710=VECTOR('',#410232,10.); #123711=VECTOR('',#410233,10.); #123712=VECTOR('',#410234,10.); #123713=VECTOR('',#410237,10.); #123714=VECTOR('',#410238,10.); #123715=VECTOR('',#410239,10.); #123716=VECTOR('',#410242,10.); #123717=VECTOR('',#410243,10.); #123718=VECTOR('',#410250,10.); #123719=VECTOR('',#410251,10.); #123720=VECTOR('',#410252,10.); #123721=VECTOR('',#410253,10.); #123722=VECTOR('',#410256,10.); #123723=VECTOR('',#410257,10.); #123724=VECTOR('',#410258,10.); #123725=VECTOR('',#410261,10.); #123726=VECTOR('',#410262,10.); #123727=VECTOR('',#410263,10.); #123728=VECTOR('',#410266,10.); #123729=VECTOR('',#410267,10.); #123730=VECTOR('',#410268,10.); #123731=VECTOR('',#410271,10.); #123732=VECTOR('',#410272,10.); #123733=VECTOR('',#410273,10.); #123734=VECTOR('',#410276,10.); #123735=VECTOR('',#410277,10.); #123736=VECTOR('',#410278,10.); #123737=VECTOR('',#410281,10.); #123738=VECTOR('',#410282,10.); #123739=VECTOR('',#410283,10.); #123740=VECTOR('',#410286,10.); #123741=VECTOR('',#410287,10.); #123742=VECTOR('',#410288,10.); #123743=VECTOR('',#410291,10.); #123744=VECTOR('',#410292,10.); #123745=VECTOR('',#410293,10.); #123746=VECTOR('',#410296,10.); #123747=VECTOR('',#410297,10.); #123748=VECTOR('',#410298,10.); #123749=VECTOR('',#410301,10.); #123750=VECTOR('',#410302,10.); #123751=VECTOR('',#410303,10.); #123752=VECTOR('',#410306,10.); #123753=VECTOR('',#410307,10.); #123754=VECTOR('',#410308,10.); #123755=VECTOR('',#410311,10.); #123756=VECTOR('',#410312,10.); #123757=VECTOR('',#410313,10.); #123758=VECTOR('',#410316,10.); #123759=VECTOR('',#410317,10.); #123760=VECTOR('',#410318,10.); #123761=VECTOR('',#410321,10.); #123762=VECTOR('',#410322,10.); #123763=VECTOR('',#410323,10.); #123764=VECTOR('',#410326,10.); #123765=VECTOR('',#410327,10.); #123766=VECTOR('',#410328,10.); #123767=VECTOR('',#410331,10.); #123768=VECTOR('',#410332,10.); #123769=VECTOR('',#410333,10.); #123770=VECTOR('',#410336,10.); #123771=VECTOR('',#410337,10.); #123772=VECTOR('',#410338,10.); #123773=VECTOR('',#410341,10.); #123774=VECTOR('',#410342,10.); #123775=VECTOR('',#410343,10.); #123776=VECTOR('',#410346,10.); #123777=VECTOR('',#410347,10.); #123778=VECTOR('',#410348,10.); #123779=VECTOR('',#410351,10.); #123780=VECTOR('',#410352,10.); #123781=VECTOR('',#410353,10.); #123782=VECTOR('',#410356,10.); #123783=VECTOR('',#410357,10.); #123784=VECTOR('',#410358,10.); #123785=VECTOR('',#410361,10.); #123786=VECTOR('',#410362,10.); #123787=VECTOR('',#410363,10.); #123788=VECTOR('',#410366,10.); #123789=VECTOR('',#410367,10.); #123790=VECTOR('',#410368,10.); #123791=VECTOR('',#410371,10.); #123792=VECTOR('',#410372,10.); #123793=VECTOR('',#410373,10.); #123794=VECTOR('',#410376,10.); #123795=VECTOR('',#410377,10.); #123796=VECTOR('',#410378,10.); #123797=VECTOR('',#410381,10.); #123798=VECTOR('',#410382,10.); #123799=VECTOR('',#410383,10.); #123800=VECTOR('',#410386,10.); #123801=VECTOR('',#410387,10.); #123802=VECTOR('',#410388,10.); #123803=VECTOR('',#410391,10.); #123804=VECTOR('',#410392,10.); #123805=VECTOR('',#410393,10.); #123806=VECTOR('',#410396,10.); #123807=VECTOR('',#410397,10.); #123808=VECTOR('',#410398,10.); #123809=VECTOR('',#410401,10.); #123810=VECTOR('',#410402,10.); #123811=VECTOR('',#410403,10.); #123812=VECTOR('',#410406,10.); #123813=VECTOR('',#410407,10.); #123814=VECTOR('',#410408,10.); #123815=VECTOR('',#410411,10.); #123816=VECTOR('',#410412,10.); #123817=VECTOR('',#410413,10.); #123818=VECTOR('',#410416,10.); #123819=VECTOR('',#410417,10.); #123820=VECTOR('',#410418,10.); #123821=VECTOR('',#410421,10.); #123822=VECTOR('',#410422,10.); #123823=VECTOR('',#410423,10.); #123824=VECTOR('',#410426,10.); #123825=VECTOR('',#410427,10.); #123826=VECTOR('',#410428,10.); #123827=VECTOR('',#410431,10.); #123828=VECTOR('',#410432,10.); #123829=VECTOR('',#410439,10.); #123830=VECTOR('',#410440,10.); #123831=VECTOR('',#410441,10.); #123832=VECTOR('',#410442,10.); #123833=VECTOR('',#410445,10.); #123834=VECTOR('',#410446,10.); #123835=VECTOR('',#410447,10.); #123836=VECTOR('',#410450,10.); #123837=VECTOR('',#410451,10.); #123838=VECTOR('',#410452,10.); #123839=VECTOR('',#410455,10.); #123840=VECTOR('',#410456,10.); #123841=VECTOR('',#410457,10.); #123842=VECTOR('',#410460,10.); #123843=VECTOR('',#410461,10.); #123844=VECTOR('',#410462,10.); #123845=VECTOR('',#410465,10.); #123846=VECTOR('',#410466,10.); #123847=VECTOR('',#410467,10.); #123848=VECTOR('',#410470,10.); #123849=VECTOR('',#410471,10.); #123850=VECTOR('',#410472,10.); #123851=VECTOR('',#410475,10.); #123852=VECTOR('',#410476,10.); #123853=VECTOR('',#410477,10.); #123854=VECTOR('',#410480,10.); #123855=VECTOR('',#410481,10.); #123856=VECTOR('',#410482,10.); #123857=VECTOR('',#410485,10.); #123858=VECTOR('',#410486,10.); #123859=VECTOR('',#410487,10.); #123860=VECTOR('',#410490,10.); #123861=VECTOR('',#410491,10.); #123862=VECTOR('',#410492,10.); #123863=VECTOR('',#410495,10.); #123864=VECTOR('',#410496,10.); #123865=VECTOR('',#410497,10.); #123866=VECTOR('',#410500,10.); #123867=VECTOR('',#410501,10.); #123868=VECTOR('',#410502,10.); #123869=VECTOR('',#410505,10.); #123870=VECTOR('',#410506,10.); #123871=VECTOR('',#410507,10.); #123872=VECTOR('',#410510,10.); #123873=VECTOR('',#410511,10.); #123874=VECTOR('',#410512,10.); #123875=VECTOR('',#410515,10.); #123876=VECTOR('',#410516,10.); #123877=VECTOR('',#410517,10.); #123878=VECTOR('',#410520,10.); #123879=VECTOR('',#410521,10.); #123880=VECTOR('',#410522,10.); #123881=VECTOR('',#410525,10.); #123882=VECTOR('',#410526,10.); #123883=VECTOR('',#410527,10.); #123884=VECTOR('',#410530,10.); #123885=VECTOR('',#410531,10.); #123886=VECTOR('',#410532,10.); #123887=VECTOR('',#410535,10.); #123888=VECTOR('',#410536,10.); #123889=VECTOR('',#410543,10.); #123890=VECTOR('',#410544,10.); #123891=VECTOR('',#410545,10.); #123892=VECTOR('',#410546,10.); #123893=VECTOR('',#410549,10.); #123894=VECTOR('',#410550,10.); #123895=VECTOR('',#410551,10.); #123896=VECTOR('',#410554,10.); #123897=VECTOR('',#410555,10.); #123898=VECTOR('',#410556,10.); #123899=VECTOR('',#410559,10.); #123900=VECTOR('',#410560,10.); #123901=VECTOR('',#410561,10.); #123902=VECTOR('',#410564,10.); #123903=VECTOR('',#410565,10.); #123904=VECTOR('',#410566,10.); #123905=VECTOR('',#410569,10.); #123906=VECTOR('',#410570,10.); #123907=VECTOR('',#410571,10.); #123908=VECTOR('',#410574,10.); #123909=VECTOR('',#410575,10.); #123910=VECTOR('',#410576,10.); #123911=VECTOR('',#410579,10.); #123912=VECTOR('',#410580,10.); #123913=VECTOR('',#410581,10.); #123914=VECTOR('',#410584,10.); #123915=VECTOR('',#410585,10.); #123916=VECTOR('',#410586,10.); #123917=VECTOR('',#410589,10.); #123918=VECTOR('',#410590,10.); #123919=VECTOR('',#410591,10.); #123920=VECTOR('',#410594,10.); #123921=VECTOR('',#410595,10.); #123922=VECTOR('',#410596,10.); #123923=VECTOR('',#410599,10.); #123924=VECTOR('',#410600,10.); #123925=VECTOR('',#410601,10.); #123926=VECTOR('',#410604,10.); #123927=VECTOR('',#410605,10.); #123928=VECTOR('',#410606,10.); #123929=VECTOR('',#410609,10.); #123930=VECTOR('',#410610,10.); #123931=VECTOR('',#410611,10.); #123932=VECTOR('',#410614,10.); #123933=VECTOR('',#410615,10.); #123934=VECTOR('',#410616,10.); #123935=VECTOR('',#410619,10.); #123936=VECTOR('',#410620,10.); #123937=VECTOR('',#410621,10.); #123938=VECTOR('',#410624,10.); #123939=VECTOR('',#410625,10.); #123940=VECTOR('',#410626,10.); #123941=VECTOR('',#410629,10.); #123942=VECTOR('',#410630,10.); #123943=VECTOR('',#410631,10.); #123944=VECTOR('',#410634,10.); #123945=VECTOR('',#410635,10.); #123946=VECTOR('',#410636,10.); #123947=VECTOR('',#410639,10.); #123948=VECTOR('',#410640,10.); #123949=VECTOR('',#410641,10.); #123950=VECTOR('',#410644,10.); #123951=VECTOR('',#410645,10.); #123952=VECTOR('',#410646,10.); #123953=VECTOR('',#410649,10.); #123954=VECTOR('',#410650,10.); #123955=VECTOR('',#410651,10.); #123956=VECTOR('',#410654,10.); #123957=VECTOR('',#410655,10.); #123958=VECTOR('',#410656,10.); #123959=VECTOR('',#410659,10.); #123960=VECTOR('',#410660,10.); #123961=VECTOR('',#410661,10.); #123962=VECTOR('',#410664,10.); #123963=VECTOR('',#410665,10.); #123964=VECTOR('',#410666,10.); #123965=VECTOR('',#410669,10.); #123966=VECTOR('',#410670,10.); #123967=VECTOR('',#410671,10.); #123968=VECTOR('',#410674,10.); #123969=VECTOR('',#410675,10.); #123970=VECTOR('',#410676,10.); #123971=VECTOR('',#410679,10.); #123972=VECTOR('',#410680,10.); #123973=VECTOR('',#410681,10.); #123974=VECTOR('',#410684,10.); #123975=VECTOR('',#410685,10.); #123976=VECTOR('',#410686,10.); #123977=VECTOR('',#410689,10.); #123978=VECTOR('',#410690,10.); #123979=VECTOR('',#410691,10.); #123980=VECTOR('',#410694,10.); #123981=VECTOR('',#410695,10.); #123982=VECTOR('',#410696,10.); #123983=VECTOR('',#410699,10.); #123984=VECTOR('',#410700,10.); #123985=VECTOR('',#410701,10.); #123986=VECTOR('',#410704,10.); #123987=VECTOR('',#410705,10.); #123988=VECTOR('',#410706,10.); #123989=VECTOR('',#410709,10.); #123990=VECTOR('',#410710,10.); #123991=VECTOR('',#410711,10.); #123992=VECTOR('',#410714,10.); #123993=VECTOR('',#410715,10.); #123994=VECTOR('',#410716,10.); #123995=VECTOR('',#410719,10.); #123996=VECTOR('',#410720,10.); #123997=VECTOR('',#410721,10.); #123998=VECTOR('',#410724,10.); #123999=VECTOR('',#410725,10.); #124000=VECTOR('',#410726,10.); #124001=VECTOR('',#410729,10.); #124002=VECTOR('',#410730,10.); #124003=VECTOR('',#410731,10.); #124004=VECTOR('',#410734,10.); #124005=VECTOR('',#410735,10.); #124006=VECTOR('',#410736,10.); #124007=VECTOR('',#410739,10.); #124008=VECTOR('',#410740,10.); #124009=VECTOR('',#410741,10.); #124010=VECTOR('',#410744,10.); #124011=VECTOR('',#410745,10.); #124012=VECTOR('',#410746,10.); #124013=VECTOR('',#410749,10.); #124014=VECTOR('',#410750,10.); #124015=VECTOR('',#410751,10.); #124016=VECTOR('',#410754,10.); #124017=VECTOR('',#410755,10.); #124018=VECTOR('',#410756,10.); #124019=VECTOR('',#410759,10.); #124020=VECTOR('',#410760,10.); #124021=VECTOR('',#410761,10.); #124022=VECTOR('',#410764,10.); #124023=VECTOR('',#410765,10.); #124024=VECTOR('',#410766,10.); #124025=VECTOR('',#410769,10.); #124026=VECTOR('',#410770,10.); #124027=VECTOR('',#410771,10.); #124028=VECTOR('',#410774,10.); #124029=VECTOR('',#410775,10.); #124030=VECTOR('',#410776,10.); #124031=VECTOR('',#410779,10.); #124032=VECTOR('',#410780,10.); #124033=VECTOR('',#410787,10.); #124034=VECTOR('',#410788,10.); #124035=VECTOR('',#410789,10.); #124036=VECTOR('',#410790,10.); #124037=VECTOR('',#410793,10.); #124038=VECTOR('',#410794,10.); #124039=VECTOR('',#410795,10.); #124040=VECTOR('',#410798,10.); #124041=VECTOR('',#410799,10.); #124042=VECTOR('',#410800,10.); #124043=VECTOR('',#410803,10.); #124044=VECTOR('',#410804,10.); #124045=VECTOR('',#410805,10.); #124046=VECTOR('',#410808,10.); #124047=VECTOR('',#410809,10.); #124048=VECTOR('',#410810,10.); #124049=VECTOR('',#410813,10.); #124050=VECTOR('',#410814,10.); #124051=VECTOR('',#410815,10.); #124052=VECTOR('',#410818,10.); #124053=VECTOR('',#410819,10.); #124054=VECTOR('',#410820,10.); #124055=VECTOR('',#410823,10.); #124056=VECTOR('',#410824,10.); #124057=VECTOR('',#410825,10.); #124058=VECTOR('',#410828,10.); #124059=VECTOR('',#410829,10.); #124060=VECTOR('',#410830,10.); #124061=VECTOR('',#410833,10.); #124062=VECTOR('',#410834,10.); #124063=VECTOR('',#410835,10.); #124064=VECTOR('',#410838,10.); #124065=VECTOR('',#410839,10.); #124066=VECTOR('',#410840,10.); #124067=VECTOR('',#410843,10.); #124068=VECTOR('',#410844,10.); #124069=VECTOR('',#410845,10.); #124070=VECTOR('',#410848,10.); #124071=VECTOR('',#410849,10.); #124072=VECTOR('',#410850,10.); #124073=VECTOR('',#410853,10.); #124074=VECTOR('',#410854,10.); #124075=VECTOR('',#410855,10.); #124076=VECTOR('',#410858,10.); #124077=VECTOR('',#410859,10.); #124078=VECTOR('',#410860,10.); #124079=VECTOR('',#410863,10.); #124080=VECTOR('',#410864,10.); #124081=VECTOR('',#410865,10.); #124082=VECTOR('',#410868,10.); #124083=VECTOR('',#410869,10.); #124084=VECTOR('',#410870,10.); #124085=VECTOR('',#410873,10.); #124086=VECTOR('',#410874,10.); #124087=VECTOR('',#410875,10.); #124088=VECTOR('',#410878,10.); #124089=VECTOR('',#410879,10.); #124090=VECTOR('',#410880,10.); #124091=VECTOR('',#410883,10.); #124092=VECTOR('',#410884,10.); #124093=VECTOR('',#410891,10.); #124094=VECTOR('',#410892,10.); #124095=VECTOR('',#410893,10.); #124096=VECTOR('',#410894,10.); #124097=VECTOR('',#410897,10.); #124098=VECTOR('',#410898,10.); #124099=VECTOR('',#410899,10.); #124100=VECTOR('',#410902,10.); #124101=VECTOR('',#410903,10.); #124102=VECTOR('',#410904,10.); #124103=VECTOR('',#410907,10.); #124104=VECTOR('',#410908,10.); #124105=VECTOR('',#410909,10.); #124106=VECTOR('',#410912,10.); #124107=VECTOR('',#410913,10.); #124108=VECTOR('',#410914,10.); #124109=VECTOR('',#410917,10.); #124110=VECTOR('',#410918,10.); #124111=VECTOR('',#410919,10.); #124112=VECTOR('',#410922,10.); #124113=VECTOR('',#410923,10.); #124114=VECTOR('',#410924,10.); #124115=VECTOR('',#410927,10.); #124116=VECTOR('',#410928,10.); #124117=VECTOR('',#410929,10.); #124118=VECTOR('',#410932,10.); #124119=VECTOR('',#410933,10.); #124120=VECTOR('',#410934,10.); #124121=VECTOR('',#410937,10.); #124122=VECTOR('',#410938,10.); #124123=VECTOR('',#410939,10.); #124124=VECTOR('',#410942,10.); #124125=VECTOR('',#410943,10.); #124126=VECTOR('',#410944,10.); #124127=VECTOR('',#410947,10.); #124128=VECTOR('',#410948,10.); #124129=VECTOR('',#410949,10.); #124130=VECTOR('',#410952,10.); #124131=VECTOR('',#410953,10.); #124132=VECTOR('',#410954,10.); #124133=VECTOR('',#410957,10.); #124134=VECTOR('',#410958,10.); #124135=VECTOR('',#410959,10.); #124136=VECTOR('',#410962,10.); #124137=VECTOR('',#410963,10.); #124138=VECTOR('',#410964,10.); #124139=VECTOR('',#410967,10.); #124140=VECTOR('',#410968,10.); #124141=VECTOR('',#410969,10.); #124142=VECTOR('',#410972,10.); #124143=VECTOR('',#410973,10.); #124144=VECTOR('',#410974,10.); #124145=VECTOR('',#410977,10.); #124146=VECTOR('',#410978,10.); #124147=VECTOR('',#410979,10.); #124148=VECTOR('',#410982,10.); #124149=VECTOR('',#410983,10.); #124150=VECTOR('',#410984,10.); #124151=VECTOR('',#410987,10.); #124152=VECTOR('',#410988,10.); #124153=VECTOR('',#410989,10.); #124154=VECTOR('',#410992,10.); #124155=VECTOR('',#410993,10.); #124156=VECTOR('',#410994,10.); #124157=VECTOR('',#410997,10.); #124158=VECTOR('',#410998,10.); #124159=VECTOR('',#410999,10.); #124160=VECTOR('',#411002,10.); #124161=VECTOR('',#411003,10.); #124162=VECTOR('',#411004,10.); #124163=VECTOR('',#411007,10.); #124164=VECTOR('',#411008,10.); #124165=VECTOR('',#411009,10.); #124166=VECTOR('',#411012,10.); #124167=VECTOR('',#411013,10.); #124168=VECTOR('',#411014,10.); #124169=VECTOR('',#411017,10.); #124170=VECTOR('',#411018,10.); #124171=VECTOR('',#411019,10.); #124172=VECTOR('',#411022,10.); #124173=VECTOR('',#411023,10.); #124174=VECTOR('',#411024,10.); #124175=VECTOR('',#411027,10.); #124176=VECTOR('',#411028,10.); #124177=VECTOR('',#411029,10.); #124178=VECTOR('',#411032,10.); #124179=VECTOR('',#411033,10.); #124180=VECTOR('',#411034,10.); #124181=VECTOR('',#411037,10.); #124182=VECTOR('',#411038,10.); #124183=VECTOR('',#411039,10.); #124184=VECTOR('',#411042,10.); #124185=VECTOR('',#411043,10.); #124186=VECTOR('',#411050,10.); #124187=VECTOR('',#411051,10.); #124188=VECTOR('',#411052,10.); #124189=VECTOR('',#411053,10.); #124190=VECTOR('',#411056,10.); #124191=VECTOR('',#411057,10.); #124192=VECTOR('',#411058,10.); #124193=VECTOR('',#411061,10.); #124194=VECTOR('',#411062,10.); #124195=VECTOR('',#411063,10.); #124196=VECTOR('',#411066,10.); #124197=VECTOR('',#411067,10.); #124198=VECTOR('',#411068,10.); #124199=VECTOR('',#411071,10.); #124200=VECTOR('',#411072,10.); #124201=VECTOR('',#411073,10.); #124202=VECTOR('',#411076,10.); #124203=VECTOR('',#411077,10.); #124204=VECTOR('',#411078,10.); #124205=VECTOR('',#411081,10.); #124206=VECTOR('',#411082,10.); #124207=VECTOR('',#411083,10.); #124208=VECTOR('',#411086,10.); #124209=VECTOR('',#411087,10.); #124210=VECTOR('',#411088,10.); #124211=VECTOR('',#411091,10.); #124212=VECTOR('',#411092,10.); #124213=VECTOR('',#411093,10.); #124214=VECTOR('',#411096,10.); #124215=VECTOR('',#411097,10.); #124216=VECTOR('',#411098,10.); #124217=VECTOR('',#411101,10.); #124218=VECTOR('',#411102,10.); #124219=VECTOR('',#411103,10.); #124220=VECTOR('',#411106,10.); #124221=VECTOR('',#411107,10.); #124222=VECTOR('',#411108,10.); #124223=VECTOR('',#411111,10.); #124224=VECTOR('',#411112,10.); #124225=VECTOR('',#411113,10.); #124226=VECTOR('',#411116,10.); #124227=VECTOR('',#411117,10.); #124228=VECTOR('',#411118,10.); #124229=VECTOR('',#411121,10.); #124230=VECTOR('',#411122,10.); #124231=VECTOR('',#411123,10.); #124232=VECTOR('',#411126,10.); #124233=VECTOR('',#411127,10.); #124234=VECTOR('',#411128,10.); #124235=VECTOR('',#411131,10.); #124236=VECTOR('',#411132,10.); #124237=VECTOR('',#411133,10.); #124238=VECTOR('',#411136,10.); #124239=VECTOR('',#411137,10.); #124240=VECTOR('',#411138,10.); #124241=VECTOR('',#411141,10.); #124242=VECTOR('',#411142,10.); #124243=VECTOR('',#411143,10.); #124244=VECTOR('',#411146,10.); #124245=VECTOR('',#411147,10.); #124246=VECTOR('',#411154,10.); #124247=VECTOR('',#411155,10.); #124248=VECTOR('',#411156,10.); #124249=VECTOR('',#411157,10.); #124250=VECTOR('',#411160,10.); #124251=VECTOR('',#411161,10.); #124252=VECTOR('',#411162,10.); #124253=VECTOR('',#411165,10.); #124254=VECTOR('',#411166,10.); #124255=VECTOR('',#411167,10.); #124256=VECTOR('',#411170,10.); #124257=VECTOR('',#411171,10.); #124258=VECTOR('',#411172,10.); #124259=VECTOR('',#411175,10.); #124260=VECTOR('',#411176,10.); #124261=VECTOR('',#411177,10.); #124262=VECTOR('',#411180,10.); #124263=VECTOR('',#411181,10.); #124264=VECTOR('',#411182,10.); #124265=VECTOR('',#411185,10.); #124266=VECTOR('',#411186,10.); #124267=VECTOR('',#411187,10.); #124268=VECTOR('',#411190,10.); #124269=VECTOR('',#411191,10.); #124270=VECTOR('',#411192,10.); #124271=VECTOR('',#411195,10.); #124272=VECTOR('',#411196,10.); #124273=VECTOR('',#411197,10.); #124274=VECTOR('',#411200,10.); #124275=VECTOR('',#411201,10.); #124276=VECTOR('',#411202,10.); #124277=VECTOR('',#411205,10.); #124278=VECTOR('',#411206,10.); #124279=VECTOR('',#411207,10.); #124280=VECTOR('',#411210,10.); #124281=VECTOR('',#411211,10.); #124282=VECTOR('',#411212,10.); #124283=VECTOR('',#411215,10.); #124284=VECTOR('',#411216,10.); #124285=VECTOR('',#411217,10.); #124286=VECTOR('',#411220,10.); #124287=VECTOR('',#411221,10.); #124288=VECTOR('',#411222,10.); #124289=VECTOR('',#411225,10.); #124290=VECTOR('',#411226,10.); #124291=VECTOR('',#411227,10.); #124292=VECTOR('',#411230,10.); #124293=VECTOR('',#411231,10.); #124294=VECTOR('',#411232,10.); #124295=VECTOR('',#411235,10.); #124296=VECTOR('',#411236,10.); #124297=VECTOR('',#411237,10.); #124298=VECTOR('',#411240,10.); #124299=VECTOR('',#411241,10.); #124300=VECTOR('',#411242,10.); #124301=VECTOR('',#411245,10.); #124302=VECTOR('',#411246,10.); #124303=VECTOR('',#411247,10.); #124304=VECTOR('',#411250,10.); #124305=VECTOR('',#411251,10.); #124306=VECTOR('',#411252,10.); #124307=VECTOR('',#411255,10.); #124308=VECTOR('',#411256,10.); #124309=VECTOR('',#411257,10.); #124310=VECTOR('',#411260,10.); #124311=VECTOR('',#411261,10.); #124312=VECTOR('',#411262,10.); #124313=VECTOR('',#411265,10.); #124314=VECTOR('',#411266,10.); #124315=VECTOR('',#411267,10.); #124316=VECTOR('',#411270,10.); #124317=VECTOR('',#411271,10.); #124318=VECTOR('',#411272,10.); #124319=VECTOR('',#411275,10.); #124320=VECTOR('',#411276,10.); #124321=VECTOR('',#411277,10.); #124322=VECTOR('',#411280,10.); #124323=VECTOR('',#411281,10.); #124324=VECTOR('',#411282,10.); #124325=VECTOR('',#411285,10.); #124326=VECTOR('',#411286,10.); #124327=VECTOR('',#411287,10.); #124328=VECTOR('',#411290,10.); #124329=VECTOR('',#411291,10.); #124330=VECTOR('',#411292,10.); #124331=VECTOR('',#411295,10.); #124332=VECTOR('',#411296,10.); #124333=VECTOR('',#411297,10.); #124334=VECTOR('',#411300,10.); #124335=VECTOR('',#411301,10.); #124336=VECTOR('',#411302,10.); #124337=VECTOR('',#411305,10.); #124338=VECTOR('',#411306,10.); #124339=VECTOR('',#411313,10.); #124340=VECTOR('',#411314,10.); #124341=VECTOR('',#411315,10.); #124342=VECTOR('',#411316,10.); #124343=VECTOR('',#411319,10.); #124344=VECTOR('',#411320,10.); #124345=VECTOR('',#411321,10.); #124346=VECTOR('',#411324,10.); #124347=VECTOR('',#411325,10.); #124348=VECTOR('',#411326,10.); #124349=VECTOR('',#411329,10.); #124350=VECTOR('',#411330,10.); #124351=VECTOR('',#411331,10.); #124352=VECTOR('',#411334,10.); #124353=VECTOR('',#411335,10.); #124354=VECTOR('',#411336,10.); #124355=VECTOR('',#411339,10.); #124356=VECTOR('',#411340,10.); #124357=VECTOR('',#411341,10.); #124358=VECTOR('',#411344,10.); #124359=VECTOR('',#411345,10.); #124360=VECTOR('',#411346,10.); #124361=VECTOR('',#411349,10.); #124362=VECTOR('',#411350,10.); #124363=VECTOR('',#411351,10.); #124364=VECTOR('',#411354,10.); #124365=VECTOR('',#411355,10.); #124366=VECTOR('',#411356,10.); #124367=VECTOR('',#411359,10.); #124368=VECTOR('',#411360,10.); #124369=VECTOR('',#411361,10.); #124370=VECTOR('',#411364,10.); #124371=VECTOR('',#411365,10.); #124372=VECTOR('',#411366,10.); #124373=VECTOR('',#411369,10.); #124374=VECTOR('',#411370,10.); #124375=VECTOR('',#411371,10.); #124376=VECTOR('',#411374,10.); #124377=VECTOR('',#411375,10.); #124378=VECTOR('',#411376,10.); #124379=VECTOR('',#411379,10.); #124380=VECTOR('',#411380,10.); #124381=VECTOR('',#411381,10.); #124382=VECTOR('',#411384,10.); #124383=VECTOR('',#411385,10.); #124384=VECTOR('',#411386,10.); #124385=VECTOR('',#411389,10.); #124386=VECTOR('',#411390,10.); #124387=VECTOR('',#411391,10.); #124388=VECTOR('',#411394,10.); #124389=VECTOR('',#411395,10.); #124390=VECTOR('',#411396,10.); #124391=VECTOR('',#411399,10.); #124392=VECTOR('',#411400,10.); #124393=VECTOR('',#411401,10.); #124394=VECTOR('',#411404,10.); #124395=VECTOR('',#411405,10.); #124396=VECTOR('',#411406,10.); #124397=VECTOR('',#411409,10.); #124398=VECTOR('',#411410,10.); #124399=VECTOR('',#411411,10.); #124400=VECTOR('',#411414,10.); #124401=VECTOR('',#411415,10.); #124402=VECTOR('',#411422,10.); #124403=VECTOR('',#411423,10.); #124404=VECTOR('',#411424,10.); #124405=VECTOR('',#411425,10.); #124406=VECTOR('',#411428,10.); #124407=VECTOR('',#411429,10.); #124408=VECTOR('',#411430,10.); #124409=VECTOR('',#411433,10.); #124410=VECTOR('',#411434,10.); #124411=VECTOR('',#411435,10.); #124412=VECTOR('',#411438,10.); #124413=VECTOR('',#411439,10.); #124414=VECTOR('',#411440,10.); #124415=VECTOR('',#411443,10.); #124416=VECTOR('',#411444,10.); #124417=VECTOR('',#411445,10.); #124418=VECTOR('',#411448,10.); #124419=VECTOR('',#411449,10.); #124420=VECTOR('',#411450,10.); #124421=VECTOR('',#411453,10.); #124422=VECTOR('',#411454,10.); #124423=VECTOR('',#411455,10.); #124424=VECTOR('',#411458,10.); #124425=VECTOR('',#411459,10.); #124426=VECTOR('',#411460,10.); #124427=VECTOR('',#411463,10.); #124428=VECTOR('',#411464,10.); #124429=VECTOR('',#411465,10.); #124430=VECTOR('',#411468,10.); #124431=VECTOR('',#411469,10.); #124432=VECTOR('',#411470,10.); #124433=VECTOR('',#411473,10.); #124434=VECTOR('',#411474,10.); #124435=VECTOR('',#411475,10.); #124436=VECTOR('',#411478,10.); #124437=VECTOR('',#411479,10.); #124438=VECTOR('',#411480,10.); #124439=VECTOR('',#411483,10.); #124440=VECTOR('',#411484,10.); #124441=VECTOR('',#411485,10.); #124442=VECTOR('',#411488,10.); #124443=VECTOR('',#411489,10.); #124444=VECTOR('',#411490,10.); #124445=VECTOR('',#411493,10.); #124446=VECTOR('',#411494,10.); #124447=VECTOR('',#411495,10.); #124448=VECTOR('',#411498,10.); #124449=VECTOR('',#411499,10.); #124450=VECTOR('',#411500,10.); #124451=VECTOR('',#411503,10.); #124452=VECTOR('',#411504,10.); #124453=VECTOR('',#411505,10.); #124454=VECTOR('',#411508,10.); #124455=VECTOR('',#411509,10.); #124456=VECTOR('',#411510,10.); #124457=VECTOR('',#411513,10.); #124458=VECTOR('',#411514,10.); #124459=VECTOR('',#411515,10.); #124460=VECTOR('',#411518,10.); #124461=VECTOR('',#411519,10.); #124462=VECTOR('',#411520,10.); #124463=VECTOR('',#411523,10.); #124464=VECTOR('',#411524,10.); #124465=VECTOR('',#411525,10.); #124466=VECTOR('',#411528,10.); #124467=VECTOR('',#411529,10.); #124468=VECTOR('',#411530,10.); #124469=VECTOR('',#411533,10.); #124470=VECTOR('',#411534,10.); #124471=VECTOR('',#411535,10.); #124472=VECTOR('',#411538,10.); #124473=VECTOR('',#411539,10.); #124474=VECTOR('',#411540,10.); #124475=VECTOR('',#411543,10.); #124476=VECTOR('',#411544,10.); #124477=VECTOR('',#411545,10.); #124478=VECTOR('',#411548,10.); #124479=VECTOR('',#411549,10.); #124480=VECTOR('',#411550,10.); #124481=VECTOR('',#411553,10.); #124482=VECTOR('',#411554,10.); #124483=VECTOR('',#411555,10.); #124484=VECTOR('',#411558,10.); #124485=VECTOR('',#411559,10.); #124486=VECTOR('',#411560,10.); #124487=VECTOR('',#411563,10.); #124488=VECTOR('',#411564,10.); #124489=VECTOR('',#411565,10.); #124490=VECTOR('',#411568,10.); #124491=VECTOR('',#411569,10.); #124492=VECTOR('',#411570,10.); #124493=VECTOR('',#411573,10.); #124494=VECTOR('',#411574,10.); #124495=VECTOR('',#411575,10.); #124496=VECTOR('',#411578,10.); #124497=VECTOR('',#411579,10.); #124498=VECTOR('',#411580,10.); #124499=VECTOR('',#411583,10.); #124500=VECTOR('',#411584,10.); #124501=VECTOR('',#411585,10.); #124502=VECTOR('',#411588,10.); #124503=VECTOR('',#411589,10.); #124504=VECTOR('',#411590,10.); #124505=VECTOR('',#411593,10.); #124506=VECTOR('',#411594,10.); #124507=VECTOR('',#411595,10.); #124508=VECTOR('',#411598,10.); #124509=VECTOR('',#411599,10.); #124510=VECTOR('',#411600,10.); #124511=VECTOR('',#411603,10.); #124512=VECTOR('',#411604,10.); #124513=VECTOR('',#411605,10.); #124514=VECTOR('',#411608,10.); #124515=VECTOR('',#411609,10.); #124516=VECTOR('',#411610,10.); #124517=VECTOR('',#411613,10.); #124518=VECTOR('',#411614,10.); #124519=VECTOR('',#411615,10.); #124520=VECTOR('',#411618,10.); #124521=VECTOR('',#411619,10.); #124522=VECTOR('',#411626,10.); #124523=VECTOR('',#411627,10.); #124524=VECTOR('',#411628,10.); #124525=VECTOR('',#411629,10.); #124526=VECTOR('',#411632,10.); #124527=VECTOR('',#411633,10.); #124528=VECTOR('',#411634,10.); #124529=VECTOR('',#411637,10.); #124530=VECTOR('',#411638,10.); #124531=VECTOR('',#411639,10.); #124532=VECTOR('',#411642,10.); #124533=VECTOR('',#411643,10.); #124534=VECTOR('',#411644,10.); #124535=VECTOR('',#411647,10.); #124536=VECTOR('',#411648,10.); #124537=VECTOR('',#411649,10.); #124538=VECTOR('',#411652,10.); #124539=VECTOR('',#411653,10.); #124540=VECTOR('',#411654,10.); #124541=VECTOR('',#411657,10.); #124542=VECTOR('',#411658,10.); #124543=VECTOR('',#411659,10.); #124544=VECTOR('',#411662,10.); #124545=VECTOR('',#411663,10.); #124546=VECTOR('',#411664,10.); #124547=VECTOR('',#411667,10.); #124548=VECTOR('',#411668,10.); #124549=VECTOR('',#411669,10.); #124550=VECTOR('',#411672,10.); #124551=VECTOR('',#411673,10.); #124552=VECTOR('',#411674,10.); #124553=VECTOR('',#411677,10.); #124554=VECTOR('',#411678,10.); #124555=VECTOR('',#411679,10.); #124556=VECTOR('',#411682,10.); #124557=VECTOR('',#411683,10.); #124558=VECTOR('',#411684,10.); #124559=VECTOR('',#411687,10.); #124560=VECTOR('',#411688,10.); #124561=VECTOR('',#411689,10.); #124562=VECTOR('',#411692,10.); #124563=VECTOR('',#411693,10.); #124564=VECTOR('',#411694,10.); #124565=VECTOR('',#411697,10.); #124566=VECTOR('',#411698,10.); #124567=VECTOR('',#411699,10.); #124568=VECTOR('',#411702,10.); #124569=VECTOR('',#411703,10.); #124570=VECTOR('',#411704,10.); #124571=VECTOR('',#411707,10.); #124572=VECTOR('',#411708,10.); #124573=VECTOR('',#411709,10.); #124574=VECTOR('',#411712,10.); #124575=VECTOR('',#411713,10.); #124576=VECTOR('',#411714,10.); #124577=VECTOR('',#411717,10.); #124578=VECTOR('',#411718,10.); #124579=VECTOR('',#411719,10.); #124580=VECTOR('',#411722,10.); #124581=VECTOR('',#411723,10.); #124582=VECTOR('',#411730,10.); #124583=VECTOR('',#411731,10.); #124584=VECTOR('',#411732,10.); #124585=VECTOR('',#411733,10.); #124586=VECTOR('',#411736,10.); #124587=VECTOR('',#411737,10.); #124588=VECTOR('',#411738,10.); #124589=VECTOR('',#411741,10.); #124590=VECTOR('',#411742,10.); #124591=VECTOR('',#411743,10.); #124592=VECTOR('',#411746,10.); #124593=VECTOR('',#411747,10.); #124594=VECTOR('',#411748,10.); #124595=VECTOR('',#411751,10.); #124596=VECTOR('',#411752,10.); #124597=VECTOR('',#411753,10.); #124598=VECTOR('',#411756,10.); #124599=VECTOR('',#411757,10.); #124600=VECTOR('',#411758,10.); #124601=VECTOR('',#411761,10.); #124602=VECTOR('',#411762,10.); #124603=VECTOR('',#411763,10.); #124604=VECTOR('',#411766,10.); #124605=VECTOR('',#411767,10.); #124606=VECTOR('',#411768,10.); #124607=VECTOR('',#411771,10.); #124608=VECTOR('',#411772,10.); #124609=VECTOR('',#411773,10.); #124610=VECTOR('',#411776,10.); #124611=VECTOR('',#411777,10.); #124612=VECTOR('',#411778,10.); #124613=VECTOR('',#411781,10.); #124614=VECTOR('',#411782,10.); #124615=VECTOR('',#411783,10.); #124616=VECTOR('',#411786,10.); #124617=VECTOR('',#411787,10.); #124618=VECTOR('',#411788,10.); #124619=VECTOR('',#411791,10.); #124620=VECTOR('',#411792,10.); #124621=VECTOR('',#411793,10.); #124622=VECTOR('',#411796,10.); #124623=VECTOR('',#411797,10.); #124624=VECTOR('',#411798,10.); #124625=VECTOR('',#411801,10.); #124626=VECTOR('',#411802,10.); #124627=VECTOR('',#411803,10.); #124628=VECTOR('',#411806,10.); #124629=VECTOR('',#411807,10.); #124630=VECTOR('',#411808,10.); #124631=VECTOR('',#411811,10.); #124632=VECTOR('',#411812,10.); #124633=VECTOR('',#411813,10.); #124634=VECTOR('',#411816,10.); #124635=VECTOR('',#411817,10.); #124636=VECTOR('',#411818,10.); #124637=VECTOR('',#411821,10.); #124638=VECTOR('',#411822,10.); #124639=VECTOR('',#411823,10.); #124640=VECTOR('',#411826,10.); #124641=VECTOR('',#411827,10.); #124642=VECTOR('',#411834,10.); #124643=VECTOR('',#411835,10.); #124644=VECTOR('',#411836,10.); #124645=VECTOR('',#411837,10.); #124646=VECTOR('',#411840,10.); #124647=VECTOR('',#411841,10.); #124648=VECTOR('',#411842,10.); #124649=VECTOR('',#411845,10.); #124650=VECTOR('',#411846,10.); #124651=VECTOR('',#411847,10.); #124652=VECTOR('',#411850,10.); #124653=VECTOR('',#411851,10.); #124654=VECTOR('',#411852,10.); #124655=VECTOR('',#411855,10.); #124656=VECTOR('',#411856,10.); #124657=VECTOR('',#411857,10.); #124658=VECTOR('',#411860,10.); #124659=VECTOR('',#411861,10.); #124660=VECTOR('',#411862,10.); #124661=VECTOR('',#411865,10.); #124662=VECTOR('',#411866,10.); #124663=VECTOR('',#411867,10.); #124664=VECTOR('',#411870,10.); #124665=VECTOR('',#411871,10.); #124666=VECTOR('',#411872,10.); #124667=VECTOR('',#411875,10.); #124668=VECTOR('',#411876,10.); #124669=VECTOR('',#411877,10.); #124670=VECTOR('',#411880,10.); #124671=VECTOR('',#411881,10.); #124672=VECTOR('',#411882,10.); #124673=VECTOR('',#411885,10.); #124674=VECTOR('',#411886,10.); #124675=VECTOR('',#411887,10.); #124676=VECTOR('',#411890,10.); #124677=VECTOR('',#411891,10.); #124678=VECTOR('',#411892,10.); #124679=VECTOR('',#411895,10.); #124680=VECTOR('',#411896,10.); #124681=VECTOR('',#411897,10.); #124682=VECTOR('',#411900,10.); #124683=VECTOR('',#411901,10.); #124684=VECTOR('',#411902,10.); #124685=VECTOR('',#411905,10.); #124686=VECTOR('',#411906,10.); #124687=VECTOR('',#411907,10.); #124688=VECTOR('',#411910,10.); #124689=VECTOR('',#411911,10.); #124690=VECTOR('',#411912,10.); #124691=VECTOR('',#411915,10.); #124692=VECTOR('',#411916,10.); #124693=VECTOR('',#411917,10.); #124694=VECTOR('',#411920,10.); #124695=VECTOR('',#411921,10.); #124696=VECTOR('',#411922,10.); #124697=VECTOR('',#411925,10.); #124698=VECTOR('',#411926,10.); #124699=VECTOR('',#411927,10.); #124700=VECTOR('',#411930,10.); #124701=VECTOR('',#411931,10.); #124702=VECTOR('',#411938,10.); #124703=VECTOR('',#411939,10.); #124704=VECTOR('',#411940,10.); #124705=VECTOR('',#411941,10.); #124706=VECTOR('',#411944,10.); #124707=VECTOR('',#411945,10.); #124708=VECTOR('',#411946,10.); #124709=VECTOR('',#411949,10.); #124710=VECTOR('',#411950,10.); #124711=VECTOR('',#411951,10.); #124712=VECTOR('',#411954,10.); #124713=VECTOR('',#411955,10.); #124714=VECTOR('',#411956,10.); #124715=VECTOR('',#411959,10.); #124716=VECTOR('',#411960,10.); #124717=VECTOR('',#411961,10.); #124718=VECTOR('',#411964,10.); #124719=VECTOR('',#411965,10.); #124720=VECTOR('',#411966,10.); #124721=VECTOR('',#411969,10.); #124722=VECTOR('',#411970,10.); #124723=VECTOR('',#411971,10.); #124724=VECTOR('',#411974,10.); #124725=VECTOR('',#411975,10.); #124726=VECTOR('',#411976,10.); #124727=VECTOR('',#411979,10.); #124728=VECTOR('',#411980,10.); #124729=VECTOR('',#411981,10.); #124730=VECTOR('',#411984,10.); #124731=VECTOR('',#411985,10.); #124732=VECTOR('',#411986,10.); #124733=VECTOR('',#411989,10.); #124734=VECTOR('',#411990,10.); #124735=VECTOR('',#411991,10.); #124736=VECTOR('',#411994,10.); #124737=VECTOR('',#411995,10.); #124738=VECTOR('',#411996,10.); #124739=VECTOR('',#411999,10.); #124740=VECTOR('',#412000,10.); #124741=VECTOR('',#412001,10.); #124742=VECTOR('',#412004,10.); #124743=VECTOR('',#412005,10.); #124744=VECTOR('',#412006,10.); #124745=VECTOR('',#412009,10.); #124746=VECTOR('',#412010,10.); #124747=VECTOR('',#412011,10.); #124748=VECTOR('',#412014,10.); #124749=VECTOR('',#412015,10.); #124750=VECTOR('',#412016,10.); #124751=VECTOR('',#412019,10.); #124752=VECTOR('',#412020,10.); #124753=VECTOR('',#412021,10.); #124754=VECTOR('',#412024,10.); #124755=VECTOR('',#412025,10.); #124756=VECTOR('',#412026,10.); #124757=VECTOR('',#412029,10.); #124758=VECTOR('',#412030,10.); #124759=VECTOR('',#412031,10.); #124760=VECTOR('',#412034,10.); #124761=VECTOR('',#412035,10.); #124762=VECTOR('',#412036,10.); #124763=VECTOR('',#412039,10.); #124764=VECTOR('',#412040,10.); #124765=VECTOR('',#412041,10.); #124766=VECTOR('',#412044,10.); #124767=VECTOR('',#412045,10.); #124768=VECTOR('',#412046,10.); #124769=VECTOR('',#412049,10.); #124770=VECTOR('',#412050,10.); #124771=VECTOR('',#412051,10.); #124772=VECTOR('',#412054,10.); #124773=VECTOR('',#412055,10.); #124774=VECTOR('',#412056,10.); #124775=VECTOR('',#412059,10.); #124776=VECTOR('',#412060,10.); #124777=VECTOR('',#412061,10.); #124778=VECTOR('',#412064,10.); #124779=VECTOR('',#412065,10.); #124780=VECTOR('',#412066,10.); #124781=VECTOR('',#412069,10.); #124782=VECTOR('',#412070,10.); #124783=VECTOR('',#412071,10.); #124784=VECTOR('',#412074,10.); #124785=VECTOR('',#412075,10.); #124786=VECTOR('',#412076,10.); #124787=VECTOR('',#412079,10.); #124788=VECTOR('',#412080,10.); #124789=VECTOR('',#412081,10.); #124790=VECTOR('',#412084,10.); #124791=VECTOR('',#412085,10.); #124792=VECTOR('',#412086,10.); #124793=VECTOR('',#412089,10.); #124794=VECTOR('',#412090,10.); #124795=VECTOR('',#412091,10.); #124796=VECTOR('',#412094,10.); #124797=VECTOR('',#412095,10.); #124798=VECTOR('',#412096,10.); #124799=VECTOR('',#412099,10.); #124800=VECTOR('',#412100,10.); #124801=VECTOR('',#412101,10.); #124802=VECTOR('',#412104,10.); #124803=VECTOR('',#412105,10.); #124804=VECTOR('',#412106,10.); #124805=VECTOR('',#412109,10.); #124806=VECTOR('',#412110,10.); #124807=VECTOR('',#412111,10.); #124808=VECTOR('',#412114,10.); #124809=VECTOR('',#412115,10.); #124810=VECTOR('',#412116,10.); #124811=VECTOR('',#412119,10.); #124812=VECTOR('',#412120,10.); #124813=VECTOR('',#412121,10.); #124814=VECTOR('',#412124,10.); #124815=VECTOR('',#412125,10.); #124816=VECTOR('',#412126,10.); #124817=VECTOR('',#412129,10.); #124818=VECTOR('',#412130,10.); #124819=VECTOR('',#412131,10.); #124820=VECTOR('',#412134,10.); #124821=VECTOR('',#412135,10.); #124822=VECTOR('',#412144,0.514999999999999); #124823=VECTOR('',#412149,10.); #124824=VECTOR('',#412150,10.); #124825=VECTOR('',#412151,10.); #124826=VECTOR('',#412152,10.); #124827=VECTOR('',#412159,10.); #124828=VECTOR('',#412162,10.); #124829=VECTOR('',#412163,10.); #124830=VECTOR('',#412164,10.); #124831=VECTOR('',#412173,10.); #124832=VECTOR('',#412174,10.); #124833=VECTOR('',#412175,10.); #124834=VECTOR('',#412176,10.); #124835=VECTOR('',#412179,10.); #124836=VECTOR('',#412180,10.); #124837=VECTOR('',#412181,10.); #124838=VECTOR('',#412184,10.); #124839=VECTOR('',#412185,10.); #124840=VECTOR('',#412186,10.); #124841=VECTOR('',#412189,10.); #124842=VECTOR('',#412190,10.); #124843=VECTOR('',#412191,10.); #124844=VECTOR('',#412194,10.); #124845=VECTOR('',#412195,10.); #124846=VECTOR('',#412196,10.); #124847=VECTOR('',#412199,10.); #124848=VECTOR('',#412200,10.); #124849=VECTOR('',#412201,10.); #124850=VECTOR('',#412204,10.); #124851=VECTOR('',#412205,10.); #124852=VECTOR('',#412206,10.); #124853=VECTOR('',#412209,10.); #124854=VECTOR('',#412210,10.); #124855=VECTOR('',#412211,10.); #124856=VECTOR('',#412214,10.); #124857=VECTOR('',#412215,10.); #124858=VECTOR('',#412216,10.); #124859=VECTOR('',#412219,10.); #124860=VECTOR('',#412220,10.); #124861=VECTOR('',#412221,10.); #124862=VECTOR('',#412224,10.); #124863=VECTOR('',#412225,10.); #124864=VECTOR('',#412226,10.); #124865=VECTOR('',#412229,10.); #124866=VECTOR('',#412230,10.); #124867=VECTOR('',#412231,10.); #124868=VECTOR('',#412234,10.); #124869=VECTOR('',#412235,10.); #124870=VECTOR('',#412236,10.); #124871=VECTOR('',#412239,10.); #124872=VECTOR('',#412240,10.); #124873=VECTOR('',#412241,10.); #124874=VECTOR('',#412244,10.); #124875=VECTOR('',#412245,10.); #124876=VECTOR('',#412246,10.); #124877=VECTOR('',#412249,10.); #124878=VECTOR('',#412250,10.); #124879=VECTOR('',#412251,10.); #124880=VECTOR('',#412254,10.); #124881=VECTOR('',#412255,10.); #124882=VECTOR('',#412256,10.); #124883=VECTOR('',#412259,10.); #124884=VECTOR('',#412260,10.); #124885=VECTOR('',#412261,10.); #124886=VECTOR('',#412264,10.); #124887=VECTOR('',#412265,10.); #124888=VECTOR('',#412266,10.); #124889=VECTOR('',#412269,10.); #124890=VECTOR('',#412270,10.); #124891=VECTOR('',#412271,10.); #124892=VECTOR('',#412274,10.); #124893=VECTOR('',#412275,10.); #124894=VECTOR('',#412276,10.); #124895=VECTOR('',#412279,10.); #124896=VECTOR('',#412280,10.); #124897=VECTOR('',#412281,10.); #124898=VECTOR('',#412284,10.); #124899=VECTOR('',#412285,10.); #124900=VECTOR('',#412286,10.); #124901=VECTOR('',#412289,10.); #124902=VECTOR('',#412290,10.); #124903=VECTOR('',#412291,10.); #124904=VECTOR('',#412294,10.); #124905=VECTOR('',#412295,10.); #124906=VECTOR('',#412296,10.); #124907=VECTOR('',#412299,10.); #124908=VECTOR('',#412300,10.); #124909=VECTOR('',#412301,10.); #124910=VECTOR('',#412304,10.); #124911=VECTOR('',#412305,10.); #124912=VECTOR('',#412306,10.); #124913=VECTOR('',#412309,10.); #124914=VECTOR('',#412310,10.); #124915=VECTOR('',#412311,10.); #124916=VECTOR('',#412314,10.); #124917=VECTOR('',#412315,10.); #124918=VECTOR('',#412316,10.); #124919=VECTOR('',#412319,10.); #124920=VECTOR('',#412320,10.); #124921=VECTOR('',#412321,10.); #124922=VECTOR('',#412324,10.); #124923=VECTOR('',#412325,10.); #124924=VECTOR('',#412326,10.); #124925=VECTOR('',#412329,10.); #124926=VECTOR('',#412330,10.); #124927=VECTOR('',#412331,10.); #124928=VECTOR('',#412334,10.); #124929=VECTOR('',#412335,10.); #124930=VECTOR('',#412336,10.); #124931=VECTOR('',#412339,10.); #124932=VECTOR('',#412340,10.); #124933=VECTOR('',#412341,10.); #124934=VECTOR('',#412344,10.); #124935=VECTOR('',#412345,10.); #124936=VECTOR('',#412346,10.); #124937=VECTOR('',#412349,10.); #124938=VECTOR('',#412350,10.); #124939=VECTOR('',#412351,10.); #124940=VECTOR('',#412354,10.); #124941=VECTOR('',#412355,10.); #124942=VECTOR('',#412356,10.); #124943=VECTOR('',#412359,10.); #124944=VECTOR('',#412360,10.); #124945=VECTOR('',#412361,10.); #124946=VECTOR('',#412364,10.); #124947=VECTOR('',#412365,10.); #124948=VECTOR('',#412366,10.); #124949=VECTOR('',#412369,10.); #124950=VECTOR('',#412370,10.); #124951=VECTOR('',#412371,10.); #124952=VECTOR('',#412374,10.); #124953=VECTOR('',#412375,10.); #124954=VECTOR('',#412376,10.); #124955=VECTOR('',#412379,10.); #124956=VECTOR('',#412380,10.); #124957=VECTOR('',#412381,10.); #124958=VECTOR('',#412384,10.); #124959=VECTOR('',#412385,10.); #124960=VECTOR('',#412386,10.); #124961=VECTOR('',#412389,10.); #124962=VECTOR('',#412390,10.); #124963=VECTOR('',#412391,10.); #124964=VECTOR('',#412394,10.); #124965=VECTOR('',#412395,10.); #124966=VECTOR('',#412396,10.); #124967=VECTOR('',#412399,10.); #124968=VECTOR('',#412400,10.); #124969=VECTOR('',#412401,10.); #124970=VECTOR('',#412404,10.); #124971=VECTOR('',#412405,10.); #124972=VECTOR('',#412406,10.); #124973=VECTOR('',#412409,10.); #124974=VECTOR('',#412410,10.); #124975=VECTOR('',#412411,10.); #124976=VECTOR('',#412414,10.); #124977=VECTOR('',#412415,10.); #124978=VECTOR('',#412416,10.); #124979=VECTOR('',#412419,10.); #124980=VECTOR('',#412420,10.); #124981=VECTOR('',#412421,10.); #124982=VECTOR('',#412424,10.); #124983=VECTOR('',#412425,10.); #124984=VECTOR('',#412426,10.); #124985=VECTOR('',#412429,10.); #124986=VECTOR('',#412430,10.); #124987=VECTOR('',#412431,10.); #124988=VECTOR('',#412434,10.); #124989=VECTOR('',#412435,10.); #124990=VECTOR('',#412436,10.); #124991=VECTOR('',#412439,10.); #124992=VECTOR('',#412440,10.); #124993=VECTOR('',#412441,10.); #124994=VECTOR('',#412444,10.); #124995=VECTOR('',#412445,10.); #124996=VECTOR('',#412446,10.); #124997=VECTOR('',#412449,10.); #124998=VECTOR('',#412450,10.); #124999=VECTOR('',#412451,10.); #125000=VECTOR('',#412454,10.); #125001=VECTOR('',#412455,10.); #125002=VECTOR('',#412456,10.); #125003=VECTOR('',#412459,10.); #125004=VECTOR('',#412460,10.); #125005=VECTOR('',#412461,10.); #125006=VECTOR('',#412464,10.); #125007=VECTOR('',#412465,10.); #125008=VECTOR('',#412466,10.); #125009=VECTOR('',#412469,10.); #125010=VECTOR('',#412470,10.); #125011=VECTOR('',#412471,10.); #125012=VECTOR('',#412474,10.); #125013=VECTOR('',#412475,10.); #125014=VECTOR('',#412476,10.); #125015=VECTOR('',#412479,10.); #125016=VECTOR('',#412480,10.); #125017=VECTOR('',#412481,10.); #125018=VECTOR('',#412484,10.); #125019=VECTOR('',#412485,10.); #125020=VECTOR('',#412486,10.); #125021=VECTOR('',#412489,10.); #125022=VECTOR('',#412490,10.); #125023=VECTOR('',#412491,10.); #125024=VECTOR('',#412494,10.); #125025=VECTOR('',#412495,10.); #125026=VECTOR('',#412496,10.); #125027=VECTOR('',#412499,10.); #125028=VECTOR('',#412500,10.); #125029=VECTOR('',#412501,10.); #125030=VECTOR('',#412504,10.); #125031=VECTOR('',#412505,10.); #125032=VECTOR('',#412506,10.); #125033=VECTOR('',#412509,10.); #125034=VECTOR('',#412510,10.); #125035=VECTOR('',#412511,10.); #125036=VECTOR('',#412514,10.); #125037=VECTOR('',#412515,10.); #125038=VECTOR('',#412516,10.); #125039=VECTOR('',#412519,10.); #125040=VECTOR('',#412520,10.); #125041=VECTOR('',#412521,10.); #125042=VECTOR('',#412524,10.); #125043=VECTOR('',#412525,10.); #125044=VECTOR('',#412526,10.); #125045=VECTOR('',#412529,10.); #125046=VECTOR('',#412530,10.); #125047=VECTOR('',#412531,10.); #125048=VECTOR('',#412534,10.); #125049=VECTOR('',#412535,10.); #125050=VECTOR('',#412536,10.); #125051=VECTOR('',#412539,10.); #125052=VECTOR('',#412540,10.); #125053=VECTOR('',#412541,10.); #125054=VECTOR('',#412544,10.); #125055=VECTOR('',#412545,10.); #125056=VECTOR('',#412546,10.); #125057=VECTOR('',#412549,10.); #125058=VECTOR('',#412550,10.); #125059=VECTOR('',#412551,10.); #125060=VECTOR('',#412554,10.); #125061=VECTOR('',#412555,10.); #125062=VECTOR('',#412556,10.); #125063=VECTOR('',#412559,10.); #125064=VECTOR('',#412560,10.); #125065=VECTOR('',#412561,10.); #125066=VECTOR('',#412564,10.); #125067=VECTOR('',#412565,10.); #125068=VECTOR('',#412566,10.); #125069=VECTOR('',#412569,10.); #125070=VECTOR('',#412570,10.); #125071=VECTOR('',#412571,10.); #125072=VECTOR('',#412574,10.); #125073=VECTOR('',#412575,10.); #125074=VECTOR('',#412576,10.); #125075=VECTOR('',#412579,10.); #125076=VECTOR('',#412580,10.); #125077=VECTOR('',#412581,10.); #125078=VECTOR('',#412584,10.); #125079=VECTOR('',#412585,10.); #125080=VECTOR('',#412586,10.); #125081=VECTOR('',#412589,10.); #125082=VECTOR('',#412590,10.); #125083=VECTOR('',#412591,10.); #125084=VECTOR('',#412594,10.); #125085=VECTOR('',#412595,10.); #125086=VECTOR('',#412596,10.); #125087=VECTOR('',#412599,10.); #125088=VECTOR('',#412600,10.); #125089=VECTOR('',#412601,10.); #125090=VECTOR('',#412604,10.); #125091=VECTOR('',#412605,10.); #125092=VECTOR('',#412606,10.); #125093=VECTOR('',#412609,10.); #125094=VECTOR('',#412610,10.); #125095=VECTOR('',#412611,10.); #125096=VECTOR('',#412614,10.); #125097=VECTOR('',#412615,10.); #125098=VECTOR('',#412616,10.); #125099=VECTOR('',#412619,10.); #125100=VECTOR('',#412620,10.); #125101=VECTOR('',#412621,10.); #125102=VECTOR('',#412624,10.); #125103=VECTOR('',#412625,10.); #125104=VECTOR('',#412626,10.); #125105=VECTOR('',#412629,10.); #125106=VECTOR('',#412630,10.); #125107=VECTOR('',#412631,10.); #125108=VECTOR('',#412634,10.); #125109=VECTOR('',#412635,10.); #125110=VECTOR('',#412642,10.); #125111=VECTOR('',#412643,10.); #125112=VECTOR('',#412644,10.); #125113=VECTOR('',#412645,10.); #125114=VECTOR('',#412648,10.); #125115=VECTOR('',#412649,10.); #125116=VECTOR('',#412650,10.); #125117=VECTOR('',#412653,10.); #125118=VECTOR('',#412654,10.); #125119=VECTOR('',#412655,10.); #125120=VECTOR('',#412658,10.); #125121=VECTOR('',#412659,10.); #125122=VECTOR('',#412660,10.); #125123=VECTOR('',#412663,10.); #125124=VECTOR('',#412664,10.); #125125=VECTOR('',#412665,10.); #125126=VECTOR('',#412668,10.); #125127=VECTOR('',#412669,10.); #125128=VECTOR('',#412670,10.); #125129=VECTOR('',#412673,10.); #125130=VECTOR('',#412674,10.); #125131=VECTOR('',#412675,10.); #125132=VECTOR('',#412678,10.); #125133=VECTOR('',#412679,10.); #125134=VECTOR('',#412680,10.); #125135=VECTOR('',#412683,10.); #125136=VECTOR('',#412684,10.); #125137=VECTOR('',#412685,10.); #125138=VECTOR('',#412688,10.); #125139=VECTOR('',#412689,10.); #125140=VECTOR('',#412690,10.); #125141=VECTOR('',#412693,10.); #125142=VECTOR('',#412694,10.); #125143=VECTOR('',#412695,10.); #125144=VECTOR('',#412698,10.); #125145=VECTOR('',#412699,10.); #125146=VECTOR('',#412700,10.); #125147=VECTOR('',#412703,10.); #125148=VECTOR('',#412704,10.); #125149=VECTOR('',#412705,10.); #125150=VECTOR('',#412708,10.); #125151=VECTOR('',#412709,10.); #125152=VECTOR('',#412710,10.); #125153=VECTOR('',#412713,10.); #125154=VECTOR('',#412714,10.); #125155=VECTOR('',#412715,10.); #125156=VECTOR('',#412718,10.); #125157=VECTOR('',#412719,10.); #125158=VECTOR('',#412720,10.); #125159=VECTOR('',#412723,10.); #125160=VECTOR('',#412724,10.); #125161=VECTOR('',#412725,10.); #125162=VECTOR('',#412728,10.); #125163=VECTOR('',#412729,10.); #125164=VECTOR('',#412730,10.); #125165=VECTOR('',#412733,10.); #125166=VECTOR('',#412734,10.); #125167=VECTOR('',#412735,10.); #125168=VECTOR('',#412738,10.); #125169=VECTOR('',#412739,10.); #125170=VECTOR('',#412748,0.140000000000002); #125171=VECTOR('',#412755,0.140000000000002); #125172=VECTOR('',#412762,0.140000000000002); #125173=VECTOR('',#412769,0.140000000000002); #125174=VECTOR('',#412776,0.140000000000002); #125175=VECTOR('',#412783,0.140000000000002); #125176=VECTOR('',#412790,0.140000000000002); #125177=VECTOR('',#412797,0.140000000000002); #125178=VECTOR('',#412804,0.140000000000002); #125179=VECTOR('',#412811,0.140000000000002); #125180=VECTOR('',#412818,0.140000000000002); #125181=VECTOR('',#412825,0.140000000000002); #125182=VECTOR('',#412832,0.140000000000002); #125183=VECTOR('',#412839,0.140000000000002); #125184=VECTOR('',#412846,0.140000000000002); #125185=VECTOR('',#412851,10.); #125186=VECTOR('',#412852,10.); #125187=VECTOR('',#412853,10.); #125188=VECTOR('',#412854,10.); #125189=VECTOR('',#412857,10.); #125190=VECTOR('',#412858,10.); #125191=VECTOR('',#412859,10.); #125192=VECTOR('',#412862,10.); #125193=VECTOR('',#412863,10.); #125194=VECTOR('',#412864,10.); #125195=VECTOR('',#412867,10.); #125196=VECTOR('',#412868,10.); #125197=VECTOR('',#412869,10.); #125198=VECTOR('',#412872,10.); #125199=VECTOR('',#412873,10.); #125200=VECTOR('',#412874,10.); #125201=VECTOR('',#412877,10.); #125202=VECTOR('',#412878,10.); #125203=VECTOR('',#412879,10.); #125204=VECTOR('',#412882,10.); #125205=VECTOR('',#412883,10.); #125206=VECTOR('',#412884,10.); #125207=VECTOR('',#412887,10.); #125208=VECTOR('',#412888,10.); #125209=VECTOR('',#412889,10.); #125210=VECTOR('',#412892,10.); #125211=VECTOR('',#412893,10.); #125212=VECTOR('',#412894,10.); #125213=VECTOR('',#412897,10.); #125214=VECTOR('',#412898,10.); #125215=VECTOR('',#412899,10.); #125216=VECTOR('',#412902,10.); #125217=VECTOR('',#412903,10.); #125218=VECTOR('',#412904,10.); #125219=VECTOR('',#412907,10.); #125220=VECTOR('',#412908,10.); #125221=VECTOR('',#412909,10.); #125222=VECTOR('',#412912,10.); #125223=VECTOR('',#412913,10.); #125224=VECTOR('',#412914,10.); #125225=VECTOR('',#412917,10.); #125226=VECTOR('',#412918,10.); #125227=VECTOR('',#412919,10.); #125228=VECTOR('',#412922,10.); #125229=VECTOR('',#412923,10.); #125230=VECTOR('',#412924,10.); #125231=VECTOR('',#412927,10.); #125232=VECTOR('',#412928,10.); #125233=VECTOR('',#412929,10.); #125234=VECTOR('',#412932,10.); #125235=VECTOR('',#412933,10.); #125236=VECTOR('',#412934,10.); #125237=VECTOR('',#412937,10.); #125238=VECTOR('',#412938,10.); #125239=VECTOR('',#412939,10.); #125240=VECTOR('',#412942,10.); #125241=VECTOR('',#412943,10.); #125242=VECTOR('',#412944,10.); #125243=VECTOR('',#412947,10.); #125244=VECTOR('',#412948,10.); #125245=VECTOR('',#412949,10.); #125246=VECTOR('',#412952,10.); #125247=VECTOR('',#412953,10.); #125248=VECTOR('',#412954,10.); #125249=VECTOR('',#412957,10.); #125250=VECTOR('',#412958,10.); #125251=VECTOR('',#412959,10.); #125252=VECTOR('',#412962,10.); #125253=VECTOR('',#412963,10.); #125254=VECTOR('',#412964,10.); #125255=VECTOR('',#412967,10.); #125256=VECTOR('',#412968,10.); #125257=VECTOR('',#412969,10.); #125258=VECTOR('',#412972,10.); #125259=VECTOR('',#412973,10.); #125260=VECTOR('',#412974,10.); #125261=VECTOR('',#412977,10.); #125262=VECTOR('',#412978,10.); #125263=VECTOR('',#412979,10.); #125264=VECTOR('',#412982,10.); #125265=VECTOR('',#412983,10.); #125266=VECTOR('',#412984,10.); #125267=VECTOR('',#412987,10.); #125268=VECTOR('',#412988,10.); #125269=VECTOR('',#412989,10.); #125270=VECTOR('',#412992,10.); #125271=VECTOR('',#412993,10.); #125272=VECTOR('',#412994,10.); #125273=VECTOR('',#412997,10.); #125274=VECTOR('',#412998,10.); #125275=VECTOR('',#412999,10.); #125276=VECTOR('',#413002,10.); #125277=VECTOR('',#413003,10.); #125278=VECTOR('',#413004,10.); #125279=VECTOR('',#413007,10.); #125280=VECTOR('',#413008,10.); #125281=VECTOR('',#413009,10.); #125282=VECTOR('',#413012,10.); #125283=VECTOR('',#413013,10.); #125284=VECTOR('',#413014,10.); #125285=VECTOR('',#413017,10.); #125286=VECTOR('',#413018,10.); #125287=VECTOR('',#413019,10.); #125288=VECTOR('',#413022,10.); #125289=VECTOR('',#413023,10.); #125290=VECTOR('',#413024,10.); #125291=VECTOR('',#413027,10.); #125292=VECTOR('',#413028,10.); #125293=VECTOR('',#413029,10.); #125294=VECTOR('',#413032,10.); #125295=VECTOR('',#413033,10.); #125296=VECTOR('',#413034,10.); #125297=VECTOR('',#413037,10.); #125298=VECTOR('',#413038,10.); #125299=VECTOR('',#413039,10.); #125300=VECTOR('',#413042,10.); #125301=VECTOR('',#413043,10.); #125302=VECTOR('',#413044,10.); #125303=VECTOR('',#413047,10.); #125304=VECTOR('',#413048,10.); #125305=VECTOR('',#413049,10.); #125306=VECTOR('',#413052,10.); #125307=VECTOR('',#413053,10.); #125308=VECTOR('',#413062,0.139999999999998); #125309=VECTOR('',#413067,10.); #125310=VECTOR('',#413068,10.); #125311=VECTOR('',#413069,10.); #125312=VECTOR('',#413070,10.); #125313=VECTOR('',#413073,10.); #125314=VECTOR('',#413074,10.); #125315=VECTOR('',#413075,10.); #125316=VECTOR('',#413078,10.); #125317=VECTOR('',#413079,10.); #125318=VECTOR('',#413080,10.); #125319=VECTOR('',#413083,10.); #125320=VECTOR('',#413084,10.); #125321=VECTOR('',#413085,10.); #125322=VECTOR('',#413088,10.); #125323=VECTOR('',#413089,10.); #125324=VECTOR('',#413090,10.); #125325=VECTOR('',#413097,10.); #125326=VECTOR('',#413100,10.); #125327=VECTOR('',#413101,10.); #125328=VECTOR('',#413102,10.); #125329=VECTOR('',#413105,10.); #125330=VECTOR('',#413106,10.); #125331=VECTOR('',#413107,10.); #125332=VECTOR('',#413114,10.); #125333=VECTOR('',#413117,10.); #125334=VECTOR('',#413118,10.); #125335=VECTOR('',#413119,10.); #125336=VECTOR('',#413122,10.); #125337=VECTOR('',#413123,10.); #125338=VECTOR('',#413124,10.); #125339=VECTOR('',#413127,10.); #125340=VECTOR('',#413128,10.); #125341=VECTOR('',#413129,10.); #125342=VECTOR('',#413132,10.); #125343=VECTOR('',#413133,10.); #125344=VECTOR('',#413134,10.); #125345=VECTOR('',#413137,10.); #125346=VECTOR('',#413138,10.); #125347=VECTOR('',#413139,10.); #125348=VECTOR('',#413142,10.); #125349=VECTOR('',#413143,10.); #125350=VECTOR('',#413144,10.); #125351=VECTOR('',#413147,10.); #125352=VECTOR('',#413148,10.); #125353=VECTOR('',#413149,10.); #125354=VECTOR('',#413152,10.); #125355=VECTOR('',#413153,10.); #125356=VECTOR('',#413154,10.); #125357=VECTOR('',#413157,10.); #125358=VECTOR('',#413158,10.); #125359=VECTOR('',#413159,10.); #125360=VECTOR('',#413162,10.); #125361=VECTOR('',#413163,10.); #125362=VECTOR('',#413164,10.); #125363=VECTOR('',#413167,10.); #125364=VECTOR('',#413168,10.); #125365=VECTOR('',#413169,10.); #125366=VECTOR('',#413172,10.); #125367=VECTOR('',#413173,10.); #125368=VECTOR('',#413174,10.); #125369=VECTOR('',#413177,10.); #125370=VECTOR('',#413178,10.); #125371=VECTOR('',#413179,10.); #125372=VECTOR('',#413182,10.); #125373=VECTOR('',#413183,10.); #125374=VECTOR('',#413184,10.); #125375=VECTOR('',#413187,10.); #125376=VECTOR('',#413188,10.); #125377=VECTOR('',#413189,10.); #125378=VECTOR('',#413192,10.); #125379=VECTOR('',#413193,10.); #125380=VECTOR('',#413194,10.); #125381=VECTOR('',#413201,10.); #125382=VECTOR('',#413204,10.); #125383=VECTOR('',#413205,10.); #125384=VECTOR('',#413206,10.); #125385=VECTOR('',#413213,10.); #125386=VECTOR('',#413216,10.); #125387=VECTOR('',#413217,10.); #125388=VECTOR('',#413218,10.); #125389=VECTOR('',#413225,10.); #125390=VECTOR('',#413228,10.); #125391=VECTOR('',#413229,10.); #125392=VECTOR('',#413230,10.); #125393=VECTOR('',#413233,10.); #125394=VECTOR('',#413234,10.); #125395=VECTOR('',#413235,10.); #125396=VECTOR('',#413238,10.); #125397=VECTOR('',#413239,10.); #125398=VECTOR('',#413240,10.); #125399=VECTOR('',#413247,10.); #125400=VECTOR('',#413250,10.); #125401=VECTOR('',#413251,10.); #125402=VECTOR('',#413252,10.); #125403=VECTOR('',#413259,10.); #125404=VECTOR('',#413262,10.); #125405=VECTOR('',#413263,10.); #125406=VECTOR('',#413264,10.); #125407=VECTOR('',#413267,10.); #125408=VECTOR('',#413268,10.); #125409=VECTOR('',#413269,10.); #125410=VECTOR('',#413276,10.); #125411=VECTOR('',#413279,10.); #125412=VECTOR('',#413280,10.); #125413=VECTOR('',#413281,10.); #125414=VECTOR('',#413284,10.); #125415=VECTOR('',#413285,10.); #125416=VECTOR('',#413286,10.); #125417=VECTOR('',#413289,10.); #125418=VECTOR('',#413290,10.); #125419=VECTOR('',#413299,0.139999999999998); #125420=VECTOR('',#413306,0.139999999999998); #125421=VECTOR('',#413313,0.139999999999998); #125422=VECTOR('',#413320,0.139999999999998); #125423=VECTOR('',#413327,0.139999999999998); #125424=VECTOR('',#413334,0.139999999999998); #125425=VECTOR('',#413341,0.139999999999998); #125426=VECTOR('',#413348,0.139999999999998); #125427=VECTOR('',#413355,0.139999999999998); #125428=VECTOR('',#413362,0.139999999999998); #125429=VECTOR('',#413369,0.139999999999998); #125430=VECTOR('',#413376,0.139999999999998); #125431=VECTOR('',#413383,0.139999999999998); #125432=VECTOR('',#413390,0.139999999999998); #125433=VECTOR('',#413397,0.139999999999998); #125434=VECTOR('',#413402,10.); #125435=VECTOR('',#413403,10.); #125436=VECTOR('',#413404,10.); #125437=VECTOR('',#413405,10.); #125438=VECTOR('',#413408,10.); #125439=VECTOR('',#413409,10.); #125440=VECTOR('',#413410,10.); #125441=VECTOR('',#413413,10.); #125442=VECTOR('',#413414,10.); #125443=VECTOR('',#413415,10.); #125444=VECTOR('',#413418,10.); #125445=VECTOR('',#413419,10.); #125446=VECTOR('',#413420,10.); #125447=VECTOR('',#413423,10.); #125448=VECTOR('',#413424,10.); #125449=VECTOR('',#413425,10.); #125450=VECTOR('',#413428,10.); #125451=VECTOR('',#413429,10.); #125452=VECTOR('',#413430,10.); #125453=VECTOR('',#413433,10.); #125454=VECTOR('',#413434,10.); #125455=VECTOR('',#413435,10.); #125456=VECTOR('',#413438,10.); #125457=VECTOR('',#413439,10.); #125458=VECTOR('',#413440,10.); #125459=VECTOR('',#413443,10.); #125460=VECTOR('',#413444,10.); #125461=VECTOR('',#413445,10.); #125462=VECTOR('',#413448,10.); #125463=VECTOR('',#413449,10.); #125464=VECTOR('',#413450,10.); #125465=VECTOR('',#413453,10.); #125466=VECTOR('',#413454,10.); #125467=VECTOR('',#413455,10.); #125468=VECTOR('',#413458,10.); #125469=VECTOR('',#413459,10.); #125470=VECTOR('',#413460,10.); #125471=VECTOR('',#413463,10.); #125472=VECTOR('',#413464,10.); #125473=VECTOR('',#413465,10.); #125474=VECTOR('',#413468,10.); #125475=VECTOR('',#413469,10.); #125476=VECTOR('',#413470,10.); #125477=VECTOR('',#413473,10.); #125478=VECTOR('',#413474,10.); #125479=VECTOR('',#413475,10.); #125480=VECTOR('',#413478,10.); #125481=VECTOR('',#413479,10.); #125482=VECTOR('',#413480,10.); #125483=VECTOR('',#413483,10.); #125484=VECTOR('',#413484,10.); #125485=VECTOR('',#413485,10.); #125486=VECTOR('',#413488,10.); #125487=VECTOR('',#413489,10.); #125488=VECTOR('',#413490,10.); #125489=VECTOR('',#413493,10.); #125490=VECTOR('',#413494,10.); #125491=VECTOR('',#413495,10.); #125492=VECTOR('',#413498,10.); #125493=VECTOR('',#413499,10.); #125494=VECTOR('',#413500,10.); #125495=VECTOR('',#413503,10.); #125496=VECTOR('',#413504,10.); #125497=VECTOR('',#413505,10.); #125498=VECTOR('',#413508,10.); #125499=VECTOR('',#413509,10.); #125500=VECTOR('',#413510,10.); #125501=VECTOR('',#413513,10.); #125502=VECTOR('',#413514,10.); #125503=VECTOR('',#413515,10.); #125504=VECTOR('',#413518,10.); #125505=VECTOR('',#413519,10.); #125506=VECTOR('',#413520,10.); #125507=VECTOR('',#413523,10.); #125508=VECTOR('',#413524,10.); #125509=VECTOR('',#413525,10.); #125510=VECTOR('',#413528,10.); #125511=VECTOR('',#413529,10.); #125512=VECTOR('',#413530,10.); #125513=VECTOR('',#413533,10.); #125514=VECTOR('',#413534,10.); #125515=VECTOR('',#413535,10.); #125516=VECTOR('',#413538,10.); #125517=VECTOR('',#413539,10.); #125518=VECTOR('',#413540,10.); #125519=VECTOR('',#413543,10.); #125520=VECTOR('',#413544,10.); #125521=VECTOR('',#413545,10.); #125522=VECTOR('',#413548,10.); #125523=VECTOR('',#413549,10.); #125524=VECTOR('',#413550,10.); #125525=VECTOR('',#413553,10.); #125526=VECTOR('',#413554,10.); #125527=VECTOR('',#413555,10.); #125528=VECTOR('',#413558,10.); #125529=VECTOR('',#413559,10.); #125530=VECTOR('',#413560,10.); #125531=VECTOR('',#413563,10.); #125532=VECTOR('',#413564,10.); #125533=VECTOR('',#413565,10.); #125534=VECTOR('',#413568,10.); #125535=VECTOR('',#413569,10.); #125536=VECTOR('',#413570,10.); #125537=VECTOR('',#413573,10.); #125538=VECTOR('',#413574,10.); #125539=VECTOR('',#413575,10.); #125540=VECTOR('',#413578,10.); #125541=VECTOR('',#413579,10.); #125542=VECTOR('',#413580,10.); #125543=VECTOR('',#413583,10.); #125544=VECTOR('',#413584,10.); #125545=VECTOR('',#413585,10.); #125546=VECTOR('',#413588,10.); #125547=VECTOR('',#413589,10.); #125548=VECTOR('',#413590,10.); #125549=VECTOR('',#413593,10.); #125550=VECTOR('',#413594,10.); #125551=VECTOR('',#413595,10.); #125552=VECTOR('',#413598,10.); #125553=VECTOR('',#413599,10.); #125554=VECTOR('',#413600,10.); #125555=VECTOR('',#413603,10.); #125556=VECTOR('',#413604,10.); #125557=VECTOR('',#413605,10.); #125558=VECTOR('',#413608,10.); #125559=VECTOR('',#413609,10.); #125560=VECTOR('',#413610,10.); #125561=VECTOR('',#413613,10.); #125562=VECTOR('',#413614,10.); #125563=VECTOR('',#413615,10.); #125564=VECTOR('',#413618,10.); #125565=VECTOR('',#413619,10.); #125566=VECTOR('',#413620,10.); #125567=VECTOR('',#413623,10.); #125568=VECTOR('',#413624,10.); #125569=VECTOR('',#413625,10.); #125570=VECTOR('',#413628,10.); #125571=VECTOR('',#413629,10.); #125572=VECTOR('',#413630,10.); #125573=VECTOR('',#413633,10.); #125574=VECTOR('',#413634,10.); #125575=VECTOR('',#413635,10.); #125576=VECTOR('',#413638,10.); #125577=VECTOR('',#413639,10.); #125578=VECTOR('',#413640,10.); #125579=VECTOR('',#413643,10.); #125580=VECTOR('',#413644,10.); #125581=VECTOR('',#413653,0.139999999999998); #125582=VECTOR('',#413658,10.); #125583=VECTOR('',#413659,10.); #125584=VECTOR('',#413660,10.); #125585=VECTOR('',#413661,10.); #125586=VECTOR('',#413664,10.); #125587=VECTOR('',#413665,10.); #125588=VECTOR('',#413666,10.); #125589=VECTOR('',#413669,10.); #125590=VECTOR('',#413670,10.); #125591=VECTOR('',#413671,10.); #125592=VECTOR('',#413674,10.); #125593=VECTOR('',#413675,10.); #125594=VECTOR('',#413676,10.); #125595=VECTOR('',#413679,10.); #125596=VECTOR('',#413680,10.); #125597=VECTOR('',#413681,10.); #125598=VECTOR('',#413684,10.); #125599=VECTOR('',#413685,10.); #125600=VECTOR('',#413686,10.); #125601=VECTOR('',#413693,10.); #125602=VECTOR('',#413696,10.); #125603=VECTOR('',#413697,10.); #125604=VECTOR('',#413698,10.); #125605=VECTOR('',#413701,10.); #125606=VECTOR('',#413702,10.); #125607=VECTOR('',#413703,10.); #125608=VECTOR('',#413710,10.); #125609=VECTOR('',#413713,10.); #125610=VECTOR('',#413714,10.); #125611=VECTOR('',#413715,10.); #125612=VECTOR('',#413722,10.); #125613=VECTOR('',#413725,10.); #125614=VECTOR('',#413726,10.); #125615=VECTOR('',#413727,10.); #125616=VECTOR('',#413730,10.); #125617=VECTOR('',#413731,10.); #125618=VECTOR('',#413732,10.); #125619=VECTOR('',#413735,10.); #125620=VECTOR('',#413736,10.); #125621=VECTOR('',#413737,10.); #125622=VECTOR('',#413744,10.); #125623=VECTOR('',#413747,10.); #125624=VECTOR('',#413748,10.); #125625=VECTOR('',#413749,10.); #125626=VECTOR('',#413756,10.); #125627=VECTOR('',#413759,10.); #125628=VECTOR('',#413760,10.); #125629=VECTOR('',#413761,10.); #125630=VECTOR('',#413768,10.); #125631=VECTOR('',#413771,10.); #125632=VECTOR('',#413772,10.); #125633=VECTOR('',#413773,10.); #125634=VECTOR('',#413776,10.); #125635=VECTOR('',#413777,10.); #125636=VECTOR('',#413778,10.); #125637=VECTOR('',#413781,10.); #125638=VECTOR('',#413782,10.); #125639=VECTOR('',#413783,10.); #125640=VECTOR('',#413786,10.); #125641=VECTOR('',#413787,10.); #125642=VECTOR('',#413788,10.); #125643=VECTOR('',#413791,10.); #125644=VECTOR('',#413792,10.); #125645=VECTOR('',#413793,10.); #125646=VECTOR('',#413796,10.); #125647=VECTOR('',#413797,10.); #125648=VECTOR('',#413798,10.); #125649=VECTOR('',#413801,10.); #125650=VECTOR('',#413802,10.); #125651=VECTOR('',#413803,10.); #125652=VECTOR('',#413806,10.); #125653=VECTOR('',#413807,10.); #125654=VECTOR('',#413808,10.); #125655=VECTOR('',#413811,10.); #125656=VECTOR('',#413812,10.); #125657=VECTOR('',#413813,10.); #125658=VECTOR('',#413816,10.); #125659=VECTOR('',#413817,10.); #125660=VECTOR('',#413818,10.); #125661=VECTOR('',#413821,10.); #125662=VECTOR('',#413822,10.); #125663=VECTOR('',#413823,10.); #125664=VECTOR('',#413826,10.); #125665=VECTOR('',#413827,10.); #125666=VECTOR('',#413828,10.); #125667=VECTOR('',#413831,10.); #125668=VECTOR('',#413832,10.); #125669=VECTOR('',#413833,10.); #125670=VECTOR('',#413836,10.); #125671=VECTOR('',#413837,10.); #125672=VECTOR('',#413838,10.); #125673=VECTOR('',#413841,10.); #125674=VECTOR('',#413842,10.); #125675=VECTOR('',#413843,10.); #125676=VECTOR('',#413846,10.); #125677=VECTOR('',#413847,10.); #125678=VECTOR('',#413848,10.); #125679=VECTOR('',#413855,10.); #125680=VECTOR('',#413858,10.); #125681=VECTOR('',#413859,10.); #125682=VECTOR('',#413860,10.); #125683=VECTOR('',#413863,10.); #125684=VECTOR('',#413864,10.); #125685=VECTOR('',#413865,10.); #125686=VECTOR('',#413872,10.); #125687=VECTOR('',#413875,10.); #125688=VECTOR('',#413876,10.); #125689=VECTOR('',#413877,10.); #125690=VECTOR('',#413880,10.); #125691=VECTOR('',#413881,10.); #125692=VECTOR('',#413890,0.139999999999998); #125693=VECTOR('',#413897,0.14); #125694=VECTOR('',#413904,0.14); #125695=VECTOR('',#413911,0.14); #125696=VECTOR('',#413918,0.14); #125697=VECTOR('',#413925,0.14); #125698=VECTOR('',#413932,0.14); #125699=VECTOR('',#413939,0.14); #125700=VECTOR('',#413946,0.14); #125701=VECTOR('',#413953,0.14); #125702=VECTOR('',#413960,0.14); #125703=VECTOR('',#413967,0.14); #125704=VECTOR('',#413974,0.14); #125705=VECTOR('',#413981,0.139999999999998); #125706=VECTOR('',#413988,0.139999999999998); #125707=VECTOR('',#413995,0.139999999999998); #125708=VECTOR('',#414002,0.139999999999998); #125709=VECTOR('',#414009,0.139999999999998); #125710=VECTOR('',#414016,0.14); #125711=VECTOR('',#414023,0.139999999999998); #125712=VECTOR('',#414030,0.139999999999998); #125713=VECTOR('',#414037,0.139999999999998); #125714=VECTOR('',#414044,0.139999999999998); #125715=VECTOR('',#414051,0.139999999999998); #125716=VECTOR('',#414058,0.139999999999998); #125717=VECTOR('',#414065,0.139999999999998); #125718=VECTOR('',#414072,0.139999999999998); #125719=VECTOR('',#414079,0.139999999999998); #125720=VECTOR('',#414086,0.139999999999998); #125721=VECTOR('',#414093,0.14); #125722=VECTOR('',#414100,0.14); #125723=VECTOR('',#414105,10.); #125724=VECTOR('',#414106,10.); #125725=VECTOR('',#414107,10.); #125726=VECTOR('',#414108,10.); #125727=VECTOR('',#414115,10.); #125728=VECTOR('',#414118,10.); #125729=VECTOR('',#414119,10.); #125730=VECTOR('',#414120,10.); #125731=VECTOR('',#414123,10.); #125732=VECTOR('',#414124,10.); #125733=VECTOR('',#414125,10.); #125734=VECTOR('',#414128,10.); #125735=VECTOR('',#414129,10.); #125736=VECTOR('',#414130,10.); #125737=VECTOR('',#414137,10.); #125738=VECTOR('',#414140,10.); #125739=VECTOR('',#414141,10.); #125740=VECTOR('',#414142,10.); #125741=VECTOR('',#414149,10.); #125742=VECTOR('',#414152,10.); #125743=VECTOR('',#414153,10.); #125744=VECTOR('',#414154,10.); #125745=VECTOR('',#414157,10.); #125746=VECTOR('',#414158,10.); #125747=VECTOR('',#414159,10.); #125748=VECTOR('',#414162,10.); #125749=VECTOR('',#414163,10.); #125750=VECTOR('',#414164,10.); #125751=VECTOR('',#414167,10.); #125752=VECTOR('',#414168,10.); #125753=VECTOR('',#414169,10.); #125754=VECTOR('',#414172,10.); #125755=VECTOR('',#414173,10.); #125756=VECTOR('',#414174,10.); #125757=VECTOR('',#414177,10.); #125758=VECTOR('',#414178,10.); #125759=VECTOR('',#414179,10.); #125760=VECTOR('',#414182,10.); #125761=VECTOR('',#414183,10.); #125762=VECTOR('',#414184,10.); #125763=VECTOR('',#414187,10.); #125764=VECTOR('',#414188,10.); #125765=VECTOR('',#414189,10.); #125766=VECTOR('',#414192,10.); #125767=VECTOR('',#414193,10.); #125768=VECTOR('',#414194,10.); #125769=VECTOR('',#414197,10.); #125770=VECTOR('',#414198,10.); #125771=VECTOR('',#414199,10.); #125772=VECTOR('',#414202,10.); #125773=VECTOR('',#414203,10.); #125774=VECTOR('',#414204,10.); #125775=VECTOR('',#414207,10.); #125776=VECTOR('',#414208,10.); #125777=VECTOR('',#414209,10.); #125778=VECTOR('',#414212,10.); #125779=VECTOR('',#414213,10.); #125780=VECTOR('',#414214,10.); #125781=VECTOR('',#414217,10.); #125782=VECTOR('',#414218,10.); #125783=VECTOR('',#414219,10.); #125784=VECTOR('',#414222,10.); #125785=VECTOR('',#414223,10.); #125786=VECTOR('',#414224,10.); #125787=VECTOR('',#414227,10.); #125788=VECTOR('',#414228,10.); #125789=VECTOR('',#414229,10.); #125790=VECTOR('',#414232,10.); #125791=VECTOR('',#414233,10.); #125792=VECTOR('',#414234,10.); #125793=VECTOR('',#414237,10.); #125794=VECTOR('',#414238,10.); #125795=VECTOR('',#414239,10.); #125796=VECTOR('',#414242,10.); #125797=VECTOR('',#414243,10.); #125798=VECTOR('',#414244,10.); #125799=VECTOR('',#414247,10.); #125800=VECTOR('',#414248,10.); #125801=VECTOR('',#414249,10.); #125802=VECTOR('',#414252,10.); #125803=VECTOR('',#414253,10.); #125804=VECTOR('',#414254,10.); #125805=VECTOR('',#414257,10.); #125806=VECTOR('',#414258,10.); #125807=VECTOR('',#414259,10.); #125808=VECTOR('',#414262,10.); #125809=VECTOR('',#414263,10.); #125810=VECTOR('',#414264,10.); #125811=VECTOR('',#414267,10.); #125812=VECTOR('',#414268,10.); #125813=VECTOR('',#414269,10.); #125814=VECTOR('',#414272,10.); #125815=VECTOR('',#414273,10.); #125816=VECTOR('',#414274,10.); #125817=VECTOR('',#414277,10.); #125818=VECTOR('',#414278,10.); #125819=VECTOR('',#414279,10.); #125820=VECTOR('',#414282,10.); #125821=VECTOR('',#414283,10.); #125822=VECTOR('',#414284,10.); #125823=VECTOR('',#414287,10.); #125824=VECTOR('',#414288,10.); #125825=VECTOR('',#414289,10.); #125826=VECTOR('',#414292,10.); #125827=VECTOR('',#414293,10.); #125828=VECTOR('',#414294,10.); #125829=VECTOR('',#414297,10.); #125830=VECTOR('',#414298,10.); #125831=VECTOR('',#414299,10.); #125832=VECTOR('',#414302,10.); #125833=VECTOR('',#414303,10.); #125834=VECTOR('',#414304,10.); #125835=VECTOR('',#414307,10.); #125836=VECTOR('',#414308,10.); #125837=VECTOR('',#414309,10.); #125838=VECTOR('',#414312,10.); #125839=VECTOR('',#414313,10.); #125840=VECTOR('',#414314,10.); #125841=VECTOR('',#414317,10.); #125842=VECTOR('',#414318,10.); #125843=VECTOR('',#414319,10.); #125844=VECTOR('',#414322,10.); #125845=VECTOR('',#414323,10.); #125846=VECTOR('',#414324,10.); #125847=VECTOR('',#414327,10.); #125848=VECTOR('',#414328,10.); #125849=VECTOR('',#414329,10.); #125850=VECTOR('',#414332,10.); #125851=VECTOR('',#414333,10.); #125852=VECTOR('',#414334,10.); #125853=VECTOR('',#414337,10.); #125854=VECTOR('',#414338,10.); #125855=VECTOR('',#414339,10.); #125856=VECTOR('',#414342,10.); #125857=VECTOR('',#414343,10.); #125858=VECTOR('',#414344,10.); #125859=VECTOR('',#414347,10.); #125860=VECTOR('',#414348,10.); #125861=VECTOR('',#414349,10.); #125862=VECTOR('',#414352,10.); #125863=VECTOR('',#414353,10.); #125864=VECTOR('',#414354,10.); #125865=VECTOR('',#414357,10.); #125866=VECTOR('',#414358,10.); #125867=VECTOR('',#414359,10.); #125868=VECTOR('',#414362,10.); #125869=VECTOR('',#414363,10.); #125870=VECTOR('',#414364,10.); #125871=VECTOR('',#414367,10.); #125872=VECTOR('',#414368,10.); #125873=VECTOR('',#414369,10.); #125874=VECTOR('',#414372,10.); #125875=VECTOR('',#414373,10.); #125876=VECTOR('',#414374,10.); #125877=VECTOR('',#414377,10.); #125878=VECTOR('',#414378,10.); #125879=VECTOR('',#414379,10.); #125880=VECTOR('',#414382,10.); #125881=VECTOR('',#414383,10.); #125882=VECTOR('',#414384,10.); #125883=VECTOR('',#414387,10.); #125884=VECTOR('',#414388,10.); #125885=VECTOR('',#414389,10.); #125886=VECTOR('',#414392,10.); #125887=VECTOR('',#414393,10.); #125888=VECTOR('',#414394,10.); #125889=VECTOR('',#414397,10.); #125890=VECTOR('',#414398,10.); #125891=VECTOR('',#414399,10.); #125892=VECTOR('',#414402,10.); #125893=VECTOR('',#414403,10.); #125894=VECTOR('',#414404,10.); #125895=VECTOR('',#414407,10.); #125896=VECTOR('',#414408,10.); #125897=VECTOR('',#414409,10.); #125898=VECTOR('',#414412,10.); #125899=VECTOR('',#414413,10.); #125900=VECTOR('',#414414,10.); #125901=VECTOR('',#414417,10.); #125902=VECTOR('',#414418,10.); #125903=VECTOR('',#414419,10.); #125904=VECTOR('',#414422,10.); #125905=VECTOR('',#414423,10.); #125906=VECTOR('',#414424,10.); #125907=VECTOR('',#414427,10.); #125908=VECTOR('',#414428,10.); #125909=VECTOR('',#414429,10.); #125910=VECTOR('',#414432,10.); #125911=VECTOR('',#414433,10.); #125912=VECTOR('',#414434,10.); #125913=VECTOR('',#414437,10.); #125914=VECTOR('',#414438,10.); #125915=VECTOR('',#414439,10.); #125916=VECTOR('',#414442,10.); #125917=VECTOR('',#414443,10.); #125918=VECTOR('',#414444,10.); #125919=VECTOR('',#414447,10.); #125920=VECTOR('',#414448,10.); #125921=VECTOR('',#414449,10.); #125922=VECTOR('',#414452,10.); #125923=VECTOR('',#414453,10.); #125924=VECTOR('',#414454,10.); #125925=VECTOR('',#414457,10.); #125926=VECTOR('',#414458,10.); #125927=VECTOR('',#414459,10.); #125928=VECTOR('',#414462,10.); #125929=VECTOR('',#414463,10.); #125930=VECTOR('',#414464,10.); #125931=VECTOR('',#414467,10.); #125932=VECTOR('',#414468,10.); #125933=VECTOR('',#414469,10.); #125934=VECTOR('',#414472,10.); #125935=VECTOR('',#414473,10.); #125936=VECTOR('',#414474,10.); #125937=VECTOR('',#414477,10.); #125938=VECTOR('',#414478,10.); #125939=VECTOR('',#414479,10.); #125940=VECTOR('',#414482,10.); #125941=VECTOR('',#414483,10.); #125942=VECTOR('',#414484,10.); #125943=VECTOR('',#414487,10.); #125944=VECTOR('',#414488,10.); #125945=VECTOR('',#414489,10.); #125946=VECTOR('',#414492,10.); #125947=VECTOR('',#414493,10.); #125948=VECTOR('',#414494,10.); #125949=VECTOR('',#414497,10.); #125950=VECTOR('',#414498,10.); #125951=VECTOR('',#414499,10.); #125952=VECTOR('',#414502,10.); #125953=VECTOR('',#414503,10.); #125954=VECTOR('',#414504,10.); #125955=VECTOR('',#414507,10.); #125956=VECTOR('',#414508,10.); #125957=VECTOR('',#414509,10.); #125958=VECTOR('',#414516,10.); #125959=VECTOR('',#414519,10.); #125960=VECTOR('',#414520,10.); #125961=VECTOR('',#414521,10.); #125962=VECTOR('',#414528,10.); #125963=VECTOR('',#414531,10.); #125964=VECTOR('',#414532,10.); #125965=VECTOR('',#414533,10.); #125966=VECTOR('',#414548,0.139999999999998); #125967=VECTOR('',#414553,10.); #125968=VECTOR('',#414554,10.); #125969=VECTOR('',#414555,10.); #125970=VECTOR('',#414556,10.); #125971=VECTOR('',#414559,10.); #125972=VECTOR('',#414560,10.); #125973=VECTOR('',#414561,10.); #125974=VECTOR('',#414564,10.); #125975=VECTOR('',#414565,10.); #125976=VECTOR('',#414566,10.); #125977=VECTOR('',#414569,10.); #125978=VECTOR('',#414570,10.); #125979=VECTOR('',#414571,10.); #125980=VECTOR('',#414574,10.); #125981=VECTOR('',#414575,10.); #125982=VECTOR('',#414576,10.); #125983=VECTOR('',#414579,10.); #125984=VECTOR('',#414580,10.); #125985=VECTOR('',#414581,10.); #125986=VECTOR('',#414588,10.); #125987=VECTOR('',#414591,10.); #125988=VECTOR('',#414592,10.); #125989=VECTOR('',#414593,10.); #125990=VECTOR('',#414596,10.); #125991=VECTOR('',#414597,10.); #125992=VECTOR('',#414598,10.); #125993=VECTOR('',#414605,10.); #125994=VECTOR('',#414608,10.); #125995=VECTOR('',#414609,10.); #125996=VECTOR('',#414610,10.); #125997=VECTOR('',#414617,10.); #125998=VECTOR('',#414624,10.); #125999=VECTOR('',#414627,10.); #126000=VECTOR('',#414628,10.); #126001=VECTOR('',#414629,10.); #126002=VECTOR('',#414632,10.); #126003=VECTOR('',#414633,10.); #126004=VECTOR('',#414634,10.); #126005=VECTOR('',#414641,10.); #126006=VECTOR('',#414644,10.); #126007=VECTOR('',#414645,10.); #126008=VECTOR('',#414646,10.); #126009=VECTOR('',#414649,10.); #126010=VECTOR('',#414650,10.); #126011=VECTOR('',#414651,10.); #126012=VECTOR('',#414658,10.); #126013=VECTOR('',#414661,10.); #126014=VECTOR('',#414662,10.); #126015=VECTOR('',#414663,10.); #126016=VECTOR('',#414666,10.); #126017=VECTOR('',#414667,10.); #126018=VECTOR('',#414676,0.140000000000002); #126019=VECTOR('',#414683,0.140000000000002); #126020=VECTOR('',#414690,0.140000000000002); #126021=VECTOR('',#414697,0.140000000000002); #126022=VECTOR('',#414704,0.140000000000002); #126023=VECTOR('',#414711,0.139999999999998); #126024=VECTOR('',#414718,0.140000000000002); #126025=VECTOR('',#414725,0.140000000000002); #126026=VECTOR('',#414732,0.140000000000002); #126027=VECTOR('',#414739,0.140000000000002); #126028=VECTOR('',#414746,0.465); #126029=VECTOR('',#414751,10.); #126030=VECTOR('',#414752,10.); #126031=VECTOR('',#414753,10.); #126032=VECTOR('',#414754,10.); #126033=VECTOR('',#414757,10.); #126034=VECTOR('',#414758,10.); #126035=VECTOR('',#414759,10.); #126036=VECTOR('',#414762,10.); #126037=VECTOR('',#414763,10.); #126038=VECTOR('',#414764,10.); #126039=VECTOR('',#414767,10.); #126040=VECTOR('',#414768,10.); #126041=VECTOR('',#414769,10.); #126042=VECTOR('',#414772,10.); #126043=VECTOR('',#414773,10.); #126044=VECTOR('',#414774,10.); #126045=VECTOR('',#414777,10.); #126046=VECTOR('',#414778,10.); #126047=VECTOR('',#414779,10.); #126048=VECTOR('',#414782,10.); #126049=VECTOR('',#414783,10.); #126050=VECTOR('',#414784,10.); #126051=VECTOR('',#414787,10.); #126052=VECTOR('',#414788,10.); #126053=VECTOR('',#414789,10.); #126054=VECTOR('',#414792,10.); #126055=VECTOR('',#414793,10.); #126056=VECTOR('',#414794,10.); #126057=VECTOR('',#414797,10.); #126058=VECTOR('',#414798,10.); #126059=VECTOR('',#414799,10.); #126060=VECTOR('',#414802,10.); #126061=VECTOR('',#414803,10.); #126062=VECTOR('',#414804,10.); #126063=VECTOR('',#414807,10.); #126064=VECTOR('',#414808,10.); #126065=VECTOR('',#414809,10.); #126066=VECTOR('',#414812,10.); #126067=VECTOR('',#414813,10.); #126068=VECTOR('',#414814,10.); #126069=VECTOR('',#414817,10.); #126070=VECTOR('',#414818,10.); #126071=VECTOR('',#414819,10.); #126072=VECTOR('',#414822,10.); #126073=VECTOR('',#414823,10.); #126074=VECTOR('',#414824,10.); #126075=VECTOR('',#414827,10.); #126076=VECTOR('',#414828,10.); #126077=VECTOR('',#414829,10.); #126078=VECTOR('',#414832,10.); #126079=VECTOR('',#414833,10.); #126080=VECTOR('',#414834,10.); #126081=VECTOR('',#414837,10.); #126082=VECTOR('',#414838,10.); #126083=VECTOR('',#414839,10.); #126084=VECTOR('',#414842,10.); #126085=VECTOR('',#414843,10.); #126086=VECTOR('',#414844,10.); #126087=VECTOR('',#414847,10.); #126088=VECTOR('',#414848,10.); #126089=VECTOR('',#414849,10.); #126090=VECTOR('',#414852,10.); #126091=VECTOR('',#414853,10.); #126092=VECTOR('',#414854,10.); #126093=VECTOR('',#414857,10.); #126094=VECTOR('',#414858,10.); #126095=VECTOR('',#414859,10.); #126096=VECTOR('',#414862,10.); #126097=VECTOR('',#414863,10.); #126098=VECTOR('',#414864,10.); #126099=VECTOR('',#414867,10.); #126100=VECTOR('',#414868,10.); #126101=VECTOR('',#414869,10.); #126102=VECTOR('',#414876,10.); #126103=VECTOR('',#414879,10.); #126104=VECTOR('',#414880,10.); #126105=VECTOR('',#414881,10.); #126106=VECTOR('',#414884,10.); #126107=VECTOR('',#414885,10.); #126108=VECTOR('',#414886,10.); #126109=VECTOR('',#414893,10.); #126110=VECTOR('',#414896,10.); #126111=VECTOR('',#414897,10.); #126112=VECTOR('',#414898,10.); #126113=VECTOR('',#414901,10.); #126114=VECTOR('',#414902,10.); #126115=VECTOR('',#414903,10.); #126116=VECTOR('',#414906,10.); #126117=VECTOR('',#414907,10.); #126118=VECTOR('',#414908,10.); #126119=VECTOR('',#414911,10.); #126120=VECTOR('',#414912,10.); #126121=VECTOR('',#414913,10.); #126122=VECTOR('',#414916,10.); #126123=VECTOR('',#414917,10.); #126124=VECTOR('',#414918,10.); #126125=VECTOR('',#414921,10.); #126126=VECTOR('',#414922,10.); #126127=VECTOR('',#414923,10.); #126128=VECTOR('',#414926,10.); #126129=VECTOR('',#414927,10.); #126130=VECTOR('',#414928,10.); #126131=VECTOR('',#414931,10.); #126132=VECTOR('',#414932,10.); #126133=VECTOR('',#414933,10.); #126134=VECTOR('',#414940,10.); #126135=VECTOR('',#414943,10.); #126136=VECTOR('',#414944,10.); #126137=VECTOR('',#414945,10.); #126138=VECTOR('',#414952,10.); #126139=VECTOR('',#414955,10.); #126140=VECTOR('',#414956,10.); #126141=VECTOR('',#414957,10.); #126142=VECTOR('',#414960,10.); #126143=VECTOR('',#414961,10.); #126144=VECTOR('',#414962,10.); #126145=VECTOR('',#414965,10.); #126146=VECTOR('',#414966,10.); #126147=VECTOR('',#414967,10.); #126148=VECTOR('',#414970,10.); #126149=VECTOR('',#414971,10.); #126150=VECTOR('',#414972,10.); #126151=VECTOR('',#414975,10.); #126152=VECTOR('',#414976,10.); #126153=VECTOR('',#414977,10.); #126154=VECTOR('',#414980,10.); #126155=VECTOR('',#414981,10.); #126156=VECTOR('',#414982,10.); #126157=VECTOR('',#414985,10.); #126158=VECTOR('',#414986,10.); #126159=VECTOR('',#414987,10.); #126160=VECTOR('',#414990,10.); #126161=VECTOR('',#414991,10.); #126162=VECTOR('',#414992,10.); #126163=VECTOR('',#414995,10.); #126164=VECTOR('',#414996,10.); #126165=VECTOR('',#414997,10.); #126166=VECTOR('',#415000,10.); #126167=VECTOR('',#415001,10.); #126168=VECTOR('',#415002,10.); #126169=VECTOR('',#415005,10.); #126170=VECTOR('',#415006,10.); #126171=VECTOR('',#415007,10.); #126172=VECTOR('',#415010,10.); #126173=VECTOR('',#415011,10.); #126174=VECTOR('',#415012,10.); #126175=VECTOR('',#415015,10.); #126176=VECTOR('',#415016,10.); #126177=VECTOR('',#415017,10.); #126178=VECTOR('',#415020,10.); #126179=VECTOR('',#415021,10.); #126180=VECTOR('',#415022,10.); #126181=VECTOR('',#415025,10.); #126182=VECTOR('',#415026,10.); #126183=VECTOR('',#415027,10.); #126184=VECTOR('',#415034,10.); #126185=VECTOR('',#415037,10.); #126186=VECTOR('',#415038,10.); #126187=VECTOR('',#415039,10.); #126188=VECTOR('',#415046,10.); #126189=VECTOR('',#415049,10.); #126190=VECTOR('',#415050,10.); #126191=VECTOR('',#415051,10.); #126192=VECTOR('',#415054,10.); #126193=VECTOR('',#415055,10.); #126194=VECTOR('',#415056,10.); #126195=VECTOR('',#415059,10.); #126196=VECTOR('',#415060,10.); #126197=VECTOR('',#415061,10.); #126198=VECTOR('',#415064,10.); #126199=VECTOR('',#415065,10.); #126200=VECTOR('',#415066,10.); #126201=VECTOR('',#415069,10.); #126202=VECTOR('',#415070,10.); #126203=VECTOR('',#415071,10.); #126204=VECTOR('',#415074,10.); #126205=VECTOR('',#415075,10.); #126206=VECTOR('',#415076,10.); #126207=VECTOR('',#415079,10.); #126208=VECTOR('',#415080,10.); #126209=VECTOR('',#415081,10.); #126210=VECTOR('',#415084,10.); #126211=VECTOR('',#415085,10.); #126212=VECTOR('',#415086,10.); #126213=VECTOR('',#415089,10.); #126214=VECTOR('',#415090,10.); #126215=VECTOR('',#415091,10.); #126216=VECTOR('',#415094,10.); #126217=VECTOR('',#415095,10.); #126218=VECTOR('',#415096,10.); #126219=VECTOR('',#415099,10.); #126220=VECTOR('',#415100,10.); #126221=VECTOR('',#415101,10.); #126222=VECTOR('',#415104,10.); #126223=VECTOR('',#415105,10.); #126224=VECTOR('',#415106,10.); #126225=VECTOR('',#415109,10.); #126226=VECTOR('',#415110,10.); #126227=VECTOR('',#415111,10.); #126228=VECTOR('',#415114,10.); #126229=VECTOR('',#415115,10.); #126230=VECTOR('',#415116,10.); #126231=VECTOR('',#415119,10.); #126232=VECTOR('',#415120,10.); #126233=VECTOR('',#415121,10.); #126234=VECTOR('',#415124,10.); #126235=VECTOR('',#415125,10.); #126236=VECTOR('',#415126,10.); #126237=VECTOR('',#415129,10.); #126238=VECTOR('',#415130,10.); #126239=VECTOR('',#415131,10.); #126240=VECTOR('',#415134,10.); #126241=VECTOR('',#415135,10.); #126242=VECTOR('',#415136,10.); #126243=VECTOR('',#415139,10.); #126244=VECTOR('',#415140,10.); #126245=VECTOR('',#415141,10.); #126246=VECTOR('',#415144,10.); #126247=VECTOR('',#415145,10.); #126248=VECTOR('',#415146,10.); #126249=VECTOR('',#415149,10.); #126250=VECTOR('',#415150,10.); #126251=VECTOR('',#415151,10.); #126252=VECTOR('',#415154,10.); #126253=VECTOR('',#415155,10.); #126254=VECTOR('',#415156,10.); #126255=VECTOR('',#415159,10.); #126256=VECTOR('',#415160,10.); #126257=VECTOR('',#415161,10.); #126258=VECTOR('',#415164,10.); #126259=VECTOR('',#415165,10.); #126260=VECTOR('',#415166,10.); #126261=VECTOR('',#415169,10.); #126262=VECTOR('',#415170,10.); #126263=VECTOR('',#415171,10.); #126264=VECTOR('',#415174,10.); #126265=VECTOR('',#415175,10.); #126266=VECTOR('',#415176,10.); #126267=VECTOR('',#415179,10.); #126268=VECTOR('',#415180,10.); #126269=VECTOR('',#415181,10.); #126270=VECTOR('',#415184,10.); #126271=VECTOR('',#415185,10.); #126272=VECTOR('',#415186,10.); #126273=VECTOR('',#415189,10.); #126274=VECTOR('',#415190,10.); #126275=VECTOR('',#415191,10.); #126276=VECTOR('',#415194,10.); #126277=VECTOR('',#415195,10.); #126278=VECTOR('',#415196,10.); #126279=VECTOR('',#415199,10.); #126280=VECTOR('',#415200,10.); #126281=VECTOR('',#415201,10.); #126282=VECTOR('',#415204,10.); #126283=VECTOR('',#415205,10.); #126284=VECTOR('',#415206,10.); #126285=VECTOR('',#415209,10.); #126286=VECTOR('',#415210,10.); #126287=VECTOR('',#415211,10.); #126288=VECTOR('',#415214,10.); #126289=VECTOR('',#415215,10.); #126290=VECTOR('',#415216,10.); #126291=VECTOR('',#415219,10.); #126292=VECTOR('',#415220,10.); #126293=VECTOR('',#415221,10.); #126294=VECTOR('',#415224,10.); #126295=VECTOR('',#415225,10.); #126296=VECTOR('',#415226,10.); #126297=VECTOR('',#415229,10.); #126298=VECTOR('',#415230,10.); #126299=VECTOR('',#415231,10.); #126300=VECTOR('',#415234,10.); #126301=VECTOR('',#415235,10.); #126302=VECTOR('',#415236,10.); #126303=VECTOR('',#415239,10.); #126304=VECTOR('',#415240,10.); #126305=VECTOR('',#415241,10.); #126306=VECTOR('',#415244,10.); #126307=VECTOR('',#415245,10.); #126308=VECTOR('',#415246,10.); #126309=VECTOR('',#415249,10.); #126310=VECTOR('',#415250,10.); #126311=VECTOR('',#415251,10.); #126312=VECTOR('',#415254,10.); #126313=VECTOR('',#415255,10.); #126314=VECTOR('',#415256,10.); #126315=VECTOR('',#415259,10.); #126316=VECTOR('',#415260,10.); #126317=VECTOR('',#415261,10.); #126318=VECTOR('',#415264,10.); #126319=VECTOR('',#415265,10.); #126320=VECTOR('',#415266,10.); #126321=VECTOR('',#415269,10.); #126322=VECTOR('',#415270,10.); #126323=VECTOR('',#415271,10.); #126324=VECTOR('',#415274,10.); #126325=VECTOR('',#415275,10.); #126326=VECTOR('',#415276,10.); #126327=VECTOR('',#415279,10.); #126328=VECTOR('',#415280,10.); #126329=VECTOR('',#415281,10.); #126330=VECTOR('',#415284,10.); #126331=VECTOR('',#415285,10.); #126332=VECTOR('',#415286,10.); #126333=VECTOR('',#415289,10.); #126334=VECTOR('',#415290,10.); #126335=VECTOR('',#415291,10.); #126336=VECTOR('',#415294,10.); #126337=VECTOR('',#415295,10.); #126338=VECTOR('',#415296,10.); #126339=VECTOR('',#415299,10.); #126340=VECTOR('',#415300,10.); #126341=VECTOR('',#415301,10.); #126342=VECTOR('',#415304,10.); #126343=VECTOR('',#415305,10.); #126344=VECTOR('',#415306,10.); #126345=VECTOR('',#415309,10.); #126346=VECTOR('',#415310,10.); #126347=VECTOR('',#415311,10.); #126348=VECTOR('',#415314,10.); #126349=VECTOR('',#415315,10.); #126350=VECTOR('',#415316,10.); #126351=VECTOR('',#415319,10.); #126352=VECTOR('',#415320,10.); #126353=VECTOR('',#415321,10.); #126354=VECTOR('',#415324,10.); #126355=VECTOR('',#415325,10.); #126356=VECTOR('',#415326,10.); #126357=VECTOR('',#415329,10.); #126358=VECTOR('',#415330,10.); #126359=VECTOR('',#415331,10.); #126360=VECTOR('',#415334,10.); #126361=VECTOR('',#415335,10.); #126362=VECTOR('',#415336,10.); #126363=VECTOR('',#415339,10.); #126364=VECTOR('',#415340,10.); #126365=VECTOR('',#415341,10.); #126366=VECTOR('',#415344,10.); #126367=VECTOR('',#415345,10.); #126368=VECTOR('',#415346,10.); #126369=VECTOR('',#415349,10.); #126370=VECTOR('',#415350,10.); #126371=VECTOR('',#415351,10.); #126372=VECTOR('',#415354,10.); #126373=VECTOR('',#415355,10.); #126374=VECTOR('',#415356,10.); #126375=VECTOR('',#415359,10.); #126376=VECTOR('',#415360,10.); #126377=VECTOR('',#415361,10.); #126378=VECTOR('',#415364,10.); #126379=VECTOR('',#415365,10.); #126380=VECTOR('',#415366,10.); #126381=VECTOR('',#415369,10.); #126382=VECTOR('',#415370,10.); #126383=VECTOR('',#415371,10.); #126384=VECTOR('',#415374,10.); #126385=VECTOR('',#415375,10.); #126386=VECTOR('',#415376,10.); #126387=VECTOR('',#415379,10.); #126388=VECTOR('',#415380,10.); #126389=VECTOR('',#415389,0.140000000000002); #126390=VECTOR('',#415396,0.140000000000002); #126391=VECTOR('',#415403,0.140000000000002); #126392=VECTOR('',#415410,0.140000000000002); #126393=VECTOR('',#415417,0.140000000000002); #126394=VECTOR('',#415424,0.140000000000002); #126395=VECTOR('',#415431,0.140000000000002); #126396=VECTOR('',#415438,0.140000000000002); #126397=VECTOR('',#415445,0.140000000000002); #126398=VECTOR('',#415452,0.140000000000002); #126399=VECTOR('',#415459,0.140000000000002); #126400=VECTOR('',#415466,0.140000000000002); #126401=VECTOR('',#415473,0.140000000000002); #126402=VECTOR('',#415480,0.140000000000002); #126403=VECTOR('',#415487,0.140000000000002); #126404=VECTOR('',#415494,0.140000000000002); #126405=VECTOR('',#415501,0.140000000000002); #126406=VECTOR('',#415508,0.140000000000002); #126407=VECTOR('',#415515,0.140000000000002); #126408=VECTOR('',#415522,0.140000000000002); #126409=VECTOR('',#415529,0.140000000000002); #126410=VECTOR('',#415536,0.140000000000002); #126411=VECTOR('',#415543,0.140000000000002); #126412=VECTOR('',#415550,0.140000000000002); #126413=VECTOR('',#415557,0.140000000000002); #126414=VECTOR('',#415564,0.140000000000002); #126415=VECTOR('',#415571,0.140000000000002); #126416=VECTOR('',#415578,0.140000000000002); #126417=VECTOR('',#415585,0.140000000000002); #126418=VECTOR('',#415592,0.140000000000002); #126419=VECTOR('',#415599,0.140000000000002); #126420=VECTOR('',#415604,10.); #126421=VECTOR('',#415605,10.); #126422=VECTOR('',#415606,10.); #126423=VECTOR('',#415607,10.); #126424=VECTOR('',#415614,10.); #126425=VECTOR('',#415617,10.); #126426=VECTOR('',#415618,10.); #126427=VECTOR('',#415619,10.); #126428=VECTOR('',#415626,10.); #126429=VECTOR('',#415629,10.); #126430=VECTOR('',#415630,10.); #126431=VECTOR('',#415631,10.); #126432=VECTOR('',#415638,10.); #126433=VECTOR('',#415641,10.); #126434=VECTOR('',#415642,10.); #126435=VECTOR('',#415643,10.); #126436=VECTOR('',#415646,10.); #126437=VECTOR('',#415647,10.); #126438=VECTOR('',#415648,10.); #126439=VECTOR('',#415651,10.); #126440=VECTOR('',#415652,10.); #126441=VECTOR('',#415653,10.); #126442=VECTOR('',#415656,10.); #126443=VECTOR('',#415657,10.); #126444=VECTOR('',#415658,10.); #126445=VECTOR('',#415661,10.); #126446=VECTOR('',#415662,10.); #126447=VECTOR('',#415663,10.); #126448=VECTOR('',#415666,10.); #126449=VECTOR('',#415667,10.); #126450=VECTOR('',#415668,10.); #126451=VECTOR('',#415671,10.); #126452=VECTOR('',#415672,10.); #126453=VECTOR('',#415673,10.); #126454=VECTOR('',#415676,10.); #126455=VECTOR('',#415677,10.); #126456=VECTOR('',#415678,10.); #126457=VECTOR('',#415681,10.); #126458=VECTOR('',#415682,10.); #126459=VECTOR('',#415683,10.); #126460=VECTOR('',#415686,10.); #126461=VECTOR('',#415687,10.); #126462=VECTOR('',#415688,10.); #126463=VECTOR('',#415691,10.); #126464=VECTOR('',#415692,10.); #126465=VECTOR('',#415693,10.); #126466=VECTOR('',#415696,10.); #126467=VECTOR('',#415697,10.); #126468=VECTOR('',#415698,10.); #126469=VECTOR('',#415701,10.); #126470=VECTOR('',#415702,10.); #126471=VECTOR('',#415703,10.); #126472=VECTOR('',#415706,10.); #126473=VECTOR('',#415707,10.); #126474=VECTOR('',#415708,10.); #126475=VECTOR('',#415711,10.); #126476=VECTOR('',#415712,10.); #126477=VECTOR('',#415713,10.); #126478=VECTOR('',#415716,10.); #126479=VECTOR('',#415717,10.); #126480=VECTOR('',#415718,10.); #126481=VECTOR('',#415721,10.); #126482=VECTOR('',#415722,10.); #126483=VECTOR('',#415723,10.); #126484=VECTOR('',#415726,10.); #126485=VECTOR('',#415727,10.); #126486=VECTOR('',#415728,10.); #126487=VECTOR('',#415731,10.); #126488=VECTOR('',#415732,10.); #126489=VECTOR('',#415733,10.); #126490=VECTOR('',#415736,10.); #126491=VECTOR('',#415737,10.); #126492=VECTOR('',#415738,10.); #126493=VECTOR('',#415741,10.); #126494=VECTOR('',#415742,10.); #126495=VECTOR('',#415743,10.); #126496=VECTOR('',#415746,10.); #126497=VECTOR('',#415747,10.); #126498=VECTOR('',#415748,10.); #126499=VECTOR('',#415751,10.); #126500=VECTOR('',#415752,10.); #126501=VECTOR('',#415753,10.); #126502=VECTOR('',#415756,10.); #126503=VECTOR('',#415757,10.); #126504=VECTOR('',#415758,10.); #126505=VECTOR('',#415761,10.); #126506=VECTOR('',#415762,10.); #126507=VECTOR('',#415763,10.); #126508=VECTOR('',#415766,10.); #126509=VECTOR('',#415767,10.); #126510=VECTOR('',#415768,10.); #126511=VECTOR('',#415771,10.); #126512=VECTOR('',#415772,10.); #126513=VECTOR('',#415773,10.); #126514=VECTOR('',#415776,10.); #126515=VECTOR('',#415777,10.); #126516=VECTOR('',#415778,10.); #126517=VECTOR('',#415781,10.); #126518=VECTOR('',#415782,10.); #126519=VECTOR('',#415783,10.); #126520=VECTOR('',#415786,10.); #126521=VECTOR('',#415787,10.); #126522=VECTOR('',#415788,10.); #126523=VECTOR('',#415791,10.); #126524=VECTOR('',#415792,10.); #126525=VECTOR('',#415793,10.); #126526=VECTOR('',#415796,10.); #126527=VECTOR('',#415797,10.); #126528=VECTOR('',#415798,10.); #126529=VECTOR('',#415801,10.); #126530=VECTOR('',#415802,10.); #126531=VECTOR('',#415803,10.); #126532=VECTOR('',#415806,10.); #126533=VECTOR('',#415807,10.); #126534=VECTOR('',#415808,10.); #126535=VECTOR('',#415811,10.); #126536=VECTOR('',#415812,10.); #126537=VECTOR('',#415813,10.); #126538=VECTOR('',#415816,10.); #126539=VECTOR('',#415817,10.); #126540=VECTOR('',#415818,10.); #126541=VECTOR('',#415821,10.); #126542=VECTOR('',#415822,10.); #126543=VECTOR('',#415823,10.); #126544=VECTOR('',#415826,10.); #126545=VECTOR('',#415827,10.); #126546=VECTOR('',#415828,10.); #126547=VECTOR('',#415831,10.); #126548=VECTOR('',#415832,10.); #126549=VECTOR('',#415833,10.); #126550=VECTOR('',#415836,10.); #126551=VECTOR('',#415837,10.); #126552=VECTOR('',#415838,10.); #126553=VECTOR('',#415841,10.); #126554=VECTOR('',#415842,10.); #126555=VECTOR('',#415843,10.); #126556=VECTOR('',#415846,10.); #126557=VECTOR('',#415847,10.); #126558=VECTOR('',#415848,10.); #126559=VECTOR('',#415851,10.); #126560=VECTOR('',#415852,10.); #126561=VECTOR('',#415853,10.); #126562=VECTOR('',#415856,10.); #126563=VECTOR('',#415857,10.); #126564=VECTOR('',#415858,10.); #126565=VECTOR('',#415861,10.); #126566=VECTOR('',#415862,10.); #126567=VECTOR('',#415863,10.); #126568=VECTOR('',#415866,10.); #126569=VECTOR('',#415867,10.); #126570=VECTOR('',#415868,10.); #126571=VECTOR('',#415871,10.); #126572=VECTOR('',#415872,10.); #126573=VECTOR('',#415873,10.); #126574=VECTOR('',#415876,10.); #126575=VECTOR('',#415877,10.); #126576=VECTOR('',#415878,10.); #126577=VECTOR('',#415881,10.); #126578=VECTOR('',#415882,10.); #126579=VECTOR('',#415883,10.); #126580=VECTOR('',#415886,10.); #126581=VECTOR('',#415887,10.); #126582=VECTOR('',#415888,10.); #126583=VECTOR('',#415891,10.); #126584=VECTOR('',#415892,10.); #126585=VECTOR('',#415893,10.); #126586=VECTOR('',#415896,10.); #126587=VECTOR('',#415897,10.); #126588=VECTOR('',#415898,10.); #126589=VECTOR('',#415901,10.); #126590=VECTOR('',#415902,10.); #126591=VECTOR('',#415903,10.); #126592=VECTOR('',#415906,10.); #126593=VECTOR('',#415907,10.); #126594=VECTOR('',#415908,10.); #126595=VECTOR('',#415911,10.); #126596=VECTOR('',#415912,10.); #126597=VECTOR('',#415913,10.); #126598=VECTOR('',#415916,10.); #126599=VECTOR('',#415917,10.); #126600=VECTOR('',#415918,10.); #126601=VECTOR('',#415921,10.); #126602=VECTOR('',#415922,10.); #126603=VECTOR('',#415923,10.); #126604=VECTOR('',#415926,10.); #126605=VECTOR('',#415927,10.); #126606=VECTOR('',#415928,10.); #126607=VECTOR('',#415931,10.); #126608=VECTOR('',#415932,10.); #126609=VECTOR('',#415933,10.); #126610=VECTOR('',#415936,10.); #126611=VECTOR('',#415937,10.); #126612=VECTOR('',#415938,10.); #126613=VECTOR('',#415941,10.); #126614=VECTOR('',#415942,10.); #126615=VECTOR('',#415943,10.); #126616=VECTOR('',#415946,10.); #126617=VECTOR('',#415947,10.); #126618=VECTOR('',#415948,10.); #126619=VECTOR('',#415951,10.); #126620=VECTOR('',#415952,10.); #126621=VECTOR('',#415953,10.); #126622=VECTOR('',#415956,10.); #126623=VECTOR('',#415957,10.); #126624=VECTOR('',#415958,10.); #126625=VECTOR('',#415961,10.); #126626=VECTOR('',#415962,10.); #126627=VECTOR('',#415963,10.); #126628=VECTOR('',#415966,10.); #126629=VECTOR('',#415967,10.); #126630=VECTOR('',#415968,10.); #126631=VECTOR('',#415971,10.); #126632=VECTOR('',#415972,10.); #126633=VECTOR('',#415973,10.); #126634=VECTOR('',#415980,10.); #126635=VECTOR('',#415983,10.); #126636=VECTOR('',#415984,10.); #126637=VECTOR('',#415985,10.); #126638=VECTOR('',#415992,10.); #126639=VECTOR('',#415995,10.); #126640=VECTOR('',#415996,10.); #126641=VECTOR('',#415997,10.); #126642=VECTOR('',#416000,10.); #126643=VECTOR('',#416001,10.); #126644=VECTOR('',#416002,10.); #126645=VECTOR('',#416005,10.); #126646=VECTOR('',#416006,10.); #126647=VECTOR('',#416007,10.); #126648=VECTOR('',#416022,0.140000000000002); #126649=VECTOR('',#416027,10.); #126650=VECTOR('',#416028,10.); #126651=VECTOR('',#416029,10.); #126652=VECTOR('',#416030,10.); #126653=VECTOR('',#416033,10.); #126654=VECTOR('',#416034,10.); #126655=VECTOR('',#416035,10.); #126656=VECTOR('',#416038,10.); #126657=VECTOR('',#416039,10.); #126658=VECTOR('',#416040,10.); #126659=VECTOR('',#416043,10.); #126660=VECTOR('',#416044,10.); #126661=VECTOR('',#416045,10.); #126662=VECTOR('',#416048,10.); #126663=VECTOR('',#416049,10.); #126664=VECTOR('',#416050,10.); #126665=VECTOR('',#416057,10.); #126666=VECTOR('',#416060,10.); #126667=VECTOR('',#416061,10.); #126668=VECTOR('',#416062,10.); #126669=VECTOR('',#416065,10.); #126670=VECTOR('',#416066,10.); #126671=VECTOR('',#416067,10.); #126672=VECTOR('',#416074,10.); #126673=VECTOR('',#416077,10.); #126674=VECTOR('',#416078,10.); #126675=VECTOR('',#416079,10.); #126676=VECTOR('',#416082,10.); #126677=VECTOR('',#416083,10.); #126678=VECTOR('',#416084,10.); #126679=VECTOR('',#416091,10.); #126680=VECTOR('',#416098,10.); #126681=VECTOR('',#416101,10.); #126682=VECTOR('',#416102,10.); #126683=VECTOR('',#416103,10.); #126684=VECTOR('',#416110,10.); #126685=VECTOR('',#416113,10.); #126686=VECTOR('',#416114,10.); #126687=VECTOR('',#416115,10.); #126688=VECTOR('',#416118,10.); #126689=VECTOR('',#416119,10.); #126690=VECTOR('',#416120,10.); #126691=VECTOR('',#416127,10.); #126692=VECTOR('',#416130,10.); #126693=VECTOR('',#416131,10.); #126694=VECTOR('',#416132,10.); #126695=VECTOR('',#416135,10.); #126696=VECTOR('',#416136,10.); #126697=VECTOR('',#416137,10.); #126698=VECTOR('',#416140,10.); #126699=VECTOR('',#416141,10.); #126700=VECTOR('',#416150,0.139999999999998); #126701=VECTOR('',#416157,0.139999999999998); #126702=VECTOR('',#416164,0.139999999999998); #126703=VECTOR('',#416171,0.139999999999998); #126704=VECTOR('',#416178,0.114999999999998); #126705=VECTOR('',#416185,0.114999999999998); #126706=VECTOR('',#416192,0.114999999999998); #126707=VECTOR('',#416199,0.114999999999998); #126708=VECTOR('',#416206,0.139999999999998); #126709=VECTOR('',#416213,0.139999999999998); #126710=VECTOR('',#416220,0.139999999999998); #126711=VECTOR('',#416227,0.139999999999998); #126712=VECTOR('',#416234,0.139999999999998); #126713=VECTOR('',#416241,0.139999999999998); #126714=VECTOR('',#416248,0.139999999999998); #126715=VECTOR('',#416255,0.139999999999998); #126716=VECTOR('',#416260,10.); #126717=VECTOR('',#416261,10.); #126718=VECTOR('',#416262,10.); #126719=VECTOR('',#416263,10.); #126720=VECTOR('',#416266,10.); #126721=VECTOR('',#416267,10.); #126722=VECTOR('',#416268,10.); #126723=VECTOR('',#416271,10.); #126724=VECTOR('',#416272,10.); #126725=VECTOR('',#416273,10.); #126726=VECTOR('',#416276,10.); #126727=VECTOR('',#416277,10.); #126728=VECTOR('',#416278,10.); #126729=VECTOR('',#416281,10.); #126730=VECTOR('',#416282,10.); #126731=VECTOR('',#416283,10.); #126732=VECTOR('',#416286,10.); #126733=VECTOR('',#416287,10.); #126734=VECTOR('',#416288,10.); #126735=VECTOR('',#416291,10.); #126736=VECTOR('',#416292,10.); #126737=VECTOR('',#416293,10.); #126738=VECTOR('',#416296,10.); #126739=VECTOR('',#416297,10.); #126740=VECTOR('',#416298,10.); #126741=VECTOR('',#416301,10.); #126742=VECTOR('',#416302,10.); #126743=VECTOR('',#416303,10.); #126744=VECTOR('',#416306,10.); #126745=VECTOR('',#416307,10.); #126746=VECTOR('',#416308,10.); #126747=VECTOR('',#416311,10.); #126748=VECTOR('',#416312,10.); #126749=VECTOR('',#416313,10.); #126750=VECTOR('',#416316,10.); #126751=VECTOR('',#416317,10.); #126752=VECTOR('',#416318,10.); #126753=VECTOR('',#416321,10.); #126754=VECTOR('',#416322,10.); #126755=VECTOR('',#416323,10.); #126756=VECTOR('',#416326,10.); #126757=VECTOR('',#416327,10.); #126758=VECTOR('',#416328,10.); #126759=VECTOR('',#416331,10.); #126760=VECTOR('',#416332,10.); #126761=VECTOR('',#416333,10.); #126762=VECTOR('',#416336,10.); #126763=VECTOR('',#416337,10.); #126764=VECTOR('',#416338,10.); #126765=VECTOR('',#416341,10.); #126766=VECTOR('',#416342,10.); #126767=VECTOR('',#416343,10.); #126768=VECTOR('',#416346,10.); #126769=VECTOR('',#416347,10.); #126770=VECTOR('',#416348,10.); #126771=VECTOR('',#416351,10.); #126772=VECTOR('',#416352,10.); #126773=VECTOR('',#416353,10.); #126774=VECTOR('',#416356,10.); #126775=VECTOR('',#416357,10.); #126776=VECTOR('',#416358,10.); #126777=VECTOR('',#416361,10.); #126778=VECTOR('',#416362,10.); #126779=VECTOR('',#416363,10.); #126780=VECTOR('',#416366,10.); #126781=VECTOR('',#416367,10.); #126782=VECTOR('',#416368,10.); #126783=VECTOR('',#416371,10.); #126784=VECTOR('',#416372,10.); #126785=VECTOR('',#416373,10.); #126786=VECTOR('',#416376,10.); #126787=VECTOR('',#416377,10.); #126788=VECTOR('',#416380,10.); #126789=VECTOR('',#416381,10.); #126790=VECTOR('',#416382,10.); #126791=VECTOR('',#416383,10.); #126792=VECTOR('',#416386,10.); #126793=VECTOR('',#416387,10.); #126794=VECTOR('',#416388,10.); #126795=VECTOR('',#416391,10.); #126796=VECTOR('',#416392,10.); #126797=VECTOR('',#416393,10.); #126798=VECTOR('',#416396,10.); #126799=VECTOR('',#416397,10.); #126800=VECTOR('',#416398,10.); #126801=VECTOR('',#416401,10.); #126802=VECTOR('',#416402,10.); #126803=VECTOR('',#416403,10.); #126804=VECTOR('',#416406,10.); #126805=VECTOR('',#416407,10.); #126806=VECTOR('',#416408,10.); #126807=VECTOR('',#416411,10.); #126808=VECTOR('',#416412,10.); #126809=VECTOR('',#416413,10.); #126810=VECTOR('',#416416,10.); #126811=VECTOR('',#416417,10.); #126812=VECTOR('',#416418,10.); #126813=VECTOR('',#416421,10.); #126814=VECTOR('',#416422,10.); #126815=VECTOR('',#416423,10.); #126816=VECTOR('',#416426,10.); #126817=VECTOR('',#416427,10.); #126818=VECTOR('',#416428,10.); #126819=VECTOR('',#416431,10.); #126820=VECTOR('',#416432,10.); #126821=VECTOR('',#416433,10.); #126822=VECTOR('',#416436,10.); #126823=VECTOR('',#416437,10.); #126824=VECTOR('',#416438,10.); #126825=VECTOR('',#416441,10.); #126826=VECTOR('',#416442,10.); #126827=VECTOR('',#416443,10.); #126828=VECTOR('',#416446,10.); #126829=VECTOR('',#416447,10.); #126830=VECTOR('',#416448,10.); #126831=VECTOR('',#416451,10.); #126832=VECTOR('',#416452,10.); #126833=VECTOR('',#416453,10.); #126834=VECTOR('',#416456,10.); #126835=VECTOR('',#416457,10.); #126836=VECTOR('',#416458,10.); #126837=VECTOR('',#416461,10.); #126838=VECTOR('',#416462,10.); #126839=VECTOR('',#416463,10.); #126840=VECTOR('',#416466,10.); #126841=VECTOR('',#416467,10.); #126842=VECTOR('',#416468,10.); #126843=VECTOR('',#416471,10.); #126844=VECTOR('',#416472,10.); #126845=VECTOR('',#416473,10.); #126846=VECTOR('',#416476,10.); #126847=VECTOR('',#416477,10.); #126848=VECTOR('',#416478,10.); #126849=VECTOR('',#416481,10.); #126850=VECTOR('',#416482,10.); #126851=VECTOR('',#416483,10.); #126852=VECTOR('',#416486,10.); #126853=VECTOR('',#416487,10.); #126854=VECTOR('',#416488,10.); #126855=VECTOR('',#416491,10.); #126856=VECTOR('',#416492,10.); #126857=VECTOR('',#416493,10.); #126858=VECTOR('',#416496,10.); #126859=VECTOR('',#416497,10.); #126860=VECTOR('',#416498,10.); #126861=VECTOR('',#416501,10.); #126862=VECTOR('',#416502,10.); #126863=VECTOR('',#416503,10.); #126864=VECTOR('',#416506,10.); #126865=VECTOR('',#416507,10.); #126866=VECTOR('',#416508,10.); #126867=VECTOR('',#416511,10.); #126868=VECTOR('',#416512,10.); #126869=VECTOR('',#416513,10.); #126870=VECTOR('',#416516,10.); #126871=VECTOR('',#416517,10.); #126872=VECTOR('',#416518,10.); #126873=VECTOR('',#416521,10.); #126874=VECTOR('',#416522,10.); #126875=VECTOR('',#416523,10.); #126876=VECTOR('',#416526,10.); #126877=VECTOR('',#416527,10.); #126878=VECTOR('',#416528,10.); #126879=VECTOR('',#416531,10.); #126880=VECTOR('',#416532,10.); #126881=VECTOR('',#416533,10.); #126882=VECTOR('',#416536,10.); #126883=VECTOR('',#416537,10.); #126884=VECTOR('',#416538,10.); #126885=VECTOR('',#416541,10.); #126886=VECTOR('',#416542,10.); #126887=VECTOR('',#416543,10.); #126888=VECTOR('',#416546,10.); #126889=VECTOR('',#416547,10.); #126890=VECTOR('',#416548,10.); #126891=VECTOR('',#416551,10.); #126892=VECTOR('',#416552,10.); #126893=VECTOR('',#416553,10.); #126894=VECTOR('',#416556,10.); #126895=VECTOR('',#416557,10.); #126896=VECTOR('',#416558,10.); #126897=VECTOR('',#416561,10.); #126898=VECTOR('',#416562,10.); #126899=VECTOR('',#416563,10.); #126900=VECTOR('',#416566,10.); #126901=VECTOR('',#416567,10.); #126902=VECTOR('',#416568,10.); #126903=VECTOR('',#416571,10.); #126904=VECTOR('',#416572,10.); #126905=VECTOR('',#416573,10.); #126906=VECTOR('',#416576,10.); #126907=VECTOR('',#416577,10.); #126908=VECTOR('',#416578,10.); #126909=VECTOR('',#416581,10.); #126910=VECTOR('',#416582,10.); #126911=VECTOR('',#416583,10.); #126912=VECTOR('',#416586,10.); #126913=VECTOR('',#416587,10.); #126914=VECTOR('',#416588,10.); #126915=VECTOR('',#416591,10.); #126916=VECTOR('',#416592,10.); #126917=VECTOR('',#416593,10.); #126918=VECTOR('',#416596,10.); #126919=VECTOR('',#416597,10.); #126920=VECTOR('',#416598,10.); #126921=VECTOR('',#416601,10.); #126922=VECTOR('',#416602,10.); #126923=VECTOR('',#416603,10.); #126924=VECTOR('',#416606,10.); #126925=VECTOR('',#416607,10.); #126926=VECTOR('',#416608,10.); #126927=VECTOR('',#416611,10.); #126928=VECTOR('',#416612,10.); #126929=VECTOR('',#416613,10.); #126930=VECTOR('',#416616,10.); #126931=VECTOR('',#416617,10.); #126932=VECTOR('',#416618,10.); #126933=VECTOR('',#416621,10.); #126934=VECTOR('',#416622,10.); #126935=VECTOR('',#416623,10.); #126936=VECTOR('',#416626,10.); #126937=VECTOR('',#416627,10.); #126938=VECTOR('',#416628,10.); #126939=VECTOR('',#416631,10.); #126940=VECTOR('',#416632,10.); #126941=VECTOR('',#416633,10.); #126942=VECTOR('',#416636,10.); #126943=VECTOR('',#416637,10.); #126944=VECTOR('',#416638,10.); #126945=VECTOR('',#416641,10.); #126946=VECTOR('',#416642,10.); #126947=VECTOR('',#416643,10.); #126948=VECTOR('',#416646,10.); #126949=VECTOR('',#416647,10.); #126950=VECTOR('',#416648,10.); #126951=VECTOR('',#416651,10.); #126952=VECTOR('',#416652,10.); #126953=VECTOR('',#416653,10.); #126954=VECTOR('',#416656,10.); #126955=VECTOR('',#416657,10.); #126956=VECTOR('',#416658,10.); #126957=VECTOR('',#416661,10.); #126958=VECTOR('',#416662,10.); #126959=VECTOR('',#416663,10.); #126960=VECTOR('',#416666,10.); #126961=VECTOR('',#416667,10.); #126962=VECTOR('',#416668,10.); #126963=VECTOR('',#416671,10.); #126964=VECTOR('',#416672,10.); #126965=VECTOR('',#416673,10.); #126966=VECTOR('',#416676,10.); #126967=VECTOR('',#416677,10.); #126968=VECTOR('',#416678,10.); #126969=VECTOR('',#416681,10.); #126970=VECTOR('',#416682,10.); #126971=VECTOR('',#416683,10.); #126972=VECTOR('',#416686,10.); #126973=VECTOR('',#416687,10.); #126974=VECTOR('',#416688,10.); #126975=VECTOR('',#416691,10.); #126976=VECTOR('',#416692,10.); #126977=VECTOR('',#416693,10.); #126978=VECTOR('',#416696,10.); #126979=VECTOR('',#416697,10.); #126980=VECTOR('',#416698,10.); #126981=VECTOR('',#416701,10.); #126982=VECTOR('',#416702,10.); #126983=VECTOR('',#416703,10.); #126984=VECTOR('',#416706,10.); #126985=VECTOR('',#416707,10.); #126986=VECTOR('',#416708,10.); #126987=VECTOR('',#416711,10.); #126988=VECTOR('',#416712,10.); #126989=VECTOR('',#416713,10.); #126990=VECTOR('',#416716,10.); #126991=VECTOR('',#416717,10.); #126992=VECTOR('',#416718,10.); #126993=VECTOR('',#416721,10.); #126994=VECTOR('',#416722,10.); #126995=VECTOR('',#416723,10.); #126996=VECTOR('',#416726,10.); #126997=VECTOR('',#416727,10.); #126998=VECTOR('',#416728,10.); #126999=VECTOR('',#416731,10.); #127000=VECTOR('',#416732,10.); #127001=VECTOR('',#416733,10.); #127002=VECTOR('',#416736,10.); #127003=VECTOR('',#416737,10.); #127004=VECTOR('',#416738,10.); #127005=VECTOR('',#416741,10.); #127006=VECTOR('',#416742,10.); #127007=VECTOR('',#416743,10.); #127008=VECTOR('',#416746,10.); #127009=VECTOR('',#416747,10.); #127010=VECTOR('',#416748,10.); #127011=VECTOR('',#416751,10.); #127012=VECTOR('',#416752,10.); #127013=VECTOR('',#416753,10.); #127014=VECTOR('',#416756,10.); #127015=VECTOR('',#416757,10.); #127016=VECTOR('',#416758,10.); #127017=VECTOR('',#416761,10.); #127018=VECTOR('',#416762,10.); #127019=VECTOR('',#416763,10.); #127020=VECTOR('',#416766,10.); #127021=VECTOR('',#416767,10.); #127022=VECTOR('',#416768,10.); #127023=VECTOR('',#416771,10.); #127024=VECTOR('',#416772,10.); #127025=VECTOR('',#416773,10.); #127026=VECTOR('',#416776,10.); #127027=VECTOR('',#416777,10.); #127028=VECTOR('',#416778,10.); #127029=VECTOR('',#416781,10.); #127030=VECTOR('',#416782,10.); #127031=VECTOR('',#416783,10.); #127032=VECTOR('',#416786,10.); #127033=VECTOR('',#416787,10.); #127034=VECTOR('',#416788,10.); #127035=VECTOR('',#416791,10.); #127036=VECTOR('',#416792,10.); #127037=VECTOR('',#416793,10.); #127038=VECTOR('',#416796,10.); #127039=VECTOR('',#416797,10.); #127040=VECTOR('',#416798,10.); #127041=VECTOR('',#416801,10.); #127042=VECTOR('',#416802,10.); #127043=VECTOR('',#416803,10.); #127044=VECTOR('',#416806,10.); #127045=VECTOR('',#416807,10.); #127046=VECTOR('',#416808,10.); #127047=VECTOR('',#416811,10.); #127048=VECTOR('',#416812,10.); #127049=VECTOR('',#416813,10.); #127050=VECTOR('',#416816,10.); #127051=VECTOR('',#416817,10.); #127052=VECTOR('',#416818,10.); #127053=VECTOR('',#416821,10.); #127054=VECTOR('',#416822,10.); #127055=VECTOR('',#416823,10.); #127056=VECTOR('',#416826,10.); #127057=VECTOR('',#416827,10.); #127058=VECTOR('',#416828,10.); #127059=VECTOR('',#416831,10.); #127060=VECTOR('',#416832,10.); #127061=VECTOR('',#416833,10.); #127062=VECTOR('',#416836,10.); #127063=VECTOR('',#416837,10.); #127064=VECTOR('',#416838,10.); #127065=VECTOR('',#416841,10.); #127066=VECTOR('',#416842,10.); #127067=VECTOR('',#416843,10.); #127068=VECTOR('',#416846,10.); #127069=VECTOR('',#416847,10.); #127070=VECTOR('',#416848,10.); #127071=VECTOR('',#416851,10.); #127072=VECTOR('',#416852,10.); #127073=VECTOR('',#416853,10.); #127074=VECTOR('',#416856,10.); #127075=VECTOR('',#416857,10.); #127076=VECTOR('',#416858,10.); #127077=VECTOR('',#416861,10.); #127078=VECTOR('',#416862,10.); #127079=VECTOR('',#416863,10.); #127080=VECTOR('',#416866,10.); #127081=VECTOR('',#416867,10.); #127082=VECTOR('',#416868,10.); #127083=VECTOR('',#416871,10.); #127084=VECTOR('',#416872,10.); #127085=VECTOR('',#416873,10.); #127086=VECTOR('',#416876,10.); #127087=VECTOR('',#416877,10.); #127088=VECTOR('',#416878,10.); #127089=VECTOR('',#416881,10.); #127090=VECTOR('',#416882,10.); #127091=VECTOR('',#416883,10.); #127092=VECTOR('',#416886,10.); #127093=VECTOR('',#416887,10.); #127094=VECTOR('',#416888,10.); #127095=VECTOR('',#416891,10.); #127096=VECTOR('',#416892,10.); #127097=VECTOR('',#416893,10.); #127098=VECTOR('',#416896,10.); #127099=VECTOR('',#416897,10.); #127100=VECTOR('',#416898,10.); #127101=VECTOR('',#416901,10.); #127102=VECTOR('',#416902,10.); #127103=VECTOR('',#416903,10.); #127104=VECTOR('',#416906,10.); #127105=VECTOR('',#416907,10.); #127106=VECTOR('',#416908,10.); #127107=VECTOR('',#416911,10.); #127108=VECTOR('',#416912,10.); #127109=VECTOR('',#416913,10.); #127110=VECTOR('',#416916,10.); #127111=VECTOR('',#416917,10.); #127112=VECTOR('',#416918,10.); #127113=VECTOR('',#416921,10.); #127114=VECTOR('',#416922,10.); #127115=VECTOR('',#416923,10.); #127116=VECTOR('',#416926,10.); #127117=VECTOR('',#416927,10.); #127118=VECTOR('',#416928,10.); #127119=VECTOR('',#416931,10.); #127120=VECTOR('',#416932,10.); #127121=VECTOR('',#416933,10.); #127122=VECTOR('',#416936,10.); #127123=VECTOR('',#416937,10.); #127124=VECTOR('',#416938,10.); #127125=VECTOR('',#416941,10.); #127126=VECTOR('',#416942,10.); #127127=VECTOR('',#416943,10.); #127128=VECTOR('',#416946,10.); #127129=VECTOR('',#416947,10.); #127130=VECTOR('',#416948,10.); #127131=VECTOR('',#416951,10.); #127132=VECTOR('',#416952,10.); #127133=VECTOR('',#416953,10.); #127134=VECTOR('',#416956,10.); #127135=VECTOR('',#416957,10.); #127136=VECTOR('',#416958,10.); #127137=VECTOR('',#416961,10.); #127138=VECTOR('',#416962,10.); #127139=VECTOR('',#416963,10.); #127140=VECTOR('',#416966,10.); #127141=VECTOR('',#416967,10.); #127142=VECTOR('',#416968,10.); #127143=VECTOR('',#416971,10.); #127144=VECTOR('',#416972,10.); #127145=VECTOR('',#416973,10.); #127146=VECTOR('',#416976,10.); #127147=VECTOR('',#416977,10.); #127148=VECTOR('',#416978,10.); #127149=VECTOR('',#416981,10.); #127150=VECTOR('',#416982,10.); #127151=VECTOR('',#416983,10.); #127152=VECTOR('',#416986,10.); #127153=VECTOR('',#416987,10.); #127154=VECTOR('',#416988,10.); #127155=VECTOR('',#416991,10.); #127156=VECTOR('',#416992,10.); #127157=VECTOR('',#416993,10.); #127158=VECTOR('',#416996,10.); #127159=VECTOR('',#416997,10.); #127160=VECTOR('',#416998,10.); #127161=VECTOR('',#417001,10.); #127162=VECTOR('',#417002,10.); #127163=VECTOR('',#417003,10.); #127164=VECTOR('',#417006,10.); #127165=VECTOR('',#417007,10.); #127166=VECTOR('',#417008,10.); #127167=VECTOR('',#417011,10.); #127168=VECTOR('',#417012,10.); #127169=VECTOR('',#417013,10.); #127170=VECTOR('',#417016,10.); #127171=VECTOR('',#417017,10.); #127172=VECTOR('',#417018,10.); #127173=VECTOR('',#417021,10.); #127174=VECTOR('',#417022,10.); #127175=VECTOR('',#417023,10.); #127176=VECTOR('',#417026,10.); #127177=VECTOR('',#417027,10.); #127178=VECTOR('',#417028,10.); #127179=VECTOR('',#417031,10.); #127180=VECTOR('',#417032,10.); #127181=VECTOR('',#417033,10.); #127182=VECTOR('',#417036,10.); #127183=VECTOR('',#417037,10.); #127184=VECTOR('',#417038,10.); #127185=VECTOR('',#417041,10.); #127186=VECTOR('',#417042,10.); #127187=VECTOR('',#417043,10.); #127188=VECTOR('',#417046,10.); #127189=VECTOR('',#417047,10.); #127190=VECTOR('',#417048,10.); #127191=VECTOR('',#417051,10.); #127192=VECTOR('',#417052,10.); #127193=VECTOR('',#417053,10.); #127194=VECTOR('',#417056,10.); #127195=VECTOR('',#417057,10.); #127196=VECTOR('',#417058,10.); #127197=VECTOR('',#417061,10.); #127198=VECTOR('',#417062,10.); #127199=VECTOR('',#417063,10.); #127200=VECTOR('',#417066,10.); #127201=VECTOR('',#417067,10.); #127202=VECTOR('',#417068,10.); #127203=VECTOR('',#417071,10.); #127204=VECTOR('',#417072,10.); #127205=VECTOR('',#417073,10.); #127206=VECTOR('',#417076,10.); #127207=VECTOR('',#417077,10.); #127208=VECTOR('',#417078,10.); #127209=VECTOR('',#417081,10.); #127210=VECTOR('',#417082,10.); #127211=VECTOR('',#417083,10.); #127212=VECTOR('',#417086,10.); #127213=VECTOR('',#417087,10.); #127214=VECTOR('',#417088,10.); #127215=VECTOR('',#417091,10.); #127216=VECTOR('',#417092,10.); #127217=VECTOR('',#417093,10.); #127218=VECTOR('',#417096,10.); #127219=VECTOR('',#417097,10.); #127220=VECTOR('',#417098,10.); #127221=VECTOR('',#417101,10.); #127222=VECTOR('',#417102,10.); #127223=VECTOR('',#417103,10.); #127224=VECTOR('',#417106,10.); #127225=VECTOR('',#417107,10.); #127226=VECTOR('',#417108,10.); #127227=VECTOR('',#417111,10.); #127228=VECTOR('',#417112,10.); #127229=VECTOR('',#417113,10.); #127230=VECTOR('',#417116,10.); #127231=VECTOR('',#417117,10.); #127232=VECTOR('',#417118,10.); #127233=VECTOR('',#417121,10.); #127234=VECTOR('',#417122,10.); #127235=VECTOR('',#417123,10.); #127236=VECTOR('',#417126,10.); #127237=VECTOR('',#417127,10.); #127238=VECTOR('',#417128,10.); #127239=VECTOR('',#417131,10.); #127240=VECTOR('',#417132,10.); #127241=VECTOR('',#417133,10.); #127242=VECTOR('',#417136,10.); #127243=VECTOR('',#417137,10.); #127244=VECTOR('',#417138,10.); #127245=VECTOR('',#417141,10.); #127246=VECTOR('',#417142,10.); #127247=VECTOR('',#417143,10.); #127248=VECTOR('',#417146,10.); #127249=VECTOR('',#417147,10.); #127250=VECTOR('',#417148,10.); #127251=VECTOR('',#417151,10.); #127252=VECTOR('',#417152,10.); #127253=VECTOR('',#417153,10.); #127254=VECTOR('',#417156,10.); #127255=VECTOR('',#417157,10.); #127256=VECTOR('',#417158,10.); #127257=VECTOR('',#417161,10.); #127258=VECTOR('',#417162,10.); #127259=VECTOR('',#417163,10.); #127260=VECTOR('',#417166,10.); #127261=VECTOR('',#417167,10.); #127262=VECTOR('',#417168,10.); #127263=VECTOR('',#417171,10.); #127264=VECTOR('',#417172,10.); #127265=VECTOR('',#417173,10.); #127266=VECTOR('',#417176,10.); #127267=VECTOR('',#417177,10.); #127268=VECTOR('',#417178,10.); #127269=VECTOR('',#417181,10.); #127270=VECTOR('',#417182,10.); #127271=VECTOR('',#417183,10.); #127272=VECTOR('',#417186,10.); #127273=VECTOR('',#417187,10.); #127274=VECTOR('',#417188,10.); #127275=VECTOR('',#417191,10.); #127276=VECTOR('',#417192,10.); #127277=VECTOR('',#417193,10.); #127278=VECTOR('',#417196,10.); #127279=VECTOR('',#417197,10.); #127280=VECTOR('',#417198,10.); #127281=VECTOR('',#417201,10.); #127282=VECTOR('',#417202,10.); #127283=VECTOR('',#417203,10.); #127284=VECTOR('',#417206,10.); #127285=VECTOR('',#417207,10.); #127286=VECTOR('',#417208,10.); #127287=VECTOR('',#417211,10.); #127288=VECTOR('',#417212,10.); #127289=VECTOR('',#417213,10.); #127290=VECTOR('',#417216,10.); #127291=VECTOR('',#417217,10.); #127292=VECTOR('',#417218,10.); #127293=VECTOR('',#417221,10.); #127294=VECTOR('',#417222,10.); #127295=VECTOR('',#417223,10.); #127296=VECTOR('',#417226,10.); #127297=VECTOR('',#417227,10.); #127298=VECTOR('',#417228,10.); #127299=VECTOR('',#417231,10.); #127300=VECTOR('',#417232,10.); #127301=VECTOR('',#417233,10.); #127302=VECTOR('',#417236,10.); #127303=VECTOR('',#417237,10.); #127304=VECTOR('',#417238,10.); #127305=VECTOR('',#417241,10.); #127306=VECTOR('',#417242,10.); #127307=VECTOR('',#417243,10.); #127308=VECTOR('',#417246,10.); #127309=VECTOR('',#417247,10.); #127310=VECTOR('',#417248,10.); #127311=VECTOR('',#417251,10.); #127312=VECTOR('',#417252,10.); #127313=VECTOR('',#417253,10.); #127314=VECTOR('',#417256,10.); #127315=VECTOR('',#417257,10.); #127316=VECTOR('',#417258,10.); #127317=VECTOR('',#417261,10.); #127318=VECTOR('',#417262,10.); #127319=VECTOR('',#417263,10.); #127320=VECTOR('',#417266,10.); #127321=VECTOR('',#417267,10.); #127322=VECTOR('',#417268,10.); #127323=VECTOR('',#417271,10.); #127324=VECTOR('',#417272,10.); #127325=VECTOR('',#417273,10.); #127326=VECTOR('',#417276,10.); #127327=VECTOR('',#417277,10.); #127328=VECTOR('',#417278,10.); #127329=VECTOR('',#417281,10.); #127330=VECTOR('',#417282,10.); #127331=VECTOR('',#417283,10.); #127332=VECTOR('',#417286,10.); #127333=VECTOR('',#417287,10.); #127334=VECTOR('',#417288,10.); #127335=VECTOR('',#417291,10.); #127336=VECTOR('',#417292,10.); #127337=VECTOR('',#417293,10.); #127338=VECTOR('',#417296,10.); #127339=VECTOR('',#417297,10.); #127340=VECTOR('',#417298,10.); #127341=VECTOR('',#417301,10.); #127342=VECTOR('',#417302,10.); #127343=VECTOR('',#417303,10.); #127344=VECTOR('',#417306,10.); #127345=VECTOR('',#417307,10.); #127346=VECTOR('',#417308,10.); #127347=VECTOR('',#417311,10.); #127348=VECTOR('',#417312,10.); #127349=VECTOR('',#417313,10.); #127350=VECTOR('',#417316,10.); #127351=VECTOR('',#417317,10.); #127352=VECTOR('',#417318,10.); #127353=VECTOR('',#417321,10.); #127354=VECTOR('',#417322,10.); #127355=VECTOR('',#417323,10.); #127356=VECTOR('',#417326,10.); #127357=VECTOR('',#417327,10.); #127358=VECTOR('',#417328,10.); #127359=VECTOR('',#417331,10.); #127360=VECTOR('',#417332,10.); #127361=VECTOR('',#417333,10.); #127362=VECTOR('',#417336,10.); #127363=VECTOR('',#417337,10.); #127364=VECTOR('',#417338,10.); #127365=VECTOR('',#417341,10.); #127366=VECTOR('',#417342,10.); #127367=VECTOR('',#417343,10.); #127368=VECTOR('',#417346,10.); #127369=VECTOR('',#417347,10.); #127370=VECTOR('',#417348,10.); #127371=VECTOR('',#417351,10.); #127372=VECTOR('',#417352,10.); #127373=VECTOR('',#417353,10.); #127374=VECTOR('',#417356,10.); #127375=VECTOR('',#417357,10.); #127376=VECTOR('',#417358,10.); #127377=VECTOR('',#417361,10.); #127378=VECTOR('',#417362,10.); #127379=VECTOR('',#417363,10.); #127380=VECTOR('',#417366,10.); #127381=VECTOR('',#417367,10.); #127382=VECTOR('',#417368,10.); #127383=VECTOR('',#417371,10.); #127384=VECTOR('',#417372,10.); #127385=VECTOR('',#417373,10.); #127386=VECTOR('',#417380,10.); #127387=VECTOR('',#417383,10.); #127388=VECTOR('',#417384,10.); #127389=VECTOR('',#417385,10.); #127390=VECTOR('',#417392,10.); #127391=VECTOR('',#417395,10.); #127392=VECTOR('',#417396,10.); #127393=VECTOR('',#417397,10.); #127394=VECTOR('',#417400,10.); #127395=VECTOR('',#417401,10.); #127396=VECTOR('',#417402,10.); #127397=VECTOR('',#417405,10.); #127398=VECTOR('',#417406,10.); #127399=VECTOR('',#417407,10.); #127400=VECTOR('',#417410,10.); #127401=VECTOR('',#417411,10.); #127402=VECTOR('',#417412,10.); #127403=VECTOR('',#417415,10.); #127404=VECTOR('',#417416,10.); #127405=VECTOR('',#417417,10.); #127406=VECTOR('',#417420,10.); #127407=VECTOR('',#417421,10.); #127408=VECTOR('',#417422,10.); #127409=VECTOR('',#417425,10.); #127410=VECTOR('',#417426,10.); #127411=VECTOR('',#417427,10.); #127412=VECTOR('',#417430,10.); #127413=VECTOR('',#417431,10.); #127414=VECTOR('',#417432,10.); #127415=VECTOR('',#417439,10.); #127416=VECTOR('',#417442,10.); #127417=VECTOR('',#417443,10.); #127418=VECTOR('',#417444,10.); #127419=VECTOR('',#417447,10.); #127420=VECTOR('',#417448,10.); #127421=VECTOR('',#417449,10.); #127422=VECTOR('',#417456,10.); #127423=VECTOR('',#417459,10.); #127424=VECTOR('',#417460,10.); #127425=VECTOR('',#417461,10.); #127426=VECTOR('',#417464,10.); #127427=VECTOR('',#417465,10.); #127428=VECTOR('',#417466,10.); #127429=VECTOR('',#417469,10.); #127430=VECTOR('',#417470,10.); #127431=VECTOR('',#417471,10.); #127432=VECTOR('',#417474,10.); #127433=VECTOR('',#417475,10.); #127434=VECTOR('',#417476,10.); #127435=VECTOR('',#417479,10.); #127436=VECTOR('',#417480,10.); #127437=VECTOR('',#417481,10.); #127438=VECTOR('',#417484,10.); #127439=VECTOR('',#417485,10.); #127440=VECTOR('',#417486,10.); #127441=VECTOR('',#417489,10.); #127442=VECTOR('',#417490,10.); #127443=VECTOR('',#417491,10.); #127444=VECTOR('',#417494,10.); #127445=VECTOR('',#417495,10.); #127446=VECTOR('',#417496,10.); #127447=VECTOR('',#417499,10.); #127448=VECTOR('',#417500,10.); #127449=VECTOR('',#417501,10.); #127450=VECTOR('',#417504,10.); #127451=VECTOR('',#417505,10.); #127452=VECTOR('',#417506,10.); #127453=VECTOR('',#417509,10.); #127454=VECTOR('',#417510,10.); #127455=VECTOR('',#417511,10.); #127456=VECTOR('',#417514,10.); #127457=VECTOR('',#417515,10.); #127458=VECTOR('',#417516,10.); #127459=VECTOR('',#417519,10.); #127460=VECTOR('',#417520,10.); #127461=VECTOR('',#417521,10.); #127462=VECTOR('',#417524,10.); #127463=VECTOR('',#417525,10.); #127464=VECTOR('',#417526,10.); #127465=VECTOR('',#417529,10.); #127466=VECTOR('',#417530,10.); #127467=VECTOR('',#417531,10.); #127468=VECTOR('',#417534,10.); #127469=VECTOR('',#417535,10.); #127470=VECTOR('',#417536,10.); #127471=VECTOR('',#417539,10.); #127472=VECTOR('',#417540,10.); #127473=VECTOR('',#417541,10.); #127474=VECTOR('',#417544,10.); #127475=VECTOR('',#417545,10.); #127476=VECTOR('',#417546,10.); #127477=VECTOR('',#417549,10.); #127478=VECTOR('',#417550,10.); #127479=VECTOR('',#417551,10.); #127480=VECTOR('',#417554,10.); #127481=VECTOR('',#417555,10.); #127482=VECTOR('',#417556,10.); #127483=VECTOR('',#417559,10.); #127484=VECTOR('',#417560,10.); #127485=VECTOR('',#417561,10.); #127486=VECTOR('',#417564,10.); #127487=VECTOR('',#417565,10.); #127488=VECTOR('',#417566,10.); #127489=VECTOR('',#417569,10.); #127490=VECTOR('',#417570,10.); #127491=VECTOR('',#417571,10.); #127492=VECTOR('',#417574,10.); #127493=VECTOR('',#417575,10.); #127494=VECTOR('',#417576,10.); #127495=VECTOR('',#417579,10.); #127496=VECTOR('',#417580,10.); #127497=VECTOR('',#417581,10.); #127498=VECTOR('',#417584,10.); #127499=VECTOR('',#417585,10.); #127500=VECTOR('',#417586,10.); #127501=VECTOR('',#417589,10.); #127502=VECTOR('',#417590,10.); #127503=VECTOR('',#417591,10.); #127504=VECTOR('',#417594,10.); #127505=VECTOR('',#417595,10.); #127506=VECTOR('',#417596,10.); #127507=VECTOR('',#417599,10.); #127508=VECTOR('',#417600,10.); #127509=VECTOR('',#417601,10.); #127510=VECTOR('',#417604,10.); #127511=VECTOR('',#417605,10.); #127512=VECTOR('',#417606,10.); #127513=VECTOR('',#417609,10.); #127514=VECTOR('',#417610,10.); #127515=VECTOR('',#417611,10.); #127516=VECTOR('',#417614,10.); #127517=VECTOR('',#417615,10.); #127518=VECTOR('',#417616,10.); #127519=VECTOR('',#417619,10.); #127520=VECTOR('',#417620,10.); #127521=VECTOR('',#417621,10.); #127522=VECTOR('',#417624,10.); #127523=VECTOR('',#417625,10.); #127524=VECTOR('',#417626,10.); #127525=VECTOR('',#417629,10.); #127526=VECTOR('',#417630,10.); #127527=VECTOR('',#417631,10.); #127528=VECTOR('',#417634,10.); #127529=VECTOR('',#417635,10.); #127530=VECTOR('',#417636,10.); #127531=VECTOR('',#417639,10.); #127532=VECTOR('',#417640,10.); #127533=VECTOR('',#417641,10.); #127534=VECTOR('',#417644,10.); #127535=VECTOR('',#417645,10.); #127536=VECTOR('',#417646,10.); #127537=VECTOR('',#417649,10.); #127538=VECTOR('',#417650,10.); #127539=VECTOR('',#417651,10.); #127540=VECTOR('',#417654,10.); #127541=VECTOR('',#417655,10.); #127542=VECTOR('',#417656,10.); #127543=VECTOR('',#417659,10.); #127544=VECTOR('',#417660,10.); #127545=VECTOR('',#417661,10.); #127546=VECTOR('',#417664,10.); #127547=VECTOR('',#417665,10.); #127548=VECTOR('',#417666,10.); #127549=VECTOR('',#417669,10.); #127550=VECTOR('',#417670,10.); #127551=VECTOR('',#417671,10.); #127552=VECTOR('',#417674,10.); #127553=VECTOR('',#417675,10.); #127554=VECTOR('',#417676,10.); #127555=VECTOR('',#417679,10.); #127556=VECTOR('',#417680,10.); #127557=VECTOR('',#417681,10.); #127558=VECTOR('',#417684,10.); #127559=VECTOR('',#417685,10.); #127560=VECTOR('',#417686,10.); #127561=VECTOR('',#417689,10.); #127562=VECTOR('',#417690,10.); #127563=VECTOR('',#417691,10.); #127564=VECTOR('',#417694,10.); #127565=VECTOR('',#417695,10.); #127566=VECTOR('',#417696,10.); #127567=VECTOR('',#417699,10.); #127568=VECTOR('',#417700,10.); #127569=VECTOR('',#417701,10.); #127570=VECTOR('',#417704,10.); #127571=VECTOR('',#417705,10.); #127572=VECTOR('',#417706,10.); #127573=VECTOR('',#417709,10.); #127574=VECTOR('',#417710,10.); #127575=VECTOR('',#417711,10.); #127576=VECTOR('',#417714,10.); #127577=VECTOR('',#417715,10.); #127578=VECTOR('',#417716,10.); #127579=VECTOR('',#417719,10.); #127580=VECTOR('',#417720,10.); #127581=VECTOR('',#417721,10.); #127582=VECTOR('',#417724,10.); #127583=VECTOR('',#417725,10.); #127584=VECTOR('',#417726,10.); #127585=VECTOR('',#417729,10.); #127586=VECTOR('',#417730,10.); #127587=VECTOR('',#417731,10.); #127588=VECTOR('',#417734,10.); #127589=VECTOR('',#417735,10.); #127590=VECTOR('',#417736,10.); #127591=VECTOR('',#417739,10.); #127592=VECTOR('',#417740,10.); #127593=VECTOR('',#417741,10.); #127594=VECTOR('',#417744,10.); #127595=VECTOR('',#417745,10.); #127596=VECTOR('',#417746,10.); #127597=VECTOR('',#417749,10.); #127598=VECTOR('',#417750,10.); #127599=VECTOR('',#417751,10.); #127600=VECTOR('',#417754,10.); #127601=VECTOR('',#417755,10.); #127602=VECTOR('',#417756,10.); #127603=VECTOR('',#417759,10.); #127604=VECTOR('',#417760,10.); #127605=VECTOR('',#417761,10.); #127606=VECTOR('',#417764,10.); #127607=VECTOR('',#417765,10.); #127608=VECTOR('',#417766,10.); #127609=VECTOR('',#417769,10.); #127610=VECTOR('',#417770,10.); #127611=VECTOR('',#417771,10.); #127612=VECTOR('',#417774,10.); #127613=VECTOR('',#417775,10.); #127614=VECTOR('',#417776,10.); #127615=VECTOR('',#417779,10.); #127616=VECTOR('',#417780,10.); #127617=VECTOR('',#417781,10.); #127618=VECTOR('',#417784,10.); #127619=VECTOR('',#417785,10.); #127620=VECTOR('',#417786,10.); #127621=VECTOR('',#417789,10.); #127622=VECTOR('',#417790,10.); #127623=VECTOR('',#417791,10.); #127624=VECTOR('',#417794,10.); #127625=VECTOR('',#417795,10.); #127626=VECTOR('',#417796,10.); #127627=VECTOR('',#417799,10.); #127628=VECTOR('',#417800,10.); #127629=VECTOR('',#417801,10.); #127630=VECTOR('',#417804,10.); #127631=VECTOR('',#417805,10.); #127632=VECTOR('',#417806,10.); #127633=VECTOR('',#417809,10.); #127634=VECTOR('',#417810,10.); #127635=VECTOR('',#417811,10.); #127636=VECTOR('',#417814,10.); #127637=VECTOR('',#417815,10.); #127638=VECTOR('',#417816,10.); #127639=VECTOR('',#417819,10.); #127640=VECTOR('',#417820,10.); #127641=VECTOR('',#417821,10.); #127642=VECTOR('',#417824,10.); #127643=VECTOR('',#417825,10.); #127644=VECTOR('',#417826,10.); #127645=VECTOR('',#417829,10.); #127646=VECTOR('',#417830,10.); #127647=VECTOR('',#417831,10.); #127648=VECTOR('',#417834,10.); #127649=VECTOR('',#417835,10.); #127650=VECTOR('',#417836,10.); #127651=VECTOR('',#417839,10.); #127652=VECTOR('',#417840,10.); #127653=VECTOR('',#417841,10.); #127654=VECTOR('',#417844,10.); #127655=VECTOR('',#417845,10.); #127656=VECTOR('',#417846,10.); #127657=VECTOR('',#417849,10.); #127658=VECTOR('',#417850,10.); #127659=VECTOR('',#417851,10.); #127660=VECTOR('',#417854,10.); #127661=VECTOR('',#417855,10.); #127662=VECTOR('',#417856,10.); #127663=VECTOR('',#417859,10.); #127664=VECTOR('',#417860,10.); #127665=VECTOR('',#417861,10.); #127666=VECTOR('',#417864,10.); #127667=VECTOR('',#417865,10.); #127668=VECTOR('',#417866,10.); #127669=VECTOR('',#417869,10.); #127670=VECTOR('',#417870,10.); #127671=VECTOR('',#417871,10.); #127672=VECTOR('',#417874,10.); #127673=VECTOR('',#417875,10.); #127674=VECTOR('',#417882,10.); #127675=VECTOR('',#417883,10.); #127676=VECTOR('',#417884,10.); #127677=VECTOR('',#417885,10.); #127678=VECTOR('',#417888,10.); #127679=VECTOR('',#417889,10.); #127680=VECTOR('',#417890,10.); #127681=VECTOR('',#417893,10.); #127682=VECTOR('',#417894,10.); #127683=VECTOR('',#417895,10.); #127684=VECTOR('',#417898,10.); #127685=VECTOR('',#417899,10.); #127686=VECTOR('',#417900,10.); #127687=VECTOR('',#417903,10.); #127688=VECTOR('',#417904,10.); #127689=VECTOR('',#417905,10.); #127690=VECTOR('',#417908,10.); #127691=VECTOR('',#417909,10.); #127692=VECTOR('',#417910,10.); #127693=VECTOR('',#417913,10.); #127694=VECTOR('',#417914,10.); #127695=VECTOR('',#417915,10.); #127696=VECTOR('',#417918,10.); #127697=VECTOR('',#417919,10.); #127698=VECTOR('',#417920,10.); #127699=VECTOR('',#417923,10.); #127700=VECTOR('',#417924,10.); #127701=VECTOR('',#417925,10.); #127702=VECTOR('',#417928,10.); #127703=VECTOR('',#417929,10.); #127704=VECTOR('',#417930,10.); #127705=VECTOR('',#417933,10.); #127706=VECTOR('',#417934,10.); #127707=VECTOR('',#417935,10.); #127708=VECTOR('',#417938,10.); #127709=VECTOR('',#417939,10.); #127710=VECTOR('',#417940,10.); #127711=VECTOR('',#417943,10.); #127712=VECTOR('',#417944,10.); #127713=VECTOR('',#417945,10.); #127714=VECTOR('',#417948,10.); #127715=VECTOR('',#417949,10.); #127716=VECTOR('',#417950,10.); #127717=VECTOR('',#417953,10.); #127718=VECTOR('',#417954,10.); #127719=VECTOR('',#417955,10.); #127720=VECTOR('',#417958,10.); #127721=VECTOR('',#417959,10.); #127722=VECTOR('',#417960,10.); #127723=VECTOR('',#417963,10.); #127724=VECTOR('',#417964,10.); #127725=VECTOR('',#417965,10.); #127726=VECTOR('',#417968,10.); #127727=VECTOR('',#417969,10.); #127728=VECTOR('',#417970,10.); #127729=VECTOR('',#417973,10.); #127730=VECTOR('',#417974,10.); #127731=VECTOR('',#417975,10.); #127732=VECTOR('',#417978,10.); #127733=VECTOR('',#417979,10.); #127734=VECTOR('',#417986,10.); #127735=VECTOR('',#417987,10.); #127736=VECTOR('',#417988,10.); #127737=VECTOR('',#417989,10.); #127738=VECTOR('',#417992,10.); #127739=VECTOR('',#417993,10.); #127740=VECTOR('',#417994,10.); #127741=VECTOR('',#417997,10.); #127742=VECTOR('',#417998,10.); #127743=VECTOR('',#417999,10.); #127744=VECTOR('',#418002,10.); #127745=VECTOR('',#418003,10.); #127746=VECTOR('',#418004,10.); #127747=VECTOR('',#418007,10.); #127748=VECTOR('',#418008,10.); #127749=VECTOR('',#418009,10.); #127750=VECTOR('',#418012,10.); #127751=VECTOR('',#418013,10.); #127752=VECTOR('',#418014,10.); #127753=VECTOR('',#418017,10.); #127754=VECTOR('',#418018,10.); #127755=VECTOR('',#418019,10.); #127756=VECTOR('',#418022,10.); #127757=VECTOR('',#418023,10.); #127758=VECTOR('',#418024,10.); #127759=VECTOR('',#418027,10.); #127760=VECTOR('',#418028,10.); #127761=VECTOR('',#418029,10.); #127762=VECTOR('',#418032,10.); #127763=VECTOR('',#418033,10.); #127764=VECTOR('',#418034,10.); #127765=VECTOR('',#418037,10.); #127766=VECTOR('',#418038,10.); #127767=VECTOR('',#418039,10.); #127768=VECTOR('',#418042,10.); #127769=VECTOR('',#418043,10.); #127770=VECTOR('',#418044,10.); #127771=VECTOR('',#418047,10.); #127772=VECTOR('',#418048,10.); #127773=VECTOR('',#418049,10.); #127774=VECTOR('',#418052,10.); #127775=VECTOR('',#418053,10.); #127776=VECTOR('',#418054,10.); #127777=VECTOR('',#418057,10.); #127778=VECTOR('',#418058,10.); #127779=VECTOR('',#418059,10.); #127780=VECTOR('',#418062,10.); #127781=VECTOR('',#418063,10.); #127782=VECTOR('',#418064,10.); #127783=VECTOR('',#418067,10.); #127784=VECTOR('',#418068,10.); #127785=VECTOR('',#418069,10.); #127786=VECTOR('',#418072,10.); #127787=VECTOR('',#418073,10.); #127788=VECTOR('',#418074,10.); #127789=VECTOR('',#418077,10.); #127790=VECTOR('',#418078,10.); #127791=VECTOR('',#418079,10.); #127792=VECTOR('',#418082,10.); #127793=VECTOR('',#418083,10.); #127794=VECTOR('',#418090,10.); #127795=VECTOR('',#418091,10.); #127796=VECTOR('',#418092,10.); #127797=VECTOR('',#418093,10.); #127798=VECTOR('',#418096,10.); #127799=VECTOR('',#418097,10.); #127800=VECTOR('',#418098,10.); #127801=VECTOR('',#418101,10.); #127802=VECTOR('',#418102,10.); #127803=VECTOR('',#418103,10.); #127804=VECTOR('',#418106,10.); #127805=VECTOR('',#418107,10.); #127806=VECTOR('',#418108,10.); #127807=VECTOR('',#418111,10.); #127808=VECTOR('',#418112,10.); #127809=VECTOR('',#418113,10.); #127810=VECTOR('',#418116,10.); #127811=VECTOR('',#418117,10.); #127812=VECTOR('',#418118,10.); #127813=VECTOR('',#418121,10.); #127814=VECTOR('',#418122,10.); #127815=VECTOR('',#418123,10.); #127816=VECTOR('',#418126,10.); #127817=VECTOR('',#418127,10.); #127818=VECTOR('',#418128,10.); #127819=VECTOR('',#418131,10.); #127820=VECTOR('',#418132,10.); #127821=VECTOR('',#418133,10.); #127822=VECTOR('',#418136,10.); #127823=VECTOR('',#418137,10.); #127824=VECTOR('',#418138,10.); #127825=VECTOR('',#418141,10.); #127826=VECTOR('',#418142,10.); #127827=VECTOR('',#418143,10.); #127828=VECTOR('',#418146,10.); #127829=VECTOR('',#418147,10.); #127830=VECTOR('',#418148,10.); #127831=VECTOR('',#418151,10.); #127832=VECTOR('',#418152,10.); #127833=VECTOR('',#418153,10.); #127834=VECTOR('',#418156,10.); #127835=VECTOR('',#418157,10.); #127836=VECTOR('',#418158,10.); #127837=VECTOR('',#418161,10.); #127838=VECTOR('',#418162,10.); #127839=VECTOR('',#418163,10.); #127840=VECTOR('',#418166,10.); #127841=VECTOR('',#418167,10.); #127842=VECTOR('',#418168,10.); #127843=VECTOR('',#418171,10.); #127844=VECTOR('',#418172,10.); #127845=VECTOR('',#418173,10.); #127846=VECTOR('',#418176,10.); #127847=VECTOR('',#418177,10.); #127848=VECTOR('',#418178,10.); #127849=VECTOR('',#418181,10.); #127850=VECTOR('',#418182,10.); #127851=VECTOR('',#418183,10.); #127852=VECTOR('',#418186,10.); #127853=VECTOR('',#418187,10.); #127854=VECTOR('',#418194,10.); #127855=VECTOR('',#418195,10.); #127856=VECTOR('',#418196,10.); #127857=VECTOR('',#418197,10.); #127858=VECTOR('',#418200,10.); #127859=VECTOR('',#418201,10.); #127860=VECTOR('',#418202,10.); #127861=VECTOR('',#418205,10.); #127862=VECTOR('',#418206,10.); #127863=VECTOR('',#418207,10.); #127864=VECTOR('',#418210,10.); #127865=VECTOR('',#418211,10.); #127866=VECTOR('',#418212,10.); #127867=VECTOR('',#418215,10.); #127868=VECTOR('',#418216,10.); #127869=VECTOR('',#418217,10.); #127870=VECTOR('',#418220,10.); #127871=VECTOR('',#418221,10.); #127872=VECTOR('',#418222,10.); #127873=VECTOR('',#418225,10.); #127874=VECTOR('',#418226,10.); #127875=VECTOR('',#418227,10.); #127876=VECTOR('',#418230,10.); #127877=VECTOR('',#418231,10.); #127878=VECTOR('',#418232,10.); #127879=VECTOR('',#418235,10.); #127880=VECTOR('',#418236,10.); #127881=VECTOR('',#418237,10.); #127882=VECTOR('',#418240,10.); #127883=VECTOR('',#418241,10.); #127884=VECTOR('',#418242,10.); #127885=VECTOR('',#418245,10.); #127886=VECTOR('',#418246,10.); #127887=VECTOR('',#418247,10.); #127888=VECTOR('',#418250,10.); #127889=VECTOR('',#418251,10.); #127890=VECTOR('',#418252,10.); #127891=VECTOR('',#418255,10.); #127892=VECTOR('',#418256,10.); #127893=VECTOR('',#418257,10.); #127894=VECTOR('',#418260,10.); #127895=VECTOR('',#418261,10.); #127896=VECTOR('',#418262,10.); #127897=VECTOR('',#418265,10.); #127898=VECTOR('',#418266,10.); #127899=VECTOR('',#418267,10.); #127900=VECTOR('',#418270,10.); #127901=VECTOR('',#418271,10.); #127902=VECTOR('',#418272,10.); #127903=VECTOR('',#418275,10.); #127904=VECTOR('',#418276,10.); #127905=VECTOR('',#418277,10.); #127906=VECTOR('',#418280,10.); #127907=VECTOR('',#418281,10.); #127908=VECTOR('',#418282,10.); #127909=VECTOR('',#418285,10.); #127910=VECTOR('',#418286,10.); #127911=VECTOR('',#418287,10.); #127912=VECTOR('',#418290,10.); #127913=VECTOR('',#418291,10.); #127914=VECTOR('',#418292,10.); #127915=VECTOR('',#418295,10.); #127916=VECTOR('',#418296,10.); #127917=VECTOR('',#418297,10.); #127918=VECTOR('',#418300,10.); #127919=VECTOR('',#418301,10.); #127920=VECTOR('',#418302,10.); #127921=VECTOR('',#418305,10.); #127922=VECTOR('',#418306,10.); #127923=VECTOR('',#418313,10.); #127924=VECTOR('',#418314,10.); #127925=VECTOR('',#418315,10.); #127926=VECTOR('',#418316,10.); #127927=VECTOR('',#418319,10.); #127928=VECTOR('',#418320,10.); #127929=VECTOR('',#418321,10.); #127930=VECTOR('',#418324,10.); #127931=VECTOR('',#418325,10.); #127932=VECTOR('',#418326,10.); #127933=VECTOR('',#418329,10.); #127934=VECTOR('',#418330,10.); #127935=VECTOR('',#418331,10.); #127936=VECTOR('',#418334,10.); #127937=VECTOR('',#418335,10.); #127938=VECTOR('',#418336,10.); #127939=VECTOR('',#418339,10.); #127940=VECTOR('',#418340,10.); #127941=VECTOR('',#418341,10.); #127942=VECTOR('',#418344,10.); #127943=VECTOR('',#418345,10.); #127944=VECTOR('',#418346,10.); #127945=VECTOR('',#418349,10.); #127946=VECTOR('',#418350,10.); #127947=VECTOR('',#418351,10.); #127948=VECTOR('',#418354,10.); #127949=VECTOR('',#418355,10.); #127950=VECTOR('',#418356,10.); #127951=VECTOR('',#418359,10.); #127952=VECTOR('',#418360,10.); #127953=VECTOR('',#418361,10.); #127954=VECTOR('',#418364,10.); #127955=VECTOR('',#418365,10.); #127956=VECTOR('',#418366,10.); #127957=VECTOR('',#418369,10.); #127958=VECTOR('',#418370,10.); #127959=VECTOR('',#418371,10.); #127960=VECTOR('',#418374,10.); #127961=VECTOR('',#418375,10.); #127962=VECTOR('',#418376,10.); #127963=VECTOR('',#418379,10.); #127964=VECTOR('',#418380,10.); #127965=VECTOR('',#418381,10.); #127966=VECTOR('',#418384,10.); #127967=VECTOR('',#418385,10.); #127968=VECTOR('',#418386,10.); #127969=VECTOR('',#418389,10.); #127970=VECTOR('',#418390,10.); #127971=VECTOR('',#418391,10.); #127972=VECTOR('',#418394,10.); #127973=VECTOR('',#418395,10.); #127974=VECTOR('',#418396,10.); #127975=VECTOR('',#418399,10.); #127976=VECTOR('',#418400,10.); #127977=VECTOR('',#418401,10.); #127978=VECTOR('',#418404,10.); #127979=VECTOR('',#418405,10.); #127980=VECTOR('',#418406,10.); #127981=VECTOR('',#418409,10.); #127982=VECTOR('',#418410,10.); #127983=VECTOR('',#418417,10.); #127984=VECTOR('',#418418,10.); #127985=VECTOR('',#418419,10.); #127986=VECTOR('',#418420,10.); #127987=VECTOR('',#418423,10.); #127988=VECTOR('',#418424,10.); #127989=VECTOR('',#418425,10.); #127990=VECTOR('',#418428,10.); #127991=VECTOR('',#418429,10.); #127992=VECTOR('',#418430,10.); #127993=VECTOR('',#418433,10.); #127994=VECTOR('',#418434,10.); #127995=VECTOR('',#418435,10.); #127996=VECTOR('',#418438,10.); #127997=VECTOR('',#418439,10.); #127998=VECTOR('',#418440,10.); #127999=VECTOR('',#418443,10.); #128000=VECTOR('',#418444,10.); #128001=VECTOR('',#418445,10.); #128002=VECTOR('',#418448,10.); #128003=VECTOR('',#418449,10.); #128004=VECTOR('',#418450,10.); #128005=VECTOR('',#418453,10.); #128006=VECTOR('',#418454,10.); #128007=VECTOR('',#418455,10.); #128008=VECTOR('',#418458,10.); #128009=VECTOR('',#418459,10.); #128010=VECTOR('',#418460,10.); #128011=VECTOR('',#418463,10.); #128012=VECTOR('',#418464,10.); #128013=VECTOR('',#418465,10.); #128014=VECTOR('',#418468,10.); #128015=VECTOR('',#418469,10.); #128016=VECTOR('',#418470,10.); #128017=VECTOR('',#418473,10.); #128018=VECTOR('',#418474,10.); #128019=VECTOR('',#418475,10.); #128020=VECTOR('',#418478,10.); #128021=VECTOR('',#418479,10.); #128022=VECTOR('',#418480,10.); #128023=VECTOR('',#418483,10.); #128024=VECTOR('',#418484,10.); #128025=VECTOR('',#418485,10.); #128026=VECTOR('',#418488,10.); #128027=VECTOR('',#418489,10.); #128028=VECTOR('',#418490,10.); #128029=VECTOR('',#418493,10.); #128030=VECTOR('',#418494,10.); #128031=VECTOR('',#418495,10.); #128032=VECTOR('',#418498,10.); #128033=VECTOR('',#418499,10.); #128034=VECTOR('',#418500,10.); #128035=VECTOR('',#418503,10.); #128036=VECTOR('',#418504,10.); #128037=VECTOR('',#418505,10.); #128038=VECTOR('',#418508,10.); #128039=VECTOR('',#418509,10.); #128040=VECTOR('',#418510,10.); #128041=VECTOR('',#418513,10.); #128042=VECTOR('',#418514,10.); #128043=VECTOR('',#418515,10.); #128044=VECTOR('',#418518,10.); #128045=VECTOR('',#418519,10.); #128046=VECTOR('',#418528,0.564999999999998); #128047=VECTOR('',#418533,10.); #128048=VECTOR('',#418534,10.); #128049=VECTOR('',#418535,10.); #128050=VECTOR('',#418536,10.); #128051=VECTOR('',#418543,10.); #128052=VECTOR('',#418558,0.565000000000002); #128053=VECTOR('',#418563,10.); #128054=VECTOR('',#418564,10.); #128055=VECTOR('',#418565,10.); #128056=VECTOR('',#418566,10.); #128057=VECTOR('',#418573,10.); #128058=VECTOR('',#418588,0.465); #128059=VECTOR('',#418593,10.); #128060=VECTOR('',#418594,10.); #128061=VECTOR('',#418595,10.); #128062=VECTOR('',#418596,10.); #128063=VECTOR('',#418603,10.); #128064=VECTOR('',#418618,0.514999999999999); #128065=VECTOR('',#418623,10.); #128066=VECTOR('',#418624,10.); #128067=VECTOR('',#418625,10.); #128068=VECTOR('',#418626,10.); #128069=VECTOR('',#418633,10.); #128070=VECTOR('',#418648,0.514999999999999); #128071=VECTOR('',#418653,10.); #128072=VECTOR('',#418654,10.); #128073=VECTOR('',#418655,10.); #128074=VECTOR('',#418656,10.); #128075=VECTOR('',#418659,10.); #128076=VECTOR('',#418660,10.); #128077=VECTOR('',#418661,10.); #128078=VECTOR('',#418664,10.); #128079=VECTOR('',#418665,10.); #128080=VECTOR('',#418666,10.); #128081=VECTOR('',#418669,10.); #128082=VECTOR('',#418670,10.); #128083=VECTOR('',#418679,0.465); #128084=VECTOR('',#418684,10.); #128085=VECTOR('',#418685,10.); #128086=VECTOR('',#418686,10.); #128087=VECTOR('',#418687,10.); #128088=VECTOR('',#418694,10.); #128089=VECTOR('',#418709,0.465); #128090=VECTOR('',#418714,10.); #128091=VECTOR('',#418715,10.); #128092=VECTOR('',#418716,10.); #128093=VECTOR('',#418717,10.); #128094=VECTOR('',#418724,10.); #128095=VECTOR('',#418739,0.564999999999998); #128096=VECTOR('',#418744,10.); #128097=VECTOR('',#418745,10.); #128098=VECTOR('',#418746,10.); #128099=VECTOR('',#418747,10.); #128100=VECTOR('',#418754,10.); #128101=VECTOR('',#418769,0.465); #128102=VECTOR('',#418774,10.); #128103=VECTOR('',#418775,10.); #128104=VECTOR('',#418776,10.); #128105=VECTOR('',#418777,10.); #128106=VECTOR('',#418784,10.); #128107=VECTOR('',#418797,10.); #128108=VECTOR('',#418798,10.); #128109=VECTOR('',#418799,10.); #128110=VECTOR('',#418800,10.); #128111=VECTOR('',#418807,10.); #128112=VECTOR('',#418810,10.); #128113=VECTOR('',#418811,10.); #128114=VECTOR('',#418812,10.); #128115=VECTOR('',#418821,10.); #128116=VECTOR('',#418822,10.); #128117=VECTOR('',#418823,10.); #128118=VECTOR('',#418824,10.); #128119=VECTOR('',#418827,10.); #128120=VECTOR('',#418828,10.); #128121=VECTOR('',#418829,10.); #128122=VECTOR('',#418832,10.); #128123=VECTOR('',#418833,10.); #128124=VECTOR('',#418834,10.); #128125=VECTOR('',#418837,10.); #128126=VECTOR('',#418838,10.); #128127=VECTOR('',#418839,10.); #128128=VECTOR('',#418842,10.); #128129=VECTOR('',#418843,10.); #128130=VECTOR('',#418844,10.); #128131=VECTOR('',#418847,10.); #128132=VECTOR('',#418848,10.); #128133=VECTOR('',#418849,10.); #128134=VECTOR('',#418852,10.); #128135=VECTOR('',#418853,10.); #128136=VECTOR('',#418854,10.); #128137=VECTOR('',#418857,10.); #128138=VECTOR('',#418858,10.); #128139=VECTOR('',#418859,10.); #128140=VECTOR('',#418862,10.); #128141=VECTOR('',#418863,10.); #128142=VECTOR('',#418864,10.); #128143=VECTOR('',#418867,10.); #128144=VECTOR('',#418868,10.); #128145=VECTOR('',#418869,10.); #128146=VECTOR('',#418872,10.); #128147=VECTOR('',#418873,10.); #128148=VECTOR('',#418874,10.); #128149=VECTOR('',#418877,10.); #128150=VECTOR('',#418878,10.); #128151=VECTOR('',#418879,10.); #128152=VECTOR('',#418882,10.); #128153=VECTOR('',#418883,10.); #128154=VECTOR('',#418884,10.); #128155=VECTOR('',#418887,10.); #128156=VECTOR('',#418888,10.); #128157=VECTOR('',#418889,10.); #128158=VECTOR('',#418892,10.); #128159=VECTOR('',#418893,10.); #128160=VECTOR('',#418894,10.); #128161=VECTOR('',#418897,10.); #128162=VECTOR('',#418898,10.); #128163=VECTOR('',#418899,10.); #128164=VECTOR('',#418902,10.); #128165=VECTOR('',#418903,10.); #128166=VECTOR('',#418904,10.); #128167=VECTOR('',#418907,10.); #128168=VECTOR('',#418908,10.); #128169=VECTOR('',#418909,10.); #128170=VECTOR('',#418912,10.); #128171=VECTOR('',#418913,10.); #128172=VECTOR('',#418914,10.); #128173=VECTOR('',#418917,10.); #128174=VECTOR('',#418918,10.); #128175=VECTOR('',#418919,10.); #128176=VECTOR('',#418922,10.); #128177=VECTOR('',#418923,10.); #128178=VECTOR('',#418924,10.); #128179=VECTOR('',#418927,10.); #128180=VECTOR('',#418928,10.); #128181=VECTOR('',#418929,10.); #128182=VECTOR('',#418932,10.); #128183=VECTOR('',#418933,10.); #128184=VECTOR('',#418934,10.); #128185=VECTOR('',#418937,10.); #128186=VECTOR('',#418938,10.); #128187=VECTOR('',#418939,10.); #128188=VECTOR('',#418942,10.); #128189=VECTOR('',#418943,10.); #128190=VECTOR('',#418944,10.); #128191=VECTOR('',#418947,10.); #128192=VECTOR('',#418948,10.); #128193=VECTOR('',#418949,10.); #128194=VECTOR('',#418952,10.); #128195=VECTOR('',#418953,10.); #128196=VECTOR('',#418954,10.); #128197=VECTOR('',#418957,10.); #128198=VECTOR('',#418958,10.); #128199=VECTOR('',#418959,10.); #128200=VECTOR('',#418962,10.); #128201=VECTOR('',#418963,10.); #128202=VECTOR('',#418964,10.); #128203=VECTOR('',#418967,10.); #128204=VECTOR('',#418968,10.); #128205=VECTOR('',#418969,10.); #128206=VECTOR('',#418972,10.); #128207=VECTOR('',#418973,10.); #128208=VECTOR('',#418974,10.); #128209=VECTOR('',#418977,10.); #128210=VECTOR('',#418978,10.); #128211=VECTOR('',#418979,10.); #128212=VECTOR('',#418982,10.); #128213=VECTOR('',#418983,10.); #128214=VECTOR('',#418984,10.); #128215=VECTOR('',#418987,10.); #128216=VECTOR('',#418988,10.); #128217=VECTOR('',#418989,10.); #128218=VECTOR('',#418992,10.); #128219=VECTOR('',#418993,10.); #128220=VECTOR('',#418994,10.); #128221=VECTOR('',#418997,10.); #128222=VECTOR('',#418998,10.); #128223=VECTOR('',#418999,10.); #128224=VECTOR('',#419002,10.); #128225=VECTOR('',#419003,10.); #128226=VECTOR('',#419004,10.); #128227=VECTOR('',#419007,10.); #128228=VECTOR('',#419008,10.); #128229=VECTOR('',#419009,10.); #128230=VECTOR('',#419012,10.); #128231=VECTOR('',#419013,10.); #128232=VECTOR('',#419014,10.); #128233=VECTOR('',#419017,10.); #128234=VECTOR('',#419018,10.); #128235=VECTOR('',#419019,10.); #128236=VECTOR('',#419022,10.); #128237=VECTOR('',#419023,10.); #128238=VECTOR('',#419024,10.); #128239=VECTOR('',#419027,10.); #128240=VECTOR('',#419028,10.); #128241=VECTOR('',#419029,10.); #128242=VECTOR('',#419032,10.); #128243=VECTOR('',#419033,10.); #128244=VECTOR('',#419034,10.); #128245=VECTOR('',#419037,10.); #128246=VECTOR('',#419038,10.); #128247=VECTOR('',#419039,10.); #128248=VECTOR('',#419042,10.); #128249=VECTOR('',#419043,10.); #128250=VECTOR('',#419044,10.); #128251=VECTOR('',#419047,10.); #128252=VECTOR('',#419048,10.); #128253=VECTOR('',#419049,10.); #128254=VECTOR('',#419052,10.); #128255=VECTOR('',#419053,10.); #128256=VECTOR('',#419054,10.); #128257=VECTOR('',#419057,10.); #128258=VECTOR('',#419058,10.); #128259=VECTOR('',#419059,10.); #128260=VECTOR('',#419062,10.); #128261=VECTOR('',#419063,10.); #128262=VECTOR('',#419064,10.); #128263=VECTOR('',#419067,10.); #128264=VECTOR('',#419068,10.); #128265=VECTOR('',#419069,10.); #128266=VECTOR('',#419072,10.); #128267=VECTOR('',#419073,10.); #128268=VECTOR('',#419074,10.); #128269=VECTOR('',#419077,10.); #128270=VECTOR('',#419078,10.); #128271=VECTOR('',#419079,10.); #128272=VECTOR('',#419082,10.); #128273=VECTOR('',#419083,10.); #128274=VECTOR('',#419084,10.); #128275=VECTOR('',#419087,10.); #128276=VECTOR('',#419088,10.); #128277=VECTOR('',#419089,10.); #128278=VECTOR('',#419092,10.); #128279=VECTOR('',#419093,10.); #128280=VECTOR('',#419094,10.); #128281=VECTOR('',#419097,10.); #128282=VECTOR('',#419098,10.); #128283=VECTOR('',#419105,10.); #128284=VECTOR('',#419106,10.); #128285=VECTOR('',#419107,10.); #128286=VECTOR('',#419108,10.); #128287=VECTOR('',#419115,10.); #128288=VECTOR('',#419118,10.); #128289=VECTOR('',#419119,10.); #128290=VECTOR('',#419120,10.); #128291=VECTOR('',#419129,10.); #128292=VECTOR('',#419130,10.); #128293=VECTOR('',#419131,10.); #128294=VECTOR('',#419132,10.); #128295=VECTOR('',#419135,10.); #128296=VECTOR('',#419136,10.); #128297=VECTOR('',#419137,10.); #128298=VECTOR('',#419140,10.); #128299=VECTOR('',#419141,10.); #128300=VECTOR('',#419142,10.); #128301=VECTOR('',#419145,10.); #128302=VECTOR('',#419146,10.); #128303=VECTOR('',#419147,10.); #128304=VECTOR('',#419150,10.); #128305=VECTOR('',#419151,10.); #128306=VECTOR('',#419152,10.); #128307=VECTOR('',#419155,10.); #128308=VECTOR('',#419156,10.); #128309=VECTOR('',#419157,10.); #128310=VECTOR('',#419160,10.); #128311=VECTOR('',#419161,10.); #128312=VECTOR('',#419162,10.); #128313=VECTOR('',#419165,10.); #128314=VECTOR('',#419166,10.); #128315=VECTOR('',#419167,10.); #128316=VECTOR('',#419170,10.); #128317=VECTOR('',#419171,10.); #128318=VECTOR('',#419172,10.); #128319=VECTOR('',#419175,10.); #128320=VECTOR('',#419176,10.); #128321=VECTOR('',#419177,10.); #128322=VECTOR('',#419180,10.); #128323=VECTOR('',#419181,10.); #128324=VECTOR('',#419182,10.); #128325=VECTOR('',#419185,10.); #128326=VECTOR('',#419186,10.); #128327=VECTOR('',#419187,10.); #128328=VECTOR('',#419190,10.); #128329=VECTOR('',#419191,10.); #128330=VECTOR('',#419192,10.); #128331=VECTOR('',#419195,10.); #128332=VECTOR('',#419196,10.); #128333=VECTOR('',#419197,10.); #128334=VECTOR('',#419200,10.); #128335=VECTOR('',#419201,10.); #128336=VECTOR('',#419202,10.); #128337=VECTOR('',#419205,10.); #128338=VECTOR('',#419206,10.); #128339=VECTOR('',#419207,10.); #128340=VECTOR('',#419210,10.); #128341=VECTOR('',#419211,10.); #128342=VECTOR('',#419212,10.); #128343=VECTOR('',#419215,10.); #128344=VECTOR('',#419216,10.); #128345=VECTOR('',#419217,10.); #128346=VECTOR('',#419220,10.); #128347=VECTOR('',#419221,10.); #128348=VECTOR('',#419222,10.); #128349=VECTOR('',#419225,10.); #128350=VECTOR('',#419226,10.); #128351=VECTOR('',#419227,10.); #128352=VECTOR('',#419230,10.); #128353=VECTOR('',#419231,10.); #128354=VECTOR('',#419232,10.); #128355=VECTOR('',#419235,10.); #128356=VECTOR('',#419236,10.); #128357=VECTOR('',#419237,10.); #128358=VECTOR('',#419240,10.); #128359=VECTOR('',#419241,10.); #128360=VECTOR('',#419242,10.); #128361=VECTOR('',#419245,10.); #128362=VECTOR('',#419246,10.); #128363=VECTOR('',#419247,10.); #128364=VECTOR('',#419250,10.); #128365=VECTOR('',#419251,10.); #128366=VECTOR('',#419252,10.); #128367=VECTOR('',#419255,10.); #128368=VECTOR('',#419256,10.); #128369=VECTOR('',#419257,10.); #128370=VECTOR('',#419260,10.); #128371=VECTOR('',#419261,10.); #128372=VECTOR('',#419262,10.); #128373=VECTOR('',#419265,10.); #128374=VECTOR('',#419266,10.); #128375=VECTOR('',#419267,10.); #128376=VECTOR('',#419270,10.); #128377=VECTOR('',#419271,10.); #128378=VECTOR('',#419272,10.); #128379=VECTOR('',#419275,10.); #128380=VECTOR('',#419276,10.); #128381=VECTOR('',#419277,10.); #128382=VECTOR('',#419280,10.); #128383=VECTOR('',#419281,10.); #128384=VECTOR('',#419282,10.); #128385=VECTOR('',#419285,10.); #128386=VECTOR('',#419286,10.); #128387=VECTOR('',#419287,10.); #128388=VECTOR('',#419290,10.); #128389=VECTOR('',#419291,10.); #128390=VECTOR('',#419292,10.); #128391=VECTOR('',#419295,10.); #128392=VECTOR('',#419296,10.); #128393=VECTOR('',#419297,10.); #128394=VECTOR('',#419300,10.); #128395=VECTOR('',#419301,10.); #128396=VECTOR('',#419302,10.); #128397=VECTOR('',#419305,10.); #128398=VECTOR('',#419306,10.); #128399=VECTOR('',#419307,10.); #128400=VECTOR('',#419310,10.); #128401=VECTOR('',#419311,10.); #128402=VECTOR('',#419312,10.); #128403=VECTOR('',#419315,10.); #128404=VECTOR('',#419316,10.); #128405=VECTOR('',#419317,10.); #128406=VECTOR('',#419320,10.); #128407=VECTOR('',#419321,10.); #128408=VECTOR('',#419322,10.); #128409=VECTOR('',#419325,10.); #128410=VECTOR('',#419326,10.); #128411=VECTOR('',#419327,10.); #128412=VECTOR('',#419330,10.); #128413=VECTOR('',#419331,10.); #128414=VECTOR('',#419332,10.); #128415=VECTOR('',#419335,10.); #128416=VECTOR('',#419336,10.); #128417=VECTOR('',#419337,10.); #128418=VECTOR('',#419340,10.); #128419=VECTOR('',#419341,10.); #128420=VECTOR('',#419342,10.); #128421=VECTOR('',#419345,10.); #128422=VECTOR('',#419346,10.); #128423=VECTOR('',#419347,10.); #128424=VECTOR('',#419350,10.); #128425=VECTOR('',#419351,10.); #128426=VECTOR('',#419352,10.); #128427=VECTOR('',#419355,10.); #128428=VECTOR('',#419356,10.); #128429=VECTOR('',#419357,10.); #128430=VECTOR('',#419360,10.); #128431=VECTOR('',#419361,10.); #128432=VECTOR('',#419362,10.); #128433=VECTOR('',#419365,10.); #128434=VECTOR('',#419366,10.); #128435=VECTOR('',#419367,10.); #128436=VECTOR('',#419370,10.); #128437=VECTOR('',#419371,10.); #128438=VECTOR('',#419372,10.); #128439=VECTOR('',#419375,10.); #128440=VECTOR('',#419376,10.); #128441=VECTOR('',#419377,10.); #128442=VECTOR('',#419380,10.); #128443=VECTOR('',#419381,10.); #128444=VECTOR('',#419382,10.); #128445=VECTOR('',#419385,10.); #128446=VECTOR('',#419386,10.); #128447=VECTOR('',#419387,10.); #128448=VECTOR('',#419390,10.); #128449=VECTOR('',#419391,10.); #128450=VECTOR('',#419392,10.); #128451=VECTOR('',#419395,10.); #128452=VECTOR('',#419396,10.); #128453=VECTOR('',#419397,10.); #128454=VECTOR('',#419400,10.); #128455=VECTOR('',#419401,10.); #128456=VECTOR('',#419402,10.); #128457=VECTOR('',#419405,10.); #128458=VECTOR('',#419406,10.); #128459=VECTOR('',#419407,10.); #128460=VECTOR('',#419410,10.); #128461=VECTOR('',#419411,10.); #128462=VECTOR('',#419412,10.); #128463=VECTOR('',#419415,10.); #128464=VECTOR('',#419416,10.); #128465=VECTOR('',#419417,10.); #128466=VECTOR('',#419420,10.); #128467=VECTOR('',#419421,10.); #128468=VECTOR('',#419422,10.); #128469=VECTOR('',#419425,10.); #128470=VECTOR('',#419426,10.); #128471=VECTOR('',#419427,10.); #128472=VECTOR('',#419430,10.); #128473=VECTOR('',#419431,10.); #128474=VECTOR('',#419432,10.); #128475=VECTOR('',#419435,10.); #128476=VECTOR('',#419436,10.); #128477=VECTOR('',#419437,10.); #128478=VECTOR('',#419440,10.); #128479=VECTOR('',#419441,10.); #128480=VECTOR('',#419442,10.); #128481=VECTOR('',#419445,10.); #128482=VECTOR('',#419446,10.); #128483=VECTOR('',#419447,10.); #128484=VECTOR('',#419450,10.); #128485=VECTOR('',#419451,10.); #128486=VECTOR('',#419452,10.); #128487=VECTOR('',#419455,10.); #128488=VECTOR('',#419456,10.); #128489=VECTOR('',#419457,10.); #128490=VECTOR('',#419460,10.); #128491=VECTOR('',#419461,10.); #128492=VECTOR('',#419462,10.); #128493=VECTOR('',#419465,10.); #128494=VECTOR('',#419466,10.); #128495=VECTOR('',#419467,10.); #128496=VECTOR('',#419470,10.); #128497=VECTOR('',#419471,10.); #128498=VECTOR('',#419472,10.); #128499=VECTOR('',#419475,10.); #128500=VECTOR('',#419476,10.); #128501=VECTOR('',#419477,10.); #128502=VECTOR('',#419480,10.); #128503=VECTOR('',#419481,10.); #128504=VECTOR('',#419482,10.); #128505=VECTOR('',#419485,10.); #128506=VECTOR('',#419486,10.); #128507=VECTOR('',#419493,10.); #128508=VECTOR('',#419494,10.); #128509=VECTOR('',#419495,10.); #128510=VECTOR('',#419496,10.); #128511=VECTOR('',#419503,10.); #128512=VECTOR('',#419506,10.); #128513=VECTOR('',#419507,10.); #128514=VECTOR('',#419508,10.); #128515=VECTOR('',#419517,10.); #128516=VECTOR('',#419518,10.); #128517=VECTOR('',#419519,10.); #128518=VECTOR('',#419520,10.); #128519=VECTOR('',#419523,10.); #128520=VECTOR('',#419524,10.); #128521=VECTOR('',#419525,10.); #128522=VECTOR('',#419528,10.); #128523=VECTOR('',#419529,10.); #128524=VECTOR('',#419530,10.); #128525=VECTOR('',#419533,10.); #128526=VECTOR('',#419534,10.); #128527=VECTOR('',#419535,10.); #128528=VECTOR('',#419538,10.); #128529=VECTOR('',#419539,10.); #128530=VECTOR('',#419540,10.); #128531=VECTOR('',#419543,10.); #128532=VECTOR('',#419544,10.); #128533=VECTOR('',#419545,10.); #128534=VECTOR('',#419548,10.); #128535=VECTOR('',#419549,10.); #128536=VECTOR('',#419550,10.); #128537=VECTOR('',#419553,10.); #128538=VECTOR('',#419554,10.); #128539=VECTOR('',#419555,10.); #128540=VECTOR('',#419558,10.); #128541=VECTOR('',#419559,10.); #128542=VECTOR('',#419560,10.); #128543=VECTOR('',#419563,10.); #128544=VECTOR('',#419564,10.); #128545=VECTOR('',#419565,10.); #128546=VECTOR('',#419568,10.); #128547=VECTOR('',#419569,10.); #128548=VECTOR('',#419570,10.); #128549=VECTOR('',#419573,10.); #128550=VECTOR('',#419574,10.); #128551=VECTOR('',#419575,10.); #128552=VECTOR('',#419578,10.); #128553=VECTOR('',#419579,10.); #128554=VECTOR('',#419580,10.); #128555=VECTOR('',#419583,10.); #128556=VECTOR('',#419584,10.); #128557=VECTOR('',#419585,10.); #128558=VECTOR('',#419588,10.); #128559=VECTOR('',#419589,10.); #128560=VECTOR('',#419590,10.); #128561=VECTOR('',#419593,10.); #128562=VECTOR('',#419594,10.); #128563=VECTOR('',#419595,10.); #128564=VECTOR('',#419598,10.); #128565=VECTOR('',#419599,10.); #128566=VECTOR('',#419600,10.); #128567=VECTOR('',#419603,10.); #128568=VECTOR('',#419604,10.); #128569=VECTOR('',#419605,10.); #128570=VECTOR('',#419608,10.); #128571=VECTOR('',#419609,10.); #128572=VECTOR('',#419610,10.); #128573=VECTOR('',#419613,10.); #128574=VECTOR('',#419614,10.); #128575=VECTOR('',#419615,10.); #128576=VECTOR('',#419618,10.); #128577=VECTOR('',#419619,10.); #128578=VECTOR('',#419620,10.); #128579=VECTOR('',#419623,10.); #128580=VECTOR('',#419624,10.); #128581=VECTOR('',#419625,10.); #128582=VECTOR('',#419628,10.); #128583=VECTOR('',#419629,10.); #128584=VECTOR('',#419630,10.); #128585=VECTOR('',#419633,10.); #128586=VECTOR('',#419634,10.); #128587=VECTOR('',#419635,10.); #128588=VECTOR('',#419638,10.); #128589=VECTOR('',#419639,10.); #128590=VECTOR('',#419640,10.); #128591=VECTOR('',#419643,10.); #128592=VECTOR('',#419644,10.); #128593=VECTOR('',#419645,10.); #128594=VECTOR('',#419648,10.); #128595=VECTOR('',#419649,10.); #128596=VECTOR('',#419650,10.); #128597=VECTOR('',#419653,10.); #128598=VECTOR('',#419654,10.); #128599=VECTOR('',#419655,10.); #128600=VECTOR('',#419658,10.); #128601=VECTOR('',#419659,10.); #128602=VECTOR('',#419660,10.); #128603=VECTOR('',#419663,10.); #128604=VECTOR('',#419664,10.); #128605=VECTOR('',#419665,10.); #128606=VECTOR('',#419668,10.); #128607=VECTOR('',#419669,10.); #128608=VECTOR('',#419670,10.); #128609=VECTOR('',#419673,10.); #128610=VECTOR('',#419674,10.); #128611=VECTOR('',#419675,10.); #128612=VECTOR('',#419678,10.); #128613=VECTOR('',#419679,10.); #128614=VECTOR('',#419680,10.); #128615=VECTOR('',#419683,10.); #128616=VECTOR('',#419684,10.); #128617=VECTOR('',#419685,10.); #128618=VECTOR('',#419688,10.); #128619=VECTOR('',#419689,10.); #128620=VECTOR('',#419690,10.); #128621=VECTOR('',#419693,10.); #128622=VECTOR('',#419694,10.); #128623=VECTOR('',#419695,10.); #128624=VECTOR('',#419698,10.); #128625=VECTOR('',#419699,10.); #128626=VECTOR('',#419700,10.); #128627=VECTOR('',#419703,10.); #128628=VECTOR('',#419704,10.); #128629=VECTOR('',#419705,10.); #128630=VECTOR('',#419708,10.); #128631=VECTOR('',#419709,10.); #128632=VECTOR('',#419710,10.); #128633=VECTOR('',#419713,10.); #128634=VECTOR('',#419714,10.); #128635=VECTOR('',#419715,10.); #128636=VECTOR('',#419718,10.); #128637=VECTOR('',#419719,10.); #128638=VECTOR('',#419720,10.); #128639=VECTOR('',#419723,10.); #128640=VECTOR('',#419724,10.); #128641=VECTOR('',#419725,10.); #128642=VECTOR('',#419728,10.); #128643=VECTOR('',#419729,10.); #128644=VECTOR('',#419730,10.); #128645=VECTOR('',#419733,10.); #128646=VECTOR('',#419734,10.); #128647=VECTOR('',#419735,10.); #128648=VECTOR('',#419738,10.); #128649=VECTOR('',#419739,10.); #128650=VECTOR('',#419740,10.); #128651=VECTOR('',#419743,10.); #128652=VECTOR('',#419744,10.); #128653=VECTOR('',#419745,10.); #128654=VECTOR('',#419748,10.); #128655=VECTOR('',#419749,10.); #128656=VECTOR('',#419750,10.); #128657=VECTOR('',#419753,10.); #128658=VECTOR('',#419754,10.); #128659=VECTOR('',#419755,10.); #128660=VECTOR('',#419758,10.); #128661=VECTOR('',#419759,10.); #128662=VECTOR('',#419760,10.); #128663=VECTOR('',#419763,10.); #128664=VECTOR('',#419764,10.); #128665=VECTOR('',#419765,10.); #128666=VECTOR('',#419768,10.); #128667=VECTOR('',#419769,10.); #128668=VECTOR('',#419770,10.); #128669=VECTOR('',#419773,10.); #128670=VECTOR('',#419774,10.); #128671=VECTOR('',#419775,10.); #128672=VECTOR('',#419778,10.); #128673=VECTOR('',#419779,10.); #128674=VECTOR('',#419780,10.); #128675=VECTOR('',#419783,10.); #128676=VECTOR('',#419784,10.); #128677=VECTOR('',#419785,10.); #128678=VECTOR('',#419788,10.); #128679=VECTOR('',#419789,10.); #128680=VECTOR('',#419790,10.); #128681=VECTOR('',#419793,10.); #128682=VECTOR('',#419794,10.); #128683=VECTOR('',#419803,0.514999999999999); #128684=VECTOR('',#419808,10.); #128685=VECTOR('',#419809,10.); #128686=VECTOR('',#419810,10.); #128687=VECTOR('',#419811,10.); #128688=VECTOR('',#419814,10.); #128689=VECTOR('',#419815,10.); #128690=VECTOR('',#419816,10.); #128691=VECTOR('',#419819,10.); #128692=VECTOR('',#419820,10.); #128693=VECTOR('',#419821,10.); #128694=VECTOR('',#419824,10.); #128695=VECTOR('',#419825,10.); #128696=VECTOR('',#419832,10.); #128697=VECTOR('',#419833,10.); #128698=VECTOR('',#419834,10.); #128699=VECTOR('',#419835,10.); #128700=VECTOR('',#419842,10.); #128701=VECTOR('',#419845,10.); #128702=VECTOR('',#419846,10.); #128703=VECTOR('',#419847,10.); #128704=VECTOR('',#419856,10.); #128705=VECTOR('',#419857,10.); #128706=VECTOR('',#419858,10.); #128707=VECTOR('',#419859,10.); #128708=VECTOR('',#419862,10.); #128709=VECTOR('',#419863,10.); #128710=VECTOR('',#419864,10.); #128711=VECTOR('',#419867,10.); #128712=VECTOR('',#419868,10.); #128713=VECTOR('',#419869,10.); #128714=VECTOR('',#419872,10.); #128715=VECTOR('',#419873,10.); #128716=VECTOR('',#419874,10.); #128717=VECTOR('',#419877,10.); #128718=VECTOR('',#419878,10.); #128719=VECTOR('',#419879,10.); #128720=VECTOR('',#419882,10.); #128721=VECTOR('',#419883,10.); #128722=VECTOR('',#419884,10.); #128723=VECTOR('',#419887,10.); #128724=VECTOR('',#419888,10.); #128725=VECTOR('',#419889,10.); #128726=VECTOR('',#419892,10.); #128727=VECTOR('',#419893,10.); #128728=VECTOR('',#419894,10.); #128729=VECTOR('',#419897,10.); #128730=VECTOR('',#419898,10.); #128731=VECTOR('',#419899,10.); #128732=VECTOR('',#419902,10.); #128733=VECTOR('',#419903,10.); #128734=VECTOR('',#419904,10.); #128735=VECTOR('',#419907,10.); #128736=VECTOR('',#419908,10.); #128737=VECTOR('',#419909,10.); #128738=VECTOR('',#419912,10.); #128739=VECTOR('',#419913,10.); #128740=VECTOR('',#419914,10.); #128741=VECTOR('',#419917,10.); #128742=VECTOR('',#419918,10.); #128743=VECTOR('',#419919,10.); #128744=VECTOR('',#419922,10.); #128745=VECTOR('',#419923,10.); #128746=VECTOR('',#419924,10.); #128747=VECTOR('',#419927,10.); #128748=VECTOR('',#419928,10.); #128749=VECTOR('',#419929,10.); #128750=VECTOR('',#419932,10.); #128751=VECTOR('',#419933,10.); #128752=VECTOR('',#419934,10.); #128753=VECTOR('',#419937,10.); #128754=VECTOR('',#419938,10.); #128755=VECTOR('',#419939,10.); #128756=VECTOR('',#419942,10.); #128757=VECTOR('',#419943,10.); #128758=VECTOR('',#419944,10.); #128759=VECTOR('',#419947,10.); #128760=VECTOR('',#419948,10.); #128761=VECTOR('',#419949,10.); #128762=VECTOR('',#419952,10.); #128763=VECTOR('',#419953,10.); #128764=VECTOR('',#419954,10.); #128765=VECTOR('',#419957,10.); #128766=VECTOR('',#419958,10.); #128767=VECTOR('',#419959,10.); #128768=VECTOR('',#419962,10.); #128769=VECTOR('',#419963,10.); #128770=VECTOR('',#419964,10.); #128771=VECTOR('',#419967,10.); #128772=VECTOR('',#419968,10.); #128773=VECTOR('',#419969,10.); #128774=VECTOR('',#419972,10.); #128775=VECTOR('',#419973,10.); #128776=VECTOR('',#419974,10.); #128777=VECTOR('',#419977,10.); #128778=VECTOR('',#419978,10.); #128779=VECTOR('',#419979,10.); #128780=VECTOR('',#419982,10.); #128781=VECTOR('',#419983,10.); #128782=VECTOR('',#419984,10.); #128783=VECTOR('',#419987,10.); #128784=VECTOR('',#419988,10.); #128785=VECTOR('',#419989,10.); #128786=VECTOR('',#419992,10.); #128787=VECTOR('',#419993,10.); #128788=VECTOR('',#419994,10.); #128789=VECTOR('',#419997,10.); #128790=VECTOR('',#419998,10.); #128791=VECTOR('',#419999,10.); #128792=VECTOR('',#420002,10.); #128793=VECTOR('',#420003,10.); #128794=VECTOR('',#420004,10.); #128795=VECTOR('',#420007,10.); #128796=VECTOR('',#420008,10.); #128797=VECTOR('',#420009,10.); #128798=VECTOR('',#420012,10.); #128799=VECTOR('',#420013,10.); #128800=VECTOR('',#420014,10.); #128801=VECTOR('',#420017,10.); #128802=VECTOR('',#420018,10.); #128803=VECTOR('',#420019,10.); #128804=VECTOR('',#420022,10.); #128805=VECTOR('',#420023,10.); #128806=VECTOR('',#420024,10.); #128807=VECTOR('',#420027,10.); #128808=VECTOR('',#420028,10.); #128809=VECTOR('',#420029,10.); #128810=VECTOR('',#420032,10.); #128811=VECTOR('',#420033,10.); #128812=VECTOR('',#420034,10.); #128813=VECTOR('',#420037,10.); #128814=VECTOR('',#420038,10.); #128815=VECTOR('',#420039,10.); #128816=VECTOR('',#420042,10.); #128817=VECTOR('',#420043,10.); #128818=VECTOR('',#420044,10.); #128819=VECTOR('',#420047,10.); #128820=VECTOR('',#420048,10.); #128821=VECTOR('',#420049,10.); #128822=VECTOR('',#420052,10.); #128823=VECTOR('',#420053,10.); #128824=VECTOR('',#420054,10.); #128825=VECTOR('',#420057,10.); #128826=VECTOR('',#420058,10.); #128827=VECTOR('',#420059,10.); #128828=VECTOR('',#420062,10.); #128829=VECTOR('',#420063,10.); #128830=VECTOR('',#420064,10.); #128831=VECTOR('',#420067,10.); #128832=VECTOR('',#420068,10.); #128833=VECTOR('',#420069,10.); #128834=VECTOR('',#420072,10.); #128835=VECTOR('',#420073,10.); #128836=VECTOR('',#420074,10.); #128837=VECTOR('',#420077,10.); #128838=VECTOR('',#420078,10.); #128839=VECTOR('',#420079,10.); #128840=VECTOR('',#420082,10.); #128841=VECTOR('',#420083,10.); #128842=VECTOR('',#420084,10.); #128843=VECTOR('',#420087,10.); #128844=VECTOR('',#420088,10.); #128845=VECTOR('',#420089,10.); #128846=VECTOR('',#420092,10.); #128847=VECTOR('',#420093,10.); #128848=VECTOR('',#420094,10.); #128849=VECTOR('',#420097,10.); #128850=VECTOR('',#420098,10.); #128851=VECTOR('',#420099,10.); #128852=VECTOR('',#420102,10.); #128853=VECTOR('',#420103,10.); #128854=VECTOR('',#420104,10.); #128855=VECTOR('',#420107,10.); #128856=VECTOR('',#420108,10.); #128857=VECTOR('',#420109,10.); #128858=VECTOR('',#420112,10.); #128859=VECTOR('',#420113,10.); #128860=VECTOR('',#420114,10.); #128861=VECTOR('',#420117,10.); #128862=VECTOR('',#420118,10.); #128863=VECTOR('',#420119,10.); #128864=VECTOR('',#420122,10.); #128865=VECTOR('',#420123,10.); #128866=VECTOR('',#420124,10.); #128867=VECTOR('',#420127,10.); #128868=VECTOR('',#420128,10.); #128869=VECTOR('',#420129,10.); #128870=VECTOR('',#420132,10.); #128871=VECTOR('',#420133,10.); #128872=VECTOR('',#420140,10.); #128873=VECTOR('',#420141,10.); #128874=VECTOR('',#420142,10.); #128875=VECTOR('',#420143,10.); #128876=VECTOR('',#420150,10.); #128877=VECTOR('',#420153,10.); #128878=VECTOR('',#420154,10.); #128879=VECTOR('',#420155,10.); #128880=VECTOR('',#420164,10.); #128881=VECTOR('',#420165,10.); #128882=VECTOR('',#420166,10.); #128883=VECTOR('',#420167,10.); #128884=VECTOR('',#420170,10.); #128885=VECTOR('',#420171,10.); #128886=VECTOR('',#420172,10.); #128887=VECTOR('',#420175,10.); #128888=VECTOR('',#420176,10.); #128889=VECTOR('',#420177,10.); #128890=VECTOR('',#420180,10.); #128891=VECTOR('',#420181,10.); #128892=VECTOR('',#420182,10.); #128893=VECTOR('',#420185,10.); #128894=VECTOR('',#420186,10.); #128895=VECTOR('',#420187,10.); #128896=VECTOR('',#420190,10.); #128897=VECTOR('',#420191,10.); #128898=VECTOR('',#420192,10.); #128899=VECTOR('',#420195,10.); #128900=VECTOR('',#420196,10.); #128901=VECTOR('',#420197,10.); #128902=VECTOR('',#420200,10.); #128903=VECTOR('',#420201,10.); #128904=VECTOR('',#420202,10.); #128905=VECTOR('',#420205,10.); #128906=VECTOR('',#420206,10.); #128907=VECTOR('',#420207,10.); #128908=VECTOR('',#420210,10.); #128909=VECTOR('',#420211,10.); #128910=VECTOR('',#420212,10.); #128911=VECTOR('',#420215,10.); #128912=VECTOR('',#420216,10.); #128913=VECTOR('',#420217,10.); #128914=VECTOR('',#420220,10.); #128915=VECTOR('',#420221,10.); #128916=VECTOR('',#420222,10.); #128917=VECTOR('',#420225,10.); #128918=VECTOR('',#420226,10.); #128919=VECTOR('',#420227,10.); #128920=VECTOR('',#420230,10.); #128921=VECTOR('',#420231,10.); #128922=VECTOR('',#420232,10.); #128923=VECTOR('',#420235,10.); #128924=VECTOR('',#420236,10.); #128925=VECTOR('',#420237,10.); #128926=VECTOR('',#420240,10.); #128927=VECTOR('',#420241,10.); #128928=VECTOR('',#420242,10.); #128929=VECTOR('',#420245,10.); #128930=VECTOR('',#420246,10.); #128931=VECTOR('',#420247,10.); #128932=VECTOR('',#420250,10.); #128933=VECTOR('',#420251,10.); #128934=VECTOR('',#420252,10.); #128935=VECTOR('',#420255,10.); #128936=VECTOR('',#420256,10.); #128937=VECTOR('',#420257,10.); #128938=VECTOR('',#420260,10.); #128939=VECTOR('',#420261,10.); #128940=VECTOR('',#420262,10.); #128941=VECTOR('',#420265,10.); #128942=VECTOR('',#420266,10.); #128943=VECTOR('',#420267,10.); #128944=VECTOR('',#420270,10.); #128945=VECTOR('',#420271,10.); #128946=VECTOR('',#420272,10.); #128947=VECTOR('',#420275,10.); #128948=VECTOR('',#420276,10.); #128949=VECTOR('',#420277,10.); #128950=VECTOR('',#420280,10.); #128951=VECTOR('',#420281,10.); #128952=VECTOR('',#420282,10.); #128953=VECTOR('',#420285,10.); #128954=VECTOR('',#420286,10.); #128955=VECTOR('',#420287,10.); #128956=VECTOR('',#420290,10.); #128957=VECTOR('',#420291,10.); #128958=VECTOR('',#420292,10.); #128959=VECTOR('',#420295,10.); #128960=VECTOR('',#420296,10.); #128961=VECTOR('',#420297,10.); #128962=VECTOR('',#420300,10.); #128963=VECTOR('',#420301,10.); #128964=VECTOR('',#420302,10.); #128965=VECTOR('',#420305,10.); #128966=VECTOR('',#420306,10.); #128967=VECTOR('',#420307,10.); #128968=VECTOR('',#420310,10.); #128969=VECTOR('',#420311,10.); #128970=VECTOR('',#420312,10.); #128971=VECTOR('',#420315,10.); #128972=VECTOR('',#420316,10.); #128973=VECTOR('',#420317,10.); #128974=VECTOR('',#420320,10.); #128975=VECTOR('',#420321,10.); #128976=VECTOR('',#420322,10.); #128977=VECTOR('',#420325,10.); #128978=VECTOR('',#420326,10.); #128979=VECTOR('',#420327,10.); #128980=VECTOR('',#420330,10.); #128981=VECTOR('',#420331,10.); #128982=VECTOR('',#420332,10.); #128983=VECTOR('',#420335,10.); #128984=VECTOR('',#420336,10.); #128985=VECTOR('',#420337,10.); #128986=VECTOR('',#420340,10.); #128987=VECTOR('',#420341,10.); #128988=VECTOR('',#420342,10.); #128989=VECTOR('',#420345,10.); #128990=VECTOR('',#420346,10.); #128991=VECTOR('',#420347,10.); #128992=VECTOR('',#420350,10.); #128993=VECTOR('',#420351,10.); #128994=VECTOR('',#420352,10.); #128995=VECTOR('',#420355,10.); #128996=VECTOR('',#420356,10.); #128997=VECTOR('',#420357,10.); #128998=VECTOR('',#420360,10.); #128999=VECTOR('',#420361,10.); #129000=VECTOR('',#420362,10.); #129001=VECTOR('',#420365,10.); #129002=VECTOR('',#420366,10.); #129003=VECTOR('',#420367,10.); #129004=VECTOR('',#420370,10.); #129005=VECTOR('',#420371,10.); #129006=VECTOR('',#420372,10.); #129007=VECTOR('',#420375,10.); #129008=VECTOR('',#420376,10.); #129009=VECTOR('',#420377,10.); #129010=VECTOR('',#420380,10.); #129011=VECTOR('',#420381,10.); #129012=VECTOR('',#420382,10.); #129013=VECTOR('',#420385,10.); #129014=VECTOR('',#420386,10.); #129015=VECTOR('',#420387,10.); #129016=VECTOR('',#420390,10.); #129017=VECTOR('',#420391,10.); #129018=VECTOR('',#420392,10.); #129019=VECTOR('',#420395,10.); #129020=VECTOR('',#420396,10.); #129021=VECTOR('',#420397,10.); #129022=VECTOR('',#420400,10.); #129023=VECTOR('',#420401,10.); #129024=VECTOR('',#420402,10.); #129025=VECTOR('',#420405,10.); #129026=VECTOR('',#420406,10.); #129027=VECTOR('',#420407,10.); #129028=VECTOR('',#420410,10.); #129029=VECTOR('',#420411,10.); #129030=VECTOR('',#420412,10.); #129031=VECTOR('',#420415,10.); #129032=VECTOR('',#420416,10.); #129033=VECTOR('',#420417,10.); #129034=VECTOR('',#420420,10.); #129035=VECTOR('',#420421,10.); #129036=VECTOR('',#420422,10.); #129037=VECTOR('',#420425,10.); #129038=VECTOR('',#420426,10.); #129039=VECTOR('',#420427,10.); #129040=VECTOR('',#420430,10.); #129041=VECTOR('',#420431,10.); #129042=VECTOR('',#420432,10.); #129043=VECTOR('',#420435,10.); #129044=VECTOR('',#420436,10.); #129045=VECTOR('',#420437,10.); #129046=VECTOR('',#420440,10.); #129047=VECTOR('',#420441,10.); #129048=VECTOR('',#420442,10.); #129049=VECTOR('',#420445,10.); #129050=VECTOR('',#420446,10.); #129051=VECTOR('',#420447,10.); #129052=VECTOR('',#420450,10.); #129053=VECTOR('',#420451,10.); #129054=VECTOR('',#420452,10.); #129055=VECTOR('',#420455,10.); #129056=VECTOR('',#420456,10.); #129057=VECTOR('',#420457,10.); #129058=VECTOR('',#420460,10.); #129059=VECTOR('',#420461,10.); #129060=VECTOR('',#420462,10.); #129061=VECTOR('',#420465,10.); #129062=VECTOR('',#420466,10.); #129063=VECTOR('',#420467,10.); #129064=VECTOR('',#420470,10.); #129065=VECTOR('',#420471,10.); #129066=VECTOR('',#420472,10.); #129067=VECTOR('',#420475,10.); #129068=VECTOR('',#420476,10.); #129069=VECTOR('',#420477,10.); #129070=VECTOR('',#420480,10.); #129071=VECTOR('',#420481,10.); #129072=VECTOR('',#420482,10.); #129073=VECTOR('',#420485,10.); #129074=VECTOR('',#420486,10.); #129075=VECTOR('',#420487,10.); #129076=VECTOR('',#420490,10.); #129077=VECTOR('',#420491,10.); #129078=VECTOR('',#420492,10.); #129079=VECTOR('',#420495,10.); #129080=VECTOR('',#420496,10.); #129081=VECTOR('',#420497,10.); #129082=VECTOR('',#420500,10.); #129083=VECTOR('',#420501,10.); #129084=VECTOR('',#420502,10.); #129085=VECTOR('',#420505,10.); #129086=VECTOR('',#420506,10.); #129087=VECTOR('',#420507,10.); #129088=VECTOR('',#420510,10.); #129089=VECTOR('',#420511,10.); #129090=VECTOR('',#420512,10.); #129091=VECTOR('',#420515,10.); #129092=VECTOR('',#420516,10.); #129093=VECTOR('',#420517,10.); #129094=VECTOR('',#420520,10.); #129095=VECTOR('',#420521,10.); #129096=VECTOR('',#420530,0.465); #129097=VECTOR('',#420535,10.); #129098=VECTOR('',#420536,10.); #129099=VECTOR('',#420537,10.); #129100=VECTOR('',#420538,10.); #129101=VECTOR('',#420545,10.); #129102=VECTOR('',#420560,0.514999999999999); #129103=VECTOR('',#420565,10.); #129104=VECTOR('',#420566,10.); #129105=VECTOR('',#420567,10.); #129106=VECTOR('',#420568,10.); #129107=VECTOR('',#420575,10.); #129108=VECTOR('',#420588,10.); #129109=VECTOR('',#420589,10.); #129110=VECTOR('',#420590,10.); #129111=VECTOR('',#420591,10.); #129112=VECTOR('',#420598,10.); #129113=VECTOR('',#420601,10.); #129114=VECTOR('',#420602,10.); #129115=VECTOR('',#420603,10.); #129116=VECTOR('',#420612,10.); #129117=VECTOR('',#420613,10.); #129118=VECTOR('',#420614,10.); #129119=VECTOR('',#420615,10.); #129120=VECTOR('',#420618,10.); #129121=VECTOR('',#420619,10.); #129122=VECTOR('',#420620,10.); #129123=VECTOR('',#420623,10.); #129124=VECTOR('',#420624,10.); #129125=VECTOR('',#420625,10.); #129126=VECTOR('',#420628,10.); #129127=VECTOR('',#420629,10.); #129128=VECTOR('',#420630,10.); #129129=VECTOR('',#420633,10.); #129130=VECTOR('',#420634,10.); #129131=VECTOR('',#420635,10.); #129132=VECTOR('',#420638,10.); #129133=VECTOR('',#420639,10.); #129134=VECTOR('',#420640,10.); #129135=VECTOR('',#420643,10.); #129136=VECTOR('',#420644,10.); #129137=VECTOR('',#420645,10.); #129138=VECTOR('',#420648,10.); #129139=VECTOR('',#420649,10.); #129140=VECTOR('',#420650,10.); #129141=VECTOR('',#420653,10.); #129142=VECTOR('',#420654,10.); #129143=VECTOR('',#420655,10.); #129144=VECTOR('',#420658,10.); #129145=VECTOR('',#420659,10.); #129146=VECTOR('',#420660,10.); #129147=VECTOR('',#420663,10.); #129148=VECTOR('',#420664,10.); #129149=VECTOR('',#420665,10.); #129150=VECTOR('',#420668,10.); #129151=VECTOR('',#420669,10.); #129152=VECTOR('',#420670,10.); #129153=VECTOR('',#420673,10.); #129154=VECTOR('',#420674,10.); #129155=VECTOR('',#420675,10.); #129156=VECTOR('',#420678,10.); #129157=VECTOR('',#420679,10.); #129158=VECTOR('',#420680,10.); #129159=VECTOR('',#420683,10.); #129160=VECTOR('',#420684,10.); #129161=VECTOR('',#420685,10.); #129162=VECTOR('',#420688,10.); #129163=VECTOR('',#420689,10.); #129164=VECTOR('',#420690,10.); #129165=VECTOR('',#420693,10.); #129166=VECTOR('',#420694,10.); #129167=VECTOR('',#420695,10.); #129168=VECTOR('',#420698,10.); #129169=VECTOR('',#420699,10.); #129170=VECTOR('',#420700,10.); #129171=VECTOR('',#420703,10.); #129172=VECTOR('',#420704,10.); #129173=VECTOR('',#420705,10.); #129174=VECTOR('',#420708,10.); #129175=VECTOR('',#420709,10.); #129176=VECTOR('',#420710,10.); #129177=VECTOR('',#420713,10.); #129178=VECTOR('',#420714,10.); #129179=VECTOR('',#420715,10.); #129180=VECTOR('',#420718,10.); #129181=VECTOR('',#420719,10.); #129182=VECTOR('',#420720,10.); #129183=VECTOR('',#420723,10.); #129184=VECTOR('',#420724,10.); #129185=VECTOR('',#420725,10.); #129186=VECTOR('',#420728,10.); #129187=VECTOR('',#420729,10.); #129188=VECTOR('',#420730,10.); #129189=VECTOR('',#420733,10.); #129190=VECTOR('',#420734,10.); #129191=VECTOR('',#420735,10.); #129192=VECTOR('',#420738,10.); #129193=VECTOR('',#420739,10.); #129194=VECTOR('',#420740,10.); #129195=VECTOR('',#420743,10.); #129196=VECTOR('',#420744,10.); #129197=VECTOR('',#420745,10.); #129198=VECTOR('',#420748,10.); #129199=VECTOR('',#420749,10.); #129200=VECTOR('',#420750,10.); #129201=VECTOR('',#420753,10.); #129202=VECTOR('',#420754,10.); #129203=VECTOR('',#420755,10.); #129204=VECTOR('',#420758,10.); #129205=VECTOR('',#420759,10.); #129206=VECTOR('',#420760,10.); #129207=VECTOR('',#420763,10.); #129208=VECTOR('',#420764,10.); #129209=VECTOR('',#420765,10.); #129210=VECTOR('',#420768,10.); #129211=VECTOR('',#420769,10.); #129212=VECTOR('',#420770,10.); #129213=VECTOR('',#420773,10.); #129214=VECTOR('',#420774,10.); #129215=VECTOR('',#420775,10.); #129216=VECTOR('',#420778,10.); #129217=VECTOR('',#420779,10.); #129218=VECTOR('',#420780,10.); #129219=VECTOR('',#420783,10.); #129220=VECTOR('',#420784,10.); #129221=VECTOR('',#420785,10.); #129222=VECTOR('',#420788,10.); #129223=VECTOR('',#420789,10.); #129224=VECTOR('',#420790,10.); #129225=VECTOR('',#420793,10.); #129226=VECTOR('',#420794,10.); #129227=VECTOR('',#420795,10.); #129228=VECTOR('',#420798,10.); #129229=VECTOR('',#420799,10.); #129230=VECTOR('',#420800,10.); #129231=VECTOR('',#420803,10.); #129232=VECTOR('',#420804,10.); #129233=VECTOR('',#420805,10.); #129234=VECTOR('',#420808,10.); #129235=VECTOR('',#420809,10.); #129236=VECTOR('',#420810,10.); #129237=VECTOR('',#420813,10.); #129238=VECTOR('',#420814,10.); #129239=VECTOR('',#420815,10.); #129240=VECTOR('',#420818,10.); #129241=VECTOR('',#420819,10.); #129242=VECTOR('',#420820,10.); #129243=VECTOR('',#420823,10.); #129244=VECTOR('',#420824,10.); #129245=VECTOR('',#420825,10.); #129246=VECTOR('',#420828,10.); #129247=VECTOR('',#420829,10.); #129248=VECTOR('',#420830,10.); #129249=VECTOR('',#420833,10.); #129250=VECTOR('',#420834,10.); #129251=VECTOR('',#420835,10.); #129252=VECTOR('',#420838,10.); #129253=VECTOR('',#420839,10.); #129254=VECTOR('',#420840,10.); #129255=VECTOR('',#420843,10.); #129256=VECTOR('',#420844,10.); #129257=VECTOR('',#420845,10.); #129258=VECTOR('',#420848,10.); #129259=VECTOR('',#420849,10.); #129260=VECTOR('',#420850,10.); #129261=VECTOR('',#420853,10.); #129262=VECTOR('',#420854,10.); #129263=VECTOR('',#420855,10.); #129264=VECTOR('',#420858,10.); #129265=VECTOR('',#420859,10.); #129266=VECTOR('',#420860,10.); #129267=VECTOR('',#420863,10.); #129268=VECTOR('',#420864,10.); #129269=VECTOR('',#420865,10.); #129270=VECTOR('',#420868,10.); #129271=VECTOR('',#420869,10.); #129272=VECTOR('',#420870,10.); #129273=VECTOR('',#420873,10.); #129274=VECTOR('',#420874,10.); #129275=VECTOR('',#420875,10.); #129276=VECTOR('',#420878,10.); #129277=VECTOR('',#420879,10.); #129278=VECTOR('',#420880,10.); #129279=VECTOR('',#420883,10.); #129280=VECTOR('',#420884,10.); #129281=VECTOR('',#420885,10.); #129282=VECTOR('',#420888,10.); #129283=VECTOR('',#420889,10.); #129284=VECTOR('',#420898,0.465); #129285=VECTOR('',#420903,10.); #129286=VECTOR('',#420904,10.); #129287=VECTOR('',#420905,10.); #129288=VECTOR('',#420906,10.); #129289=VECTOR('',#420913,10.); #129290=VECTOR('',#420928,0.465); #129291=VECTOR('',#420933,10.); #129292=VECTOR('',#420934,10.); #129293=VECTOR('',#420935,10.); #129294=VECTOR('',#420936,10.); #129295=VECTOR('',#420943,10.); #129296=VECTOR('',#420958,0.465); #129297=VECTOR('',#420963,10.); #129298=VECTOR('',#420964,10.); #129299=VECTOR('',#420965,10.); #129300=VECTOR('',#420966,10.); #129301=VECTOR('',#420973,10.); #129302=VECTOR('',#420988,0.564999999999998); #129303=VECTOR('',#420993,10.); #129304=VECTOR('',#420994,10.); #129305=VECTOR('',#420995,10.); #129306=VECTOR('',#420996,10.); #129307=VECTOR('',#420999,10.); #129308=VECTOR('',#421000,10.); #129309=VECTOR('',#421001,10.); #129310=VECTOR('',#421004,10.); #129311=VECTOR('',#421005,10.); #129312=VECTOR('',#421006,10.); #129313=VECTOR('',#421009,10.); #129314=VECTOR('',#421010,10.); #129315=VECTOR('',#421019,0.465); #129316=VECTOR('',#421024,10.); #129317=VECTOR('',#421025,10.); #129318=VECTOR('',#421026,10.); #129319=VECTOR('',#421027,10.); #129320=VECTOR('',#421034,10.); #129321=VECTOR('',#421047,10.); #129322=VECTOR('',#421048,10.); #129323=VECTOR('',#421049,10.); #129324=VECTOR('',#421050,10.); #129325=VECTOR('',#421053,10.); #129326=VECTOR('',#421054,10.); #129327=VECTOR('',#421055,10.); #129328=VECTOR('',#421058,10.); #129329=VECTOR('',#421059,10.); #129330=VECTOR('',#421060,10.); #129331=VECTOR('',#421063,10.); #129332=VECTOR('',#421064,10.); #129333=VECTOR('',#421071,10.); #129334=VECTOR('',#421072,10.); #129335=VECTOR('',#421073,10.); #129336=VECTOR('',#421074,10.); #129337=VECTOR('',#421077,10.); #129338=VECTOR('',#421078,10.); #129339=VECTOR('',#421079,10.); #129340=VECTOR('',#421082,10.); #129341=VECTOR('',#421083,10.); #129342=VECTOR('',#421084,10.); #129343=VECTOR('',#421087,10.); #129344=VECTOR('',#421088,10.); #129345=VECTOR('',#421095,10.); #129346=VECTOR('',#421096,10.); #129347=VECTOR('',#421097,10.); #129348=VECTOR('',#421098,10.); #129349=VECTOR('',#421101,10.); #129350=VECTOR('',#421102,10.); #129351=VECTOR('',#421103,10.); #129352=VECTOR('',#421106,10.); #129353=VECTOR('',#421107,10.); #129354=VECTOR('',#421108,10.); #129355=VECTOR('',#421111,10.); #129356=VECTOR('',#421112,10.); #129357=VECTOR('',#421119,10.); #129358=VECTOR('',#421120,10.); #129359=VECTOR('',#421121,10.); #129360=VECTOR('',#421122,10.); #129361=VECTOR('',#421125,10.); #129362=VECTOR('',#421126,10.); #129363=VECTOR('',#421127,10.); #129364=VECTOR('',#421130,10.); #129365=VECTOR('',#421131,10.); #129366=VECTOR('',#421132,10.); #129367=VECTOR('',#421135,10.); #129368=VECTOR('',#421136,10.); #129369=VECTOR('',#421143,10.); #129370=VECTOR('',#421144,10.); #129371=VECTOR('',#421145,10.); #129372=VECTOR('',#421146,10.); #129373=VECTOR('',#421149,10.); #129374=VECTOR('',#421150,10.); #129375=VECTOR('',#421151,10.); #129376=VECTOR('',#421154,10.); #129377=VECTOR('',#421155,10.); #129378=VECTOR('',#421156,10.); #129379=VECTOR('',#421159,10.); #129380=VECTOR('',#421160,10.); #129381=VECTOR('',#421167,10.); #129382=VECTOR('',#421168,10.); #129383=VECTOR('',#421169,10.); #129384=VECTOR('',#421170,10.); #129385=VECTOR('',#421173,10.); #129386=VECTOR('',#421174,10.); #129387=VECTOR('',#421175,10.); #129388=VECTOR('',#421178,10.); #129389=VECTOR('',#421179,10.); #129390=VECTOR('',#421180,10.); #129391=VECTOR('',#421183,10.); #129392=VECTOR('',#421184,10.); #129393=VECTOR('',#421191,10.); #129394=VECTOR('',#421192,10.); #129395=VECTOR('',#421193,10.); #129396=VECTOR('',#421194,10.); #129397=VECTOR('',#421197,10.); #129398=VECTOR('',#421198,10.); #129399=VECTOR('',#421199,10.); #129400=VECTOR('',#421202,10.); #129401=VECTOR('',#421203,10.); #129402=VECTOR('',#421204,10.); #129403=VECTOR('',#421207,10.); #129404=VECTOR('',#421208,10.); #129405=VECTOR('',#421215,10.); #129406=VECTOR('',#421216,10.); #129407=VECTOR('',#421217,10.); #129408=VECTOR('',#421218,10.); #129409=VECTOR('',#421221,10.); #129410=VECTOR('',#421222,10.); #129411=VECTOR('',#421223,10.); #129412=VECTOR('',#421226,10.); #129413=VECTOR('',#421227,10.); #129414=VECTOR('',#421228,10.); #129415=VECTOR('',#421231,10.); #129416=VECTOR('',#421232,10.); #129417=VECTOR('',#421239,10.); #129418=VECTOR('',#421240,10.); #129419=VECTOR('',#421241,10.); #129420=VECTOR('',#421242,10.); #129421=VECTOR('',#421245,10.); #129422=VECTOR('',#421246,10.); #129423=VECTOR('',#421247,10.); #129424=VECTOR('',#421250,10.); #129425=VECTOR('',#421251,10.); #129426=VECTOR('',#421252,10.); #129427=VECTOR('',#421255,10.); #129428=VECTOR('',#421256,10.); #129429=VECTOR('',#421263,10.); #129430=VECTOR('',#421264,10.); #129431=VECTOR('',#421265,10.); #129432=VECTOR('',#421266,10.); #129433=VECTOR('',#421269,10.); #129434=VECTOR('',#421270,10.); #129435=VECTOR('',#421271,10.); #129436=VECTOR('',#421274,10.); #129437=VECTOR('',#421275,10.); #129438=VECTOR('',#421276,10.); #129439=VECTOR('',#421279,10.); #129440=VECTOR('',#421280,10.); #129441=VECTOR('',#421287,10.); #129442=VECTOR('',#421288,10.); #129443=VECTOR('',#421289,10.); #129444=VECTOR('',#421290,10.); #129445=VECTOR('',#421293,10.); #129446=VECTOR('',#421294,10.); #129447=VECTOR('',#421295,10.); #129448=VECTOR('',#421298,10.); #129449=VECTOR('',#421299,10.); #129450=VECTOR('',#421300,10.); #129451=VECTOR('',#421303,10.); #129452=VECTOR('',#421304,10.); #129453=VECTOR('',#421311,10.); #129454=VECTOR('',#421312,10.); #129455=VECTOR('',#421313,10.); #129456=VECTOR('',#421314,10.); #129457=VECTOR('',#421317,10.); #129458=VECTOR('',#421318,10.); #129459=VECTOR('',#421319,10.); #129460=VECTOR('',#421322,10.); #129461=VECTOR('',#421323,10.); #129462=VECTOR('',#421324,10.); #129463=VECTOR('',#421327,10.); #129464=VECTOR('',#421328,10.); #129465=VECTOR('',#421335,10.); #129466=VECTOR('',#421336,10.); #129467=VECTOR('',#421337,10.); #129468=VECTOR('',#421338,10.); #129469=VECTOR('',#421341,10.); #129470=VECTOR('',#421342,10.); #129471=VECTOR('',#421343,10.); #129472=VECTOR('',#421346,10.); #129473=VECTOR('',#421347,10.); #129474=VECTOR('',#421348,10.); #129475=VECTOR('',#421351,10.); #129476=VECTOR('',#421352,10.); #129477=VECTOR('',#421361,0.14); #129478=VECTOR('',#421368,0.14); #129479=VECTOR('',#421375,0.14); #129480=VECTOR('',#421382,0.14); #129481=VECTOR('',#421389,0.14); #129482=VECTOR('',#421396,0.14); #129483=VECTOR('',#421401,10.); #129484=VECTOR('',#421402,10.); #129485=VECTOR('',#421403,10.); #129486=VECTOR('',#421404,10.); #129487=VECTOR('',#421407,10.); #129488=VECTOR('',#421408,10.); #129489=VECTOR('',#421409,10.); #129490=VECTOR('',#421412,10.); #129491=VECTOR('',#421413,10.); #129492=VECTOR('',#421414,10.); #129493=VECTOR('',#421417,10.); #129494=VECTOR('',#421418,10.); #129495=VECTOR('',#421425,10.); #129496=VECTOR('',#421426,10.); #129497=VECTOR('',#421427,10.); #129498=VECTOR('',#421428,10.); #129499=VECTOR('',#421431,10.); #129500=VECTOR('',#421432,10.); #129501=VECTOR('',#421433,10.); #129502=VECTOR('',#421436,10.); #129503=VECTOR('',#421437,10.); #129504=VECTOR('',#421438,10.); #129505=VECTOR('',#421441,10.); #129506=VECTOR('',#421442,10.); #129507=VECTOR('',#421449,10.); #129508=VECTOR('',#421450,10.); #129509=VECTOR('',#421451,10.); #129510=VECTOR('',#421452,10.); #129511=VECTOR('',#421455,10.); #129512=VECTOR('',#421456,10.); #129513=VECTOR('',#421457,10.); #129514=VECTOR('',#421460,10.); #129515=VECTOR('',#421461,10.); #129516=VECTOR('',#421462,10.); #129517=VECTOR('',#421465,10.); #129518=VECTOR('',#421466,10.); #129519=VECTOR('',#421473,10.); #129520=VECTOR('',#421474,10.); #129521=VECTOR('',#421475,10.); #129522=VECTOR('',#421476,10.); #129523=VECTOR('',#421479,10.); #129524=VECTOR('',#421480,10.); #129525=VECTOR('',#421481,10.); #129526=VECTOR('',#421484,10.); #129527=VECTOR('',#421485,10.); #129528=VECTOR('',#421486,10.); #129529=VECTOR('',#421489,10.); #129530=VECTOR('',#421490,10.); #129531=VECTOR('',#421497,10.); #129532=VECTOR('',#421498,10.); #129533=VECTOR('',#421499,10.); #129534=VECTOR('',#421500,10.); #129535=VECTOR('',#421503,10.); #129536=VECTOR('',#421504,10.); #129537=VECTOR('',#421505,10.); #129538=VECTOR('',#421508,10.); #129539=VECTOR('',#421509,10.); #129540=VECTOR('',#421510,10.); #129541=VECTOR('',#421513,10.); #129542=VECTOR('',#421514,10.); #129543=VECTOR('',#421521,10.); #129544=VECTOR('',#421522,10.); #129545=VECTOR('',#421523,10.); #129546=VECTOR('',#421524,10.); #129547=VECTOR('',#421527,10.); #129548=VECTOR('',#421528,10.); #129549=VECTOR('',#421529,10.); #129550=VECTOR('',#421532,10.); #129551=VECTOR('',#421533,10.); #129552=VECTOR('',#421534,10.); #129553=VECTOR('',#421537,10.); #129554=VECTOR('',#421538,10.); #129555=VECTOR('',#421545,10.); #129556=VECTOR('',#421546,10.); #129557=VECTOR('',#421547,10.); #129558=VECTOR('',#421548,10.); #129559=VECTOR('',#421551,10.); #129560=VECTOR('',#421552,10.); #129561=VECTOR('',#421553,10.); #129562=VECTOR('',#421556,10.); #129563=VECTOR('',#421557,10.); #129564=VECTOR('',#421558,10.); #129565=VECTOR('',#421561,10.); #129566=VECTOR('',#421562,10.); #129567=VECTOR('',#421569,10.); #129568=VECTOR('',#421570,10.); #129569=VECTOR('',#421571,10.); #129570=VECTOR('',#421572,10.); #129571=VECTOR('',#421575,10.); #129572=VECTOR('',#421576,10.); #129573=VECTOR('',#421577,10.); #129574=VECTOR('',#421580,10.); #129575=VECTOR('',#421581,10.); #129576=VECTOR('',#421582,10.); #129577=VECTOR('',#421585,10.); #129578=VECTOR('',#421586,10.); #129579=VECTOR('',#421593,10.); #129580=VECTOR('',#421594,10.); #129581=VECTOR('',#421595,10.); #129582=VECTOR('',#421596,10.); #129583=VECTOR('',#421599,10.); #129584=VECTOR('',#421600,10.); #129585=VECTOR('',#421601,10.); #129586=VECTOR('',#421604,10.); #129587=VECTOR('',#421605,10.); #129588=VECTOR('',#421606,10.); #129589=VECTOR('',#421609,10.); #129590=VECTOR('',#421610,10.); #129591=VECTOR('',#421617,10.); #129592=VECTOR('',#421618,10.); #129593=VECTOR('',#421619,10.); #129594=VECTOR('',#421620,10.); #129595=VECTOR('',#421623,10.); #129596=VECTOR('',#421624,10.); #129597=VECTOR('',#421625,10.); #129598=VECTOR('',#421628,10.); #129599=VECTOR('',#421629,10.); #129600=VECTOR('',#421630,10.); #129601=VECTOR('',#421633,10.); #129602=VECTOR('',#421634,10.); #129603=VECTOR('',#421641,10.); #129604=VECTOR('',#421642,10.); #129605=VECTOR('',#421643,10.); #129606=VECTOR('',#421644,10.); #129607=VECTOR('',#421647,10.); #129608=VECTOR('',#421648,10.); #129609=VECTOR('',#421649,10.); #129610=VECTOR('',#421652,10.); #129611=VECTOR('',#421653,10.); #129612=VECTOR('',#421654,10.); #129613=VECTOR('',#421657,10.); #129614=VECTOR('',#421658,10.); #129615=VECTOR('',#421665,10.); #129616=VECTOR('',#421666,10.); #129617=VECTOR('',#421667,10.); #129618=VECTOR('',#421668,10.); #129619=VECTOR('',#421671,10.); #129620=VECTOR('',#421672,10.); #129621=VECTOR('',#421673,10.); #129622=VECTOR('',#421676,10.); #129623=VECTOR('',#421677,10.); #129624=VECTOR('',#421678,10.); #129625=VECTOR('',#421681,10.); #129626=VECTOR('',#421682,10.); #129627=VECTOR('',#421689,10.); #129628=VECTOR('',#421690,10.); #129629=VECTOR('',#421691,10.); #129630=VECTOR('',#421692,10.); #129631=VECTOR('',#421695,10.); #129632=VECTOR('',#421696,10.); #129633=VECTOR('',#421697,10.); #129634=VECTOR('',#421700,10.); #129635=VECTOR('',#421701,10.); #129636=VECTOR('',#421702,10.); #129637=VECTOR('',#421705,10.); #129638=VECTOR('',#421706,10.); #129639=VECTOR('',#421713,10.); #129640=VECTOR('',#421714,10.); #129641=VECTOR('',#421715,10.); #129642=VECTOR('',#421716,10.); #129643=VECTOR('',#421719,10.); #129644=VECTOR('',#421720,10.); #129645=VECTOR('',#421721,10.); #129646=VECTOR('',#421724,10.); #129647=VECTOR('',#421725,10.); #129648=VECTOR('',#421726,10.); #129649=VECTOR('',#421729,10.); #129650=VECTOR('',#421730,10.); #129651=VECTOR('',#421737,10.); #129652=VECTOR('',#421738,10.); #129653=VECTOR('',#421739,10.); #129654=VECTOR('',#421740,10.); #129655=VECTOR('',#421743,10.); #129656=VECTOR('',#421744,10.); #129657=VECTOR('',#421745,10.); #129658=VECTOR('',#421748,10.); #129659=VECTOR('',#421749,10.); #129660=VECTOR('',#421750,10.); #129661=VECTOR('',#421753,10.); #129662=VECTOR('',#421754,10.); #129663=VECTOR('',#421761,10.); #129664=VECTOR('',#421762,10.); #129665=VECTOR('',#421763,10.); #129666=VECTOR('',#421764,10.); #129667=VECTOR('',#421767,10.); #129668=VECTOR('',#421768,10.); #129669=VECTOR('',#421769,10.); #129670=VECTOR('',#421772,10.); #129671=VECTOR('',#421773,10.); #129672=VECTOR('',#421774,10.); #129673=VECTOR('',#421777,10.); #129674=VECTOR('',#421778,10.); #129675=VECTOR('',#421785,10.); #129676=VECTOR('',#421786,10.); #129677=VECTOR('',#421787,10.); #129678=VECTOR('',#421788,10.); #129679=VECTOR('',#421791,10.); #129680=VECTOR('',#421792,10.); #129681=VECTOR('',#421793,10.); #129682=VECTOR('',#421796,10.); #129683=VECTOR('',#421797,10.); #129684=VECTOR('',#421798,10.); #129685=VECTOR('',#421801,10.); #129686=VECTOR('',#421802,10.); #129687=VECTOR('',#421809,10.); #129688=VECTOR('',#421810,10.); #129689=VECTOR('',#421811,10.); #129690=VECTOR('',#421812,10.); #129691=VECTOR('',#421815,10.); #129692=VECTOR('',#421816,10.); #129693=VECTOR('',#421817,10.); #129694=VECTOR('',#421820,10.); #129695=VECTOR('',#421821,10.); #129696=VECTOR('',#421822,10.); #129697=VECTOR('',#421825,10.); #129698=VECTOR('',#421826,10.); #129699=VECTOR('',#421833,10.); #129700=VECTOR('',#421834,10.); #129701=VECTOR('',#421835,10.); #129702=VECTOR('',#421836,10.); #129703=VECTOR('',#421839,10.); #129704=VECTOR('',#421840,10.); #129705=VECTOR('',#421841,10.); #129706=VECTOR('',#421844,10.); #129707=VECTOR('',#421845,10.); #129708=VECTOR('',#421846,10.); #129709=VECTOR('',#421849,10.); #129710=VECTOR('',#421850,10.); #129711=VECTOR('',#421857,10.); #129712=VECTOR('',#421858,10.); #129713=VECTOR('',#421859,10.); #129714=VECTOR('',#421860,10.); #129715=VECTOR('',#421863,10.); #129716=VECTOR('',#421864,10.); #129717=VECTOR('',#421865,10.); #129718=VECTOR('',#421868,10.); #129719=VECTOR('',#421869,10.); #129720=VECTOR('',#421870,10.); #129721=VECTOR('',#421873,10.); #129722=VECTOR('',#421874,10.); #129723=VECTOR('',#421881,10.); #129724=VECTOR('',#421882,10.); #129725=VECTOR('',#421883,10.); #129726=VECTOR('',#421884,10.); #129727=VECTOR('',#421887,10.); #129728=VECTOR('',#421888,10.); #129729=VECTOR('',#421889,10.); #129730=VECTOR('',#421892,10.); #129731=VECTOR('',#421893,10.); #129732=VECTOR('',#421894,10.); #129733=VECTOR('',#421897,10.); #129734=VECTOR('',#421898,10.); #129735=VECTOR('',#421905,10.); #129736=VECTOR('',#421906,10.); #129737=VECTOR('',#421907,10.); #129738=VECTOR('',#421908,10.); #129739=VECTOR('',#421911,10.); #129740=VECTOR('',#421912,10.); #129741=VECTOR('',#421913,10.); #129742=VECTOR('',#421916,10.); #129743=VECTOR('',#421917,10.); #129744=VECTOR('',#421918,10.); #129745=VECTOR('',#421921,10.); #129746=VECTOR('',#421922,10.); #129747=VECTOR('',#421929,10.); #129748=VECTOR('',#421930,10.); #129749=VECTOR('',#421931,10.); #129750=VECTOR('',#421932,10.); #129751=VECTOR('',#421935,10.); #129752=VECTOR('',#421936,10.); #129753=VECTOR('',#421937,10.); #129754=VECTOR('',#421940,10.); #129755=VECTOR('',#421941,10.); #129756=VECTOR('',#421942,10.); #129757=VECTOR('',#421945,10.); #129758=VECTOR('',#421946,10.); #129759=VECTOR('',#421953,10.); #129760=VECTOR('',#421954,10.); #129761=VECTOR('',#421955,10.); #129762=VECTOR('',#421956,10.); #129763=VECTOR('',#421959,10.); #129764=VECTOR('',#421960,10.); #129765=VECTOR('',#421961,10.); #129766=VECTOR('',#421964,10.); #129767=VECTOR('',#421965,10.); #129768=VECTOR('',#421966,10.); #129769=VECTOR('',#421969,10.); #129770=VECTOR('',#421970,10.); #129771=VECTOR('',#421977,10.); #129772=VECTOR('',#421978,10.); #129773=VECTOR('',#421979,10.); #129774=VECTOR('',#421980,10.); #129775=VECTOR('',#421983,10.); #129776=VECTOR('',#421984,10.); #129777=VECTOR('',#421985,10.); #129778=VECTOR('',#421988,10.); #129779=VECTOR('',#421989,10.); #129780=VECTOR('',#421990,10.); #129781=VECTOR('',#421993,10.); #129782=VECTOR('',#421994,10.); #129783=VECTOR('',#422001,10.); #129784=VECTOR('',#422002,10.); #129785=VECTOR('',#422003,10.); #129786=VECTOR('',#422004,10.); #129787=VECTOR('',#422007,10.); #129788=VECTOR('',#422008,10.); #129789=VECTOR('',#422009,10.); #129790=VECTOR('',#422012,10.); #129791=VECTOR('',#422013,10.); #129792=VECTOR('',#422014,10.); #129793=VECTOR('',#422017,10.); #129794=VECTOR('',#422018,10.); #129795=VECTOR('',#422025,10.); #129796=VECTOR('',#422026,10.); #129797=VECTOR('',#422027,10.); #129798=VECTOR('',#422028,10.); #129799=VECTOR('',#422031,10.); #129800=VECTOR('',#422032,10.); #129801=VECTOR('',#422033,10.); #129802=VECTOR('',#422036,10.); #129803=VECTOR('',#422037,10.); #129804=VECTOR('',#422038,10.); #129805=VECTOR('',#422041,10.); #129806=VECTOR('',#422042,10.); #129807=VECTOR('',#422051,0.14); #129808=VECTOR('',#422058,0.14); #129809=VECTOR('',#422065,0.14); #129810=VECTOR('',#422072,0.14); #129811=VECTOR('',#422079,0.14); #129812=VECTOR('',#422086,0.14); #129813=VECTOR('',#422091,10.); #129814=VECTOR('',#422092,10.); #129815=VECTOR('',#422093,10.); #129816=VECTOR('',#422094,10.); #129817=VECTOR('',#422097,10.); #129818=VECTOR('',#422098,10.); #129819=VECTOR('',#422099,10.); #129820=VECTOR('',#422102,10.); #129821=VECTOR('',#422103,10.); #129822=VECTOR('',#422104,10.); #129823=VECTOR('',#422107,10.); #129824=VECTOR('',#422108,10.); #129825=VECTOR('',#422115,10.); #129826=VECTOR('',#422116,10.); #129827=VECTOR('',#422117,10.); #129828=VECTOR('',#422118,10.); #129829=VECTOR('',#422121,10.); #129830=VECTOR('',#422122,10.); #129831=VECTOR('',#422123,10.); #129832=VECTOR('',#422126,10.); #129833=VECTOR('',#422127,10.); #129834=VECTOR('',#422128,10.); #129835=VECTOR('',#422131,10.); #129836=VECTOR('',#422132,10.); #129837=VECTOR('',#422139,10.); #129838=VECTOR('',#422140,10.); #129839=VECTOR('',#422141,10.); #129840=VECTOR('',#422142,10.); #129841=VECTOR('',#422145,10.); #129842=VECTOR('',#422146,10.); #129843=VECTOR('',#422147,10.); #129844=VECTOR('',#422150,10.); #129845=VECTOR('',#422151,10.); #129846=VECTOR('',#422152,10.); #129847=VECTOR('',#422155,10.); #129848=VECTOR('',#422156,10.); #129849=VECTOR('',#422163,10.); #129850=VECTOR('',#422164,10.); #129851=VECTOR('',#422165,10.); #129852=VECTOR('',#422166,10.); #129853=VECTOR('',#422169,10.); #129854=VECTOR('',#422170,10.); #129855=VECTOR('',#422171,10.); #129856=VECTOR('',#422174,10.); #129857=VECTOR('',#422175,10.); #129858=VECTOR('',#422176,10.); #129859=VECTOR('',#422179,10.); #129860=VECTOR('',#422180,10.); #129861=VECTOR('',#422187,10.); #129862=VECTOR('',#422188,10.); #129863=VECTOR('',#422189,10.); #129864=VECTOR('',#422190,10.); #129865=VECTOR('',#422193,10.); #129866=VECTOR('',#422194,10.); #129867=VECTOR('',#422195,10.); #129868=VECTOR('',#422198,10.); #129869=VECTOR('',#422199,10.); #129870=VECTOR('',#422200,10.); #129871=VECTOR('',#422203,10.); #129872=VECTOR('',#422204,10.); #129873=VECTOR('',#422211,10.); #129874=VECTOR('',#422212,10.); #129875=VECTOR('',#422213,10.); #129876=VECTOR('',#422214,10.); #129877=VECTOR('',#422217,10.); #129878=VECTOR('',#422218,10.); #129879=VECTOR('',#422219,10.); #129880=VECTOR('',#422222,10.); #129881=VECTOR('',#422223,10.); #129882=VECTOR('',#422224,10.); #129883=VECTOR('',#422227,10.); #129884=VECTOR('',#422228,10.); #129885=VECTOR('',#422235,10.); #129886=VECTOR('',#422236,10.); #129887=VECTOR('',#422237,10.); #129888=VECTOR('',#422238,10.); #129889=VECTOR('',#422241,10.); #129890=VECTOR('',#422242,10.); #129891=VECTOR('',#422243,10.); #129892=VECTOR('',#422246,10.); #129893=VECTOR('',#422247,10.); #129894=VECTOR('',#422248,10.); #129895=VECTOR('',#422251,10.); #129896=VECTOR('',#422252,10.); #129897=VECTOR('',#422261,0.140000000000002); #129898=VECTOR('',#422268,0.140000000000002); #129899=VECTOR('',#422275,0.140000000000002); #129900=VECTOR('',#422282,0.140000000000002); #129901=VECTOR('',#422289,0.140000000000002); #129902=VECTOR('',#422296,0.140000000000002); #129903=VECTOR('',#422301,10.); #129904=VECTOR('',#422302,10.); #129905=VECTOR('',#422303,10.); #129906=VECTOR('',#422304,10.); #129907=VECTOR('',#422307,10.); #129908=VECTOR('',#422308,10.); #129909=VECTOR('',#422309,10.); #129910=VECTOR('',#422312,10.); #129911=VECTOR('',#422313,10.); #129912=VECTOR('',#422314,10.); #129913=VECTOR('',#422317,10.); #129914=VECTOR('',#422318,10.); #129915=VECTOR('',#422325,10.); #129916=VECTOR('',#422326,10.); #129917=VECTOR('',#422327,10.); #129918=VECTOR('',#422328,10.); #129919=VECTOR('',#422331,10.); #129920=VECTOR('',#422332,10.); #129921=VECTOR('',#422333,10.); #129922=VECTOR('',#422336,10.); #129923=VECTOR('',#422337,10.); #129924=VECTOR('',#422338,10.); #129925=VECTOR('',#422341,10.); #129926=VECTOR('',#422342,10.); #129927=VECTOR('',#422349,10.); #129928=VECTOR('',#422350,10.); #129929=VECTOR('',#422351,10.); #129930=VECTOR('',#422352,10.); #129931=VECTOR('',#422355,10.); #129932=VECTOR('',#422356,10.); #129933=VECTOR('',#422357,10.); #129934=VECTOR('',#422360,10.); #129935=VECTOR('',#422361,10.); #129936=VECTOR('',#422362,10.); #129937=VECTOR('',#422365,10.); #129938=VECTOR('',#422366,10.); #129939=VECTOR('',#422375,0.139999999999998); #129940=VECTOR('',#422382,0.139999999999998); #129941=VECTOR('',#422389,0.139999999999998); #129942=VECTOR('',#422396,0.139999999999998); #129943=VECTOR('',#422403,0.139999999999998); #129944=VECTOR('',#422410,0.139999999999998); #129945=VECTOR('',#422417,0.139999999999998); #129946=VECTOR('',#422424,0.139999999999998); #129947=VECTOR('',#422431,0.139999999999998); #129948=VECTOR('',#422438,0.139999999999998); #129949=VECTOR('',#422445,0.139999999999998); #129950=VECTOR('',#422452,0.139999999999998); #129951=VECTOR('',#422459,0.139999999999998); #129952=VECTOR('',#422466,0.139999999999998); #129953=VECTOR('',#422473,0.139999999999998); #129954=VECTOR('',#422480,0.139999999999998); #129955=VECTOR('',#422487,0.139999999999998); #129956=VECTOR('',#422494,0.139999999999998); #129957=VECTOR('',#422501,0.139999999999998); #129958=VECTOR('',#422508,0.139999999999998); #129959=VECTOR('',#422515,0.139999999999998); #129960=VECTOR('',#422522,0.139999999999998); #129961=VECTOR('',#422529,0.139999999999998); #129962=VECTOR('',#422536,0.139999999999998); #129963=VECTOR('',#422543,0.139999999999998); #129964=VECTOR('',#422550,0.139999999999998); #129965=VECTOR('',#422557,0.139999999999998); #129966=VECTOR('',#422564,0.139999999999998); #129967=VECTOR('',#422571,0.139999999999998); #129968=VECTOR('',#422578,0.139999999999998); #129969=VECTOR('',#422585,0.139999999999998); #129970=VECTOR('',#422592,0.139999999999998); #129971=VECTOR('',#422599,0.139999999999998); #129972=VECTOR('',#422606,0.139999999999998); #129973=VECTOR('',#422613,0.139999999999998); #129974=VECTOR('',#422620,0.139999999999998); #129975=VECTOR('',#422627,0.139999999999998); #129976=VECTOR('',#422634,0.139999999999998); #129977=VECTOR('',#422641,0.139999999999998); #129978=VECTOR('',#422648,0.139999999999998); #129979=VECTOR('',#422655,0.139999999999998); #129980=VECTOR('',#422662,0.139999999999998); #129981=VECTOR('',#422669,0.139999999999998); #129982=VECTOR('',#422676,0.139999999999998); #129983=VECTOR('',#422683,0.139999999999998); #129984=VECTOR('',#422690,0.139999999999998); #129985=VECTOR('',#422697,0.139999999999998); #129986=VECTOR('',#422704,0.139999999999998); #129987=VECTOR('',#422711,0.139999999999998); #129988=VECTOR('',#422716,10.); #129989=VECTOR('',#422717,10.); #129990=VECTOR('',#422718,10.); #129991=VECTOR('',#422719,10.); #129992=VECTOR('',#422722,10.); #129993=VECTOR('',#422723,10.); #129994=VECTOR('',#422724,10.); #129995=VECTOR('',#422727,10.); #129996=VECTOR('',#422728,10.); #129997=VECTOR('',#422729,10.); #129998=VECTOR('',#422732,10.); #129999=VECTOR('',#422733,10.); #130000=VECTOR('',#422740,10.); #130001=VECTOR('',#422741,10.); #130002=VECTOR('',#422742,10.); #130003=VECTOR('',#422743,10.); #130004=VECTOR('',#422746,10.); #130005=VECTOR('',#422747,10.); #130006=VECTOR('',#422748,10.); #130007=VECTOR('',#422751,10.); #130008=VECTOR('',#422752,10.); #130009=VECTOR('',#422753,10.); #130010=VECTOR('',#422756,10.); #130011=VECTOR('',#422757,10.); #130012=VECTOR('',#422764,10.); #130013=VECTOR('',#422765,10.); #130014=VECTOR('',#422766,10.); #130015=VECTOR('',#422767,10.); #130016=VECTOR('',#422770,10.); #130017=VECTOR('',#422771,10.); #130018=VECTOR('',#422772,10.); #130019=VECTOR('',#422775,10.); #130020=VECTOR('',#422776,10.); #130021=VECTOR('',#422777,10.); #130022=VECTOR('',#422780,10.); #130023=VECTOR('',#422781,10.); #130024=VECTOR('',#422788,10.); #130025=VECTOR('',#422789,10.); #130026=VECTOR('',#422790,10.); #130027=VECTOR('',#422791,10.); #130028=VECTOR('',#422794,10.); #130029=VECTOR('',#422795,10.); #130030=VECTOR('',#422796,10.); #130031=VECTOR('',#422799,10.); #130032=VECTOR('',#422800,10.); #130033=VECTOR('',#422801,10.); #130034=VECTOR('',#422804,10.); #130035=VECTOR('',#422805,10.); #130036=VECTOR('',#422812,10.); #130037=VECTOR('',#422813,10.); #130038=VECTOR('',#422814,10.); #130039=VECTOR('',#422815,10.); #130040=VECTOR('',#422818,10.); #130041=VECTOR('',#422819,10.); #130042=VECTOR('',#422820,10.); #130043=VECTOR('',#422823,10.); #130044=VECTOR('',#422824,10.); #130045=VECTOR('',#422825,10.); #130046=VECTOR('',#422828,10.); #130047=VECTOR('',#422829,10.); #130048=VECTOR('',#422836,10.); #130049=VECTOR('',#422837,10.); #130050=VECTOR('',#422838,10.); #130051=VECTOR('',#422839,10.); #130052=VECTOR('',#422842,10.); #130053=VECTOR('',#422843,10.); #130054=VECTOR('',#422844,10.); #130055=VECTOR('',#422847,10.); #130056=VECTOR('',#422848,10.); #130057=VECTOR('',#422849,10.); #130058=VECTOR('',#422852,10.); #130059=VECTOR('',#422853,10.); #130060=VECTOR('',#422860,10.); #130061=VECTOR('',#422861,10.); #130062=VECTOR('',#422862,10.); #130063=VECTOR('',#422863,10.); #130064=VECTOR('',#422866,10.); #130065=VECTOR('',#422867,10.); #130066=VECTOR('',#422868,10.); #130067=VECTOR('',#422871,10.); #130068=VECTOR('',#422872,10.); #130069=VECTOR('',#422873,10.); #130070=VECTOR('',#422876,10.); #130071=VECTOR('',#422877,10.); #130072=VECTOR('',#422884,10.); #130073=VECTOR('',#422885,10.); #130074=VECTOR('',#422886,10.); #130075=VECTOR('',#422887,10.); #130076=VECTOR('',#422890,10.); #130077=VECTOR('',#422891,10.); #130078=VECTOR('',#422892,10.); #130079=VECTOR('',#422895,10.); #130080=VECTOR('',#422896,10.); #130081=VECTOR('',#422897,10.); #130082=VECTOR('',#422900,10.); #130083=VECTOR('',#422901,10.); #130084=VECTOR('',#422908,10.); #130085=VECTOR('',#422909,10.); #130086=VECTOR('',#422910,10.); #130087=VECTOR('',#422911,10.); #130088=VECTOR('',#422914,10.); #130089=VECTOR('',#422915,10.); #130090=VECTOR('',#422916,10.); #130091=VECTOR('',#422919,10.); #130092=VECTOR('',#422920,10.); #130093=VECTOR('',#422921,10.); #130094=VECTOR('',#422924,10.); #130095=VECTOR('',#422925,10.); #130096=VECTOR('',#422932,10.); #130097=VECTOR('',#422933,10.); #130098=VECTOR('',#422934,10.); #130099=VECTOR('',#422935,10.); #130100=VECTOR('',#422938,10.); #130101=VECTOR('',#422939,10.); #130102=VECTOR('',#422940,10.); #130103=VECTOR('',#422943,10.); #130104=VECTOR('',#422944,10.); #130105=VECTOR('',#422945,10.); #130106=VECTOR('',#422948,10.); #130107=VECTOR('',#422949,10.); #130108=VECTOR('',#422956,10.); #130109=VECTOR('',#422957,10.); #130110=VECTOR('',#422958,10.); #130111=VECTOR('',#422959,10.); #130112=VECTOR('',#422962,10.); #130113=VECTOR('',#422963,10.); #130114=VECTOR('',#422964,10.); #130115=VECTOR('',#422967,10.); #130116=VECTOR('',#422968,10.); #130117=VECTOR('',#422969,10.); #130118=VECTOR('',#422972,10.); #130119=VECTOR('',#422973,10.); #130120=VECTOR('',#422980,10.); #130121=VECTOR('',#422981,10.); #130122=VECTOR('',#422982,10.); #130123=VECTOR('',#422983,10.); #130124=VECTOR('',#422986,10.); #130125=VECTOR('',#422987,10.); #130126=VECTOR('',#422988,10.); #130127=VECTOR('',#422991,10.); #130128=VECTOR('',#422992,10.); #130129=VECTOR('',#422993,10.); #130130=VECTOR('',#422996,10.); #130131=VECTOR('',#422997,10.); #130132=VECTOR('',#423004,10.); #130133=VECTOR('',#423005,10.); #130134=VECTOR('',#423006,10.); #130135=VECTOR('',#423007,10.); #130136=VECTOR('',#423010,10.); #130137=VECTOR('',#423011,10.); #130138=VECTOR('',#423012,10.); #130139=VECTOR('',#423015,10.); #130140=VECTOR('',#423016,10.); #130141=VECTOR('',#423017,10.); #130142=VECTOR('',#423020,10.); #130143=VECTOR('',#423021,10.); #130144=VECTOR('',#423028,10.); #130145=VECTOR('',#423029,10.); #130146=VECTOR('',#423030,10.); #130147=VECTOR('',#423031,10.); #130148=VECTOR('',#423034,10.); #130149=VECTOR('',#423035,10.); #130150=VECTOR('',#423036,10.); #130151=VECTOR('',#423039,10.); #130152=VECTOR('',#423040,10.); #130153=VECTOR('',#423041,10.); #130154=VECTOR('',#423044,10.); #130155=VECTOR('',#423045,10.); #130156=VECTOR('',#423052,10.); #130157=VECTOR('',#423053,10.); #130158=VECTOR('',#423054,10.); #130159=VECTOR('',#423055,10.); #130160=VECTOR('',#423058,10.); #130161=VECTOR('',#423059,10.); #130162=VECTOR('',#423060,10.); #130163=VECTOR('',#423063,10.); #130164=VECTOR('',#423064,10.); #130165=VECTOR('',#423065,10.); #130166=VECTOR('',#423068,10.); #130167=VECTOR('',#423069,10.); #130168=VECTOR('',#423076,10.); #130169=VECTOR('',#423077,10.); #130170=VECTOR('',#423078,10.); #130171=VECTOR('',#423079,10.); #130172=VECTOR('',#423082,10.); #130173=VECTOR('',#423083,10.); #130174=VECTOR('',#423084,10.); #130175=VECTOR('',#423087,10.); #130176=VECTOR('',#423088,10.); #130177=VECTOR('',#423089,10.); #130178=VECTOR('',#423092,10.); #130179=VECTOR('',#423093,10.); #130180=VECTOR('',#423100,10.); #130181=VECTOR('',#423101,10.); #130182=VECTOR('',#423102,10.); #130183=VECTOR('',#423103,10.); #130184=VECTOR('',#423106,10.); #130185=VECTOR('',#423107,10.); #130186=VECTOR('',#423108,10.); #130187=VECTOR('',#423111,10.); #130188=VECTOR('',#423112,10.); #130189=VECTOR('',#423113,10.); #130190=VECTOR('',#423116,10.); #130191=VECTOR('',#423117,10.); #130192=VECTOR('',#423124,10.); #130193=VECTOR('',#423125,10.); #130194=VECTOR('',#423126,10.); #130195=VECTOR('',#423127,10.); #130196=VECTOR('',#423130,10.); #130197=VECTOR('',#423131,10.); #130198=VECTOR('',#423132,10.); #130199=VECTOR('',#423135,10.); #130200=VECTOR('',#423136,10.); #130201=VECTOR('',#423137,10.); #130202=VECTOR('',#423140,10.); #130203=VECTOR('',#423141,10.); #130204=VECTOR('',#423148,10.); #130205=VECTOR('',#423149,10.); #130206=VECTOR('',#423150,10.); #130207=VECTOR('',#423151,10.); #130208=VECTOR('',#423154,10.); #130209=VECTOR('',#423155,10.); #130210=VECTOR('',#423156,10.); #130211=VECTOR('',#423159,10.); #130212=VECTOR('',#423160,10.); #130213=VECTOR('',#423161,10.); #130214=VECTOR('',#423164,10.); #130215=VECTOR('',#423165,10.); #130216=VECTOR('',#423174,0.139999999999998); #130217=VECTOR('',#423179,10.); #130218=VECTOR('',#423180,10.); #130219=VECTOR('',#423181,10.); #130220=VECTOR('',#423182,10.); #130221=VECTOR('',#423185,10.); #130222=VECTOR('',#423186,10.); #130223=VECTOR('',#423187,10.); #130224=VECTOR('',#423190,10.); #130225=VECTOR('',#423191,10.); #130226=VECTOR('',#423192,10.); #130227=VECTOR('',#423195,10.); #130228=VECTOR('',#423196,10.); #130229=VECTOR('',#423203,10.); #130230=VECTOR('',#423204,10.); #130231=VECTOR('',#423205,10.); #130232=VECTOR('',#423206,10.); #130233=VECTOR('',#423209,10.); #130234=VECTOR('',#423210,10.); #130235=VECTOR('',#423211,10.); #130236=VECTOR('',#423214,10.); #130237=VECTOR('',#423215,10.); #130238=VECTOR('',#423216,10.); #130239=VECTOR('',#423219,10.); #130240=VECTOR('',#423220,10.); #130241=VECTOR('',#423227,10.); #130242=VECTOR('',#423228,10.); #130243=VECTOR('',#423229,10.); #130244=VECTOR('',#423230,10.); #130245=VECTOR('',#423233,10.); #130246=VECTOR('',#423234,10.); #130247=VECTOR('',#423235,10.); #130248=VECTOR('',#423238,10.); #130249=VECTOR('',#423239,10.); #130250=VECTOR('',#423240,10.); #130251=VECTOR('',#423243,10.); #130252=VECTOR('',#423244,10.); #130253=VECTOR('',#423253,0.139999999999998); #130254=VECTOR('',#423258,10.); #130255=VECTOR('',#423259,10.); #130256=VECTOR('',#423260,10.); #130257=VECTOR('',#423261,10.); #130258=VECTOR('',#423264,10.); #130259=VECTOR('',#423265,10.); #130260=VECTOR('',#423266,10.); #130261=VECTOR('',#423269,10.); #130262=VECTOR('',#423270,10.); #130263=VECTOR('',#423271,10.); #130264=VECTOR('',#423274,10.); #130265=VECTOR('',#423275,10.); #130266=VECTOR('',#423282,10.); #130267=VECTOR('',#423283,10.); #130268=VECTOR('',#423284,10.); #130269=VECTOR('',#423285,10.); #130270=VECTOR('',#423288,10.); #130271=VECTOR('',#423289,10.); #130272=VECTOR('',#423290,10.); #130273=VECTOR('',#423293,10.); #130274=VECTOR('',#423294,10.); #130275=VECTOR('',#423295,10.); #130276=VECTOR('',#423298,10.); #130277=VECTOR('',#423299,10.); #130278=VECTOR('',#423306,10.); #130279=VECTOR('',#423307,10.); #130280=VECTOR('',#423308,10.); #130281=VECTOR('',#423309,10.); #130282=VECTOR('',#423312,10.); #130283=VECTOR('',#423313,10.); #130284=VECTOR('',#423314,10.); #130285=VECTOR('',#423317,10.); #130286=VECTOR('',#423318,10.); #130287=VECTOR('',#423319,10.); #130288=VECTOR('',#423322,10.); #130289=VECTOR('',#423323,10.); #130290=VECTOR('',#423330,10.); #130291=VECTOR('',#423331,10.); #130292=VECTOR('',#423332,10.); #130293=VECTOR('',#423333,10.); #130294=VECTOR('',#423336,10.); #130295=VECTOR('',#423337,10.); #130296=VECTOR('',#423338,10.); #130297=VECTOR('',#423341,10.); #130298=VECTOR('',#423342,10.); #130299=VECTOR('',#423343,10.); #130300=VECTOR('',#423346,10.); #130301=VECTOR('',#423347,10.); #130302=VECTOR('',#423354,10.); #130303=VECTOR('',#423355,10.); #130304=VECTOR('',#423356,10.); #130305=VECTOR('',#423357,10.); #130306=VECTOR('',#423360,10.); #130307=VECTOR('',#423361,10.); #130308=VECTOR('',#423362,10.); #130309=VECTOR('',#423365,10.); #130310=VECTOR('',#423366,10.); #130311=VECTOR('',#423367,10.); #130312=VECTOR('',#423370,10.); #130313=VECTOR('',#423371,10.); #130314=VECTOR('',#423378,10.); #130315=VECTOR('',#423379,10.); #130316=VECTOR('',#423380,10.); #130317=VECTOR('',#423381,10.); #130318=VECTOR('',#423384,10.); #130319=VECTOR('',#423385,10.); #130320=VECTOR('',#423386,10.); #130321=VECTOR('',#423389,10.); #130322=VECTOR('',#423390,10.); #130323=VECTOR('',#423391,10.); #130324=VECTOR('',#423394,10.); #130325=VECTOR('',#423395,10.); #130326=VECTOR('',#423402,10.); #130327=VECTOR('',#423403,10.); #130328=VECTOR('',#423404,10.); #130329=VECTOR('',#423405,10.); #130330=VECTOR('',#423408,10.); #130331=VECTOR('',#423409,10.); #130332=VECTOR('',#423410,10.); #130333=VECTOR('',#423413,10.); #130334=VECTOR('',#423414,10.); #130335=VECTOR('',#423415,10.); #130336=VECTOR('',#423418,10.); #130337=VECTOR('',#423419,10.); #130338=VECTOR('',#423426,10.); #130339=VECTOR('',#423427,10.); #130340=VECTOR('',#423428,10.); #130341=VECTOR('',#423429,10.); #130342=VECTOR('',#423432,10.); #130343=VECTOR('',#423433,10.); #130344=VECTOR('',#423434,10.); #130345=VECTOR('',#423437,10.); #130346=VECTOR('',#423438,10.); #130347=VECTOR('',#423439,10.); #130348=VECTOR('',#423442,10.); #130349=VECTOR('',#423443,10.); #130350=VECTOR('',#423450,10.); #130351=VECTOR('',#423451,10.); #130352=VECTOR('',#423452,10.); #130353=VECTOR('',#423453,10.); #130354=VECTOR('',#423456,10.); #130355=VECTOR('',#423457,10.); #130356=VECTOR('',#423458,10.); #130357=VECTOR('',#423461,10.); #130358=VECTOR('',#423462,10.); #130359=VECTOR('',#423463,10.); #130360=VECTOR('',#423466,10.); #130361=VECTOR('',#423467,10.); #130362=VECTOR('',#423474,10.); #130363=VECTOR('',#423475,10.); #130364=VECTOR('',#423476,10.); #130365=VECTOR('',#423477,10.); #130366=VECTOR('',#423480,10.); #130367=VECTOR('',#423481,10.); #130368=VECTOR('',#423482,10.); #130369=VECTOR('',#423485,10.); #130370=VECTOR('',#423486,10.); #130371=VECTOR('',#423487,10.); #130372=VECTOR('',#423490,10.); #130373=VECTOR('',#423491,10.); #130374=VECTOR('',#423498,10.); #130375=VECTOR('',#423499,10.); #130376=VECTOR('',#423500,10.); #130377=VECTOR('',#423501,10.); #130378=VECTOR('',#423504,10.); #130379=VECTOR('',#423505,10.); #130380=VECTOR('',#423506,10.); #130381=VECTOR('',#423509,10.); #130382=VECTOR('',#423510,10.); #130383=VECTOR('',#423511,10.); #130384=VECTOR('',#423514,10.); #130385=VECTOR('',#423515,10.); #130386=VECTOR('',#423522,10.); #130387=VECTOR('',#423523,10.); #130388=VECTOR('',#423524,10.); #130389=VECTOR('',#423525,10.); #130390=VECTOR('',#423528,10.); #130391=VECTOR('',#423529,10.); #130392=VECTOR('',#423530,10.); #130393=VECTOR('',#423533,10.); #130394=VECTOR('',#423534,10.); #130395=VECTOR('',#423535,10.); #130396=VECTOR('',#423538,10.); #130397=VECTOR('',#423539,10.); #130398=VECTOR('',#423546,10.); #130399=VECTOR('',#423549,10.); #130400=VECTOR('',#423554,10.); #130401=VECTOR('',#423557,10.); #130402=VECTOR('',#423564,0.14); #130403=VECTOR('',#423569,10.); #130404=VECTOR('',#423572,10.); #130405=VECTOR('',#423577,10.); #130406=VECTOR('',#423580,10.); #130407=VECTOR('',#423585,10.); #130408=VECTOR('',#423588,10.); #130409=VECTOR('',#423593,10.); #130410=VECTOR('',#423596,10.); #130411=VECTOR('',#423601,10.); #130412=VECTOR('',#423604,10.); #130413=VECTOR('',#423609,10.); #130414=VECTOR('',#423612,10.); #130415=VECTOR('',#423617,10.); #130416=VECTOR('',#423618,10.); #130417=VECTOR('',#423619,10.); #130418=VECTOR('',#423622,10.); #130419=VECTOR('',#423623,10.); #130420=VECTOR('',#423626,10.); #130421=VECTOR('',#423627,10.); #130422=VECTOR('',#423628,10.); #130423=VECTOR('',#423631,10.); #130424=VECTOR('',#423632,10.); #130425=VECTOR('',#423635,10.); #130426=VECTOR('',#423636,10.); #130427=VECTOR('',#423639,10.); #130428=VECTOR('',#423640,10.); #130429=VECTOR('',#423643,10.); #130430=VECTOR('',#423644,10.); #130431=VECTOR('',#423647,10.); #130432=VECTOR('',#423648,10.); #130433=VECTOR('',#423651,10.); #130434=VECTOR('',#423652,10.); #130435=VECTOR('',#423655,10.); #130436=VECTOR('',#423656,10.); #130437=VECTOR('',#423665,0.139999999999998); #130438=VECTOR('',#423670,10.); #130439=VECTOR('',#423671,10.); #130440=VECTOR('',#423672,10.); #130441=VECTOR('',#423673,10.); #130442=VECTOR('',#423676,10.); #130443=VECTOR('',#423677,10.); #130444=VECTOR('',#423678,10.); #130445=VECTOR('',#423681,10.); #130446=VECTOR('',#423682,10.); #130447=VECTOR('',#423683,10.); #130448=VECTOR('',#423686,10.); #130449=VECTOR('',#423687,10.); #130450=VECTOR('',#423694,10.); #130451=VECTOR('',#423695,10.); #130452=VECTOR('',#423696,10.); #130453=VECTOR('',#423697,10.); #130454=VECTOR('',#423700,10.); #130455=VECTOR('',#423701,10.); #130456=VECTOR('',#423702,10.); #130457=VECTOR('',#423705,10.); #130458=VECTOR('',#423706,10.); #130459=VECTOR('',#423707,10.); #130460=VECTOR('',#423710,10.); #130461=VECTOR('',#423711,10.); #130462=VECTOR('',#423718,10.); #130463=VECTOR('',#423719,10.); #130464=VECTOR('',#423720,10.); #130465=VECTOR('',#423721,10.); #130466=VECTOR('',#423724,10.); #130467=VECTOR('',#423725,10.); #130468=VECTOR('',#423726,10.); #130469=VECTOR('',#423729,10.); #130470=VECTOR('',#423730,10.); #130471=VECTOR('',#423731,10.); #130472=VECTOR('',#423734,10.); #130473=VECTOR('',#423735,10.); #130474=VECTOR('',#423742,10.); #130475=VECTOR('',#423743,10.); #130476=VECTOR('',#423744,10.); #130477=VECTOR('',#423745,10.); #130478=VECTOR('',#423748,10.); #130479=VECTOR('',#423749,10.); #130480=VECTOR('',#423750,10.); #130481=VECTOR('',#423753,10.); #130482=VECTOR('',#423754,10.); #130483=VECTOR('',#423755,10.); #130484=VECTOR('',#423758,10.); #130485=VECTOR('',#423759,10.); #130486=VECTOR('',#423766,10.); #130487=VECTOR('',#423767,10.); #130488=VECTOR('',#423768,10.); #130489=VECTOR('',#423769,10.); #130490=VECTOR('',#423772,10.); #130491=VECTOR('',#423773,10.); #130492=VECTOR('',#423774,10.); #130493=VECTOR('',#423777,10.); #130494=VECTOR('',#423778,10.); #130495=VECTOR('',#423779,10.); #130496=VECTOR('',#423782,10.); #130497=VECTOR('',#423783,10.); #130498=VECTOR('',#423790,10.); #130499=VECTOR('',#423791,10.); #130500=VECTOR('',#423792,10.); #130501=VECTOR('',#423793,10.); #130502=VECTOR('',#423796,10.); #130503=VECTOR('',#423797,10.); #130504=VECTOR('',#423798,10.); #130505=VECTOR('',#423801,10.); #130506=VECTOR('',#423802,10.); #130507=VECTOR('',#423803,10.); #130508=VECTOR('',#423806,10.); #130509=VECTOR('',#423807,10.); #130510=VECTOR('',#423816,0.139999999999998); #130511=VECTOR('',#423821,10.); #130512=VECTOR('',#423822,10.); #130513=VECTOR('',#423823,10.); #130514=VECTOR('',#423824,10.); #130515=VECTOR('',#423827,10.); #130516=VECTOR('',#423828,10.); #130517=VECTOR('',#423829,10.); #130518=VECTOR('',#423832,10.); #130519=VECTOR('',#423833,10.); #130520=VECTOR('',#423834,10.); #130521=VECTOR('',#423837,10.); #130522=VECTOR('',#423838,10.); #130523=VECTOR('',#423847,0.139999999999998); #130524=VECTOR('',#423852,10.); #130525=VECTOR('',#423853,10.); #130526=VECTOR('',#423854,10.); #130527=VECTOR('',#423855,10.); #130528=VECTOR('',#423858,10.); #130529=VECTOR('',#423859,10.); #130530=VECTOR('',#423860,10.); #130531=VECTOR('',#423863,10.); #130532=VECTOR('',#423864,10.); #130533=VECTOR('',#423865,10.); #130534=VECTOR('',#423868,10.); #130535=VECTOR('',#423869,10.); #130536=VECTOR('',#423876,10.); #130537=VECTOR('',#423877,10.); #130538=VECTOR('',#423878,10.); #130539=VECTOR('',#423879,10.); #130540=VECTOR('',#423882,10.); #130541=VECTOR('',#423883,10.); #130542=VECTOR('',#423884,10.); #130543=VECTOR('',#423887,10.); #130544=VECTOR('',#423888,10.); #130545=VECTOR('',#423889,10.); #130546=VECTOR('',#423892,10.); #130547=VECTOR('',#423893,10.); #130548=VECTOR('',#423900,10.); #130549=VECTOR('',#423901,10.); #130550=VECTOR('',#423902,10.); #130551=VECTOR('',#423903,10.); #130552=VECTOR('',#423906,10.); #130553=VECTOR('',#423907,10.); #130554=VECTOR('',#423908,10.); #130555=VECTOR('',#423911,10.); #130556=VECTOR('',#423912,10.); #130557=VECTOR('',#423913,10.); #130558=VECTOR('',#423916,10.); #130559=VECTOR('',#423917,10.); #130560=VECTOR('',#423924,10.); #130561=VECTOR('',#423925,10.); #130562=VECTOR('',#423926,10.); #130563=VECTOR('',#423927,10.); #130564=VECTOR('',#423930,10.); #130565=VECTOR('',#423931,10.); #130566=VECTOR('',#423932,10.); #130567=VECTOR('',#423935,10.); #130568=VECTOR('',#423936,10.); #130569=VECTOR('',#423937,10.); #130570=VECTOR('',#423940,10.); #130571=VECTOR('',#423941,10.); #130572=VECTOR('',#423948,10.); #130573=VECTOR('',#423949,10.); #130574=VECTOR('',#423950,10.); #130575=VECTOR('',#423951,10.); #130576=VECTOR('',#423954,10.); #130577=VECTOR('',#423955,10.); #130578=VECTOR('',#423956,10.); #130579=VECTOR('',#423959,10.); #130580=VECTOR('',#423960,10.); #130581=VECTOR('',#423961,10.); #130582=VECTOR('',#423964,10.); #130583=VECTOR('',#423965,10.); #130584=VECTOR('',#423972,10.); #130585=VECTOR('',#423973,10.); #130586=VECTOR('',#423974,10.); #130587=VECTOR('',#423975,10.); #130588=VECTOR('',#423978,10.); #130589=VECTOR('',#423979,10.); #130590=VECTOR('',#423980,10.); #130591=VECTOR('',#423983,10.); #130592=VECTOR('',#423984,10.); #130593=VECTOR('',#423985,10.); #130594=VECTOR('',#423988,10.); #130595=VECTOR('',#423989,10.); #130596=VECTOR('',#423996,10.); #130597=VECTOR('',#423997,10.); #130598=VECTOR('',#423998,10.); #130599=VECTOR('',#423999,10.); #130600=VECTOR('',#424002,10.); #130601=VECTOR('',#424003,10.); #130602=VECTOR('',#424004,10.); #130603=VECTOR('',#424007,10.); #130604=VECTOR('',#424008,10.); #130605=VECTOR('',#424009,10.); #130606=VECTOR('',#424012,10.); #130607=VECTOR('',#424013,10.); #130608=VECTOR('',#424020,10.); #130609=VECTOR('',#424021,10.); #130610=VECTOR('',#424022,10.); #130611=VECTOR('',#424023,10.); #130612=VECTOR('',#424026,10.); #130613=VECTOR('',#424027,10.); #130614=VECTOR('',#424028,10.); #130615=VECTOR('',#424031,10.); #130616=VECTOR('',#424032,10.); #130617=VECTOR('',#424033,10.); #130618=VECTOR('',#424036,10.); #130619=VECTOR('',#424037,10.); #130620=VECTOR('',#424044,10.); #130621=VECTOR('',#424045,10.); #130622=VECTOR('',#424046,10.); #130623=VECTOR('',#424047,10.); #130624=VECTOR('',#424050,10.); #130625=VECTOR('',#424051,10.); #130626=VECTOR('',#424052,10.); #130627=VECTOR('',#424055,10.); #130628=VECTOR('',#424056,10.); #130629=VECTOR('',#424057,10.); #130630=VECTOR('',#424060,10.); #130631=VECTOR('',#424061,10.); #130632=VECTOR('',#424068,10.); #130633=VECTOR('',#424069,10.); #130634=VECTOR('',#424070,10.); #130635=VECTOR('',#424071,10.); #130636=VECTOR('',#424074,10.); #130637=VECTOR('',#424075,10.); #130638=VECTOR('',#424076,10.); #130639=VECTOR('',#424079,10.); #130640=VECTOR('',#424080,10.); #130641=VECTOR('',#424081,10.); #130642=VECTOR('',#424084,10.); #130643=VECTOR('',#424085,10.); #130644=VECTOR('',#424092,10.); #130645=VECTOR('',#424093,10.); #130646=VECTOR('',#424094,10.); #130647=VECTOR('',#424095,10.); #130648=VECTOR('',#424098,10.); #130649=VECTOR('',#424099,10.); #130650=VECTOR('',#424100,10.); #130651=VECTOR('',#424103,10.); #130652=VECTOR('',#424104,10.); #130653=VECTOR('',#424105,10.); #130654=VECTOR('',#424108,10.); #130655=VECTOR('',#424109,10.); #130656=VECTOR('',#424116,10.); #130657=VECTOR('',#424117,10.); #130658=VECTOR('',#424118,10.); #130659=VECTOR('',#424119,10.); #130660=VECTOR('',#424122,10.); #130661=VECTOR('',#424123,10.); #130662=VECTOR('',#424124,10.); #130663=VECTOR('',#424127,10.); #130664=VECTOR('',#424128,10.); #130665=VECTOR('',#424129,10.); #130666=VECTOR('',#424132,10.); #130667=VECTOR('',#424133,10.); #130668=VECTOR('',#424142,0.140000000000002); #130669=VECTOR('',#424149,0.140000000000002); #130670=VECTOR('',#424156,0.140000000000002); #130671=VECTOR('',#424163,0.140000000000002); #130672=VECTOR('',#424170,0.140000000000002); #130673=VECTOR('',#424177,0.140000000000002); #130674=VECTOR('',#424182,10.); #130675=VECTOR('',#424183,10.); #130676=VECTOR('',#424184,10.); #130677=VECTOR('',#424185,10.); #130678=VECTOR('',#424188,10.); #130679=VECTOR('',#424189,10.); #130680=VECTOR('',#424190,10.); #130681=VECTOR('',#424193,10.); #130682=VECTOR('',#424194,10.); #130683=VECTOR('',#424195,10.); #130684=VECTOR('',#424198,10.); #130685=VECTOR('',#424199,10.); #130686=VECTOR('',#424206,10.); #130687=VECTOR('',#424207,10.); #130688=VECTOR('',#424208,10.); #130689=VECTOR('',#424209,10.); #130690=VECTOR('',#424212,10.); #130691=VECTOR('',#424213,10.); #130692=VECTOR('',#424214,10.); #130693=VECTOR('',#424217,10.); #130694=VECTOR('',#424218,10.); #130695=VECTOR('',#424219,10.); #130696=VECTOR('',#424222,10.); #130697=VECTOR('',#424223,10.); #130698=VECTOR('',#424230,10.); #130699=VECTOR('',#424231,10.); #130700=VECTOR('',#424232,10.); #130701=VECTOR('',#424233,10.); #130702=VECTOR('',#424236,10.); #130703=VECTOR('',#424237,10.); #130704=VECTOR('',#424238,10.); #130705=VECTOR('',#424241,10.); #130706=VECTOR('',#424242,10.); #130707=VECTOR('',#424243,10.); #130708=VECTOR('',#424246,10.); #130709=VECTOR('',#424247,10.); #130710=VECTOR('',#424254,10.); #130711=VECTOR('',#424255,10.); #130712=VECTOR('',#424256,10.); #130713=VECTOR('',#424257,10.); #130714=VECTOR('',#424260,10.); #130715=VECTOR('',#424261,10.); #130716=VECTOR('',#424262,10.); #130717=VECTOR('',#424265,10.); #130718=VECTOR('',#424266,10.); #130719=VECTOR('',#424267,10.); #130720=VECTOR('',#424270,10.); #130721=VECTOR('',#424271,10.); #130722=VECTOR('',#424278,10.); #130723=VECTOR('',#424281,10.); #130724=VECTOR('',#424288,0.140000000000002); #130725=VECTOR('',#424293,10.); #130726=VECTOR('',#424296,10.); #130727=VECTOR('',#424301,10.); #130728=VECTOR('',#424304,10.); #130729=VECTOR('',#424309,10.); #130730=VECTOR('',#424312,10.); #130731=VECTOR('',#424319,0.140000000000002); #130732=VECTOR('',#424324,10.); #130733=VECTOR('',#424325,10.); #130734=VECTOR('',#424326,10.); #130735=VECTOR('',#424329,10.); #130736=VECTOR('',#424330,10.); #130737=VECTOR('',#424331,10.); #130738=VECTOR('',#424334,10.); #130739=VECTOR('',#424335,10.); #130740=VECTOR('',#424338,10.); #130741=VECTOR('',#424339,10.); #130742=VECTOR('',#424340,10.); #130743=VECTOR('',#424343,10.); #130744=VECTOR('',#424344,10.); #130745=VECTOR('',#424345,10.); #130746=VECTOR('',#424348,10.); #130747=VECTOR('',#424349,10.); #130748=VECTOR('',#424352,10.); #130749=VECTOR('',#424353,10.); #130750=VECTOR('',#424356,10.); #130751=VECTOR('',#424359,10.); #130752=VECTOR('',#424364,10.); #130753=VECTOR('',#424365,10.); #130754=VECTOR('',#424366,10.); #130755=VECTOR('',#424367,10.); #130756=VECTOR('',#424370,10.); #130757=VECTOR('',#424371,10.); #130758=VECTOR('',#424372,10.); #130759=VECTOR('',#424375,10.); #130760=VECTOR('',#424376,10.); #130761=VECTOR('',#424377,10.); #130762=VECTOR('',#424380,10.); #130763=VECTOR('',#424381,10.); #130764=VECTOR('',#424388,10.); #130765=VECTOR('',#424389,10.); #130766=VECTOR('',#424390,10.); #130767=VECTOR('',#424391,10.); #130768=VECTOR('',#424394,10.); #130769=VECTOR('',#424395,10.); #130770=VECTOR('',#424396,10.); #130771=VECTOR('',#424399,10.); #130772=VECTOR('',#424400,10.); #130773=VECTOR('',#424401,10.); #130774=VECTOR('',#424404,10.); #130775=VECTOR('',#424405,10.); #130776=VECTOR('',#424412,10.); #130777=VECTOR('',#424413,10.); #130778=VECTOR('',#424414,10.); #130779=VECTOR('',#424415,10.); #130780=VECTOR('',#424418,10.); #130781=VECTOR('',#424419,10.); #130782=VECTOR('',#424420,10.); #130783=VECTOR('',#424423,10.); #130784=VECTOR('',#424424,10.); #130785=VECTOR('',#424425,10.); #130786=VECTOR('',#424428,10.); #130787=VECTOR('',#424429,10.); #130788=VECTOR('',#424436,10.); #130789=VECTOR('',#424437,10.); #130790=VECTOR('',#424438,10.); #130791=VECTOR('',#424439,10.); #130792=VECTOR('',#424442,10.); #130793=VECTOR('',#424443,10.); #130794=VECTOR('',#424444,10.); #130795=VECTOR('',#424447,10.); #130796=VECTOR('',#424448,10.); #130797=VECTOR('',#424449,10.); #130798=VECTOR('',#424452,10.); #130799=VECTOR('',#424453,10.); #130800=VECTOR('',#424460,10.); #130801=VECTOR('',#424461,10.); #130802=VECTOR('',#424462,10.); #130803=VECTOR('',#424463,10.); #130804=VECTOR('',#424466,10.); #130805=VECTOR('',#424467,10.); #130806=VECTOR('',#424468,10.); #130807=VECTOR('',#424471,10.); #130808=VECTOR('',#424472,10.); #130809=VECTOR('',#424473,10.); #130810=VECTOR('',#424476,10.); #130811=VECTOR('',#424477,10.); #130812=VECTOR('',#424484,10.); #130813=VECTOR('',#424485,10.); #130814=VECTOR('',#424486,10.); #130815=VECTOR('',#424487,10.); #130816=VECTOR('',#424490,10.); #130817=VECTOR('',#424491,10.); #130818=VECTOR('',#424492,10.); #130819=VECTOR('',#424495,10.); #130820=VECTOR('',#424496,10.); #130821=VECTOR('',#424497,10.); #130822=VECTOR('',#424500,10.); #130823=VECTOR('',#424501,10.); #130824=VECTOR('',#424508,10.); #130825=VECTOR('',#424509,10.); #130826=VECTOR('',#424510,10.); #130827=VECTOR('',#424511,10.); #130828=VECTOR('',#424514,10.); #130829=VECTOR('',#424515,10.); #130830=VECTOR('',#424516,10.); #130831=VECTOR('',#424519,10.); #130832=VECTOR('',#424520,10.); #130833=VECTOR('',#424521,10.); #130834=VECTOR('',#424524,10.); #130835=VECTOR('',#424525,10.); #130836=VECTOR('',#424532,10.); #130837=VECTOR('',#424533,10.); #130838=VECTOR('',#424534,10.); #130839=VECTOR('',#424535,10.); #130840=VECTOR('',#424538,10.); #130841=VECTOR('',#424539,10.); #130842=VECTOR('',#424540,10.); #130843=VECTOR('',#424543,10.); #130844=VECTOR('',#424544,10.); #130845=VECTOR('',#424545,10.); #130846=VECTOR('',#424548,10.); #130847=VECTOR('',#424549,10.); #130848=VECTOR('',#424556,10.); #130849=VECTOR('',#424557,10.); #130850=VECTOR('',#424558,10.); #130851=VECTOR('',#424559,10.); #130852=VECTOR('',#424562,10.); #130853=VECTOR('',#424563,10.); #130854=VECTOR('',#424564,10.); #130855=VECTOR('',#424567,10.); #130856=VECTOR('',#424568,10.); #130857=VECTOR('',#424569,10.); #130858=VECTOR('',#424572,10.); #130859=VECTOR('',#424573,10.); #130860=VECTOR('',#424580,10.); #130861=VECTOR('',#424581,10.); #130862=VECTOR('',#424582,10.); #130863=VECTOR('',#424583,10.); #130864=VECTOR('',#424586,10.); #130865=VECTOR('',#424587,10.); #130866=VECTOR('',#424588,10.); #130867=VECTOR('',#424591,10.); #130868=VECTOR('',#424592,10.); #130869=VECTOR('',#424593,10.); #130870=VECTOR('',#424596,10.); #130871=VECTOR('',#424597,10.); #130872=VECTOR('',#424604,10.); #130873=VECTOR('',#424605,10.); #130874=VECTOR('',#424606,10.); #130875=VECTOR('',#424607,10.); #130876=VECTOR('',#424610,10.); #130877=VECTOR('',#424611,10.); #130878=VECTOR('',#424612,10.); #130879=VECTOR('',#424615,10.); #130880=VECTOR('',#424616,10.); #130881=VECTOR('',#424617,10.); #130882=VECTOR('',#424620,10.); #130883=VECTOR('',#424621,10.); #130884=VECTOR('',#424628,10.); #130885=VECTOR('',#424629,10.); #130886=VECTOR('',#424630,10.); #130887=VECTOR('',#424631,10.); #130888=VECTOR('',#424634,10.); #130889=VECTOR('',#424635,10.); #130890=VECTOR('',#424636,10.); #130891=VECTOR('',#424639,10.); #130892=VECTOR('',#424640,10.); #130893=VECTOR('',#424641,10.); #130894=VECTOR('',#424644,10.); #130895=VECTOR('',#424645,10.); #130896=VECTOR('',#424652,10.); #130897=VECTOR('',#424653,10.); #130898=VECTOR('',#424654,10.); #130899=VECTOR('',#424655,10.); #130900=VECTOR('',#424658,10.); #130901=VECTOR('',#424659,10.); #130902=VECTOR('',#424660,10.); #130903=VECTOR('',#424663,10.); #130904=VECTOR('',#424664,10.); #130905=VECTOR('',#424665,10.); #130906=VECTOR('',#424668,10.); #130907=VECTOR('',#424669,10.); #130908=VECTOR('',#424676,10.); #130909=VECTOR('',#424677,10.); #130910=VECTOR('',#424678,10.); #130911=VECTOR('',#424679,10.); #130912=VECTOR('',#424682,10.); #130913=VECTOR('',#424683,10.); #130914=VECTOR('',#424684,10.); #130915=VECTOR('',#424687,10.); #130916=VECTOR('',#424688,10.); #130917=VECTOR('',#424689,10.); #130918=VECTOR('',#424692,10.); #130919=VECTOR('',#424693,10.); #130920=VECTOR('',#424700,10.); #130921=VECTOR('',#424701,10.); #130922=VECTOR('',#424702,10.); #130923=VECTOR('',#424703,10.); #130924=VECTOR('',#424706,10.); #130925=VECTOR('',#424707,10.); #130926=VECTOR('',#424708,10.); #130927=VECTOR('',#424711,10.); #130928=VECTOR('',#424712,10.); #130929=VECTOR('',#424713,10.); #130930=VECTOR('',#424716,10.); #130931=VECTOR('',#424717,10.); #130932=VECTOR('',#424724,10.); #130933=VECTOR('',#424725,10.); #130934=VECTOR('',#424726,10.); #130935=VECTOR('',#424727,10.); #130936=VECTOR('',#424730,10.); #130937=VECTOR('',#424731,10.); #130938=VECTOR('',#424732,10.); #130939=VECTOR('',#424735,10.); #130940=VECTOR('',#424736,10.); #130941=VECTOR('',#424737,10.); #130942=VECTOR('',#424740,10.); #130943=VECTOR('',#424741,10.); #130944=VECTOR('',#424748,10.); #130945=VECTOR('',#424749,10.); #130946=VECTOR('',#424750,10.); #130947=VECTOR('',#424751,10.); #130948=VECTOR('',#424754,10.); #130949=VECTOR('',#424755,10.); #130950=VECTOR('',#424756,10.); #130951=VECTOR('',#424759,10.); #130952=VECTOR('',#424760,10.); #130953=VECTOR('',#424761,10.); #130954=VECTOR('',#424764,10.); #130955=VECTOR('',#424765,10.); #130956=VECTOR('',#424772,10.); #130957=VECTOR('',#424773,10.); #130958=VECTOR('',#424774,10.); #130959=VECTOR('',#424775,10.); #130960=VECTOR('',#424778,10.); #130961=VECTOR('',#424779,10.); #130962=VECTOR('',#424780,10.); #130963=VECTOR('',#424783,10.); #130964=VECTOR('',#424784,10.); #130965=VECTOR('',#424785,10.); #130966=VECTOR('',#424788,10.); #130967=VECTOR('',#424789,10.); #130968=VECTOR('',#424796,10.); #130969=VECTOR('',#424797,10.); #130970=VECTOR('',#424798,10.); #130971=VECTOR('',#424799,10.); #130972=VECTOR('',#424802,10.); #130973=VECTOR('',#424803,10.); #130974=VECTOR('',#424804,10.); #130975=VECTOR('',#424807,10.); #130976=VECTOR('',#424808,10.); #130977=VECTOR('',#424809,10.); #130978=VECTOR('',#424812,10.); #130979=VECTOR('',#424813,10.); #130980=VECTOR('',#424820,10.); #130981=VECTOR('',#424821,10.); #130982=VECTOR('',#424822,10.); #130983=VECTOR('',#424823,10.); #130984=VECTOR('',#424826,10.); #130985=VECTOR('',#424827,10.); #130986=VECTOR('',#424828,10.); #130987=VECTOR('',#424831,10.); #130988=VECTOR('',#424832,10.); #130989=VECTOR('',#424833,10.); #130990=VECTOR('',#424836,10.); #130991=VECTOR('',#424837,10.); #130992=VECTOR('',#424844,10.); #130993=VECTOR('',#424845,10.); #130994=VECTOR('',#424846,10.); #130995=VECTOR('',#424847,10.); #130996=VECTOR('',#424850,10.); #130997=VECTOR('',#424851,10.); #130998=VECTOR('',#424852,10.); #130999=VECTOR('',#424855,10.); #131000=VECTOR('',#424856,10.); #131001=VECTOR('',#424857,10.); #131002=VECTOR('',#424860,10.); #131003=VECTOR('',#424861,10.); #131004=VECTOR('',#424872,0.564999999999998); #131005=VECTOR('',#424877,10.); #131006=VECTOR('',#424878,10.); #131007=VECTOR('',#424879,10.); #131008=VECTOR('',#424880,10.); #131009=VECTOR('',#424887,10.); #131010=VECTOR('',#424900,10.); #131011=VECTOR('',#424901,10.); #131012=VECTOR('',#424902,10.); #131013=VECTOR('',#424903,10.); #131014=VECTOR('',#424906,10.); #131015=VECTOR('',#424907,10.); #131016=VECTOR('',#424908,10.); #131017=VECTOR('',#424911,10.); #131018=VECTOR('',#424912,10.); #131019=VECTOR('',#424913,10.); #131020=VECTOR('',#424916,10.); #131021=VECTOR('',#424917,10.); #131022=VECTOR('',#424924,10.); #131023=VECTOR('',#424925,10.); #131024=VECTOR('',#424926,10.); #131025=VECTOR('',#424927,10.); #131026=VECTOR('',#424930,10.); #131027=VECTOR('',#424931,10.); #131028=VECTOR('',#424932,10.); #131029=VECTOR('',#424935,10.); #131030=VECTOR('',#424936,10.); #131031=VECTOR('',#424937,10.); #131032=VECTOR('',#424940,10.); #131033=VECTOR('',#424941,10.); #131034=VECTOR('',#424950,0.114999999999998); #131035=VECTOR('',#424957,0.114999999999998); #131036=VECTOR('',#424962,10.); #131037=VECTOR('',#424963,10.); #131038=VECTOR('',#424964,10.); #131039=VECTOR('',#424965,10.); #131040=VECTOR('',#424968,10.); #131041=VECTOR('',#424969,10.); #131042=VECTOR('',#424970,10.); #131043=VECTOR('',#424973,10.); #131044=VECTOR('',#424974,10.); #131045=VECTOR('',#424975,10.); #131046=VECTOR('',#424978,10.); #131047=VECTOR('',#424979,10.); #131048=VECTOR('',#424986,10.); #131049=VECTOR('',#424987,10.); #131050=VECTOR('',#424988,10.); #131051=VECTOR('',#424989,10.); #131052=VECTOR('',#424992,10.); #131053=VECTOR('',#424993,10.); #131054=VECTOR('',#424994,10.); #131055=VECTOR('',#424997,10.); #131056=VECTOR('',#424998,10.); #131057=VECTOR('',#424999,10.); #131058=VECTOR('',#425002,10.); #131059=VECTOR('',#425003,10.); #131060=VECTOR('',#425012,0.114999999999998); #131061=VECTOR('',#425019,0.114999999999998); #131062=VECTOR('',#425024,10.); #131063=VECTOR('',#425025,10.); #131064=VECTOR('',#425026,10.); #131065=VECTOR('',#425027,10.); #131066=VECTOR('',#425030,10.); #131067=VECTOR('',#425031,10.); #131068=VECTOR('',#425032,10.); #131069=VECTOR('',#425035,10.); #131070=VECTOR('',#425036,10.); #131071=VECTOR('',#425037,10.); #131072=VECTOR('',#425040,10.); #131073=VECTOR('',#425041,10.); #131074=VECTOR('',#425048,10.); #131075=VECTOR('',#425049,10.); #131076=VECTOR('',#425050,10.); #131077=VECTOR('',#425051,10.); #131078=VECTOR('',#425054,10.); #131079=VECTOR('',#425055,10.); #131080=VECTOR('',#425056,10.); #131081=VECTOR('',#425059,10.); #131082=VECTOR('',#425060,10.); #131083=VECTOR('',#425061,10.); #131084=VECTOR('',#425064,10.); #131085=VECTOR('',#425065,10.); #131086=VECTOR('',#425074,0.114999999999998); #131087=VECTOR('',#425081,0.114999999999998); #131088=VECTOR('',#425086,10.); #131089=VECTOR('',#425087,10.); #131090=VECTOR('',#425088,10.); #131091=VECTOR('',#425089,10.); #131092=VECTOR('',#425092,10.); #131093=VECTOR('',#425093,10.); #131094=VECTOR('',#425094,10.); #131095=VECTOR('',#425097,10.); #131096=VECTOR('',#425098,10.); #131097=VECTOR('',#425099,10.); #131098=VECTOR('',#425102,10.); #131099=VECTOR('',#425103,10.); #131100=VECTOR('',#425110,10.); #131101=VECTOR('',#425111,10.); #131102=VECTOR('',#425112,10.); #131103=VECTOR('',#425113,10.); #131104=VECTOR('',#425116,10.); #131105=VECTOR('',#425117,10.); #131106=VECTOR('',#425118,10.); #131107=VECTOR('',#425121,10.); #131108=VECTOR('',#425122,10.); #131109=VECTOR('',#425123,10.); #131110=VECTOR('',#425126,10.); #131111=VECTOR('',#425127,10.); #131112=VECTOR('',#425136,0.114999999999998); #131113=VECTOR('',#425143,0.114999999999998); #131114=VECTOR('',#425148,10.); #131115=VECTOR('',#425149,10.); #131116=VECTOR('',#425150,10.); #131117=VECTOR('',#425151,10.); #131118=VECTOR('',#425154,10.); #131119=VECTOR('',#425155,10.); #131120=VECTOR('',#425156,10.); #131121=VECTOR('',#425159,10.); #131122=VECTOR('',#425160,10.); #131123=VECTOR('',#425161,10.); #131124=VECTOR('',#425164,10.); #131125=VECTOR('',#425165,10.); #131126=VECTOR('',#425172,10.); #131127=VECTOR('',#425173,10.); #131128=VECTOR('',#425174,10.); #131129=VECTOR('',#425175,10.); #131130=VECTOR('',#425178,10.); #131131=VECTOR('',#425179,10.); #131132=VECTOR('',#425180,10.); #131133=VECTOR('',#425183,10.); #131134=VECTOR('',#425184,10.); #131135=VECTOR('',#425185,10.); #131136=VECTOR('',#425188,10.); #131137=VECTOR('',#425189,10.); #131138=VECTOR('',#425196,10.); #131139=VECTOR('',#425197,10.); #131140=VECTOR('',#425198,10.); #131141=VECTOR('',#425199,10.); #131142=VECTOR('',#425202,10.); #131143=VECTOR('',#425203,10.); #131144=VECTOR('',#425204,10.); #131145=VECTOR('',#425207,10.); #131146=VECTOR('',#425208,10.); #131147=VECTOR('',#425209,10.); #131148=VECTOR('',#425212,10.); #131149=VECTOR('',#425213,10.); #131150=VECTOR('',#425214,10.); #131151=VECTOR('',#425217,10.); #131152=VECTOR('',#425218,10.); #131153=VECTOR('',#425219,10.); #131154=VECTOR('',#425222,10.); #131155=VECTOR('',#425223,10.); #131156=VECTOR('',#425224,10.); #131157=VECTOR('',#425231,10.); #131158=VECTOR('',#425234,10.); #131159=VECTOR('',#425235,10.); #131160=VECTOR('',#425236,10.); #131161=VECTOR('',#425239,10.); #131162=VECTOR('',#425240,10.); #131163=VECTOR('',#425241,10.); #131164=VECTOR('',#425244,10.); #131165=VECTOR('',#425245,10.); #131166=VECTOR('',#425246,10.); #131167=VECTOR('',#425249,10.); #131168=VECTOR('',#425250,10.); #131169=VECTOR('',#425251,10.); #131170=VECTOR('',#425254,10.); #131171=VECTOR('',#425255,10.); #131172=VECTOR('',#425256,10.); #131173=VECTOR('',#425263,10.); #131174=VECTOR('',#425266,10.); #131175=VECTOR('',#425267,10.); #131176=VECTOR('',#425268,10.); #131177=VECTOR('',#425271,10.); #131178=VECTOR('',#425272,10.); #131179=VECTOR('',#425273,10.); #131180=VECTOR('',#425280,10.); #131181=VECTOR('',#425283,10.); #131182=VECTOR('',#425284,10.); #131183=VECTOR('',#425285,10.); #131184=VECTOR('',#425288,10.); #131185=VECTOR('',#425289,10.); #131186=VECTOR('',#425290,10.); #131187=VECTOR('',#425293,10.); #131188=VECTOR('',#425294,10.); #131189=VECTOR('',#425295,10.); #131190=VECTOR('',#425298,10.); #131191=VECTOR('',#425299,10.); #131192=VECTOR('',#425300,10.); #131193=VECTOR('',#425303,10.); #131194=VECTOR('',#425304,10.); #131195=VECTOR('',#425305,10.); #131196=VECTOR('',#425308,10.); #131197=VECTOR('',#425309,10.); #131198=VECTOR('',#425310,10.); #131199=VECTOR('',#425313,10.); #131200=VECTOR('',#425314,10.); #131201=VECTOR('',#425315,10.); #131202=VECTOR('',#425322,10.); #131203=VECTOR('',#425325,10.); #131204=VECTOR('',#425326,10.); #131205=VECTOR('',#425327,10.); #131206=VECTOR('',#425334,10.); #131207=VECTOR('',#425337,10.); #131208=VECTOR('',#425338,10.); #131209=VECTOR('',#425339,10.); #131210=VECTOR('',#425342,10.); #131211=VECTOR('',#425343,10.); #131212=VECTOR('',#425344,10.); #131213=VECTOR('',#425347,10.); #131214=VECTOR('',#425348,10.); #131215=VECTOR('',#425349,10.); #131216=VECTOR('',#425352,10.); #131217=VECTOR('',#425353,10.); #131218=VECTOR('',#425354,10.); #131219=VECTOR('',#425357,10.); #131220=VECTOR('',#425358,10.); #131221=VECTOR('',#425359,10.); #131222=VECTOR('',#425362,10.); #131223=VECTOR('',#425363,10.); #131224=VECTOR('',#425364,10.); #131225=VECTOR('',#425367,10.); #131226=VECTOR('',#425368,10.); #131227=VECTOR('',#425369,10.); #131228=VECTOR('',#425372,10.); #131229=VECTOR('',#425373,10.); #131230=VECTOR('',#425374,10.); #131231=VECTOR('',#425381,10.); #131232=VECTOR('',#425384,10.); #131233=VECTOR('',#425385,10.); #131234=VECTOR('',#425386,10.); #131235=VECTOR('',#425393,10.); #131236=VECTOR('',#425396,10.); #131237=VECTOR('',#425397,10.); #131238=VECTOR('',#425398,10.); #131239=VECTOR('',#425401,10.); #131240=VECTOR('',#425402,10.); #131241=VECTOR('',#425403,10.); #131242=VECTOR('',#425406,10.); #131243=VECTOR('',#425407,10.); #131244=VECTOR('',#425408,10.); #131245=VECTOR('',#425415,10.); #131246=VECTOR('',#425418,10.); #131247=VECTOR('',#425419,10.); #131248=VECTOR('',#425420,10.); #131249=VECTOR('',#425427,10.); #131250=VECTOR('',#425430,10.); #131251=VECTOR('',#425431,10.); #131252=VECTOR('',#425432,10.); #131253=VECTOR('',#425435,10.); #131254=VECTOR('',#425436,10.); #131255=VECTOR('',#425437,10.); #131256=VECTOR('',#425440,10.); #131257=VECTOR('',#425441,10.); #131258=VECTOR('',#425442,10.); #131259=VECTOR('',#425445,10.); #131260=VECTOR('',#425446,10.); #131261=VECTOR('',#425447,10.); #131262=VECTOR('',#425450,10.); #131263=VECTOR('',#425451,10.); #131264=VECTOR('',#425452,10.); #131265=VECTOR('',#425459,10.); #131266=VECTOR('',#425462,10.); #131267=VECTOR('',#425463,10.); #131268=VECTOR('',#425464,10.); #131269=VECTOR('',#425467,10.); #131270=VECTOR('',#425468,10.); #131271=VECTOR('',#425469,10.); #131272=VECTOR('',#425472,10.); #131273=VECTOR('',#425473,10.); #131274=VECTOR('',#425474,10.); #131275=VECTOR('',#425477,10.); #131276=VECTOR('',#425478,10.); #131277=VECTOR('',#425479,10.); #131278=VECTOR('',#425482,10.); #131279=VECTOR('',#425483,10.); #131280=VECTOR('',#425484,10.); #131281=VECTOR('',#425487,10.); #131282=VECTOR('',#425488,10.); #131283=VECTOR('',#425489,10.); #131284=VECTOR('',#425496,10.); #131285=VECTOR('',#425499,10.); #131286=VECTOR('',#425500,10.); #131287=VECTOR('',#425501,10.); #131288=VECTOR('',#425504,10.); #131289=VECTOR('',#425505,10.); #131290=VECTOR('',#425514,0.139999999999998); #131291=VECTOR('',#425521,0.139999999999998); #131292=VECTOR('',#425526,10.); #131293=VECTOR('',#425527,10.); #131294=VECTOR('',#425528,10.); #131295=VECTOR('',#425529,10.); #131296=VECTOR('',#425536,10.); #131297=VECTOR('',#425543,10.); #131298=VECTOR('',#425546,10.); #131299=VECTOR('',#425547,10.); #131300=VECTOR('',#425548,10.); #131301=VECTOR('',#425555,10.); #131302=VECTOR('',#425562,10.); #131303=VECTOR('',#425565,10.); #131304=VECTOR('',#425566,10.); #131305=VECTOR('',#425567,10.); #131306=VECTOR('',#425582,0.139999999999998); #131307=VECTOR('',#425587,10.); #131308=VECTOR('',#425588,10.); #131309=VECTOR('',#425589,10.); #131310=VECTOR('',#425590,10.); #131311=VECTOR('',#425597,10.); #131312=VECTOR('',#425600,10.); #131313=VECTOR('',#425601,10.); #131314=VECTOR('',#425602,10.); #131315=VECTOR('',#425605,10.); #131316=VECTOR('',#425606,10.); #131317=VECTOR('',#425607,10.); #131318=VECTOR('',#425610,10.); #131319=VECTOR('',#425611,10.); #131320=VECTOR('',#425612,10.); #131321=VECTOR('',#425615,10.); #131322=VECTOR('',#425616,10.); #131323=VECTOR('',#425617,10.); #131324=VECTOR('',#425620,10.); #131325=VECTOR('',#425621,10.); #131326=VECTOR('',#425622,10.); #131327=VECTOR('',#425625,10.); #131328=VECTOR('',#425626,10.); #131329=VECTOR('',#425627,10.); #131330=VECTOR('',#425630,10.); #131331=VECTOR('',#425631,10.); #131332=VECTOR('',#425632,10.); #131333=VECTOR('',#425647,0.139999999999998); #131334=VECTOR('',#425652,10.); #131335=VECTOR('',#425653,10.); #131336=VECTOR('',#425654,10.); #131337=VECTOR('',#425655,10.); #131338=VECTOR('',#425658,10.); #131339=VECTOR('',#425659,10.); #131340=VECTOR('',#425660,10.); #131341=VECTOR('',#425663,10.); #131342=VECTOR('',#425664,10.); #131343=VECTOR('',#425665,10.); #131344=VECTOR('',#425668,10.); #131345=VECTOR('',#425669,10.); #131346=VECTOR('',#425670,10.); #131347=VECTOR('',#425673,10.); #131348=VECTOR('',#425674,10.); #131349=VECTOR('',#425675,10.); #131350=VECTOR('',#425682,10.); #131351=VECTOR('',#425685,10.); #131352=VECTOR('',#425686,10.); #131353=VECTOR('',#425687,10.); #131354=VECTOR('',#425694,10.); #131355=VECTOR('',#425701,10.); #131356=VECTOR('',#425708,10.); #131357=VECTOR('',#425711,10.); #131358=VECTOR('',#425712,10.); #131359=VECTOR('',#425713,10.); #131360=VECTOR('',#425716,10.); #131361=VECTOR('',#425717,10.); #131362=VECTOR('',#425718,10.); #131363=VECTOR('',#425721,10.); #131364=VECTOR('',#425722,10.); #131365=VECTOR('',#425731,0.139999999999998); #131366=VECTOR('',#425738,0.140000000000002); #131367=VECTOR('',#425743,10.); #131368=VECTOR('',#425744,10.); #131369=VECTOR('',#425745,10.); #131370=VECTOR('',#425746,10.); #131371=VECTOR('',#425753,10.); #131372=VECTOR('',#425760,10.); #131373=VECTOR('',#425763,10.); #131374=VECTOR('',#425764,10.); #131375=VECTOR('',#425765,10.); #131376=VECTOR('',#425768,10.); #131377=VECTOR('',#425769,10.); #131378=VECTOR('',#425770,10.); #131379=VECTOR('',#425773,10.); #131380=VECTOR('',#425774,10.); #131381=VECTOR('',#425775,10.); #131382=VECTOR('',#425778,10.); #131383=VECTOR('',#425779,10.); #131384=VECTOR('',#425780,10.); #131385=VECTOR('',#425783,10.); #131386=VECTOR('',#425784,10.); #131387=VECTOR('',#425785,10.); #131388=VECTOR('',#425792,10.); #131389=VECTOR('',#425795,10.); #131390=VECTOR('',#425796,10.); #131391=VECTOR('',#425797,10.); #131392=VECTOR('',#425800,10.); #131393=VECTOR('',#425801,10.); #131394=VECTOR('',#425802,10.); #131395=VECTOR('',#425809,10.); #131396=VECTOR('',#425812,10.); #131397=VECTOR('',#425813,10.); #131398=VECTOR('',#425814,10.); #131399=VECTOR('',#425821,10.); #131400=VECTOR('',#425824,10.); #131401=VECTOR('',#425825,10.); #131402=VECTOR('',#425826,10.); #131403=VECTOR('',#425829,10.); #131404=VECTOR('',#425830,10.); #131405=VECTOR('',#425831,10.); #131406=VECTOR('',#425834,10.); #131407=VECTOR('',#425835,10.); #131408=VECTOR('',#425836,10.); #131409=VECTOR('',#425843,10.); #131410=VECTOR('',#425846,10.); #131411=VECTOR('',#425847,10.); #131412=VECTOR('',#425848,10.); #131413=VECTOR('',#425855,10.); #131414=VECTOR('',#425858,10.); #131415=VECTOR('',#425859,10.); #131416=VECTOR('',#425860,10.); #131417=VECTOR('',#425867,10.); #131418=VECTOR('',#425874,10.); #131419=VECTOR('',#425877,10.); #131420=VECTOR('',#425878,10.); #131421=VECTOR('',#425879,10.); #131422=VECTOR('',#425882,10.); #131423=VECTOR('',#425883,10.); #131424=VECTOR('',#425884,10.); #131425=VECTOR('',#425887,10.); #131426=VECTOR('',#425888,10.); #131427=VECTOR('',#425889,10.); #131428=VECTOR('',#425896,10.); #131429=VECTOR('',#425899,10.); #131430=VECTOR('',#425900,10.); #131431=VECTOR('',#425901,10.); #131432=VECTOR('',#425908,10.); #131433=VECTOR('',#425911,10.); #131434=VECTOR('',#425912,10.); #131435=VECTOR('',#425913,10.); #131436=VECTOR('',#425916,10.); #131437=VECTOR('',#425917,10.); #131438=VECTOR('',#425918,10.); #131439=VECTOR('',#425921,10.); #131440=VECTOR('',#425922,10.); #131441=VECTOR('',#425923,10.); #131442=VECTOR('',#425930,10.); #131443=VECTOR('',#425933,10.); #131444=VECTOR('',#425934,10.); #131445=VECTOR('',#425935,10.); #131446=VECTOR('',#425938,10.); #131447=VECTOR('',#425939,10.); #131448=VECTOR('',#425940,10.); #131449=VECTOR('',#425947,10.); #131450=VECTOR('',#425950,10.); #131451=VECTOR('',#425951,10.); #131452=VECTOR('',#425952,10.); #131453=VECTOR('',#425959,10.); #131454=VECTOR('',#425962,10.); #131455=VECTOR('',#425963,10.); #131456=VECTOR('',#425964,10.); #131457=VECTOR('',#425971,10.); #131458=VECTOR('',#425974,10.); #131459=VECTOR('',#425975,10.); #131460=VECTOR('',#425976,10.); #131461=VECTOR('',#425983,10.); #131462=VECTOR('',#425986,10.); #131463=VECTOR('',#425987,10.); #131464=VECTOR('',#425988,10.); #131465=VECTOR('',#426003,0.140000000000002); #131466=VECTOR('',#426010,0.139999999999998); #131467=VECTOR('',#426015,10.); #131468=VECTOR('',#426016,10.); #131469=VECTOR('',#426017,10.); #131470=VECTOR('',#426018,10.); #131471=VECTOR('',#426025,10.); #131472=VECTOR('',#426032,10.); #131473=VECTOR('',#426035,10.); #131474=VECTOR('',#426036,10.); #131475=VECTOR('',#426037,10.); #131476=VECTOR('',#426040,10.); #131477=VECTOR('',#426041,10.); #131478=VECTOR('',#426042,10.); #131479=VECTOR('',#426049,10.); #131480=VECTOR('',#426056,10.); #131481=VECTOR('',#426059,10.); #131482=VECTOR('',#426060,10.); #131483=VECTOR('',#426061,10.); #131484=VECTOR('',#426068,10.); #131485=VECTOR('',#426071,10.); #131486=VECTOR('',#426072,10.); #131487=VECTOR('',#426073,10.); #131488=VECTOR('',#426088,0.139999999999998); #131489=VECTOR('',#426093,10.); #131490=VECTOR('',#426094,10.); #131491=VECTOR('',#426095,10.); #131492=VECTOR('',#426096,10.); #131493=VECTOR('',#426099,10.); #131494=VECTOR('',#426100,10.); #131495=VECTOR('',#426101,10.); #131496=VECTOR('',#426104,10.); #131497=VECTOR('',#426105,10.); #131498=VECTOR('',#426106,10.); #131499=VECTOR('',#426109,10.); #131500=VECTOR('',#426110,10.); #131501=VECTOR('',#426111,10.); #131502=VECTOR('',#426114,10.); #131503=VECTOR('',#426115,10.); #131504=VECTOR('',#426116,10.); #131505=VECTOR('',#426123,10.); #131506=VECTOR('',#426126,10.); #131507=VECTOR('',#426127,10.); #131508=VECTOR('',#426128,10.); #131509=VECTOR('',#426135,10.); #131510=VECTOR('',#426142,10.); #131511=VECTOR('',#426149,10.); #131512=VECTOR('',#426152,10.); #131513=VECTOR('',#426153,10.); #131514=VECTOR('',#426154,10.); #131515=VECTOR('',#426161,10.); #131516=VECTOR('',#426164,10.); #131517=VECTOR('',#426165,10.); #131518=VECTOR('',#426166,10.); #131519=VECTOR('',#426169,10.); #131520=VECTOR('',#426170,10.); #131521=VECTOR('',#426171,10.); #131522=VECTOR('',#426174,10.); #131523=VECTOR('',#426175,10.); #131524=VECTOR('',#426176,10.); #131525=VECTOR('',#426179,10.); #131526=VECTOR('',#426180,10.); #131527=VECTOR('',#426181,10.); #131528=VECTOR('',#426184,10.); #131529=VECTOR('',#426185,10.); #131530=VECTOR('',#426186,10.); #131531=VECTOR('',#426189,10.); #131532=VECTOR('',#426190,10.); #131533=VECTOR('',#426191,10.); #131534=VECTOR('',#426194,10.); #131535=VECTOR('',#426195,10.); #131536=VECTOR('',#426196,10.); #131537=VECTOR('',#426199,10.); #131538=VECTOR('',#426200,10.); #131539=VECTOR('',#426201,10.); #131540=VECTOR('',#426208,10.); #131541=VECTOR('',#426211,10.); #131542=VECTOR('',#426212,10.); #131543=VECTOR('',#426213,10.); #131544=VECTOR('',#426216,10.); #131545=VECTOR('',#426217,10.); #131546=VECTOR('',#426218,10.); #131547=VECTOR('',#426221,10.); #131548=VECTOR('',#426222,10.); #131549=VECTOR('',#426231,0.139999999999998); #131550=VECTOR('',#426236,10.); #131551=VECTOR('',#426237,10.); #131552=VECTOR('',#426238,10.); #131553=VECTOR('',#426239,10.); #131554=VECTOR('',#426246,10.); #131555=VECTOR('',#426253,10.); #131556=VECTOR('',#426256,10.); #131557=VECTOR('',#426257,10.); #131558=VECTOR('',#426258,10.); #131559=VECTOR('',#426265,10.); #131560=VECTOR('',#426268,10.); #131561=VECTOR('',#426269,10.); #131562=VECTOR('',#426270,10.); #131563=VECTOR('',#426273,10.); #131564=VECTOR('',#426274,10.); #131565=VECTOR('',#426275,10.); #131566=VECTOR('',#426278,10.); #131567=VECTOR('',#426279,10.); #131568=VECTOR('',#426280,10.); #131569=VECTOR('',#426283,10.); #131570=VECTOR('',#426284,10.); #131571=VECTOR('',#426285,10.); #131572=VECTOR('',#426288,10.); #131573=VECTOR('',#426289,10.); #131574=VECTOR('',#426290,10.); #131575=VECTOR('',#426293,10.); #131576=VECTOR('',#426294,10.); #131577=VECTOR('',#426295,10.); #131578=VECTOR('',#426298,10.); #131579=VECTOR('',#426299,10.); #131580=VECTOR('',#426300,10.); #131581=VECTOR('',#426303,10.); #131582=VECTOR('',#426304,10.); #131583=VECTOR('',#426305,10.); #131584=VECTOR('',#426312,10.); #131585=VECTOR('',#426315,10.); #131586=VECTOR('',#426316,10.); #131587=VECTOR('',#426317,10.); #131588=VECTOR('',#426320,10.); #131589=VECTOR('',#426321,10.); #131590=VECTOR('',#426322,10.); #131591=VECTOR('',#426325,10.); #131592=VECTOR('',#426326,10.); #131593=VECTOR('',#426327,10.); #131594=VECTOR('',#426330,10.); #131595=VECTOR('',#426331,10.); #131596=VECTOR('',#426332,10.); #131597=VECTOR('',#426335,10.); #131598=VECTOR('',#426336,10.); #131599=VECTOR('',#426337,10.); #131600=VECTOR('',#426340,10.); #131601=VECTOR('',#426341,10.); #131602=VECTOR('',#426342,10.); #131603=VECTOR('',#426345,10.); #131604=VECTOR('',#426346,10.); #131605=VECTOR('',#426347,10.); #131606=VECTOR('',#426350,10.); #131607=VECTOR('',#426351,10.); #131608=VECTOR('',#426352,10.); #131609=VECTOR('',#426359,10.); #131610=VECTOR('',#426362,10.); #131611=VECTOR('',#426363,10.); #131612=VECTOR('',#426364,10.); #131613=VECTOR('',#426371,10.); #131614=VECTOR('',#426386,0.139999999999998); #131615=VECTOR('',#426393,0.139999999999998); #131616=VECTOR('',#426398,10.); #131617=VECTOR('',#426399,10.); #131618=VECTOR('',#426400,10.); #131619=VECTOR('',#426401,10.); #131620=VECTOR('',#426404,10.); #131621=VECTOR('',#426405,10.); #131622=VECTOR('',#426406,10.); #131623=VECTOR('',#426413,10.); #131624=VECTOR('',#426416,10.); #131625=VECTOR('',#426417,10.); #131626=VECTOR('',#426418,10.); #131627=VECTOR('',#426421,10.); #131628=VECTOR('',#426422,10.); #131629=VECTOR('',#426423,10.); #131630=VECTOR('',#426430,10.); #131631=VECTOR('',#426437,10.); #131632=VECTOR('',#426440,10.); #131633=VECTOR('',#426441,10.); #131634=VECTOR('',#426442,10.); #131635=VECTOR('',#426449,10.); #131636=VECTOR('',#426452,10.); #131637=VECTOR('',#426453,10.); #131638=VECTOR('',#426454,10.); #131639=VECTOR('',#426457,10.); #131640=VECTOR('',#426458,10.); #131641=VECTOR('',#426459,10.); #131642=VECTOR('',#426462,10.); #131643=VECTOR('',#426463,10.); #131644=VECTOR('',#426464,10.); #131645=VECTOR('',#426471,10.); #131646=VECTOR('',#426474,10.); #131647=VECTOR('',#426475,10.); #131648=VECTOR('',#426476,10.); #131649=VECTOR('',#426479,10.); #131650=VECTOR('',#426480,10.); #131651=VECTOR('',#426481,10.); #131652=VECTOR('',#426484,10.); #131653=VECTOR('',#426485,10.); #131654=VECTOR('',#426486,10.); #131655=VECTOR('',#426489,10.); #131656=VECTOR('',#426490,10.); #131657=VECTOR('',#426491,10.); #131658=VECTOR('',#426494,10.); #131659=VECTOR('',#426495,10.); #131660=VECTOR('',#426496,10.); #131661=VECTOR('',#426503,10.); #131662=VECTOR('',#426506,10.); #131663=VECTOR('',#426507,10.); #131664=VECTOR('',#426508,10.); #131665=VECTOR('',#426511,10.); #131666=VECTOR('',#426512,10.); #131667=VECTOR('',#426513,10.); #131668=VECTOR('',#426520,10.); #131669=VECTOR('',#426523,10.); #131670=VECTOR('',#426524,10.); #131671=VECTOR('',#426525,10.); #131672=VECTOR('',#426532,10.); #131673=VECTOR('',#426535,10.); #131674=VECTOR('',#426536,10.); #131675=VECTOR('',#426537,10.); #131676=VECTOR('',#426540,10.); #131677=VECTOR('',#426541,10.); #131678=VECTOR('',#426542,10.); #131679=VECTOR('',#426545,10.); #131680=VECTOR('',#426546,10.); #131681=VECTOR('',#426547,10.); #131682=VECTOR('',#426554,10.); #131683=VECTOR('',#426557,10.); #131684=VECTOR('',#426558,10.); #131685=VECTOR('',#426559,10.); #131686=VECTOR('',#426566,10.); #131687=VECTOR('',#426569,10.); #131688=VECTOR('',#426570,10.); #131689=VECTOR('',#426571,10.); #131690=VECTOR('',#426578,10.); #131691=VECTOR('',#426581,10.); #131692=VECTOR('',#426582,10.); #131693=VECTOR('',#426583,10.); #131694=VECTOR('',#426586,10.); #131695=VECTOR('',#426587,10.); #131696=VECTOR('',#426588,10.); #131697=VECTOR('',#426595,10.); #131698=VECTOR('',#426602,10.); #131699=VECTOR('',#426605,10.); #131700=VECTOR('',#426606,10.); #131701=VECTOR('',#426607,10.); #131702=VECTOR('',#426614,10.); #131703=VECTOR('',#426617,10.); #131704=VECTOR('',#426618,10.); #131705=VECTOR('',#426619,10.); #131706=VECTOR('',#426622,10.); #131707=VECTOR('',#426623,10.); #131708=VECTOR('',#426624,10.); #131709=VECTOR('',#426627,10.); #131710=VECTOR('',#426628,10.); #131711=VECTOR('',#426629,10.); #131712=VECTOR('',#426632,10.); #131713=VECTOR('',#426633,10.); #131714=VECTOR('',#426634,10.); #131715=VECTOR('',#426641,10.); #131716=VECTOR('',#426644,10.); #131717=VECTOR('',#426645,10.); #131718=VECTOR('',#426646,10.); #131719=VECTOR('',#426653,10.); #131720=VECTOR('',#426656,10.); #131721=VECTOR('',#426657,10.); #131722=VECTOR('',#426658,10.); #131723=VECTOR('',#426661,10.); #131724=VECTOR('',#426662,10.); #131725=VECTOR('',#426663,10.); #131726=VECTOR('',#426666,10.); #131727=VECTOR('',#426667,10.); #131728=VECTOR('',#426668,10.); #131729=VECTOR('',#426675,10.); #131730=VECTOR('',#426678,10.); #131731=VECTOR('',#426679,10.); #131732=VECTOR('',#426680,10.); #131733=VECTOR('',#426683,10.); #131734=VECTOR('',#426684,10.); #131735=VECTOR('',#426685,10.); #131736=VECTOR('',#426692,10.); #131737=VECTOR('',#426695,10.); #131738=VECTOR('',#426696,10.); #131739=VECTOR('',#426697,10.); #131740=VECTOR('',#426704,10.); #131741=VECTOR('',#426707,10.); #131742=VECTOR('',#426708,10.); #131743=VECTOR('',#426709,10.); #131744=VECTOR('',#426716,10.); #131745=VECTOR('',#426719,10.); #131746=VECTOR('',#426720,10.); #131747=VECTOR('',#426721,10.); #131748=VECTOR('',#426728,10.); #131749=VECTOR('',#426731,10.); #131750=VECTOR('',#426732,10.); #131751=VECTOR('',#426733,10.); #131752=VECTOR('',#426736,10.); #131753=VECTOR('',#426737,10.); #131754=VECTOR('',#426738,10.); #131755=VECTOR('',#426753,0.139999999999998); #131756=VECTOR('',#426760,0.139999999999998); #131757=VECTOR('',#426765,10.); #131758=VECTOR('',#426766,10.); #131759=VECTOR('',#426767,10.); #131760=VECTOR('',#426768,10.); #131761=VECTOR('',#426775,10.); #131762=VECTOR('',#426782,10.); #131763=VECTOR('',#426785,10.); #131764=VECTOR('',#426786,10.); #131765=VECTOR('',#426787,10.); #131766=VECTOR('',#426794,10.); #131767=VECTOR('',#426801,10.); #131768=VECTOR('',#426804,10.); #131769=VECTOR('',#426805,10.); #131770=VECTOR('',#426806,10.); #131771=VECTOR('',#426821,0.139999999999998); #131772=VECTOR('',#426828,0.139999999999998); #131773=VECTOR('',#426833,10.); #131774=VECTOR('',#426834,10.); #131775=VECTOR('',#426835,10.); #131776=VECTOR('',#426836,10.); #131777=VECTOR('',#426843,10.); #131778=VECTOR('',#426850,10.); #131779=VECTOR('',#426853,10.); #131780=VECTOR('',#426854,10.); #131781=VECTOR('',#426855,10.); #131782=VECTOR('',#426862,10.); #131783=VECTOR('',#426869,10.); #131784=VECTOR('',#426872,10.); #131785=VECTOR('',#426873,10.); #131786=VECTOR('',#426874,10.); #131787=VECTOR('',#426889,0.139999999999998); #131788=VECTOR('',#426896,0.139999999999998); #131789=VECTOR('',#426901,10.); #131790=VECTOR('',#426902,10.); #131791=VECTOR('',#426903,10.); #131792=VECTOR('',#426904,10.); #131793=VECTOR('',#426911,10.); #131794=VECTOR('',#426918,10.); #131795=VECTOR('',#426921,10.); #131796=VECTOR('',#426922,10.); #131797=VECTOR('',#426923,10.); #131798=VECTOR('',#426930,10.); #131799=VECTOR('',#426937,10.); #131800=VECTOR('',#426940,10.); #131801=VECTOR('',#426941,10.); #131802=VECTOR('',#426942,10.); #131803=VECTOR('',#426957,0.139999999999998); #131804=VECTOR('',#426964,0.140000000000002); #131805=VECTOR('',#426969,10.); #131806=VECTOR('',#426970,10.); #131807=VECTOR('',#426971,10.); #131808=VECTOR('',#426972,10.); #131809=VECTOR('',#426979,10.); #131810=VECTOR('',#426982,10.); #131811=VECTOR('',#426983,10.); #131812=VECTOR('',#426984,10.); #131813=VECTOR('',#426987,10.); #131814=VECTOR('',#426988,10.); #131815=VECTOR('',#426989,10.); #131816=VECTOR('',#426992,10.); #131817=VECTOR('',#426993,10.); #131818=VECTOR('',#426994,10.); #131819=VECTOR('',#427001,10.); #131820=VECTOR('',#427008,10.); #131821=VECTOR('',#427011,10.); #131822=VECTOR('',#427012,10.); #131823=VECTOR('',#427013,10.); #131824=VECTOR('',#427020,10.); #131825=VECTOR('',#427023,10.); #131826=VECTOR('',#427024,10.); #131827=VECTOR('',#427025,10.); #131828=VECTOR('',#427032,10.); #131829=VECTOR('',#427035,10.); #131830=VECTOR('',#427036,10.); #131831=VECTOR('',#427037,10.); #131832=VECTOR('',#427052,0.139999999999998); #131833=VECTOR('',#427057,10.); #131834=VECTOR('',#427058,10.); #131835=VECTOR('',#427059,10.); #131836=VECTOR('',#427060,10.); #131837=VECTOR('',#427063,10.); #131838=VECTOR('',#427064,10.); #131839=VECTOR('',#427065,10.); #131840=VECTOR('',#427068,10.); #131841=VECTOR('',#427069,10.); #131842=VECTOR('',#427070,10.); #131843=VECTOR('',#427073,10.); #131844=VECTOR('',#427074,10.); #131845=VECTOR('',#427083,0.139999999999998); #131846=VECTOR('',#427088,10.); #131847=VECTOR('',#427089,10.); #131848=VECTOR('',#427090,10.); #131849=VECTOR('',#427091,10.); #131850=VECTOR('',#427094,10.); #131851=VECTOR('',#427095,10.); #131852=VECTOR('',#427096,10.); #131853=VECTOR('',#427099,10.); #131854=VECTOR('',#427100,10.); #131855=VECTOR('',#427101,10.); #131856=VECTOR('',#427104,10.); #131857=VECTOR('',#427105,10.); #131858=VECTOR('',#427114,0.140000000000002); #131859=VECTOR('',#427119,10.); #131860=VECTOR('',#427120,10.); #131861=VECTOR('',#427121,10.); #131862=VECTOR('',#427122,10.); #131863=VECTOR('',#427125,10.); #131864=VECTOR('',#427126,10.); #131865=VECTOR('',#427127,10.); #131866=VECTOR('',#427130,10.); #131867=VECTOR('',#427131,10.); #131868=VECTOR('',#427132,10.); #131869=VECTOR('',#427135,10.); #131870=VECTOR('',#427136,10.); #131871=VECTOR('',#427145,0.139999999999998); #131872=VECTOR('',#427150,10.); #131873=VECTOR('',#427151,10.); #131874=VECTOR('',#427152,10.); #131875=VECTOR('',#427153,10.); #131876=VECTOR('',#427160,10.); #131877=VECTOR('',#427163,10.); #131878=VECTOR('',#427164,10.); #131879=VECTOR('',#427165,10.); #131880=VECTOR('',#427168,10.); #131881=VECTOR('',#427169,10.); #131882=VECTOR('',#427170,10.); #131883=VECTOR('',#427173,10.); #131884=VECTOR('',#427174,10.); #131885=VECTOR('',#427175,10.); #131886=VECTOR('',#427178,10.); #131887=VECTOR('',#427179,10.); #131888=VECTOR('',#427180,10.); #131889=VECTOR('',#427183,10.); #131890=VECTOR('',#427184,10.); #131891=VECTOR('',#427185,10.); #131892=VECTOR('',#427188,10.); #131893=VECTOR('',#427189,10.); #131894=VECTOR('',#427190,10.); #131895=VECTOR('',#427193,10.); #131896=VECTOR('',#427194,10.); #131897=VECTOR('',#427195,10.); #131898=VECTOR('',#427210,0.139999999999998); #131899=VECTOR('',#427217,0.139999999999998); #131900=VECTOR('',#427222,10.); #131901=VECTOR('',#427223,10.); #131902=VECTOR('',#427224,10.); #131903=VECTOR('',#427225,10.); #131904=VECTOR('',#427228,10.); #131905=VECTOR('',#427229,10.); #131906=VECTOR('',#427230,10.); #131907=VECTOR('',#427237,10.); #131908=VECTOR('',#427240,10.); #131909=VECTOR('',#427241,10.); #131910=VECTOR('',#427242,10.); #131911=VECTOR('',#427245,10.); #131912=VECTOR('',#427246,10.); #131913=VECTOR('',#427247,10.); #131914=VECTOR('',#427254,10.); #131915=VECTOR('',#427257,10.); #131916=VECTOR('',#427258,10.); #131917=VECTOR('',#427259,10.); #131918=VECTOR('',#427262,10.); #131919=VECTOR('',#427263,10.); #131920=VECTOR('',#427264,10.); #131921=VECTOR('',#427271,10.); #131922=VECTOR('',#427278,10.); #131923=VECTOR('',#427281,10.); #131924=VECTOR('',#427282,10.); #131925=VECTOR('',#427283,10.); #131926=VECTOR('',#427290,10.); #131927=VECTOR('',#427293,10.); #131928=VECTOR('',#427294,10.); #131929=VECTOR('',#427295,10.); #131930=VECTOR('',#427298,10.); #131931=VECTOR('',#427299,10.); #131932=VECTOR('',#427300,10.); #131933=VECTOR('',#427307,10.); #131934=VECTOR('',#427310,10.); #131935=VECTOR('',#427311,10.); #131936=VECTOR('',#427312,10.); #131937=VECTOR('',#427315,10.); #131938=VECTOR('',#427316,10.); #131939=VECTOR('',#427317,10.); #131940=VECTOR('',#427320,10.); #131941=VECTOR('',#427321,10.); #131942=VECTOR('',#427322,10.); #131943=VECTOR('',#427325,10.); #131944=VECTOR('',#427326,10.); #131945=VECTOR('',#427327,10.); #131946=VECTOR('',#427330,10.); #131947=VECTOR('',#427331,10.); #131948=VECTOR('',#427332,10.); #131949=VECTOR('',#427335,10.); #131950=VECTOR('',#427336,10.); #131951=VECTOR('',#427337,10.); #131952=VECTOR('',#427344,10.); #131953=VECTOR('',#427347,10.); #131954=VECTOR('',#427348,10.); #131955=VECTOR('',#427349,10.); #131956=VECTOR('',#427352,10.); #131957=VECTOR('',#427353,10.); #131958=VECTOR('',#427354,10.); #131959=VECTOR('',#427361,10.); #131960=VECTOR('',#427364,10.); #131961=VECTOR('',#427365,10.); #131962=VECTOR('',#427366,10.); #131963=VECTOR('',#427373,10.); #131964=VECTOR('',#427376,10.); #131965=VECTOR('',#427377,10.); #131966=VECTOR('',#427378,10.); #131967=VECTOR('',#427381,10.); #131968=VECTOR('',#427382,10.); #131969=VECTOR('',#427383,10.); #131970=VECTOR('',#427386,10.); #131971=VECTOR('',#427387,10.); #131972=VECTOR('',#427388,10.); #131973=VECTOR('',#427395,10.); #131974=VECTOR('',#427398,10.); #131975=VECTOR('',#427399,10.); #131976=VECTOR('',#427400,10.); #131977=VECTOR('',#427407,10.); #131978=VECTOR('',#427410,10.); #131979=VECTOR('',#427411,10.); #131980=VECTOR('',#427412,10.); #131981=VECTOR('',#427415,10.); #131982=VECTOR('',#427416,10.); #131983=VECTOR('',#427417,10.); #131984=VECTOR('',#427424,10.); #131985=VECTOR('',#427427,10.); #131986=VECTOR('',#427428,10.); #131987=VECTOR('',#427429,10.); #131988=VECTOR('',#427436,10.); #131989=VECTOR('',#427443,10.); #131990=VECTOR('',#427446,10.); #131991=VECTOR('',#427447,10.); #131992=VECTOR('',#427448,10.); #131993=VECTOR('',#427451,10.); #131994=VECTOR('',#427452,10.); #131995=VECTOR('',#427453,10.); #131996=VECTOR('',#427460,10.); #131997=VECTOR('',#427463,10.); #131998=VECTOR('',#427464,10.); #131999=VECTOR('',#427465,10.); #132000=VECTOR('',#427468,10.); #132001=VECTOR('',#427469,10.); #132002=VECTOR('',#427470,10.); #132003=VECTOR('',#427473,10.); #132004=VECTOR('',#427474,10.); #132005=VECTOR('',#427475,10.); #132006=VECTOR('',#427482,10.); #132007=VECTOR('',#427485,10.); #132008=VECTOR('',#427486,10.); #132009=VECTOR('',#427487,10.); #132010=VECTOR('',#427494,10.); #132011=VECTOR('',#427497,10.); #132012=VECTOR('',#427498,10.); #132013=VECTOR('',#427499,10.); #132014=VECTOR('',#427502,10.); #132015=VECTOR('',#427503,10.); #132016=VECTOR('',#427504,10.); #132017=VECTOR('',#427507,10.); #132018=VECTOR('',#427508,10.); #132019=VECTOR('',#427509,10.); #132020=VECTOR('',#427516,10.); #132021=VECTOR('',#427519,10.); #132022=VECTOR('',#427520,10.); #132023=VECTOR('',#427521,10.); #132024=VECTOR('',#427524,10.); #132025=VECTOR('',#427525,10.); #132026=VECTOR('',#427526,10.); #132027=VECTOR('',#427533,10.); #132028=VECTOR('',#427536,10.); #132029=VECTOR('',#427537,10.); #132030=VECTOR('',#427538,10.); #132031=VECTOR('',#427545,10.); #132032=VECTOR('',#427548,10.); #132033=VECTOR('',#427549,10.); #132034=VECTOR('',#427550,10.); #132035=VECTOR('',#427557,10.); #132036=VECTOR('',#427560,10.); #132037=VECTOR('',#427561,10.); #132038=VECTOR('',#427562,10.); #132039=VECTOR('',#427577,0.140000000000002); #132040=VECTOR('',#427584,0.140000000000002); #132041=VECTOR('',#427589,10.); #132042=VECTOR('',#427590,10.); #132043=VECTOR('',#427591,10.); #132044=VECTOR('',#427592,10.); #132045=VECTOR('',#427599,10.); #132046=VECTOR('',#427602,10.); #132047=VECTOR('',#427603,10.); #132048=VECTOR('',#427604,10.); #132049=VECTOR('',#427611,10.); #132050=VECTOR('',#427618,10.); #132051=VECTOR('',#427621,10.); #132052=VECTOR('',#427622,10.); #132053=VECTOR('',#427623,10.); #132054=VECTOR('',#427638,0.139999999999998); #132055=VECTOR('',#427643,10.); #132056=VECTOR('',#427644,10.); #132057=VECTOR('',#427645,10.); #132058=VECTOR('',#427646,10.); #132059=VECTOR('',#427653,10.); #132060=VECTOR('',#427656,10.); #132061=VECTOR('',#427657,10.); #132062=VECTOR('',#427658,10.); #132063=VECTOR('',#427661,10.); #132064=VECTOR('',#427662,10.); #132065=VECTOR('',#427663,10.); #132066=VECTOR('',#427666,10.); #132067=VECTOR('',#427667,10.); #132068=VECTOR('',#427668,10.); #132069=VECTOR('',#427671,10.); #132070=VECTOR('',#427672,10.); #132071=VECTOR('',#427673,10.); #132072=VECTOR('',#427676,10.); #132073=VECTOR('',#427677,10.); #132074=VECTOR('',#427678,10.); #132075=VECTOR('',#427681,10.); #132076=VECTOR('',#427682,10.); #132077=VECTOR('',#427683,10.); #132078=VECTOR('',#427686,10.); #132079=VECTOR('',#427687,10.); #132080=VECTOR('',#427688,10.); #132081=VECTOR('',#427703,0.139999999999998); #132082=VECTOR('',#427708,10.); #132083=VECTOR('',#427709,10.); #132084=VECTOR('',#427710,10.); #132085=VECTOR('',#427711,10.); #132086=VECTOR('',#427718,10.); #132087=VECTOR('',#427721,10.); #132088=VECTOR('',#427722,10.); #132089=VECTOR('',#427723,10.); #132090=VECTOR('',#427726,10.); #132091=VECTOR('',#427727,10.); #132092=VECTOR('',#427728,10.); #132093=VECTOR('',#427731,10.); #132094=VECTOR('',#427732,10.); #132095=VECTOR('',#427733,10.); #132096=VECTOR('',#427736,10.); #132097=VECTOR('',#427737,10.); #132098=VECTOR('',#427738,10.); #132099=VECTOR('',#427741,10.); #132100=VECTOR('',#427742,10.); #132101=VECTOR('',#427743,10.); #132102=VECTOR('',#427746,10.); #132103=VECTOR('',#427747,10.); #132104=VECTOR('',#427748,10.); #132105=VECTOR('',#427751,10.); #132106=VECTOR('',#427752,10.); #132107=VECTOR('',#427753,10.); #132108=VECTOR('',#427768,0.139999999999998); #132109=VECTOR('',#427773,10.); #132110=VECTOR('',#427774,10.); #132111=VECTOR('',#427775,10.); #132112=VECTOR('',#427776,10.); #132113=VECTOR('',#427783,10.); #132114=VECTOR('',#427786,10.); #132115=VECTOR('',#427787,10.); #132116=VECTOR('',#427788,10.); #132117=VECTOR('',#427795,10.); #132118=VECTOR('',#427798,10.); #132119=VECTOR('',#427799,10.); #132120=VECTOR('',#427800,10.); #132121=VECTOR('',#427807,10.); #132122=VECTOR('',#427810,10.); #132123=VECTOR('',#427811,10.); #132124=VECTOR('',#427812,10.); #132125=VECTOR('',#427815,10.); #132126=VECTOR('',#427816,10.); #132127=VECTOR('',#427817,10.); #132128=VECTOR('',#427820,10.); #132129=VECTOR('',#427821,10.); #132130=VECTOR('',#427822,10.); #132131=VECTOR('',#427825,10.); #132132=VECTOR('',#427826,10.); #132133=VECTOR('',#427827,10.); #132134=VECTOR('',#427830,10.); #132135=VECTOR('',#427831,10.); #132136=VECTOR('',#427832,10.); #132137=VECTOR('',#427835,10.); #132138=VECTOR('',#427836,10.); #132139=VECTOR('',#427837,10.); #132140=VECTOR('',#427840,10.); #132141=VECTOR('',#427841,10.); #132142=VECTOR('',#427842,10.); #132143=VECTOR('',#427845,10.); #132144=VECTOR('',#427846,10.); #132145=VECTOR('',#427847,10.); #132146=VECTOR('',#427850,10.); #132147=VECTOR('',#427851,10.); #132148=VECTOR('',#427852,10.); #132149=VECTOR('',#427859,10.); #132150=VECTOR('',#427874,0.465); #132151=VECTOR('',#427879,10.); #132152=VECTOR('',#427880,10.); #132153=VECTOR('',#427881,10.); #132154=VECTOR('',#427882,10.); #132155=VECTOR('',#427885,10.); #132156=VECTOR('',#427886,10.); #132157=VECTOR('',#427887,10.); #132158=VECTOR('',#427890,10.); #132159=VECTOR('',#427891,10.); #132160=VECTOR('',#427892,10.); #132161=VECTOR('',#427895,10.); #132162=VECTOR('',#427896,10.); #132163=VECTOR('',#427897,10.); #132164=VECTOR('',#427900,10.); #132165=VECTOR('',#427901,10.); #132166=VECTOR('',#427902,10.); #132167=VECTOR('',#427905,10.); #132168=VECTOR('',#427906,10.); #132169=VECTOR('',#427907,10.); #132170=VECTOR('',#427910,10.); #132171=VECTOR('',#427911,10.); #132172=VECTOR('',#427912,10.); #132173=VECTOR('',#427915,10.); #132174=VECTOR('',#427916,10.); #132175=VECTOR('',#427917,10.); #132176=VECTOR('',#427924,10.); #132177=VECTOR('',#427931,10.); #132178=VECTOR('',#427934,10.); #132179=VECTOR('',#427935,10.); #132180=VECTOR('',#427936,10.); #132181=VECTOR('',#427943,10.); #132182=VECTOR('',#427946,10.); #132183=VECTOR('',#427947,10.); #132184=VECTOR('',#427948,10.); #132185=VECTOR('',#427955,10.); #132186=VECTOR('',#427958,10.); #132187=VECTOR('',#427959,10.); #132188=VECTOR('',#427968,0.465); #132189=VECTOR('',#427973,10.); #132190=VECTOR('',#427974,10.); #132191=VECTOR('',#427975,10.); #132192=VECTOR('',#427976,10.); #132193=VECTOR('',#427983,10.); #132194=VECTOR('',#427998,0.139999999999998); #132195=VECTOR('',#428003,10.); #132196=VECTOR('',#428004,10.); #132197=VECTOR('',#428005,10.); #132198=VECTOR('',#428006,10.); #132199=VECTOR('',#428009,10.); #132200=VECTOR('',#428010,10.); #132201=VECTOR('',#428011,10.); #132202=VECTOR('',#428014,10.); #132203=VECTOR('',#428015,10.); #132204=VECTOR('',#428016,10.); #132205=VECTOR('',#428019,10.); #132206=VECTOR('',#428020,10.); #132207=VECTOR('',#428021,10.); #132208=VECTOR('',#428024,10.); #132209=VECTOR('',#428025,10.); #132210=VECTOR('',#428026,10.); #132211=VECTOR('',#428029,10.); #132212=VECTOR('',#428030,10.); #132213=VECTOR('',#428031,10.); #132214=VECTOR('',#428034,10.); #132215=VECTOR('',#428035,10.); #132216=VECTOR('',#428036,10.); #132217=VECTOR('',#428043,10.); #132218=VECTOR('',#428046,10.); #132219=VECTOR('',#428047,10.); #132220=VECTOR('',#428048,10.); #132221=VECTOR('',#428051,10.); #132222=VECTOR('',#428052,10.); #132223=VECTOR('',#428053,10.); #132224=VECTOR('',#428060,10.); #132225=VECTOR('',#428067,10.); #132226=VECTOR('',#428070,10.); #132227=VECTOR('',#428071,10.); #132228=VECTOR('',#428072,10.); #132229=VECTOR('',#428079,10.); #132230=VECTOR('',#428082,10.); #132231=VECTOR('',#428083,10.); #132232=VECTOR('',#428084,10.); #132233=VECTOR('',#428087,10.); #132234=VECTOR('',#428088,10.); #132235=VECTOR('',#428089,10.); #132236=VECTOR('',#428096,10.); #132237=VECTOR('',#428099,10.); #132238=VECTOR('',#428100,10.); #132239=VECTOR('',#428101,10.); #132240=VECTOR('',#428108,10.); #132241=VECTOR('',#428111,10.); #132242=VECTOR('',#428112,10.); #132243=VECTOR('',#428113,10.); #132244=VECTOR('',#428116,10.); #132245=VECTOR('',#428117,10.); #132246=VECTOR('',#428126,0.465); #132247=VECTOR('',#428131,10.); #132248=VECTOR('',#428132,10.); #132249=VECTOR('',#428133,10.); #132250=VECTOR('',#428134,10.); #132251=VECTOR('',#428137,10.); #132252=VECTOR('',#428138,10.); #132253=VECTOR('',#428139,10.); #132254=VECTOR('',#428142,10.); #132255=VECTOR('',#428143,10.); #132256=VECTOR('',#428144,10.); #132257=VECTOR('',#428147,10.); #132258=VECTOR('',#428148,10.); #132259=VECTOR('',#428149,10.); #132260=VECTOR('',#428156,10.); #132261=VECTOR('',#428159,10.); #132262=VECTOR('',#428160,10.); #132263=VECTOR('',#428161,10.); #132264=VECTOR('',#428168,10.); #132265=VECTOR('',#428171,10.); #132266=VECTOR('',#428172,10.); #132267=VECTOR('',#428173,10.); #132268=VECTOR('',#428180,10.); #132269=VECTOR('',#428187,10.); #132270=VECTOR('',#428190,10.); #132271=VECTOR('',#428191,10.); #132272=VECTOR('',#428192,10.); #132273=VECTOR('',#428195,10.); #132274=VECTOR('',#428196,10.); #132275=VECTOR('',#428197,10.); #132276=VECTOR('',#428200,10.); #132277=VECTOR('',#428201,10.); #132278=VECTOR('',#428202,10.); #132279=VECTOR('',#428205,10.); #132280=VECTOR('',#428206,10.); #132281=VECTOR('',#428207,10.); #132282=VECTOR('',#428210,10.); #132283=VECTOR('',#428211,10.); #132284=VECTOR('',#428218,10.); #132285=VECTOR('',#428219,10.); #132286=VECTOR('',#428220,10.); #132287=VECTOR('',#428221,10.); #132288=VECTOR('',#428224,10.); #132289=VECTOR('',#428225,10.); #132290=VECTOR('',#428226,10.); #132291=VECTOR('',#428229,10.); #132292=VECTOR('',#428230,10.); #132293=VECTOR('',#428231,10.); #132294=VECTOR('',#428234,10.); #132295=VECTOR('',#428235,10.); #132296=VECTOR('',#428236,10.); #132297=VECTOR('',#428239,10.); #132298=VECTOR('',#428240,10.); #132299=VECTOR('',#428241,10.); #132300=VECTOR('',#428248,10.); #132301=VECTOR('',#428251,10.); #132302=VECTOR('',#428252,10.); #132303=VECTOR('',#428253,10.); #132304=VECTOR('',#428256,10.); #132305=VECTOR('',#428257,10.); #132306=VECTOR('',#428258,10.); #132307=VECTOR('',#428261,10.); #132308=VECTOR('',#428262,10.); #132309=VECTOR('',#428263,10.); #132310=VECTOR('',#428266,10.); #132311=VECTOR('',#428267,10.); #132312=VECTOR('',#428268,10.); #132313=VECTOR('',#428271,10.); #132314=VECTOR('',#428272,10.); #132315=VECTOR('',#428273,10.); #132316=VECTOR('',#428276,10.); #132317=VECTOR('',#428277,10.); #132318=VECTOR('',#428278,10.); #132319=VECTOR('',#428281,10.); #132320=VECTOR('',#428282,10.); #132321=VECTOR('',#428283,10.); #132322=VECTOR('',#428286,10.); #132323=VECTOR('',#428287,10.); #132324=VECTOR('',#428294,10.); #132325=VECTOR('',#428295,10.); #132326=VECTOR('',#428296,10.); #132327=VECTOR('',#428297,10.); #132328=VECTOR('',#428300,10.); #132329=VECTOR('',#428301,10.); #132330=VECTOR('',#428302,10.); #132331=VECTOR('',#428305,10.); #132332=VECTOR('',#428306,10.); #132333=VECTOR('',#428307,10.); #132334=VECTOR('',#428310,10.); #132335=VECTOR('',#428311,10.); #132336=VECTOR('',#428312,10.); #132337=VECTOR('',#428315,10.); #132338=VECTOR('',#428316,10.); #132339=VECTOR('',#428317,10.); #132340=VECTOR('',#428320,10.); #132341=VECTOR('',#428321,10.); #132342=VECTOR('',#428322,10.); #132343=VECTOR('',#428325,10.); #132344=VECTOR('',#428326,10.); #132345=VECTOR('',#428327,10.); #132346=VECTOR('',#428330,10.); #132347=VECTOR('',#428331,10.); #132348=VECTOR('',#428332,10.); #132349=VECTOR('',#428335,10.); #132350=VECTOR('',#428336,10.); #132351=VECTOR('',#428337,10.); #132352=VECTOR('',#428340,10.); #132353=VECTOR('',#428341,10.); #132354=VECTOR('',#428342,10.); #132355=VECTOR('',#428345,10.); #132356=VECTOR('',#428346,10.); #132357=VECTOR('',#428347,10.); #132358=VECTOR('',#428354,10.); #132359=VECTOR('',#428357,10.); #132360=VECTOR('',#428358,10.); #132361=VECTOR('',#428359,10.); #132362=VECTOR('',#428362,10.); #132363=VECTOR('',#428363,10.); #132364=VECTOR('',#428372,0.564999999999998); #132365=VECTOR('',#428377,10.); #132366=VECTOR('',#428378,10.); #132367=VECTOR('',#428379,10.); #132368=VECTOR('',#428380,10.); #132369=VECTOR('',#428383,10.); #132370=VECTOR('',#428384,10.); #132371=VECTOR('',#428385,10.); #132372=VECTOR('',#428392,10.); #132373=VECTOR('',#428395,10.); #132374=VECTOR('',#428396,10.); #132375=VECTOR('',#428397,10.); #132376=VECTOR('',#428404,10.); #132377=VECTOR('',#428407,10.); #132378=VECTOR('',#428408,10.); #132379=VECTOR('',#428409,10.); #132380=VECTOR('',#428412,10.); #132381=VECTOR('',#428413,10.); #132382=VECTOR('',#428414,10.); #132383=VECTOR('',#428421,10.); #132384=VECTOR('',#428424,10.); #132385=VECTOR('',#428425,10.); #132386=VECTOR('',#428426,10.); #132387=VECTOR('',#428429,10.); #132388=VECTOR('',#428430,10.); #132389=VECTOR('',#428431,10.); #132390=VECTOR('',#428434,10.); #132391=VECTOR('',#428435,10.); #132392=VECTOR('',#428436,10.); #132393=VECTOR('',#428439,10.); #132394=VECTOR('',#428440,10.); #132395=VECTOR('',#428441,10.); #132396=VECTOR('',#428444,10.); #132397=VECTOR('',#428445,10.); #132398=VECTOR('',#428446,10.); #132399=VECTOR('',#428449,10.); #132400=VECTOR('',#428450,10.); #132401=VECTOR('',#428451,10.); #132402=VECTOR('',#428454,10.); #132403=VECTOR('',#428455,10.); #132404=VECTOR('',#428456,10.); #132405=VECTOR('',#428459,10.); #132406=VECTOR('',#428460,10.); #132407=VECTOR('',#428461,10.); #132408=VECTOR('',#428468,10.); #132409=VECTOR('',#428471,10.); #132410=VECTOR('',#428472,10.); #132411=VECTOR('',#428473,10.); #132412=VECTOR('',#428476,10.); #132413=VECTOR('',#428477,10.); #132414=VECTOR('',#428478,10.); #132415=VECTOR('',#428481,10.); #132416=VECTOR('',#428482,10.); #132417=VECTOR('',#428483,10.); #132418=VECTOR('',#428486,10.); #132419=VECTOR('',#428487,10.); #132420=VECTOR('',#428488,10.); #132421=VECTOR('',#428495,10.); #132422=VECTOR('',#428498,10.); #132423=VECTOR('',#428499,10.); #132424=VECTOR('',#428500,10.); #132425=VECTOR('',#428503,10.); #132426=VECTOR('',#428504,10.); #132427=VECTOR('',#428505,10.); #132428=VECTOR('',#428508,10.); #132429=VECTOR('',#428509,10.); #132430=VECTOR('',#428510,10.); #132431=VECTOR('',#428513,10.); #132432=VECTOR('',#428514,10.); #132433=VECTOR('',#428515,10.); #132434=VECTOR('',#428518,10.); #132435=VECTOR('',#428519,10.); #132436=VECTOR('',#428520,10.); #132437=VECTOR('',#428523,10.); #132438=VECTOR('',#428524,10.); #132439=VECTOR('',#428525,10.); #132440=VECTOR('',#428528,10.); #132441=VECTOR('',#428529,10.); #132442=VECTOR('',#428530,10.); #132443=VECTOR('',#428533,10.); #132444=VECTOR('',#428534,10.); #132445=VECTOR('',#428535,10.); #132446=VECTOR('',#428538,10.); #132447=VECTOR('',#428539,10.); #132448=VECTOR('',#428540,10.); #132449=VECTOR('',#428543,10.); #132450=VECTOR('',#428544,10.); #132451=VECTOR('',#428545,10.); #132452=VECTOR('',#428548,10.); #132453=VECTOR('',#428549,10.); #132454=VECTOR('',#428550,10.); #132455=VECTOR('',#428553,10.); #132456=VECTOR('',#428554,10.); #132457=VECTOR('',#428555,10.); #132458=VECTOR('',#428558,10.); #132459=VECTOR('',#428559,10.); #132460=VECTOR('',#428560,10.); #132461=VECTOR('',#428563,10.); #132462=VECTOR('',#428564,10.); #132463=VECTOR('',#428565,10.); #132464=VECTOR('',#428568,10.); #132465=VECTOR('',#428569,10.); #132466=VECTOR('',#428570,10.); #132467=VECTOR('',#428573,10.); #132468=VECTOR('',#428574,10.); #132469=VECTOR('',#428575,10.); #132470=VECTOR('',#428590,0.139999999999998); #132471=VECTOR('',#428597,0.139999999999998); #132472=VECTOR('',#428602,10.); #132473=VECTOR('',#428603,10.); #132474=VECTOR('',#428604,10.); #132475=VECTOR('',#428605,10.); #132476=VECTOR('',#428612,10.); #132477=VECTOR('',#428615,10.); #132478=VECTOR('',#428616,10.); #132479=VECTOR('',#428617,10.); #132480=VECTOR('',#428624,10.); #132481=VECTOR('',#428631,10.); #132482=VECTOR('',#428634,10.); #132483=VECTOR('',#428635,10.); #132484=VECTOR('',#428636,10.); #132485=VECTOR('',#428651,0.140000000000002); #132486=VECTOR('',#428656,10.); #132487=VECTOR('',#428657,10.); #132488=VECTOR('',#428658,10.); #132489=VECTOR('',#428659,10.); #132490=VECTOR('',#428662,10.); #132491=VECTOR('',#428663,10.); #132492=VECTOR('',#428664,10.); #132493=VECTOR('',#428667,10.); #132494=VECTOR('',#428668,10.); #132495=VECTOR('',#428669,10.); #132496=VECTOR('',#428672,10.); #132497=VECTOR('',#428673,10.); #132498=VECTOR('',#428682,0.139999999999998); #132499=VECTOR('',#428689,0.139999999999998); #132500=VECTOR('',#428694,10.); #132501=VECTOR('',#428695,10.); #132502=VECTOR('',#428696,10.); #132503=VECTOR('',#428697,10.); #132504=VECTOR('',#428704,10.); #132505=VECTOR('',#428707,10.); #132506=VECTOR('',#428708,10.); #132507=VECTOR('',#428709,10.); #132508=VECTOR('',#428716,10.); #132509=VECTOR('',#428719,10.); #132510=VECTOR('',#428720,10.); #132511=VECTOR('',#428721,10.); #132512=VECTOR('',#428728,10.); #132513=VECTOR('',#428731,10.); #132514=VECTOR('',#428732,10.); #132515=VECTOR('',#428733,10.); #132516=VECTOR('',#428740,10.); #132517=VECTOR('',#428743,10.); #132518=VECTOR('',#428744,10.); #132519=VECTOR('',#428745,10.); #132520=VECTOR('',#428752,10.); #132521=VECTOR('',#428755,10.); #132522=VECTOR('',#428756,10.); #132523=VECTOR('',#428757,10.); #132524=VECTOR('',#428764,10.); #132525=VECTOR('',#428767,10.); #132526=VECTOR('',#428768,10.); #132527=VECTOR('',#428769,10.); #132528=VECTOR('',#428776,10.); #132529=VECTOR('',#428783,10.); #132530=VECTOR('',#428786,10.); #132531=VECTOR('',#428787,10.); #132532=VECTOR('',#428788,10.); #132533=VECTOR('',#428791,10.); #132534=VECTOR('',#428792,10.); #132535=VECTOR('',#428793,10.); #132536=VECTOR('',#428796,10.); #132537=VECTOR('',#428797,10.); #132538=VECTOR('',#428798,10.); #132539=VECTOR('',#428801,10.); #132540=VECTOR('',#428802,10.); #132541=VECTOR('',#428803,10.); #132542=VECTOR('',#428806,10.); #132543=VECTOR('',#428807,10.); #132544=VECTOR('',#428808,10.); #132545=VECTOR('',#428811,10.); #132546=VECTOR('',#428812,10.); #132547=VECTOR('',#428813,10.); #132548=VECTOR('',#428820,10.); #132549=VECTOR('',#428835,0.139999999999998); #132550=VECTOR('',#428842,0.139999999999998); #132551=VECTOR('',#428847,10.); #132552=VECTOR('',#428848,10.); #132553=VECTOR('',#428849,10.); #132554=VECTOR('',#428850,10.); #132555=VECTOR('',#428857,10.); #132556=VECTOR('',#428860,10.); #132557=VECTOR('',#428861,10.); #132558=VECTOR('',#428862,10.); #132559=VECTOR('',#428869,10.); #132560=VECTOR('',#428876,10.); #132561=VECTOR('',#428879,10.); #132562=VECTOR('',#428880,10.); #132563=VECTOR('',#428881,10.); #132564=VECTOR('',#428884,10.); #132565=VECTOR('',#428885,10.); #132566=VECTOR('',#428886,10.); #132567=VECTOR('',#428901,0.139999999999998); #132568=VECTOR('',#428906,10.); #132569=VECTOR('',#428907,10.); #132570=VECTOR('',#428908,10.); #132571=VECTOR('',#428909,10.); #132572=VECTOR('',#428912,10.); #132573=VECTOR('',#428913,10.); #132574=VECTOR('',#428914,10.); #132575=VECTOR('',#428917,10.); #132576=VECTOR('',#428918,10.); #132577=VECTOR('',#428919,10.); #132578=VECTOR('',#428922,10.); #132579=VECTOR('',#428923,10.); #132580=VECTOR('',#428932,0.565000000000002); #132581=VECTOR('',#428939,0.140000000000002); #132582=VECTOR('',#428946,0.514999999999999); #132583=VECTOR('',#428953,0.465); #132584=VECTOR('',#428958,10.); #132585=VECTOR('',#428959,10.); #132586=VECTOR('',#428960,10.); #132587=VECTOR('',#428961,10.); #132588=VECTOR('',#428964,10.); #132589=VECTOR('',#428965,10.); #132590=VECTOR('',#428966,10.); #132591=VECTOR('',#428969,10.); #132592=VECTOR('',#428970,10.); #132593=VECTOR('',#428971,10.); #132594=VECTOR('',#428974,10.); #132595=VECTOR('',#428975,10.); #132596=VECTOR('',#428976,10.); #132597=VECTOR('',#428979,10.); #132598=VECTOR('',#428980,10.); #132599=VECTOR('',#428981,10.); #132600=VECTOR('',#428984,10.); #132601=VECTOR('',#428985,10.); #132602=VECTOR('',#428986,10.); #132603=VECTOR('',#428989,10.); #132604=VECTOR('',#428990,10.); #132605=VECTOR('',#428991,10.); #132606=VECTOR('',#428994,10.); #132607=VECTOR('',#428995,10.); #132608=VECTOR('',#428996,10.); #132609=VECTOR('',#428999,10.); #132610=VECTOR('',#429000,10.); #132611=VECTOR('',#429001,10.); #132612=VECTOR('',#429004,10.); #132613=VECTOR('',#429005,10.); #132614=VECTOR('',#429006,10.); #132615=VECTOR('',#429009,10.); #132616=VECTOR('',#429010,10.); #132617=VECTOR('',#429011,10.); #132618=VECTOR('',#429014,10.); #132619=VECTOR('',#429015,10.); #132620=VECTOR('',#429016,10.); #132621=VECTOR('',#429019,10.); #132622=VECTOR('',#429020,10.); #132623=VECTOR('',#429021,10.); #132624=VECTOR('',#429024,10.); #132625=VECTOR('',#429025,10.); #132626=VECTOR('',#429026,10.); #132627=VECTOR('',#429029,10.); #132628=VECTOR('',#429030,10.); #132629=VECTOR('',#429031,10.); #132630=VECTOR('',#429034,10.); #132631=VECTOR('',#429035,10.); #132632=VECTOR('',#429038,10.); #132633=VECTOR('',#429039,10.); #132634=VECTOR('',#429040,10.); #132635=VECTOR('',#429041,10.); #132636=VECTOR('',#429044,10.); #132637=VECTOR('',#429045,10.); #132638=VECTOR('',#429046,10.); #132639=VECTOR('',#429049,10.); #132640=VECTOR('',#429050,10.); #132641=VECTOR('',#429051,10.); #132642=VECTOR('',#429054,10.); #132643=VECTOR('',#429055,10.); #132644=VECTOR('',#429056,10.); #132645=VECTOR('',#429059,10.); #132646=VECTOR('',#429060,10.); #132647=VECTOR('',#429061,10.); #132648=VECTOR('',#429064,10.); #132649=VECTOR('',#429065,10.); #132650=VECTOR('',#429066,10.); #132651=VECTOR('',#429069,10.); #132652=VECTOR('',#429070,10.); #132653=VECTOR('',#429071,10.); #132654=VECTOR('',#429074,10.); #132655=VECTOR('',#429075,10.); #132656=VECTOR('',#429076,10.); #132657=VECTOR('',#429079,10.); #132658=VECTOR('',#429080,10.); #132659=VECTOR('',#429081,10.); #132660=VECTOR('',#429084,10.); #132661=VECTOR('',#429085,10.); #132662=VECTOR('',#429086,10.); #132663=VECTOR('',#429089,10.); #132664=VECTOR('',#429090,10.); #132665=VECTOR('',#429091,10.); #132666=VECTOR('',#429094,10.); #132667=VECTOR('',#429095,10.); #132668=VECTOR('',#429096,10.); #132669=VECTOR('',#429099,10.); #132670=VECTOR('',#429100,10.); #132671=VECTOR('',#429101,10.); #132672=VECTOR('',#429104,10.); #132673=VECTOR('',#429105,10.); #132674=VECTOR('',#429106,10.); #132675=VECTOR('',#429109,10.); #132676=VECTOR('',#429110,10.); #132677=VECTOR('',#429111,10.); #132678=VECTOR('',#429114,10.); #132679=VECTOR('',#429115,10.); #132680=VECTOR('',#429116,10.); #132681=VECTOR('',#429119,10.); #132682=VECTOR('',#429120,10.); #132683=VECTOR('',#429121,10.); #132684=VECTOR('',#429124,10.); #132685=VECTOR('',#429125,10.); #132686=VECTOR('',#429126,10.); #132687=VECTOR('',#429129,10.); #132688=VECTOR('',#429130,10.); #132689=VECTOR('',#429131,10.); #132690=VECTOR('',#429134,10.); #132691=VECTOR('',#429135,10.); #132692=VECTOR('',#429136,10.); #132693=VECTOR('',#429139,10.); #132694=VECTOR('',#429140,10.); #132695=VECTOR('',#429141,10.); #132696=VECTOR('',#429144,10.); #132697=VECTOR('',#429145,10.); #132698=VECTOR('',#429146,10.); #132699=VECTOR('',#429149,10.); #132700=VECTOR('',#429150,10.); #132701=VECTOR('',#429151,10.); #132702=VECTOR('',#429154,10.); #132703=VECTOR('',#429155,10.); #132704=VECTOR('',#429156,10.); #132705=VECTOR('',#429159,10.); #132706=VECTOR('',#429160,10.); #132707=VECTOR('',#429161,10.); #132708=VECTOR('',#429164,10.); #132709=VECTOR('',#429165,10.); #132710=VECTOR('',#429166,10.); #132711=VECTOR('',#429169,10.); #132712=VECTOR('',#429170,10.); #132713=VECTOR('',#429171,10.); #132714=VECTOR('',#429174,10.); #132715=VECTOR('',#429175,10.); #132716=VECTOR('',#429176,10.); #132717=VECTOR('',#429179,10.); #132718=VECTOR('',#429180,10.); #132719=VECTOR('',#429181,10.); #132720=VECTOR('',#429184,10.); #132721=VECTOR('',#429185,10.); #132722=VECTOR('',#429186,10.); #132723=VECTOR('',#429189,10.); #132724=VECTOR('',#429190,10.); #132725=VECTOR('',#429191,10.); #132726=VECTOR('',#429194,10.); #132727=VECTOR('',#429195,10.); #132728=VECTOR('',#429196,10.); #132729=VECTOR('',#429199,10.); #132730=VECTOR('',#429200,10.); #132731=VECTOR('',#429201,10.); #132732=VECTOR('',#429204,10.); #132733=VECTOR('',#429205,10.); #132734=VECTOR('',#429206,10.); #132735=VECTOR('',#429209,10.); #132736=VECTOR('',#429210,10.); #132737=VECTOR('',#429211,10.); #132738=VECTOR('',#429214,10.); #132739=VECTOR('',#429215,10.); #132740=VECTOR('',#429216,10.); #132741=VECTOR('',#429219,10.); #132742=VECTOR('',#429220,10.); #132743=VECTOR('',#429221,10.); #132744=VECTOR('',#429224,10.); #132745=VECTOR('',#429225,10.); #132746=VECTOR('',#429226,10.); #132747=VECTOR('',#429229,10.); #132748=VECTOR('',#429230,10.); #132749=VECTOR('',#429231,10.); #132750=VECTOR('',#429234,10.); #132751=VECTOR('',#429235,10.); #132752=VECTOR('',#429236,10.); #132753=VECTOR('',#429239,10.); #132754=VECTOR('',#429240,10.); #132755=VECTOR('',#429241,10.); #132756=VECTOR('',#429244,10.); #132757=VECTOR('',#429245,10.); #132758=VECTOR('',#429246,10.); #132759=VECTOR('',#429249,10.); #132760=VECTOR('',#429250,10.); #132761=VECTOR('',#429251,10.); #132762=VECTOR('',#429254,10.); #132763=VECTOR('',#429255,10.); #132764=VECTOR('',#429256,10.); #132765=VECTOR('',#429259,10.); #132766=VECTOR('',#429260,10.); #132767=VECTOR('',#429261,10.); #132768=VECTOR('',#429264,10.); #132769=VECTOR('',#429265,10.); #132770=VECTOR('',#429266,10.); #132771=VECTOR('',#429269,10.); #132772=VECTOR('',#429270,10.); #132773=VECTOR('',#429271,10.); #132774=VECTOR('',#429274,10.); #132775=VECTOR('',#429275,10.); #132776=VECTOR('',#429276,10.); #132777=VECTOR('',#429279,10.); #132778=VECTOR('',#429280,10.); #132779=VECTOR('',#429281,10.); #132780=VECTOR('',#429284,10.); #132781=VECTOR('',#429285,10.); #132782=VECTOR('',#429286,10.); #132783=VECTOR('',#429289,10.); #132784=VECTOR('',#429290,10.); #132785=VECTOR('',#429291,10.); #132786=VECTOR('',#429294,10.); #132787=VECTOR('',#429295,10.); #132788=VECTOR('',#429296,10.); #132789=VECTOR('',#429299,10.); #132790=VECTOR('',#429300,10.); #132791=VECTOR('',#429301,10.); #132792=VECTOR('',#429304,10.); #132793=VECTOR('',#429305,10.); #132794=VECTOR('',#429306,10.); #132795=VECTOR('',#429313,10.); #132796=VECTOR('',#429316,10.); #132797=VECTOR('',#429317,10.); #132798=VECTOR('',#429318,10.); #132799=VECTOR('',#429321,10.); #132800=VECTOR('',#429322,10.); #132801=VECTOR('',#429323,10.); #132802=VECTOR('',#429326,10.); #132803=VECTOR('',#429327,10.); #132804=VECTOR('',#429328,10.); #132805=VECTOR('',#429335,10.); #132806=VECTOR('',#429342,10.); #132807=VECTOR('',#429345,10.); #132808=VECTOR('',#429346,10.); #132809=VECTOR('',#429347,10.); #132810=VECTOR('',#429354,10.); #132811=VECTOR('',#429357,10.); #132812=VECTOR('',#429358,10.); #132813=VECTOR('',#429359,10.); #132814=VECTOR('',#429366,10.); #132815=VECTOR('',#429369,10.); #132816=VECTOR('',#429370,10.); #132817=VECTOR('',#429371,10.); #132818=VECTOR('',#429378,10.); #132819=VECTOR('',#429381,10.); #132820=VECTOR('',#429382,10.); #132821=VECTOR('',#429383,10.); #132822=VECTOR('',#429386,10.); #132823=VECTOR('',#429387,10.); #132824=VECTOR('',#429388,10.); #132825=VECTOR('',#429391,10.); #132826=VECTOR('',#429392,10.); #132827=VECTOR('',#429393,10.); #132828=VECTOR('',#429396,10.); #132829=VECTOR('',#429397,10.); #132830=VECTOR('',#429398,10.); #132831=VECTOR('',#429401,10.); #132832=VECTOR('',#429402,10.); #132833=VECTOR('',#429403,10.); #132834=VECTOR('',#429406,10.); #132835=VECTOR('',#429407,10.); #132836=VECTOR('',#429408,10.); #132837=VECTOR('',#429411,10.); #132838=VECTOR('',#429412,10.); #132839=VECTOR('',#429413,10.); #132840=VECTOR('',#429416,10.); #132841=VECTOR('',#429417,10.); #132842=VECTOR('',#429426,0.140000000000002); #132843=VECTOR('',#429431,10.); #132844=VECTOR('',#429432,10.); #132845=VECTOR('',#429433,10.); #132846=VECTOR('',#429434,10.); #132847=VECTOR('',#429437,10.); #132848=VECTOR('',#429438,10.); #132849=VECTOR('',#429439,10.); #132850=VECTOR('',#429446,10.); #132851=VECTOR('',#429449,10.); #132852=VECTOR('',#429450,10.); #132853=VECTOR('',#429451,10.); #132854=VECTOR('',#429454,10.); #132855=VECTOR('',#429455,10.); #132856=VECTOR('',#429456,10.); #132857=VECTOR('',#429459,10.); #132858=VECTOR('',#429460,10.); #132859=VECTOR('',#429461,10.); #132860=VECTOR('',#429464,10.); #132861=VECTOR('',#429465,10.); #132862=VECTOR('',#429466,10.); #132863=VECTOR('',#429469,10.); #132864=VECTOR('',#429470,10.); #132865=VECTOR('',#429471,10.); #132866=VECTOR('',#429474,10.); #132867=VECTOR('',#429475,10.); #132868=VECTOR('',#429476,10.); #132869=VECTOR('',#429479,10.); #132870=VECTOR('',#429480,10.); #132871=VECTOR('',#429481,10.); #132872=VECTOR('',#429484,10.); #132873=VECTOR('',#429485,10.); #132874=VECTOR('',#429486,10.); #132875=VECTOR('',#429489,10.); #132876=VECTOR('',#429490,10.); #132877=VECTOR('',#429491,10.); #132878=VECTOR('',#429494,10.); #132879=VECTOR('',#429495,10.); #132880=VECTOR('',#429496,10.); #132881=VECTOR('',#429499,10.); #132882=VECTOR('',#429500,10.); #132883=VECTOR('',#429501,10.); #132884=VECTOR('',#429504,10.); #132885=VECTOR('',#429505,10.); #132886=VECTOR('',#429506,10.); #132887=VECTOR('',#429509,10.); #132888=VECTOR('',#429510,10.); #132889=VECTOR('',#429511,10.); #132890=VECTOR('',#429514,10.); #132891=VECTOR('',#429515,10.); #132892=VECTOR('',#429516,10.); #132893=VECTOR('',#429519,10.); #132894=VECTOR('',#429520,10.); #132895=VECTOR('',#429521,10.); #132896=VECTOR('',#429524,10.); #132897=VECTOR('',#429525,10.); #132898=VECTOR('',#429526,10.); #132899=VECTOR('',#429529,10.); #132900=VECTOR('',#429530,10.); #132901=VECTOR('',#429531,10.); #132902=VECTOR('',#429534,10.); #132903=VECTOR('',#429535,10.); #132904=VECTOR('',#429536,10.); #132905=VECTOR('',#429539,10.); #132906=VECTOR('',#429540,10.); #132907=VECTOR('',#429541,10.); #132908=VECTOR('',#429544,10.); #132909=VECTOR('',#429545,10.); #132910=VECTOR('',#429546,10.); #132911=VECTOR('',#429553,10.); #132912=VECTOR('',#429556,10.); #132913=VECTOR('',#429557,10.); #132914=VECTOR('',#429558,10.); #132915=VECTOR('',#429561,10.); #132916=VECTOR('',#429562,10.); #132917=VECTOR('',#429563,10.); #132918=VECTOR('',#429566,10.); #132919=VECTOR('',#429567,10.); #132920=VECTOR('',#429568,10.); #132921=VECTOR('',#429575,10.); #132922=VECTOR('',#429578,10.); #132923=VECTOR('',#429579,10.); #132924=VECTOR('',#429580,10.); #132925=VECTOR('',#429583,10.); #132926=VECTOR('',#429584,10.); #132927=VECTOR('',#429585,10.); #132928=VECTOR('',#429592,10.); #132929=VECTOR('',#429595,10.); #132930=VECTOR('',#429596,10.); #132931=VECTOR('',#429597,10.); #132932=VECTOR('',#429604,10.); #132933=VECTOR('',#429611,10.); #132934=VECTOR('',#429614,10.); #132935=VECTOR('',#429615,10.); #132936=VECTOR('',#429616,10.); #132937=VECTOR('',#429619,10.); #132938=VECTOR('',#429620,10.); #132939=VECTOR('',#429621,10.); #132940=VECTOR('',#429628,10.); #132941=VECTOR('',#429631,10.); #132942=VECTOR('',#429632,10.); #132943=VECTOR('',#429633,10.); #132944=VECTOR('',#429636,10.); #132945=VECTOR('',#429637,10.); #132946=VECTOR('',#429638,10.); #132947=VECTOR('',#429645,10.); #132948=VECTOR('',#429648,10.); #132949=VECTOR('',#429649,10.); #132950=VECTOR('',#429650,10.); #132951=VECTOR('',#429657,10.); #132952=VECTOR('',#429660,10.); #132953=VECTOR('',#429661,10.); #132954=VECTOR('',#429662,10.); #132955=VECTOR('',#429665,10.); #132956=VECTOR('',#429666,10.); #132957=VECTOR('',#429667,10.); #132958=VECTOR('',#429670,10.); #132959=VECTOR('',#429671,10.); #132960=VECTOR('',#429672,10.); #132961=VECTOR('',#429675,10.); #132962=VECTOR('',#429676,10.); #132963=VECTOR('',#429677,10.); #132964=VECTOR('',#429680,10.); #132965=VECTOR('',#429681,10.); #132966=VECTOR('',#429682,10.); #132967=VECTOR('',#429697,0.14); #132968=VECTOR('',#429704,0.14); #132969=VECTOR('',#429711,0.14); #132970=VECTOR('',#429718,0.14); #132971=VECTOR('',#429725,0.14); #132972=VECTOR('',#429732,0.14); #132973=VECTOR('',#429739,0.14); #132974=VECTOR('',#429746,0.14); #132975=VECTOR('',#429753,0.465); #132976=VECTOR('',#429760,0.465); #132977=VECTOR('',#429767,0.514999999999999); #132978=VECTOR('',#429774,0.14); #132979=VECTOR('',#429779,10.); #132980=VECTOR('',#429780,10.); #132981=VECTOR('',#429781,10.); #132982=VECTOR('',#429782,10.); #132983=VECTOR('',#429785,10.); #132984=VECTOR('',#429786,10.); #132985=VECTOR('',#429787,10.); #132986=VECTOR('',#429790,10.); #132987=VECTOR('',#429791,10.); #132988=VECTOR('',#429792,10.); #132989=VECTOR('',#429795,10.); #132990=VECTOR('',#429796,10.); #132991=VECTOR('',#429797,10.); #132992=VECTOR('',#429800,10.); #132993=VECTOR('',#429801,10.); #132994=VECTOR('',#429802,10.); #132995=VECTOR('',#429805,10.); #132996=VECTOR('',#429806,10.); #132997=VECTOR('',#429807,10.); #132998=VECTOR('',#429810,10.); #132999=VECTOR('',#429811,10.); #133000=VECTOR('',#429812,10.); #133001=VECTOR('',#429815,10.); #133002=VECTOR('',#429816,10.); #133003=VECTOR('',#429817,10.); #133004=VECTOR('',#429820,10.); #133005=VECTOR('',#429821,10.); #133006=VECTOR('',#429822,10.); #133007=VECTOR('',#429825,10.); #133008=VECTOR('',#429826,10.); #133009=VECTOR('',#429827,10.); #133010=VECTOR('',#429830,10.); #133011=VECTOR('',#429831,10.); #133012=VECTOR('',#429832,10.); #133013=VECTOR('',#429835,10.); #133014=VECTOR('',#429836,10.); #133015=VECTOR('',#429837,10.); #133016=VECTOR('',#429840,10.); #133017=VECTOR('',#429841,10.); #133018=VECTOR('',#429842,10.); #133019=VECTOR('',#429845,10.); #133020=VECTOR('',#429846,10.); #133021=VECTOR('',#429847,10.); #133022=VECTOR('',#429850,10.); #133023=VECTOR('',#429851,10.); #133024=VECTOR('',#429852,10.); #133025=VECTOR('',#429855,10.); #133026=VECTOR('',#429856,10.); #133027=VECTOR('',#429857,10.); #133028=VECTOR('',#429860,10.); #133029=VECTOR('',#429861,10.); #133030=VECTOR('',#429862,10.); #133031=VECTOR('',#429865,10.); #133032=VECTOR('',#429866,10.); #133033=VECTOR('',#429867,10.); #133034=VECTOR('',#429870,10.); #133035=VECTOR('',#429871,10.); #133036=VECTOR('',#429872,10.); #133037=VECTOR('',#429875,10.); #133038=VECTOR('',#429876,10.); #133039=VECTOR('',#429877,10.); #133040=VECTOR('',#429880,10.); #133041=VECTOR('',#429881,10.); #133042=VECTOR('',#429882,10.); #133043=VECTOR('',#429885,10.); #133044=VECTOR('',#429886,10.); #133045=VECTOR('',#429887,10.); #133046=VECTOR('',#429890,10.); #133047=VECTOR('',#429891,10.); #133048=VECTOR('',#429892,10.); #133049=VECTOR('',#429895,10.); #133050=VECTOR('',#429896,10.); #133051=VECTOR('',#429897,10.); #133052=VECTOR('',#429900,10.); #133053=VECTOR('',#429901,10.); #133054=VECTOR('',#429902,10.); #133055=VECTOR('',#429905,10.); #133056=VECTOR('',#429906,10.); #133057=VECTOR('',#429907,10.); #133058=VECTOR('',#429910,10.); #133059=VECTOR('',#429911,10.); #133060=VECTOR('',#429912,10.); #133061=VECTOR('',#429915,10.); #133062=VECTOR('',#429916,10.); #133063=VECTOR('',#429917,10.); #133064=VECTOR('',#429920,10.); #133065=VECTOR('',#429921,10.); #133066=VECTOR('',#429922,10.); #133067=VECTOR('',#429925,10.); #133068=VECTOR('',#429926,10.); #133069=VECTOR('',#429927,10.); #133070=VECTOR('',#429930,10.); #133071=VECTOR('',#429931,10.); #133072=VECTOR('',#429932,10.); #133073=VECTOR('',#429935,10.); #133074=VECTOR('',#429936,10.); #133075=VECTOR('',#429937,10.); #133076=VECTOR('',#429940,10.); #133077=VECTOR('',#429941,10.); #133078=VECTOR('',#429942,10.); #133079=VECTOR('',#429945,10.); #133080=VECTOR('',#429946,10.); #133081=VECTOR('',#429947,10.); #133082=VECTOR('',#429950,10.); #133083=VECTOR('',#429951,10.); #133084=VECTOR('',#429952,10.); #133085=VECTOR('',#429955,10.); #133086=VECTOR('',#429956,10.); #133087=VECTOR('',#429957,10.); #133088=VECTOR('',#429960,10.); #133089=VECTOR('',#429961,10.); #133090=VECTOR('',#429962,10.); #133091=VECTOR('',#429965,10.); #133092=VECTOR('',#429966,10.); #133093=VECTOR('',#429967,10.); #133094=VECTOR('',#429970,10.); #133095=VECTOR('',#429971,10.); #133096=VECTOR('',#429972,10.); #133097=VECTOR('',#429975,10.); #133098=VECTOR('',#429976,10.); #133099=VECTOR('',#429977,10.); #133100=VECTOR('',#429980,10.); #133101=VECTOR('',#429981,10.); #133102=VECTOR('',#429982,10.); #133103=VECTOR('',#429985,10.); #133104=VECTOR('',#429986,10.); #133105=VECTOR('',#429987,10.); #133106=VECTOR('',#429990,10.); #133107=VECTOR('',#429991,10.); #133108=VECTOR('',#429992,10.); #133109=VECTOR('',#429995,10.); #133110=VECTOR('',#429996,10.); #133111=VECTOR('',#429997,10.); #133112=VECTOR('',#430000,10.); #133113=VECTOR('',#430001,10.); #133114=VECTOR('',#430002,10.); #133115=VECTOR('',#430005,10.); #133116=VECTOR('',#430006,10.); #133117=VECTOR('',#430007,10.); #133118=VECTOR('',#430010,10.); #133119=VECTOR('',#430011,10.); #133120=VECTOR('',#430012,10.); #133121=VECTOR('',#430015,10.); #133122=VECTOR('',#430016,10.); #133123=VECTOR('',#430017,10.); #133124=VECTOR('',#430020,10.); #133125=VECTOR('',#430021,10.); #133126=VECTOR('',#430022,10.); #133127=VECTOR('',#430025,10.); #133128=VECTOR('',#430026,10.); #133129=VECTOR('',#430027,10.); #133130=VECTOR('',#430030,10.); #133131=VECTOR('',#430031,10.); #133132=VECTOR('',#430032,10.); #133133=VECTOR('',#430035,10.); #133134=VECTOR('',#430036,10.); #133135=VECTOR('',#430037,10.); #133136=VECTOR('',#430040,10.); #133137=VECTOR('',#430041,10.); #133138=VECTOR('',#430042,10.); #133139=VECTOR('',#430045,10.); #133140=VECTOR('',#430046,10.); #133141=VECTOR('',#430047,10.); #133142=VECTOR('',#430050,10.); #133143=VECTOR('',#430051,10.); #133144=VECTOR('',#430052,10.); #133145=VECTOR('',#430055,10.); #133146=VECTOR('',#430056,10.); #133147=VECTOR('',#430057,10.); #133148=VECTOR('',#430060,10.); #133149=VECTOR('',#430061,10.); #133150=VECTOR('',#430062,10.); #133151=VECTOR('',#430065,10.); #133152=VECTOR('',#430066,10.); #133153=VECTOR('',#430067,10.); #133154=VECTOR('',#430070,10.); #133155=VECTOR('',#430071,10.); #133156=VECTOR('',#430072,10.); #133157=VECTOR('',#430075,10.); #133158=VECTOR('',#430076,10.); #133159=VECTOR('',#430077,10.); #133160=VECTOR('',#430080,10.); #133161=VECTOR('',#430081,10.); #133162=VECTOR('',#430082,10.); #133163=VECTOR('',#430085,10.); #133164=VECTOR('',#430086,10.); #133165=VECTOR('',#430087,10.); #133166=VECTOR('',#430090,10.); #133167=VECTOR('',#430091,10.); #133168=VECTOR('',#430092,10.); #133169=VECTOR('',#430095,10.); #133170=VECTOR('',#430096,10.); #133171=VECTOR('',#430097,10.); #133172=VECTOR('',#430100,10.); #133173=VECTOR('',#430101,10.); #133174=VECTOR('',#430102,10.); #133175=VECTOR('',#430105,10.); #133176=VECTOR('',#430106,10.); #133177=VECTOR('',#430107,10.); #133178=VECTOR('',#430110,10.); #133179=VECTOR('',#430111,10.); #133180=VECTOR('',#430112,10.); #133181=VECTOR('',#430115,10.); #133182=VECTOR('',#430116,10.); #133183=VECTOR('',#430117,10.); #133184=VECTOR('',#430120,10.); #133185=VECTOR('',#430121,10.); #133186=VECTOR('',#430122,10.); #133187=VECTOR('',#430125,10.); #133188=VECTOR('',#430126,10.); #133189=VECTOR('',#430127,10.); #133190=VECTOR('',#430130,10.); #133191=VECTOR('',#430131,10.); #133192=VECTOR('',#430132,10.); #133193=VECTOR('',#430135,10.); #133194=VECTOR('',#430136,10.); #133195=VECTOR('',#430137,10.); #133196=VECTOR('',#430140,10.); #133197=VECTOR('',#430141,10.); #133198=VECTOR('',#430142,10.); #133199=VECTOR('',#430145,10.); #133200=VECTOR('',#430146,10.); #133201=VECTOR('',#430147,10.); #133202=VECTOR('',#430150,10.); #133203=VECTOR('',#430151,10.); #133204=VECTOR('',#430152,10.); #133205=VECTOR('',#430155,10.); #133206=VECTOR('',#430156,10.); #133207=VECTOR('',#430157,10.); #133208=VECTOR('',#430160,10.); #133209=VECTOR('',#430161,10.); #133210=VECTOR('',#430162,10.); #133211=VECTOR('',#430165,10.); #133212=VECTOR('',#430166,10.); #133213=VECTOR('',#430167,10.); #133214=VECTOR('',#430170,10.); #133215=VECTOR('',#430171,10.); #133216=VECTOR('',#430172,10.); #133217=VECTOR('',#430175,10.); #133218=VECTOR('',#430176,10.); #133219=VECTOR('',#430177,10.); #133220=VECTOR('',#430180,10.); #133221=VECTOR('',#430181,10.); #133222=VECTOR('',#430182,10.); #133223=VECTOR('',#430185,10.); #133224=VECTOR('',#430186,10.); #133225=VECTOR('',#430187,10.); #133226=VECTOR('',#430190,10.); #133227=VECTOR('',#430191,10.); #133228=VECTOR('',#430192,10.); #133229=VECTOR('',#430195,10.); #133230=VECTOR('',#430196,10.); #133231=VECTOR('',#430197,10.); #133232=VECTOR('',#430200,10.); #133233=VECTOR('',#430201,10.); #133234=VECTOR('',#430202,10.); #133235=VECTOR('',#430205,10.); #133236=VECTOR('',#430206,10.); #133237=VECTOR('',#430207,10.); #133238=VECTOR('',#430210,10.); #133239=VECTOR('',#430211,10.); #133240=VECTOR('',#430212,10.); #133241=VECTOR('',#430215,10.); #133242=VECTOR('',#430216,10.); #133243=VECTOR('',#430217,10.); #133244=VECTOR('',#430220,10.); #133245=VECTOR('',#430221,10.); #133246=VECTOR('',#430222,10.); #133247=VECTOR('',#430225,10.); #133248=VECTOR('',#430226,10.); #133249=VECTOR('',#430227,10.); #133250=VECTOR('',#430230,10.); #133251=VECTOR('',#430231,10.); #133252=VECTOR('',#430232,10.); #133253=VECTOR('',#430235,10.); #133254=VECTOR('',#430236,10.); #133255=VECTOR('',#430237,10.); #133256=VECTOR('',#430240,10.); #133257=VECTOR('',#430241,10.); #133258=VECTOR('',#430242,10.); #133259=VECTOR('',#430245,10.); #133260=VECTOR('',#430246,10.); #133261=VECTOR('',#430247,10.); #133262=VECTOR('',#430250,10.); #133263=VECTOR('',#430251,10.); #133264=VECTOR('',#430252,10.); #133265=VECTOR('',#430255,10.); #133266=VECTOR('',#430256,10.); #133267=VECTOR('',#430257,10.); #133268=VECTOR('',#430260,10.); #133269=VECTOR('',#430261,10.); #133270=VECTOR('',#430268,10.); #133271=VECTOR('',#430269,10.); #133272=VECTOR('',#430270,10.); #133273=VECTOR('',#430271,10.); #133274=VECTOR('',#430274,10.); #133275=VECTOR('',#430275,10.); #133276=VECTOR('',#430276,10.); #133277=VECTOR('',#430279,10.); #133278=VECTOR('',#430280,10.); #133279=VECTOR('',#430281,10.); #133280=VECTOR('',#430284,10.); #133281=VECTOR('',#430285,10.); #133282=VECTOR('',#430286,10.); #133283=VECTOR('',#430289,10.); #133284=VECTOR('',#430290,10.); #133285=VECTOR('',#430291,10.); #133286=VECTOR('',#430294,10.); #133287=VECTOR('',#430295,10.); #133288=VECTOR('',#430296,10.); #133289=VECTOR('',#430299,10.); #133290=VECTOR('',#430300,10.); #133291=VECTOR('',#430301,10.); #133292=VECTOR('',#430304,10.); #133293=VECTOR('',#430305,10.); #133294=VECTOR('',#430306,10.); #133295=VECTOR('',#430309,10.); #133296=VECTOR('',#430310,10.); #133297=VECTOR('',#430311,10.); #133298=VECTOR('',#430314,10.); #133299=VECTOR('',#430315,10.); #133300=VECTOR('',#430316,10.); #133301=VECTOR('',#430319,10.); #133302=VECTOR('',#430320,10.); #133303=VECTOR('',#430321,10.); #133304=VECTOR('',#430324,10.); #133305=VECTOR('',#430325,10.); #133306=VECTOR('',#430326,10.); #133307=VECTOR('',#430329,10.); #133308=VECTOR('',#430330,10.); #133309=VECTOR('',#430331,10.); #133310=VECTOR('',#430334,10.); #133311=VECTOR('',#430335,10.); #133312=VECTOR('',#430336,10.); #133313=VECTOR('',#430339,10.); #133314=VECTOR('',#430340,10.); #133315=VECTOR('',#430341,10.); #133316=VECTOR('',#430344,10.); #133317=VECTOR('',#430345,10.); #133318=VECTOR('',#430346,10.); #133319=VECTOR('',#430349,10.); #133320=VECTOR('',#430350,10.); #133321=VECTOR('',#430351,10.); #133322=VECTOR('',#430354,10.); #133323=VECTOR('',#430355,10.); #133324=VECTOR('',#430356,10.); #133325=VECTOR('',#430359,10.); #133326=VECTOR('',#430360,10.); #133327=VECTOR('',#430361,10.); #133328=VECTOR('',#430364,10.); #133329=VECTOR('',#430365,10.); #133330=VECTOR('',#430366,10.); #133331=VECTOR('',#430369,10.); #133332=VECTOR('',#430370,10.); #133333=VECTOR('',#430377,10.); #133334=VECTOR('',#430378,10.); #133335=VECTOR('',#430379,10.); #133336=VECTOR('',#430380,10.); #133337=VECTOR('',#430383,10.); #133338=VECTOR('',#430384,10.); #133339=VECTOR('',#430385,10.); #133340=VECTOR('',#430388,10.); #133341=VECTOR('',#430389,10.); #133342=VECTOR('',#430390,10.); #133343=VECTOR('',#430393,10.); #133344=VECTOR('',#430394,10.); #133345=VECTOR('',#430395,10.); #133346=VECTOR('',#430398,10.); #133347=VECTOR('',#430399,10.); #133348=VECTOR('',#430400,10.); #133349=VECTOR('',#430403,10.); #133350=VECTOR('',#430404,10.); #133351=VECTOR('',#430405,10.); #133352=VECTOR('',#430408,10.); #133353=VECTOR('',#430409,10.); #133354=VECTOR('',#430410,10.); #133355=VECTOR('',#430413,10.); #133356=VECTOR('',#430414,10.); #133357=VECTOR('',#430415,10.); #133358=VECTOR('',#430418,10.); #133359=VECTOR('',#430419,10.); #133360=VECTOR('',#430420,10.); #133361=VECTOR('',#430423,10.); #133362=VECTOR('',#430424,10.); #133363=VECTOR('',#430425,10.); #133364=VECTOR('',#430428,10.); #133365=VECTOR('',#430429,10.); #133366=VECTOR('',#430430,10.); #133367=VECTOR('',#430433,10.); #133368=VECTOR('',#430434,10.); #133369=VECTOR('',#430435,10.); #133370=VECTOR('',#430438,10.); #133371=VECTOR('',#430439,10.); #133372=VECTOR('',#430440,10.); #133373=VECTOR('',#430443,10.); #133374=VECTOR('',#430444,10.); #133375=VECTOR('',#430445,10.); #133376=VECTOR('',#430448,10.); #133377=VECTOR('',#430449,10.); #133378=VECTOR('',#430450,10.); #133379=VECTOR('',#430453,10.); #133380=VECTOR('',#430454,10.); #133381=VECTOR('',#430455,10.); #133382=VECTOR('',#430458,10.); #133383=VECTOR('',#430459,10.); #133384=VECTOR('',#430460,10.); #133385=VECTOR('',#430463,10.); #133386=VECTOR('',#430464,10.); #133387=VECTOR('',#430465,10.); #133388=VECTOR('',#430468,10.); #133389=VECTOR('',#430469,10.); #133390=VECTOR('',#430470,10.); #133391=VECTOR('',#430473,10.); #133392=VECTOR('',#430474,10.); #133393=VECTOR('',#430481,10.); #133394=VECTOR('',#430482,10.); #133395=VECTOR('',#430483,10.); #133396=VECTOR('',#430484,10.); #133397=VECTOR('',#430487,10.); #133398=VECTOR('',#430488,10.); #133399=VECTOR('',#430489,10.); #133400=VECTOR('',#430492,10.); #133401=VECTOR('',#430493,10.); #133402=VECTOR('',#430494,10.); #133403=VECTOR('',#430497,10.); #133404=VECTOR('',#430498,10.); #133405=VECTOR('',#430499,10.); #133406=VECTOR('',#430502,10.); #133407=VECTOR('',#430503,10.); #133408=VECTOR('',#430504,10.); #133409=VECTOR('',#430507,10.); #133410=VECTOR('',#430508,10.); #133411=VECTOR('',#430509,10.); #133412=VECTOR('',#430512,10.); #133413=VECTOR('',#430513,10.); #133414=VECTOR('',#430514,10.); #133415=VECTOR('',#430517,10.); #133416=VECTOR('',#430518,10.); #133417=VECTOR('',#430519,10.); #133418=VECTOR('',#430522,10.); #133419=VECTOR('',#430523,10.); #133420=VECTOR('',#430524,10.); #133421=VECTOR('',#430527,10.); #133422=VECTOR('',#430528,10.); #133423=VECTOR('',#430529,10.); #133424=VECTOR('',#430532,10.); #133425=VECTOR('',#430533,10.); #133426=VECTOR('',#430534,10.); #133427=VECTOR('',#430537,10.); #133428=VECTOR('',#430538,10.); #133429=VECTOR('',#430539,10.); #133430=VECTOR('',#430542,10.); #133431=VECTOR('',#430543,10.); #133432=VECTOR('',#430544,10.); #133433=VECTOR('',#430547,10.); #133434=VECTOR('',#430548,10.); #133435=VECTOR('',#430549,10.); #133436=VECTOR('',#430552,10.); #133437=VECTOR('',#430553,10.); #133438=VECTOR('',#430554,10.); #133439=VECTOR('',#430557,10.); #133440=VECTOR('',#430558,10.); #133441=VECTOR('',#430559,10.); #133442=VECTOR('',#430562,10.); #133443=VECTOR('',#430563,10.); #133444=VECTOR('',#430564,10.); #133445=VECTOR('',#430567,10.); #133446=VECTOR('',#430568,10.); #133447=VECTOR('',#430569,10.); #133448=VECTOR('',#430572,10.); #133449=VECTOR('',#430573,10.); #133450=VECTOR('',#430574,10.); #133451=VECTOR('',#430577,10.); #133452=VECTOR('',#430578,10.); #133453=VECTOR('',#430579,10.); #133454=VECTOR('',#430582,10.); #133455=VECTOR('',#430583,10.); #133456=VECTOR('',#430584,10.); #133457=VECTOR('',#430587,10.); #133458=VECTOR('',#430588,10.); #133459=VECTOR('',#430589,10.); #133460=VECTOR('',#430592,10.); #133461=VECTOR('',#430593,10.); #133462=VECTOR('',#430594,10.); #133463=VECTOR('',#430597,10.); #133464=VECTOR('',#430598,10.); #133465=VECTOR('',#430605,10.); #133466=VECTOR('',#430606,10.); #133467=VECTOR('',#430607,10.); #133468=VECTOR('',#430608,10.); #133469=VECTOR('',#430611,10.); #133470=VECTOR('',#430612,10.); #133471=VECTOR('',#430613,10.); #133472=VECTOR('',#430616,10.); #133473=VECTOR('',#430617,10.); #133474=VECTOR('',#430618,10.); #133475=VECTOR('',#430621,10.); #133476=VECTOR('',#430622,10.); #133477=VECTOR('',#430623,10.); #133478=VECTOR('',#430626,10.); #133479=VECTOR('',#430627,10.); #133480=VECTOR('',#430628,10.); #133481=VECTOR('',#430631,10.); #133482=VECTOR('',#430632,10.); #133483=VECTOR('',#430633,10.); #133484=VECTOR('',#430636,10.); #133485=VECTOR('',#430637,10.); #133486=VECTOR('',#430638,10.); #133487=VECTOR('',#430641,10.); #133488=VECTOR('',#430642,10.); #133489=VECTOR('',#430643,10.); #133490=VECTOR('',#430646,10.); #133491=VECTOR('',#430647,10.); #133492=VECTOR('',#430648,10.); #133493=VECTOR('',#430651,10.); #133494=VECTOR('',#430652,10.); #133495=VECTOR('',#430653,10.); #133496=VECTOR('',#430656,10.); #133497=VECTOR('',#430657,10.); #133498=VECTOR('',#430658,10.); #133499=VECTOR('',#430661,10.); #133500=VECTOR('',#430662,10.); #133501=VECTOR('',#430663,10.); #133502=VECTOR('',#430666,10.); #133503=VECTOR('',#430667,10.); #133504=VECTOR('',#430668,10.); #133505=VECTOR('',#430671,10.); #133506=VECTOR('',#430672,10.); #133507=VECTOR('',#430673,10.); #133508=VECTOR('',#430676,10.); #133509=VECTOR('',#430677,10.); #133510=VECTOR('',#430678,10.); #133511=VECTOR('',#430681,10.); #133512=VECTOR('',#430682,10.); #133513=VECTOR('',#430683,10.); #133514=VECTOR('',#430686,10.); #133515=VECTOR('',#430687,10.); #133516=VECTOR('',#430688,10.); #133517=VECTOR('',#430691,10.); #133518=VECTOR('',#430692,10.); #133519=VECTOR('',#430693,10.); #133520=VECTOR('',#430696,10.); #133521=VECTOR('',#430697,10.); #133522=VECTOR('',#430698,10.); #133523=VECTOR('',#430701,10.); #133524=VECTOR('',#430702,10.); #133525=VECTOR('',#430703,10.); #133526=VECTOR('',#430706,10.); #133527=VECTOR('',#430707,10.); #133528=VECTOR('',#430714,10.); #133529=VECTOR('',#430715,10.); #133530=VECTOR('',#430716,10.); #133531=VECTOR('',#430717,10.); #133532=VECTOR('',#430720,10.); #133533=VECTOR('',#430721,10.); #133534=VECTOR('',#430722,10.); #133535=VECTOR('',#430725,10.); #133536=VECTOR('',#430726,10.); #133537=VECTOR('',#430727,10.); #133538=VECTOR('',#430730,10.); #133539=VECTOR('',#430731,10.); #133540=VECTOR('',#430732,10.); #133541=VECTOR('',#430735,10.); #133542=VECTOR('',#430736,10.); #133543=VECTOR('',#430737,10.); #133544=VECTOR('',#430740,10.); #133545=VECTOR('',#430741,10.); #133546=VECTOR('',#430742,10.); #133547=VECTOR('',#430745,10.); #133548=VECTOR('',#430746,10.); #133549=VECTOR('',#430747,10.); #133550=VECTOR('',#430750,10.); #133551=VECTOR('',#430751,10.); #133552=VECTOR('',#430752,10.); #133553=VECTOR('',#430755,10.); #133554=VECTOR('',#430756,10.); #133555=VECTOR('',#430757,10.); #133556=VECTOR('',#430760,10.); #133557=VECTOR('',#430761,10.); #133558=VECTOR('',#430762,10.); #133559=VECTOR('',#430765,10.); #133560=VECTOR('',#430766,10.); #133561=VECTOR('',#430767,10.); #133562=VECTOR('',#430770,10.); #133563=VECTOR('',#430771,10.); #133564=VECTOR('',#430772,10.); #133565=VECTOR('',#430775,10.); #133566=VECTOR('',#430776,10.); #133567=VECTOR('',#430777,10.); #133568=VECTOR('',#430780,10.); #133569=VECTOR('',#430781,10.); #133570=VECTOR('',#430782,10.); #133571=VECTOR('',#430785,10.); #133572=VECTOR('',#430786,10.); #133573=VECTOR('',#430787,10.); #133574=VECTOR('',#430790,10.); #133575=VECTOR('',#430791,10.); #133576=VECTOR('',#430792,10.); #133577=VECTOR('',#430795,10.); #133578=VECTOR('',#430796,10.); #133579=VECTOR('',#430797,10.); #133580=VECTOR('',#430800,10.); #133581=VECTOR('',#430801,10.); #133582=VECTOR('',#430802,10.); #133583=VECTOR('',#430805,10.); #133584=VECTOR('',#430806,10.); #133585=VECTOR('',#430807,10.); #133586=VECTOR('',#430810,10.); #133587=VECTOR('',#430811,10.); #133588=VECTOR('',#430812,10.); #133589=VECTOR('',#430815,10.); #133590=VECTOR('',#430816,10.); #133591=VECTOR('',#430817,10.); #133592=VECTOR('',#430820,10.); #133593=VECTOR('',#430821,10.); #133594=VECTOR('',#430822,10.); #133595=VECTOR('',#430825,10.); #133596=VECTOR('',#430826,10.); #133597=VECTOR('',#430827,10.); #133598=VECTOR('',#430830,10.); #133599=VECTOR('',#430831,10.); #133600=VECTOR('',#430832,10.); #133601=VECTOR('',#430835,10.); #133602=VECTOR('',#430836,10.); #133603=VECTOR('',#430837,10.); #133604=VECTOR('',#430840,10.); #133605=VECTOR('',#430841,10.); #133606=VECTOR('',#430842,10.); #133607=VECTOR('',#430845,10.); #133608=VECTOR('',#430846,10.); #133609=VECTOR('',#430847,10.); #133610=VECTOR('',#430850,10.); #133611=VECTOR('',#430851,10.); #133612=VECTOR('',#430852,10.); #133613=VECTOR('',#430855,10.); #133614=VECTOR('',#430856,10.); #133615=VECTOR('',#430857,10.); #133616=VECTOR('',#430860,10.); #133617=VECTOR('',#430861,10.); #133618=VECTOR('',#430862,10.); #133619=VECTOR('',#430865,10.); #133620=VECTOR('',#430866,10.); #133621=VECTOR('',#430867,10.); #133622=VECTOR('',#430870,10.); #133623=VECTOR('',#430871,10.); #133624=VECTOR('',#430872,10.); #133625=VECTOR('',#430875,10.); #133626=VECTOR('',#430876,10.); #133627=VECTOR('',#430877,10.); #133628=VECTOR('',#430880,10.); #133629=VECTOR('',#430881,10.); #133630=VECTOR('',#430882,10.); #133631=VECTOR('',#430885,10.); #133632=VECTOR('',#430886,10.); #133633=VECTOR('',#430893,10.); #133634=VECTOR('',#430894,10.); #133635=VECTOR('',#430895,10.); #133636=VECTOR('',#430896,10.); #133637=VECTOR('',#430899,10.); #133638=VECTOR('',#430900,10.); #133639=VECTOR('',#430901,10.); #133640=VECTOR('',#430904,10.); #133641=VECTOR('',#430905,10.); #133642=VECTOR('',#430906,10.); #133643=VECTOR('',#430909,10.); #133644=VECTOR('',#430910,10.); #133645=VECTOR('',#430911,10.); #133646=VECTOR('',#430914,10.); #133647=VECTOR('',#430915,10.); #133648=VECTOR('',#430916,10.); #133649=VECTOR('',#430919,10.); #133650=VECTOR('',#430920,10.); #133651=VECTOR('',#430921,10.); #133652=VECTOR('',#430924,10.); #133653=VECTOR('',#430925,10.); #133654=VECTOR('',#430926,10.); #133655=VECTOR('',#430929,10.); #133656=VECTOR('',#430930,10.); #133657=VECTOR('',#430931,10.); #133658=VECTOR('',#430934,10.); #133659=VECTOR('',#430935,10.); #133660=VECTOR('',#430936,10.); #133661=VECTOR('',#430939,10.); #133662=VECTOR('',#430940,10.); #133663=VECTOR('',#430941,10.); #133664=VECTOR('',#430944,10.); #133665=VECTOR('',#430945,10.); #133666=VECTOR('',#430946,10.); #133667=VECTOR('',#430949,10.); #133668=VECTOR('',#430950,10.); #133669=VECTOR('',#430951,10.); #133670=VECTOR('',#430954,10.); #133671=VECTOR('',#430955,10.); #133672=VECTOR('',#430956,10.); #133673=VECTOR('',#430959,10.); #133674=VECTOR('',#430960,10.); #133675=VECTOR('',#430961,10.); #133676=VECTOR('',#430964,10.); #133677=VECTOR('',#430965,10.); #133678=VECTOR('',#430966,10.); #133679=VECTOR('',#430969,10.); #133680=VECTOR('',#430970,10.); #133681=VECTOR('',#430971,10.); #133682=VECTOR('',#430974,10.); #133683=VECTOR('',#430975,10.); #133684=VECTOR('',#430976,10.); #133685=VECTOR('',#430979,10.); #133686=VECTOR('',#430980,10.); #133687=VECTOR('',#430981,10.); #133688=VECTOR('',#430984,10.); #133689=VECTOR('',#430985,10.); #133690=VECTOR('',#430986,10.); #133691=VECTOR('',#430989,10.); #133692=VECTOR('',#430990,10.); #133693=VECTOR('',#430991,10.); #133694=VECTOR('',#430994,10.); #133695=VECTOR('',#430995,10.); #133696=VECTOR('',#431002,10.); #133697=VECTOR('',#431003,10.); #133698=VECTOR('',#431004,10.); #133699=VECTOR('',#431005,10.); #133700=VECTOR('',#431008,10.); #133701=VECTOR('',#431009,10.); #133702=VECTOR('',#431010,10.); #133703=VECTOR('',#431013,10.); #133704=VECTOR('',#431014,10.); #133705=VECTOR('',#431015,10.); #133706=VECTOR('',#431018,10.); #133707=VECTOR('',#431019,10.); #133708=VECTOR('',#431020,10.); #133709=VECTOR('',#431023,10.); #133710=VECTOR('',#431024,10.); #133711=VECTOR('',#431025,10.); #133712=VECTOR('',#431028,10.); #133713=VECTOR('',#431029,10.); #133714=VECTOR('',#431030,10.); #133715=VECTOR('',#431033,10.); #133716=VECTOR('',#431034,10.); #133717=VECTOR('',#431035,10.); #133718=VECTOR('',#431038,10.); #133719=VECTOR('',#431039,10.); #133720=VECTOR('',#431040,10.); #133721=VECTOR('',#431043,10.); #133722=VECTOR('',#431044,10.); #133723=VECTOR('',#431045,10.); #133724=VECTOR('',#431048,10.); #133725=VECTOR('',#431049,10.); #133726=VECTOR('',#431050,10.); #133727=VECTOR('',#431053,10.); #133728=VECTOR('',#431054,10.); #133729=VECTOR('',#431055,10.); #133730=VECTOR('',#431058,10.); #133731=VECTOR('',#431059,10.); #133732=VECTOR('',#431060,10.); #133733=VECTOR('',#431063,10.); #133734=VECTOR('',#431064,10.); #133735=VECTOR('',#431065,10.); #133736=VECTOR('',#431068,10.); #133737=VECTOR('',#431069,10.); #133738=VECTOR('',#431070,10.); #133739=VECTOR('',#431073,10.); #133740=VECTOR('',#431074,10.); #133741=VECTOR('',#431075,10.); #133742=VECTOR('',#431078,10.); #133743=VECTOR('',#431079,10.); #133744=VECTOR('',#431080,10.); #133745=VECTOR('',#431083,10.); #133746=VECTOR('',#431084,10.); #133747=VECTOR('',#431085,10.); #133748=VECTOR('',#431088,10.); #133749=VECTOR('',#431089,10.); #133750=VECTOR('',#431090,10.); #133751=VECTOR('',#431093,10.); #133752=VECTOR('',#431094,10.); #133753=VECTOR('',#431095,10.); #133754=VECTOR('',#431098,10.); #133755=VECTOR('',#431099,10.); #133756=VECTOR('',#431100,10.); #133757=VECTOR('',#431103,10.); #133758=VECTOR('',#431104,10.); #133759=VECTOR('',#431105,10.); #133760=VECTOR('',#431108,10.); #133761=VECTOR('',#431109,10.); #133762=VECTOR('',#431110,10.); #133763=VECTOR('',#431113,10.); #133764=VECTOR('',#431114,10.); #133765=VECTOR('',#431115,10.); #133766=VECTOR('',#431118,10.); #133767=VECTOR('',#431119,10.); #133768=VECTOR('',#431120,10.); #133769=VECTOR('',#431123,10.); #133770=VECTOR('',#431124,10.); #133771=VECTOR('',#431125,10.); #133772=VECTOR('',#431128,10.); #133773=VECTOR('',#431129,10.); #133774=VECTOR('',#431130,10.); #133775=VECTOR('',#431133,10.); #133776=VECTOR('',#431134,10.); #133777=VECTOR('',#431135,10.); #133778=VECTOR('',#431138,10.); #133779=VECTOR('',#431139,10.); #133780=VECTOR('',#431140,10.); #133781=VECTOR('',#431143,10.); #133782=VECTOR('',#431144,10.); #133783=VECTOR('',#431145,10.); #133784=VECTOR('',#431148,10.); #133785=VECTOR('',#431149,10.); #133786=VECTOR('',#431150,10.); #133787=VECTOR('',#431153,10.); #133788=VECTOR('',#431154,10.); #133789=VECTOR('',#431161,10.); #133790=VECTOR('',#431162,10.); #133791=VECTOR('',#431163,10.); #133792=VECTOR('',#431164,10.); #133793=VECTOR('',#431167,10.); #133794=VECTOR('',#431168,10.); #133795=VECTOR('',#431169,10.); #133796=VECTOR('',#431172,10.); #133797=VECTOR('',#431173,10.); #133798=VECTOR('',#431174,10.); #133799=VECTOR('',#431177,10.); #133800=VECTOR('',#431178,10.); #133801=VECTOR('',#431179,10.); #133802=VECTOR('',#431182,10.); #133803=VECTOR('',#431183,10.); #133804=VECTOR('',#431184,10.); #133805=VECTOR('',#431187,10.); #133806=VECTOR('',#431188,10.); #133807=VECTOR('',#431189,10.); #133808=VECTOR('',#431192,10.); #133809=VECTOR('',#431193,10.); #133810=VECTOR('',#431194,10.); #133811=VECTOR('',#431197,10.); #133812=VECTOR('',#431198,10.); #133813=VECTOR('',#431199,10.); #133814=VECTOR('',#431202,10.); #133815=VECTOR('',#431203,10.); #133816=VECTOR('',#431204,10.); #133817=VECTOR('',#431207,10.); #133818=VECTOR('',#431208,10.); #133819=VECTOR('',#431209,10.); #133820=VECTOR('',#431212,10.); #133821=VECTOR('',#431213,10.); #133822=VECTOR('',#431214,10.); #133823=VECTOR('',#431217,10.); #133824=VECTOR('',#431218,10.); #133825=VECTOR('',#431219,10.); #133826=VECTOR('',#431222,10.); #133827=VECTOR('',#431223,10.); #133828=VECTOR('',#431224,10.); #133829=VECTOR('',#431227,10.); #133830=VECTOR('',#431228,10.); #133831=VECTOR('',#431229,10.); #133832=VECTOR('',#431232,10.); #133833=VECTOR('',#431233,10.); #133834=VECTOR('',#431234,10.); #133835=VECTOR('',#431237,10.); #133836=VECTOR('',#431238,10.); #133837=VECTOR('',#431239,10.); #133838=VECTOR('',#431242,10.); #133839=VECTOR('',#431243,10.); #133840=VECTOR('',#431244,10.); #133841=VECTOR('',#431247,10.); #133842=VECTOR('',#431248,10.); #133843=VECTOR('',#431249,10.); #133844=VECTOR('',#431252,10.); #133845=VECTOR('',#431253,10.); #133846=VECTOR('',#431254,10.); #133847=VECTOR('',#431257,10.); #133848=VECTOR('',#431258,10.); #133849=VECTOR('',#431259,10.); #133850=VECTOR('',#431262,10.); #133851=VECTOR('',#431263,10.); #133852=VECTOR('',#431264,10.); #133853=VECTOR('',#431267,10.); #133854=VECTOR('',#431268,10.); #133855=VECTOR('',#431275,10.); #133856=VECTOR('',#431276,10.); #133857=VECTOR('',#431277,10.); #133858=VECTOR('',#431278,10.); #133859=VECTOR('',#431281,10.); #133860=VECTOR('',#431282,10.); #133861=VECTOR('',#431283,10.); #133862=VECTOR('',#431286,10.); #133863=VECTOR('',#431287,10.); #133864=VECTOR('',#431288,10.); #133865=VECTOR('',#431291,10.); #133866=VECTOR('',#431292,10.); #133867=VECTOR('',#431293,10.); #133868=VECTOR('',#431296,10.); #133869=VECTOR('',#431297,10.); #133870=VECTOR('',#431298,10.); #133871=VECTOR('',#431301,10.); #133872=VECTOR('',#431302,10.); #133873=VECTOR('',#431303,10.); #133874=VECTOR('',#431306,10.); #133875=VECTOR('',#431307,10.); #133876=VECTOR('',#431308,10.); #133877=VECTOR('',#431311,10.); #133878=VECTOR('',#431312,10.); #133879=VECTOR('',#431313,10.); #133880=VECTOR('',#431316,10.); #133881=VECTOR('',#431317,10.); #133882=VECTOR('',#431318,10.); #133883=VECTOR('',#431321,10.); #133884=VECTOR('',#431322,10.); #133885=VECTOR('',#431323,10.); #133886=VECTOR('',#431326,10.); #133887=VECTOR('',#431327,10.); #133888=VECTOR('',#431328,10.); #133889=VECTOR('',#431331,10.); #133890=VECTOR('',#431332,10.); #133891=VECTOR('',#431333,10.); #133892=VECTOR('',#431336,10.); #133893=VECTOR('',#431337,10.); #133894=VECTOR('',#431338,10.); #133895=VECTOR('',#431341,10.); #133896=VECTOR('',#431342,10.); #133897=VECTOR('',#431343,10.); #133898=VECTOR('',#431346,10.); #133899=VECTOR('',#431347,10.); #133900=VECTOR('',#431348,10.); #133901=VECTOR('',#431351,10.); #133902=VECTOR('',#431352,10.); #133903=VECTOR('',#431353,10.); #133904=VECTOR('',#431356,10.); #133905=VECTOR('',#431357,10.); #133906=VECTOR('',#431358,10.); #133907=VECTOR('',#431361,10.); #133908=VECTOR('',#431362,10.); #133909=VECTOR('',#431363,10.); #133910=VECTOR('',#431366,10.); #133911=VECTOR('',#431367,10.); #133912=VECTOR('',#431368,10.); #133913=VECTOR('',#431371,10.); #133914=VECTOR('',#431372,10.); #133915=VECTOR('',#431381,0.140000000000002); #133916=VECTOR('',#431388,0.139999999999998); #133917=VECTOR('',#431395,0.139999999999998); #133918=VECTOR('',#431402,0.139999999999998); #133919=VECTOR('',#431409,0.139999999999998); #133920=VECTOR('',#431416,0.139999999999998); #133921=VECTOR('',#431423,0.465); #133922=VECTOR('',#431430,0.139999999999998); #133923=VECTOR('',#431437,0.139999999999998); #133924=VECTOR('',#431444,0.139999999999998); #133925=VECTOR('',#431451,0.139999999999998); #133926=VECTOR('',#431458,0.139999999999998); #133927=VECTOR('',#431465,0.139999999999998); #133928=VECTOR('',#431472,0.514999999999999); #133929=VECTOR('',#431479,0.139999999999998); #133930=VECTOR('',#431486,0.139999999999998); #133931=VECTOR('',#431493,0.139999999999998); #133932=VECTOR('',#431500,0.139999999999998); #133933=VECTOR('',#431507,0.139999999999998); #133934=VECTOR('',#431514,0.139999999999998); #133935=VECTOR('',#431521,0.139999999999998); #133936=VECTOR('',#431528,0.139999999999998); #133937=VECTOR('',#431535,0.14); #133938=VECTOR('',#431542,0.139999999999998); #133939=VECTOR('',#431549,0.14); #133940=VECTOR('',#431556,0.139999999999998); #133941=VECTOR('',#431563,0.14); #133942=VECTOR('',#431570,0.139999999999998); #133943=VECTOR('',#431577,0.14); #133944=VECTOR('',#431584,0.140000000000002); #133945=VECTOR('',#431591,0.139999999999998); #133946=VECTOR('',#431598,0.140000000000002); #133947=VECTOR('',#431605,0.139999999999998); #133948=VECTOR('',#431612,0.140000000000002); #133949=VECTOR('',#431619,0.139999999999998); #133950=VECTOR('',#431626,0.140000000000002); #133951=VECTOR('',#431633,0.140000000000002); #133952=VECTOR('',#431640,0.139999999999998); #133953=VECTOR('',#431647,0.140000000000002); #133954=VECTOR('',#431654,0.140000000000002); #133955=VECTOR('',#431661,0.139999999999998); #133956=VECTOR('',#431668,0.140000000000002); #133957=VECTOR('',#431675,0.140000000000002); #133958=VECTOR('',#431682,0.139999999999998); #133959=VECTOR('',#431689,0.140000000000002); #133960=VECTOR('',#431696,0.139999999999998); #133961=VECTOR('',#431703,0.140000000000002); #133962=VECTOR('',#431710,0.139999999999998); #133963=VECTOR('',#431717,0.140000000000002); #133964=VECTOR('',#431724,0.14); #133965=VECTOR('',#431731,0.139999999999998); #133966=VECTOR('',#431738,0.14); #133967=VECTOR('',#431745,0.139999999999998); #133968=VECTOR('',#431752,0.14); #133969=VECTOR('',#431759,0.139999999999998); #133970=VECTOR('',#431766,0.14); #133971=VECTOR('',#431773,0.14); #133972=VECTOR('',#431780,0.139999999999998); #133973=VECTOR('',#431787,0.14); #133974=VECTOR('',#431794,0.139999999999998); #133975=VECTOR('',#431801,0.14); #133976=VECTOR('',#431808,0.139999999999998); #133977=VECTOR('',#431815,0.14); #133978=VECTOR('',#431822,0.465); #133979=VECTOR('',#431827,10.); #133980=VECTOR('',#431828,10.); #133981=VECTOR('',#431829,10.); #133982=VECTOR('',#431830,10.); #133983=VECTOR('',#431837,10.); #133984=VECTOR('',#431840,10.); #133985=VECTOR('',#431841,10.); #133986=VECTOR('',#431842,10.); #133987=VECTOR('',#431851,10.); #133988=VECTOR('',#431852,10.); #133989=VECTOR('',#431853,10.); #133990=VECTOR('',#431854,10.); #133991=VECTOR('',#431861,10.); #133992=VECTOR('',#431864,10.); #133993=VECTOR('',#431865,10.); #133994=VECTOR('',#431866,10.); #133995=VECTOR('',#431877,0.139999999999998); #133996=VECTOR('',#431884,0.114999999999998); #133997=VECTOR('',#431889,10.); #133998=VECTOR('',#431890,10.); #133999=VECTOR('',#431891,10.); #134000=VECTOR('',#431892,10.); #134001=VECTOR('',#431899,10.); #134002=VECTOR('',#431902,10.); #134003=VECTOR('',#431903,10.); #134004=VECTOR('',#431904,10.); #134005=VECTOR('',#431915,0.139999999999998); #134006=VECTOR('',#431922,0.114999999999998); #134007=VECTOR('',#431929,0.139999999999998); #134008=VECTOR('',#431936,0.114999999999998); #134009=VECTOR('',#431943,0.114999999999998); #134010=VECTOR('',#431948,10.); #134011=VECTOR('',#431949,10.); #134012=VECTOR('',#431950,10.); #134013=VECTOR('',#431951,10.); #134014=VECTOR('',#431958,10.); #134015=VECTOR('',#431961,10.); #134016=VECTOR('',#431962,10.); #134017=VECTOR('',#431963,10.); #134018=VECTOR('',#431974,0.139999999999998); #134019=VECTOR('',#431979,10.); #134020=VECTOR('',#431980,10.); #134021=VECTOR('',#431981,10.); #134022=VECTOR('',#431982,10.); #134023=VECTOR('',#431989,10.); #134024=VECTOR('',#431992,10.); #134025=VECTOR('',#431993,10.); #134026=VECTOR('',#431994,10.); #134027=VECTOR('',#432003,10.); #134028=VECTOR('',#432004,10.); #134029=VECTOR('',#432005,10.); #134030=VECTOR('',#432006,10.); #134031=VECTOR('',#432013,10.); #134032=VECTOR('',#432016,10.); #134033=VECTOR('',#432017,10.); #134034=VECTOR('',#432018,10.); #134035=VECTOR('',#432029,0.139999999999998); #134036=VECTOR('',#432036,0.139999999999998); #134037=VECTOR('',#432043,0.140000000000002); #134038=VECTOR('',#432050,0.139999999999998); #134039=VECTOR('',#432057,0.140000000000002); #134040=VECTOR('',#432064,0.140000000000002); #134041=VECTOR('',#432071,0.139999999999998); #134042=VECTOR('',#432078,0.140000000000002); #134043=VECTOR('',#432085,0.139999999999998); #134044=VECTOR('',#432092,0.139999999999998); #134045=VECTOR('',#432099,0.139999999999998); #134046=VECTOR('',#432106,0.139999999999998); #134047=VECTOR('',#432113,0.139999999999998); #134048=VECTOR('',#432120,0.140000000000002); #134049=VECTOR('',#432127,0.514999999999999); #134050=VECTOR('',#432134,0.140000000000002); #134051=VECTOR('',#432141,0.139999999999998); #134052=VECTOR('',#432148,0.140000000000002); #134053=VECTOR('',#432155,0.140000000000002); #134054=VECTOR('',#432162,0.139999999999998); #134055=VECTOR('',#432169,0.140000000000002); #134056=VECTOR('',#432176,0.140000000000002); #134057=VECTOR('',#432183,0.139999999999998); #134058=VECTOR('',#432190,0.140000000000002); #134059=VECTOR('',#432197,0.140000000000002); #134060=VECTOR('',#432204,0.139999999999998); #134061=VECTOR('',#432211,0.140000000000002); #134062=VECTOR('',#432218,0.564999999999998); #134063=VECTOR('',#432225,0.14); #134064=VECTOR('',#432232,0.139999999999998); #134065=VECTOR('',#432239,0.140000000000002); #134066=VECTOR('',#432246,0.140000000000002); #134067=VECTOR('',#432253,0.139999999999998); #134068=VECTOR('',#432260,0.140000000000002); #134069=VECTOR('',#432267,0.140000000000002); #134070=VECTOR('',#432274,0.139999999999998); #134071=VECTOR('',#432281,0.140000000000002); #134072=VECTOR('',#432288,0.140000000000002); #134073=VECTOR('',#432295,0.139999999999998); #134074=VECTOR('',#432302,0.140000000000002); #134075=VECTOR('',#432309,0.14); #134076=VECTOR('',#432316,0.139999999999998); #134077=VECTOR('',#432323,0.14); #134078=VECTOR('',#432330,0.14); #134079=VECTOR('',#432337,0.139999999999998); #134080=VECTOR('',#432344,0.14); #134081=VECTOR('',#432351,0.14); #134082=VECTOR('',#432358,0.139999999999998); #134083=VECTOR('',#432365,0.14); #134084=VECTOR('',#432372,0.14); #134085=VECTOR('',#432379,0.139999999999998); #134086=VECTOR('',#432386,0.14); #134087=VECTOR('',#432391,10.); #134088=VECTOR('',#432392,10.); #134089=VECTOR('',#432393,10.); #134090=VECTOR('',#432394,10.); #134091=VECTOR('',#432397,10.); #134092=VECTOR('',#432398,10.); #134093=VECTOR('',#432399,10.); #134094=VECTOR('',#432402,10.); #134095=VECTOR('',#432403,10.); #134096=VECTOR('',#432404,10.); #134097=VECTOR('',#432407,10.); #134098=VECTOR('',#432408,10.); #134099=VECTOR('',#432409,10.); #134100=VECTOR('',#432412,10.); #134101=VECTOR('',#432413,10.); #134102=VECTOR('',#432414,10.); #134103=VECTOR('',#432417,10.); #134104=VECTOR('',#432418,10.); #134105=VECTOR('',#432419,10.); #134106=VECTOR('',#432422,10.); #134107=VECTOR('',#432423,10.); #134108=VECTOR('',#432424,10.); #134109=VECTOR('',#432427,10.); #134110=VECTOR('',#432428,10.); #134111=VECTOR('',#432429,10.); #134112=VECTOR('',#432432,10.); #134113=VECTOR('',#432433,10.); #134114=VECTOR('',#432434,10.); #134115=VECTOR('',#432437,10.); #134116=VECTOR('',#432438,10.); #134117=VECTOR('',#432439,10.); #134118=VECTOR('',#432442,10.); #134119=VECTOR('',#432443,10.); #134120=VECTOR('',#432444,10.); #134121=VECTOR('',#432447,10.); #134122=VECTOR('',#432448,10.); #134123=VECTOR('',#432449,10.); #134124=VECTOR('',#432452,10.); #134125=VECTOR('',#432453,10.); #134126=VECTOR('',#432454,10.); #134127=VECTOR('',#432457,10.); #134128=VECTOR('',#432458,10.); #134129=VECTOR('',#432459,10.); #134130=VECTOR('',#432462,10.); #134131=VECTOR('',#432463,10.); #134132=VECTOR('',#432464,10.); #134133=VECTOR('',#432467,10.); #134134=VECTOR('',#432468,10.); #134135=VECTOR('',#432469,10.); #134136=VECTOR('',#432472,10.); #134137=VECTOR('',#432473,10.); #134138=VECTOR('',#432474,10.); #134139=VECTOR('',#432477,10.); #134140=VECTOR('',#432478,10.); #134141=VECTOR('',#432479,10.); #134142=VECTOR('',#432482,10.); #134143=VECTOR('',#432483,10.); #134144=VECTOR('',#432484,10.); #134145=VECTOR('',#432487,10.); #134146=VECTOR('',#432488,10.); #134147=VECTOR('',#432489,10.); #134148=VECTOR('',#432492,10.); #134149=VECTOR('',#432493,10.); #134150=VECTOR('',#432494,10.); #134151=VECTOR('',#432497,10.); #134152=VECTOR('',#432498,10.); #134153=VECTOR('',#432499,10.); #134154=VECTOR('',#432502,10.); #134155=VECTOR('',#432503,10.); #134156=VECTOR('',#432504,10.); #134157=VECTOR('',#432507,10.); #134158=VECTOR('',#432508,10.); #134159=VECTOR('',#432509,10.); #134160=VECTOR('',#432512,10.); #134161=VECTOR('',#432513,10.); #134162=VECTOR('',#432514,10.); #134163=VECTOR('',#432517,10.); #134164=VECTOR('',#432518,10.); #134165=VECTOR('',#432519,10.); #134166=VECTOR('',#432522,10.); #134167=VECTOR('',#432523,10.); #134168=VECTOR('',#432524,10.); #134169=VECTOR('',#432527,10.); #134170=VECTOR('',#432528,10.); #134171=VECTOR('',#432529,10.); #134172=VECTOR('',#432532,10.); #134173=VECTOR('',#432533,10.); #134174=VECTOR('',#432534,10.); #134175=VECTOR('',#432537,10.); #134176=VECTOR('',#432538,10.); #134177=VECTOR('',#432539,10.); #134178=VECTOR('',#432542,10.); #134179=VECTOR('',#432543,10.); #134180=VECTOR('',#432544,10.); #134181=VECTOR('',#432547,10.); #134182=VECTOR('',#432548,10.); #134183=VECTOR('',#432549,10.); #134184=VECTOR('',#432552,10.); #134185=VECTOR('',#432553,10.); #134186=VECTOR('',#432554,10.); #134187=VECTOR('',#432557,10.); #134188=VECTOR('',#432558,10.); #134189=VECTOR('',#432559,10.); #134190=VECTOR('',#432562,10.); #134191=VECTOR('',#432563,10.); #134192=VECTOR('',#432564,10.); #134193=VECTOR('',#432567,10.); #134194=VECTOR('',#432568,10.); #134195=VECTOR('',#432569,10.); #134196=VECTOR('',#432572,10.); #134197=VECTOR('',#432573,10.); #134198=VECTOR('',#432574,10.); #134199=VECTOR('',#432577,10.); #134200=VECTOR('',#432578,10.); #134201=VECTOR('',#432579,10.); #134202=VECTOR('',#432582,10.); #134203=VECTOR('',#432583,10.); #134204=VECTOR('',#432584,10.); #134205=VECTOR('',#432587,10.); #134206=VECTOR('',#432588,10.); #134207=VECTOR('',#432589,10.); #134208=VECTOR('',#432592,10.); #134209=VECTOR('',#432593,10.); #134210=VECTOR('',#432594,10.); #134211=VECTOR('',#432597,10.); #134212=VECTOR('',#432598,10.); #134213=VECTOR('',#432599,10.); #134214=VECTOR('',#432602,10.); #134215=VECTOR('',#432603,10.); #134216=VECTOR('',#432604,10.); #134217=VECTOR('',#432607,10.); #134218=VECTOR('',#432608,10.); #134219=VECTOR('',#432609,10.); #134220=VECTOR('',#432612,10.); #134221=VECTOR('',#432613,10.); #134222=VECTOR('',#432614,10.); #134223=VECTOR('',#432617,10.); #134224=VECTOR('',#432618,10.); #134225=VECTOR('',#432619,10.); #134226=VECTOR('',#432622,10.); #134227=VECTOR('',#432623,10.); #134228=VECTOR('',#432624,10.); #134229=VECTOR('',#432627,10.); #134230=VECTOR('',#432628,10.); #134231=VECTOR('',#432629,10.); #134232=VECTOR('',#432632,10.); #134233=VECTOR('',#432633,10.); #134234=VECTOR('',#432634,10.); #134235=VECTOR('',#432637,10.); #134236=VECTOR('',#432638,10.); #134237=VECTOR('',#432639,10.); #134238=VECTOR('',#432642,10.); #134239=VECTOR('',#432643,10.); #134240=VECTOR('',#432644,10.); #134241=VECTOR('',#432647,10.); #134242=VECTOR('',#432648,10.); #134243=VECTOR('',#432649,10.); #134244=VECTOR('',#432652,10.); #134245=VECTOR('',#432653,10.); #134246=VECTOR('',#432654,10.); #134247=VECTOR('',#432657,10.); #134248=VECTOR('',#432658,10.); #134249=VECTOR('',#432659,10.); #134250=VECTOR('',#432662,10.); #134251=VECTOR('',#432663,10.); #134252=VECTOR('',#432664,10.); #134253=VECTOR('',#432667,10.); #134254=VECTOR('',#432668,10.); #134255=VECTOR('',#432669,10.); #134256=VECTOR('',#432672,10.); #134257=VECTOR('',#432673,10.); #134258=VECTOR('',#432674,10.); #134259=VECTOR('',#432677,10.); #134260=VECTOR('',#432678,10.); #134261=VECTOR('',#432679,10.); #134262=VECTOR('',#432682,10.); #134263=VECTOR('',#432683,10.); #134264=VECTOR('',#432684,10.); #134265=VECTOR('',#432687,10.); #134266=VECTOR('',#432688,10.); #134267=VECTOR('',#432689,10.); #134268=VECTOR('',#432692,10.); #134269=VECTOR('',#432693,10.); #134270=VECTOR('',#432694,10.); #134271=VECTOR('',#432697,10.); #134272=VECTOR('',#432698,10.); #134273=VECTOR('',#432699,10.); #134274=VECTOR('',#432702,10.); #134275=VECTOR('',#432703,10.); #134276=VECTOR('',#432704,10.); #134277=VECTOR('',#432707,10.); #134278=VECTOR('',#432708,10.); #134279=VECTOR('',#432709,10.); #134280=VECTOR('',#432712,10.); #134281=VECTOR('',#432713,10.); #134282=VECTOR('',#432714,10.); #134283=VECTOR('',#432717,10.); #134284=VECTOR('',#432718,10.); #134285=VECTOR('',#432719,10.); #134286=VECTOR('',#432722,10.); #134287=VECTOR('',#432723,10.); #134288=VECTOR('',#432724,10.); #134289=VECTOR('',#432727,10.); #134290=VECTOR('',#432728,10.); #134291=VECTOR('',#432729,10.); #134292=VECTOR('',#432732,10.); #134293=VECTOR('',#432733,10.); #134294=VECTOR('',#432734,10.); #134295=VECTOR('',#432737,10.); #134296=VECTOR('',#432738,10.); #134297=VECTOR('',#432739,10.); #134298=VECTOR('',#432742,10.); #134299=VECTOR('',#432743,10.); #134300=VECTOR('',#432744,10.); #134301=VECTOR('',#432747,10.); #134302=VECTOR('',#432748,10.); #134303=VECTOR('',#432749,10.); #134304=VECTOR('',#432752,10.); #134305=VECTOR('',#432753,10.); #134306=VECTOR('',#432754,10.); #134307=VECTOR('',#432757,10.); #134308=VECTOR('',#432758,10.); #134309=VECTOR('',#432759,10.); #134310=VECTOR('',#432762,10.); #134311=VECTOR('',#432763,10.); #134312=VECTOR('',#432764,10.); #134313=VECTOR('',#432767,10.); #134314=VECTOR('',#432768,10.); #134315=VECTOR('',#432769,10.); #134316=VECTOR('',#432772,10.); #134317=VECTOR('',#432773,10.); #134318=VECTOR('',#432774,10.); #134319=VECTOR('',#432777,10.); #134320=VECTOR('',#432778,10.); #134321=VECTOR('',#432779,10.); #134322=VECTOR('',#432782,10.); #134323=VECTOR('',#432783,10.); #134324=VECTOR('',#432784,10.); #134325=VECTOR('',#432787,10.); #134326=VECTOR('',#432788,10.); #134327=VECTOR('',#432789,10.); #134328=VECTOR('',#432792,10.); #134329=VECTOR('',#432793,10.); #134330=VECTOR('',#432794,10.); #134331=VECTOR('',#432797,10.); #134332=VECTOR('',#432798,10.); #134333=VECTOR('',#432799,10.); #134334=VECTOR('',#432802,10.); #134335=VECTOR('',#432803,10.); #134336=VECTOR('',#432804,10.); #134337=VECTOR('',#432807,10.); #134338=VECTOR('',#432808,10.); #134339=VECTOR('',#432809,10.); #134340=VECTOR('',#432812,10.); #134341=VECTOR('',#432813,10.); #134342=VECTOR('',#432814,10.); #134343=VECTOR('',#432817,10.); #134344=VECTOR('',#432818,10.); #134345=VECTOR('',#432819,10.); #134346=VECTOR('',#432822,10.); #134347=VECTOR('',#432823,10.); #134348=VECTOR('',#432824,10.); #134349=VECTOR('',#432827,10.); #134350=VECTOR('',#432828,10.); #134351=VECTOR('',#432829,10.); #134352=VECTOR('',#432832,10.); #134353=VECTOR('',#432833,10.); #134354=VECTOR('',#432834,10.); #134355=VECTOR('',#432837,10.); #134356=VECTOR('',#432838,10.); #134357=VECTOR('',#432839,10.); #134358=VECTOR('',#432842,10.); #134359=VECTOR('',#432843,10.); #134360=VECTOR('',#432844,10.); #134361=VECTOR('',#432847,10.); #134362=VECTOR('',#432848,10.); #134363=VECTOR('',#432849,10.); #134364=VECTOR('',#432852,10.); #134365=VECTOR('',#432853,10.); #134366=VECTOR('',#432854,10.); #134367=VECTOR('',#432857,10.); #134368=VECTOR('',#432858,10.); #134369=VECTOR('',#432859,10.); #134370=VECTOR('',#432862,10.); #134371=VECTOR('',#432863,10.); #134372=VECTOR('',#432864,10.); #134373=VECTOR('',#432867,10.); #134374=VECTOR('',#432868,10.); #134375=VECTOR('',#432869,10.); #134376=VECTOR('',#432872,10.); #134377=VECTOR('',#432873,10.); #134378=VECTOR('',#432874,10.); #134379=VECTOR('',#432877,10.); #134380=VECTOR('',#432878,10.); #134381=VECTOR('',#432879,10.); #134382=VECTOR('',#432882,10.); #134383=VECTOR('',#432883,10.); #134384=VECTOR('',#432884,10.); #134385=VECTOR('',#432887,10.); #134386=VECTOR('',#432888,10.); #134387=VECTOR('',#432889,10.); #134388=VECTOR('',#432892,10.); #134389=VECTOR('',#432893,10.); #134390=VECTOR('',#432894,10.); #134391=VECTOR('',#432897,10.); #134392=VECTOR('',#432898,10.); #134393=VECTOR('',#432899,10.); #134394=VECTOR('',#432902,10.); #134395=VECTOR('',#432903,10.); #134396=VECTOR('',#432904,10.); #134397=VECTOR('',#432907,10.); #134398=VECTOR('',#432908,10.); #134399=VECTOR('',#432909,10.); #134400=VECTOR('',#432912,10.); #134401=VECTOR('',#432913,10.); #134402=VECTOR('',#432914,10.); #134403=VECTOR('',#432917,10.); #134404=VECTOR('',#432918,10.); #134405=VECTOR('',#432919,10.); #134406=VECTOR('',#432922,10.); #134407=VECTOR('',#432923,10.); #134408=VECTOR('',#432924,10.); #134409=VECTOR('',#432927,10.); #134410=VECTOR('',#432928,10.); #134411=VECTOR('',#432929,10.); #134412=VECTOR('',#432932,10.); #134413=VECTOR('',#432933,10.); #134414=VECTOR('',#432934,10.); #134415=VECTOR('',#432937,10.); #134416=VECTOR('',#432938,10.); #134417=VECTOR('',#432939,10.); #134418=VECTOR('',#432942,10.); #134419=VECTOR('',#432943,10.); #134420=VECTOR('',#432944,10.); #134421=VECTOR('',#432947,10.); #134422=VECTOR('',#432948,10.); #134423=VECTOR('',#432949,10.); #134424=VECTOR('',#432952,10.); #134425=VECTOR('',#432953,10.); #134426=VECTOR('',#432954,10.); #134427=VECTOR('',#432957,10.); #134428=VECTOR('',#432958,10.); #134429=VECTOR('',#432959,10.); #134430=VECTOR('',#432962,10.); #134431=VECTOR('',#432963,10.); #134432=VECTOR('',#432964,10.); #134433=VECTOR('',#432967,10.); #134434=VECTOR('',#432968,10.); #134435=VECTOR('',#432969,10.); #134436=VECTOR('',#432972,10.); #134437=VECTOR('',#432973,10.); #134438=VECTOR('',#432974,10.); #134439=VECTOR('',#432977,10.); #134440=VECTOR('',#432978,10.); #134441=VECTOR('',#432979,10.); #134442=VECTOR('',#432982,10.); #134443=VECTOR('',#432983,10.); #134444=VECTOR('',#432984,10.); #134445=VECTOR('',#432987,10.); #134446=VECTOR('',#432988,10.); #134447=VECTOR('',#432989,10.); #134448=VECTOR('',#432992,10.); #134449=VECTOR('',#432993,10.); #134450=VECTOR('',#432994,10.); #134451=VECTOR('',#432997,10.); #134452=VECTOR('',#432998,10.); #134453=VECTOR('',#432999,10.); #134454=VECTOR('',#433002,10.); #134455=VECTOR('',#433003,10.); #134456=VECTOR('',#433004,10.); #134457=VECTOR('',#433007,10.); #134458=VECTOR('',#433008,10.); #134459=VECTOR('',#433009,10.); #134460=VECTOR('',#433012,10.); #134461=VECTOR('',#433013,10.); #134462=VECTOR('',#433014,10.); #134463=VECTOR('',#433017,10.); #134464=VECTOR('',#433018,10.); #134465=VECTOR('',#433019,10.); #134466=VECTOR('',#433022,10.); #134467=VECTOR('',#433023,10.); #134468=VECTOR('',#433024,10.); #134469=VECTOR('',#433027,10.); #134470=VECTOR('',#433028,10.); #134471=VECTOR('',#433029,10.); #134472=VECTOR('',#433032,10.); #134473=VECTOR('',#433033,10.); #134474=VECTOR('',#433034,10.); #134475=VECTOR('',#433037,10.); #134476=VECTOR('',#433038,10.); #134477=VECTOR('',#433039,10.); #134478=VECTOR('',#433042,10.); #134479=VECTOR('',#433043,10.); #134480=VECTOR('',#433044,10.); #134481=VECTOR('',#433047,10.); #134482=VECTOR('',#433048,10.); #134483=VECTOR('',#433051,10.); #134484=VECTOR('',#433052,10.); #134485=VECTOR('',#433053,10.); #134486=VECTOR('',#433054,10.); #134487=VECTOR('',#433057,10.); #134488=VECTOR('',#433058,10.); #134489=VECTOR('',#433059,10.); #134490=VECTOR('',#433062,10.); #134491=VECTOR('',#433063,10.); #134492=VECTOR('',#433064,10.); #134493=VECTOR('',#433067,10.); #134494=VECTOR('',#433068,10.); #134495=VECTOR('',#433069,10.); #134496=VECTOR('',#433072,10.); #134497=VECTOR('',#433073,10.); #134498=VECTOR('',#433074,10.); #134499=VECTOR('',#433077,10.); #134500=VECTOR('',#433078,10.); #134501=VECTOR('',#433079,10.); #134502=VECTOR('',#433082,10.); #134503=VECTOR('',#433083,10.); #134504=VECTOR('',#433084,10.); #134505=VECTOR('',#433087,10.); #134506=VECTOR('',#433088,10.); #134507=VECTOR('',#433091,10.); #134508=VECTOR('',#433092,10.); #134509=VECTOR('',#433093,10.); #134510=VECTOR('',#433094,10.); #134511=VECTOR('',#433097,10.); #134512=VECTOR('',#433098,10.); #134513=VECTOR('',#433099,10.); #134514=VECTOR('',#433102,10.); #134515=VECTOR('',#433103,10.); #134516=VECTOR('',#433104,10.); #134517=VECTOR('',#433107,10.); #134518=VECTOR('',#433108,10.); #134519=VECTOR('',#433109,10.); #134520=VECTOR('',#433112,10.); #134521=VECTOR('',#433113,10.); #134522=VECTOR('',#433114,10.); #134523=VECTOR('',#433117,10.); #134524=VECTOR('',#433118,10.); #134525=VECTOR('',#433119,10.); #134526=VECTOR('',#433122,10.); #134527=VECTOR('',#433123,10.); #134528=VECTOR('',#433124,10.); #134529=VECTOR('',#433127,10.); #134530=VECTOR('',#433128,10.); #134531=VECTOR('',#433131,10.); #134532=VECTOR('',#433132,10.); #134533=VECTOR('',#433133,10.); #134534=VECTOR('',#433134,10.); #134535=VECTOR('',#433137,10.); #134536=VECTOR('',#433138,10.); #134537=VECTOR('',#433139,10.); #134538=VECTOR('',#433142,10.); #134539=VECTOR('',#433143,10.); #134540=VECTOR('',#433144,10.); #134541=VECTOR('',#433147,10.); #134542=VECTOR('',#433148,10.); #134543=VECTOR('',#433149,10.); #134544=VECTOR('',#433152,10.); #134545=VECTOR('',#433153,10.); #134546=VECTOR('',#433154,10.); #134547=VECTOR('',#433157,10.); #134548=VECTOR('',#433158,10.); #134549=VECTOR('',#433159,10.); #134550=VECTOR('',#433162,10.); #134551=VECTOR('',#433163,10.); #134552=VECTOR('',#433164,10.); #134553=VECTOR('',#433167,10.); #134554=VECTOR('',#433168,10.); #134555=VECTOR('',#433171,10.); #134556=VECTOR('',#433172,10.); #134557=VECTOR('',#433173,10.); #134558=VECTOR('',#433174,10.); #134559=VECTOR('',#433177,10.); #134560=VECTOR('',#433178,10.); #134561=VECTOR('',#433179,10.); #134562=VECTOR('',#433182,10.); #134563=VECTOR('',#433183,10.); #134564=VECTOR('',#433184,10.); #134565=VECTOR('',#433187,10.); #134566=VECTOR('',#433188,10.); #134567=VECTOR('',#433189,10.); #134568=VECTOR('',#433192,10.); #134569=VECTOR('',#433193,10.); #134570=VECTOR('',#433194,10.); #134571=VECTOR('',#433197,10.); #134572=VECTOR('',#433198,10.); #134573=VECTOR('',#433199,10.); #134574=VECTOR('',#433202,10.); #134575=VECTOR('',#433203,10.); #134576=VECTOR('',#433204,10.); #134577=VECTOR('',#433207,10.); #134578=VECTOR('',#433208,10.); #134579=VECTOR('',#433209,10.); #134580=VECTOR('',#433212,10.); #134581=VECTOR('',#433213,10.); #134582=VECTOR('',#433214,10.); #134583=VECTOR('',#433217,10.); #134584=VECTOR('',#433218,10.); #134585=VECTOR('',#433219,10.); #134586=VECTOR('',#433222,10.); #134587=VECTOR('',#433223,10.); #134588=VECTOR('',#433224,10.); #134589=VECTOR('',#433227,10.); #134590=VECTOR('',#433228,10.); #134591=VECTOR('',#433229,10.); #134592=VECTOR('',#433232,10.); #134593=VECTOR('',#433233,10.); #134594=VECTOR('',#433234,10.); #134595=VECTOR('',#433237,10.); #134596=VECTOR('',#433238,10.); #134597=VECTOR('',#433239,10.); #134598=VECTOR('',#433242,10.); #134599=VECTOR('',#433243,10.); #134600=VECTOR('',#433244,10.); #134601=VECTOR('',#433247,10.); #134602=VECTOR('',#433248,10.); #134603=VECTOR('',#433249,10.); #134604=VECTOR('',#433252,10.); #134605=VECTOR('',#433253,10.); #134606=VECTOR('',#433254,10.); #134607=VECTOR('',#433257,10.); #134608=VECTOR('',#433258,10.); #134609=VECTOR('',#433259,10.); #134610=VECTOR('',#433262,10.); #134611=VECTOR('',#433263,10.); #134612=VECTOR('',#433264,10.); #134613=VECTOR('',#433267,10.); #134614=VECTOR('',#433268,10.); #134615=VECTOR('',#433269,10.); #134616=VECTOR('',#433272,10.); #134617=VECTOR('',#433273,10.); #134618=VECTOR('',#433274,10.); #134619=VECTOR('',#433277,10.); #134620=VECTOR('',#433278,10.); #134621=VECTOR('',#433279,10.); #134622=VECTOR('',#433282,10.); #134623=VECTOR('',#433283,10.); #134624=VECTOR('',#433284,10.); #134625=VECTOR('',#433287,10.); #134626=VECTOR('',#433288,10.); #134627=VECTOR('',#433289,10.); #134628=VECTOR('',#433292,10.); #134629=VECTOR('',#433293,10.); #134630=VECTOR('',#433294,10.); #134631=VECTOR('',#433297,10.); #134632=VECTOR('',#433298,10.); #134633=VECTOR('',#433299,10.); #134634=VECTOR('',#433302,10.); #134635=VECTOR('',#433303,10.); #134636=VECTOR('',#433304,10.); #134637=VECTOR('',#433307,10.); #134638=VECTOR('',#433308,10.); #134639=VECTOR('',#433309,10.); #134640=VECTOR('',#433312,10.); #134641=VECTOR('',#433313,10.); #134642=VECTOR('',#433314,10.); #134643=VECTOR('',#433317,10.); #134644=VECTOR('',#433318,10.); #134645=VECTOR('',#433319,10.); #134646=VECTOR('',#433322,10.); #134647=VECTOR('',#433323,10.); #134648=VECTOR('',#433324,10.); #134649=VECTOR('',#433327,10.); #134650=VECTOR('',#433328,10.); #134651=VECTOR('',#433329,10.); #134652=VECTOR('',#433332,10.); #134653=VECTOR('',#433333,10.); #134654=VECTOR('',#433334,10.); #134655=VECTOR('',#433337,10.); #134656=VECTOR('',#433338,10.); #134657=VECTOR('',#433341,10.); #134658=VECTOR('',#433342,10.); #134659=VECTOR('',#433343,10.); #134660=VECTOR('',#433344,10.); #134661=VECTOR('',#433347,10.); #134662=VECTOR('',#433348,10.); #134663=VECTOR('',#433349,10.); #134664=VECTOR('',#433352,10.); #134665=VECTOR('',#433353,10.); #134666=VECTOR('',#433354,10.); #134667=VECTOR('',#433357,10.); #134668=VECTOR('',#433358,10.); #134669=VECTOR('',#433359,10.); #134670=VECTOR('',#433362,10.); #134671=VECTOR('',#433363,10.); #134672=VECTOR('',#433364,10.); #134673=VECTOR('',#433367,10.); #134674=VECTOR('',#433368,10.); #134675=VECTOR('',#433369,10.); #134676=VECTOR('',#433372,10.); #134677=VECTOR('',#433373,10.); #134678=VECTOR('',#433374,10.); #134679=VECTOR('',#433377,10.); #134680=VECTOR('',#433378,10.); #134681=VECTOR('',#433379,10.); #134682=VECTOR('',#433382,10.); #134683=VECTOR('',#433383,10.); #134684=VECTOR('',#433384,10.); #134685=VECTOR('',#433387,10.); #134686=VECTOR('',#433388,10.); #134687=VECTOR('',#433389,10.); #134688=VECTOR('',#433392,10.); #134689=VECTOR('',#433393,10.); #134690=VECTOR('',#433394,10.); #134691=VECTOR('',#433397,10.); #134692=VECTOR('',#433398,10.); #134693=VECTOR('',#433399,10.); #134694=VECTOR('',#433402,10.); #134695=VECTOR('',#433403,10.); #134696=VECTOR('',#433404,10.); #134697=VECTOR('',#433407,10.); #134698=VECTOR('',#433408,10.); #134699=VECTOR('',#433409,10.); #134700=VECTOR('',#433412,10.); #134701=VECTOR('',#433413,10.); #134702=VECTOR('',#433414,10.); #134703=VECTOR('',#433417,10.); #134704=VECTOR('',#433418,10.); #134705=VECTOR('',#433419,10.); #134706=VECTOR('',#433422,10.); #134707=VECTOR('',#433423,10.); #134708=VECTOR('',#433424,10.); #134709=VECTOR('',#433427,10.); #134710=VECTOR('',#433428,10.); #134711=VECTOR('',#433429,10.); #134712=VECTOR('',#433432,10.); #134713=VECTOR('',#433433,10.); #134714=VECTOR('',#433434,10.); #134715=VECTOR('',#433437,10.); #134716=VECTOR('',#433438,10.); #134717=VECTOR('',#433439,10.); #134718=VECTOR('',#433442,10.); #134719=VECTOR('',#433443,10.); #134720=VECTOR('',#433444,10.); #134721=VECTOR('',#433447,10.); #134722=VECTOR('',#433448,10.); #134723=VECTOR('',#433449,10.); #134724=VECTOR('',#433452,10.); #134725=VECTOR('',#433453,10.); #134726=VECTOR('',#433454,10.); #134727=VECTOR('',#433457,10.); #134728=VECTOR('',#433458,10.); #134729=VECTOR('',#433459,10.); #134730=VECTOR('',#433462,10.); #134731=VECTOR('',#433463,10.); #134732=VECTOR('',#433464,10.); #134733=VECTOR('',#433467,10.); #134734=VECTOR('',#433468,10.); #134735=VECTOR('',#433469,10.); #134736=VECTOR('',#433472,10.); #134737=VECTOR('',#433473,10.); #134738=VECTOR('',#433474,10.); #134739=VECTOR('',#433477,10.); #134740=VECTOR('',#433478,10.); #134741=VECTOR('',#433479,10.); #134742=VECTOR('',#433482,10.); #134743=VECTOR('',#433483,10.); #134744=VECTOR('',#433484,10.); #134745=VECTOR('',#433487,10.); #134746=VECTOR('',#433488,10.); #134747=VECTOR('',#433489,10.); #134748=VECTOR('',#433492,10.); #134749=VECTOR('',#433493,10.); #134750=VECTOR('',#433494,10.); #134751=VECTOR('',#433497,10.); #134752=VECTOR('',#433498,10.); #134753=VECTOR('',#433499,10.); #134754=VECTOR('',#433502,10.); #134755=VECTOR('',#433503,10.); #134756=VECTOR('',#433504,10.); #134757=VECTOR('',#433507,10.); #134758=VECTOR('',#433508,10.); #134759=VECTOR('',#433511,10.); #134760=VECTOR('',#433512,10.); #134761=VECTOR('',#433513,10.); #134762=VECTOR('',#433514,10.); #134763=VECTOR('',#433517,10.); #134764=VECTOR('',#433518,10.); #134765=VECTOR('',#433519,10.); #134766=VECTOR('',#433522,10.); #134767=VECTOR('',#433523,10.); #134768=VECTOR('',#433524,10.); #134769=VECTOR('',#433527,10.); #134770=VECTOR('',#433528,10.); #134771=VECTOR('',#433529,10.); #134772=VECTOR('',#433532,10.); #134773=VECTOR('',#433533,10.); #134774=VECTOR('',#433534,10.); #134775=VECTOR('',#433537,10.); #134776=VECTOR('',#433538,10.); #134777=VECTOR('',#433539,10.); #134778=VECTOR('',#433542,10.); #134779=VECTOR('',#433543,10.); #134780=VECTOR('',#433544,10.); #134781=VECTOR('',#433547,10.); #134782=VECTOR('',#433548,10.); #134783=VECTOR('',#433549,10.); #134784=VECTOR('',#433552,10.); #134785=VECTOR('',#433553,10.); #134786=VECTOR('',#433554,10.); #134787=VECTOR('',#433557,10.); #134788=VECTOR('',#433558,10.); #134789=VECTOR('',#433559,10.); #134790=VECTOR('',#433562,10.); #134791=VECTOR('',#433563,10.); #134792=VECTOR('',#433564,10.); #134793=VECTOR('',#433567,10.); #134794=VECTOR('',#433568,10.); #134795=VECTOR('',#433569,10.); #134796=VECTOR('',#433572,10.); #134797=VECTOR('',#433573,10.); #134798=VECTOR('',#433574,10.); #134799=VECTOR('',#433577,10.); #134800=VECTOR('',#433578,10.); #134801=VECTOR('',#433579,10.); #134802=VECTOR('',#433582,10.); #134803=VECTOR('',#433583,10.); #134804=VECTOR('',#433584,10.); #134805=VECTOR('',#433587,10.); #134806=VECTOR('',#433588,10.); #134807=VECTOR('',#433589,10.); #134808=VECTOR('',#433592,10.); #134809=VECTOR('',#433593,10.); #134810=VECTOR('',#433594,10.); #134811=VECTOR('',#433597,10.); #134812=VECTOR('',#433598,10.); #134813=VECTOR('',#433599,10.); #134814=VECTOR('',#433602,10.); #134815=VECTOR('',#433603,10.); #134816=VECTOR('',#433604,10.); #134817=VECTOR('',#433607,10.); #134818=VECTOR('',#433608,10.); #134819=VECTOR('',#433609,10.); #134820=VECTOR('',#433612,10.); #134821=VECTOR('',#433613,10.); #134822=VECTOR('',#433614,10.); #134823=VECTOR('',#433617,10.); #134824=VECTOR('',#433618,10.); #134825=VECTOR('',#433619,10.); #134826=VECTOR('',#433622,10.); #134827=VECTOR('',#433623,10.); #134828=VECTOR('',#433624,10.); #134829=VECTOR('',#433627,10.); #134830=VECTOR('',#433628,10.); #134831=VECTOR('',#433629,10.); #134832=VECTOR('',#433632,10.); #134833=VECTOR('',#433633,10.); #134834=VECTOR('',#433634,10.); #134835=VECTOR('',#433637,10.); #134836=VECTOR('',#433638,10.); #134837=VECTOR('',#433639,10.); #134838=VECTOR('',#433642,10.); #134839=VECTOR('',#433643,10.); #134840=VECTOR('',#433644,10.); #134841=VECTOR('',#433647,10.); #134842=VECTOR('',#433648,10.); #134843=VECTOR('',#433649,10.); #134844=VECTOR('',#433652,10.); #134845=VECTOR('',#433653,10.); #134846=VECTOR('',#433654,10.); #134847=VECTOR('',#433657,10.); #134848=VECTOR('',#433658,10.); #134849=VECTOR('',#433659,10.); #134850=VECTOR('',#433662,10.); #134851=VECTOR('',#433663,10.); #134852=VECTOR('',#433664,10.); #134853=VECTOR('',#433667,10.); #134854=VECTOR('',#433668,10.); #134855=VECTOR('',#433669,10.); #134856=VECTOR('',#433672,10.); #134857=VECTOR('',#433673,10.); #134858=VECTOR('',#433674,10.); #134859=VECTOR('',#433677,10.); #134860=VECTOR('',#433678,10.); #134861=VECTOR('',#433679,10.); #134862=VECTOR('',#433682,10.); #134863=VECTOR('',#433683,10.); #134864=VECTOR('',#433684,10.); #134865=VECTOR('',#433687,10.); #134866=VECTOR('',#433688,10.); #134867=VECTOR('',#433689,10.); #134868=VECTOR('',#433692,10.); #134869=VECTOR('',#433693,10.); #134870=VECTOR('',#433694,10.); #134871=VECTOR('',#433697,10.); #134872=VECTOR('',#433698,10.); #134873=VECTOR('',#433699,10.); #134874=VECTOR('',#433702,10.); #134875=VECTOR('',#433703,10.); #134876=VECTOR('',#433704,10.); #134877=VECTOR('',#433707,10.); #134878=VECTOR('',#433708,10.); #134879=VECTOR('',#433711,10.); #134880=VECTOR('',#433712,10.); #134881=VECTOR('',#433713,10.); #134882=VECTOR('',#433714,10.); #134883=VECTOR('',#433717,10.); #134884=VECTOR('',#433718,10.); #134885=VECTOR('',#433719,10.); #134886=VECTOR('',#433722,10.); #134887=VECTOR('',#433723,10.); #134888=VECTOR('',#433724,10.); #134889=VECTOR('',#433727,10.); #134890=VECTOR('',#433728,10.); #134891=VECTOR('',#433729,10.); #134892=VECTOR('',#433732,10.); #134893=VECTOR('',#433733,10.); #134894=VECTOR('',#433734,10.); #134895=VECTOR('',#433737,10.); #134896=VECTOR('',#433738,10.); #134897=VECTOR('',#433739,10.); #134898=VECTOR('',#433742,10.); #134899=VECTOR('',#433743,10.); #134900=VECTOR('',#433744,10.); #134901=VECTOR('',#433747,10.); #134902=VECTOR('',#433748,10.); #134903=VECTOR('',#433749,10.); #134904=VECTOR('',#433752,10.); #134905=VECTOR('',#433753,10.); #134906=VECTOR('',#433754,10.); #134907=VECTOR('',#433757,10.); #134908=VECTOR('',#433758,10.); #134909=VECTOR('',#433759,10.); #134910=VECTOR('',#433762,10.); #134911=VECTOR('',#433763,10.); #134912=VECTOR('',#433764,10.); #134913=VECTOR('',#433767,10.); #134914=VECTOR('',#433768,10.); #134915=VECTOR('',#433769,10.); #134916=VECTOR('',#433772,10.); #134917=VECTOR('',#433773,10.); #134918=VECTOR('',#433774,10.); #134919=VECTOR('',#433777,10.); #134920=VECTOR('',#433778,10.); #134921=VECTOR('',#433779,10.); #134922=VECTOR('',#433782,10.); #134923=VECTOR('',#433783,10.); #134924=VECTOR('',#433784,10.); #134925=VECTOR('',#433787,10.); #134926=VECTOR('',#433788,10.); #134927=VECTOR('',#433789,10.); #134928=VECTOR('',#433792,10.); #134929=VECTOR('',#433793,10.); #134930=VECTOR('',#433794,10.); #134931=VECTOR('',#433797,10.); #134932=VECTOR('',#433798,10.); #134933=VECTOR('',#433799,10.); #134934=VECTOR('',#433802,10.); #134935=VECTOR('',#433803,10.); #134936=VECTOR('',#433804,10.); #134937=VECTOR('',#433807,10.); #134938=VECTOR('',#433808,10.); #134939=VECTOR('',#433809,10.); #134940=VECTOR('',#433812,10.); #134941=VECTOR('',#433813,10.); #134942=VECTOR('',#433814,10.); #134943=VECTOR('',#433817,10.); #134944=VECTOR('',#433818,10.); #134945=VECTOR('',#433819,10.); #134946=VECTOR('',#433822,10.); #134947=VECTOR('',#433823,10.); #134948=VECTOR('',#433824,10.); #134949=VECTOR('',#433827,10.); #134950=VECTOR('',#433828,10.); #134951=VECTOR('',#433829,10.); #134952=VECTOR('',#433832,10.); #134953=VECTOR('',#433833,10.); #134954=VECTOR('',#433834,10.); #134955=VECTOR('',#433837,10.); #134956=VECTOR('',#433838,10.); #134957=VECTOR('',#433839,10.); #134958=VECTOR('',#433842,10.); #134959=VECTOR('',#433843,10.); #134960=VECTOR('',#433844,10.); #134961=VECTOR('',#433847,10.); #134962=VECTOR('',#433848,10.); #134963=VECTOR('',#433849,10.); #134964=VECTOR('',#433852,10.); #134965=VECTOR('',#433853,10.); #134966=VECTOR('',#433854,10.); #134967=VECTOR('',#433857,10.); #134968=VECTOR('',#433858,10.); #134969=VECTOR('',#433859,10.); #134970=VECTOR('',#433862,10.); #134971=VECTOR('',#433863,10.); #134972=VECTOR('',#433864,10.); #134973=VECTOR('',#433867,10.); #134974=VECTOR('',#433868,10.); #134975=VECTOR('',#433869,10.); #134976=VECTOR('',#433872,10.); #134977=VECTOR('',#433873,10.); #134978=VECTOR('',#433874,10.); #134979=VECTOR('',#433877,10.); #134980=VECTOR('',#433878,10.); #134981=VECTOR('',#433879,10.); #134982=VECTOR('',#433882,10.); #134983=VECTOR('',#433883,10.); #134984=VECTOR('',#433884,10.); #134985=VECTOR('',#433887,10.); #134986=VECTOR('',#433888,10.); #134987=VECTOR('',#433889,10.); #134988=VECTOR('',#433892,10.); #134989=VECTOR('',#433893,10.); #134990=VECTOR('',#433894,10.); #134991=VECTOR('',#433897,10.); #134992=VECTOR('',#433898,10.); #134993=VECTOR('',#433901,10.); #134994=VECTOR('',#433902,10.); #134995=VECTOR('',#433903,10.); #134996=VECTOR('',#433904,10.); #134997=VECTOR('',#433907,10.); #134998=VECTOR('',#433908,10.); #134999=VECTOR('',#433909,10.); #135000=VECTOR('',#433912,10.); #135001=VECTOR('',#433913,10.); #135002=VECTOR('',#433914,10.); #135003=VECTOR('',#433917,10.); #135004=VECTOR('',#433918,10.); #135005=VECTOR('',#433919,10.); #135006=VECTOR('',#433922,10.); #135007=VECTOR('',#433923,10.); #135008=VECTOR('',#433924,10.); #135009=VECTOR('',#433927,10.); #135010=VECTOR('',#433928,10.); #135011=VECTOR('',#433929,10.); #135012=VECTOR('',#433932,10.); #135013=VECTOR('',#433933,10.); #135014=VECTOR('',#433934,10.); #135015=VECTOR('',#433937,10.); #135016=VECTOR('',#433938,10.); #135017=VECTOR('',#433939,10.); #135018=VECTOR('',#433942,10.); #135019=VECTOR('',#433943,10.); #135020=VECTOR('',#433944,10.); #135021=VECTOR('',#433947,10.); #135022=VECTOR('',#433948,10.); #135023=VECTOR('',#433949,10.); #135024=VECTOR('',#433952,10.); #135025=VECTOR('',#433953,10.); #135026=VECTOR('',#433954,10.); #135027=VECTOR('',#433957,10.); #135028=VECTOR('',#433958,10.); #135029=VECTOR('',#433959,10.); #135030=VECTOR('',#433962,10.); #135031=VECTOR('',#433963,10.); #135032=VECTOR('',#433964,10.); #135033=VECTOR('',#433967,10.); #135034=VECTOR('',#433968,10.); #135035=VECTOR('',#433969,10.); #135036=VECTOR('',#433972,10.); #135037=VECTOR('',#433973,10.); #135038=VECTOR('',#433974,10.); #135039=VECTOR('',#433977,10.); #135040=VECTOR('',#433978,10.); #135041=VECTOR('',#433979,10.); #135042=VECTOR('',#433982,10.); #135043=VECTOR('',#433983,10.); #135044=VECTOR('',#433984,10.); #135045=VECTOR('',#433987,10.); #135046=VECTOR('',#433988,10.); #135047=VECTOR('',#433989,10.); #135048=VECTOR('',#433992,10.); #135049=VECTOR('',#433993,10.); #135050=VECTOR('',#433994,10.); #135051=VECTOR('',#433997,10.); #135052=VECTOR('',#433998,10.); #135053=VECTOR('',#433999,10.); #135054=VECTOR('',#434002,10.); #135055=VECTOR('',#434003,10.); #135056=VECTOR('',#434004,10.); #135057=VECTOR('',#434007,10.); #135058=VECTOR('',#434008,10.); #135059=VECTOR('',#434009,10.); #135060=VECTOR('',#434012,10.); #135061=VECTOR('',#434013,10.); #135062=VECTOR('',#434014,10.); #135063=VECTOR('',#434017,10.); #135064=VECTOR('',#434018,10.); #135065=VECTOR('',#434021,10.); #135066=VECTOR('',#434022,10.); #135067=VECTOR('',#434023,10.); #135068=VECTOR('',#434024,10.); #135069=VECTOR('',#434027,10.); #135070=VECTOR('',#434028,10.); #135071=VECTOR('',#434029,10.); #135072=VECTOR('',#434032,10.); #135073=VECTOR('',#434033,10.); #135074=VECTOR('',#434034,10.); #135075=VECTOR('',#434037,10.); #135076=VECTOR('',#434038,10.); #135077=VECTOR('',#434039,10.); #135078=VECTOR('',#434042,10.); #135079=VECTOR('',#434043,10.); #135080=VECTOR('',#434044,10.); #135081=VECTOR('',#434047,10.); #135082=VECTOR('',#434048,10.); #135083=VECTOR('',#434049,10.); #135084=VECTOR('',#434052,10.); #135085=VECTOR('',#434053,10.); #135086=VECTOR('',#434054,10.); #135087=VECTOR('',#434057,10.); #135088=VECTOR('',#434058,10.); #135089=VECTOR('',#434059,10.); #135090=VECTOR('',#434062,10.); #135091=VECTOR('',#434063,10.); #135092=VECTOR('',#434064,10.); #135093=VECTOR('',#434067,10.); #135094=VECTOR('',#434068,10.); #135095=VECTOR('',#434069,10.); #135096=VECTOR('',#434072,10.); #135097=VECTOR('',#434073,10.); #135098=VECTOR('',#434074,10.); #135099=VECTOR('',#434077,10.); #135100=VECTOR('',#434078,10.); #135101=VECTOR('',#434079,10.); #135102=VECTOR('',#434082,10.); #135103=VECTOR('',#434083,10.); #135104=VECTOR('',#434084,10.); #135105=VECTOR('',#434087,10.); #135106=VECTOR('',#434088,10.); #135107=VECTOR('',#434089,10.); #135108=VECTOR('',#434092,10.); #135109=VECTOR('',#434093,10.); #135110=VECTOR('',#434094,10.); #135111=VECTOR('',#434097,10.); #135112=VECTOR('',#434098,10.); #135113=VECTOR('',#434099,10.); #135114=VECTOR('',#434102,10.); #135115=VECTOR('',#434103,10.); #135116=VECTOR('',#434104,10.); #135117=VECTOR('',#434107,10.); #135118=VECTOR('',#434108,10.); #135119=VECTOR('',#434109,10.); #135120=VECTOR('',#434112,10.); #135121=VECTOR('',#434113,10.); #135122=VECTOR('',#434114,10.); #135123=VECTOR('',#434117,10.); #135124=VECTOR('',#434118,10.); #135125=VECTOR('',#434119,10.); #135126=VECTOR('',#434122,10.); #135127=VECTOR('',#434123,10.); #135128=VECTOR('',#434124,10.); #135129=VECTOR('',#434127,10.); #135130=VECTOR('',#434128,10.); #135131=VECTOR('',#434129,10.); #135132=VECTOR('',#434132,10.); #135133=VECTOR('',#434133,10.); #135134=VECTOR('',#434134,10.); #135135=VECTOR('',#434137,10.); #135136=VECTOR('',#434138,10.); #135137=VECTOR('',#434139,10.); #135138=VECTOR('',#434142,10.); #135139=VECTOR('',#434143,10.); #135140=VECTOR('',#434144,10.); #135141=VECTOR('',#434147,10.); #135142=VECTOR('',#434148,10.); #135143=VECTOR('',#434149,10.); #135144=VECTOR('',#434152,10.); #135145=VECTOR('',#434153,10.); #135146=VECTOR('',#434154,10.); #135147=VECTOR('',#434157,10.); #135148=VECTOR('',#434158,10.); #135149=VECTOR('',#434159,10.); #135150=VECTOR('',#434162,10.); #135151=VECTOR('',#434163,10.); #135152=VECTOR('',#434164,10.); #135153=VECTOR('',#434167,10.); #135154=VECTOR('',#434168,10.); #135155=VECTOR('',#434169,10.); #135156=VECTOR('',#434172,10.); #135157=VECTOR('',#434173,10.); #135158=VECTOR('',#434174,10.); #135159=VECTOR('',#434177,10.); #135160=VECTOR('',#434178,10.); #135161=VECTOR('',#434179,10.); #135162=VECTOR('',#434182,10.); #135163=VECTOR('',#434183,10.); #135164=VECTOR('',#434184,10.); #135165=VECTOR('',#434187,10.); #135166=VECTOR('',#434188,10.); #135167=VECTOR('',#434189,10.); #135168=VECTOR('',#434192,10.); #135169=VECTOR('',#434193,10.); #135170=VECTOR('',#434194,10.); #135171=VECTOR('',#434197,10.); #135172=VECTOR('',#434198,10.); #135173=VECTOR('',#434199,10.); #135174=VECTOR('',#434202,10.); #135175=VECTOR('',#434203,10.); #135176=VECTOR('',#434204,10.); #135177=VECTOR('',#434207,10.); #135178=VECTOR('',#434208,10.); #135179=VECTOR('',#434209,10.); #135180=VECTOR('',#434212,10.); #135181=VECTOR('',#434213,10.); #135182=VECTOR('',#434214,10.); #135183=VECTOR('',#434217,10.); #135184=VECTOR('',#434218,10.); #135185=VECTOR('',#434219,10.); #135186=VECTOR('',#434222,10.); #135187=VECTOR('',#434223,10.); #135188=VECTOR('',#434224,10.); #135189=VECTOR('',#434227,10.); #135190=VECTOR('',#434228,10.); #135191=VECTOR('',#434229,10.); #135192=VECTOR('',#434232,10.); #135193=VECTOR('',#434233,10.); #135194=VECTOR('',#434234,10.); #135195=VECTOR('',#434237,10.); #135196=VECTOR('',#434238,10.); #135197=VECTOR('',#434239,10.); #135198=VECTOR('',#434242,10.); #135199=VECTOR('',#434243,10.); #135200=VECTOR('',#434244,10.); #135201=VECTOR('',#434247,10.); #135202=VECTOR('',#434248,10.); #135203=VECTOR('',#434249,10.); #135204=VECTOR('',#434252,10.); #135205=VECTOR('',#434253,10.); #135206=VECTOR('',#434254,10.); #135207=VECTOR('',#434257,10.); #135208=VECTOR('',#434258,10.); #135209=VECTOR('',#434259,10.); #135210=VECTOR('',#434262,10.); #135211=VECTOR('',#434263,10.); #135212=VECTOR('',#434264,10.); #135213=VECTOR('',#434267,10.); #135214=VECTOR('',#434268,10.); #135215=VECTOR('',#434269,10.); #135216=VECTOR('',#434272,10.); #135217=VECTOR('',#434273,10.); #135218=VECTOR('',#434274,10.); #135219=VECTOR('',#434277,10.); #135220=VECTOR('',#434278,10.); #135221=VECTOR('',#434279,10.); #135222=VECTOR('',#434282,10.); #135223=VECTOR('',#434283,10.); #135224=VECTOR('',#434284,10.); #135225=VECTOR('',#434287,10.); #135226=VECTOR('',#434288,10.); #135227=VECTOR('',#434289,10.); #135228=VECTOR('',#434292,10.); #135229=VECTOR('',#434293,10.); #135230=VECTOR('',#434294,10.); #135231=VECTOR('',#434297,10.); #135232=VECTOR('',#434298,10.); #135233=VECTOR('',#434299,10.); #135234=VECTOR('',#434302,10.); #135235=VECTOR('',#434303,10.); #135236=VECTOR('',#434304,10.); #135237=VECTOR('',#434307,10.); #135238=VECTOR('',#434308,10.); #135239=VECTOR('',#434309,10.); #135240=VECTOR('',#434312,10.); #135241=VECTOR('',#434313,10.); #135242=VECTOR('',#434314,10.); #135243=VECTOR('',#434317,10.); #135244=VECTOR('',#434318,10.); #135245=VECTOR('',#434319,10.); #135246=VECTOR('',#434322,10.); #135247=VECTOR('',#434323,10.); #135248=VECTOR('',#434324,10.); #135249=VECTOR('',#434327,10.); #135250=VECTOR('',#434328,10.); #135251=VECTOR('',#434329,10.); #135252=VECTOR('',#434332,10.); #135253=VECTOR('',#434333,10.); #135254=VECTOR('',#434334,10.); #135255=VECTOR('',#434337,10.); #135256=VECTOR('',#434338,10.); #135257=VECTOR('',#434339,10.); #135258=VECTOR('',#434342,10.); #135259=VECTOR('',#434343,10.); #135260=VECTOR('',#434344,10.); #135261=VECTOR('',#434347,10.); #135262=VECTOR('',#434348,10.); #135263=VECTOR('',#434349,10.); #135264=VECTOR('',#434352,10.); #135265=VECTOR('',#434353,10.); #135266=VECTOR('',#434354,10.); #135267=VECTOR('',#434357,10.); #135268=VECTOR('',#434358,10.); #135269=VECTOR('',#434359,10.); #135270=VECTOR('',#434362,10.); #135271=VECTOR('',#434363,10.); #135272=VECTOR('',#434364,10.); #135273=VECTOR('',#434367,10.); #135274=VECTOR('',#434368,10.); #135275=VECTOR('',#434369,10.); #135276=VECTOR('',#434372,10.); #135277=VECTOR('',#434373,10.); #135278=VECTOR('',#434374,10.); #135279=VECTOR('',#434377,10.); #135280=VECTOR('',#434378,10.); #135281=VECTOR('',#434379,10.); #135282=VECTOR('',#434382,10.); #135283=VECTOR('',#434383,10.); #135284=VECTOR('',#434384,10.); #135285=VECTOR('',#434387,10.); #135286=VECTOR('',#434388,10.); #135287=VECTOR('',#434389,10.); #135288=VECTOR('',#434392,10.); #135289=VECTOR('',#434393,10.); #135290=VECTOR('',#434394,10.); #135291=VECTOR('',#434397,10.); #135292=VECTOR('',#434398,10.); #135293=VECTOR('',#434399,10.); #135294=VECTOR('',#434402,10.); #135295=VECTOR('',#434403,10.); #135296=VECTOR('',#434404,10.); #135297=VECTOR('',#434407,10.); #135298=VECTOR('',#434408,10.); #135299=VECTOR('',#434409,10.); #135300=VECTOR('',#434412,10.); #135301=VECTOR('',#434413,10.); #135302=VECTOR('',#434414,10.); #135303=VECTOR('',#434417,10.); #135304=VECTOR('',#434418,10.); #135305=VECTOR('',#434419,10.); #135306=VECTOR('',#434422,10.); #135307=VECTOR('',#434423,10.); #135308=VECTOR('',#434424,10.); #135309=VECTOR('',#434427,10.); #135310=VECTOR('',#434428,10.); #135311=VECTOR('',#434429,10.); #135312=VECTOR('',#434432,10.); #135313=VECTOR('',#434433,10.); #135314=VECTOR('',#434434,10.); #135315=VECTOR('',#434437,10.); #135316=VECTOR('',#434438,10.); #135317=VECTOR('',#434439,10.); #135318=VECTOR('',#434442,10.); #135319=VECTOR('',#434443,10.); #135320=VECTOR('',#434444,10.); #135321=VECTOR('',#434447,10.); #135322=VECTOR('',#434448,10.); #135323=VECTOR('',#434449,10.); #135324=VECTOR('',#434452,10.); #135325=VECTOR('',#434453,10.); #135326=VECTOR('',#434454,10.); #135327=VECTOR('',#434457,10.); #135328=VECTOR('',#434458,10.); #135329=VECTOR('',#434459,10.); #135330=VECTOR('',#434462,10.); #135331=VECTOR('',#434463,10.); #135332=VECTOR('',#434464,10.); #135333=VECTOR('',#434467,10.); #135334=VECTOR('',#434468,10.); #135335=VECTOR('',#434469,10.); #135336=VECTOR('',#434472,10.); #135337=VECTOR('',#434473,10.); #135338=VECTOR('',#434474,10.); #135339=VECTOR('',#434477,10.); #135340=VECTOR('',#434478,10.); #135341=VECTOR('',#434479,10.); #135342=VECTOR('',#434482,10.); #135343=VECTOR('',#434483,10.); #135344=VECTOR('',#434484,10.); #135345=VECTOR('',#434487,10.); #135346=VECTOR('',#434488,10.); #135347=VECTOR('',#434489,10.); #135348=VECTOR('',#434492,10.); #135349=VECTOR('',#434493,10.); #135350=VECTOR('',#434494,10.); #135351=VECTOR('',#434497,10.); #135352=VECTOR('',#434498,10.); #135353=VECTOR('',#434499,10.); #135354=VECTOR('',#434502,10.); #135355=VECTOR('',#434503,10.); #135356=VECTOR('',#434504,10.); #135357=VECTOR('',#434507,10.); #135358=VECTOR('',#434508,10.); #135359=VECTOR('',#434509,10.); #135360=VECTOR('',#434512,10.); #135361=VECTOR('',#434513,10.); #135362=VECTOR('',#434514,10.); #135363=VECTOR('',#434517,10.); #135364=VECTOR('',#434518,10.); #135365=VECTOR('',#434519,10.); #135366=VECTOR('',#434522,10.); #135367=VECTOR('',#434523,10.); #135368=VECTOR('',#434524,10.); #135369=VECTOR('',#434527,10.); #135370=VECTOR('',#434528,10.); #135371=VECTOR('',#434529,10.); #135372=VECTOR('',#434532,10.); #135373=VECTOR('',#434533,10.); #135374=VECTOR('',#434534,10.); #135375=VECTOR('',#434537,10.); #135376=VECTOR('',#434538,10.); #135377=VECTOR('',#434539,10.); #135378=VECTOR('',#434542,10.); #135379=VECTOR('',#434543,10.); #135380=VECTOR('',#434544,10.); #135381=VECTOR('',#434547,10.); #135382=VECTOR('',#434548,10.); #135383=VECTOR('',#434549,10.); #135384=VECTOR('',#434552,10.); #135385=VECTOR('',#434553,10.); #135386=VECTOR('',#434554,10.); #135387=VECTOR('',#434557,10.); #135388=VECTOR('',#434558,10.); #135389=VECTOR('',#434559,10.); #135390=VECTOR('',#434562,10.); #135391=VECTOR('',#434563,10.); #135392=VECTOR('',#434564,10.); #135393=VECTOR('',#434567,10.); #135394=VECTOR('',#434568,10.); #135395=VECTOR('',#434569,10.); #135396=VECTOR('',#434572,10.); #135397=VECTOR('',#434573,10.); #135398=VECTOR('',#434574,10.); #135399=VECTOR('',#434577,10.); #135400=VECTOR('',#434578,10.); #135401=VECTOR('',#434579,10.); #135402=VECTOR('',#434582,10.); #135403=VECTOR('',#434583,10.); #135404=VECTOR('',#434584,10.); #135405=VECTOR('',#434587,10.); #135406=VECTOR('',#434588,10.); #135407=VECTOR('',#434589,10.); #135408=VECTOR('',#434592,10.); #135409=VECTOR('',#434593,10.); #135410=VECTOR('',#434594,10.); #135411=VECTOR('',#434597,10.); #135412=VECTOR('',#434598,10.); #135413=VECTOR('',#434599,10.); #135414=VECTOR('',#434602,10.); #135415=VECTOR('',#434603,10.); #135416=VECTOR('',#434604,10.); #135417=VECTOR('',#434607,10.); #135418=VECTOR('',#434608,10.); #135419=VECTOR('',#434609,10.); #135420=VECTOR('',#434612,10.); #135421=VECTOR('',#434613,10.); #135422=VECTOR('',#434614,10.); #135423=VECTOR('',#434617,10.); #135424=VECTOR('',#434618,10.); #135425=VECTOR('',#434619,10.); #135426=VECTOR('',#434622,10.); #135427=VECTOR('',#434623,10.); #135428=VECTOR('',#434624,10.); #135429=VECTOR('',#434627,10.); #135430=VECTOR('',#434628,10.); #135431=VECTOR('',#434629,10.); #135432=VECTOR('',#434632,10.); #135433=VECTOR('',#434633,10.); #135434=VECTOR('',#434634,10.); #135435=VECTOR('',#434637,10.); #135436=VECTOR('',#434638,10.); #135437=VECTOR('',#434639,10.); #135438=VECTOR('',#434642,10.); #135439=VECTOR('',#434643,10.); #135440=VECTOR('',#434644,10.); #135441=VECTOR('',#434647,10.); #135442=VECTOR('',#434648,10.); #135443=VECTOR('',#434649,10.); #135444=VECTOR('',#434652,10.); #135445=VECTOR('',#434653,10.); #135446=VECTOR('',#434654,10.); #135447=VECTOR('',#434657,10.); #135448=VECTOR('',#434658,10.); #135449=VECTOR('',#434659,10.); #135450=VECTOR('',#434662,10.); #135451=VECTOR('',#434663,10.); #135452=VECTOR('',#434664,10.); #135453=VECTOR('',#434667,10.); #135454=VECTOR('',#434668,10.); #135455=VECTOR('',#434669,10.); #135456=VECTOR('',#434672,10.); #135457=VECTOR('',#434673,10.); #135458=VECTOR('',#434674,10.); #135459=VECTOR('',#434677,10.); #135460=VECTOR('',#434678,10.); #135461=VECTOR('',#434679,10.); #135462=VECTOR('',#434682,10.); #135463=VECTOR('',#434683,10.); #135464=VECTOR('',#434684,10.); #135465=VECTOR('',#434687,10.); #135466=VECTOR('',#434688,10.); #135467=VECTOR('',#434689,10.); #135468=VECTOR('',#434692,10.); #135469=VECTOR('',#434693,10.); #135470=VECTOR('',#434694,10.); #135471=VECTOR('',#434697,10.); #135472=VECTOR('',#434698,10.); #135473=VECTOR('',#434699,10.); #135474=VECTOR('',#434702,10.); #135475=VECTOR('',#434703,10.); #135476=VECTOR('',#434704,10.); #135477=VECTOR('',#434707,10.); #135478=VECTOR('',#434708,10.); #135479=VECTOR('',#434709,10.); #135480=VECTOR('',#434712,10.); #135481=VECTOR('',#434713,10.); #135482=VECTOR('',#434714,10.); #135483=VECTOR('',#434717,10.); #135484=VECTOR('',#434718,10.); #135485=VECTOR('',#434719,10.); #135486=VECTOR('',#434722,10.); #135487=VECTOR('',#434723,10.); #135488=VECTOR('',#434724,10.); #135489=VECTOR('',#434727,10.); #135490=VECTOR('',#434728,10.); #135491=VECTOR('',#434729,10.); #135492=VECTOR('',#434732,10.); #135493=VECTOR('',#434733,10.); #135494=VECTOR('',#434734,10.); #135495=VECTOR('',#434737,10.); #135496=VECTOR('',#434738,10.); #135497=VECTOR('',#434739,10.); #135498=VECTOR('',#434742,10.); #135499=VECTOR('',#434743,10.); #135500=VECTOR('',#434744,10.); #135501=VECTOR('',#434747,10.); #135502=VECTOR('',#434748,10.); #135503=VECTOR('',#434749,10.); #135504=VECTOR('',#434752,10.); #135505=VECTOR('',#434753,10.); #135506=VECTOR('',#434754,10.); #135507=VECTOR('',#434757,10.); #135508=VECTOR('',#434758,10.); #135509=VECTOR('',#434759,10.); #135510=VECTOR('',#434762,10.); #135511=VECTOR('',#434763,10.); #135512=VECTOR('',#434764,10.); #135513=VECTOR('',#434767,10.); #135514=VECTOR('',#434768,10.); #135515=VECTOR('',#434769,10.); #135516=VECTOR('',#434772,10.); #135517=VECTOR('',#434773,10.); #135518=VECTOR('',#434774,10.); #135519=VECTOR('',#434777,10.); #135520=VECTOR('',#434778,10.); #135521=VECTOR('',#434779,10.); #135522=VECTOR('',#434782,10.); #135523=VECTOR('',#434783,10.); #135524=VECTOR('',#434784,10.); #135525=VECTOR('',#434787,10.); #135526=VECTOR('',#434788,10.); #135527=VECTOR('',#434789,10.); #135528=VECTOR('',#434792,10.); #135529=VECTOR('',#434793,10.); #135530=VECTOR('',#434794,10.); #135531=VECTOR('',#434797,10.); #135532=VECTOR('',#434798,10.); #135533=VECTOR('',#434799,10.); #135534=VECTOR('',#434802,10.); #135535=VECTOR('',#434803,10.); #135536=VECTOR('',#434804,10.); #135537=VECTOR('',#434807,10.); #135538=VECTOR('',#434808,10.); #135539=VECTOR('',#434809,10.); #135540=VECTOR('',#434812,10.); #135541=VECTOR('',#434813,10.); #135542=VECTOR('',#434814,10.); #135543=VECTOR('',#434817,10.); #135544=VECTOR('',#434818,10.); #135545=VECTOR('',#434819,10.); #135546=VECTOR('',#434822,10.); #135547=VECTOR('',#434823,10.); #135548=VECTOR('',#434824,10.); #135549=VECTOR('',#434827,10.); #135550=VECTOR('',#434828,10.); #135551=VECTOR('',#434829,10.); #135552=VECTOR('',#434832,10.); #135553=VECTOR('',#434833,10.); #135554=VECTOR('',#434834,10.); #135555=VECTOR('',#434837,10.); #135556=VECTOR('',#434838,10.); #135557=VECTOR('',#434839,10.); #135558=VECTOR('',#434842,10.); #135559=VECTOR('',#434843,10.); #135560=VECTOR('',#434844,10.); #135561=VECTOR('',#434847,10.); #135562=VECTOR('',#434848,10.); #135563=VECTOR('',#434849,10.); #135564=VECTOR('',#434852,10.); #135565=VECTOR('',#434853,10.); #135566=VECTOR('',#434854,10.); #135567=VECTOR('',#434857,10.); #135568=VECTOR('',#434858,10.); #135569=VECTOR('',#434859,10.); #135570=VECTOR('',#434862,10.); #135571=VECTOR('',#434863,10.); #135572=VECTOR('',#434864,10.); #135573=VECTOR('',#434867,10.); #135574=VECTOR('',#434868,10.); #135575=VECTOR('',#434869,10.); #135576=VECTOR('',#434872,10.); #135577=VECTOR('',#434873,10.); #135578=VECTOR('',#434874,10.); #135579=VECTOR('',#434877,10.); #135580=VECTOR('',#434878,10.); #135581=VECTOR('',#434879,10.); #135582=VECTOR('',#434882,10.); #135583=VECTOR('',#434883,10.); #135584=VECTOR('',#434884,10.); #135585=VECTOR('',#434887,10.); #135586=VECTOR('',#434888,10.); #135587=VECTOR('',#434889,10.); #135588=VECTOR('',#434892,10.); #135589=VECTOR('',#434893,10.); #135590=VECTOR('',#434894,10.); #135591=VECTOR('',#434897,10.); #135592=VECTOR('',#434898,10.); #135593=VECTOR('',#434899,10.); #135594=VECTOR('',#434902,10.); #135595=VECTOR('',#434903,10.); #135596=VECTOR('',#434904,10.); #135597=VECTOR('',#434907,10.); #135598=VECTOR('',#434908,10.); #135599=VECTOR('',#434909,10.); #135600=VECTOR('',#434912,10.); #135601=VECTOR('',#434913,10.); #135602=VECTOR('',#434914,10.); #135603=VECTOR('',#434917,10.); #135604=VECTOR('',#434918,10.); #135605=VECTOR('',#434919,10.); #135606=VECTOR('',#434922,10.); #135607=VECTOR('',#434923,10.); #135608=VECTOR('',#434924,10.); #135609=VECTOR('',#434927,10.); #135610=VECTOR('',#434928,10.); #135611=VECTOR('',#434929,10.); #135612=VECTOR('',#434932,10.); #135613=VECTOR('',#434933,10.); #135614=VECTOR('',#434934,10.); #135615=VECTOR('',#434937,10.); #135616=VECTOR('',#434938,10.); #135617=VECTOR('',#434939,10.); #135618=VECTOR('',#434942,10.); #135619=VECTOR('',#434943,10.); #135620=VECTOR('',#434944,10.); #135621=VECTOR('',#434947,10.); #135622=VECTOR('',#434948,10.); #135623=VECTOR('',#434949,10.); #135624=VECTOR('',#434952,10.); #135625=VECTOR('',#434953,10.); #135626=VECTOR('',#434954,10.); #135627=VECTOR('',#434957,10.); #135628=VECTOR('',#434958,10.); #135629=VECTOR('',#434959,10.); #135630=VECTOR('',#434962,10.); #135631=VECTOR('',#434963,10.); #135632=VECTOR('',#434964,10.); #135633=VECTOR('',#434967,10.); #135634=VECTOR('',#434968,10.); #135635=VECTOR('',#434969,10.); #135636=VECTOR('',#434972,10.); #135637=VECTOR('',#434973,10.); #135638=VECTOR('',#434974,10.); #135639=VECTOR('',#434977,10.); #135640=VECTOR('',#434978,10.); #135641=VECTOR('',#434979,10.); #135642=VECTOR('',#434982,10.); #135643=VECTOR('',#434983,10.); #135644=VECTOR('',#434984,10.); #135645=VECTOR('',#434987,10.); #135646=VECTOR('',#434988,10.); #135647=VECTOR('',#434989,10.); #135648=VECTOR('',#434992,10.); #135649=VECTOR('',#434993,10.); #135650=VECTOR('',#434994,10.); #135651=VECTOR('',#434997,10.); #135652=VECTOR('',#434998,10.); #135653=VECTOR('',#434999,10.); #135654=VECTOR('',#435002,10.); #135655=VECTOR('',#435003,10.); #135656=VECTOR('',#435004,10.); #135657=VECTOR('',#435007,10.); #135658=VECTOR('',#435008,10.); #135659=VECTOR('',#435009,10.); #135660=VECTOR('',#435012,10.); #135661=VECTOR('',#435013,10.); #135662=VECTOR('',#435014,10.); #135663=VECTOR('',#435017,10.); #135664=VECTOR('',#435018,10.); #135665=VECTOR('',#435019,10.); #135666=VECTOR('',#435022,10.); #135667=VECTOR('',#435023,10.); #135668=VECTOR('',#435024,10.); #135669=VECTOR('',#435027,10.); #135670=VECTOR('',#435028,10.); #135671=VECTOR('',#435029,10.); #135672=VECTOR('',#435032,10.); #135673=VECTOR('',#435033,10.); #135674=VECTOR('',#435034,10.); #135675=VECTOR('',#435037,10.); #135676=VECTOR('',#435038,10.); #135677=VECTOR('',#435039,10.); #135678=VECTOR('',#435042,10.); #135679=VECTOR('',#435043,10.); #135680=VECTOR('',#435044,10.); #135681=VECTOR('',#435047,10.); #135682=VECTOR('',#435048,10.); #135683=VECTOR('',#435049,10.); #135684=VECTOR('',#435052,10.); #135685=VECTOR('',#435053,10.); #135686=VECTOR('',#435054,10.); #135687=VECTOR('',#435057,10.); #135688=VECTOR('',#435058,10.); #135689=VECTOR('',#435059,10.); #135690=VECTOR('',#435062,10.); #135691=VECTOR('',#435063,10.); #135692=VECTOR('',#435064,10.); #135693=VECTOR('',#435067,10.); #135694=VECTOR('',#435068,10.); #135695=VECTOR('',#435069,10.); #135696=VECTOR('',#435072,10.); #135697=VECTOR('',#435073,10.); #135698=VECTOR('',#435074,10.); #135699=VECTOR('',#435077,10.); #135700=VECTOR('',#435078,10.); #135701=VECTOR('',#435079,10.); #135702=VECTOR('',#435082,10.); #135703=VECTOR('',#435083,10.); #135704=VECTOR('',#435084,10.); #135705=VECTOR('',#435087,10.); #135706=VECTOR('',#435088,10.); #135707=VECTOR('',#435089,10.); #135708=VECTOR('',#435092,10.); #135709=VECTOR('',#435093,10.); #135710=VECTOR('',#435094,10.); #135711=VECTOR('',#435097,10.); #135712=VECTOR('',#435098,10.); #135713=VECTOR('',#435099,10.); #135714=VECTOR('',#435102,10.); #135715=VECTOR('',#435103,10.); #135716=VECTOR('',#435104,10.); #135717=VECTOR('',#435107,10.); #135718=VECTOR('',#435108,10.); #135719=VECTOR('',#435109,10.); #135720=VECTOR('',#435112,10.); #135721=VECTOR('',#435113,10.); #135722=VECTOR('',#435114,10.); #135723=VECTOR('',#435117,10.); #135724=VECTOR('',#435118,10.); #135725=VECTOR('',#435119,10.); #135726=VECTOR('',#435122,10.); #135727=VECTOR('',#435123,10.); #135728=VECTOR('',#435124,10.); #135729=VECTOR('',#435127,10.); #135730=VECTOR('',#435128,10.); #135731=VECTOR('',#435129,10.); #135732=VECTOR('',#435132,10.); #135733=VECTOR('',#435133,10.); #135734=VECTOR('',#435134,10.); #135735=VECTOR('',#435137,10.); #135736=VECTOR('',#435138,10.); #135737=VECTOR('',#435139,10.); #135738=VECTOR('',#435142,10.); #135739=VECTOR('',#435143,10.); #135740=VECTOR('',#435144,10.); #135741=VECTOR('',#435147,10.); #135742=VECTOR('',#435148,10.); #135743=VECTOR('',#435149,10.); #135744=VECTOR('',#435152,10.); #135745=VECTOR('',#435153,10.); #135746=VECTOR('',#435154,10.); #135747=VECTOR('',#435157,10.); #135748=VECTOR('',#435158,10.); #135749=VECTOR('',#435159,10.); #135750=VECTOR('',#435162,10.); #135751=VECTOR('',#435163,10.); #135752=VECTOR('',#435164,10.); #135753=VECTOR('',#435167,10.); #135754=VECTOR('',#435168,10.); #135755=VECTOR('',#435169,10.); #135756=VECTOR('',#435172,10.); #135757=VECTOR('',#435173,10.); #135758=VECTOR('',#435174,10.); #135759=VECTOR('',#435177,10.); #135760=VECTOR('',#435178,10.); #135761=VECTOR('',#435179,10.); #135762=VECTOR('',#435182,10.); #135763=VECTOR('',#435183,10.); #135764=VECTOR('',#435184,10.); #135765=VECTOR('',#435187,10.); #135766=VECTOR('',#435188,10.); #135767=VECTOR('',#435189,10.); #135768=VECTOR('',#435192,10.); #135769=VECTOR('',#435193,10.); #135770=VECTOR('',#435194,10.); #135771=VECTOR('',#435197,10.); #135772=VECTOR('',#435198,10.); #135773=VECTOR('',#435199,10.); #135774=VECTOR('',#435202,10.); #135775=VECTOR('',#435203,10.); #135776=VECTOR('',#435204,10.); #135777=VECTOR('',#435207,10.); #135778=VECTOR('',#435208,10.); #135779=VECTOR('',#435209,10.); #135780=VECTOR('',#435212,10.); #135781=VECTOR('',#435213,10.); #135782=VECTOR('',#435214,10.); #135783=VECTOR('',#435217,10.); #135784=VECTOR('',#435218,10.); #135785=VECTOR('',#435219,10.); #135786=VECTOR('',#435222,10.); #135787=VECTOR('',#435223,10.); #135788=VECTOR('',#435224,10.); #135789=VECTOR('',#435227,10.); #135790=VECTOR('',#435228,10.); #135791=VECTOR('',#435229,10.); #135792=VECTOR('',#435232,10.); #135793=VECTOR('',#435233,10.); #135794=VECTOR('',#435234,10.); #135795=VECTOR('',#435237,10.); #135796=VECTOR('',#435238,10.); #135797=VECTOR('',#435239,10.); #135798=VECTOR('',#435242,10.); #135799=VECTOR('',#435243,10.); #135800=VECTOR('',#435244,10.); #135801=VECTOR('',#435247,10.); #135802=VECTOR('',#435248,10.); #135803=VECTOR('',#435249,10.); #135804=VECTOR('',#435252,10.); #135805=VECTOR('',#435253,10.); #135806=VECTOR('',#435254,10.); #135807=VECTOR('',#435257,10.); #135808=VECTOR('',#435258,10.); #135809=VECTOR('',#435259,10.); #135810=VECTOR('',#435262,10.); #135811=VECTOR('',#435263,10.); #135812=VECTOR('',#435264,10.); #135813=VECTOR('',#435267,10.); #135814=VECTOR('',#435268,10.); #135815=VECTOR('',#435269,10.); #135816=VECTOR('',#435272,10.); #135817=VECTOR('',#435273,10.); #135818=VECTOR('',#435274,10.); #135819=VECTOR('',#435277,10.); #135820=VECTOR('',#435278,10.); #135821=VECTOR('',#435279,10.); #135822=VECTOR('',#435282,10.); #135823=VECTOR('',#435283,10.); #135824=VECTOR('',#435284,10.); #135825=VECTOR('',#435287,10.); #135826=VECTOR('',#435288,10.); #135827=VECTOR('',#435289,10.); #135828=VECTOR('',#435292,10.); #135829=VECTOR('',#435293,10.); #135830=VECTOR('',#435294,10.); #135831=VECTOR('',#435297,10.); #135832=VECTOR('',#435298,10.); #135833=VECTOR('',#435299,10.); #135834=VECTOR('',#435302,10.); #135835=VECTOR('',#435303,10.); #135836=VECTOR('',#435304,10.); #135837=VECTOR('',#435307,10.); #135838=VECTOR('',#435308,10.); #135839=VECTOR('',#435309,10.); #135840=VECTOR('',#435312,10.); #135841=VECTOR('',#435313,10.); #135842=VECTOR('',#435314,10.); #135843=VECTOR('',#435317,10.); #135844=VECTOR('',#435318,10.); #135845=VECTOR('',#435319,10.); #135846=VECTOR('',#435322,10.); #135847=VECTOR('',#435323,10.); #135848=VECTOR('',#435324,10.); #135849=VECTOR('',#435327,10.); #135850=VECTOR('',#435328,10.); #135851=VECTOR('',#435329,10.); #135852=VECTOR('',#435332,10.); #135853=VECTOR('',#435333,10.); #135854=VECTOR('',#435334,10.); #135855=VECTOR('',#435337,10.); #135856=VECTOR('',#435338,10.); #135857=VECTOR('',#435339,10.); #135858=VECTOR('',#435342,10.); #135859=VECTOR('',#435343,10.); #135860=VECTOR('',#435344,10.); #135861=VECTOR('',#435347,10.); #135862=VECTOR('',#435348,10.); #135863=VECTOR('',#435349,10.); #135864=VECTOR('',#435352,10.); #135865=VECTOR('',#435353,10.); #135866=VECTOR('',#435354,10.); #135867=VECTOR('',#435357,10.); #135868=VECTOR('',#435358,10.); #135869=VECTOR('',#435359,10.); #135870=VECTOR('',#435362,10.); #135871=VECTOR('',#435363,10.); #135872=VECTOR('',#435364,10.); #135873=VECTOR('',#435367,10.); #135874=VECTOR('',#435368,10.); #135875=VECTOR('',#435369,10.); #135876=VECTOR('',#435372,10.); #135877=VECTOR('',#435373,10.); #135878=VECTOR('',#435374,10.); #135879=VECTOR('',#435377,10.); #135880=VECTOR('',#435378,10.); #135881=VECTOR('',#435379,10.); #135882=VECTOR('',#435382,10.); #135883=VECTOR('',#435383,10.); #135884=VECTOR('',#435384,10.); #135885=VECTOR('',#435387,10.); #135886=VECTOR('',#435388,10.); #135887=VECTOR('',#435389,10.); #135888=VECTOR('',#435392,10.); #135889=VECTOR('',#435393,10.); #135890=VECTOR('',#435394,10.); #135891=VECTOR('',#435397,10.); #135892=VECTOR('',#435398,10.); #135893=VECTOR('',#435399,10.); #135894=VECTOR('',#435402,10.); #135895=VECTOR('',#435403,10.); #135896=VECTOR('',#435404,10.); #135897=VECTOR('',#435407,10.); #135898=VECTOR('',#435408,10.); #135899=VECTOR('',#435409,10.); #135900=VECTOR('',#435412,10.); #135901=VECTOR('',#435413,10.); #135902=VECTOR('',#435414,10.); #135903=VECTOR('',#435417,10.); #135904=VECTOR('',#435418,10.); #135905=VECTOR('',#435419,10.); #135906=VECTOR('',#435422,10.); #135907=VECTOR('',#435423,10.); #135908=VECTOR('',#435424,10.); #135909=VECTOR('',#435427,10.); #135910=VECTOR('',#435428,10.); #135911=VECTOR('',#435429,10.); #135912=VECTOR('',#435432,10.); #135913=VECTOR('',#435433,10.); #135914=VECTOR('',#435434,10.); #135915=VECTOR('',#435437,10.); #135916=VECTOR('',#435438,10.); #135917=VECTOR('',#435439,10.); #135918=VECTOR('',#435442,10.); #135919=VECTOR('',#435443,10.); #135920=VECTOR('',#435444,10.); #135921=VECTOR('',#435447,10.); #135922=VECTOR('',#435448,10.); #135923=VECTOR('',#435449,10.); #135924=VECTOR('',#435452,10.); #135925=VECTOR('',#435453,10.); #135926=VECTOR('',#435454,10.); #135927=VECTOR('',#435457,10.); #135928=VECTOR('',#435458,10.); #135929=VECTOR('',#435459,10.); #135930=VECTOR('',#435462,10.); #135931=VECTOR('',#435463,10.); #135932=VECTOR('',#435464,10.); #135933=VECTOR('',#435467,10.); #135934=VECTOR('',#435468,10.); #135935=VECTOR('',#435469,10.); #135936=VECTOR('',#435472,10.); #135937=VECTOR('',#435473,10.); #135938=VECTOR('',#435474,10.); #135939=VECTOR('',#435477,10.); #135940=VECTOR('',#435478,10.); #135941=VECTOR('',#435479,10.); #135942=VECTOR('',#435482,10.); #135943=VECTOR('',#435483,10.); #135944=VECTOR('',#435484,10.); #135945=VECTOR('',#435487,10.); #135946=VECTOR('',#435488,10.); #135947=VECTOR('',#435489,10.); #135948=VECTOR('',#435492,10.); #135949=VECTOR('',#435493,10.); #135950=VECTOR('',#435494,10.); #135951=VECTOR('',#435497,10.); #135952=VECTOR('',#435498,10.); #135953=VECTOR('',#435499,10.); #135954=VECTOR('',#435502,10.); #135955=VECTOR('',#435503,10.); #135956=VECTOR('',#435504,10.); #135957=VECTOR('',#435507,10.); #135958=VECTOR('',#435508,10.); #135959=VECTOR('',#435509,10.); #135960=VECTOR('',#435512,10.); #135961=VECTOR('',#435513,10.); #135962=VECTOR('',#435514,10.); #135963=VECTOR('',#435517,10.); #135964=VECTOR('',#435518,10.); #135965=VECTOR('',#435519,10.); #135966=VECTOR('',#435522,10.); #135967=VECTOR('',#435523,10.); #135968=VECTOR('',#435524,10.); #135969=VECTOR('',#435527,10.); #135970=VECTOR('',#435528,10.); #135971=VECTOR('',#435529,10.); #135972=VECTOR('',#435532,10.); #135973=VECTOR('',#435533,10.); #135974=VECTOR('',#435534,10.); #135975=VECTOR('',#435537,10.); #135976=VECTOR('',#435538,10.); #135977=VECTOR('',#435539,10.); #135978=VECTOR('',#435542,10.); #135979=VECTOR('',#435543,10.); #135980=VECTOR('',#435544,10.); #135981=VECTOR('',#435547,10.); #135982=VECTOR('',#435548,10.); #135983=VECTOR('',#435549,10.); #135984=VECTOR('',#435552,10.); #135985=VECTOR('',#435553,10.); #135986=VECTOR('',#435554,10.); #135987=VECTOR('',#435557,10.); #135988=VECTOR('',#435558,10.); #135989=VECTOR('',#435559,10.); #135990=VECTOR('',#435562,10.); #135991=VECTOR('',#435563,10.); #135992=VECTOR('',#435564,10.); #135993=VECTOR('',#435567,10.); #135994=VECTOR('',#435568,10.); #135995=VECTOR('',#435569,10.); #135996=VECTOR('',#435572,10.); #135997=VECTOR('',#435573,10.); #135998=VECTOR('',#435574,10.); #135999=VECTOR('',#435577,10.); #136000=VECTOR('',#435578,10.); #136001=VECTOR('',#435579,10.); #136002=VECTOR('',#435582,10.); #136003=VECTOR('',#435583,10.); #136004=VECTOR('',#435584,10.); #136005=VECTOR('',#435587,10.); #136006=VECTOR('',#435588,10.); #136007=VECTOR('',#435589,10.); #136008=VECTOR('',#435592,10.); #136009=VECTOR('',#435593,10.); #136010=VECTOR('',#435594,10.); #136011=VECTOR('',#435597,10.); #136012=VECTOR('',#435598,10.); #136013=VECTOR('',#435599,10.); #136014=VECTOR('',#435602,10.); #136015=VECTOR('',#435603,10.); #136016=VECTOR('',#435604,10.); #136017=VECTOR('',#435607,10.); #136018=VECTOR('',#435608,10.); #136019=VECTOR('',#435609,10.); #136020=VECTOR('',#435612,10.); #136021=VECTOR('',#435613,10.); #136022=VECTOR('',#435614,10.); #136023=VECTOR('',#435617,10.); #136024=VECTOR('',#435618,10.); #136025=VECTOR('',#435619,10.); #136026=VECTOR('',#435622,10.); #136027=VECTOR('',#435623,10.); #136028=VECTOR('',#435624,10.); #136029=VECTOR('',#435627,10.); #136030=VECTOR('',#435628,10.); #136031=VECTOR('',#435629,10.); #136032=VECTOR('',#435632,10.); #136033=VECTOR('',#435633,10.); #136034=VECTOR('',#435634,10.); #136035=VECTOR('',#435637,10.); #136036=VECTOR('',#435638,10.); #136037=VECTOR('',#435639,10.); #136038=VECTOR('',#435642,10.); #136039=VECTOR('',#435643,10.); #136040=VECTOR('',#435644,10.); #136041=VECTOR('',#435647,10.); #136042=VECTOR('',#435648,10.); #136043=VECTOR('',#435649,10.); #136044=VECTOR('',#435652,10.); #136045=VECTOR('',#435653,10.); #136046=VECTOR('',#435654,10.); #136047=VECTOR('',#435657,10.); #136048=VECTOR('',#435658,10.); #136049=VECTOR('',#435659,10.); #136050=VECTOR('',#435662,10.); #136051=VECTOR('',#435663,10.); #136052=VECTOR('',#435664,10.); #136053=VECTOR('',#435667,10.); #136054=VECTOR('',#435668,10.); #136055=VECTOR('',#435669,10.); #136056=VECTOR('',#435672,10.); #136057=VECTOR('',#435673,10.); #136058=VECTOR('',#435674,10.); #136059=VECTOR('',#435677,10.); #136060=VECTOR('',#435678,10.); #136061=VECTOR('',#435679,10.); #136062=VECTOR('',#435682,10.); #136063=VECTOR('',#435683,10.); #136064=VECTOR('',#435684,10.); #136065=VECTOR('',#435687,10.); #136066=VECTOR('',#435688,10.); #136067=VECTOR('',#435689,10.); #136068=VECTOR('',#435692,10.); #136069=VECTOR('',#435693,10.); #136070=VECTOR('',#435694,10.); #136071=VECTOR('',#435697,10.); #136072=VECTOR('',#435698,10.); #136073=VECTOR('',#435699,10.); #136074=VECTOR('',#435702,10.); #136075=VECTOR('',#435703,10.); #136076=VECTOR('',#435704,10.); #136077=VECTOR('',#435707,10.); #136078=VECTOR('',#435708,10.); #136079=VECTOR('',#435709,10.); #136080=VECTOR('',#435712,10.); #136081=VECTOR('',#435713,10.); #136082=VECTOR('',#435714,10.); #136083=VECTOR('',#435717,10.); #136084=VECTOR('',#435718,10.); #136085=VECTOR('',#435719,10.); #136086=VECTOR('',#435722,10.); #136087=VECTOR('',#435723,10.); #136088=VECTOR('',#435724,10.); #136089=VECTOR('',#435727,10.); #136090=VECTOR('',#435728,10.); #136091=VECTOR('',#435729,10.); #136092=VECTOR('',#435732,10.); #136093=VECTOR('',#435733,10.); #136094=VECTOR('',#435734,10.); #136095=VECTOR('',#435737,10.); #136096=VECTOR('',#435738,10.); #136097=VECTOR('',#435739,10.); #136098=VECTOR('',#435742,10.); #136099=VECTOR('',#435743,10.); #136100=VECTOR('',#435744,10.); #136101=VECTOR('',#435747,10.); #136102=VECTOR('',#435748,10.); #136103=VECTOR('',#435749,10.); #136104=VECTOR('',#435752,10.); #136105=VECTOR('',#435753,10.); #136106=VECTOR('',#435754,10.); #136107=VECTOR('',#435757,10.); #136108=VECTOR('',#435758,10.); #136109=VECTOR('',#435759,10.); #136110=VECTOR('',#435762,10.); #136111=VECTOR('',#435763,10.); #136112=VECTOR('',#435764,10.); #136113=VECTOR('',#435767,10.); #136114=VECTOR('',#435768,10.); #136115=VECTOR('',#435769,10.); #136116=VECTOR('',#435772,10.); #136117=VECTOR('',#435773,10.); #136118=VECTOR('',#435774,10.); #136119=VECTOR('',#435777,10.); #136120=VECTOR('',#435778,10.); #136121=VECTOR('',#435779,10.); #136122=VECTOR('',#435782,10.); #136123=VECTOR('',#435783,10.); #136124=VECTOR('',#435784,10.); #136125=VECTOR('',#435787,10.); #136126=VECTOR('',#435788,10.); #136127=VECTOR('',#435789,10.); #136128=VECTOR('',#435792,10.); #136129=VECTOR('',#435793,10.); #136130=VECTOR('',#435794,10.); #136131=VECTOR('',#435797,10.); #136132=VECTOR('',#435798,10.); #136133=VECTOR('',#435799,10.); #136134=VECTOR('',#435802,10.); #136135=VECTOR('',#435803,10.); #136136=VECTOR('',#435804,10.); #136137=VECTOR('',#435807,10.); #136138=VECTOR('',#435808,10.); #136139=VECTOR('',#435809,10.); #136140=VECTOR('',#435812,10.); #136141=VECTOR('',#435813,10.); #136142=VECTOR('',#435814,10.); #136143=VECTOR('',#435817,10.); #136144=VECTOR('',#435818,10.); #136145=VECTOR('',#435819,10.); #136146=VECTOR('',#435822,10.); #136147=VECTOR('',#435823,10.); #136148=VECTOR('',#435824,10.); #136149=VECTOR('',#435827,10.); #136150=VECTOR('',#435828,10.); #136151=VECTOR('',#435829,10.); #136152=VECTOR('',#435832,10.); #136153=VECTOR('',#435833,10.); #136154=VECTOR('',#435834,10.); #136155=VECTOR('',#435837,10.); #136156=VECTOR('',#435838,10.); #136157=VECTOR('',#435839,10.); #136158=VECTOR('',#435842,10.); #136159=VECTOR('',#435843,10.); #136160=VECTOR('',#435844,10.); #136161=VECTOR('',#435847,10.); #136162=VECTOR('',#435848,10.); #136163=VECTOR('',#435849,10.); #136164=VECTOR('',#435852,10.); #136165=VECTOR('',#435853,10.); #136166=VECTOR('',#435854,10.); #136167=VECTOR('',#435857,10.); #136168=VECTOR('',#435858,10.); #136169=VECTOR('',#435859,10.); #136170=VECTOR('',#435862,10.); #136171=VECTOR('',#435863,10.); #136172=VECTOR('',#435864,10.); #136173=VECTOR('',#435867,10.); #136174=VECTOR('',#435868,10.); #136175=VECTOR('',#435869,10.); #136176=VECTOR('',#435872,10.); #136177=VECTOR('',#435873,10.); #136178=VECTOR('',#435874,10.); #136179=VECTOR('',#435877,10.); #136180=VECTOR('',#435878,10.); #136181=VECTOR('',#435879,10.); #136182=VECTOR('',#435882,10.); #136183=VECTOR('',#435883,10.); #136184=VECTOR('',#435884,10.); #136185=VECTOR('',#435887,10.); #136186=VECTOR('',#435888,10.); #136187=VECTOR('',#435889,10.); #136188=VECTOR('',#435892,10.); #136189=VECTOR('',#435893,10.); #136190=VECTOR('',#435894,10.); #136191=VECTOR('',#435897,10.); #136192=VECTOR('',#435898,10.); #136193=VECTOR('',#435899,10.); #136194=VECTOR('',#435902,10.); #136195=VECTOR('',#435903,10.); #136196=VECTOR('',#435904,10.); #136197=VECTOR('',#435907,10.); #136198=VECTOR('',#435908,10.); #136199=VECTOR('',#435909,10.); #136200=VECTOR('',#435912,10.); #136201=VECTOR('',#435913,10.); #136202=VECTOR('',#435914,10.); #136203=VECTOR('',#435917,10.); #136204=VECTOR('',#435918,10.); #136205=VECTOR('',#435919,10.); #136206=VECTOR('',#435922,10.); #136207=VECTOR('',#435923,10.); #136208=VECTOR('',#435924,10.); #136209=VECTOR('',#435927,10.); #136210=VECTOR('',#435928,10.); #136211=VECTOR('',#435929,10.); #136212=VECTOR('',#435932,10.); #136213=VECTOR('',#435933,10.); #136214=VECTOR('',#435934,10.); #136215=VECTOR('',#435937,10.); #136216=VECTOR('',#435938,10.); #136217=VECTOR('',#435939,10.); #136218=VECTOR('',#435942,10.); #136219=VECTOR('',#435943,10.); #136220=VECTOR('',#435944,10.); #136221=VECTOR('',#435947,10.); #136222=VECTOR('',#435948,10.); #136223=VECTOR('',#435949,10.); #136224=VECTOR('',#435952,10.); #136225=VECTOR('',#435953,10.); #136226=VECTOR('',#435954,10.); #136227=VECTOR('',#435957,10.); #136228=VECTOR('',#435958,10.); #136229=VECTOR('',#435959,10.); #136230=VECTOR('',#435962,10.); #136231=VECTOR('',#435963,10.); #136232=VECTOR('',#435964,10.); #136233=VECTOR('',#435967,10.); #136234=VECTOR('',#435968,10.); #136235=VECTOR('',#435969,10.); #136236=VECTOR('',#435972,10.); #136237=VECTOR('',#435973,10.); #136238=VECTOR('',#435974,10.); #136239=VECTOR('',#435977,10.); #136240=VECTOR('',#435978,10.); #136241=VECTOR('',#435979,10.); #136242=VECTOR('',#435982,10.); #136243=VECTOR('',#435983,10.); #136244=VECTOR('',#435984,10.); #136245=VECTOR('',#435987,10.); #136246=VECTOR('',#435988,10.); #136247=VECTOR('',#435989,10.); #136248=VECTOR('',#435992,10.); #136249=VECTOR('',#435993,10.); #136250=VECTOR('',#435994,10.); #136251=VECTOR('',#435997,10.); #136252=VECTOR('',#435998,10.); #136253=VECTOR('',#435999,10.); #136254=VECTOR('',#436002,10.); #136255=VECTOR('',#436003,10.); #136256=VECTOR('',#436004,10.); #136257=VECTOR('',#436007,10.); #136258=VECTOR('',#436008,10.); #136259=VECTOR('',#436009,10.); #136260=VECTOR('',#436012,10.); #136261=VECTOR('',#436013,10.); #136262=VECTOR('',#436014,10.); #136263=VECTOR('',#436017,10.); #136264=VECTOR('',#436018,10.); #136265=VECTOR('',#436019,10.); #136266=VECTOR('',#436022,10.); #136267=VECTOR('',#436023,10.); #136268=VECTOR('',#436024,10.); #136269=VECTOR('',#436027,10.); #136270=VECTOR('',#436028,10.); #136271=VECTOR('',#436029,10.); #136272=VECTOR('',#436032,10.); #136273=VECTOR('',#436033,10.); #136274=VECTOR('',#436034,10.); #136275=VECTOR('',#436037,10.); #136276=VECTOR('',#436038,10.); #136277=VECTOR('',#436039,10.); #136278=VECTOR('',#436042,10.); #136279=VECTOR('',#436043,10.); #136280=VECTOR('',#436044,10.); #136281=VECTOR('',#436047,10.); #136282=VECTOR('',#436048,10.); #136283=VECTOR('',#436049,10.); #136284=VECTOR('',#436052,10.); #136285=VECTOR('',#436053,10.); #136286=VECTOR('',#436054,10.); #136287=VECTOR('',#436057,10.); #136288=VECTOR('',#436058,10.); #136289=VECTOR('',#436059,10.); #136290=VECTOR('',#436062,10.); #136291=VECTOR('',#436063,10.); #136292=VECTOR('',#436064,10.); #136293=VECTOR('',#436067,10.); #136294=VECTOR('',#436068,10.); #136295=VECTOR('',#436069,10.); #136296=VECTOR('',#436072,10.); #136297=VECTOR('',#436073,10.); #136298=VECTOR('',#436074,10.); #136299=VECTOR('',#436077,10.); #136300=VECTOR('',#436078,10.); #136301=VECTOR('',#436079,10.); #136302=VECTOR('',#436082,10.); #136303=VECTOR('',#436083,10.); #136304=VECTOR('',#436084,10.); #136305=VECTOR('',#436087,10.); #136306=VECTOR('',#436088,10.); #136307=VECTOR('',#436089,10.); #136308=VECTOR('',#436092,10.); #136309=VECTOR('',#436093,10.); #136310=VECTOR('',#436094,10.); #136311=VECTOR('',#436097,10.); #136312=VECTOR('',#436098,10.); #136313=VECTOR('',#436099,10.); #136314=VECTOR('',#436102,10.); #136315=VECTOR('',#436103,10.); #136316=VECTOR('',#436104,10.); #136317=VECTOR('',#436107,10.); #136318=VECTOR('',#436108,10.); #136319=VECTOR('',#436109,10.); #136320=VECTOR('',#436112,10.); #136321=VECTOR('',#436113,10.); #136322=VECTOR('',#436114,10.); #136323=VECTOR('',#436117,10.); #136324=VECTOR('',#436118,10.); #136325=VECTOR('',#436119,10.); #136326=VECTOR('',#436122,10.); #136327=VECTOR('',#436123,10.); #136328=VECTOR('',#436124,10.); #136329=VECTOR('',#436127,10.); #136330=VECTOR('',#436128,10.); #136331=VECTOR('',#436129,10.); #136332=VECTOR('',#436132,10.); #136333=VECTOR('',#436133,10.); #136334=VECTOR('',#436134,10.); #136335=VECTOR('',#436137,10.); #136336=VECTOR('',#436138,10.); #136337=VECTOR('',#436139,10.); #136338=VECTOR('',#436142,10.); #136339=VECTOR('',#436143,10.); #136340=VECTOR('',#436144,10.); #136341=VECTOR('',#436147,10.); #136342=VECTOR('',#436148,10.); #136343=VECTOR('',#436149,10.); #136344=VECTOR('',#436152,10.); #136345=VECTOR('',#436153,10.); #136346=VECTOR('',#436154,10.); #136347=VECTOR('',#436157,10.); #136348=VECTOR('',#436158,10.); #136349=VECTOR('',#436159,10.); #136350=VECTOR('',#436162,10.); #136351=VECTOR('',#436163,10.); #136352=VECTOR('',#436164,10.); #136353=VECTOR('',#436167,10.); #136354=VECTOR('',#436168,10.); #136355=VECTOR('',#436169,10.); #136356=VECTOR('',#436172,10.); #136357=VECTOR('',#436173,10.); #136358=VECTOR('',#436174,10.); #136359=VECTOR('',#436177,10.); #136360=VECTOR('',#436178,10.); #136361=VECTOR('',#436179,10.); #136362=VECTOR('',#436182,10.); #136363=VECTOR('',#436183,10.); #136364=VECTOR('',#436184,10.); #136365=VECTOR('',#436187,10.); #136366=VECTOR('',#436188,10.); #136367=VECTOR('',#436189,10.); #136368=VECTOR('',#436192,10.); #136369=VECTOR('',#436193,10.); #136370=VECTOR('',#436194,10.); #136371=VECTOR('',#436197,10.); #136372=VECTOR('',#436198,10.); #136373=VECTOR('',#436199,10.); #136374=VECTOR('',#436202,10.); #136375=VECTOR('',#436203,10.); #136376=VECTOR('',#436204,10.); #136377=VECTOR('',#436207,10.); #136378=VECTOR('',#436208,10.); #136379=VECTOR('',#436209,10.); #136380=VECTOR('',#436212,10.); #136381=VECTOR('',#436213,10.); #136382=VECTOR('',#436214,10.); #136383=VECTOR('',#436217,10.); #136384=VECTOR('',#436218,10.); #136385=VECTOR('',#436219,10.); #136386=VECTOR('',#436222,10.); #136387=VECTOR('',#436223,10.); #136388=VECTOR('',#436224,10.); #136389=VECTOR('',#436227,10.); #136390=VECTOR('',#436228,10.); #136391=VECTOR('',#436229,10.); #136392=VECTOR('',#436232,10.); #136393=VECTOR('',#436233,10.); #136394=VECTOR('',#436234,10.); #136395=VECTOR('',#436237,10.); #136396=VECTOR('',#436238,10.); #136397=VECTOR('',#436239,10.); #136398=VECTOR('',#436242,10.); #136399=VECTOR('',#436243,10.); #136400=VECTOR('',#436244,10.); #136401=VECTOR('',#436247,10.); #136402=VECTOR('',#436248,10.); #136403=VECTOR('',#436249,10.); #136404=VECTOR('',#436252,10.); #136405=VECTOR('',#436253,10.); #136406=VECTOR('',#436254,10.); #136407=VECTOR('',#436257,10.); #136408=VECTOR('',#436258,10.); #136409=VECTOR('',#436259,10.); #136410=VECTOR('',#436262,10.); #136411=VECTOR('',#436263,10.); #136412=VECTOR('',#436264,10.); #136413=VECTOR('',#436267,10.); #136414=VECTOR('',#436268,10.); #136415=VECTOR('',#436269,10.); #136416=VECTOR('',#436272,10.); #136417=VECTOR('',#436273,10.); #136418=VECTOR('',#436274,10.); #136419=VECTOR('',#436277,10.); #136420=VECTOR('',#436278,10.); #136421=VECTOR('',#436279,10.); #136422=VECTOR('',#436282,10.); #136423=VECTOR('',#436283,10.); #136424=VECTOR('',#436284,10.); #136425=VECTOR('',#436287,10.); #136426=VECTOR('',#436288,10.); #136427=VECTOR('',#436289,10.); #136428=VECTOR('',#436292,10.); #136429=VECTOR('',#436293,10.); #136430=VECTOR('',#436294,10.); #136431=VECTOR('',#436297,10.); #136432=VECTOR('',#436298,10.); #136433=VECTOR('',#436299,10.); #136434=VECTOR('',#436302,10.); #136435=VECTOR('',#436303,10.); #136436=VECTOR('',#436304,10.); #136437=VECTOR('',#436307,10.); #136438=VECTOR('',#436308,10.); #136439=VECTOR('',#436309,10.); #136440=VECTOR('',#436312,10.); #136441=VECTOR('',#436313,10.); #136442=VECTOR('',#436314,10.); #136443=VECTOR('',#436317,10.); #136444=VECTOR('',#436318,10.); #136445=VECTOR('',#436319,10.); #136446=VECTOR('',#436322,10.); #136447=VECTOR('',#436323,10.); #136448=VECTOR('',#436324,10.); #136449=VECTOR('',#436327,10.); #136450=VECTOR('',#436328,10.); #136451=VECTOR('',#436329,10.); #136452=VECTOR('',#436332,10.); #136453=VECTOR('',#436333,10.); #136454=VECTOR('',#436334,10.); #136455=VECTOR('',#436337,10.); #136456=VECTOR('',#436338,10.); #136457=VECTOR('',#436339,10.); #136458=VECTOR('',#436342,10.); #136459=VECTOR('',#436343,10.); #136460=VECTOR('',#436344,10.); #136461=VECTOR('',#436347,10.); #136462=VECTOR('',#436348,10.); #136463=VECTOR('',#436349,10.); #136464=VECTOR('',#436352,10.); #136465=VECTOR('',#436353,10.); #136466=VECTOR('',#436354,10.); #136467=VECTOR('',#436357,10.); #136468=VECTOR('',#436358,10.); #136469=VECTOR('',#436359,10.); #136470=VECTOR('',#436362,10.); #136471=VECTOR('',#436363,10.); #136472=VECTOR('',#436364,10.); #136473=VECTOR('',#436367,10.); #136474=VECTOR('',#436368,10.); #136475=VECTOR('',#436369,10.); #136476=VECTOR('',#436372,10.); #136477=VECTOR('',#436373,10.); #136478=VECTOR('',#436374,10.); #136479=VECTOR('',#436377,10.); #136480=VECTOR('',#436378,10.); #136481=VECTOR('',#436379,10.); #136482=VECTOR('',#436382,10.); #136483=VECTOR('',#436383,10.); #136484=VECTOR('',#436384,10.); #136485=VECTOR('',#436387,10.); #136486=VECTOR('',#436388,10.); #136487=VECTOR('',#436389,10.); #136488=VECTOR('',#436392,10.); #136489=VECTOR('',#436393,10.); #136490=VECTOR('',#436394,10.); #136491=VECTOR('',#436397,10.); #136492=VECTOR('',#436398,10.); #136493=VECTOR('',#436399,10.); #136494=VECTOR('',#436402,10.); #136495=VECTOR('',#436403,10.); #136496=VECTOR('',#436404,10.); #136497=VECTOR('',#436407,10.); #136498=VECTOR('',#436408,10.); #136499=VECTOR('',#436409,10.); #136500=VECTOR('',#436412,10.); #136501=VECTOR('',#436413,10.); #136502=VECTOR('',#436414,10.); #136503=VECTOR('',#436417,10.); #136504=VECTOR('',#436418,10.); #136505=VECTOR('',#436419,10.); #136506=VECTOR('',#436422,10.); #136507=VECTOR('',#436423,10.); #136508=VECTOR('',#436424,10.); #136509=VECTOR('',#436427,10.); #136510=VECTOR('',#436428,10.); #136511=VECTOR('',#436429,10.); #136512=VECTOR('',#436432,10.); #136513=VECTOR('',#436433,10.); #136514=VECTOR('',#436434,10.); #136515=VECTOR('',#436437,10.); #136516=VECTOR('',#436438,10.); #136517=VECTOR('',#436439,10.); #136518=VECTOR('',#436442,10.); #136519=VECTOR('',#436443,10.); #136520=VECTOR('',#436444,10.); #136521=VECTOR('',#436447,10.); #136522=VECTOR('',#436448,10.); #136523=VECTOR('',#436449,10.); #136524=VECTOR('',#436452,10.); #136525=VECTOR('',#436453,10.); #136526=VECTOR('',#436454,10.); #136527=VECTOR('',#436457,10.); #136528=VECTOR('',#436458,10.); #136529=VECTOR('',#436459,10.); #136530=VECTOR('',#436462,10.); #136531=VECTOR('',#436463,10.); #136532=VECTOR('',#436464,10.); #136533=VECTOR('',#436467,10.); #136534=VECTOR('',#436468,10.); #136535=VECTOR('',#436469,10.); #136536=VECTOR('',#436472,10.); #136537=VECTOR('',#436473,10.); #136538=VECTOR('',#436474,10.); #136539=VECTOR('',#436477,10.); #136540=VECTOR('',#436478,10.); #136541=VECTOR('',#436479,10.); #136542=VECTOR('',#436482,10.); #136543=VECTOR('',#436483,10.); #136544=VECTOR('',#436484,10.); #136545=VECTOR('',#436487,10.); #136546=VECTOR('',#436488,10.); #136547=VECTOR('',#436489,10.); #136548=VECTOR('',#436492,10.); #136549=VECTOR('',#436493,10.); #136550=VECTOR('',#436494,10.); #136551=VECTOR('',#436497,10.); #136552=VECTOR('',#436498,10.); #136553=VECTOR('',#436499,10.); #136554=VECTOR('',#436502,10.); #136555=VECTOR('',#436503,10.); #136556=VECTOR('',#436504,10.); #136557=VECTOR('',#436507,10.); #136558=VECTOR('',#436508,10.); #136559=VECTOR('',#436509,10.); #136560=VECTOR('',#436512,10.); #136561=VECTOR('',#436513,10.); #136562=VECTOR('',#436514,10.); #136563=VECTOR('',#436517,10.); #136564=VECTOR('',#436518,10.); #136565=VECTOR('',#436519,10.); #136566=VECTOR('',#436522,10.); #136567=VECTOR('',#436523,10.); #136568=VECTOR('',#436524,10.); #136569=VECTOR('',#436527,10.); #136570=VECTOR('',#436528,10.); #136571=VECTOR('',#436529,10.); #136572=VECTOR('',#436532,10.); #136573=VECTOR('',#436533,10.); #136574=VECTOR('',#436534,10.); #136575=VECTOR('',#436537,10.); #136576=VECTOR('',#436538,10.); #136577=VECTOR('',#436539,10.); #136578=VECTOR('',#436542,10.); #136579=VECTOR('',#436543,10.); #136580=VECTOR('',#436544,10.); #136581=VECTOR('',#436547,10.); #136582=VECTOR('',#436548,10.); #136583=VECTOR('',#436549,10.); #136584=VECTOR('',#436552,10.); #136585=VECTOR('',#436553,10.); #136586=VECTOR('',#436554,10.); #136587=VECTOR('',#436557,10.); #136588=VECTOR('',#436558,10.); #136589=VECTOR('',#436559,10.); #136590=VECTOR('',#436562,10.); #136591=VECTOR('',#436563,10.); #136592=VECTOR('',#436564,10.); #136593=VECTOR('',#436567,10.); #136594=VECTOR('',#436568,10.); #136595=VECTOR('',#436569,10.); #136596=VECTOR('',#436572,10.); #136597=VECTOR('',#436573,10.); #136598=VECTOR('',#436574,10.); #136599=VECTOR('',#436577,10.); #136600=VECTOR('',#436578,10.); #136601=VECTOR('',#436579,10.); #136602=VECTOR('',#436582,10.); #136603=VECTOR('',#436583,10.); #136604=VECTOR('',#436584,10.); #136605=VECTOR('',#436587,10.); #136606=VECTOR('',#436588,10.); #136607=VECTOR('',#436589,10.); #136608=VECTOR('',#436592,10.); #136609=VECTOR('',#436593,10.); #136610=VECTOR('',#436594,10.); #136611=VECTOR('',#436597,10.); #136612=VECTOR('',#436598,10.); #136613=VECTOR('',#436599,10.); #136614=VECTOR('',#436602,10.); #136615=VECTOR('',#436603,10.); #136616=VECTOR('',#436604,10.); #136617=VECTOR('',#436607,10.); #136618=VECTOR('',#436608,10.); #136619=VECTOR('',#436609,10.); #136620=VECTOR('',#436612,10.); #136621=VECTOR('',#436613,10.); #136622=VECTOR('',#436614,10.); #136623=VECTOR('',#436617,10.); #136624=VECTOR('',#436618,10.); #136625=VECTOR('',#436619,10.); #136626=VECTOR('',#436622,10.); #136627=VECTOR('',#436623,10.); #136628=VECTOR('',#436624,10.); #136629=VECTOR('',#436627,10.); #136630=VECTOR('',#436628,10.); #136631=VECTOR('',#436629,10.); #136632=VECTOR('',#436632,10.); #136633=VECTOR('',#436633,10.); #136634=VECTOR('',#436634,10.); #136635=VECTOR('',#436637,10.); #136636=VECTOR('',#436638,10.); #136637=VECTOR('',#436639,10.); #136638=VECTOR('',#436642,10.); #136639=VECTOR('',#436643,10.); #136640=VECTOR('',#436644,10.); #136641=VECTOR('',#436647,10.); #136642=VECTOR('',#436648,10.); #136643=VECTOR('',#436649,10.); #136644=VECTOR('',#436652,10.); #136645=VECTOR('',#436653,10.); #136646=VECTOR('',#436654,10.); #136647=VECTOR('',#436657,10.); #136648=VECTOR('',#436658,10.); #136649=VECTOR('',#436659,10.); #136650=VECTOR('',#436662,10.); #136651=VECTOR('',#436663,10.); #136652=VECTOR('',#436664,10.); #136653=VECTOR('',#436667,10.); #136654=VECTOR('',#436668,10.); #136655=VECTOR('',#436669,10.); #136656=VECTOR('',#436672,10.); #136657=VECTOR('',#436673,10.); #136658=VECTOR('',#436674,10.); #136659=VECTOR('',#436677,10.); #136660=VECTOR('',#436678,10.); #136661=VECTOR('',#436679,10.); #136662=VECTOR('',#436682,10.); #136663=VECTOR('',#436683,10.); #136664=VECTOR('',#436684,10.); #136665=VECTOR('',#436687,10.); #136666=VECTOR('',#436688,10.); #136667=VECTOR('',#436689,10.); #136668=VECTOR('',#436692,10.); #136669=VECTOR('',#436693,10.); #136670=VECTOR('',#436694,10.); #136671=VECTOR('',#436697,10.); #136672=VECTOR('',#436698,10.); #136673=VECTOR('',#436699,10.); #136674=VECTOR('',#436702,10.); #136675=VECTOR('',#436703,10.); #136676=VECTOR('',#436704,10.); #136677=VECTOR('',#436707,10.); #136678=VECTOR('',#436708,10.); #136679=VECTOR('',#436709,10.); #136680=VECTOR('',#436712,10.); #136681=VECTOR('',#436713,10.); #136682=VECTOR('',#436714,10.); #136683=VECTOR('',#436717,10.); #136684=VECTOR('',#436718,10.); #136685=VECTOR('',#436719,10.); #136686=VECTOR('',#436722,10.); #136687=VECTOR('',#436723,10.); #136688=VECTOR('',#436724,10.); #136689=VECTOR('',#436727,10.); #136690=VECTOR('',#436728,10.); #136691=VECTOR('',#436729,10.); #136692=VECTOR('',#436732,10.); #136693=VECTOR('',#436733,10.); #136694=VECTOR('',#436734,10.); #136695=VECTOR('',#436737,10.); #136696=VECTOR('',#436738,10.); #136697=VECTOR('',#436739,10.); #136698=VECTOR('',#436742,10.); #136699=VECTOR('',#436743,10.); #136700=VECTOR('',#436744,10.); #136701=VECTOR('',#436747,10.); #136702=VECTOR('',#436748,10.); #136703=VECTOR('',#436749,10.); #136704=VECTOR('',#436752,10.); #136705=VECTOR('',#436753,10.); #136706=VECTOR('',#436754,10.); #136707=VECTOR('',#436757,10.); #136708=VECTOR('',#436758,10.); #136709=VECTOR('',#436759,10.); #136710=VECTOR('',#436762,10.); #136711=VECTOR('',#436763,10.); #136712=VECTOR('',#436764,10.); #136713=VECTOR('',#436767,10.); #136714=VECTOR('',#436768,10.); #136715=VECTOR('',#436769,10.); #136716=VECTOR('',#436772,10.); #136717=VECTOR('',#436773,10.); #136718=VECTOR('',#436774,10.); #136719=VECTOR('',#436777,10.); #136720=VECTOR('',#436778,10.); #136721=VECTOR('',#436779,10.); #136722=VECTOR('',#436782,10.); #136723=VECTOR('',#436783,10.); #136724=VECTOR('',#436784,10.); #136725=VECTOR('',#436787,10.); #136726=VECTOR('',#436788,10.); #136727=VECTOR('',#436789,10.); #136728=VECTOR('',#436792,10.); #136729=VECTOR('',#436793,10.); #136730=VECTOR('',#436794,10.); #136731=VECTOR('',#436797,10.); #136732=VECTOR('',#436798,10.); #136733=VECTOR('',#436799,10.); #136734=VECTOR('',#436802,10.); #136735=VECTOR('',#436803,10.); #136736=VECTOR('',#436804,10.); #136737=VECTOR('',#436807,10.); #136738=VECTOR('',#436808,10.); #136739=VECTOR('',#436809,10.); #136740=VECTOR('',#436812,10.); #136741=VECTOR('',#436813,10.); #136742=VECTOR('',#436814,10.); #136743=VECTOR('',#436817,10.); #136744=VECTOR('',#436818,10.); #136745=VECTOR('',#436819,10.); #136746=VECTOR('',#436822,10.); #136747=VECTOR('',#436823,10.); #136748=VECTOR('',#436824,10.); #136749=VECTOR('',#436827,10.); #136750=VECTOR('',#436828,10.); #136751=VECTOR('',#436829,10.); #136752=VECTOR('',#436832,10.); #136753=VECTOR('',#436833,10.); #136754=VECTOR('',#436834,10.); #136755=VECTOR('',#436837,10.); #136756=VECTOR('',#436838,10.); #136757=VECTOR('',#436839,10.); #136758=VECTOR('',#436842,10.); #136759=VECTOR('',#436843,10.); #136760=VECTOR('',#436844,10.); #136761=VECTOR('',#436847,10.); #136762=VECTOR('',#436848,10.); #136763=VECTOR('',#436849,10.); #136764=VECTOR('',#436852,10.); #136765=VECTOR('',#436853,10.); #136766=VECTOR('',#436854,10.); #136767=VECTOR('',#436857,10.); #136768=VECTOR('',#436858,10.); #136769=VECTOR('',#436859,10.); #136770=VECTOR('',#436862,10.); #136771=VECTOR('',#436863,10.); #136772=VECTOR('',#436864,10.); #136773=VECTOR('',#436867,10.); #136774=VECTOR('',#436868,10.); #136775=VECTOR('',#436869,10.); #136776=VECTOR('',#436872,10.); #136777=VECTOR('',#436873,10.); #136778=VECTOR('',#436874,10.); #136779=VECTOR('',#436877,10.); #136780=VECTOR('',#436878,10.); #136781=VECTOR('',#436879,10.); #136782=VECTOR('',#436882,10.); #136783=VECTOR('',#436883,10.); #136784=VECTOR('',#436884,10.); #136785=VECTOR('',#436887,10.); #136786=VECTOR('',#436888,10.); #136787=VECTOR('',#436889,10.); #136788=VECTOR('',#436892,10.); #136789=VECTOR('',#436893,10.); #136790=VECTOR('',#436894,10.); #136791=VECTOR('',#436897,10.); #136792=VECTOR('',#436898,10.); #136793=VECTOR('',#436899,10.); #136794=VECTOR('',#436902,10.); #136795=VECTOR('',#436903,10.); #136796=VECTOR('',#436904,10.); #136797=VECTOR('',#436907,10.); #136798=VECTOR('',#436908,10.); #136799=VECTOR('',#436909,10.); #136800=VECTOR('',#436912,10.); #136801=VECTOR('',#436913,10.); #136802=VECTOR('',#436914,10.); #136803=VECTOR('',#436917,10.); #136804=VECTOR('',#436918,10.); #136805=VECTOR('',#436919,10.); #136806=VECTOR('',#436922,10.); #136807=VECTOR('',#436923,10.); #136808=VECTOR('',#436924,10.); #136809=VECTOR('',#436927,10.); #136810=VECTOR('',#436928,10.); #136811=VECTOR('',#436929,10.); #136812=VECTOR('',#436932,10.); #136813=VECTOR('',#436933,10.); #136814=VECTOR('',#436934,10.); #136815=VECTOR('',#436937,10.); #136816=VECTOR('',#436938,10.); #136817=VECTOR('',#436939,10.); #136818=VECTOR('',#436942,10.); #136819=VECTOR('',#436943,10.); #136820=VECTOR('',#436944,10.); #136821=VECTOR('',#436947,10.); #136822=VECTOR('',#436948,10.); #136823=VECTOR('',#436949,10.); #136824=VECTOR('',#436952,10.); #136825=VECTOR('',#436953,10.); #136826=VECTOR('',#436954,10.); #136827=VECTOR('',#436957,10.); #136828=VECTOR('',#436958,10.); #136829=VECTOR('',#436959,10.); #136830=VECTOR('',#436962,10.); #136831=VECTOR('',#436963,10.); #136832=VECTOR('',#436964,10.); #136833=VECTOR('',#436967,10.); #136834=VECTOR('',#436968,10.); #136835=VECTOR('',#436969,10.); #136836=VECTOR('',#436972,10.); #136837=VECTOR('',#436973,10.); #136838=VECTOR('',#436974,10.); #136839=VECTOR('',#436977,10.); #136840=VECTOR('',#436978,10.); #136841=VECTOR('',#436979,10.); #136842=VECTOR('',#436982,10.); #136843=VECTOR('',#436983,10.); #136844=VECTOR('',#436984,10.); #136845=VECTOR('',#436987,10.); #136846=VECTOR('',#436988,10.); #136847=VECTOR('',#436989,10.); #136848=VECTOR('',#436992,10.); #136849=VECTOR('',#436993,10.); #136850=VECTOR('',#436994,10.); #136851=VECTOR('',#436997,10.); #136852=VECTOR('',#436998,10.); #136853=VECTOR('',#436999,10.); #136854=VECTOR('',#437002,10.); #136855=VECTOR('',#437003,10.); #136856=VECTOR('',#437004,10.); #136857=VECTOR('',#437007,10.); #136858=VECTOR('',#437008,10.); #136859=VECTOR('',#437009,10.); #136860=VECTOR('',#437012,10.); #136861=VECTOR('',#437013,10.); #136862=VECTOR('',#437014,10.); #136863=VECTOR('',#437017,10.); #136864=VECTOR('',#437018,10.); #136865=VECTOR('',#437019,10.); #136866=VECTOR('',#437022,10.); #136867=VECTOR('',#437023,10.); #136868=VECTOR('',#437024,10.); #136869=VECTOR('',#437027,10.); #136870=VECTOR('',#437028,10.); #136871=VECTOR('',#437029,10.); #136872=VECTOR('',#437032,10.); #136873=VECTOR('',#437033,10.); #136874=VECTOR('',#437034,10.); #136875=VECTOR('',#437037,10.); #136876=VECTOR('',#437038,10.); #136877=VECTOR('',#437039,10.); #136878=VECTOR('',#437042,10.); #136879=VECTOR('',#437043,10.); #136880=VECTOR('',#437044,10.); #136881=VECTOR('',#437047,10.); #136882=VECTOR('',#437048,10.); #136883=VECTOR('',#437049,10.); #136884=VECTOR('',#437052,10.); #136885=VECTOR('',#437053,10.); #136886=VECTOR('',#437054,10.); #136887=VECTOR('',#437057,10.); #136888=VECTOR('',#437058,10.); #136889=VECTOR('',#437059,10.); #136890=VECTOR('',#437062,10.); #136891=VECTOR('',#437063,10.); #136892=VECTOR('',#437064,10.); #136893=VECTOR('',#437067,10.); #136894=VECTOR('',#437068,10.); #136895=VECTOR('',#437069,10.); #136896=VECTOR('',#437072,10.); #136897=VECTOR('',#437073,10.); #136898=VECTOR('',#437074,10.); #136899=VECTOR('',#437077,10.); #136900=VECTOR('',#437078,10.); #136901=VECTOR('',#437079,10.); #136902=VECTOR('',#437082,10.); #136903=VECTOR('',#437083,10.); #136904=VECTOR('',#437084,10.); #136905=VECTOR('',#437087,10.); #136906=VECTOR('',#437088,10.); #136907=VECTOR('',#437089,10.); #136908=VECTOR('',#437092,10.); #136909=VECTOR('',#437093,10.); #136910=VECTOR('',#437094,10.); #136911=VECTOR('',#437097,10.); #136912=VECTOR('',#437098,10.); #136913=VECTOR('',#437099,10.); #136914=VECTOR('',#437102,10.); #136915=VECTOR('',#437103,10.); #136916=VECTOR('',#437104,10.); #136917=VECTOR('',#437107,10.); #136918=VECTOR('',#437108,10.); #136919=VECTOR('',#437109,10.); #136920=VECTOR('',#437112,10.); #136921=VECTOR('',#437113,10.); #136922=VECTOR('',#437114,10.); #136923=VECTOR('',#437117,10.); #136924=VECTOR('',#437118,10.); #136925=VECTOR('',#437119,10.); #136926=VECTOR('',#437122,10.); #136927=VECTOR('',#437123,10.); #136928=VECTOR('',#437124,10.); #136929=VECTOR('',#437127,10.); #136930=VECTOR('',#437128,10.); #136931=VECTOR('',#437129,10.); #136932=VECTOR('',#437132,10.); #136933=VECTOR('',#437133,10.); #136934=VECTOR('',#437134,10.); #136935=VECTOR('',#437137,10.); #136936=VECTOR('',#437138,10.); #136937=VECTOR('',#437139,10.); #136938=VECTOR('',#437142,10.); #136939=VECTOR('',#437143,10.); #136940=VECTOR('',#437144,10.); #136941=VECTOR('',#437147,10.); #136942=VECTOR('',#437148,10.); #136943=VECTOR('',#437149,10.); #136944=VECTOR('',#437152,10.); #136945=VECTOR('',#437153,10.); #136946=VECTOR('',#437154,10.); #136947=VECTOR('',#437157,10.); #136948=VECTOR('',#437158,10.); #136949=VECTOR('',#437159,10.); #136950=VECTOR('',#437162,10.); #136951=VECTOR('',#437163,10.); #136952=VECTOR('',#437164,10.); #136953=VECTOR('',#437167,10.); #136954=VECTOR('',#437168,10.); #136955=VECTOR('',#437169,10.); #136956=VECTOR('',#437172,10.); #136957=VECTOR('',#437173,10.); #136958=VECTOR('',#437174,10.); #136959=VECTOR('',#437177,10.); #136960=VECTOR('',#437178,10.); #136961=VECTOR('',#437179,10.); #136962=VECTOR('',#437182,10.); #136963=VECTOR('',#437183,10.); #136964=VECTOR('',#437184,10.); #136965=VECTOR('',#437187,10.); #136966=VECTOR('',#437188,10.); #136967=VECTOR('',#437189,10.); #136968=VECTOR('',#437192,10.); #136969=VECTOR('',#437193,10.); #136970=VECTOR('',#437194,10.); #136971=VECTOR('',#437197,10.); #136972=VECTOR('',#437198,10.); #136973=VECTOR('',#437199,10.); #136974=VECTOR('',#437202,10.); #136975=VECTOR('',#437203,10.); #136976=VECTOR('',#437204,10.); #136977=VECTOR('',#437207,10.); #136978=VECTOR('',#437208,10.); #136979=VECTOR('',#437209,10.); #136980=VECTOR('',#437212,10.); #136981=VECTOR('',#437213,10.); #136982=VECTOR('',#437214,10.); #136983=VECTOR('',#437217,10.); #136984=VECTOR('',#437218,10.); #136985=VECTOR('',#437219,10.); #136986=VECTOR('',#437222,10.); #136987=VECTOR('',#437223,10.); #136988=VECTOR('',#437224,10.); #136989=VECTOR('',#437227,10.); #136990=VECTOR('',#437228,10.); #136991=VECTOR('',#437229,10.); #136992=VECTOR('',#437232,10.); #136993=VECTOR('',#437233,10.); #136994=VECTOR('',#437234,10.); #136995=VECTOR('',#437237,10.); #136996=VECTOR('',#437238,10.); #136997=VECTOR('',#437239,10.); #136998=VECTOR('',#437242,10.); #136999=VECTOR('',#437243,10.); #137000=VECTOR('',#437244,10.); #137001=VECTOR('',#437247,10.); #137002=VECTOR('',#437248,10.); #137003=VECTOR('',#437251,10.); #137004=VECTOR('',#437252,10.); #137005=VECTOR('',#437253,10.); #137006=VECTOR('',#437254,10.); #137007=VECTOR('',#437257,10.); #137008=VECTOR('',#437258,10.); #137009=VECTOR('',#437259,10.); #137010=VECTOR('',#437262,10.); #137011=VECTOR('',#437263,10.); #137012=VECTOR('',#437264,10.); #137013=VECTOR('',#437267,10.); #137014=VECTOR('',#437268,10.); #137015=VECTOR('',#437269,10.); #137016=VECTOR('',#437272,10.); #137017=VECTOR('',#437273,10.); #137018=VECTOR('',#437274,10.); #137019=VECTOR('',#437277,10.); #137020=VECTOR('',#437278,10.); #137021=VECTOR('',#437279,10.); #137022=VECTOR('',#437282,10.); #137023=VECTOR('',#437283,10.); #137024=VECTOR('',#437284,10.); #137025=VECTOR('',#437287,10.); #137026=VECTOR('',#437288,10.); #137027=VECTOR('',#437289,10.); #137028=VECTOR('',#437292,10.); #137029=VECTOR('',#437293,10.); #137030=VECTOR('',#437294,10.); #137031=VECTOR('',#437297,10.); #137032=VECTOR('',#437298,10.); #137033=VECTOR('',#437299,10.); #137034=VECTOR('',#437302,10.); #137035=VECTOR('',#437303,10.); #137036=VECTOR('',#437304,10.); #137037=VECTOR('',#437307,10.); #137038=VECTOR('',#437308,10.); #137039=VECTOR('',#437309,10.); #137040=VECTOR('',#437312,10.); #137041=VECTOR('',#437313,10.); #137042=VECTOR('',#437314,10.); #137043=VECTOR('',#437317,10.); #137044=VECTOR('',#437318,10.); #137045=VECTOR('',#437319,10.); #137046=VECTOR('',#437322,10.); #137047=VECTOR('',#437323,10.); #137048=VECTOR('',#437324,10.); #137049=VECTOR('',#437327,10.); #137050=VECTOR('',#437328,10.); #137051=VECTOR('',#437329,10.); #137052=VECTOR('',#437332,10.); #137053=VECTOR('',#437333,10.); #137054=VECTOR('',#437334,10.); #137055=VECTOR('',#437337,10.); #137056=VECTOR('',#437338,10.); #137057=VECTOR('',#437339,10.); #137058=VECTOR('',#437342,10.); #137059=VECTOR('',#437343,10.); #137060=VECTOR('',#437344,10.); #137061=VECTOR('',#437347,10.); #137062=VECTOR('',#437348,10.); #137063=VECTOR('',#437349,10.); #137064=VECTOR('',#437352,10.); #137065=VECTOR('',#437353,10.); #137066=VECTOR('',#437354,10.); #137067=VECTOR('',#437357,10.); #137068=VECTOR('',#437358,10.); #137069=VECTOR('',#437359,10.); #137070=VECTOR('',#437362,10.); #137071=VECTOR('',#437363,10.); #137072=VECTOR('',#437364,10.); #137073=VECTOR('',#437367,10.); #137074=VECTOR('',#437368,10.); #137075=VECTOR('',#437369,10.); #137076=VECTOR('',#437372,10.); #137077=VECTOR('',#437373,10.); #137078=VECTOR('',#437374,10.); #137079=VECTOR('',#437377,10.); #137080=VECTOR('',#437378,10.); #137081=VECTOR('',#437379,10.); #137082=VECTOR('',#437382,10.); #137083=VECTOR('',#437383,10.); #137084=VECTOR('',#437384,10.); #137085=VECTOR('',#437387,10.); #137086=VECTOR('',#437388,10.); #137087=VECTOR('',#437389,10.); #137088=VECTOR('',#437392,10.); #137089=VECTOR('',#437393,10.); #137090=VECTOR('',#437394,10.); #137091=VECTOR('',#437397,10.); #137092=VECTOR('',#437398,10.); #137093=VECTOR('',#437399,10.); #137094=VECTOR('',#437402,10.); #137095=VECTOR('',#437403,10.); #137096=VECTOR('',#437404,10.); #137097=VECTOR('',#437407,10.); #137098=VECTOR('',#437408,10.); #137099=VECTOR('',#437409,10.); #137100=VECTOR('',#437412,10.); #137101=VECTOR('',#437413,10.); #137102=VECTOR('',#437414,10.); #137103=VECTOR('',#437417,10.); #137104=VECTOR('',#437418,10.); #137105=VECTOR('',#437419,10.); #137106=VECTOR('',#437422,10.); #137107=VECTOR('',#437423,10.); #137108=VECTOR('',#437424,10.); #137109=VECTOR('',#437427,10.); #137110=VECTOR('',#437428,10.); #137111=VECTOR('',#437429,10.); #137112=VECTOR('',#437432,10.); #137113=VECTOR('',#437433,10.); #137114=VECTOR('',#437434,10.); #137115=VECTOR('',#437437,10.); #137116=VECTOR('',#437438,10.); #137117=VECTOR('',#437439,10.); #137118=VECTOR('',#437442,10.); #137119=VECTOR('',#437443,10.); #137120=VECTOR('',#437444,10.); #137121=VECTOR('',#437447,10.); #137122=VECTOR('',#437448,10.); #137123=VECTOR('',#437449,10.); #137124=VECTOR('',#437452,10.); #137125=VECTOR('',#437453,10.); #137126=VECTOR('',#437454,10.); #137127=VECTOR('',#437457,10.); #137128=VECTOR('',#437458,10.); #137129=VECTOR('',#437459,10.); #137130=VECTOR('',#437462,10.); #137131=VECTOR('',#437463,10.); #137132=VECTOR('',#437464,10.); #137133=VECTOR('',#437467,10.); #137134=VECTOR('',#437468,10.); #137135=VECTOR('',#437469,10.); #137136=VECTOR('',#437472,10.); #137137=VECTOR('',#437473,10.); #137138=VECTOR('',#437474,10.); #137139=VECTOR('',#437477,10.); #137140=VECTOR('',#437478,10.); #137141=VECTOR('',#437479,10.); #137142=VECTOR('',#437482,10.); #137143=VECTOR('',#437483,10.); #137144=VECTOR('',#437484,10.); #137145=VECTOR('',#437487,10.); #137146=VECTOR('',#437488,10.); #137147=VECTOR('',#437489,10.); #137148=VECTOR('',#437492,10.); #137149=VECTOR('',#437493,10.); #137150=VECTOR('',#437494,10.); #137151=VECTOR('',#437497,10.); #137152=VECTOR('',#437498,10.); #137153=VECTOR('',#437499,10.); #137154=VECTOR('',#437502,10.); #137155=VECTOR('',#437503,10.); #137156=VECTOR('',#437504,10.); #137157=VECTOR('',#437507,10.); #137158=VECTOR('',#437508,10.); #137159=VECTOR('',#437509,10.); #137160=VECTOR('',#437512,10.); #137161=VECTOR('',#437513,10.); #137162=VECTOR('',#437514,10.); #137163=VECTOR('',#437517,10.); #137164=VECTOR('',#437518,10.); #137165=VECTOR('',#437519,10.); #137166=VECTOR('',#437522,10.); #137167=VECTOR('',#437523,10.); #137168=VECTOR('',#437524,10.); #137169=VECTOR('',#437527,10.); #137170=VECTOR('',#437528,10.); #137171=VECTOR('',#437529,10.); #137172=VECTOR('',#437532,10.); #137173=VECTOR('',#437533,10.); #137174=VECTOR('',#437534,10.); #137175=VECTOR('',#437537,10.); #137176=VECTOR('',#437538,10.); #137177=VECTOR('',#437539,10.); #137178=VECTOR('',#437542,10.); #137179=VECTOR('',#437543,10.); #137180=VECTOR('',#437544,10.); #137181=VECTOR('',#437547,10.); #137182=VECTOR('',#437548,10.); #137183=VECTOR('',#437549,10.); #137184=VECTOR('',#437552,10.); #137185=VECTOR('',#437553,10.); #137186=VECTOR('',#437554,10.); #137187=VECTOR('',#437557,10.); #137188=VECTOR('',#437558,10.); #137189=VECTOR('',#437559,10.); #137190=VECTOR('',#437562,10.); #137191=VECTOR('',#437563,10.); #137192=VECTOR('',#437564,10.); #137193=VECTOR('',#437567,10.); #137194=VECTOR('',#437568,10.); #137195=VECTOR('',#437569,10.); #137196=VECTOR('',#437572,10.); #137197=VECTOR('',#437573,10.); #137198=VECTOR('',#437574,10.); #137199=VECTOR('',#437577,10.); #137200=VECTOR('',#437578,10.); #137201=VECTOR('',#437579,10.); #137202=VECTOR('',#437582,10.); #137203=VECTOR('',#437583,10.); #137204=VECTOR('',#437584,10.); #137205=VECTOR('',#437587,10.); #137206=VECTOR('',#437588,10.); #137207=VECTOR('',#437589,10.); #137208=VECTOR('',#437592,10.); #137209=VECTOR('',#437593,10.); #137210=VECTOR('',#437594,10.); #137211=VECTOR('',#437597,10.); #137212=VECTOR('',#437598,10.); #137213=VECTOR('',#437599,10.); #137214=VECTOR('',#437602,10.); #137215=VECTOR('',#437603,10.); #137216=VECTOR('',#437604,10.); #137217=VECTOR('',#437607,10.); #137218=VECTOR('',#437608,10.); #137219=VECTOR('',#437609,10.); #137220=VECTOR('',#437612,10.); #137221=VECTOR('',#437613,10.); #137222=VECTOR('',#437614,10.); #137223=VECTOR('',#437617,10.); #137224=VECTOR('',#437618,10.); #137225=VECTOR('',#437619,10.); #137226=VECTOR('',#437622,10.); #137227=VECTOR('',#437623,10.); #137228=VECTOR('',#437624,10.); #137229=VECTOR('',#437627,10.); #137230=VECTOR('',#437628,10.); #137231=VECTOR('',#437629,10.); #137232=VECTOR('',#437632,10.); #137233=VECTOR('',#437633,10.); #137234=VECTOR('',#437634,10.); #137235=VECTOR('',#437637,10.); #137236=VECTOR('',#437638,10.); #137237=VECTOR('',#437639,10.); #137238=VECTOR('',#437642,10.); #137239=VECTOR('',#437643,10.); #137240=VECTOR('',#437644,10.); #137241=VECTOR('',#437647,10.); #137242=VECTOR('',#437648,10.); #137243=VECTOR('',#437649,10.); #137244=VECTOR('',#437652,10.); #137245=VECTOR('',#437653,10.); #137246=VECTOR('',#437654,10.); #137247=VECTOR('',#437657,10.); #137248=VECTOR('',#437658,10.); #137249=VECTOR('',#437659,10.); #137250=VECTOR('',#437662,10.); #137251=VECTOR('',#437663,10.); #137252=VECTOR('',#437664,10.); #137253=VECTOR('',#437667,10.); #137254=VECTOR('',#437668,10.); #137255=VECTOR('',#437669,10.); #137256=VECTOR('',#437672,10.); #137257=VECTOR('',#437673,10.); #137258=VECTOR('',#437674,10.); #137259=VECTOR('',#437677,10.); #137260=VECTOR('',#437678,10.); #137261=VECTOR('',#437679,10.); #137262=VECTOR('',#437682,10.); #137263=VECTOR('',#437683,10.); #137264=VECTOR('',#437684,10.); #137265=VECTOR('',#437687,10.); #137266=VECTOR('',#437688,10.); #137267=VECTOR('',#437689,10.); #137268=VECTOR('',#437692,10.); #137269=VECTOR('',#437693,10.); #137270=VECTOR('',#437694,10.); #137271=VECTOR('',#437697,10.); #137272=VECTOR('',#437698,10.); #137273=VECTOR('',#437699,10.); #137274=VECTOR('',#437702,10.); #137275=VECTOR('',#437703,10.); #137276=VECTOR('',#437704,10.); #137277=VECTOR('',#437707,10.); #137278=VECTOR('',#437708,10.); #137279=VECTOR('',#437709,10.); #137280=VECTOR('',#437712,10.); #137281=VECTOR('',#437713,10.); #137282=VECTOR('',#437714,10.); #137283=VECTOR('',#437717,10.); #137284=VECTOR('',#437718,10.); #137285=VECTOR('',#437719,10.); #137286=VECTOR('',#437722,10.); #137287=VECTOR('',#437723,10.); #137288=VECTOR('',#437724,10.); #137289=VECTOR('',#437727,10.); #137290=VECTOR('',#437728,10.); #137291=VECTOR('',#437729,10.); #137292=VECTOR('',#437732,10.); #137293=VECTOR('',#437733,10.); #137294=VECTOR('',#437734,10.); #137295=VECTOR('',#437737,10.); #137296=VECTOR('',#437738,10.); #137297=VECTOR('',#437739,10.); #137298=VECTOR('',#437742,10.); #137299=VECTOR('',#437743,10.); #137300=VECTOR('',#437744,10.); #137301=VECTOR('',#437747,10.); #137302=VECTOR('',#437748,10.); #137303=VECTOR('',#437751,10.); #137304=VECTOR('',#437752,10.); #137305=VECTOR('',#437753,10.); #137306=VECTOR('',#437754,10.); #137307=VECTOR('',#437757,10.); #137308=VECTOR('',#437758,10.); #137309=VECTOR('',#437759,10.); #137310=VECTOR('',#437762,10.); #137311=VECTOR('',#437763,10.); #137312=VECTOR('',#437764,10.); #137313=VECTOR('',#437767,10.); #137314=VECTOR('',#437768,10.); #137315=VECTOR('',#437769,10.); #137316=VECTOR('',#437772,10.); #137317=VECTOR('',#437773,10.); #137318=VECTOR('',#437774,10.); #137319=VECTOR('',#437777,10.); #137320=VECTOR('',#437778,10.); #137321=VECTOR('',#437779,10.); #137322=VECTOR('',#437782,10.); #137323=VECTOR('',#437783,10.); #137324=VECTOR('',#437784,10.); #137325=VECTOR('',#437787,10.); #137326=VECTOR('',#437788,10.); #137327=VECTOR('',#437789,10.); #137328=VECTOR('',#437792,10.); #137329=VECTOR('',#437793,10.); #137330=VECTOR('',#437794,10.); #137331=VECTOR('',#437797,10.); #137332=VECTOR('',#437798,10.); #137333=VECTOR('',#437799,10.); #137334=VECTOR('',#437802,10.); #137335=VECTOR('',#437803,10.); #137336=VECTOR('',#437804,10.); #137337=VECTOR('',#437807,10.); #137338=VECTOR('',#437808,10.); #137339=VECTOR('',#437809,10.); #137340=VECTOR('',#437812,10.); #137341=VECTOR('',#437813,10.); #137342=VECTOR('',#437814,10.); #137343=VECTOR('',#437817,10.); #137344=VECTOR('',#437818,10.); #137345=VECTOR('',#437819,10.); #137346=VECTOR('',#437822,10.); #137347=VECTOR('',#437823,10.); #137348=VECTOR('',#437824,10.); #137349=VECTOR('',#437827,10.); #137350=VECTOR('',#437828,10.); #137351=VECTOR('',#437829,10.); #137352=VECTOR('',#437832,10.); #137353=VECTOR('',#437833,10.); #137354=VECTOR('',#437834,10.); #137355=VECTOR('',#437837,10.); #137356=VECTOR('',#437838,10.); #137357=VECTOR('',#437839,10.); #137358=VECTOR('',#437842,10.); #137359=VECTOR('',#437843,10.); #137360=VECTOR('',#437844,10.); #137361=VECTOR('',#437847,10.); #137362=VECTOR('',#437848,10.); #137363=VECTOR('',#437849,10.); #137364=VECTOR('',#437852,10.); #137365=VECTOR('',#437853,10.); #137366=VECTOR('',#437854,10.); #137367=VECTOR('',#437857,10.); #137368=VECTOR('',#437858,10.); #137369=VECTOR('',#437859,10.); #137370=VECTOR('',#437862,10.); #137371=VECTOR('',#437863,10.); #137372=VECTOR('',#437864,10.); #137373=VECTOR('',#437867,10.); #137374=VECTOR('',#437868,10.); #137375=VECTOR('',#437869,10.); #137376=VECTOR('',#437872,10.); #137377=VECTOR('',#437873,10.); #137378=VECTOR('',#437874,10.); #137379=VECTOR('',#437877,10.); #137380=VECTOR('',#437878,10.); #137381=VECTOR('',#437879,10.); #137382=VECTOR('',#437882,10.); #137383=VECTOR('',#437883,10.); #137384=VECTOR('',#437884,10.); #137385=VECTOR('',#437887,10.); #137386=VECTOR('',#437888,10.); #137387=VECTOR('',#437889,10.); #137388=VECTOR('',#437892,10.); #137389=VECTOR('',#437893,10.); #137390=VECTOR('',#437894,10.); #137391=VECTOR('',#437897,10.); #137392=VECTOR('',#437898,10.); #137393=VECTOR('',#437899,10.); #137394=VECTOR('',#437902,10.); #137395=VECTOR('',#437903,10.); #137396=VECTOR('',#437904,10.); #137397=VECTOR('',#437907,10.); #137398=VECTOR('',#437908,10.); #137399=VECTOR('',#437909,10.); #137400=VECTOR('',#437912,10.); #137401=VECTOR('',#437913,10.); #137402=VECTOR('',#437914,10.); #137403=VECTOR('',#437917,10.); #137404=VECTOR('',#437918,10.); #137405=VECTOR('',#437919,10.); #137406=VECTOR('',#437922,10.); #137407=VECTOR('',#437923,10.); #137408=VECTOR('',#437924,10.); #137409=VECTOR('',#437927,10.); #137410=VECTOR('',#437928,10.); #137411=VECTOR('',#437929,10.); #137412=VECTOR('',#437932,10.); #137413=VECTOR('',#437933,10.); #137414=VECTOR('',#437934,10.); #137415=VECTOR('',#437937,10.); #137416=VECTOR('',#437938,10.); #137417=VECTOR('',#437939,10.); #137418=VECTOR('',#437942,10.); #137419=VECTOR('',#437943,10.); #137420=VECTOR('',#437944,10.); #137421=VECTOR('',#437947,10.); #137422=VECTOR('',#437948,10.); #137423=VECTOR('',#437949,10.); #137424=VECTOR('',#437952,10.); #137425=VECTOR('',#437953,10.); #137426=VECTOR('',#437954,10.); #137427=VECTOR('',#437957,10.); #137428=VECTOR('',#437958,10.); #137429=VECTOR('',#437959,10.); #137430=VECTOR('',#437962,10.); #137431=VECTOR('',#437963,10.); #137432=VECTOR('',#437964,10.); #137433=VECTOR('',#437967,10.); #137434=VECTOR('',#437968,10.); #137435=VECTOR('',#437969,10.); #137436=VECTOR('',#437972,10.); #137437=VECTOR('',#437973,10.); #137438=VECTOR('',#437974,10.); #137439=VECTOR('',#437977,10.); #137440=VECTOR('',#437978,10.); #137441=VECTOR('',#437979,10.); #137442=VECTOR('',#437982,10.); #137443=VECTOR('',#437983,10.); #137444=VECTOR('',#437984,10.); #137445=VECTOR('',#437987,10.); #137446=VECTOR('',#437988,10.); #137447=VECTOR('',#437989,10.); #137448=VECTOR('',#437992,10.); #137449=VECTOR('',#437993,10.); #137450=VECTOR('',#437994,10.); #137451=VECTOR('',#437997,10.); #137452=VECTOR('',#437998,10.); #137453=VECTOR('',#437999,10.); #137454=VECTOR('',#438002,10.); #137455=VECTOR('',#438003,10.); #137456=VECTOR('',#438004,10.); #137457=VECTOR('',#438007,10.); #137458=VECTOR('',#438008,10.); #137459=VECTOR('',#438009,10.); #137460=VECTOR('',#438012,10.); #137461=VECTOR('',#438013,10.); #137462=VECTOR('',#438014,10.); #137463=VECTOR('',#438017,10.); #137464=VECTOR('',#438018,10.); #137465=VECTOR('',#438019,10.); #137466=VECTOR('',#438022,10.); #137467=VECTOR('',#438023,10.); #137468=VECTOR('',#438024,10.); #137469=VECTOR('',#438027,10.); #137470=VECTOR('',#438028,10.); #137471=VECTOR('',#438029,10.); #137472=VECTOR('',#438032,10.); #137473=VECTOR('',#438033,10.); #137474=VECTOR('',#438034,10.); #137475=VECTOR('',#438037,10.); #137476=VECTOR('',#438038,10.); #137477=VECTOR('',#438039,10.); #137478=VECTOR('',#438042,10.); #137479=VECTOR('',#438043,10.); #137480=VECTOR('',#438044,10.); #137481=VECTOR('',#438047,10.); #137482=VECTOR('',#438048,10.); #137483=VECTOR('',#438049,10.); #137484=VECTOR('',#438052,10.); #137485=VECTOR('',#438053,10.); #137486=VECTOR('',#438054,10.); #137487=VECTOR('',#438057,10.); #137488=VECTOR('',#438058,10.); #137489=VECTOR('',#438059,10.); #137490=VECTOR('',#438062,10.); #137491=VECTOR('',#438063,10.); #137492=VECTOR('',#438064,10.); #137493=VECTOR('',#438067,10.); #137494=VECTOR('',#438068,10.); #137495=VECTOR('',#438069,10.); #137496=VECTOR('',#438072,10.); #137497=VECTOR('',#438073,10.); #137498=VECTOR('',#438074,10.); #137499=VECTOR('',#438077,10.); #137500=VECTOR('',#438078,10.); #137501=VECTOR('',#438079,10.); #137502=VECTOR('',#438082,10.); #137503=VECTOR('',#438083,10.); #137504=VECTOR('',#438084,10.); #137505=VECTOR('',#438087,10.); #137506=VECTOR('',#438088,10.); #137507=VECTOR('',#438089,10.); #137508=VECTOR('',#438092,10.); #137509=VECTOR('',#438093,10.); #137510=VECTOR('',#438094,10.); #137511=VECTOR('',#438097,10.); #137512=VECTOR('',#438098,10.); #137513=VECTOR('',#438099,10.); #137514=VECTOR('',#438102,10.); #137515=VECTOR('',#438103,10.); #137516=VECTOR('',#438104,10.); #137517=VECTOR('',#438107,10.); #137518=VECTOR('',#438108,10.); #137519=VECTOR('',#438109,10.); #137520=VECTOR('',#438112,10.); #137521=VECTOR('',#438113,10.); #137522=VECTOR('',#438114,10.); #137523=VECTOR('',#438117,10.); #137524=VECTOR('',#438118,10.); #137525=VECTOR('',#438119,10.); #137526=VECTOR('',#438122,10.); #137527=VECTOR('',#438123,10.); #137528=VECTOR('',#438124,10.); #137529=VECTOR('',#438127,10.); #137530=VECTOR('',#438128,10.); #137531=VECTOR('',#438129,10.); #137532=VECTOR('',#438132,10.); #137533=VECTOR('',#438133,10.); #137534=VECTOR('',#438134,10.); #137535=VECTOR('',#438137,10.); #137536=VECTOR('',#438138,10.); #137537=VECTOR('',#438139,10.); #137538=VECTOR('',#438142,10.); #137539=VECTOR('',#438143,10.); #137540=VECTOR('',#438144,10.); #137541=VECTOR('',#438147,10.); #137542=VECTOR('',#438148,10.); #137543=VECTOR('',#438149,10.); #137544=VECTOR('',#438152,10.); #137545=VECTOR('',#438153,10.); #137546=VECTOR('',#438154,10.); #137547=VECTOR('',#438157,10.); #137548=VECTOR('',#438158,10.); #137549=VECTOR('',#438159,10.); #137550=VECTOR('',#438162,10.); #137551=VECTOR('',#438163,10.); #137552=VECTOR('',#438164,10.); #137553=VECTOR('',#438167,10.); #137554=VECTOR('',#438168,10.); #137555=VECTOR('',#438169,10.); #137556=VECTOR('',#438172,10.); #137557=VECTOR('',#438173,10.); #137558=VECTOR('',#438174,10.); #137559=VECTOR('',#438177,10.); #137560=VECTOR('',#438178,10.); #137561=VECTOR('',#438179,10.); #137562=VECTOR('',#438182,10.); #137563=VECTOR('',#438183,10.); #137564=VECTOR('',#438184,10.); #137565=VECTOR('',#438187,10.); #137566=VECTOR('',#438188,10.); #137567=VECTOR('',#438189,10.); #137568=VECTOR('',#438192,10.); #137569=VECTOR('',#438193,10.); #137570=VECTOR('',#438194,10.); #137571=VECTOR('',#438197,10.); #137572=VECTOR('',#438198,10.); #137573=VECTOR('',#438199,10.); #137574=VECTOR('',#438202,10.); #137575=VECTOR('',#438203,10.); #137576=VECTOR('',#438204,10.); #137577=VECTOR('',#438207,10.); #137578=VECTOR('',#438208,10.); #137579=VECTOR('',#438209,10.); #137580=VECTOR('',#438212,10.); #137581=VECTOR('',#438213,10.); #137582=VECTOR('',#438214,10.); #137583=VECTOR('',#438217,10.); #137584=VECTOR('',#438218,10.); #137585=VECTOR('',#438219,10.); #137586=VECTOR('',#438222,10.); #137587=VECTOR('',#438223,10.); #137588=VECTOR('',#438224,10.); #137589=VECTOR('',#438227,10.); #137590=VECTOR('',#438228,10.); #137591=VECTOR('',#438229,10.); #137592=VECTOR('',#438232,10.); #137593=VECTOR('',#438233,10.); #137594=VECTOR('',#438234,10.); #137595=VECTOR('',#438237,10.); #137596=VECTOR('',#438238,10.); #137597=VECTOR('',#438239,10.); #137598=VECTOR('',#438242,10.); #137599=VECTOR('',#438243,10.); #137600=VECTOR('',#438244,10.); #137601=VECTOR('',#438247,10.); #137602=VECTOR('',#438248,10.); #137603=VECTOR('',#438249,10.); #137604=VECTOR('',#438252,10.); #137605=VECTOR('',#438253,10.); #137606=VECTOR('',#438254,10.); #137607=VECTOR('',#438257,10.); #137608=VECTOR('',#438258,10.); #137609=VECTOR('',#438259,10.); #137610=VECTOR('',#438262,10.); #137611=VECTOR('',#438263,10.); #137612=VECTOR('',#438264,10.); #137613=VECTOR('',#438267,10.); #137614=VECTOR('',#438268,10.); #137615=VECTOR('',#438269,10.); #137616=VECTOR('',#438272,10.); #137617=VECTOR('',#438273,10.); #137618=VECTOR('',#438274,10.); #137619=VECTOR('',#438277,10.); #137620=VECTOR('',#438278,10.); #137621=VECTOR('',#438279,10.); #137622=VECTOR('',#438282,10.); #137623=VECTOR('',#438283,10.); #137624=VECTOR('',#438284,10.); #137625=VECTOR('',#438287,10.); #137626=VECTOR('',#438288,10.); #137627=VECTOR('',#438289,10.); #137628=VECTOR('',#438292,10.); #137629=VECTOR('',#438293,10.); #137630=VECTOR('',#438294,10.); #137631=VECTOR('',#438297,10.); #137632=VECTOR('',#438298,10.); #137633=VECTOR('',#438299,10.); #137634=VECTOR('',#438302,10.); #137635=VECTOR('',#438303,10.); #137636=VECTOR('',#438304,10.); #137637=VECTOR('',#438307,10.); #137638=VECTOR('',#438308,10.); #137639=VECTOR('',#438309,10.); #137640=VECTOR('',#438312,10.); #137641=VECTOR('',#438313,10.); #137642=VECTOR('',#438314,10.); #137643=VECTOR('',#438317,10.); #137644=VECTOR('',#438318,10.); #137645=VECTOR('',#438319,10.); #137646=VECTOR('',#438322,10.); #137647=VECTOR('',#438323,10.); #137648=VECTOR('',#438324,10.); #137649=VECTOR('',#438327,10.); #137650=VECTOR('',#438328,10.); #137651=VECTOR('',#438329,10.); #137652=VECTOR('',#438332,10.); #137653=VECTOR('',#438333,10.); #137654=VECTOR('',#438334,10.); #137655=VECTOR('',#438337,10.); #137656=VECTOR('',#438338,10.); #137657=VECTOR('',#438339,10.); #137658=VECTOR('',#438342,10.); #137659=VECTOR('',#438343,10.); #137660=VECTOR('',#438344,10.); #137661=VECTOR('',#438347,10.); #137662=VECTOR('',#438348,10.); #137663=VECTOR('',#438349,10.); #137664=VECTOR('',#438352,10.); #137665=VECTOR('',#438353,10.); #137666=VECTOR('',#438354,10.); #137667=VECTOR('',#438357,10.); #137668=VECTOR('',#438358,10.); #137669=VECTOR('',#438359,10.); #137670=VECTOR('',#438362,10.); #137671=VECTOR('',#438363,10.); #137672=VECTOR('',#438364,10.); #137673=VECTOR('',#438367,10.); #137674=VECTOR('',#438368,10.); #137675=VECTOR('',#438369,10.); #137676=VECTOR('',#438372,10.); #137677=VECTOR('',#438373,10.); #137678=VECTOR('',#438374,10.); #137679=VECTOR('',#438377,10.); #137680=VECTOR('',#438378,10.); #137681=VECTOR('',#438379,10.); #137682=VECTOR('',#438382,10.); #137683=VECTOR('',#438383,10.); #137684=VECTOR('',#438384,10.); #137685=VECTOR('',#438387,10.); #137686=VECTOR('',#438388,10.); #137687=VECTOR('',#438389,10.); #137688=VECTOR('',#438392,10.); #137689=VECTOR('',#438393,10.); #137690=VECTOR('',#438394,10.); #137691=VECTOR('',#438397,10.); #137692=VECTOR('',#438398,10.); #137693=VECTOR('',#438399,10.); #137694=VECTOR('',#438402,10.); #137695=VECTOR('',#438403,10.); #137696=VECTOR('',#438404,10.); #137697=VECTOR('',#438407,10.); #137698=VECTOR('',#438408,10.); #137699=VECTOR('',#438409,10.); #137700=VECTOR('',#438412,10.); #137701=VECTOR('',#438413,10.); #137702=VECTOR('',#438414,10.); #137703=VECTOR('',#438417,10.); #137704=VECTOR('',#438418,10.); #137705=VECTOR('',#438419,10.); #137706=VECTOR('',#438422,10.); #137707=VECTOR('',#438423,10.); #137708=VECTOR('',#438424,10.); #137709=VECTOR('',#438427,10.); #137710=VECTOR('',#438428,10.); #137711=VECTOR('',#438429,10.); #137712=VECTOR('',#438432,10.); #137713=VECTOR('',#438433,10.); #137714=VECTOR('',#438434,10.); #137715=VECTOR('',#438437,10.); #137716=VECTOR('',#438438,10.); #137717=VECTOR('',#438439,10.); #137718=VECTOR('',#438442,10.); #137719=VECTOR('',#438443,10.); #137720=VECTOR('',#438444,10.); #137721=VECTOR('',#438447,10.); #137722=VECTOR('',#438448,10.); #137723=VECTOR('',#438449,10.); #137724=VECTOR('',#438452,10.); #137725=VECTOR('',#438453,10.); #137726=VECTOR('',#438454,10.); #137727=VECTOR('',#438457,10.); #137728=VECTOR('',#438458,10.); #137729=VECTOR('',#438459,10.); #137730=VECTOR('',#438462,10.); #137731=VECTOR('',#438463,10.); #137732=VECTOR('',#438464,10.); #137733=VECTOR('',#438467,10.); #137734=VECTOR('',#438468,10.); #137735=VECTOR('',#438469,10.); #137736=VECTOR('',#438472,10.); #137737=VECTOR('',#438473,10.); #137738=VECTOR('',#438474,10.); #137739=VECTOR('',#438477,10.); #137740=VECTOR('',#438478,10.); #137741=VECTOR('',#438479,10.); #137742=VECTOR('',#438482,10.); #137743=VECTOR('',#438483,10.); #137744=VECTOR('',#438484,10.); #137745=VECTOR('',#438487,10.); #137746=VECTOR('',#438488,10.); #137747=VECTOR('',#438489,10.); #137748=VECTOR('',#438492,10.); #137749=VECTOR('',#438493,10.); #137750=VECTOR('',#438494,10.); #137751=VECTOR('',#438497,10.); #137752=VECTOR('',#438498,10.); #137753=VECTOR('',#438499,10.); #137754=VECTOR('',#438502,10.); #137755=VECTOR('',#438503,10.); #137756=VECTOR('',#438504,10.); #137757=VECTOR('',#438507,10.); #137758=VECTOR('',#438508,10.); #137759=VECTOR('',#438509,10.); #137760=VECTOR('',#438512,10.); #137761=VECTOR('',#438513,10.); #137762=VECTOR('',#438514,10.); #137763=VECTOR('',#438517,10.); #137764=VECTOR('',#438518,10.); #137765=VECTOR('',#438519,10.); #137766=VECTOR('',#438522,10.); #137767=VECTOR('',#438523,10.); #137768=VECTOR('',#438524,10.); #137769=VECTOR('',#438527,10.); #137770=VECTOR('',#438528,10.); #137771=VECTOR('',#438529,10.); #137772=VECTOR('',#438532,10.); #137773=VECTOR('',#438533,10.); #137774=VECTOR('',#438534,10.); #137775=VECTOR('',#438537,10.); #137776=VECTOR('',#438538,10.); #137777=VECTOR('',#438539,10.); #137778=VECTOR('',#438542,10.); #137779=VECTOR('',#438543,10.); #137780=VECTOR('',#438544,10.); #137781=VECTOR('',#438547,10.); #137782=VECTOR('',#438548,10.); #137783=VECTOR('',#438549,10.); #137784=VECTOR('',#438552,10.); #137785=VECTOR('',#438553,10.); #137786=VECTOR('',#438554,10.); #137787=VECTOR('',#438557,10.); #137788=VECTOR('',#438558,10.); #137789=VECTOR('',#438559,10.); #137790=VECTOR('',#438562,10.); #137791=VECTOR('',#438563,10.); #137792=VECTOR('',#438564,10.); #137793=VECTOR('',#438567,10.); #137794=VECTOR('',#438568,10.); #137795=VECTOR('',#438569,10.); #137796=VECTOR('',#438572,10.); #137797=VECTOR('',#438573,10.); #137798=VECTOR('',#438574,10.); #137799=VECTOR('',#438577,10.); #137800=VECTOR('',#438578,10.); #137801=VECTOR('',#438579,10.); #137802=VECTOR('',#438582,10.); #137803=VECTOR('',#438583,10.); #137804=VECTOR('',#438584,10.); #137805=VECTOR('',#438587,10.); #137806=VECTOR('',#438588,10.); #137807=VECTOR('',#438589,10.); #137808=VECTOR('',#438592,10.); #137809=VECTOR('',#438593,10.); #137810=VECTOR('',#438594,10.); #137811=VECTOR('',#438597,10.); #137812=VECTOR('',#438598,10.); #137813=VECTOR('',#438599,10.); #137814=VECTOR('',#438602,10.); #137815=VECTOR('',#438603,10.); #137816=VECTOR('',#438604,10.); #137817=VECTOR('',#438607,10.); #137818=VECTOR('',#438608,10.); #137819=VECTOR('',#438609,10.); #137820=VECTOR('',#438612,10.); #137821=VECTOR('',#438613,10.); #137822=VECTOR('',#438614,10.); #137823=VECTOR('',#438617,10.); #137824=VECTOR('',#438618,10.); #137825=VECTOR('',#438619,10.); #137826=VECTOR('',#438622,10.); #137827=VECTOR('',#438623,10.); #137828=VECTOR('',#438624,10.); #137829=VECTOR('',#438627,10.); #137830=VECTOR('',#438628,10.); #137831=VECTOR('',#438629,10.); #137832=VECTOR('',#438632,10.); #137833=VECTOR('',#438633,10.); #137834=VECTOR('',#438634,10.); #137835=VECTOR('',#438637,10.); #137836=VECTOR('',#438638,10.); #137837=VECTOR('',#438639,10.); #137838=VECTOR('',#438642,10.); #137839=VECTOR('',#438643,10.); #137840=VECTOR('',#438644,10.); #137841=VECTOR('',#438647,10.); #137842=VECTOR('',#438648,10.); #137843=VECTOR('',#438649,10.); #137844=VECTOR('',#438652,10.); #137845=VECTOR('',#438653,10.); #137846=VECTOR('',#438654,10.); #137847=VECTOR('',#438657,10.); #137848=VECTOR('',#438658,10.); #137849=VECTOR('',#438659,10.); #137850=VECTOR('',#438662,10.); #137851=VECTOR('',#438663,10.); #137852=VECTOR('',#438664,10.); #137853=VECTOR('',#438667,10.); #137854=VECTOR('',#438668,10.); #137855=VECTOR('',#438669,10.); #137856=VECTOR('',#438672,10.); #137857=VECTOR('',#438673,10.); #137858=VECTOR('',#438674,10.); #137859=VECTOR('',#438677,10.); #137860=VECTOR('',#438678,10.); #137861=VECTOR('',#438679,10.); #137862=VECTOR('',#438682,10.); #137863=VECTOR('',#438683,10.); #137864=VECTOR('',#438684,10.); #137865=VECTOR('',#438687,10.); #137866=VECTOR('',#438688,10.); #137867=VECTOR('',#438689,10.); #137868=VECTOR('',#438692,10.); #137869=VECTOR('',#438693,10.); #137870=VECTOR('',#438694,10.); #137871=VECTOR('',#438697,10.); #137872=VECTOR('',#438698,10.); #137873=VECTOR('',#438699,10.); #137874=VECTOR('',#438702,10.); #137875=VECTOR('',#438703,10.); #137876=VECTOR('',#438704,10.); #137877=VECTOR('',#438707,10.); #137878=VECTOR('',#438708,10.); #137879=VECTOR('',#438709,10.); #137880=VECTOR('',#438712,10.); #137881=VECTOR('',#438713,10.); #137882=VECTOR('',#438714,10.); #137883=VECTOR('',#438717,10.); #137884=VECTOR('',#438718,10.); #137885=VECTOR('',#438719,10.); #137886=VECTOR('',#438722,10.); #137887=VECTOR('',#438723,10.); #137888=VECTOR('',#438724,10.); #137889=VECTOR('',#438727,10.); #137890=VECTOR('',#438728,10.); #137891=VECTOR('',#438729,10.); #137892=VECTOR('',#438732,10.); #137893=VECTOR('',#438733,10.); #137894=VECTOR('',#438734,10.); #137895=VECTOR('',#438737,10.); #137896=VECTOR('',#438738,10.); #137897=VECTOR('',#438739,10.); #137898=VECTOR('',#438742,10.); #137899=VECTOR('',#438743,10.); #137900=VECTOR('',#438744,10.); #137901=VECTOR('',#438747,10.); #137902=VECTOR('',#438748,10.); #137903=VECTOR('',#438749,10.); #137904=VECTOR('',#438752,10.); #137905=VECTOR('',#438753,10.); #137906=VECTOR('',#438754,10.); #137907=VECTOR('',#438757,10.); #137908=VECTOR('',#438758,10.); #137909=VECTOR('',#438759,10.); #137910=VECTOR('',#438762,10.); #137911=VECTOR('',#438763,10.); #137912=VECTOR('',#438764,10.); #137913=VECTOR('',#438767,10.); #137914=VECTOR('',#438768,10.); #137915=VECTOR('',#438769,10.); #137916=VECTOR('',#438772,10.); #137917=VECTOR('',#438773,10.); #137918=VECTOR('',#438774,10.); #137919=VECTOR('',#438777,10.); #137920=VECTOR('',#438778,10.); #137921=VECTOR('',#438779,10.); #137922=VECTOR('',#438782,10.); #137923=VECTOR('',#438783,10.); #137924=VECTOR('',#438784,10.); #137925=VECTOR('',#438787,10.); #137926=VECTOR('',#438788,10.); #137927=VECTOR('',#438789,10.); #137928=VECTOR('',#438792,10.); #137929=VECTOR('',#438793,10.); #137930=VECTOR('',#438794,10.); #137931=VECTOR('',#438797,10.); #137932=VECTOR('',#438798,10.); #137933=VECTOR('',#438799,10.); #137934=VECTOR('',#438802,10.); #137935=VECTOR('',#438803,10.); #137936=VECTOR('',#438804,10.); #137937=VECTOR('',#438807,10.); #137938=VECTOR('',#438808,10.); #137939=VECTOR('',#438809,10.); #137940=VECTOR('',#438812,10.); #137941=VECTOR('',#438813,10.); #137942=VECTOR('',#438814,10.); #137943=VECTOR('',#438817,10.); #137944=VECTOR('',#438818,10.); #137945=VECTOR('',#438819,10.); #137946=VECTOR('',#438822,10.); #137947=VECTOR('',#438823,10.); #137948=VECTOR('',#438824,10.); #137949=VECTOR('',#438827,10.); #137950=VECTOR('',#438828,10.); #137951=VECTOR('',#438829,10.); #137952=VECTOR('',#438832,10.); #137953=VECTOR('',#438833,10.); #137954=VECTOR('',#438834,10.); #137955=VECTOR('',#438837,10.); #137956=VECTOR('',#438838,10.); #137957=VECTOR('',#438839,10.); #137958=VECTOR('',#438842,10.); #137959=VECTOR('',#438843,10.); #137960=VECTOR('',#438844,10.); #137961=VECTOR('',#438847,10.); #137962=VECTOR('',#438848,10.); #137963=VECTOR('',#438849,10.); #137964=VECTOR('',#438852,10.); #137965=VECTOR('',#438853,10.); #137966=VECTOR('',#438854,10.); #137967=VECTOR('',#438857,10.); #137968=VECTOR('',#438858,10.); #137969=VECTOR('',#438859,10.); #137970=VECTOR('',#438862,10.); #137971=VECTOR('',#438863,10.); #137972=VECTOR('',#438864,10.); #137973=VECTOR('',#438867,10.); #137974=VECTOR('',#438868,10.); #137975=VECTOR('',#438869,10.); #137976=VECTOR('',#438872,10.); #137977=VECTOR('',#438873,10.); #137978=VECTOR('',#438874,10.); #137979=VECTOR('',#438877,10.); #137980=VECTOR('',#438878,10.); #137981=VECTOR('',#438879,10.); #137982=VECTOR('',#438882,10.); #137983=VECTOR('',#438883,10.); #137984=VECTOR('',#438884,10.); #137985=VECTOR('',#438887,10.); #137986=VECTOR('',#438888,10.); #137987=VECTOR('',#438889,10.); #137988=VECTOR('',#438892,10.); #137989=VECTOR('',#438893,10.); #137990=VECTOR('',#438894,10.); #137991=VECTOR('',#438897,10.); #137992=VECTOR('',#438898,10.); #137993=VECTOR('',#438899,10.); #137994=VECTOR('',#438902,10.); #137995=VECTOR('',#438903,10.); #137996=VECTOR('',#438904,10.); #137997=VECTOR('',#438907,10.); #137998=VECTOR('',#438908,10.); #137999=VECTOR('',#438909,10.); #138000=VECTOR('',#438912,10.); #138001=VECTOR('',#438913,10.); #138002=VECTOR('',#438914,10.); #138003=VECTOR('',#438917,10.); #138004=VECTOR('',#438918,10.); #138005=VECTOR('',#438919,10.); #138006=VECTOR('',#438922,10.); #138007=VECTOR('',#438923,10.); #138008=VECTOR('',#438924,10.); #138009=VECTOR('',#438927,10.); #138010=VECTOR('',#438928,10.); #138011=VECTOR('',#438929,10.); #138012=VECTOR('',#438932,10.); #138013=VECTOR('',#438933,10.); #138014=VECTOR('',#438934,10.); #138015=VECTOR('',#438937,10.); #138016=VECTOR('',#438938,10.); #138017=VECTOR('',#438939,10.); #138018=VECTOR('',#438942,10.); #138019=VECTOR('',#438943,10.); #138020=VECTOR('',#438944,10.); #138021=VECTOR('',#438947,10.); #138022=VECTOR('',#438948,10.); #138023=VECTOR('',#438949,10.); #138024=VECTOR('',#438952,10.); #138025=VECTOR('',#438953,10.); #138026=VECTOR('',#438954,10.); #138027=VECTOR('',#438957,10.); #138028=VECTOR('',#438958,10.); #138029=VECTOR('',#438959,10.); #138030=VECTOR('',#438962,10.); #138031=VECTOR('',#438963,10.); #138032=VECTOR('',#438964,10.); #138033=VECTOR('',#438967,10.); #138034=VECTOR('',#438968,10.); #138035=VECTOR('',#438969,10.); #138036=VECTOR('',#438972,10.); #138037=VECTOR('',#438973,10.); #138038=VECTOR('',#438974,10.); #138039=VECTOR('',#438977,10.); #138040=VECTOR('',#438978,10.); #138041=VECTOR('',#438979,10.); #138042=VECTOR('',#438982,10.); #138043=VECTOR('',#438983,10.); #138044=VECTOR('',#438984,10.); #138045=VECTOR('',#438987,10.); #138046=VECTOR('',#438988,10.); #138047=VECTOR('',#438989,10.); #138048=VECTOR('',#438992,10.); #138049=VECTOR('',#438993,10.); #138050=VECTOR('',#438994,10.); #138051=VECTOR('',#438997,10.); #138052=VECTOR('',#438998,10.); #138053=VECTOR('',#438999,10.); #138054=VECTOR('',#439002,10.); #138055=VECTOR('',#439003,10.); #138056=VECTOR('',#439006,10.); #138057=VECTOR('',#439007,10.); #138058=VECTOR('',#439008,10.); #138059=VECTOR('',#439009,10.); #138060=VECTOR('',#439012,10.); #138061=VECTOR('',#439013,10.); #138062=VECTOR('',#439014,10.); #138063=VECTOR('',#439017,10.); #138064=VECTOR('',#439018,10.); #138065=VECTOR('',#439019,10.); #138066=VECTOR('',#439022,10.); #138067=VECTOR('',#439023,10.); #138068=VECTOR('',#439024,10.); #138069=VECTOR('',#439027,10.); #138070=VECTOR('',#439028,10.); #138071=VECTOR('',#439029,10.); #138072=VECTOR('',#439032,10.); #138073=VECTOR('',#439033,10.); #138074=VECTOR('',#439034,10.); #138075=VECTOR('',#439037,10.); #138076=VECTOR('',#439038,10.); #138077=VECTOR('',#439039,10.); #138078=VECTOR('',#439042,10.); #138079=VECTOR('',#439043,10.); #138080=VECTOR('',#439044,10.); #138081=VECTOR('',#439047,10.); #138082=VECTOR('',#439048,10.); #138083=VECTOR('',#439049,10.); #138084=VECTOR('',#439052,10.); #138085=VECTOR('',#439053,10.); #138086=VECTOR('',#439054,10.); #138087=VECTOR('',#439057,10.); #138088=VECTOR('',#439058,10.); #138089=VECTOR('',#439059,10.); #138090=VECTOR('',#439062,10.); #138091=VECTOR('',#439063,10.); #138092=VECTOR('',#439064,10.); #138093=VECTOR('',#439067,10.); #138094=VECTOR('',#439068,10.); #138095=VECTOR('',#439069,10.); #138096=VECTOR('',#439072,10.); #138097=VECTOR('',#439073,10.); #138098=VECTOR('',#439074,10.); #138099=VECTOR('',#439077,10.); #138100=VECTOR('',#439078,10.); #138101=VECTOR('',#439079,10.); #138102=VECTOR('',#439082,10.); #138103=VECTOR('',#439083,10.); #138104=VECTOR('',#439084,10.); #138105=VECTOR('',#439087,10.); #138106=VECTOR('',#439088,10.); #138107=VECTOR('',#439089,10.); #138108=VECTOR('',#439092,10.); #138109=VECTOR('',#439093,10.); #138110=VECTOR('',#439094,10.); #138111=VECTOR('',#439097,10.); #138112=VECTOR('',#439098,10.); #138113=VECTOR('',#439099,10.); #138114=VECTOR('',#439102,10.); #138115=VECTOR('',#439103,10.); #138116=VECTOR('',#439104,10.); #138117=VECTOR('',#439107,10.); #138118=VECTOR('',#439108,10.); #138119=VECTOR('',#439109,10.); #138120=VECTOR('',#439112,10.); #138121=VECTOR('',#439113,10.); #138122=VECTOR('',#439114,10.); #138123=VECTOR('',#439117,10.); #138124=VECTOR('',#439118,10.); #138125=VECTOR('',#439119,10.); #138126=VECTOR('',#439122,10.); #138127=VECTOR('',#439123,10.); #138128=VECTOR('',#439124,10.); #138129=VECTOR('',#439127,10.); #138130=VECTOR('',#439128,10.); #138131=VECTOR('',#439129,10.); #138132=VECTOR('',#439132,10.); #138133=VECTOR('',#439133,10.); #138134=VECTOR('',#439134,10.); #138135=VECTOR('',#439137,10.); #138136=VECTOR('',#439138,10.); #138137=VECTOR('',#439139,10.); #138138=VECTOR('',#439142,10.); #138139=VECTOR('',#439143,10.); #138140=VECTOR('',#439144,10.); #138141=VECTOR('',#439147,10.); #138142=VECTOR('',#439148,10.); #138143=VECTOR('',#439149,10.); #138144=VECTOR('',#439152,10.); #138145=VECTOR('',#439153,10.); #138146=VECTOR('',#439156,10.); #138147=VECTOR('',#439157,10.); #138148=VECTOR('',#439158,10.); #138149=VECTOR('',#439159,10.); #138150=VECTOR('',#439162,10.); #138151=VECTOR('',#439163,10.); #138152=VECTOR('',#439164,10.); #138153=VECTOR('',#439167,10.); #138154=VECTOR('',#439168,10.); #138155=VECTOR('',#439169,10.); #138156=VECTOR('',#439172,10.); #138157=VECTOR('',#439173,10.); #138158=VECTOR('',#439174,10.); #138159=VECTOR('',#439177,10.); #138160=VECTOR('',#439178,10.); #138161=VECTOR('',#439179,10.); #138162=VECTOR('',#439182,10.); #138163=VECTOR('',#439183,10.); #138164=VECTOR('',#439184,10.); #138165=VECTOR('',#439187,10.); #138166=VECTOR('',#439188,10.); #138167=VECTOR('',#439189,10.); #138168=VECTOR('',#439192,10.); #138169=VECTOR('',#439193,10.); #138170=VECTOR('',#439194,10.); #138171=VECTOR('',#439197,10.); #138172=VECTOR('',#439198,10.); #138173=VECTOR('',#439199,10.); #138174=VECTOR('',#439202,10.); #138175=VECTOR('',#439203,10.); #138176=VECTOR('',#439204,10.); #138177=VECTOR('',#439207,10.); #138178=VECTOR('',#439208,10.); #138179=VECTOR('',#439209,10.); #138180=VECTOR('',#439212,10.); #138181=VECTOR('',#439213,10.); #138182=VECTOR('',#439214,10.); #138183=VECTOR('',#439217,10.); #138184=VECTOR('',#439218,10.); #138185=VECTOR('',#439219,10.); #138186=VECTOR('',#439222,10.); #138187=VECTOR('',#439223,10.); #138188=VECTOR('',#439224,10.); #138189=VECTOR('',#439227,10.); #138190=VECTOR('',#439228,10.); #138191=VECTOR('',#439229,10.); #138192=VECTOR('',#439232,10.); #138193=VECTOR('',#439233,10.); #138194=VECTOR('',#439234,10.); #138195=VECTOR('',#439237,10.); #138196=VECTOR('',#439238,10.); #138197=VECTOR('',#439239,10.); #138198=VECTOR('',#439242,10.); #138199=VECTOR('',#439243,10.); #138200=VECTOR('',#439244,10.); #138201=VECTOR('',#439247,10.); #138202=VECTOR('',#439248,10.); #138203=VECTOR('',#439249,10.); #138204=VECTOR('',#439252,10.); #138205=VECTOR('',#439253,10.); #138206=VECTOR('',#439254,10.); #138207=VECTOR('',#439257,10.); #138208=VECTOR('',#439258,10.); #138209=VECTOR('',#439259,10.); #138210=VECTOR('',#439262,10.); #138211=VECTOR('',#439263,10.); #138212=VECTOR('',#439264,10.); #138213=VECTOR('',#439267,10.); #138214=VECTOR('',#439268,10.); #138215=VECTOR('',#439269,10.); #138216=VECTOR('',#439272,10.); #138217=VECTOR('',#439273,10.); #138218=VECTOR('',#439274,10.); #138219=VECTOR('',#439277,10.); #138220=VECTOR('',#439278,10.); #138221=VECTOR('',#439279,10.); #138222=VECTOR('',#439282,10.); #138223=VECTOR('',#439283,10.); #138224=VECTOR('',#439284,10.); #138225=VECTOR('',#439287,10.); #138226=VECTOR('',#439288,10.); #138227=VECTOR('',#439289,10.); #138228=VECTOR('',#439292,10.); #138229=VECTOR('',#439293,10.); #138230=VECTOR('',#439294,10.); #138231=VECTOR('',#439297,10.); #138232=VECTOR('',#439298,10.); #138233=VECTOR('',#439299,10.); #138234=VECTOR('',#439302,10.); #138235=VECTOR('',#439303,10.); #138236=VECTOR('',#439306,10.); #138237=VECTOR('',#439307,10.); #138238=VECTOR('',#439308,10.); #138239=VECTOR('',#439309,10.); #138240=VECTOR('',#439312,10.); #138241=VECTOR('',#439313,10.); #138242=VECTOR('',#439314,10.); #138243=VECTOR('',#439317,10.); #138244=VECTOR('',#439318,10.); #138245=VECTOR('',#439319,10.); #138246=VECTOR('',#439322,10.); #138247=VECTOR('',#439323,10.); #138248=VECTOR('',#439324,10.); #138249=VECTOR('',#439327,10.); #138250=VECTOR('',#439328,10.); #138251=VECTOR('',#439329,10.); #138252=VECTOR('',#439332,10.); #138253=VECTOR('',#439333,10.); #138254=VECTOR('',#439334,10.); #138255=VECTOR('',#439337,10.); #138256=VECTOR('',#439338,10.); #138257=VECTOR('',#439339,10.); #138258=VECTOR('',#439342,10.); #138259=VECTOR('',#439343,10.); #138260=VECTOR('',#439344,10.); #138261=VECTOR('',#439347,10.); #138262=VECTOR('',#439348,10.); #138263=VECTOR('',#439349,10.); #138264=VECTOR('',#439352,10.); #138265=VECTOR('',#439353,10.); #138266=VECTOR('',#439354,10.); #138267=VECTOR('',#439357,10.); #138268=VECTOR('',#439358,10.); #138269=VECTOR('',#439359,10.); #138270=VECTOR('',#439362,10.); #138271=VECTOR('',#439363,10.); #138272=VECTOR('',#439364,10.); #138273=VECTOR('',#439367,10.); #138274=VECTOR('',#439368,10.); #138275=VECTOR('',#439369,10.); #138276=VECTOR('',#439372,10.); #138277=VECTOR('',#439373,10.); #138278=VECTOR('',#439374,10.); #138279=VECTOR('',#439377,10.); #138280=VECTOR('',#439378,10.); #138281=VECTOR('',#439379,10.); #138282=VECTOR('',#439382,10.); #138283=VECTOR('',#439383,10.); #138284=VECTOR('',#439384,10.); #138285=VECTOR('',#439387,10.); #138286=VECTOR('',#439388,10.); #138287=VECTOR('',#439389,10.); #138288=VECTOR('',#439392,10.); #138289=VECTOR('',#439393,10.); #138290=VECTOR('',#439394,10.); #138291=VECTOR('',#439397,10.); #138292=VECTOR('',#439398,10.); #138293=VECTOR('',#439399,10.); #138294=VECTOR('',#439402,10.); #138295=VECTOR('',#439403,10.); #138296=VECTOR('',#439404,10.); #138297=VECTOR('',#439407,10.); #138298=VECTOR('',#439408,10.); #138299=VECTOR('',#439409,10.); #138300=VECTOR('',#439412,10.); #138301=VECTOR('',#439413,10.); #138302=VECTOR('',#439414,10.); #138303=VECTOR('',#439417,10.); #138304=VECTOR('',#439418,10.); #138305=VECTOR('',#439419,10.); #138306=VECTOR('',#439422,10.); #138307=VECTOR('',#439423,10.); #138308=VECTOR('',#439424,10.); #138309=VECTOR('',#439427,10.); #138310=VECTOR('',#439428,10.); #138311=VECTOR('',#439429,10.); #138312=VECTOR('',#439432,10.); #138313=VECTOR('',#439433,10.); #138314=VECTOR('',#439434,10.); #138315=VECTOR('',#439437,10.); #138316=VECTOR('',#439438,10.); #138317=VECTOR('',#439439,10.); #138318=VECTOR('',#439442,10.); #138319=VECTOR('',#439443,10.); #138320=VECTOR('',#439444,10.); #138321=VECTOR('',#439447,10.); #138322=VECTOR('',#439448,10.); #138323=VECTOR('',#439449,10.); #138324=VECTOR('',#439452,10.); #138325=VECTOR('',#439453,10.); #138326=VECTOR('',#439456,10.); #138327=VECTOR('',#439457,10.); #138328=VECTOR('',#439458,10.); #138329=VECTOR('',#439459,10.); #138330=VECTOR('',#439462,10.); #138331=VECTOR('',#439463,10.); #138332=VECTOR('',#439464,10.); #138333=VECTOR('',#439467,10.); #138334=VECTOR('',#439468,10.); #138335=VECTOR('',#439469,10.); #138336=VECTOR('',#439472,10.); #138337=VECTOR('',#439473,10.); #138338=VECTOR('',#439474,10.); #138339=VECTOR('',#439477,10.); #138340=VECTOR('',#439478,10.); #138341=VECTOR('',#439479,10.); #138342=VECTOR('',#439482,10.); #138343=VECTOR('',#439483,10.); #138344=VECTOR('',#439484,10.); #138345=VECTOR('',#439487,10.); #138346=VECTOR('',#439488,10.); #138347=VECTOR('',#439489,10.); #138348=VECTOR('',#439492,10.); #138349=VECTOR('',#439493,10.); #138350=VECTOR('',#439494,10.); #138351=VECTOR('',#439497,10.); #138352=VECTOR('',#439498,10.); #138353=VECTOR('',#439499,10.); #138354=VECTOR('',#439502,10.); #138355=VECTOR('',#439503,10.); #138356=VECTOR('',#439504,10.); #138357=VECTOR('',#439507,10.); #138358=VECTOR('',#439508,10.); #138359=VECTOR('',#439509,10.); #138360=VECTOR('',#439512,10.); #138361=VECTOR('',#439513,10.); #138362=VECTOR('',#439514,10.); #138363=VECTOR('',#439517,10.); #138364=VECTOR('',#439518,10.); #138365=VECTOR('',#439519,10.); #138366=VECTOR('',#439522,10.); #138367=VECTOR('',#439523,10.); #138368=VECTOR('',#439524,10.); #138369=VECTOR('',#439527,10.); #138370=VECTOR('',#439528,10.); #138371=VECTOR('',#439529,10.); #138372=VECTOR('',#439532,10.); #138373=VECTOR('',#439533,10.); #138374=VECTOR('',#439534,10.); #138375=VECTOR('',#439537,10.); #138376=VECTOR('',#439538,10.); #138377=VECTOR('',#439539,10.); #138378=VECTOR('',#439542,10.); #138379=VECTOR('',#439543,10.); #138380=VECTOR('',#439544,10.); #138381=VECTOR('',#439547,10.); #138382=VECTOR('',#439548,10.); #138383=VECTOR('',#439549,10.); #138384=VECTOR('',#439552,10.); #138385=VECTOR('',#439553,10.); #138386=VECTOR('',#439554,10.); #138387=VECTOR('',#439557,10.); #138388=VECTOR('',#439558,10.); #138389=VECTOR('',#439559,10.); #138390=VECTOR('',#439562,10.); #138391=VECTOR('',#439563,10.); #138392=VECTOR('',#439564,10.); #138393=VECTOR('',#439567,10.); #138394=VECTOR('',#439568,10.); #138395=VECTOR('',#439569,10.); #138396=VECTOR('',#439572,10.); #138397=VECTOR('',#439573,10.); #138398=VECTOR('',#439574,10.); #138399=VECTOR('',#439577,10.); #138400=VECTOR('',#439578,10.); #138401=VECTOR('',#439579,10.); #138402=VECTOR('',#439582,10.); #138403=VECTOR('',#439583,10.); #138404=VECTOR('',#439584,10.); #138405=VECTOR('',#439587,10.); #138406=VECTOR('',#439588,10.); #138407=VECTOR('',#439589,10.); #138408=VECTOR('',#439592,10.); #138409=VECTOR('',#439593,10.); #138410=VECTOR('',#439594,10.); #138411=VECTOR('',#439597,10.); #138412=VECTOR('',#439598,10.); #138413=VECTOR('',#439599,10.); #138414=VECTOR('',#439602,10.); #138415=VECTOR('',#439603,10.); #138416=VECTOR('',#439604,10.); #138417=VECTOR('',#439607,10.); #138418=VECTOR('',#439608,10.); #138419=VECTOR('',#439609,10.); #138420=VECTOR('',#439612,10.); #138421=VECTOR('',#439613,10.); #138422=VECTOR('',#439614,10.); #138423=VECTOR('',#439617,10.); #138424=VECTOR('',#439618,10.); #138425=VECTOR('',#439619,10.); #138426=VECTOR('',#439622,10.); #138427=VECTOR('',#439623,10.); #138428=VECTOR('',#439624,10.); #138429=VECTOR('',#439627,10.); #138430=VECTOR('',#439628,10.); #138431=VECTOR('',#439629,10.); #138432=VECTOR('',#439632,10.); #138433=VECTOR('',#439633,10.); #138434=VECTOR('',#439634,10.); #138435=VECTOR('',#439637,10.); #138436=VECTOR('',#439638,10.); #138437=VECTOR('',#439639,10.); #138438=VECTOR('',#439642,10.); #138439=VECTOR('',#439643,10.); #138440=VECTOR('',#439644,10.); #138441=VECTOR('',#439647,10.); #138442=VECTOR('',#439648,10.); #138443=VECTOR('',#439649,10.); #138444=VECTOR('',#439652,10.); #138445=VECTOR('',#439653,10.); #138446=VECTOR('',#439654,10.); #138447=VECTOR('',#439657,10.); #138448=VECTOR('',#439658,10.); #138449=VECTOR('',#439659,10.); #138450=VECTOR('',#439662,10.); #138451=VECTOR('',#439663,10.); #138452=VECTOR('',#439664,10.); #138453=VECTOR('',#439667,10.); #138454=VECTOR('',#439668,10.); #138455=VECTOR('',#439669,10.); #138456=VECTOR('',#439672,10.); #138457=VECTOR('',#439673,10.); #138458=VECTOR('',#439674,10.); #138459=VECTOR('',#439677,10.); #138460=VECTOR('',#439678,10.); #138461=VECTOR('',#439679,10.); #138462=VECTOR('',#439682,10.); #138463=VECTOR('',#439683,10.); #138464=VECTOR('',#439684,10.); #138465=VECTOR('',#439687,10.); #138466=VECTOR('',#439688,10.); #138467=VECTOR('',#439689,10.); #138468=VECTOR('',#439692,10.); #138469=VECTOR('',#439693,10.); #138470=VECTOR('',#439694,10.); #138471=VECTOR('',#439697,10.); #138472=VECTOR('',#439698,10.); #138473=VECTOR('',#439699,10.); #138474=VECTOR('',#439702,10.); #138475=VECTOR('',#439703,10.); #138476=VECTOR('',#439704,10.); #138477=VECTOR('',#439707,10.); #138478=VECTOR('',#439708,10.); #138479=VECTOR('',#439709,10.); #138480=VECTOR('',#439712,10.); #138481=VECTOR('',#439713,10.); #138482=VECTOR('',#439714,10.); #138483=VECTOR('',#439717,10.); #138484=VECTOR('',#439718,10.); #138485=VECTOR('',#439719,10.); #138486=VECTOR('',#439722,10.); #138487=VECTOR('',#439723,10.); #138488=VECTOR('',#439724,10.); #138489=VECTOR('',#439727,10.); #138490=VECTOR('',#439728,10.); #138491=VECTOR('',#439729,10.); #138492=VECTOR('',#439732,10.); #138493=VECTOR('',#439733,10.); #138494=VECTOR('',#439734,10.); #138495=VECTOR('',#439737,10.); #138496=VECTOR('',#439738,10.); #138497=VECTOR('',#439739,10.); #138498=VECTOR('',#439742,10.); #138499=VECTOR('',#439743,10.); #138500=VECTOR('',#439744,10.); #138501=VECTOR('',#439747,10.); #138502=VECTOR('',#439748,10.); #138503=VECTOR('',#439749,10.); #138504=VECTOR('',#439752,10.); #138505=VECTOR('',#439753,10.); #138506=VECTOR('',#439754,10.); #138507=VECTOR('',#439757,10.); #138508=VECTOR('',#439758,10.); #138509=VECTOR('',#439759,10.); #138510=VECTOR('',#439762,10.); #138511=VECTOR('',#439763,10.); #138512=VECTOR('',#439764,10.); #138513=VECTOR('',#439767,10.); #138514=VECTOR('',#439768,10.); #138515=VECTOR('',#439769,10.); #138516=VECTOR('',#439772,10.); #138517=VECTOR('',#439773,10.); #138518=VECTOR('',#439774,10.); #138519=VECTOR('',#439777,10.); #138520=VECTOR('',#439778,10.); #138521=VECTOR('',#439779,10.); #138522=VECTOR('',#439782,10.); #138523=VECTOR('',#439783,10.); #138524=VECTOR('',#439784,10.); #138525=VECTOR('',#439787,10.); #138526=VECTOR('',#439788,10.); #138527=VECTOR('',#439789,10.); #138528=VECTOR('',#439792,10.); #138529=VECTOR('',#439793,10.); #138530=VECTOR('',#439794,10.); #138531=VECTOR('',#439797,10.); #138532=VECTOR('',#439798,10.); #138533=VECTOR('',#439799,10.); #138534=VECTOR('',#439802,10.); #138535=VECTOR('',#439803,10.); #138536=VECTOR('',#439804,10.); #138537=VECTOR('',#439807,10.); #138538=VECTOR('',#439808,10.); #138539=VECTOR('',#439809,10.); #138540=VECTOR('',#439812,10.); #138541=VECTOR('',#439813,10.); #138542=VECTOR('',#439814,10.); #138543=VECTOR('',#439817,10.); #138544=VECTOR('',#439818,10.); #138545=VECTOR('',#439819,10.); #138546=VECTOR('',#439822,10.); #138547=VECTOR('',#439823,10.); #138548=VECTOR('',#439824,10.); #138549=VECTOR('',#439827,10.); #138550=VECTOR('',#439828,10.); #138551=VECTOR('',#439829,10.); #138552=VECTOR('',#439832,10.); #138553=VECTOR('',#439833,10.); #138554=VECTOR('',#439834,10.); #138555=VECTOR('',#439837,10.); #138556=VECTOR('',#439838,10.); #138557=VECTOR('',#439839,10.); #138558=VECTOR('',#439842,10.); #138559=VECTOR('',#439843,10.); #138560=VECTOR('',#439844,10.); #138561=VECTOR('',#439847,10.); #138562=VECTOR('',#439848,10.); #138563=VECTOR('',#439849,10.); #138564=VECTOR('',#439852,10.); #138565=VECTOR('',#439853,10.); #138566=VECTOR('',#439854,10.); #138567=VECTOR('',#439857,10.); #138568=VECTOR('',#439858,10.); #138569=VECTOR('',#439859,10.); #138570=VECTOR('',#439862,10.); #138571=VECTOR('',#439863,10.); #138572=VECTOR('',#439864,10.); #138573=VECTOR('',#439867,10.); #138574=VECTOR('',#439868,10.); #138575=VECTOR('',#439869,10.); #138576=VECTOR('',#439872,10.); #138577=VECTOR('',#439873,10.); #138578=VECTOR('',#439874,10.); #138579=VECTOR('',#439877,10.); #138580=VECTOR('',#439878,10.); #138581=VECTOR('',#439879,10.); #138582=VECTOR('',#439882,10.); #138583=VECTOR('',#439883,10.); #138584=VECTOR('',#439884,10.); #138585=VECTOR('',#439887,10.); #138586=VECTOR('',#439888,10.); #138587=VECTOR('',#439889,10.); #138588=VECTOR('',#439892,10.); #138589=VECTOR('',#439893,10.); #138590=VECTOR('',#439894,10.); #138591=VECTOR('',#439897,10.); #138592=VECTOR('',#439898,10.); #138593=VECTOR('',#439899,10.); #138594=VECTOR('',#439902,10.); #138595=VECTOR('',#439903,10.); #138596=VECTOR('',#439904,10.); #138597=VECTOR('',#439907,10.); #138598=VECTOR('',#439908,10.); #138599=VECTOR('',#439909,10.); #138600=VECTOR('',#439912,10.); #138601=VECTOR('',#439913,10.); #138602=VECTOR('',#439914,10.); #138603=VECTOR('',#439917,10.); #138604=VECTOR('',#439918,10.); #138605=VECTOR('',#439919,10.); #138606=VECTOR('',#439922,10.); #138607=VECTOR('',#439923,10.); #138608=VECTOR('',#439924,10.); #138609=VECTOR('',#439927,10.); #138610=VECTOR('',#439928,10.); #138611=VECTOR('',#439929,10.); #138612=VECTOR('',#439932,10.); #138613=VECTOR('',#439933,10.); #138614=VECTOR('',#439934,10.); #138615=VECTOR('',#439937,10.); #138616=VECTOR('',#439938,10.); #138617=VECTOR('',#439939,10.); #138618=VECTOR('',#439942,10.); #138619=VECTOR('',#439943,10.); #138620=VECTOR('',#439944,10.); #138621=VECTOR('',#439947,10.); #138622=VECTOR('',#439948,10.); #138623=VECTOR('',#439949,10.); #138624=VECTOR('',#439952,10.); #138625=VECTOR('',#439953,10.); #138626=VECTOR('',#439954,10.); #138627=VECTOR('',#439957,10.); #138628=VECTOR('',#439958,10.); #138629=VECTOR('',#439959,10.); #138630=VECTOR('',#439962,10.); #138631=VECTOR('',#439963,10.); #138632=VECTOR('',#439964,10.); #138633=VECTOR('',#439967,10.); #138634=VECTOR('',#439968,10.); #138635=VECTOR('',#439969,10.); #138636=VECTOR('',#439972,10.); #138637=VECTOR('',#439973,10.); #138638=VECTOR('',#439974,10.); #138639=VECTOR('',#439977,10.); #138640=VECTOR('',#439978,10.); #138641=VECTOR('',#439979,10.); #138642=VECTOR('',#439982,10.); #138643=VECTOR('',#439983,10.); #138644=VECTOR('',#439984,10.); #138645=VECTOR('',#439987,10.); #138646=VECTOR('',#439988,10.); #138647=VECTOR('',#439989,10.); #138648=VECTOR('',#439992,10.); #138649=VECTOR('',#439993,10.); #138650=VECTOR('',#439994,10.); #138651=VECTOR('',#439997,10.); #138652=VECTOR('',#439998,10.); #138653=VECTOR('',#439999,10.); #138654=VECTOR('',#440002,10.); #138655=VECTOR('',#440003,10.); #138656=VECTOR('',#440004,10.); #138657=VECTOR('',#440007,10.); #138658=VECTOR('',#440008,10.); #138659=VECTOR('',#440009,10.); #138660=VECTOR('',#440012,10.); #138661=VECTOR('',#440013,10.); #138662=VECTOR('',#440014,10.); #138663=VECTOR('',#440017,10.); #138664=VECTOR('',#440018,10.); #138665=VECTOR('',#440019,10.); #138666=VECTOR('',#440022,10.); #138667=VECTOR('',#440023,10.); #138668=VECTOR('',#440024,10.); #138669=VECTOR('',#440027,10.); #138670=VECTOR('',#440028,10.); #138671=VECTOR('',#440029,10.); #138672=VECTOR('',#440032,10.); #138673=VECTOR('',#440033,10.); #138674=VECTOR('',#440034,10.); #138675=VECTOR('',#440037,10.); #138676=VECTOR('',#440038,10.); #138677=VECTOR('',#440039,10.); #138678=VECTOR('',#440042,10.); #138679=VECTOR('',#440043,10.); #138680=VECTOR('',#440044,10.); #138681=VECTOR('',#440047,10.); #138682=VECTOR('',#440048,10.); #138683=VECTOR('',#440049,10.); #138684=VECTOR('',#440052,10.); #138685=VECTOR('',#440053,10.); #138686=VECTOR('',#440060,10.); #138687=VECTOR('',#440061,10.); #138688=VECTOR('',#440062,10.); #138689=VECTOR('',#440063,10.); #138690=VECTOR('',#440066,10.); #138691=VECTOR('',#440067,10.); #138692=VECTOR('',#440068,10.); #138693=VECTOR('',#440071,10.); #138694=VECTOR('',#440072,10.); #138695=VECTOR('',#440073,10.); #138696=VECTOR('',#440076,10.); #138697=VECTOR('',#440077,10.); #138698=VECTOR('',#440078,10.); #138699=VECTOR('',#440081,10.); #138700=VECTOR('',#440082,10.); #138701=VECTOR('',#440083,10.); #138702=VECTOR('',#440086,10.); #138703=VECTOR('',#440087,10.); #138704=VECTOR('',#440088,10.); #138705=VECTOR('',#440091,10.); #138706=VECTOR('',#440092,10.); #138707=VECTOR('',#440093,10.); #138708=VECTOR('',#440096,10.); #138709=VECTOR('',#440097,10.); #138710=VECTOR('',#440098,10.); #138711=VECTOR('',#440101,10.); #138712=VECTOR('',#440102,10.); #138713=VECTOR('',#440103,10.); #138714=VECTOR('',#440106,10.); #138715=VECTOR('',#440107,10.); #138716=VECTOR('',#440108,10.); #138717=VECTOR('',#440111,10.); #138718=VECTOR('',#440112,10.); #138719=VECTOR('',#440113,10.); #138720=VECTOR('',#440116,10.); #138721=VECTOR('',#440117,10.); #138722=VECTOR('',#440118,10.); #138723=VECTOR('',#440121,10.); #138724=VECTOR('',#440122,10.); #138725=VECTOR('',#440123,10.); #138726=VECTOR('',#440126,10.); #138727=VECTOR('',#440127,10.); #138728=VECTOR('',#440128,10.); #138729=VECTOR('',#440131,10.); #138730=VECTOR('',#440132,10.); #138731=VECTOR('',#440133,10.); #138732=VECTOR('',#440136,10.); #138733=VECTOR('',#440137,10.); #138734=VECTOR('',#440138,10.); #138735=VECTOR('',#440141,10.); #138736=VECTOR('',#440142,10.); #138737=VECTOR('',#440143,10.); #138738=VECTOR('',#440146,10.); #138739=VECTOR('',#440147,10.); #138740=VECTOR('',#440148,10.); #138741=VECTOR('',#440151,10.); #138742=VECTOR('',#440152,10.); #138743=VECTOR('',#440153,10.); #138744=VECTOR('',#440156,10.); #138745=VECTOR('',#440157,10.); #138746=VECTOR('',#440158,10.); #138747=VECTOR('',#440161,10.); #138748=VECTOR('',#440162,10.); #138749=VECTOR('',#440163,10.); #138750=VECTOR('',#440166,10.); #138751=VECTOR('',#440167,10.); #138752=VECTOR('',#440168,10.); #138753=VECTOR('',#440171,10.); #138754=VECTOR('',#440172,10.); #138755=VECTOR('',#440173,10.); #138756=VECTOR('',#440176,10.); #138757=VECTOR('',#440177,10.); #138758=VECTOR('',#440178,10.); #138759=VECTOR('',#440181,10.); #138760=VECTOR('',#440182,10.); #138761=VECTOR('',#440183,10.); #138762=VECTOR('',#440186,10.); #138763=VECTOR('',#440187,10.); #138764=VECTOR('',#440188,10.); #138765=VECTOR('',#440191,10.); #138766=VECTOR('',#440192,10.); #138767=VECTOR('',#440199,10.); #138768=VECTOR('',#440200,10.); #138769=VECTOR('',#440201,10.); #138770=VECTOR('',#440202,10.); #138771=VECTOR('',#440205,10.); #138772=VECTOR('',#440206,10.); #138773=VECTOR('',#440207,10.); #138774=VECTOR('',#440210,10.); #138775=VECTOR('',#440211,10.); #138776=VECTOR('',#440212,10.); #138777=VECTOR('',#440215,10.); #138778=VECTOR('',#440216,10.); #138779=VECTOR('',#440217,10.); #138780=VECTOR('',#440220,10.); #138781=VECTOR('',#440221,10.); #138782=VECTOR('',#440222,10.); #138783=VECTOR('',#440225,10.); #138784=VECTOR('',#440226,10.); #138785=VECTOR('',#440227,10.); #138786=VECTOR('',#440230,10.); #138787=VECTOR('',#440231,10.); #138788=VECTOR('',#440232,10.); #138789=VECTOR('',#440235,10.); #138790=VECTOR('',#440236,10.); #138791=VECTOR('',#440237,10.); #138792=VECTOR('',#440240,10.); #138793=VECTOR('',#440241,10.); #138794=VECTOR('',#440242,10.); #138795=VECTOR('',#440245,10.); #138796=VECTOR('',#440246,10.); #138797=VECTOR('',#440247,10.); #138798=VECTOR('',#440250,10.); #138799=VECTOR('',#440251,10.); #138800=VECTOR('',#440252,10.); #138801=VECTOR('',#440255,10.); #138802=VECTOR('',#440256,10.); #138803=VECTOR('',#440257,10.); #138804=VECTOR('',#440260,10.); #138805=VECTOR('',#440261,10.); #138806=VECTOR('',#440262,10.); #138807=VECTOR('',#440265,10.); #138808=VECTOR('',#440266,10.); #138809=VECTOR('',#440267,10.); #138810=VECTOR('',#440270,10.); #138811=VECTOR('',#440271,10.); #138812=VECTOR('',#440272,10.); #138813=VECTOR('',#440275,10.); #138814=VECTOR('',#440276,10.); #138815=VECTOR('',#440277,10.); #138816=VECTOR('',#440280,10.); #138817=VECTOR('',#440281,10.); #138818=VECTOR('',#440282,10.); #138819=VECTOR('',#440285,10.); #138820=VECTOR('',#440286,10.); #138821=VECTOR('',#440287,10.); #138822=VECTOR('',#440290,10.); #138823=VECTOR('',#440291,10.); #138824=VECTOR('',#440292,10.); #138825=VECTOR('',#440295,10.); #138826=VECTOR('',#440296,10.); #138827=VECTOR('',#440297,10.); #138828=VECTOR('',#440300,10.); #138829=VECTOR('',#440301,10.); #138830=VECTOR('',#440308,10.); #138831=VECTOR('',#440309,10.); #138832=VECTOR('',#440310,10.); #138833=VECTOR('',#440311,10.); #138834=VECTOR('',#440314,10.); #138835=VECTOR('',#440315,10.); #138836=VECTOR('',#440316,10.); #138837=VECTOR('',#440319,10.); #138838=VECTOR('',#440320,10.); #138839=VECTOR('',#440321,10.); #138840=VECTOR('',#440324,10.); #138841=VECTOR('',#440325,10.); #138842=VECTOR('',#440326,10.); #138843=VECTOR('',#440329,10.); #138844=VECTOR('',#440330,10.); #138845=VECTOR('',#440331,10.); #138846=VECTOR('',#440334,10.); #138847=VECTOR('',#440335,10.); #138848=VECTOR('',#440336,10.); #138849=VECTOR('',#440339,10.); #138850=VECTOR('',#440340,10.); #138851=VECTOR('',#440341,10.); #138852=VECTOR('',#440344,10.); #138853=VECTOR('',#440345,10.); #138854=VECTOR('',#440346,10.); #138855=VECTOR('',#440349,10.); #138856=VECTOR('',#440350,10.); #138857=VECTOR('',#440351,10.); #138858=VECTOR('',#440354,10.); #138859=VECTOR('',#440355,10.); #138860=VECTOR('',#440356,10.); #138861=VECTOR('',#440359,10.); #138862=VECTOR('',#440360,10.); #138863=VECTOR('',#440361,10.); #138864=VECTOR('',#440364,10.); #138865=VECTOR('',#440365,10.); #138866=VECTOR('',#440366,10.); #138867=VECTOR('',#440369,10.); #138868=VECTOR('',#440370,10.); #138869=VECTOR('',#440371,10.); #138870=VECTOR('',#440374,10.); #138871=VECTOR('',#440375,10.); #138872=VECTOR('',#440376,10.); #138873=VECTOR('',#440379,10.); #138874=VECTOR('',#440380,10.); #138875=VECTOR('',#440381,10.); #138876=VECTOR('',#440384,10.); #138877=VECTOR('',#440385,10.); #138878=VECTOR('',#440386,10.); #138879=VECTOR('',#440389,10.); #138880=VECTOR('',#440390,10.); #138881=VECTOR('',#440391,10.); #138882=VECTOR('',#440394,10.); #138883=VECTOR('',#440395,10.); #138884=VECTOR('',#440396,10.); #138885=VECTOR('',#440399,10.); #138886=VECTOR('',#440400,10.); #138887=VECTOR('',#440401,10.); #138888=VECTOR('',#440404,10.); #138889=VECTOR('',#440405,10.); #138890=VECTOR('',#440412,10.); #138891=VECTOR('',#440413,10.); #138892=VECTOR('',#440414,10.); #138893=VECTOR('',#440415,10.); #138894=VECTOR('',#440418,10.); #138895=VECTOR('',#440419,10.); #138896=VECTOR('',#440420,10.); #138897=VECTOR('',#440423,10.); #138898=VECTOR('',#440424,10.); #138899=VECTOR('',#440425,10.); #138900=VECTOR('',#440428,10.); #138901=VECTOR('',#440429,10.); #138902=VECTOR('',#440430,10.); #138903=VECTOR('',#440433,10.); #138904=VECTOR('',#440434,10.); #138905=VECTOR('',#440435,10.); #138906=VECTOR('',#440438,10.); #138907=VECTOR('',#440439,10.); #138908=VECTOR('',#440440,10.); #138909=VECTOR('',#440443,10.); #138910=VECTOR('',#440444,10.); #138911=VECTOR('',#440445,10.); #138912=VECTOR('',#440448,10.); #138913=VECTOR('',#440449,10.); #138914=VECTOR('',#440450,10.); #138915=VECTOR('',#440453,10.); #138916=VECTOR('',#440454,10.); #138917=VECTOR('',#440455,10.); #138918=VECTOR('',#440458,10.); #138919=VECTOR('',#440459,10.); #138920=VECTOR('',#440460,10.); #138921=VECTOR('',#440463,10.); #138922=VECTOR('',#440464,10.); #138923=VECTOR('',#440465,10.); #138924=VECTOR('',#440468,10.); #138925=VECTOR('',#440469,10.); #138926=VECTOR('',#440470,10.); #138927=VECTOR('',#440473,10.); #138928=VECTOR('',#440474,10.); #138929=VECTOR('',#440475,10.); #138930=VECTOR('',#440478,10.); #138931=VECTOR('',#440479,10.); #138932=VECTOR('',#440480,10.); #138933=VECTOR('',#440483,10.); #138934=VECTOR('',#440484,10.); #138935=VECTOR('',#440485,10.); #138936=VECTOR('',#440488,10.); #138937=VECTOR('',#440489,10.); #138938=VECTOR('',#440490,10.); #138939=VECTOR('',#440493,10.); #138940=VECTOR('',#440494,10.); #138941=VECTOR('',#440495,10.); #138942=VECTOR('',#440498,10.); #138943=VECTOR('',#440499,10.); #138944=VECTOR('',#440500,10.); #138945=VECTOR('',#440503,10.); #138946=VECTOR('',#440504,10.); #138947=VECTOR('',#440505,10.); #138948=VECTOR('',#440508,10.); #138949=VECTOR('',#440509,10.); #138950=VECTOR('',#440510,10.); #138951=VECTOR('',#440513,10.); #138952=VECTOR('',#440514,10.); #138953=VECTOR('',#440515,10.); #138954=VECTOR('',#440518,10.); #138955=VECTOR('',#440519,10.); #138956=VECTOR('',#440520,10.); #138957=VECTOR('',#440523,10.); #138958=VECTOR('',#440524,10.); #138959=VECTOR('',#440525,10.); #138960=VECTOR('',#440528,10.); #138961=VECTOR('',#440529,10.); #138962=VECTOR('',#440530,10.); #138963=VECTOR('',#440533,10.); #138964=VECTOR('',#440534,10.); #138965=VECTOR('',#440535,10.); #138966=VECTOR('',#440538,10.); #138967=VECTOR('',#440539,10.); #138968=VECTOR('',#440540,10.); #138969=VECTOR('',#440543,10.); #138970=VECTOR('',#440544,10.); #138971=VECTOR('',#440545,10.); #138972=VECTOR('',#440548,10.); #138973=VECTOR('',#440549,10.); #138974=VECTOR('',#440550,10.); #138975=VECTOR('',#440553,10.); #138976=VECTOR('',#440554,10.); #138977=VECTOR('',#440555,10.); #138978=VECTOR('',#440558,10.); #138979=VECTOR('',#440559,10.); #138980=VECTOR('',#440560,10.); #138981=VECTOR('',#440563,10.); #138982=VECTOR('',#440564,10.); #138983=VECTOR('',#440565,10.); #138984=VECTOR('',#440568,10.); #138985=VECTOR('',#440569,10.); #138986=VECTOR('',#440570,10.); #138987=VECTOR('',#440573,10.); #138988=VECTOR('',#440574,10.); #138989=VECTOR('',#440575,10.); #138990=VECTOR('',#440578,10.); #138991=VECTOR('',#440579,10.); #138992=VECTOR('',#440580,10.); #138993=VECTOR('',#440583,10.); #138994=VECTOR('',#440584,10.); #138995=VECTOR('',#440585,10.); #138996=VECTOR('',#440588,10.); #138997=VECTOR('',#440589,10.); #138998=VECTOR('',#440590,10.); #138999=VECTOR('',#440593,10.); #139000=VECTOR('',#440594,10.); #139001=VECTOR('',#440595,10.); #139002=VECTOR('',#440598,10.); #139003=VECTOR('',#440599,10.); #139004=VECTOR('',#440600,10.); #139005=VECTOR('',#440603,10.); #139006=VECTOR('',#440604,10.); #139007=VECTOR('',#440605,10.); #139008=VECTOR('',#440608,10.); #139009=VECTOR('',#440609,10.); #139010=VECTOR('',#440610,10.); #139011=VECTOR('',#440613,10.); #139012=VECTOR('',#440614,10.); #139013=VECTOR('',#440615,10.); #139014=VECTOR('',#440618,10.); #139015=VECTOR('',#440619,10.); #139016=VECTOR('',#440620,10.); #139017=VECTOR('',#440623,10.); #139018=VECTOR('',#440624,10.); #139019=VECTOR('',#440625,10.); #139020=VECTOR('',#440628,10.); #139021=VECTOR('',#440629,10.); #139022=VECTOR('',#440630,10.); #139023=VECTOR('',#440633,10.); #139024=VECTOR('',#440634,10.); #139025=VECTOR('',#440635,10.); #139026=VECTOR('',#440638,10.); #139027=VECTOR('',#440639,10.); #139028=VECTOR('',#440640,10.); #139029=VECTOR('',#440643,10.); #139030=VECTOR('',#440644,10.); #139031=VECTOR('',#440651,10.); #139032=VECTOR('',#440652,10.); #139033=VECTOR('',#440653,10.); #139034=VECTOR('',#440654,10.); #139035=VECTOR('',#440657,10.); #139036=VECTOR('',#440658,10.); #139037=VECTOR('',#440659,10.); #139038=VECTOR('',#440662,10.); #139039=VECTOR('',#440663,10.); #139040=VECTOR('',#440664,10.); #139041=VECTOR('',#440667,10.); #139042=VECTOR('',#440668,10.); #139043=VECTOR('',#440669,10.); #139044=VECTOR('',#440672,10.); #139045=VECTOR('',#440673,10.); #139046=VECTOR('',#440674,10.); #139047=VECTOR('',#440677,10.); #139048=VECTOR('',#440678,10.); #139049=VECTOR('',#440679,10.); #139050=VECTOR('',#440682,10.); #139051=VECTOR('',#440683,10.); #139052=VECTOR('',#440684,10.); #139053=VECTOR('',#440687,10.); #139054=VECTOR('',#440688,10.); #139055=VECTOR('',#440689,10.); #139056=VECTOR('',#440692,10.); #139057=VECTOR('',#440693,10.); #139058=VECTOR('',#440694,10.); #139059=VECTOR('',#440697,10.); #139060=VECTOR('',#440698,10.); #139061=VECTOR('',#440699,10.); #139062=VECTOR('',#440702,10.); #139063=VECTOR('',#440703,10.); #139064=VECTOR('',#440704,10.); #139065=VECTOR('',#440707,10.); #139066=VECTOR('',#440708,10.); #139067=VECTOR('',#440709,10.); #139068=VECTOR('',#440712,10.); #139069=VECTOR('',#440713,10.); #139070=VECTOR('',#440714,10.); #139071=VECTOR('',#440717,10.); #139072=VECTOR('',#440718,10.); #139073=VECTOR('',#440719,10.); #139074=VECTOR('',#440722,10.); #139075=VECTOR('',#440723,10.); #139076=VECTOR('',#440724,10.); #139077=VECTOR('',#440727,10.); #139078=VECTOR('',#440728,10.); #139079=VECTOR('',#440729,10.); #139080=VECTOR('',#440732,10.); #139081=VECTOR('',#440733,10.); #139082=VECTOR('',#440734,10.); #139083=VECTOR('',#440737,10.); #139084=VECTOR('',#440738,10.); #139085=VECTOR('',#440739,10.); #139086=VECTOR('',#440742,10.); #139087=VECTOR('',#440743,10.); #139088=VECTOR('',#440744,10.); #139089=VECTOR('',#440747,10.); #139090=VECTOR('',#440748,10.); #139091=VECTOR('',#440749,10.); #139092=VECTOR('',#440752,10.); #139093=VECTOR('',#440753,10.); #139094=VECTOR('',#440754,10.); #139095=VECTOR('',#440757,10.); #139096=VECTOR('',#440758,10.); #139097=VECTOR('',#440759,10.); #139098=VECTOR('',#440762,10.); #139099=VECTOR('',#440763,10.); #139100=VECTOR('',#440764,10.); #139101=VECTOR('',#440767,10.); #139102=VECTOR('',#440768,10.); #139103=VECTOR('',#440769,10.); #139104=VECTOR('',#440772,10.); #139105=VECTOR('',#440773,10.); #139106=VECTOR('',#440774,10.); #139107=VECTOR('',#440777,10.); #139108=VECTOR('',#440778,10.); #139109=VECTOR('',#440779,10.); #139110=VECTOR('',#440782,10.); #139111=VECTOR('',#440783,10.); #139112=VECTOR('',#440784,10.); #139113=VECTOR('',#440787,10.); #139114=VECTOR('',#440788,10.); #139115=VECTOR('',#440789,10.); #139116=VECTOR('',#440792,10.); #139117=VECTOR('',#440793,10.); #139118=VECTOR('',#440794,10.); #139119=VECTOR('',#440797,10.); #139120=VECTOR('',#440798,10.); #139121=VECTOR('',#440799,10.); #139122=VECTOR('',#440802,10.); #139123=VECTOR('',#440803,10.); #139124=VECTOR('',#440804,10.); #139125=VECTOR('',#440807,10.); #139126=VECTOR('',#440808,10.); #139127=VECTOR('',#440809,10.); #139128=VECTOR('',#440812,10.); #139129=VECTOR('',#440813,10.); #139130=VECTOR('',#440814,10.); #139131=VECTOR('',#440817,10.); #139132=VECTOR('',#440818,10.); #139133=VECTOR('',#440825,10.); #139134=VECTOR('',#440826,10.); #139135=VECTOR('',#440827,10.); #139136=VECTOR('',#440828,10.); #139137=VECTOR('',#440831,10.); #139138=VECTOR('',#440832,10.); #139139=VECTOR('',#440833,10.); #139140=VECTOR('',#440836,10.); #139141=VECTOR('',#440837,10.); #139142=VECTOR('',#440838,10.); #139143=VECTOR('',#440841,10.); #139144=VECTOR('',#440842,10.); #139145=VECTOR('',#440843,10.); #139146=VECTOR('',#440846,10.); #139147=VECTOR('',#440847,10.); #139148=VECTOR('',#440848,10.); #139149=VECTOR('',#440851,10.); #139150=VECTOR('',#440852,10.); #139151=VECTOR('',#440853,10.); #139152=VECTOR('',#440856,10.); #139153=VECTOR('',#440857,10.); #139154=VECTOR('',#440858,10.); #139155=VECTOR('',#440861,10.); #139156=VECTOR('',#440862,10.); #139157=VECTOR('',#440863,10.); #139158=VECTOR('',#440866,10.); #139159=VECTOR('',#440867,10.); #139160=VECTOR('',#440868,10.); #139161=VECTOR('',#440871,10.); #139162=VECTOR('',#440872,10.); #139163=VECTOR('',#440873,10.); #139164=VECTOR('',#440876,10.); #139165=VECTOR('',#440877,10.); #139166=VECTOR('',#440878,10.); #139167=VECTOR('',#440881,10.); #139168=VECTOR('',#440882,10.); #139169=VECTOR('',#440883,10.); #139170=VECTOR('',#440886,10.); #139171=VECTOR('',#440887,10.); #139172=VECTOR('',#440888,10.); #139173=VECTOR('',#440891,10.); #139174=VECTOR('',#440892,10.); #139175=VECTOR('',#440893,10.); #139176=VECTOR('',#440896,10.); #139177=VECTOR('',#440897,10.); #139178=VECTOR('',#440898,10.); #139179=VECTOR('',#440901,10.); #139180=VECTOR('',#440902,10.); #139181=VECTOR('',#440903,10.); #139182=VECTOR('',#440906,10.); #139183=VECTOR('',#440907,10.); #139184=VECTOR('',#440908,10.); #139185=VECTOR('',#440911,10.); #139186=VECTOR('',#440912,10.); #139187=VECTOR('',#440913,10.); #139188=VECTOR('',#440916,10.); #139189=VECTOR('',#440917,10.); #139190=VECTOR('',#440918,10.); #139191=VECTOR('',#440921,10.); #139192=VECTOR('',#440922,10.); #139193=VECTOR('',#440923,10.); #139194=VECTOR('',#440926,10.); #139195=VECTOR('',#440927,10.); #139196=VECTOR('',#440928,10.); #139197=VECTOR('',#440931,10.); #139198=VECTOR('',#440932,10.); #139199=VECTOR('',#440939,10.); #139200=VECTOR('',#440940,10.); #139201=VECTOR('',#440941,10.); #139202=VECTOR('',#440942,10.); #139203=VECTOR('',#440945,10.); #139204=VECTOR('',#440946,10.); #139205=VECTOR('',#440947,10.); #139206=VECTOR('',#440950,10.); #139207=VECTOR('',#440951,10.); #139208=VECTOR('',#440952,10.); #139209=VECTOR('',#440955,10.); #139210=VECTOR('',#440956,10.); #139211=VECTOR('',#440957,10.); #139212=VECTOR('',#440960,10.); #139213=VECTOR('',#440961,10.); #139214=VECTOR('',#440962,10.); #139215=VECTOR('',#440965,10.); #139216=VECTOR('',#440966,10.); #139217=VECTOR('',#440967,10.); #139218=VECTOR('',#440970,10.); #139219=VECTOR('',#440971,10.); #139220=VECTOR('',#440972,10.); #139221=VECTOR('',#440975,10.); #139222=VECTOR('',#440976,10.); #139223=VECTOR('',#440977,10.); #139224=VECTOR('',#440980,10.); #139225=VECTOR('',#440981,10.); #139226=VECTOR('',#440982,10.); #139227=VECTOR('',#440985,10.); #139228=VECTOR('',#440986,10.); #139229=VECTOR('',#440987,10.); #139230=VECTOR('',#440990,10.); #139231=VECTOR('',#440991,10.); #139232=VECTOR('',#440992,10.); #139233=VECTOR('',#440995,10.); #139234=VECTOR('',#440996,10.); #139235=VECTOR('',#440997,10.); #139236=VECTOR('',#441000,10.); #139237=VECTOR('',#441001,10.); #139238=VECTOR('',#441002,10.); #139239=VECTOR('',#441005,10.); #139240=VECTOR('',#441006,10.); #139241=VECTOR('',#441007,10.); #139242=VECTOR('',#441010,10.); #139243=VECTOR('',#441011,10.); #139244=VECTOR('',#441012,10.); #139245=VECTOR('',#441015,10.); #139246=VECTOR('',#441016,10.); #139247=VECTOR('',#441017,10.); #139248=VECTOR('',#441020,10.); #139249=VECTOR('',#441021,10.); #139250=VECTOR('',#441022,10.); #139251=VECTOR('',#441025,10.); #139252=VECTOR('',#441026,10.); #139253=VECTOR('',#441027,10.); #139254=VECTOR('',#441030,10.); #139255=VECTOR('',#441031,10.); #139256=VECTOR('',#441032,10.); #139257=VECTOR('',#441035,10.); #139258=VECTOR('',#441036,10.); #139259=VECTOR('',#441043,10.); #139260=VECTOR('',#441044,10.); #139261=VECTOR('',#441045,10.); #139262=VECTOR('',#441046,10.); #139263=VECTOR('',#441049,10.); #139264=VECTOR('',#441050,10.); #139265=VECTOR('',#441051,10.); #139266=VECTOR('',#441054,10.); #139267=VECTOR('',#441055,10.); #139268=VECTOR('',#441056,10.); #139269=VECTOR('',#441059,10.); #139270=VECTOR('',#441060,10.); #139271=VECTOR('',#441061,10.); #139272=VECTOR('',#441064,10.); #139273=VECTOR('',#441065,10.); #139274=VECTOR('',#441066,10.); #139275=VECTOR('',#441069,10.); #139276=VECTOR('',#441070,10.); #139277=VECTOR('',#441071,10.); #139278=VECTOR('',#441074,10.); #139279=VECTOR('',#441075,10.); #139280=VECTOR('',#441076,10.); #139281=VECTOR('',#441079,10.); #139282=VECTOR('',#441080,10.); #139283=VECTOR('',#441081,10.); #139284=VECTOR('',#441084,10.); #139285=VECTOR('',#441085,10.); #139286=VECTOR('',#441086,10.); #139287=VECTOR('',#441089,10.); #139288=VECTOR('',#441090,10.); #139289=VECTOR('',#441091,10.); #139290=VECTOR('',#441094,10.); #139291=VECTOR('',#441095,10.); #139292=VECTOR('',#441096,10.); #139293=VECTOR('',#441099,10.); #139294=VECTOR('',#441100,10.); #139295=VECTOR('',#441101,10.); #139296=VECTOR('',#441104,10.); #139297=VECTOR('',#441105,10.); #139298=VECTOR('',#441106,10.); #139299=VECTOR('',#441109,10.); #139300=VECTOR('',#441110,10.); #139301=VECTOR('',#441111,10.); #139302=VECTOR('',#441114,10.); #139303=VECTOR('',#441115,10.); #139304=VECTOR('',#441116,10.); #139305=VECTOR('',#441119,10.); #139306=VECTOR('',#441120,10.); #139307=VECTOR('',#441121,10.); #139308=VECTOR('',#441124,10.); #139309=VECTOR('',#441125,10.); #139310=VECTOR('',#441126,10.); #139311=VECTOR('',#441129,10.); #139312=VECTOR('',#441130,10.); #139313=VECTOR('',#441131,10.); #139314=VECTOR('',#441134,10.); #139315=VECTOR('',#441135,10.); #139316=VECTOR('',#441136,10.); #139317=VECTOR('',#441139,10.); #139318=VECTOR('',#441140,10.); #139319=VECTOR('',#441141,10.); #139320=VECTOR('',#441144,10.); #139321=VECTOR('',#441145,10.); #139322=VECTOR('',#441146,10.); #139323=VECTOR('',#441149,10.); #139324=VECTOR('',#441150,10.); #139325=VECTOR('',#441151,10.); #139326=VECTOR('',#441154,10.); #139327=VECTOR('',#441155,10.); #139328=VECTOR('',#441156,10.); #139329=VECTOR('',#441159,10.); #139330=VECTOR('',#441160,10.); #139331=VECTOR('',#441161,10.); #139332=VECTOR('',#441164,10.); #139333=VECTOR('',#441165,10.); #139334=VECTOR('',#441166,10.); #139335=VECTOR('',#441169,10.); #139336=VECTOR('',#441170,10.); #139337=VECTOR('',#441171,10.); #139338=VECTOR('',#441174,10.); #139339=VECTOR('',#441175,10.); #139340=VECTOR('',#441176,10.); #139341=VECTOR('',#441179,10.); #139342=VECTOR('',#441180,10.); #139343=VECTOR('',#441181,10.); #139344=VECTOR('',#441184,10.); #139345=VECTOR('',#441185,10.); #139346=VECTOR('',#441186,10.); #139347=VECTOR('',#441189,10.); #139348=VECTOR('',#441190,10.); #139349=VECTOR('',#441191,10.); #139350=VECTOR('',#441194,10.); #139351=VECTOR('',#441195,10.); #139352=VECTOR('',#441196,10.); #139353=VECTOR('',#441199,10.); #139354=VECTOR('',#441200,10.); #139355=VECTOR('',#441201,10.); #139356=VECTOR('',#441204,10.); #139357=VECTOR('',#441205,10.); #139358=VECTOR('',#441206,10.); #139359=VECTOR('',#441209,10.); #139360=VECTOR('',#441210,10.); #139361=VECTOR('',#441211,10.); #139362=VECTOR('',#441214,10.); #139363=VECTOR('',#441215,10.); #139364=VECTOR('',#441216,10.); #139365=VECTOR('',#441219,10.); #139366=VECTOR('',#441220,10.); #139367=VECTOR('',#441221,10.); #139368=VECTOR('',#441224,10.); #139369=VECTOR('',#441225,10.); #139370=VECTOR('',#441226,10.); #139371=VECTOR('',#441229,10.); #139372=VECTOR('',#441230,10.); #139373=VECTOR('',#441231,10.); #139374=VECTOR('',#441234,10.); #139375=VECTOR('',#441235,10.); #139376=VECTOR('',#441236,10.); #139377=VECTOR('',#441239,10.); #139378=VECTOR('',#441240,10.); #139379=VECTOR('',#441241,10.); #139380=VECTOR('',#441244,10.); #139381=VECTOR('',#441245,10.); #139382=VECTOR('',#441246,10.); #139383=VECTOR('',#441249,10.); #139384=VECTOR('',#441250,10.); #139385=VECTOR('',#441251,10.); #139386=VECTOR('',#441254,10.); #139387=VECTOR('',#441255,10.); #139388=VECTOR('',#441256,10.); #139389=VECTOR('',#441259,10.); #139390=VECTOR('',#441260,10.); #139391=VECTOR('',#441261,10.); #139392=VECTOR('',#441264,10.); #139393=VECTOR('',#441265,10.); #139394=VECTOR('',#441266,10.); #139395=VECTOR('',#441269,10.); #139396=VECTOR('',#441270,10.); #139397=VECTOR('',#441271,10.); #139398=VECTOR('',#441274,10.); #139399=VECTOR('',#441275,10.); #139400=VECTOR('',#441276,10.); #139401=VECTOR('',#441279,10.); #139402=VECTOR('',#441280,10.); #139403=VECTOR('',#441281,10.); #139404=VECTOR('',#441284,10.); #139405=VECTOR('',#441285,10.); #139406=VECTOR('',#441286,10.); #139407=VECTOR('',#441289,10.); #139408=VECTOR('',#441290,10.); #139409=VECTOR('',#441291,10.); #139410=VECTOR('',#441294,10.); #139411=VECTOR('',#441295,10.); #139412=VECTOR('',#441296,10.); #139413=VECTOR('',#441299,10.); #139414=VECTOR('',#441300,10.); #139415=VECTOR('',#441301,10.); #139416=VECTOR('',#441304,10.); #139417=VECTOR('',#441305,10.); #139418=VECTOR('',#441306,10.); #139419=VECTOR('',#441309,10.); #139420=VECTOR('',#441310,10.); #139421=VECTOR('',#441311,10.); #139422=VECTOR('',#441314,10.); #139423=VECTOR('',#441315,10.); #139424=VECTOR('',#441316,10.); #139425=VECTOR('',#441319,10.); #139426=VECTOR('',#441320,10.); #139427=VECTOR('',#441321,10.); #139428=VECTOR('',#441324,10.); #139429=VECTOR('',#441325,10.); #139430=VECTOR('',#441326,10.); #139431=VECTOR('',#441329,10.); #139432=VECTOR('',#441330,10.); #139433=VECTOR('',#441331,10.); #139434=VECTOR('',#441334,10.); #139435=VECTOR('',#441335,10.); #139436=VECTOR('',#441336,10.); #139437=VECTOR('',#441339,10.); #139438=VECTOR('',#441340,10.); #139439=VECTOR('',#441341,10.); #139440=VECTOR('',#441344,10.); #139441=VECTOR('',#441345,10.); #139442=VECTOR('',#441346,10.); #139443=VECTOR('',#441349,10.); #139444=VECTOR('',#441350,10.); #139445=VECTOR('',#441351,10.); #139446=VECTOR('',#441354,10.); #139447=VECTOR('',#441355,10.); #139448=VECTOR('',#441356,10.); #139449=VECTOR('',#441359,10.); #139450=VECTOR('',#441360,10.); #139451=VECTOR('',#441361,10.); #139452=VECTOR('',#441364,10.); #139453=VECTOR('',#441365,10.); #139454=VECTOR('',#441366,10.); #139455=VECTOR('',#441369,10.); #139456=VECTOR('',#441370,10.); #139457=VECTOR('',#441371,10.); #139458=VECTOR('',#441374,10.); #139459=VECTOR('',#441375,10.); #139460=VECTOR('',#441376,10.); #139461=VECTOR('',#441379,10.); #139462=VECTOR('',#441380,10.); #139463=VECTOR('',#441381,10.); #139464=VECTOR('',#441384,10.); #139465=VECTOR('',#441385,10.); #139466=VECTOR('',#441386,10.); #139467=VECTOR('',#441389,10.); #139468=VECTOR('',#441390,10.); #139469=VECTOR('',#441391,10.); #139470=VECTOR('',#441394,10.); #139471=VECTOR('',#441395,10.); #139472=VECTOR('',#441396,10.); #139473=VECTOR('',#441399,10.); #139474=VECTOR('',#441400,10.); #139475=VECTOR('',#441407,10.); #139476=VECTOR('',#441408,10.); #139477=VECTOR('',#441409,10.); #139478=VECTOR('',#441410,10.); #139479=VECTOR('',#441413,10.); #139480=VECTOR('',#441414,10.); #139481=VECTOR('',#441415,10.); #139482=VECTOR('',#441418,10.); #139483=VECTOR('',#441419,10.); #139484=VECTOR('',#441420,10.); #139485=VECTOR('',#441423,10.); #139486=VECTOR('',#441424,10.); #139487=VECTOR('',#441425,10.); #139488=VECTOR('',#441428,10.); #139489=VECTOR('',#441429,10.); #139490=VECTOR('',#441430,10.); #139491=VECTOR('',#441433,10.); #139492=VECTOR('',#441434,10.); #139493=VECTOR('',#441435,10.); #139494=VECTOR('',#441438,10.); #139495=VECTOR('',#441439,10.); #139496=VECTOR('',#441440,10.); #139497=VECTOR('',#441443,10.); #139498=VECTOR('',#441444,10.); #139499=VECTOR('',#441445,10.); #139500=VECTOR('',#441448,10.); #139501=VECTOR('',#441449,10.); #139502=VECTOR('',#441450,10.); #139503=VECTOR('',#441453,10.); #139504=VECTOR('',#441454,10.); #139505=VECTOR('',#441455,10.); #139506=VECTOR('',#441458,10.); #139507=VECTOR('',#441459,10.); #139508=VECTOR('',#441460,10.); #139509=VECTOR('',#441463,10.); #139510=VECTOR('',#441464,10.); #139511=VECTOR('',#441465,10.); #139512=VECTOR('',#441468,10.); #139513=VECTOR('',#441469,10.); #139514=VECTOR('',#441470,10.); #139515=VECTOR('',#441473,10.); #139516=VECTOR('',#441474,10.); #139517=VECTOR('',#441475,10.); #139518=VECTOR('',#441478,10.); #139519=VECTOR('',#441479,10.); #139520=VECTOR('',#441480,10.); #139521=VECTOR('',#441483,10.); #139522=VECTOR('',#441484,10.); #139523=VECTOR('',#441485,10.); #139524=VECTOR('',#441488,10.); #139525=VECTOR('',#441489,10.); #139526=VECTOR('',#441490,10.); #139527=VECTOR('',#441493,10.); #139528=VECTOR('',#441494,10.); #139529=VECTOR('',#441495,10.); #139530=VECTOR('',#441498,10.); #139531=VECTOR('',#441499,10.); #139532=VECTOR('',#441500,10.); #139533=VECTOR('',#441503,10.); #139534=VECTOR('',#441504,10.); #139535=VECTOR('',#441505,10.); #139536=VECTOR('',#441508,10.); #139537=VECTOR('',#441509,10.); #139538=VECTOR('',#441510,10.); #139539=VECTOR('',#441513,10.); #139540=VECTOR('',#441514,10.); #139541=VECTOR('',#441515,10.); #139542=VECTOR('',#441518,10.); #139543=VECTOR('',#441519,10.); #139544=VECTOR('',#441520,10.); #139545=VECTOR('',#441523,10.); #139546=VECTOR('',#441524,10.); #139547=VECTOR('',#441525,10.); #139548=VECTOR('',#441528,10.); #139549=VECTOR('',#441529,10.); #139550=VECTOR('',#441530,10.); #139551=VECTOR('',#441533,10.); #139552=VECTOR('',#441534,10.); #139553=VECTOR('',#441535,10.); #139554=VECTOR('',#441538,10.); #139555=VECTOR('',#441539,10.); #139556=VECTOR('',#441540,10.); #139557=VECTOR('',#441543,10.); #139558=VECTOR('',#441544,10.); #139559=VECTOR('',#441553,0.140000000000002); #139560=VECTOR('',#441560,0.140000000000002); #139561=VECTOR('',#441567,0.140000000000002); #139562=VECTOR('',#441574,0.140000000000002); #139563=VECTOR('',#441581,0.140000000000002); #139564=VECTOR('',#441588,0.140000000000002); #139565=VECTOR('',#441595,0.140000000000002); #139566=VECTOR('',#441602,0.140000000000002); #139567=VECTOR('',#441609,0.140000000000002); #139568=VECTOR('',#441616,0.140000000000002); #139569=VECTOR('',#441623,0.140000000000002); #139570=VECTOR('',#441630,0.140000000000002); #139571=VECTOR('',#441637,0.140000000000002); #139572=VECTOR('',#441644,0.140000000000002); #139573=VECTOR('',#441651,0.139999999999998); #139574=VECTOR('',#441658,0.140000000000002); #139575=VECTOR('',#441663,10.); #139576=VECTOR('',#441664,10.); #139577=VECTOR('',#441665,10.); #139578=VECTOR('',#441666,10.); #139579=VECTOR('',#441669,10.); #139580=VECTOR('',#441670,10.); #139581=VECTOR('',#441671,10.); #139582=VECTOR('',#441674,10.); #139583=VECTOR('',#441675,10.); #139584=VECTOR('',#441676,10.); #139585=VECTOR('',#441679,10.); #139586=VECTOR('',#441680,10.); #139587=VECTOR('',#441681,10.); #139588=VECTOR('',#441684,10.); #139589=VECTOR('',#441685,10.); #139590=VECTOR('',#441686,10.); #139591=VECTOR('',#441689,10.); #139592=VECTOR('',#441690,10.); #139593=VECTOR('',#441691,10.); #139594=VECTOR('',#441694,10.); #139595=VECTOR('',#441695,10.); #139596=VECTOR('',#441696,10.); #139597=VECTOR('',#441699,10.); #139598=VECTOR('',#441700,10.); #139599=VECTOR('',#441701,10.); #139600=VECTOR('',#441704,10.); #139601=VECTOR('',#441705,10.); #139602=VECTOR('',#441706,10.); #139603=VECTOR('',#441709,10.); #139604=VECTOR('',#441710,10.); #139605=VECTOR('',#441711,10.); #139606=VECTOR('',#441714,10.); #139607=VECTOR('',#441715,10.); #139608=VECTOR('',#441716,10.); #139609=VECTOR('',#441719,10.); #139610=VECTOR('',#441720,10.); #139611=VECTOR('',#441721,10.); #139612=VECTOR('',#441724,10.); #139613=VECTOR('',#441725,10.); #139614=VECTOR('',#441726,10.); #139615=VECTOR('',#441729,10.); #139616=VECTOR('',#441730,10.); #139617=VECTOR('',#441731,10.); #139618=VECTOR('',#441734,10.); #139619=VECTOR('',#441735,10.); #139620=VECTOR('',#441736,10.); #139621=VECTOR('',#441739,10.); #139622=VECTOR('',#441740,10.); #139623=VECTOR('',#441741,10.); #139624=VECTOR('',#441744,10.); #139625=VECTOR('',#441745,10.); #139626=VECTOR('',#441746,10.); #139627=VECTOR('',#441749,10.); #139628=VECTOR('',#441750,10.); #139629=VECTOR('',#441751,10.); #139630=VECTOR('',#441754,10.); #139631=VECTOR('',#441755,10.); #139632=VECTOR('',#441756,10.); #139633=VECTOR('',#441759,10.); #139634=VECTOR('',#441760,10.); #139635=VECTOR('',#441761,10.); #139636=VECTOR('',#441764,10.); #139637=VECTOR('',#441765,10.); #139638=VECTOR('',#441766,10.); #139639=VECTOR('',#441769,10.); #139640=VECTOR('',#441770,10.); #139641=VECTOR('',#441771,10.); #139642=VECTOR('',#441774,10.); #139643=VECTOR('',#441775,10.); #139644=VECTOR('',#441776,10.); #139645=VECTOR('',#441779,10.); #139646=VECTOR('',#441780,10.); #139647=VECTOR('',#441781,10.); #139648=VECTOR('',#441784,10.); #139649=VECTOR('',#441785,10.); #139650=VECTOR('',#441786,10.); #139651=VECTOR('',#441789,10.); #139652=VECTOR('',#441790,10.); #139653=VECTOR('',#441791,10.); #139654=VECTOR('',#441794,10.); #139655=VECTOR('',#441795,10.); #139656=VECTOR('',#441796,10.); #139657=VECTOR('',#441799,10.); #139658=VECTOR('',#441800,10.); #139659=VECTOR('',#441801,10.); #139660=VECTOR('',#441804,10.); #139661=VECTOR('',#441805,10.); #139662=VECTOR('',#441806,10.); #139663=VECTOR('',#441809,10.); #139664=VECTOR('',#441810,10.); #139665=VECTOR('',#441811,10.); #139666=VECTOR('',#441814,10.); #139667=VECTOR('',#441815,10.); #139668=VECTOR('',#441816,10.); #139669=VECTOR('',#441819,10.); #139670=VECTOR('',#441820,10.); #139671=VECTOR('',#441821,10.); #139672=VECTOR('',#441824,10.); #139673=VECTOR('',#441825,10.); #139674=VECTOR('',#441826,10.); #139675=VECTOR('',#441829,10.); #139676=VECTOR('',#441830,10.); #139677=VECTOR('',#441831,10.); #139678=VECTOR('',#441834,10.); #139679=VECTOR('',#441835,10.); #139680=VECTOR('',#441836,10.); #139681=VECTOR('',#441839,10.); #139682=VECTOR('',#441840,10.); #139683=VECTOR('',#441841,10.); #139684=VECTOR('',#441844,10.); #139685=VECTOR('',#441845,10.); #139686=VECTOR('',#441846,10.); #139687=VECTOR('',#441849,10.); #139688=VECTOR('',#441850,10.); #139689=VECTOR('',#441851,10.); #139690=VECTOR('',#441854,10.); #139691=VECTOR('',#441855,10.); #139692=VECTOR('',#441856,10.); #139693=VECTOR('',#441859,10.); #139694=VECTOR('',#441860,10.); #139695=VECTOR('',#441861,10.); #139696=VECTOR('',#441864,10.); #139697=VECTOR('',#441865,10.); #139698=VECTOR('',#441866,10.); #139699=VECTOR('',#441869,10.); #139700=VECTOR('',#441870,10.); #139701=VECTOR('',#441871,10.); #139702=VECTOR('',#441874,10.); #139703=VECTOR('',#441875,10.); #139704=VECTOR('',#441876,10.); #139705=VECTOR('',#441879,10.); #139706=VECTOR('',#441880,10.); #139707=VECTOR('',#441881,10.); #139708=VECTOR('',#441884,10.); #139709=VECTOR('',#441885,10.); #139710=VECTOR('',#441886,10.); #139711=VECTOR('',#441889,10.); #139712=VECTOR('',#441890,10.); #139713=VECTOR('',#441891,10.); #139714=VECTOR('',#441894,10.); #139715=VECTOR('',#441895,10.); #139716=VECTOR('',#441896,10.); #139717=VECTOR('',#441899,10.); #139718=VECTOR('',#441900,10.); #139719=VECTOR('',#441901,10.); #139720=VECTOR('',#441904,10.); #139721=VECTOR('',#441905,10.); #139722=VECTOR('',#441906,10.); #139723=VECTOR('',#441909,10.); #139724=VECTOR('',#441910,10.); #139725=VECTOR('',#441911,10.); #139726=VECTOR('',#441914,10.); #139727=VECTOR('',#441915,10.); #139728=VECTOR('',#441916,10.); #139729=VECTOR('',#441919,10.); #139730=VECTOR('',#441920,10.); #139731=VECTOR('',#441921,10.); #139732=VECTOR('',#441924,10.); #139733=VECTOR('',#441925,10.); #139734=VECTOR('',#441926,10.); #139735=VECTOR('',#441929,10.); #139736=VECTOR('',#441930,10.); #139737=VECTOR('',#441931,10.); #139738=VECTOR('',#441934,10.); #139739=VECTOR('',#441935,10.); #139740=VECTOR('',#441936,10.); #139741=VECTOR('',#441939,10.); #139742=VECTOR('',#441940,10.); #139743=VECTOR('',#441941,10.); #139744=VECTOR('',#441944,10.); #139745=VECTOR('',#441945,10.); #139746=VECTOR('',#441946,10.); #139747=VECTOR('',#441949,10.); #139748=VECTOR('',#441950,10.); #139749=VECTOR('',#441951,10.); #139750=VECTOR('',#441954,10.); #139751=VECTOR('',#441955,10.); #139752=VECTOR('',#441956,10.); #139753=VECTOR('',#441959,10.); #139754=VECTOR('',#441960,10.); #139755=VECTOR('',#441961,10.); #139756=VECTOR('',#441964,10.); #139757=VECTOR('',#441965,10.); #139758=VECTOR('',#441966,10.); #139759=VECTOR('',#441969,10.); #139760=VECTOR('',#441970,10.); #139761=VECTOR('',#441971,10.); #139762=VECTOR('',#441974,10.); #139763=VECTOR('',#441975,10.); #139764=VECTOR('',#441976,10.); #139765=VECTOR('',#441979,10.); #139766=VECTOR('',#441980,10.); #139767=VECTOR('',#441981,10.); #139768=VECTOR('',#441984,10.); #139769=VECTOR('',#441985,10.); #139770=VECTOR('',#441986,10.); #139771=VECTOR('',#441989,10.); #139772=VECTOR('',#441990,10.); #139773=VECTOR('',#441991,10.); #139774=VECTOR('',#441994,10.); #139775=VECTOR('',#441995,10.); #139776=VECTOR('',#441996,10.); #139777=VECTOR('',#441999,10.); #139778=VECTOR('',#442000,10.); #139779=VECTOR('',#442001,10.); #139780=VECTOR('',#442004,10.); #139781=VECTOR('',#442005,10.); #139782=VECTOR('',#442006,10.); #139783=VECTOR('',#442009,10.); #139784=VECTOR('',#442010,10.); #139785=VECTOR('',#442011,10.); #139786=VECTOR('',#442014,10.); #139787=VECTOR('',#442015,10.); #139788=VECTOR('',#442016,10.); #139789=VECTOR('',#442019,10.); #139790=VECTOR('',#442020,10.); #139791=VECTOR('',#442021,10.); #139792=VECTOR('',#442024,10.); #139793=VECTOR('',#442025,10.); #139794=VECTOR('',#442026,10.); #139795=VECTOR('',#442029,10.); #139796=VECTOR('',#442030,10.); #139797=VECTOR('',#442039,0.139999999999998); #139798=VECTOR('',#442046,0.139999999999998); #139799=VECTOR('',#442053,0.139999999999998); #139800=VECTOR('',#442060,0.139999999999998); #139801=VECTOR('',#442067,0.139999999999998); #139802=VECTOR('',#442074,0.139999999999998); #139803=VECTOR('',#442081,0.139999999999998); #139804=VECTOR('',#442088,0.139999999999998); #139805=VECTOR('',#442095,0.139999999999998); #139806=VECTOR('',#442102,0.139999999999998); #139807=VECTOR('',#442109,0.139999999999998); #139808=VECTOR('',#442116,0.139999999999998); #139809=VECTOR('',#442123,0.139999999999998); #139810=VECTOR('',#442130,0.139999999999998); #139811=VECTOR('',#442137,0.139999999999998); #139812=VECTOR('',#442144,0.139999999999998); #139813=VECTOR('',#442149,10.); #139814=VECTOR('',#442150,10.); #139815=VECTOR('',#442151,10.); #139816=VECTOR('',#442152,10.); #139817=VECTOR('',#442155,10.); #139818=VECTOR('',#442156,10.); #139819=VECTOR('',#442157,10.); #139820=VECTOR('',#442160,10.); #139821=VECTOR('',#442161,10.); #139822=VECTOR('',#442162,10.); #139823=VECTOR('',#442165,10.); #139824=VECTOR('',#442166,10.); #139825=VECTOR('',#442167,10.); #139826=VECTOR('',#442170,10.); #139827=VECTOR('',#442171,10.); #139828=VECTOR('',#442172,10.); #139829=VECTOR('',#442175,10.); #139830=VECTOR('',#442176,10.); #139831=VECTOR('',#442177,10.); #139832=VECTOR('',#442180,10.); #139833=VECTOR('',#442181,10.); #139834=VECTOR('',#442182,10.); #139835=VECTOR('',#442185,10.); #139836=VECTOR('',#442186,10.); #139837=VECTOR('',#442187,10.); #139838=VECTOR('',#442190,10.); #139839=VECTOR('',#442191,10.); #139840=VECTOR('',#442192,10.); #139841=VECTOR('',#442195,10.); #139842=VECTOR('',#442196,10.); #139843=VECTOR('',#442197,10.); #139844=VECTOR('',#442200,10.); #139845=VECTOR('',#442201,10.); #139846=VECTOR('',#442202,10.); #139847=VECTOR('',#442205,10.); #139848=VECTOR('',#442206,10.); #139849=VECTOR('',#442207,10.); #139850=VECTOR('',#442210,10.); #139851=VECTOR('',#442211,10.); #139852=VECTOR('',#442212,10.); #139853=VECTOR('',#442215,10.); #139854=VECTOR('',#442216,10.); #139855=VECTOR('',#442217,10.); #139856=VECTOR('',#442220,10.); #139857=VECTOR('',#442221,10.); #139858=VECTOR('',#442222,10.); #139859=VECTOR('',#442225,10.); #139860=VECTOR('',#442226,10.); #139861=VECTOR('',#442227,10.); #139862=VECTOR('',#442230,10.); #139863=VECTOR('',#442231,10.); #139864=VECTOR('',#442232,10.); #139865=VECTOR('',#442235,10.); #139866=VECTOR('',#442236,10.); #139867=VECTOR('',#442237,10.); #139868=VECTOR('',#442240,10.); #139869=VECTOR('',#442241,10.); #139870=VECTOR('',#442242,10.); #139871=VECTOR('',#442245,10.); #139872=VECTOR('',#442246,10.); #139873=VECTOR('',#442247,10.); #139874=VECTOR('',#442250,10.); #139875=VECTOR('',#442251,10.); #139876=VECTOR('',#442252,10.); #139877=VECTOR('',#442255,10.); #139878=VECTOR('',#442256,10.); #139879=VECTOR('',#442257,10.); #139880=VECTOR('',#442260,10.); #139881=VECTOR('',#442261,10.); #139882=VECTOR('',#442262,10.); #139883=VECTOR('',#442265,10.); #139884=VECTOR('',#442266,10.); #139885=VECTOR('',#442267,10.); #139886=VECTOR('',#442270,10.); #139887=VECTOR('',#442271,10.); #139888=VECTOR('',#442272,10.); #139889=VECTOR('',#442275,10.); #139890=VECTOR('',#442276,10.); #139891=VECTOR('',#442277,10.); #139892=VECTOR('',#442280,10.); #139893=VECTOR('',#442281,10.); #139894=VECTOR('',#442282,10.); #139895=VECTOR('',#442285,10.); #139896=VECTOR('',#442286,10.); #139897=VECTOR('',#442287,10.); #139898=VECTOR('',#442290,10.); #139899=VECTOR('',#442291,10.); #139900=VECTOR('',#442292,10.); #139901=VECTOR('',#442295,10.); #139902=VECTOR('',#442296,10.); #139903=VECTOR('',#442297,10.); #139904=VECTOR('',#442300,10.); #139905=VECTOR('',#442301,10.); #139906=VECTOR('',#442302,10.); #139907=VECTOR('',#442305,10.); #139908=VECTOR('',#442306,10.); #139909=VECTOR('',#442307,10.); #139910=VECTOR('',#442310,10.); #139911=VECTOR('',#442311,10.); #139912=VECTOR('',#442312,10.); #139913=VECTOR('',#442315,10.); #139914=VECTOR('',#442316,10.); #139915=VECTOR('',#442317,10.); #139916=VECTOR('',#442320,10.); #139917=VECTOR('',#442321,10.); #139918=VECTOR('',#442322,10.); #139919=VECTOR('',#442325,10.); #139920=VECTOR('',#442326,10.); #139921=VECTOR('',#442327,10.); #139922=VECTOR('',#442330,10.); #139923=VECTOR('',#442331,10.); #139924=VECTOR('',#442332,10.); #139925=VECTOR('',#442335,10.); #139926=VECTOR('',#442336,10.); #139927=VECTOR('',#442337,10.); #139928=VECTOR('',#442340,10.); #139929=VECTOR('',#442341,10.); #139930=VECTOR('',#442342,10.); #139931=VECTOR('',#442345,10.); #139932=VECTOR('',#442346,10.); #139933=VECTOR('',#442347,10.); #139934=VECTOR('',#442350,10.); #139935=VECTOR('',#442351,10.); #139936=VECTOR('',#442352,10.); #139937=VECTOR('',#442355,10.); #139938=VECTOR('',#442356,10.); #139939=VECTOR('',#442357,10.); #139940=VECTOR('',#442360,10.); #139941=VECTOR('',#442361,10.); #139942=VECTOR('',#442362,10.); #139943=VECTOR('',#442365,10.); #139944=VECTOR('',#442366,10.); #139945=VECTOR('',#442367,10.); #139946=VECTOR('',#442370,10.); #139947=VECTOR('',#442371,10.); #139948=VECTOR('',#442372,10.); #139949=VECTOR('',#442375,10.); #139950=VECTOR('',#442376,10.); #139951=VECTOR('',#442377,10.); #139952=VECTOR('',#442380,10.); #139953=VECTOR('',#442381,10.); #139954=VECTOR('',#442382,10.); #139955=VECTOR('',#442385,10.); #139956=VECTOR('',#442386,10.); #139957=VECTOR('',#442387,10.); #139958=VECTOR('',#442390,10.); #139959=VECTOR('',#442391,10.); #139960=VECTOR('',#442392,10.); #139961=VECTOR('',#442395,10.); #139962=VECTOR('',#442396,10.); #139963=VECTOR('',#442397,10.); #139964=VECTOR('',#442400,10.); #139965=VECTOR('',#442401,10.); #139966=VECTOR('',#442402,10.); #139967=VECTOR('',#442405,10.); #139968=VECTOR('',#442406,10.); #139969=VECTOR('',#442407,10.); #139970=VECTOR('',#442410,10.); #139971=VECTOR('',#442411,10.); #139972=VECTOR('',#442412,10.); #139973=VECTOR('',#442415,10.); #139974=VECTOR('',#442416,10.); #139975=VECTOR('',#442417,10.); #139976=VECTOR('',#442420,10.); #139977=VECTOR('',#442421,10.); #139978=VECTOR('',#442422,10.); #139979=VECTOR('',#442425,10.); #139980=VECTOR('',#442426,10.); #139981=VECTOR('',#442427,10.); #139982=VECTOR('',#442430,10.); #139983=VECTOR('',#442431,10.); #139984=VECTOR('',#442432,10.); #139985=VECTOR('',#442435,10.); #139986=VECTOR('',#442436,10.); #139987=VECTOR('',#442437,10.); #139988=VECTOR('',#442440,10.); #139989=VECTOR('',#442441,10.); #139990=VECTOR('',#442442,10.); #139991=VECTOR('',#442445,10.); #139992=VECTOR('',#442446,10.); #139993=VECTOR('',#442447,10.); #139994=VECTOR('',#442450,10.); #139995=VECTOR('',#442451,10.); #139996=VECTOR('',#442452,10.); #139997=VECTOR('',#442455,10.); #139998=VECTOR('',#442456,10.); #139999=VECTOR('',#442457,10.); #140000=VECTOR('',#442460,10.); #140001=VECTOR('',#442461,10.); #140002=VECTOR('',#442462,10.); #140003=VECTOR('',#442465,10.); #140004=VECTOR('',#442466,10.); #140005=VECTOR('',#442467,10.); #140006=VECTOR('',#442470,10.); #140007=VECTOR('',#442471,10.); #140008=VECTOR('',#442472,10.); #140009=VECTOR('',#442475,10.); #140010=VECTOR('',#442476,10.); #140011=VECTOR('',#442477,10.); #140012=VECTOR('',#442480,10.); #140013=VECTOR('',#442481,10.); #140014=VECTOR('',#442482,10.); #140015=VECTOR('',#442485,10.); #140016=VECTOR('',#442486,10.); #140017=VECTOR('',#442487,10.); #140018=VECTOR('',#442490,10.); #140019=VECTOR('',#442491,10.); #140020=VECTOR('',#442492,10.); #140021=VECTOR('',#442495,10.); #140022=VECTOR('',#442496,10.); #140023=VECTOR('',#442505,0.14); #140024=VECTOR('',#442512,0.14); #140025=VECTOR('',#442519,0.14); #140026=VECTOR('',#442526,0.14); #140027=VECTOR('',#442533,0.14); #140028=VECTOR('',#442540,0.14); #140029=VECTOR('',#442547,0.14); #140030=VECTOR('',#442554,0.14); #140031=VECTOR('',#442561,0.14); #140032=VECTOR('',#442568,0.14); #140033=VECTOR('',#442575,0.14); #140034=VECTOR('',#442582,0.14); #140035=VECTOR('',#442589,0.139999999999998); #140036=VECTOR('',#442596,0.139999999999998); #140037=VECTOR('',#442603,0.139999999999998); #140038=VECTOR('',#442610,0.139999999999998); #140039=VECTOR('',#442617,0.139999999999998); #140040=VECTOR('',#442624,0.14); #140041=VECTOR('',#442631,0.139999999999998); #140042=VECTOR('',#442638,0.139999999999998); #140043=VECTOR('',#442645,0.139999999999998); #140044=VECTOR('',#442652,0.139999999999998); #140045=VECTOR('',#442659,0.139999999999998); #140046=VECTOR('',#442666,0.139999999999998); #140047=VECTOR('',#442673,0.139999999999998); #140048=VECTOR('',#442680,0.139999999999998); #140049=VECTOR('',#442687,0.139999999999998); #140050=VECTOR('',#442694,0.139999999999998); #140051=VECTOR('',#442701,0.14); #140052=VECTOR('',#442708,0.14); #140053=VECTOR('',#442713,10.); #140054=VECTOR('',#442714,10.); #140055=VECTOR('',#442715,10.); #140056=VECTOR('',#442716,10.); #140057=VECTOR('',#442719,10.); #140058=VECTOR('',#442720,10.); #140059=VECTOR('',#442721,10.); #140060=VECTOR('',#442724,10.); #140061=VECTOR('',#442725,10.); #140062=VECTOR('',#442726,10.); #140063=VECTOR('',#442729,10.); #140064=VECTOR('',#442730,10.); #140065=VECTOR('',#442731,10.); #140066=VECTOR('',#442734,10.); #140067=VECTOR('',#442735,10.); #140068=VECTOR('',#442736,10.); #140069=VECTOR('',#442739,10.); #140070=VECTOR('',#442740,10.); #140071=VECTOR('',#442741,10.); #140072=VECTOR('',#442744,10.); #140073=VECTOR('',#442745,10.); #140074=VECTOR('',#442746,10.); #140075=VECTOR('',#442749,10.); #140076=VECTOR('',#442750,10.); #140077=VECTOR('',#442751,10.); #140078=VECTOR('',#442754,10.); #140079=VECTOR('',#442755,10.); #140080=VECTOR('',#442756,10.); #140081=VECTOR('',#442759,10.); #140082=VECTOR('',#442760,10.); #140083=VECTOR('',#442761,10.); #140084=VECTOR('',#442764,10.); #140085=VECTOR('',#442765,10.); #140086=VECTOR('',#442766,10.); #140087=VECTOR('',#442769,10.); #140088=VECTOR('',#442770,10.); #140089=VECTOR('',#442771,10.); #140090=VECTOR('',#442774,10.); #140091=VECTOR('',#442775,10.); #140092=VECTOR('',#442776,10.); #140093=VECTOR('',#442779,10.); #140094=VECTOR('',#442780,10.); #140095=VECTOR('',#442781,10.); #140096=VECTOR('',#442784,10.); #140097=VECTOR('',#442785,10.); #140098=VECTOR('',#442786,10.); #140099=VECTOR('',#442789,10.); #140100=VECTOR('',#442790,10.); #140101=VECTOR('',#442791,10.); #140102=VECTOR('',#442794,10.); #140103=VECTOR('',#442795,10.); #140104=VECTOR('',#442796,10.); #140105=VECTOR('',#442799,10.); #140106=VECTOR('',#442800,10.); #140107=VECTOR('',#442801,10.); #140108=VECTOR('',#442804,10.); #140109=VECTOR('',#442805,10.); #140110=VECTOR('',#442806,10.); #140111=VECTOR('',#442809,10.); #140112=VECTOR('',#442810,10.); #140113=VECTOR('',#442811,10.); #140114=VECTOR('',#442814,10.); #140115=VECTOR('',#442815,10.); #140116=VECTOR('',#442816,10.); #140117=VECTOR('',#442819,10.); #140118=VECTOR('',#442820,10.); #140119=VECTOR('',#442821,10.); #140120=VECTOR('',#442824,10.); #140121=VECTOR('',#442825,10.); #140122=VECTOR('',#442826,10.); #140123=VECTOR('',#442829,10.); #140124=VECTOR('',#442830,10.); #140125=VECTOR('',#442831,10.); #140126=VECTOR('',#442834,10.); #140127=VECTOR('',#442835,10.); #140128=VECTOR('',#442836,10.); #140129=VECTOR('',#442839,10.); #140130=VECTOR('',#442840,10.); #140131=VECTOR('',#442841,10.); #140132=VECTOR('',#442844,10.); #140133=VECTOR('',#442845,10.); #140134=VECTOR('',#442846,10.); #140135=VECTOR('',#442849,10.); #140136=VECTOR('',#442850,10.); #140137=VECTOR('',#442851,10.); #140138=VECTOR('',#442854,10.); #140139=VECTOR('',#442855,10.); #140140=VECTOR('',#442856,10.); #140141=VECTOR('',#442859,10.); #140142=VECTOR('',#442860,10.); #140143=VECTOR('',#442861,10.); #140144=VECTOR('',#442864,10.); #140145=VECTOR('',#442865,10.); #140146=VECTOR('',#442866,10.); #140147=VECTOR('',#442869,10.); #140148=VECTOR('',#442870,10.); #140149=VECTOR('',#442871,10.); #140150=VECTOR('',#442874,10.); #140151=VECTOR('',#442875,10.); #140152=VECTOR('',#442876,10.); #140153=VECTOR('',#442879,10.); #140154=VECTOR('',#442880,10.); #140155=VECTOR('',#442881,10.); #140156=VECTOR('',#442884,10.); #140157=VECTOR('',#442885,10.); #140158=VECTOR('',#442886,10.); #140159=VECTOR('',#442889,10.); #140160=VECTOR('',#442890,10.); #140161=VECTOR('',#442891,10.); #140162=VECTOR('',#442894,10.); #140163=VECTOR('',#442895,10.); #140164=VECTOR('',#442896,10.); #140165=VECTOR('',#442899,10.); #140166=VECTOR('',#442900,10.); #140167=VECTOR('',#442901,10.); #140168=VECTOR('',#442904,10.); #140169=VECTOR('',#442905,10.); #140170=VECTOR('',#442906,10.); #140171=VECTOR('',#442909,10.); #140172=VECTOR('',#442910,10.); #140173=VECTOR('',#442911,10.); #140174=VECTOR('',#442914,10.); #140175=VECTOR('',#442915,10.); #140176=VECTOR('',#442916,10.); #140177=VECTOR('',#442919,10.); #140178=VECTOR('',#442920,10.); #140179=VECTOR('',#442921,10.); #140180=VECTOR('',#442924,10.); #140181=VECTOR('',#442925,10.); #140182=VECTOR('',#442926,10.); #140183=VECTOR('',#442929,10.); #140184=VECTOR('',#442930,10.); #140185=VECTOR('',#442931,10.); #140186=VECTOR('',#442934,10.); #140187=VECTOR('',#442935,10.); #140188=VECTOR('',#442944,0.139999999999998); #140189=VECTOR('',#442951,0.139999999999998); #140190=VECTOR('',#442956,10.); #140191=VECTOR('',#442957,10.); #140192=VECTOR('',#442958,10.); #140193=VECTOR('',#442959,10.); #140194=VECTOR('',#442966,10.); #140195=VECTOR('',#442969,10.); #140196=VECTOR('',#442970,10.); #140197=VECTOR('',#442971,10.); #140198=VECTOR('',#442978,10.); #140199=VECTOR('',#442985,10.); #140200=VECTOR('',#442988,10.); #140201=VECTOR('',#442989,10.); #140202=VECTOR('',#442990,10.); #140203=VECTOR('',#443005,0.140000000000002); #140204=VECTOR('',#443012,0.140000000000002); #140205=VECTOR('',#443019,0.140000000000002); #140206=VECTOR('',#443026,0.140000000000002); #140207=VECTOR('',#443033,0.140000000000002); #140208=VECTOR('',#443040,0.140000000000002); #140209=VECTOR('',#443047,0.140000000000002); #140210=VECTOR('',#443054,0.140000000000002); #140211=VECTOR('',#443061,0.140000000000002); #140212=VECTOR('',#443068,0.465); #140213=VECTOR('',#443073,10.); #140214=VECTOR('',#443074,10.); #140215=VECTOR('',#443075,10.); #140216=VECTOR('',#443076,10.); #140217=VECTOR('',#443079,10.); #140218=VECTOR('',#443080,10.); #140219=VECTOR('',#443081,10.); #140220=VECTOR('',#443084,10.); #140221=VECTOR('',#443085,10.); #140222=VECTOR('',#443086,10.); #140223=VECTOR('',#443089,10.); #140224=VECTOR('',#443090,10.); #140225=VECTOR('',#443091,10.); #140226=VECTOR('',#443094,10.); #140227=VECTOR('',#443095,10.); #140228=VECTOR('',#443096,10.); #140229=VECTOR('',#443099,10.); #140230=VECTOR('',#443100,10.); #140231=VECTOR('',#443101,10.); #140232=VECTOR('',#443104,10.); #140233=VECTOR('',#443105,10.); #140234=VECTOR('',#443106,10.); #140235=VECTOR('',#443109,10.); #140236=VECTOR('',#443110,10.); #140237=VECTOR('',#443111,10.); #140238=VECTOR('',#443114,10.); #140239=VECTOR('',#443115,10.); #140240=VECTOR('',#443116,10.); #140241=VECTOR('',#443119,10.); #140242=VECTOR('',#443120,10.); #140243=VECTOR('',#443121,10.); #140244=VECTOR('',#443124,10.); #140245=VECTOR('',#443125,10.); #140246=VECTOR('',#443126,10.); #140247=VECTOR('',#443129,10.); #140248=VECTOR('',#443130,10.); #140249=VECTOR('',#443131,10.); #140250=VECTOR('',#443134,10.); #140251=VECTOR('',#443135,10.); #140252=VECTOR('',#443136,10.); #140253=VECTOR('',#443139,10.); #140254=VECTOR('',#443140,10.); #140255=VECTOR('',#443141,10.); #140256=VECTOR('',#443144,10.); #140257=VECTOR('',#443145,10.); #140258=VECTOR('',#443146,10.); #140259=VECTOR('',#443149,10.); #140260=VECTOR('',#443150,10.); #140261=VECTOR('',#443151,10.); #140262=VECTOR('',#443154,10.); #140263=VECTOR('',#443155,10.); #140264=VECTOR('',#443156,10.); #140265=VECTOR('',#443159,10.); #140266=VECTOR('',#443160,10.); #140267=VECTOR('',#443161,10.); #140268=VECTOR('',#443164,10.); #140269=VECTOR('',#443165,10.); #140270=VECTOR('',#443166,10.); #140271=VECTOR('',#443169,10.); #140272=VECTOR('',#443170,10.); #140273=VECTOR('',#443171,10.); #140274=VECTOR('',#443174,10.); #140275=VECTOR('',#443175,10.); #140276=VECTOR('',#443176,10.); #140277=VECTOR('',#443179,10.); #140278=VECTOR('',#443180,10.); #140279=VECTOR('',#443181,10.); #140280=VECTOR('',#443184,10.); #140281=VECTOR('',#443185,10.); #140282=VECTOR('',#443186,10.); #140283=VECTOR('',#443189,10.); #140284=VECTOR('',#443190,10.); #140285=VECTOR('',#443199,0.139999999999998); #140286=VECTOR('',#443204,10.); #140287=VECTOR('',#443205,10.); #140288=VECTOR('',#443206,10.); #140289=VECTOR('',#443207,10.); #140290=VECTOR('',#443214,10.); #140291=VECTOR('',#443229,0.140000000000002); #140292=VECTOR('',#443236,0.140000000000002); #140293=VECTOR('',#443243,0.140000000000002); #140294=VECTOR('',#443250,0.140000000000002); #140295=VECTOR('',#443257,0.140000000000002); #140296=VECTOR('',#443264,0.140000000000002); #140297=VECTOR('',#443271,0.140000000000002); #140298=VECTOR('',#443278,0.140000000000002); #140299=VECTOR('',#443285,0.140000000000002); #140300=VECTOR('',#443292,0.140000000000002); #140301=VECTOR('',#443299,0.140000000000002); #140302=VECTOR('',#443306,0.140000000000002); #140303=VECTOR('',#443313,0.140000000000002); #140304=VECTOR('',#443320,0.140000000000002); #140305=VECTOR('',#443327,0.140000000000002); #140306=VECTOR('',#443334,0.140000000000002); #140307=VECTOR('',#443341,0.140000000000002); #140308=VECTOR('',#443348,0.140000000000002); #140309=VECTOR('',#443355,0.140000000000002); #140310=VECTOR('',#443362,0.140000000000002); #140311=VECTOR('',#443369,0.140000000000002); #140312=VECTOR('',#443376,0.140000000000002); #140313=VECTOR('',#443383,0.140000000000002); #140314=VECTOR('',#443390,0.140000000000002); #140315=VECTOR('',#443397,0.140000000000002); #140316=VECTOR('',#443404,0.140000000000002); #140317=VECTOR('',#443411,0.140000000000002); #140318=VECTOR('',#443418,0.140000000000002); #140319=VECTOR('',#443425,0.140000000000002); #140320=VECTOR('',#443432,0.140000000000002); #140321=VECTOR('',#443437,10.); #140322=VECTOR('',#443438,10.); #140323=VECTOR('',#443439,10.); #140324=VECTOR('',#443440,10.); #140325=VECTOR('',#443443,10.); #140326=VECTOR('',#443444,10.); #140327=VECTOR('',#443445,10.); #140328=VECTOR('',#443448,10.); #140329=VECTOR('',#443449,10.); #140330=VECTOR('',#443450,10.); #140331=VECTOR('',#443453,10.); #140332=VECTOR('',#443454,10.); #140333=VECTOR('',#443455,10.); #140334=VECTOR('',#443458,10.); #140335=VECTOR('',#443459,10.); #140336=VECTOR('',#443460,10.); #140337=VECTOR('',#443463,10.); #140338=VECTOR('',#443464,10.); #140339=VECTOR('',#443465,10.); #140340=VECTOR('',#443468,10.); #140341=VECTOR('',#443469,10.); #140342=VECTOR('',#443470,10.); #140343=VECTOR('',#443473,10.); #140344=VECTOR('',#443474,10.); #140345=VECTOR('',#443475,10.); #140346=VECTOR('',#443478,10.); #140347=VECTOR('',#443479,10.); #140348=VECTOR('',#443480,10.); #140349=VECTOR('',#443483,10.); #140350=VECTOR('',#443484,10.); #140351=VECTOR('',#443485,10.); #140352=VECTOR('',#443488,10.); #140353=VECTOR('',#443489,10.); #140354=VECTOR('',#443490,10.); #140355=VECTOR('',#443493,10.); #140356=VECTOR('',#443494,10.); #140357=VECTOR('',#443495,10.); #140358=VECTOR('',#443498,10.); #140359=VECTOR('',#443499,10.); #140360=VECTOR('',#443500,10.); #140361=VECTOR('',#443503,10.); #140362=VECTOR('',#443504,10.); #140363=VECTOR('',#443505,10.); #140364=VECTOR('',#443508,10.); #140365=VECTOR('',#443509,10.); #140366=VECTOR('',#443510,10.); #140367=VECTOR('',#443513,10.); #140368=VECTOR('',#443514,10.); #140369=VECTOR('',#443515,10.); #140370=VECTOR('',#443518,10.); #140371=VECTOR('',#443519,10.); #140372=VECTOR('',#443520,10.); #140373=VECTOR('',#443523,10.); #140374=VECTOR('',#443524,10.); #140375=VECTOR('',#443525,10.); #140376=VECTOR('',#443528,10.); #140377=VECTOR('',#443529,10.); #140378=VECTOR('',#443530,10.); #140379=VECTOR('',#443533,10.); #140380=VECTOR('',#443534,10.); #140381=VECTOR('',#443535,10.); #140382=VECTOR('',#443538,10.); #140383=VECTOR('',#443539,10.); #140384=VECTOR('',#443540,10.); #140385=VECTOR('',#443543,10.); #140386=VECTOR('',#443544,10.); #140387=VECTOR('',#443545,10.); #140388=VECTOR('',#443548,10.); #140389=VECTOR('',#443549,10.); #140390=VECTOR('',#443550,10.); #140391=VECTOR('',#443553,10.); #140392=VECTOR('',#443554,10.); #140393=VECTOR('',#443555,10.); #140394=VECTOR('',#443558,10.); #140395=VECTOR('',#443559,10.); #140396=VECTOR('',#443560,10.); #140397=VECTOR('',#443563,10.); #140398=VECTOR('',#443564,10.); #140399=VECTOR('',#443565,10.); #140400=VECTOR('',#443568,10.); #140401=VECTOR('',#443569,10.); #140402=VECTOR('',#443570,10.); #140403=VECTOR('',#443573,10.); #140404=VECTOR('',#443574,10.); #140405=VECTOR('',#443575,10.); #140406=VECTOR('',#443578,10.); #140407=VECTOR('',#443579,10.); #140408=VECTOR('',#443580,10.); #140409=VECTOR('',#443583,10.); #140410=VECTOR('',#443584,10.); #140411=VECTOR('',#443585,10.); #140412=VECTOR('',#443588,10.); #140413=VECTOR('',#443589,10.); #140414=VECTOR('',#443590,10.); #140415=VECTOR('',#443593,10.); #140416=VECTOR('',#443594,10.); #140417=VECTOR('',#443595,10.); #140418=VECTOR('',#443598,10.); #140419=VECTOR('',#443599,10.); #140420=VECTOR('',#443600,10.); #140421=VECTOR('',#443603,10.); #140422=VECTOR('',#443604,10.); #140423=VECTOR('',#443605,10.); #140424=VECTOR('',#443608,10.); #140425=VECTOR('',#443609,10.); #140426=VECTOR('',#443610,10.); #140427=VECTOR('',#443613,10.); #140428=VECTOR('',#443614,10.); #140429=VECTOR('',#443615,10.); #140430=VECTOR('',#443618,10.); #140431=VECTOR('',#443619,10.); #140432=VECTOR('',#443620,10.); #140433=VECTOR('',#443623,10.); #140434=VECTOR('',#443624,10.); #140435=VECTOR('',#443625,10.); #140436=VECTOR('',#443628,10.); #140437=VECTOR('',#443629,10.); #140438=VECTOR('',#443630,10.); #140439=VECTOR('',#443633,10.); #140440=VECTOR('',#443634,10.); #140441=VECTOR('',#443635,10.); #140442=VECTOR('',#443638,10.); #140443=VECTOR('',#443639,10.); #140444=VECTOR('',#443640,10.); #140445=VECTOR('',#443643,10.); #140446=VECTOR('',#443644,10.); #140447=VECTOR('',#443645,10.); #140448=VECTOR('',#443648,10.); #140449=VECTOR('',#443649,10.); #140450=VECTOR('',#443650,10.); #140451=VECTOR('',#443653,10.); #140452=VECTOR('',#443654,10.); #140453=VECTOR('',#443655,10.); #140454=VECTOR('',#443658,10.); #140455=VECTOR('',#443659,10.); #140456=VECTOR('',#443668,0.140000000000002); #140457=VECTOR('',#443675,0.140000000000002); #140458=VECTOR('',#443680,10.); #140459=VECTOR('',#443681,10.); #140460=VECTOR('',#443682,10.); #140461=VECTOR('',#443683,10.); #140462=VECTOR('',#443690,10.); #140463=VECTOR('',#443693,10.); #140464=VECTOR('',#443694,10.); #140465=VECTOR('',#443695,10.); #140466=VECTOR('',#443702,10.); #140467=VECTOR('',#443709,10.); #140468=VECTOR('',#443712,10.); #140469=VECTOR('',#443713,10.); #140470=VECTOR('',#443714,10.); #140471=VECTOR('',#443729,0.139999999999998); #140472=VECTOR('',#443734,10.); #140473=VECTOR('',#443735,10.); #140474=VECTOR('',#443736,10.); #140475=VECTOR('',#443737,10.); #140476=VECTOR('',#443744,10.); #140477=VECTOR('',#443759,0.139999999999998); #140478=VECTOR('',#443766,0.139999999999998); #140479=VECTOR('',#443773,0.139999999999998); #140480=VECTOR('',#443780,0.114999999999998); #140481=VECTOR('',#443787,0.114999999999998); #140482=VECTOR('',#443794,0.114999999999998); #140483=VECTOR('',#443801,0.114999999999998); #140484=VECTOR('',#443808,0.139999999999998); #140485=VECTOR('',#443815,0.139999999999998); #140486=VECTOR('',#443822,0.139999999999998); #140487=VECTOR('',#443829,0.139999999999998); #140488=VECTOR('',#443836,0.139999999999998); #140489=VECTOR('',#443843,0.139999999999998); #140490=VECTOR('',#443850,0.139999999999998); #140491=VECTOR('',#443857,0.139999999999998); #140492=VECTOR('',#443862,10.); #140493=VECTOR('',#443863,10.); #140494=VECTOR('',#443864,10.); #140495=VECTOR('',#443865,10.); #140496=VECTOR('',#443868,10.); #140497=VECTOR('',#443869,10.); #140498=VECTOR('',#443870,10.); #140499=VECTOR('',#443873,10.); #140500=VECTOR('',#443874,10.); #140501=VECTOR('',#443875,10.); #140502=VECTOR('',#443878,10.); #140503=VECTOR('',#443879,10.); #140504=VECTOR('',#443880,10.); #140505=VECTOR('',#443883,10.); #140506=VECTOR('',#443884,10.); #140507=VECTOR('',#443885,10.); #140508=VECTOR('',#443888,10.); #140509=VECTOR('',#443889,10.); #140510=VECTOR('',#443890,10.); #140511=VECTOR('',#443893,10.); #140512=VECTOR('',#443894,10.); #140513=VECTOR('',#443895,10.); #140514=VECTOR('',#443898,10.); #140515=VECTOR('',#443899,10.); #140516=VECTOR('',#443900,10.); #140517=VECTOR('',#443903,10.); #140518=VECTOR('',#443904,10.); #140519=VECTOR('',#443905,10.); #140520=VECTOR('',#443908,10.); #140521=VECTOR('',#443909,10.); #140522=VECTOR('',#443910,10.); #140523=VECTOR('',#443913,10.); #140524=VECTOR('',#443914,10.); #140525=VECTOR('',#443915,10.); #140526=VECTOR('',#443918,10.); #140527=VECTOR('',#443919,10.); #140528=VECTOR('',#443920,10.); #140529=VECTOR('',#443923,10.); #140530=VECTOR('',#443924,10.); #140531=VECTOR('',#443925,10.); #140532=VECTOR('',#443928,10.); #140533=VECTOR('',#443929,10.); #140534=VECTOR('',#443930,10.); #140535=VECTOR('',#443933,10.); #140536=VECTOR('',#443934,10.); #140537=VECTOR('',#443935,10.); #140538=VECTOR('',#443938,10.); #140539=VECTOR('',#443939,10.); #140540=VECTOR('',#443940,10.); #140541=VECTOR('',#443943,10.); #140542=VECTOR('',#443944,10.); #140543=VECTOR('',#443945,10.); #140544=VECTOR('',#443948,10.); #140545=VECTOR('',#443949,10.); #140546=VECTOR('',#443950,10.); #140547=VECTOR('',#443953,10.); #140548=VECTOR('',#443954,10.); #140549=VECTOR('',#443955,10.); #140550=VECTOR('',#443958,10.); #140551=VECTOR('',#443959,10.); #140552=VECTOR('',#443960,10.); #140553=VECTOR('',#443963,10.); #140554=VECTOR('',#443964,10.); #140555=VECTOR('',#443965,10.); #140556=VECTOR('',#443968,10.); #140557=VECTOR('',#443969,10.); #140558=VECTOR('',#443970,10.); #140559=VECTOR('',#443973,10.); #140560=VECTOR('',#443974,10.); #140561=VECTOR('',#443975,10.); #140562=VECTOR('',#443978,10.); #140563=VECTOR('',#443979,10.); #140564=VECTOR('',#443980,10.); #140565=VECTOR('',#443983,10.); #140566=VECTOR('',#443984,10.); #140567=VECTOR('',#443985,10.); #140568=VECTOR('',#443988,10.); #140569=VECTOR('',#443989,10.); #140570=VECTOR('',#443990,10.); #140571=VECTOR('',#443993,10.); #140572=VECTOR('',#443994,10.); #140573=VECTOR('',#443995,10.); #140574=VECTOR('',#443998,10.); #140575=VECTOR('',#443999,10.); #140576=VECTOR('',#444000,10.); #140577=VECTOR('',#444003,10.); #140578=VECTOR('',#444004,10.); #140579=VECTOR('',#444005,10.); #140580=VECTOR('',#444008,10.); #140581=VECTOR('',#444009,10.); #140582=VECTOR('',#444010,10.); #140583=VECTOR('',#444013,10.); #140584=VECTOR('',#444014,10.); #140585=VECTOR('',#444015,10.); #140586=VECTOR('',#444018,10.); #140587=VECTOR('',#444019,10.); #140588=VECTOR('',#444020,10.); #140589=VECTOR('',#444023,10.); #140590=VECTOR('',#444024,10.); #140591=VECTOR('',#444025,10.); #140592=VECTOR('',#444028,10.); #140593=VECTOR('',#444029,10.); #140594=VECTOR('',#444030,10.); #140595=VECTOR('',#444033,10.); #140596=VECTOR('',#444034,10.); #140597=VECTOR('',#444035,10.); #140598=VECTOR('',#444038,10.); #140599=VECTOR('',#444039,10.); #140600=VECTOR('',#444040,10.); #140601=VECTOR('',#444043,10.); #140602=VECTOR('',#444044,10.); #140603=VECTOR('',#444045,10.); #140604=VECTOR('',#444048,10.); #140605=VECTOR('',#444049,10.); #140606=VECTOR('',#444050,10.); #140607=VECTOR('',#444053,10.); #140608=VECTOR('',#444054,10.); #140609=VECTOR('',#444055,10.); #140610=VECTOR('',#444058,10.); #140611=VECTOR('',#444059,10.); #140612=VECTOR('',#444060,10.); #140613=VECTOR('',#444063,10.); #140614=VECTOR('',#444064,10.); #140615=VECTOR('',#444065,10.); #140616=VECTOR('',#444068,10.); #140617=VECTOR('',#444069,10.); #140618=VECTOR('',#444070,10.); #140619=VECTOR('',#444073,10.); #140620=VECTOR('',#444074,10.); #140621=VECTOR('',#444075,10.); #140622=VECTOR('',#444078,10.); #140623=VECTOR('',#444079,10.); #140624=VECTOR('',#444080,10.); #140625=VECTOR('',#444083,10.); #140626=VECTOR('',#444084,10.); #140627=VECTOR('',#444085,10.); #140628=VECTOR('',#444088,10.); #140629=VECTOR('',#444089,10.); #140630=VECTOR('',#444090,10.); #140631=VECTOR('',#444093,10.); #140632=VECTOR('',#444094,10.); #140633=VECTOR('',#444095,10.); #140634=VECTOR('',#444098,10.); #140635=VECTOR('',#444099,10.); #140636=VECTOR('',#444100,10.); #140637=VECTOR('',#444103,10.); #140638=VECTOR('',#444104,10.); #140639=VECTOR('',#444105,10.); #140640=VECTOR('',#444108,10.); #140641=VECTOR('',#444109,10.); #140642=VECTOR('',#444110,10.); #140643=VECTOR('',#444113,10.); #140644=VECTOR('',#444114,10.); #140645=VECTOR('',#444115,10.); #140646=VECTOR('',#444118,10.); #140647=VECTOR('',#444119,10.); #140648=VECTOR('',#444120,10.); #140649=VECTOR('',#444123,10.); #140650=VECTOR('',#444124,10.); #140651=VECTOR('',#444125,10.); #140652=VECTOR('',#444128,10.); #140653=VECTOR('',#444129,10.); #140654=VECTOR('',#444130,10.); #140655=VECTOR('',#444133,10.); #140656=VECTOR('',#444134,10.); #140657=VECTOR('',#444135,10.); #140658=VECTOR('',#444138,10.); #140659=VECTOR('',#444139,10.); #140660=VECTOR('',#444140,10.); #140661=VECTOR('',#444143,10.); #140662=VECTOR('',#444144,10.); #140663=VECTOR('',#444145,10.); #140664=VECTOR('',#444148,10.); #140665=VECTOR('',#444149,10.); #140666=VECTOR('',#444150,10.); #140667=VECTOR('',#444153,10.); #140668=VECTOR('',#444154,10.); #140669=VECTOR('',#444155,10.); #140670=VECTOR('',#444158,10.); #140671=VECTOR('',#444159,10.); #140672=VECTOR('',#444160,10.); #140673=VECTOR('',#444163,10.); #140674=VECTOR('',#444164,10.); #140675=VECTOR('',#444165,10.); #140676=VECTOR('',#444168,10.); #140677=VECTOR('',#444169,10.); #140678=VECTOR('',#444170,10.); #140679=VECTOR('',#444173,10.); #140680=VECTOR('',#444174,10.); #140681=VECTOR('',#444175,10.); #140682=VECTOR('',#444178,10.); #140683=VECTOR('',#444179,10.); #140684=VECTOR('',#444180,10.); #140685=VECTOR('',#444183,10.); #140686=VECTOR('',#444184,10.); #140687=VECTOR('',#444185,10.); #140688=VECTOR('',#444188,10.); #140689=VECTOR('',#444189,10.); #140690=VECTOR('',#444190,10.); #140691=VECTOR('',#444193,10.); #140692=VECTOR('',#444194,10.); #140693=VECTOR('',#444195,10.); #140694=VECTOR('',#444198,10.); #140695=VECTOR('',#444199,10.); #140696=VECTOR('',#444200,10.); #140697=VECTOR('',#444203,10.); #140698=VECTOR('',#444204,10.); #140699=VECTOR('',#444205,10.); #140700=VECTOR('',#444208,10.); #140701=VECTOR('',#444209,10.); #140702=VECTOR('',#444210,10.); #140703=VECTOR('',#444213,10.); #140704=VECTOR('',#444214,10.); #140705=VECTOR('',#444215,10.); #140706=VECTOR('',#444218,10.); #140707=VECTOR('',#444219,10.); #140708=VECTOR('',#444222,10.); #140709=VECTOR('',#444223,10.); #140710=VECTOR('',#444224,10.); #140711=VECTOR('',#444225,10.); #140712=VECTOR('',#444228,10.); #140713=VECTOR('',#444229,10.); #140714=VECTOR('',#444230,10.); #140715=VECTOR('',#444233,10.); #140716=VECTOR('',#444234,10.); #140717=VECTOR('',#444235,10.); #140718=VECTOR('',#444238,10.); #140719=VECTOR('',#444239,10.); #140720=VECTOR('',#444240,10.); #140721=VECTOR('',#444243,10.); #140722=VECTOR('',#444244,10.); #140723=VECTOR('',#444245,10.); #140724=VECTOR('',#444248,10.); #140725=VECTOR('',#444249,10.); #140726=VECTOR('',#444250,10.); #140727=VECTOR('',#444253,10.); #140728=VECTOR('',#444254,10.); #140729=VECTOR('',#444255,10.); #140730=VECTOR('',#444258,10.); #140731=VECTOR('',#444259,10.); #140732=VECTOR('',#444260,10.); #140733=VECTOR('',#444263,10.); #140734=VECTOR('',#444264,10.); #140735=VECTOR('',#444265,10.); #140736=VECTOR('',#444268,10.); #140737=VECTOR('',#444269,10.); #140738=VECTOR('',#444270,10.); #140739=VECTOR('',#444273,10.); #140740=VECTOR('',#444274,10.); #140741=VECTOR('',#444275,10.); #140742=VECTOR('',#444278,10.); #140743=VECTOR('',#444279,10.); #140744=VECTOR('',#444280,10.); #140745=VECTOR('',#444283,10.); #140746=VECTOR('',#444284,10.); #140747=VECTOR('',#444285,10.); #140748=VECTOR('',#444288,10.); #140749=VECTOR('',#444289,10.); #140750=VECTOR('',#444290,10.); #140751=VECTOR('',#444293,10.); #140752=VECTOR('',#444294,10.); #140753=VECTOR('',#444295,10.); #140754=VECTOR('',#444298,10.); #140755=VECTOR('',#444299,10.); #140756=VECTOR('',#444300,10.); #140757=VECTOR('',#444303,10.); #140758=VECTOR('',#444304,10.); #140759=VECTOR('',#444305,10.); #140760=VECTOR('',#444308,10.); #140761=VECTOR('',#444309,10.); #140762=VECTOR('',#444310,10.); #140763=VECTOR('',#444313,10.); #140764=VECTOR('',#444314,10.); #140765=VECTOR('',#444315,10.); #140766=VECTOR('',#444318,10.); #140767=VECTOR('',#444319,10.); #140768=VECTOR('',#444320,10.); #140769=VECTOR('',#444323,10.); #140770=VECTOR('',#444324,10.); #140771=VECTOR('',#444325,10.); #140772=VECTOR('',#444328,10.); #140773=VECTOR('',#444329,10.); #140774=VECTOR('',#444330,10.); #140775=VECTOR('',#444333,10.); #140776=VECTOR('',#444334,10.); #140777=VECTOR('',#444335,10.); #140778=VECTOR('',#444338,10.); #140779=VECTOR('',#444339,10.); #140780=VECTOR('',#444340,10.); #140781=VECTOR('',#444343,10.); #140782=VECTOR('',#444344,10.); #140783=VECTOR('',#444345,10.); #140784=VECTOR('',#444348,10.); #140785=VECTOR('',#444349,10.); #140786=VECTOR('',#444350,10.); #140787=VECTOR('',#444353,10.); #140788=VECTOR('',#444354,10.); #140789=VECTOR('',#444355,10.); #140790=VECTOR('',#444358,10.); #140791=VECTOR('',#444359,10.); #140792=VECTOR('',#444360,10.); #140793=VECTOR('',#444363,10.); #140794=VECTOR('',#444364,10.); #140795=VECTOR('',#444365,10.); #140796=VECTOR('',#444368,10.); #140797=VECTOR('',#444369,10.); #140798=VECTOR('',#444370,10.); #140799=VECTOR('',#444373,10.); #140800=VECTOR('',#444374,10.); #140801=VECTOR('',#444375,10.); #140802=VECTOR('',#444378,10.); #140803=VECTOR('',#444379,10.); #140804=VECTOR('',#444380,10.); #140805=VECTOR('',#444383,10.); #140806=VECTOR('',#444384,10.); #140807=VECTOR('',#444385,10.); #140808=VECTOR('',#444388,10.); #140809=VECTOR('',#444389,10.); #140810=VECTOR('',#444390,10.); #140811=VECTOR('',#444393,10.); #140812=VECTOR('',#444394,10.); #140813=VECTOR('',#444395,10.); #140814=VECTOR('',#444398,10.); #140815=VECTOR('',#444399,10.); #140816=VECTOR('',#444400,10.); #140817=VECTOR('',#444403,10.); #140818=VECTOR('',#444404,10.); #140819=VECTOR('',#444405,10.); #140820=VECTOR('',#444408,10.); #140821=VECTOR('',#444409,10.); #140822=VECTOR('',#444410,10.); #140823=VECTOR('',#444413,10.); #140824=VECTOR('',#444414,10.); #140825=VECTOR('',#444415,10.); #140826=VECTOR('',#444418,10.); #140827=VECTOR('',#444419,10.); #140828=VECTOR('',#444420,10.); #140829=VECTOR('',#444423,10.); #140830=VECTOR('',#444424,10.); #140831=VECTOR('',#444425,10.); #140832=VECTOR('',#444428,10.); #140833=VECTOR('',#444429,10.); #140834=VECTOR('',#444430,10.); #140835=VECTOR('',#444433,10.); #140836=VECTOR('',#444434,10.); #140837=VECTOR('',#444435,10.); #140838=VECTOR('',#444438,10.); #140839=VECTOR('',#444439,10.); #140840=VECTOR('',#444440,10.); #140841=VECTOR('',#444443,10.); #140842=VECTOR('',#444444,10.); #140843=VECTOR('',#444445,10.); #140844=VECTOR('',#444448,10.); #140845=VECTOR('',#444449,10.); #140846=VECTOR('',#444450,10.); #140847=VECTOR('',#444453,10.); #140848=VECTOR('',#444454,10.); #140849=VECTOR('',#444455,10.); #140850=VECTOR('',#444458,10.); #140851=VECTOR('',#444459,10.); #140852=VECTOR('',#444460,10.); #140853=VECTOR('',#444463,10.); #140854=VECTOR('',#444464,10.); #140855=VECTOR('',#444465,10.); #140856=VECTOR('',#444468,10.); #140857=VECTOR('',#444469,10.); #140858=VECTOR('',#444470,10.); #140859=VECTOR('',#444473,10.); #140860=VECTOR('',#444474,10.); #140861=VECTOR('',#444475,10.); #140862=VECTOR('',#444478,10.); #140863=VECTOR('',#444479,10.); #140864=VECTOR('',#444480,10.); #140865=VECTOR('',#444483,10.); #140866=VECTOR('',#444484,10.); #140867=VECTOR('',#444485,10.); #140868=VECTOR('',#444488,10.); #140869=VECTOR('',#444489,10.); #140870=VECTOR('',#444490,10.); #140871=VECTOR('',#444493,10.); #140872=VECTOR('',#444494,10.); #140873=VECTOR('',#444495,10.); #140874=VECTOR('',#444498,10.); #140875=VECTOR('',#444499,10.); #140876=VECTOR('',#444500,10.); #140877=VECTOR('',#444503,10.); #140878=VECTOR('',#444504,10.); #140879=VECTOR('',#444505,10.); #140880=VECTOR('',#444508,10.); #140881=VECTOR('',#444509,10.); #140882=VECTOR('',#444510,10.); #140883=VECTOR('',#444513,10.); #140884=VECTOR('',#444514,10.); #140885=VECTOR('',#444515,10.); #140886=VECTOR('',#444518,10.); #140887=VECTOR('',#444519,10.); #140888=VECTOR('',#444520,10.); #140889=VECTOR('',#444523,10.); #140890=VECTOR('',#444524,10.); #140891=VECTOR('',#444525,10.); #140892=VECTOR('',#444528,10.); #140893=VECTOR('',#444529,10.); #140894=VECTOR('',#444530,10.); #140895=VECTOR('',#444533,10.); #140896=VECTOR('',#444534,10.); #140897=VECTOR('',#444535,10.); #140898=VECTOR('',#444538,10.); #140899=VECTOR('',#444539,10.); #140900=VECTOR('',#444540,10.); #140901=VECTOR('',#444543,10.); #140902=VECTOR('',#444544,10.); #140903=VECTOR('',#444545,10.); #140904=VECTOR('',#444548,10.); #140905=VECTOR('',#444549,10.); #140906=VECTOR('',#444550,10.); #140907=VECTOR('',#444553,10.); #140908=VECTOR('',#444554,10.); #140909=VECTOR('',#444555,10.); #140910=VECTOR('',#444558,10.); #140911=VECTOR('',#444559,10.); #140912=VECTOR('',#444560,10.); #140913=VECTOR('',#444563,10.); #140914=VECTOR('',#444564,10.); #140915=VECTOR('',#444565,10.); #140916=VECTOR('',#444568,10.); #140917=VECTOR('',#444569,10.); #140918=VECTOR('',#444570,10.); #140919=VECTOR('',#444573,10.); #140920=VECTOR('',#444574,10.); #140921=VECTOR('',#444575,10.); #140922=VECTOR('',#444578,10.); #140923=VECTOR('',#444579,10.); #140924=VECTOR('',#444580,10.); #140925=VECTOR('',#444583,10.); #140926=VECTOR('',#444584,10.); #140927=VECTOR('',#444585,10.); #140928=VECTOR('',#444588,10.); #140929=VECTOR('',#444589,10.); #140930=VECTOR('',#444590,10.); #140931=VECTOR('',#444593,10.); #140932=VECTOR('',#444594,10.); #140933=VECTOR('',#444595,10.); #140934=VECTOR('',#444598,10.); #140935=VECTOR('',#444599,10.); #140936=VECTOR('',#444600,10.); #140937=VECTOR('',#444603,10.); #140938=VECTOR('',#444604,10.); #140939=VECTOR('',#444605,10.); #140940=VECTOR('',#444608,10.); #140941=VECTOR('',#444609,10.); #140942=VECTOR('',#444610,10.); #140943=VECTOR('',#444613,10.); #140944=VECTOR('',#444614,10.); #140945=VECTOR('',#444615,10.); #140946=VECTOR('',#444618,10.); #140947=VECTOR('',#444619,10.); #140948=VECTOR('',#444620,10.); #140949=VECTOR('',#444623,10.); #140950=VECTOR('',#444624,10.); #140951=VECTOR('',#444625,10.); #140952=VECTOR('',#444628,10.); #140953=VECTOR('',#444629,10.); #140954=VECTOR('',#444630,10.); #140955=VECTOR('',#444633,10.); #140956=VECTOR('',#444634,10.); #140957=VECTOR('',#444635,10.); #140958=VECTOR('',#444638,10.); #140959=VECTOR('',#444639,10.); #140960=VECTOR('',#444640,10.); #140961=VECTOR('',#444643,10.); #140962=VECTOR('',#444644,10.); #140963=VECTOR('',#444645,10.); #140964=VECTOR('',#444648,10.); #140965=VECTOR('',#444649,10.); #140966=VECTOR('',#444650,10.); #140967=VECTOR('',#444653,10.); #140968=VECTOR('',#444654,10.); #140969=VECTOR('',#444655,10.); #140970=VECTOR('',#444658,10.); #140971=VECTOR('',#444659,10.); #140972=VECTOR('',#444660,10.); #140973=VECTOR('',#444663,10.); #140974=VECTOR('',#444664,10.); #140975=VECTOR('',#444665,10.); #140976=VECTOR('',#444668,10.); #140977=VECTOR('',#444669,10.); #140978=VECTOR('',#444670,10.); #140979=VECTOR('',#444673,10.); #140980=VECTOR('',#444674,10.); #140981=VECTOR('',#444675,10.); #140982=VECTOR('',#444678,10.); #140983=VECTOR('',#444679,10.); #140984=VECTOR('',#444680,10.); #140985=VECTOR('',#444683,10.); #140986=VECTOR('',#444684,10.); #140987=VECTOR('',#444685,10.); #140988=VECTOR('',#444688,10.); #140989=VECTOR('',#444689,10.); #140990=VECTOR('',#444690,10.); #140991=VECTOR('',#444693,10.); #140992=VECTOR('',#444694,10.); #140993=VECTOR('',#444703,0.564999999999998); #140994=VECTOR('',#444708,10.); #140995=VECTOR('',#444709,10.); #140996=VECTOR('',#444710,10.); #140997=VECTOR('',#444711,10.); #140998=VECTOR('',#444718,10.); #140999=VECTOR('',#444733,0.565000000000002); #141000=VECTOR('',#444738,10.); #141001=VECTOR('',#444739,10.); #141002=VECTOR('',#444740,10.); #141003=VECTOR('',#444741,10.); #141004=VECTOR('',#444748,10.); #141005=VECTOR('',#444763,0.465); #141006=VECTOR('',#444768,10.); #141007=VECTOR('',#444769,10.); #141008=VECTOR('',#444770,10.); #141009=VECTOR('',#444771,10.); #141010=VECTOR('',#444778,10.); #141011=VECTOR('',#444793,0.514999999999999); #141012=VECTOR('',#444798,10.); #141013=VECTOR('',#444799,10.); #141014=VECTOR('',#444800,10.); #141015=VECTOR('',#444801,10.); #141016=VECTOR('',#444808,10.); #141017=VECTOR('',#444823,0.514999999999999); #141018=VECTOR('',#444828,10.); #141019=VECTOR('',#444829,10.); #141020=VECTOR('',#444830,10.); #141021=VECTOR('',#444831,10.); #141022=VECTOR('',#444834,10.); #141023=VECTOR('',#444835,10.); #141024=VECTOR('',#444836,10.); #141025=VECTOR('',#444839,10.); #141026=VECTOR('',#444840,10.); #141027=VECTOR('',#444841,10.); #141028=VECTOR('',#444844,10.); #141029=VECTOR('',#444845,10.); #141030=VECTOR('',#444854,0.465); #141031=VECTOR('',#444859,10.); #141032=VECTOR('',#444860,10.); #141033=VECTOR('',#444861,10.); #141034=VECTOR('',#444862,10.); #141035=VECTOR('',#444869,10.); #141036=VECTOR('',#444884,0.465); #141037=VECTOR('',#444889,10.); #141038=VECTOR('',#444890,10.); #141039=VECTOR('',#444891,10.); #141040=VECTOR('',#444892,10.); #141041=VECTOR('',#444899,10.); #141042=VECTOR('',#444914,0.564999999999998); #141043=VECTOR('',#444919,10.); #141044=VECTOR('',#444920,10.); #141045=VECTOR('',#444921,10.); #141046=VECTOR('',#444922,10.); #141047=VECTOR('',#444929,10.); #141048=VECTOR('',#444944,0.465); #141049=VECTOR('',#444949,10.); #141050=VECTOR('',#444950,10.); #141051=VECTOR('',#444951,10.); #141052=VECTOR('',#444952,10.); #141053=VECTOR('',#444959,10.); #141054=VECTOR('',#444972,10.); #141055=VECTOR('',#444973,10.); #141056=VECTOR('',#444974,10.); #141057=VECTOR('',#444975,10.); #141058=VECTOR('',#444982,10.); #141059=VECTOR('',#444985,10.); #141060=VECTOR('',#444986,10.); #141061=VECTOR('',#444987,10.); #141062=VECTOR('',#444996,10.); #141063=VECTOR('',#444997,10.); #141064=VECTOR('',#444998,10.); #141065=VECTOR('',#444999,10.); #141066=VECTOR('',#445002,10.); #141067=VECTOR('',#445003,10.); #141068=VECTOR('',#445004,10.); #141069=VECTOR('',#445007,10.); #141070=VECTOR('',#445008,10.); #141071=VECTOR('',#445009,10.); #141072=VECTOR('',#445012,10.); #141073=VECTOR('',#445013,10.); #141074=VECTOR('',#445014,10.); #141075=VECTOR('',#445017,10.); #141076=VECTOR('',#445018,10.); #141077=VECTOR('',#445019,10.); #141078=VECTOR('',#445022,10.); #141079=VECTOR('',#445023,10.); #141080=VECTOR('',#445024,10.); #141081=VECTOR('',#445027,10.); #141082=VECTOR('',#445028,10.); #141083=VECTOR('',#445029,10.); #141084=VECTOR('',#445032,10.); #141085=VECTOR('',#445033,10.); #141086=VECTOR('',#445034,10.); #141087=VECTOR('',#445037,10.); #141088=VECTOR('',#445038,10.); #141089=VECTOR('',#445039,10.); #141090=VECTOR('',#445042,10.); #141091=VECTOR('',#445043,10.); #141092=VECTOR('',#445044,10.); #141093=VECTOR('',#445047,10.); #141094=VECTOR('',#445048,10.); #141095=VECTOR('',#445049,10.); #141096=VECTOR('',#445052,10.); #141097=VECTOR('',#445053,10.); #141098=VECTOR('',#445054,10.); #141099=VECTOR('',#445057,10.); #141100=VECTOR('',#445058,10.); #141101=VECTOR('',#445059,10.); #141102=VECTOR('',#445062,10.); #141103=VECTOR('',#445063,10.); #141104=VECTOR('',#445064,10.); #141105=VECTOR('',#445067,10.); #141106=VECTOR('',#445068,10.); #141107=VECTOR('',#445069,10.); #141108=VECTOR('',#445072,10.); #141109=VECTOR('',#445073,10.); #141110=VECTOR('',#445074,10.); #141111=VECTOR('',#445077,10.); #141112=VECTOR('',#445078,10.); #141113=VECTOR('',#445079,10.); #141114=VECTOR('',#445082,10.); #141115=VECTOR('',#445083,10.); #141116=VECTOR('',#445084,10.); #141117=VECTOR('',#445087,10.); #141118=VECTOR('',#445088,10.); #141119=VECTOR('',#445089,10.); #141120=VECTOR('',#445092,10.); #141121=VECTOR('',#445093,10.); #141122=VECTOR('',#445094,10.); #141123=VECTOR('',#445097,10.); #141124=VECTOR('',#445098,10.); #141125=VECTOR('',#445099,10.); #141126=VECTOR('',#445102,10.); #141127=VECTOR('',#445103,10.); #141128=VECTOR('',#445104,10.); #141129=VECTOR('',#445107,10.); #141130=VECTOR('',#445108,10.); #141131=VECTOR('',#445109,10.); #141132=VECTOR('',#445112,10.); #141133=VECTOR('',#445113,10.); #141134=VECTOR('',#445114,10.); #141135=VECTOR('',#445117,10.); #141136=VECTOR('',#445118,10.); #141137=VECTOR('',#445119,10.); #141138=VECTOR('',#445122,10.); #141139=VECTOR('',#445123,10.); #141140=VECTOR('',#445124,10.); #141141=VECTOR('',#445127,10.); #141142=VECTOR('',#445128,10.); #141143=VECTOR('',#445129,10.); #141144=VECTOR('',#445132,10.); #141145=VECTOR('',#445133,10.); #141146=VECTOR('',#445134,10.); #141147=VECTOR('',#445137,10.); #141148=VECTOR('',#445138,10.); #141149=VECTOR('',#445139,10.); #141150=VECTOR('',#445142,10.); #141151=VECTOR('',#445143,10.); #141152=VECTOR('',#445144,10.); #141153=VECTOR('',#445147,10.); #141154=VECTOR('',#445148,10.); #141155=VECTOR('',#445149,10.); #141156=VECTOR('',#445152,10.); #141157=VECTOR('',#445153,10.); #141158=VECTOR('',#445154,10.); #141159=VECTOR('',#445157,10.); #141160=VECTOR('',#445158,10.); #141161=VECTOR('',#445159,10.); #141162=VECTOR('',#445162,10.); #141163=VECTOR('',#445163,10.); #141164=VECTOR('',#445164,10.); #141165=VECTOR('',#445167,10.); #141166=VECTOR('',#445168,10.); #141167=VECTOR('',#445169,10.); #141168=VECTOR('',#445172,10.); #141169=VECTOR('',#445173,10.); #141170=VECTOR('',#445174,10.); #141171=VECTOR('',#445177,10.); #141172=VECTOR('',#445178,10.); #141173=VECTOR('',#445179,10.); #141174=VECTOR('',#445182,10.); #141175=VECTOR('',#445183,10.); #141176=VECTOR('',#445184,10.); #141177=VECTOR('',#445187,10.); #141178=VECTOR('',#445188,10.); #141179=VECTOR('',#445189,10.); #141180=VECTOR('',#445192,10.); #141181=VECTOR('',#445193,10.); #141182=VECTOR('',#445194,10.); #141183=VECTOR('',#445197,10.); #141184=VECTOR('',#445198,10.); #141185=VECTOR('',#445199,10.); #141186=VECTOR('',#445202,10.); #141187=VECTOR('',#445203,10.); #141188=VECTOR('',#445204,10.); #141189=VECTOR('',#445207,10.); #141190=VECTOR('',#445208,10.); #141191=VECTOR('',#445209,10.); #141192=VECTOR('',#445212,10.); #141193=VECTOR('',#445213,10.); #141194=VECTOR('',#445214,10.); #141195=VECTOR('',#445217,10.); #141196=VECTOR('',#445218,10.); #141197=VECTOR('',#445219,10.); #141198=VECTOR('',#445222,10.); #141199=VECTOR('',#445223,10.); #141200=VECTOR('',#445224,10.); #141201=VECTOR('',#445227,10.); #141202=VECTOR('',#445228,10.); #141203=VECTOR('',#445229,10.); #141204=VECTOR('',#445232,10.); #141205=VECTOR('',#445233,10.); #141206=VECTOR('',#445234,10.); #141207=VECTOR('',#445237,10.); #141208=VECTOR('',#445238,10.); #141209=VECTOR('',#445239,10.); #141210=VECTOR('',#445242,10.); #141211=VECTOR('',#445243,10.); #141212=VECTOR('',#445244,10.); #141213=VECTOR('',#445247,10.); #141214=VECTOR('',#445248,10.); #141215=VECTOR('',#445249,10.); #141216=VECTOR('',#445252,10.); #141217=VECTOR('',#445253,10.); #141218=VECTOR('',#445254,10.); #141219=VECTOR('',#445257,10.); #141220=VECTOR('',#445258,10.); #141221=VECTOR('',#445259,10.); #141222=VECTOR('',#445262,10.); #141223=VECTOR('',#445263,10.); #141224=VECTOR('',#445264,10.); #141225=VECTOR('',#445267,10.); #141226=VECTOR('',#445268,10.); #141227=VECTOR('',#445269,10.); #141228=VECTOR('',#445272,10.); #141229=VECTOR('',#445273,10.); #141230=VECTOR('',#445280,10.); #141231=VECTOR('',#445281,10.); #141232=VECTOR('',#445282,10.); #141233=VECTOR('',#445283,10.); #141234=VECTOR('',#445290,10.); #141235=VECTOR('',#445293,10.); #141236=VECTOR('',#445294,10.); #141237=VECTOR('',#445295,10.); #141238=VECTOR('',#445304,10.); #141239=VECTOR('',#445305,10.); #141240=VECTOR('',#445306,10.); #141241=VECTOR('',#445307,10.); #141242=VECTOR('',#445310,10.); #141243=VECTOR('',#445311,10.); #141244=VECTOR('',#445312,10.); #141245=VECTOR('',#445315,10.); #141246=VECTOR('',#445316,10.); #141247=VECTOR('',#445317,10.); #141248=VECTOR('',#445320,10.); #141249=VECTOR('',#445321,10.); #141250=VECTOR('',#445322,10.); #141251=VECTOR('',#445325,10.); #141252=VECTOR('',#445326,10.); #141253=VECTOR('',#445327,10.); #141254=VECTOR('',#445330,10.); #141255=VECTOR('',#445331,10.); #141256=VECTOR('',#445332,10.); #141257=VECTOR('',#445335,10.); #141258=VECTOR('',#445336,10.); #141259=VECTOR('',#445337,10.); #141260=VECTOR('',#445340,10.); #141261=VECTOR('',#445341,10.); #141262=VECTOR('',#445342,10.); #141263=VECTOR('',#445345,10.); #141264=VECTOR('',#445346,10.); #141265=VECTOR('',#445347,10.); #141266=VECTOR('',#445350,10.); #141267=VECTOR('',#445351,10.); #141268=VECTOR('',#445352,10.); #141269=VECTOR('',#445355,10.); #141270=VECTOR('',#445356,10.); #141271=VECTOR('',#445357,10.); #141272=VECTOR('',#445360,10.); #141273=VECTOR('',#445361,10.); #141274=VECTOR('',#445362,10.); #141275=VECTOR('',#445365,10.); #141276=VECTOR('',#445366,10.); #141277=VECTOR('',#445367,10.); #141278=VECTOR('',#445370,10.); #141279=VECTOR('',#445371,10.); #141280=VECTOR('',#445372,10.); #141281=VECTOR('',#445375,10.); #141282=VECTOR('',#445376,10.); #141283=VECTOR('',#445377,10.); #141284=VECTOR('',#445380,10.); #141285=VECTOR('',#445381,10.); #141286=VECTOR('',#445382,10.); #141287=VECTOR('',#445385,10.); #141288=VECTOR('',#445386,10.); #141289=VECTOR('',#445387,10.); #141290=VECTOR('',#445390,10.); #141291=VECTOR('',#445391,10.); #141292=VECTOR('',#445392,10.); #141293=VECTOR('',#445395,10.); #141294=VECTOR('',#445396,10.); #141295=VECTOR('',#445397,10.); #141296=VECTOR('',#445400,10.); #141297=VECTOR('',#445401,10.); #141298=VECTOR('',#445402,10.); #141299=VECTOR('',#445405,10.); #141300=VECTOR('',#445406,10.); #141301=VECTOR('',#445407,10.); #141302=VECTOR('',#445410,10.); #141303=VECTOR('',#445411,10.); #141304=VECTOR('',#445412,10.); #141305=VECTOR('',#445415,10.); #141306=VECTOR('',#445416,10.); #141307=VECTOR('',#445417,10.); #141308=VECTOR('',#445420,10.); #141309=VECTOR('',#445421,10.); #141310=VECTOR('',#445422,10.); #141311=VECTOR('',#445425,10.); #141312=VECTOR('',#445426,10.); #141313=VECTOR('',#445427,10.); #141314=VECTOR('',#445430,10.); #141315=VECTOR('',#445431,10.); #141316=VECTOR('',#445432,10.); #141317=VECTOR('',#445435,10.); #141318=VECTOR('',#445436,10.); #141319=VECTOR('',#445437,10.); #141320=VECTOR('',#445440,10.); #141321=VECTOR('',#445441,10.); #141322=VECTOR('',#445442,10.); #141323=VECTOR('',#445445,10.); #141324=VECTOR('',#445446,10.); #141325=VECTOR('',#445447,10.); #141326=VECTOR('',#445450,10.); #141327=VECTOR('',#445451,10.); #141328=VECTOR('',#445452,10.); #141329=VECTOR('',#445455,10.); #141330=VECTOR('',#445456,10.); #141331=VECTOR('',#445457,10.); #141332=VECTOR('',#445460,10.); #141333=VECTOR('',#445461,10.); #141334=VECTOR('',#445462,10.); #141335=VECTOR('',#445465,10.); #141336=VECTOR('',#445466,10.); #141337=VECTOR('',#445467,10.); #141338=VECTOR('',#445470,10.); #141339=VECTOR('',#445471,10.); #141340=VECTOR('',#445472,10.); #141341=VECTOR('',#445475,10.); #141342=VECTOR('',#445476,10.); #141343=VECTOR('',#445477,10.); #141344=VECTOR('',#445480,10.); #141345=VECTOR('',#445481,10.); #141346=VECTOR('',#445482,10.); #141347=VECTOR('',#445485,10.); #141348=VECTOR('',#445486,10.); #141349=VECTOR('',#445487,10.); #141350=VECTOR('',#445490,10.); #141351=VECTOR('',#445491,10.); #141352=VECTOR('',#445492,10.); #141353=VECTOR('',#445495,10.); #141354=VECTOR('',#445496,10.); #141355=VECTOR('',#445497,10.); #141356=VECTOR('',#445500,10.); #141357=VECTOR('',#445501,10.); #141358=VECTOR('',#445502,10.); #141359=VECTOR('',#445505,10.); #141360=VECTOR('',#445506,10.); #141361=VECTOR('',#445507,10.); #141362=VECTOR('',#445510,10.); #141363=VECTOR('',#445511,10.); #141364=VECTOR('',#445512,10.); #141365=VECTOR('',#445515,10.); #141366=VECTOR('',#445516,10.); #141367=VECTOR('',#445517,10.); #141368=VECTOR('',#445520,10.); #141369=VECTOR('',#445521,10.); #141370=VECTOR('',#445522,10.); #141371=VECTOR('',#445525,10.); #141372=VECTOR('',#445526,10.); #141373=VECTOR('',#445527,10.); #141374=VECTOR('',#445530,10.); #141375=VECTOR('',#445531,10.); #141376=VECTOR('',#445532,10.); #141377=VECTOR('',#445535,10.); #141378=VECTOR('',#445536,10.); #141379=VECTOR('',#445537,10.); #141380=VECTOR('',#445540,10.); #141381=VECTOR('',#445541,10.); #141382=VECTOR('',#445542,10.); #141383=VECTOR('',#445545,10.); #141384=VECTOR('',#445546,10.); #141385=VECTOR('',#445547,10.); #141386=VECTOR('',#445550,10.); #141387=VECTOR('',#445551,10.); #141388=VECTOR('',#445552,10.); #141389=VECTOR('',#445555,10.); #141390=VECTOR('',#445556,10.); #141391=VECTOR('',#445557,10.); #141392=VECTOR('',#445560,10.); #141393=VECTOR('',#445561,10.); #141394=VECTOR('',#445562,10.); #141395=VECTOR('',#445565,10.); #141396=VECTOR('',#445566,10.); #141397=VECTOR('',#445567,10.); #141398=VECTOR('',#445570,10.); #141399=VECTOR('',#445571,10.); #141400=VECTOR('',#445572,10.); #141401=VECTOR('',#445575,10.); #141402=VECTOR('',#445576,10.); #141403=VECTOR('',#445577,10.); #141404=VECTOR('',#445580,10.); #141405=VECTOR('',#445581,10.); #141406=VECTOR('',#445582,10.); #141407=VECTOR('',#445585,10.); #141408=VECTOR('',#445586,10.); #141409=VECTOR('',#445587,10.); #141410=VECTOR('',#445590,10.); #141411=VECTOR('',#445591,10.); #141412=VECTOR('',#445592,10.); #141413=VECTOR('',#445595,10.); #141414=VECTOR('',#445596,10.); #141415=VECTOR('',#445597,10.); #141416=VECTOR('',#445600,10.); #141417=VECTOR('',#445601,10.); #141418=VECTOR('',#445602,10.); #141419=VECTOR('',#445605,10.); #141420=VECTOR('',#445606,10.); #141421=VECTOR('',#445607,10.); #141422=VECTOR('',#445610,10.); #141423=VECTOR('',#445611,10.); #141424=VECTOR('',#445612,10.); #141425=VECTOR('',#445615,10.); #141426=VECTOR('',#445616,10.); #141427=VECTOR('',#445617,10.); #141428=VECTOR('',#445620,10.); #141429=VECTOR('',#445621,10.); #141430=VECTOR('',#445622,10.); #141431=VECTOR('',#445625,10.); #141432=VECTOR('',#445626,10.); #141433=VECTOR('',#445627,10.); #141434=VECTOR('',#445630,10.); #141435=VECTOR('',#445631,10.); #141436=VECTOR('',#445632,10.); #141437=VECTOR('',#445635,10.); #141438=VECTOR('',#445636,10.); #141439=VECTOR('',#445637,10.); #141440=VECTOR('',#445640,10.); #141441=VECTOR('',#445641,10.); #141442=VECTOR('',#445642,10.); #141443=VECTOR('',#445645,10.); #141444=VECTOR('',#445646,10.); #141445=VECTOR('',#445647,10.); #141446=VECTOR('',#445650,10.); #141447=VECTOR('',#445651,10.); #141448=VECTOR('',#445652,10.); #141449=VECTOR('',#445655,10.); #141450=VECTOR('',#445656,10.); #141451=VECTOR('',#445657,10.); #141452=VECTOR('',#445660,10.); #141453=VECTOR('',#445661,10.); #141454=VECTOR('',#445668,10.); #141455=VECTOR('',#445669,10.); #141456=VECTOR('',#445670,10.); #141457=VECTOR('',#445671,10.); #141458=VECTOR('',#445678,10.); #141459=VECTOR('',#445681,10.); #141460=VECTOR('',#445682,10.); #141461=VECTOR('',#445683,10.); #141462=VECTOR('',#445692,10.); #141463=VECTOR('',#445693,10.); #141464=VECTOR('',#445694,10.); #141465=VECTOR('',#445695,10.); #141466=VECTOR('',#445698,10.); #141467=VECTOR('',#445699,10.); #141468=VECTOR('',#445700,10.); #141469=VECTOR('',#445703,10.); #141470=VECTOR('',#445704,10.); #141471=VECTOR('',#445705,10.); #141472=VECTOR('',#445708,10.); #141473=VECTOR('',#445709,10.); #141474=VECTOR('',#445710,10.); #141475=VECTOR('',#445713,10.); #141476=VECTOR('',#445714,10.); #141477=VECTOR('',#445715,10.); #141478=VECTOR('',#445718,10.); #141479=VECTOR('',#445719,10.); #141480=VECTOR('',#445720,10.); #141481=VECTOR('',#445723,10.); #141482=VECTOR('',#445724,10.); #141483=VECTOR('',#445725,10.); #141484=VECTOR('',#445728,10.); #141485=VECTOR('',#445729,10.); #141486=VECTOR('',#445730,10.); #141487=VECTOR('',#445733,10.); #141488=VECTOR('',#445734,10.); #141489=VECTOR('',#445735,10.); #141490=VECTOR('',#445738,10.); #141491=VECTOR('',#445739,10.); #141492=VECTOR('',#445740,10.); #141493=VECTOR('',#445743,10.); #141494=VECTOR('',#445744,10.); #141495=VECTOR('',#445745,10.); #141496=VECTOR('',#445748,10.); #141497=VECTOR('',#445749,10.); #141498=VECTOR('',#445750,10.); #141499=VECTOR('',#445753,10.); #141500=VECTOR('',#445754,10.); #141501=VECTOR('',#445755,10.); #141502=VECTOR('',#445758,10.); #141503=VECTOR('',#445759,10.); #141504=VECTOR('',#445760,10.); #141505=VECTOR('',#445763,10.); #141506=VECTOR('',#445764,10.); #141507=VECTOR('',#445765,10.); #141508=VECTOR('',#445768,10.); #141509=VECTOR('',#445769,10.); #141510=VECTOR('',#445770,10.); #141511=VECTOR('',#445773,10.); #141512=VECTOR('',#445774,10.); #141513=VECTOR('',#445775,10.); #141514=VECTOR('',#445778,10.); #141515=VECTOR('',#445779,10.); #141516=VECTOR('',#445780,10.); #141517=VECTOR('',#445783,10.); #141518=VECTOR('',#445784,10.); #141519=VECTOR('',#445785,10.); #141520=VECTOR('',#445788,10.); #141521=VECTOR('',#445789,10.); #141522=VECTOR('',#445790,10.); #141523=VECTOR('',#445793,10.); #141524=VECTOR('',#445794,10.); #141525=VECTOR('',#445795,10.); #141526=VECTOR('',#445798,10.); #141527=VECTOR('',#445799,10.); #141528=VECTOR('',#445800,10.); #141529=VECTOR('',#445803,10.); #141530=VECTOR('',#445804,10.); #141531=VECTOR('',#445805,10.); #141532=VECTOR('',#445808,10.); #141533=VECTOR('',#445809,10.); #141534=VECTOR('',#445810,10.); #141535=VECTOR('',#445813,10.); #141536=VECTOR('',#445814,10.); #141537=VECTOR('',#445815,10.); #141538=VECTOR('',#445818,10.); #141539=VECTOR('',#445819,10.); #141540=VECTOR('',#445820,10.); #141541=VECTOR('',#445823,10.); #141542=VECTOR('',#445824,10.); #141543=VECTOR('',#445825,10.); #141544=VECTOR('',#445828,10.); #141545=VECTOR('',#445829,10.); #141546=VECTOR('',#445830,10.); #141547=VECTOR('',#445833,10.); #141548=VECTOR('',#445834,10.); #141549=VECTOR('',#445835,10.); #141550=VECTOR('',#445838,10.); #141551=VECTOR('',#445839,10.); #141552=VECTOR('',#445840,10.); #141553=VECTOR('',#445843,10.); #141554=VECTOR('',#445844,10.); #141555=VECTOR('',#445845,10.); #141556=VECTOR('',#445848,10.); #141557=VECTOR('',#445849,10.); #141558=VECTOR('',#445850,10.); #141559=VECTOR('',#445853,10.); #141560=VECTOR('',#445854,10.); #141561=VECTOR('',#445855,10.); #141562=VECTOR('',#445858,10.); #141563=VECTOR('',#445859,10.); #141564=VECTOR('',#445860,10.); #141565=VECTOR('',#445863,10.); #141566=VECTOR('',#445864,10.); #141567=VECTOR('',#445865,10.); #141568=VECTOR('',#445868,10.); #141569=VECTOR('',#445869,10.); #141570=VECTOR('',#445870,10.); #141571=VECTOR('',#445873,10.); #141572=VECTOR('',#445874,10.); #141573=VECTOR('',#445875,10.); #141574=VECTOR('',#445878,10.); #141575=VECTOR('',#445879,10.); #141576=VECTOR('',#445880,10.); #141577=VECTOR('',#445883,10.); #141578=VECTOR('',#445884,10.); #141579=VECTOR('',#445885,10.); #141580=VECTOR('',#445888,10.); #141581=VECTOR('',#445889,10.); #141582=VECTOR('',#445890,10.); #141583=VECTOR('',#445893,10.); #141584=VECTOR('',#445894,10.); #141585=VECTOR('',#445895,10.); #141586=VECTOR('',#445898,10.); #141587=VECTOR('',#445899,10.); #141588=VECTOR('',#445900,10.); #141589=VECTOR('',#445903,10.); #141590=VECTOR('',#445904,10.); #141591=VECTOR('',#445905,10.); #141592=VECTOR('',#445908,10.); #141593=VECTOR('',#445909,10.); #141594=VECTOR('',#445910,10.); #141595=VECTOR('',#445913,10.); #141596=VECTOR('',#445914,10.); #141597=VECTOR('',#445915,10.); #141598=VECTOR('',#445918,10.); #141599=VECTOR('',#445919,10.); #141600=VECTOR('',#445920,10.); #141601=VECTOR('',#445923,10.); #141602=VECTOR('',#445924,10.); #141603=VECTOR('',#445925,10.); #141604=VECTOR('',#445928,10.); #141605=VECTOR('',#445929,10.); #141606=VECTOR('',#445930,10.); #141607=VECTOR('',#445933,10.); #141608=VECTOR('',#445934,10.); #141609=VECTOR('',#445935,10.); #141610=VECTOR('',#445938,10.); #141611=VECTOR('',#445939,10.); #141612=VECTOR('',#445940,10.); #141613=VECTOR('',#445943,10.); #141614=VECTOR('',#445944,10.); #141615=VECTOR('',#445945,10.); #141616=VECTOR('',#445948,10.); #141617=VECTOR('',#445949,10.); #141618=VECTOR('',#445950,10.); #141619=VECTOR('',#445953,10.); #141620=VECTOR('',#445954,10.); #141621=VECTOR('',#445955,10.); #141622=VECTOR('',#445958,10.); #141623=VECTOR('',#445959,10.); #141624=VECTOR('',#445960,10.); #141625=VECTOR('',#445963,10.); #141626=VECTOR('',#445964,10.); #141627=VECTOR('',#445965,10.); #141628=VECTOR('',#445968,10.); #141629=VECTOR('',#445969,10.); #141630=VECTOR('',#445978,0.514999999999999); #141631=VECTOR('',#445983,10.); #141632=VECTOR('',#445984,10.); #141633=VECTOR('',#445985,10.); #141634=VECTOR('',#445986,10.); #141635=VECTOR('',#445989,10.); #141636=VECTOR('',#445990,10.); #141637=VECTOR('',#445991,10.); #141638=VECTOR('',#445994,10.); #141639=VECTOR('',#445995,10.); #141640=VECTOR('',#445996,10.); #141641=VECTOR('',#445999,10.); #141642=VECTOR('',#446000,10.); #141643=VECTOR('',#446007,10.); #141644=VECTOR('',#446008,10.); #141645=VECTOR('',#446009,10.); #141646=VECTOR('',#446010,10.); #141647=VECTOR('',#446017,10.); #141648=VECTOR('',#446020,10.); #141649=VECTOR('',#446021,10.); #141650=VECTOR('',#446022,10.); #141651=VECTOR('',#446031,10.); #141652=VECTOR('',#446032,10.); #141653=VECTOR('',#446033,10.); #141654=VECTOR('',#446034,10.); #141655=VECTOR('',#446037,10.); #141656=VECTOR('',#446038,10.); #141657=VECTOR('',#446039,10.); #141658=VECTOR('',#446042,10.); #141659=VECTOR('',#446043,10.); #141660=VECTOR('',#446044,10.); #141661=VECTOR('',#446047,10.); #141662=VECTOR('',#446048,10.); #141663=VECTOR('',#446049,10.); #141664=VECTOR('',#446052,10.); #141665=VECTOR('',#446053,10.); #141666=VECTOR('',#446054,10.); #141667=VECTOR('',#446057,10.); #141668=VECTOR('',#446058,10.); #141669=VECTOR('',#446059,10.); #141670=VECTOR('',#446062,10.); #141671=VECTOR('',#446063,10.); #141672=VECTOR('',#446064,10.); #141673=VECTOR('',#446067,10.); #141674=VECTOR('',#446068,10.); #141675=VECTOR('',#446069,10.); #141676=VECTOR('',#446072,10.); #141677=VECTOR('',#446073,10.); #141678=VECTOR('',#446074,10.); #141679=VECTOR('',#446077,10.); #141680=VECTOR('',#446078,10.); #141681=VECTOR('',#446079,10.); #141682=VECTOR('',#446082,10.); #141683=VECTOR('',#446083,10.); #141684=VECTOR('',#446084,10.); #141685=VECTOR('',#446087,10.); #141686=VECTOR('',#446088,10.); #141687=VECTOR('',#446089,10.); #141688=VECTOR('',#446092,10.); #141689=VECTOR('',#446093,10.); #141690=VECTOR('',#446094,10.); #141691=VECTOR('',#446097,10.); #141692=VECTOR('',#446098,10.); #141693=VECTOR('',#446099,10.); #141694=VECTOR('',#446102,10.); #141695=VECTOR('',#446103,10.); #141696=VECTOR('',#446104,10.); #141697=VECTOR('',#446107,10.); #141698=VECTOR('',#446108,10.); #141699=VECTOR('',#446109,10.); #141700=VECTOR('',#446112,10.); #141701=VECTOR('',#446113,10.); #141702=VECTOR('',#446114,10.); #141703=VECTOR('',#446117,10.); #141704=VECTOR('',#446118,10.); #141705=VECTOR('',#446119,10.); #141706=VECTOR('',#446122,10.); #141707=VECTOR('',#446123,10.); #141708=VECTOR('',#446124,10.); #141709=VECTOR('',#446127,10.); #141710=VECTOR('',#446128,10.); #141711=VECTOR('',#446129,10.); #141712=VECTOR('',#446132,10.); #141713=VECTOR('',#446133,10.); #141714=VECTOR('',#446134,10.); #141715=VECTOR('',#446137,10.); #141716=VECTOR('',#446138,10.); #141717=VECTOR('',#446139,10.); #141718=VECTOR('',#446142,10.); #141719=VECTOR('',#446143,10.); #141720=VECTOR('',#446144,10.); #141721=VECTOR('',#446147,10.); #141722=VECTOR('',#446148,10.); #141723=VECTOR('',#446149,10.); #141724=VECTOR('',#446152,10.); #141725=VECTOR('',#446153,10.); #141726=VECTOR('',#446154,10.); #141727=VECTOR('',#446157,10.); #141728=VECTOR('',#446158,10.); #141729=VECTOR('',#446159,10.); #141730=VECTOR('',#446162,10.); #141731=VECTOR('',#446163,10.); #141732=VECTOR('',#446164,10.); #141733=VECTOR('',#446167,10.); #141734=VECTOR('',#446168,10.); #141735=VECTOR('',#446169,10.); #141736=VECTOR('',#446172,10.); #141737=VECTOR('',#446173,10.); #141738=VECTOR('',#446174,10.); #141739=VECTOR('',#446177,10.); #141740=VECTOR('',#446178,10.); #141741=VECTOR('',#446179,10.); #141742=VECTOR('',#446182,10.); #141743=VECTOR('',#446183,10.); #141744=VECTOR('',#446184,10.); #141745=VECTOR('',#446187,10.); #141746=VECTOR('',#446188,10.); #141747=VECTOR('',#446189,10.); #141748=VECTOR('',#446192,10.); #141749=VECTOR('',#446193,10.); #141750=VECTOR('',#446194,10.); #141751=VECTOR('',#446197,10.); #141752=VECTOR('',#446198,10.); #141753=VECTOR('',#446199,10.); #141754=VECTOR('',#446202,10.); #141755=VECTOR('',#446203,10.); #141756=VECTOR('',#446204,10.); #141757=VECTOR('',#446207,10.); #141758=VECTOR('',#446208,10.); #141759=VECTOR('',#446209,10.); #141760=VECTOR('',#446212,10.); #141761=VECTOR('',#446213,10.); #141762=VECTOR('',#446214,10.); #141763=VECTOR('',#446217,10.); #141764=VECTOR('',#446218,10.); #141765=VECTOR('',#446219,10.); #141766=VECTOR('',#446222,10.); #141767=VECTOR('',#446223,10.); #141768=VECTOR('',#446224,10.); #141769=VECTOR('',#446227,10.); #141770=VECTOR('',#446228,10.); #141771=VECTOR('',#446229,10.); #141772=VECTOR('',#446232,10.); #141773=VECTOR('',#446233,10.); #141774=VECTOR('',#446234,10.); #141775=VECTOR('',#446237,10.); #141776=VECTOR('',#446238,10.); #141777=VECTOR('',#446239,10.); #141778=VECTOR('',#446242,10.); #141779=VECTOR('',#446243,10.); #141780=VECTOR('',#446244,10.); #141781=VECTOR('',#446247,10.); #141782=VECTOR('',#446248,10.); #141783=VECTOR('',#446249,10.); #141784=VECTOR('',#446252,10.); #141785=VECTOR('',#446253,10.); #141786=VECTOR('',#446254,10.); #141787=VECTOR('',#446257,10.); #141788=VECTOR('',#446258,10.); #141789=VECTOR('',#446259,10.); #141790=VECTOR('',#446262,10.); #141791=VECTOR('',#446263,10.); #141792=VECTOR('',#446264,10.); #141793=VECTOR('',#446267,10.); #141794=VECTOR('',#446268,10.); #141795=VECTOR('',#446269,10.); #141796=VECTOR('',#446272,10.); #141797=VECTOR('',#446273,10.); #141798=VECTOR('',#446274,10.); #141799=VECTOR('',#446277,10.); #141800=VECTOR('',#446278,10.); #141801=VECTOR('',#446279,10.); #141802=VECTOR('',#446282,10.); #141803=VECTOR('',#446283,10.); #141804=VECTOR('',#446284,10.); #141805=VECTOR('',#446287,10.); #141806=VECTOR('',#446288,10.); #141807=VECTOR('',#446289,10.); #141808=VECTOR('',#446292,10.); #141809=VECTOR('',#446293,10.); #141810=VECTOR('',#446294,10.); #141811=VECTOR('',#446297,10.); #141812=VECTOR('',#446298,10.); #141813=VECTOR('',#446299,10.); #141814=VECTOR('',#446302,10.); #141815=VECTOR('',#446303,10.); #141816=VECTOR('',#446304,10.); #141817=VECTOR('',#446307,10.); #141818=VECTOR('',#446308,10.); #141819=VECTOR('',#446315,10.); #141820=VECTOR('',#446316,10.); #141821=VECTOR('',#446317,10.); #141822=VECTOR('',#446318,10.); #141823=VECTOR('',#446325,10.); #141824=VECTOR('',#446328,10.); #141825=VECTOR('',#446329,10.); #141826=VECTOR('',#446330,10.); #141827=VECTOR('',#446339,10.); #141828=VECTOR('',#446340,10.); #141829=VECTOR('',#446341,10.); #141830=VECTOR('',#446342,10.); #141831=VECTOR('',#446345,10.); #141832=VECTOR('',#446346,10.); #141833=VECTOR('',#446347,10.); #141834=VECTOR('',#446350,10.); #141835=VECTOR('',#446351,10.); #141836=VECTOR('',#446352,10.); #141837=VECTOR('',#446355,10.); #141838=VECTOR('',#446356,10.); #141839=VECTOR('',#446357,10.); #141840=VECTOR('',#446360,10.); #141841=VECTOR('',#446361,10.); #141842=VECTOR('',#446362,10.); #141843=VECTOR('',#446365,10.); #141844=VECTOR('',#446366,10.); #141845=VECTOR('',#446367,10.); #141846=VECTOR('',#446370,10.); #141847=VECTOR('',#446371,10.); #141848=VECTOR('',#446372,10.); #141849=VECTOR('',#446375,10.); #141850=VECTOR('',#446376,10.); #141851=VECTOR('',#446377,10.); #141852=VECTOR('',#446380,10.); #141853=VECTOR('',#446381,10.); #141854=VECTOR('',#446382,10.); #141855=VECTOR('',#446385,10.); #141856=VECTOR('',#446386,10.); #141857=VECTOR('',#446387,10.); #141858=VECTOR('',#446390,10.); #141859=VECTOR('',#446391,10.); #141860=VECTOR('',#446392,10.); #141861=VECTOR('',#446395,10.); #141862=VECTOR('',#446396,10.); #141863=VECTOR('',#446397,10.); #141864=VECTOR('',#446400,10.); #141865=VECTOR('',#446401,10.); #141866=VECTOR('',#446402,10.); #141867=VECTOR('',#446405,10.); #141868=VECTOR('',#446406,10.); #141869=VECTOR('',#446407,10.); #141870=VECTOR('',#446410,10.); #141871=VECTOR('',#446411,10.); #141872=VECTOR('',#446412,10.); #141873=VECTOR('',#446415,10.); #141874=VECTOR('',#446416,10.); #141875=VECTOR('',#446417,10.); #141876=VECTOR('',#446420,10.); #141877=VECTOR('',#446421,10.); #141878=VECTOR('',#446422,10.); #141879=VECTOR('',#446425,10.); #141880=VECTOR('',#446426,10.); #141881=VECTOR('',#446427,10.); #141882=VECTOR('',#446430,10.); #141883=VECTOR('',#446431,10.); #141884=VECTOR('',#446432,10.); #141885=VECTOR('',#446435,10.); #141886=VECTOR('',#446436,10.); #141887=VECTOR('',#446437,10.); #141888=VECTOR('',#446440,10.); #141889=VECTOR('',#446441,10.); #141890=VECTOR('',#446442,10.); #141891=VECTOR('',#446445,10.); #141892=VECTOR('',#446446,10.); #141893=VECTOR('',#446447,10.); #141894=VECTOR('',#446450,10.); #141895=VECTOR('',#446451,10.); #141896=VECTOR('',#446452,10.); #141897=VECTOR('',#446455,10.); #141898=VECTOR('',#446456,10.); #141899=VECTOR('',#446457,10.); #141900=VECTOR('',#446460,10.); #141901=VECTOR('',#446461,10.); #141902=VECTOR('',#446462,10.); #141903=VECTOR('',#446465,10.); #141904=VECTOR('',#446466,10.); #141905=VECTOR('',#446467,10.); #141906=VECTOR('',#446470,10.); #141907=VECTOR('',#446471,10.); #141908=VECTOR('',#446472,10.); #141909=VECTOR('',#446475,10.); #141910=VECTOR('',#446476,10.); #141911=VECTOR('',#446477,10.); #141912=VECTOR('',#446480,10.); #141913=VECTOR('',#446481,10.); #141914=VECTOR('',#446482,10.); #141915=VECTOR('',#446485,10.); #141916=VECTOR('',#446486,10.); #141917=VECTOR('',#446487,10.); #141918=VECTOR('',#446490,10.); #141919=VECTOR('',#446491,10.); #141920=VECTOR('',#446492,10.); #141921=VECTOR('',#446495,10.); #141922=VECTOR('',#446496,10.); #141923=VECTOR('',#446497,10.); #141924=VECTOR('',#446500,10.); #141925=VECTOR('',#446501,10.); #141926=VECTOR('',#446502,10.); #141927=VECTOR('',#446505,10.); #141928=VECTOR('',#446506,10.); #141929=VECTOR('',#446507,10.); #141930=VECTOR('',#446510,10.); #141931=VECTOR('',#446511,10.); #141932=VECTOR('',#446512,10.); #141933=VECTOR('',#446515,10.); #141934=VECTOR('',#446516,10.); #141935=VECTOR('',#446517,10.); #141936=VECTOR('',#446520,10.); #141937=VECTOR('',#446521,10.); #141938=VECTOR('',#446522,10.); #141939=VECTOR('',#446525,10.); #141940=VECTOR('',#446526,10.); #141941=VECTOR('',#446527,10.); #141942=VECTOR('',#446530,10.); #141943=VECTOR('',#446531,10.); #141944=VECTOR('',#446532,10.); #141945=VECTOR('',#446535,10.); #141946=VECTOR('',#446536,10.); #141947=VECTOR('',#446537,10.); #141948=VECTOR('',#446540,10.); #141949=VECTOR('',#446541,10.); #141950=VECTOR('',#446542,10.); #141951=VECTOR('',#446545,10.); #141952=VECTOR('',#446546,10.); #141953=VECTOR('',#446547,10.); #141954=VECTOR('',#446550,10.); #141955=VECTOR('',#446551,10.); #141956=VECTOR('',#446552,10.); #141957=VECTOR('',#446555,10.); #141958=VECTOR('',#446556,10.); #141959=VECTOR('',#446557,10.); #141960=VECTOR('',#446560,10.); #141961=VECTOR('',#446561,10.); #141962=VECTOR('',#446562,10.); #141963=VECTOR('',#446565,10.); #141964=VECTOR('',#446566,10.); #141965=VECTOR('',#446567,10.); #141966=VECTOR('',#446570,10.); #141967=VECTOR('',#446571,10.); #141968=VECTOR('',#446572,10.); #141969=VECTOR('',#446575,10.); #141970=VECTOR('',#446576,10.); #141971=VECTOR('',#446577,10.); #141972=VECTOR('',#446580,10.); #141973=VECTOR('',#446581,10.); #141974=VECTOR('',#446582,10.); #141975=VECTOR('',#446585,10.); #141976=VECTOR('',#446586,10.); #141977=VECTOR('',#446587,10.); #141978=VECTOR('',#446590,10.); #141979=VECTOR('',#446591,10.); #141980=VECTOR('',#446592,10.); #141981=VECTOR('',#446595,10.); #141982=VECTOR('',#446596,10.); #141983=VECTOR('',#446597,10.); #141984=VECTOR('',#446600,10.); #141985=VECTOR('',#446601,10.); #141986=VECTOR('',#446602,10.); #141987=VECTOR('',#446605,10.); #141988=VECTOR('',#446606,10.); #141989=VECTOR('',#446607,10.); #141990=VECTOR('',#446610,10.); #141991=VECTOR('',#446611,10.); #141992=VECTOR('',#446612,10.); #141993=VECTOR('',#446615,10.); #141994=VECTOR('',#446616,10.); #141995=VECTOR('',#446617,10.); #141996=VECTOR('',#446620,10.); #141997=VECTOR('',#446621,10.); #141998=VECTOR('',#446622,10.); #141999=VECTOR('',#446625,10.); #142000=VECTOR('',#446626,10.); #142001=VECTOR('',#446627,10.); #142002=VECTOR('',#446630,10.); #142003=VECTOR('',#446631,10.); #142004=VECTOR('',#446632,10.); #142005=VECTOR('',#446635,10.); #142006=VECTOR('',#446636,10.); #142007=VECTOR('',#446637,10.); #142008=VECTOR('',#446640,10.); #142009=VECTOR('',#446641,10.); #142010=VECTOR('',#446642,10.); #142011=VECTOR('',#446645,10.); #142012=VECTOR('',#446646,10.); #142013=VECTOR('',#446647,10.); #142014=VECTOR('',#446650,10.); #142015=VECTOR('',#446651,10.); #142016=VECTOR('',#446652,10.); #142017=VECTOR('',#446655,10.); #142018=VECTOR('',#446656,10.); #142019=VECTOR('',#446657,10.); #142020=VECTOR('',#446660,10.); #142021=VECTOR('',#446661,10.); #142022=VECTOR('',#446662,10.); #142023=VECTOR('',#446665,10.); #142024=VECTOR('',#446666,10.); #142025=VECTOR('',#446667,10.); #142026=VECTOR('',#446670,10.); #142027=VECTOR('',#446671,10.); #142028=VECTOR('',#446672,10.); #142029=VECTOR('',#446675,10.); #142030=VECTOR('',#446676,10.); #142031=VECTOR('',#446677,10.); #142032=VECTOR('',#446680,10.); #142033=VECTOR('',#446681,10.); #142034=VECTOR('',#446682,10.); #142035=VECTOR('',#446685,10.); #142036=VECTOR('',#446686,10.); #142037=VECTOR('',#446687,10.); #142038=VECTOR('',#446690,10.); #142039=VECTOR('',#446691,10.); #142040=VECTOR('',#446692,10.); #142041=VECTOR('',#446695,10.); #142042=VECTOR('',#446696,10.); #142043=VECTOR('',#446705,0.465); #142044=VECTOR('',#446710,10.); #142045=VECTOR('',#446711,10.); #142046=VECTOR('',#446712,10.); #142047=VECTOR('',#446713,10.); #142048=VECTOR('',#446720,10.); #142049=VECTOR('',#446735,0.514999999999999); #142050=VECTOR('',#446740,10.); #142051=VECTOR('',#446741,10.); #142052=VECTOR('',#446742,10.); #142053=VECTOR('',#446743,10.); #142054=VECTOR('',#446750,10.); #142055=VECTOR('',#446763,10.); #142056=VECTOR('',#446764,10.); #142057=VECTOR('',#446765,10.); #142058=VECTOR('',#446766,10.); #142059=VECTOR('',#446773,10.); #142060=VECTOR('',#446776,10.); #142061=VECTOR('',#446777,10.); #142062=VECTOR('',#446778,10.); #142063=VECTOR('',#446787,10.); #142064=VECTOR('',#446788,10.); #142065=VECTOR('',#446789,10.); #142066=VECTOR('',#446790,10.); #142067=VECTOR('',#446793,10.); #142068=VECTOR('',#446794,10.); #142069=VECTOR('',#446795,10.); #142070=VECTOR('',#446798,10.); #142071=VECTOR('',#446799,10.); #142072=VECTOR('',#446800,10.); #142073=VECTOR('',#446803,10.); #142074=VECTOR('',#446804,10.); #142075=VECTOR('',#446805,10.); #142076=VECTOR('',#446808,10.); #142077=VECTOR('',#446809,10.); #142078=VECTOR('',#446810,10.); #142079=VECTOR('',#446813,10.); #142080=VECTOR('',#446814,10.); #142081=VECTOR('',#446815,10.); #142082=VECTOR('',#446818,10.); #142083=VECTOR('',#446819,10.); #142084=VECTOR('',#446820,10.); #142085=VECTOR('',#446823,10.); #142086=VECTOR('',#446824,10.); #142087=VECTOR('',#446825,10.); #142088=VECTOR('',#446828,10.); #142089=VECTOR('',#446829,10.); #142090=VECTOR('',#446830,10.); #142091=VECTOR('',#446833,10.); #142092=VECTOR('',#446834,10.); #142093=VECTOR('',#446835,10.); #142094=VECTOR('',#446838,10.); #142095=VECTOR('',#446839,10.); #142096=VECTOR('',#446840,10.); #142097=VECTOR('',#446843,10.); #142098=VECTOR('',#446844,10.); #142099=VECTOR('',#446845,10.); #142100=VECTOR('',#446848,10.); #142101=VECTOR('',#446849,10.); #142102=VECTOR('',#446850,10.); #142103=VECTOR('',#446853,10.); #142104=VECTOR('',#446854,10.); #142105=VECTOR('',#446855,10.); #142106=VECTOR('',#446858,10.); #142107=VECTOR('',#446859,10.); #142108=VECTOR('',#446860,10.); #142109=VECTOR('',#446863,10.); #142110=VECTOR('',#446864,10.); #142111=VECTOR('',#446865,10.); #142112=VECTOR('',#446868,10.); #142113=VECTOR('',#446869,10.); #142114=VECTOR('',#446870,10.); #142115=VECTOR('',#446873,10.); #142116=VECTOR('',#446874,10.); #142117=VECTOR('',#446875,10.); #142118=VECTOR('',#446878,10.); #142119=VECTOR('',#446879,10.); #142120=VECTOR('',#446880,10.); #142121=VECTOR('',#446883,10.); #142122=VECTOR('',#446884,10.); #142123=VECTOR('',#446885,10.); #142124=VECTOR('',#446888,10.); #142125=VECTOR('',#446889,10.); #142126=VECTOR('',#446890,10.); #142127=VECTOR('',#446893,10.); #142128=VECTOR('',#446894,10.); #142129=VECTOR('',#446895,10.); #142130=VECTOR('',#446898,10.); #142131=VECTOR('',#446899,10.); #142132=VECTOR('',#446900,10.); #142133=VECTOR('',#446903,10.); #142134=VECTOR('',#446904,10.); #142135=VECTOR('',#446905,10.); #142136=VECTOR('',#446908,10.); #142137=VECTOR('',#446909,10.); #142138=VECTOR('',#446910,10.); #142139=VECTOR('',#446913,10.); #142140=VECTOR('',#446914,10.); #142141=VECTOR('',#446915,10.); #142142=VECTOR('',#446918,10.); #142143=VECTOR('',#446919,10.); #142144=VECTOR('',#446920,10.); #142145=VECTOR('',#446923,10.); #142146=VECTOR('',#446924,10.); #142147=VECTOR('',#446925,10.); #142148=VECTOR('',#446928,10.); #142149=VECTOR('',#446929,10.); #142150=VECTOR('',#446930,10.); #142151=VECTOR('',#446933,10.); #142152=VECTOR('',#446934,10.); #142153=VECTOR('',#446935,10.); #142154=VECTOR('',#446938,10.); #142155=VECTOR('',#446939,10.); #142156=VECTOR('',#446940,10.); #142157=VECTOR('',#446943,10.); #142158=VECTOR('',#446944,10.); #142159=VECTOR('',#446945,10.); #142160=VECTOR('',#446948,10.); #142161=VECTOR('',#446949,10.); #142162=VECTOR('',#446950,10.); #142163=VECTOR('',#446953,10.); #142164=VECTOR('',#446954,10.); #142165=VECTOR('',#446955,10.); #142166=VECTOR('',#446958,10.); #142167=VECTOR('',#446959,10.); #142168=VECTOR('',#446960,10.); #142169=VECTOR('',#446963,10.); #142170=VECTOR('',#446964,10.); #142171=VECTOR('',#446965,10.); #142172=VECTOR('',#446968,10.); #142173=VECTOR('',#446969,10.); #142174=VECTOR('',#446970,10.); #142175=VECTOR('',#446973,10.); #142176=VECTOR('',#446974,10.); #142177=VECTOR('',#446975,10.); #142178=VECTOR('',#446978,10.); #142179=VECTOR('',#446979,10.); #142180=VECTOR('',#446980,10.); #142181=VECTOR('',#446983,10.); #142182=VECTOR('',#446984,10.); #142183=VECTOR('',#446985,10.); #142184=VECTOR('',#446988,10.); #142185=VECTOR('',#446989,10.); #142186=VECTOR('',#446990,10.); #142187=VECTOR('',#446993,10.); #142188=VECTOR('',#446994,10.); #142189=VECTOR('',#446995,10.); #142190=VECTOR('',#446998,10.); #142191=VECTOR('',#446999,10.); #142192=VECTOR('',#447000,10.); #142193=VECTOR('',#447003,10.); #142194=VECTOR('',#447004,10.); #142195=VECTOR('',#447005,10.); #142196=VECTOR('',#447008,10.); #142197=VECTOR('',#447009,10.); #142198=VECTOR('',#447010,10.); #142199=VECTOR('',#447013,10.); #142200=VECTOR('',#447014,10.); #142201=VECTOR('',#447015,10.); #142202=VECTOR('',#447018,10.); #142203=VECTOR('',#447019,10.); #142204=VECTOR('',#447020,10.); #142205=VECTOR('',#447023,10.); #142206=VECTOR('',#447024,10.); #142207=VECTOR('',#447025,10.); #142208=VECTOR('',#447028,10.); #142209=VECTOR('',#447029,10.); #142210=VECTOR('',#447030,10.); #142211=VECTOR('',#447033,10.); #142212=VECTOR('',#447034,10.); #142213=VECTOR('',#447035,10.); #142214=VECTOR('',#447038,10.); #142215=VECTOR('',#447039,10.); #142216=VECTOR('',#447040,10.); #142217=VECTOR('',#447043,10.); #142218=VECTOR('',#447044,10.); #142219=VECTOR('',#447045,10.); #142220=VECTOR('',#447048,10.); #142221=VECTOR('',#447049,10.); #142222=VECTOR('',#447050,10.); #142223=VECTOR('',#447053,10.); #142224=VECTOR('',#447054,10.); #142225=VECTOR('',#447055,10.); #142226=VECTOR('',#447058,10.); #142227=VECTOR('',#447059,10.); #142228=VECTOR('',#447060,10.); #142229=VECTOR('',#447063,10.); #142230=VECTOR('',#447064,10.); #142231=VECTOR('',#447073,0.465); #142232=VECTOR('',#447078,10.); #142233=VECTOR('',#447079,10.); #142234=VECTOR('',#447080,10.); #142235=VECTOR('',#447081,10.); #142236=VECTOR('',#447088,10.); #142237=VECTOR('',#447103,0.465); #142238=VECTOR('',#447108,10.); #142239=VECTOR('',#447109,10.); #142240=VECTOR('',#447110,10.); #142241=VECTOR('',#447111,10.); #142242=VECTOR('',#447118,10.); #142243=VECTOR('',#447133,0.465); #142244=VECTOR('',#447138,10.); #142245=VECTOR('',#447139,10.); #142246=VECTOR('',#447140,10.); #142247=VECTOR('',#447141,10.); #142248=VECTOR('',#447148,10.); #142249=VECTOR('',#447163,0.564999999999998); #142250=VECTOR('',#447168,10.); #142251=VECTOR('',#447169,10.); #142252=VECTOR('',#447170,10.); #142253=VECTOR('',#447171,10.); #142254=VECTOR('',#447174,10.); #142255=VECTOR('',#447175,10.); #142256=VECTOR('',#447176,10.); #142257=VECTOR('',#447179,10.); #142258=VECTOR('',#447180,10.); #142259=VECTOR('',#447181,10.); #142260=VECTOR('',#447184,10.); #142261=VECTOR('',#447185,10.); #142262=VECTOR('',#447194,0.465); #142263=VECTOR('',#447199,10.); #142264=VECTOR('',#447200,10.); #142265=VECTOR('',#447201,10.); #142266=VECTOR('',#447202,10.); #142267=VECTOR('',#447209,10.); #142268=VECTOR('',#447222,10.); #142269=VECTOR('',#447223,10.); #142270=VECTOR('',#447224,10.); #142271=VECTOR('',#447225,10.); #142272=VECTOR('',#447228,10.); #142273=VECTOR('',#447229,10.); #142274=VECTOR('',#447230,10.); #142275=VECTOR('',#447233,10.); #142276=VECTOR('',#447234,10.); #142277=VECTOR('',#447235,10.); #142278=VECTOR('',#447238,10.); #142279=VECTOR('',#447239,10.); #142280=VECTOR('',#447246,10.); #142281=VECTOR('',#447247,10.); #142282=VECTOR('',#447248,10.); #142283=VECTOR('',#447249,10.); #142284=VECTOR('',#447252,10.); #142285=VECTOR('',#447253,10.); #142286=VECTOR('',#447254,10.); #142287=VECTOR('',#447257,10.); #142288=VECTOR('',#447258,10.); #142289=VECTOR('',#447259,10.); #142290=VECTOR('',#447262,10.); #142291=VECTOR('',#447263,10.); #142292=VECTOR('',#447270,10.); #142293=VECTOR('',#447271,10.); #142294=VECTOR('',#447272,10.); #142295=VECTOR('',#447273,10.); #142296=VECTOR('',#447276,10.); #142297=VECTOR('',#447277,10.); #142298=VECTOR('',#447278,10.); #142299=VECTOR('',#447281,10.); #142300=VECTOR('',#447282,10.); #142301=VECTOR('',#447283,10.); #142302=VECTOR('',#447286,10.); #142303=VECTOR('',#447287,10.); #142304=VECTOR('',#447294,10.); #142305=VECTOR('',#447295,10.); #142306=VECTOR('',#447296,10.); #142307=VECTOR('',#447297,10.); #142308=VECTOR('',#447300,10.); #142309=VECTOR('',#447301,10.); #142310=VECTOR('',#447302,10.); #142311=VECTOR('',#447305,10.); #142312=VECTOR('',#447306,10.); #142313=VECTOR('',#447307,10.); #142314=VECTOR('',#447310,10.); #142315=VECTOR('',#447311,10.); #142316=VECTOR('',#447318,10.); #142317=VECTOR('',#447319,10.); #142318=VECTOR('',#447320,10.); #142319=VECTOR('',#447321,10.); #142320=VECTOR('',#447324,10.); #142321=VECTOR('',#447325,10.); #142322=VECTOR('',#447326,10.); #142323=VECTOR('',#447329,10.); #142324=VECTOR('',#447330,10.); #142325=VECTOR('',#447331,10.); #142326=VECTOR('',#447334,10.); #142327=VECTOR('',#447335,10.); #142328=VECTOR('',#447342,10.); #142329=VECTOR('',#447343,10.); #142330=VECTOR('',#447344,10.); #142331=VECTOR('',#447345,10.); #142332=VECTOR('',#447348,10.); #142333=VECTOR('',#447349,10.); #142334=VECTOR('',#447350,10.); #142335=VECTOR('',#447353,10.); #142336=VECTOR('',#447354,10.); #142337=VECTOR('',#447355,10.); #142338=VECTOR('',#447358,10.); #142339=VECTOR('',#447359,10.); #142340=VECTOR('',#447366,10.); #142341=VECTOR('',#447367,10.); #142342=VECTOR('',#447368,10.); #142343=VECTOR('',#447369,10.); #142344=VECTOR('',#447372,10.); #142345=VECTOR('',#447373,10.); #142346=VECTOR('',#447374,10.); #142347=VECTOR('',#447377,10.); #142348=VECTOR('',#447378,10.); #142349=VECTOR('',#447379,10.); #142350=VECTOR('',#447382,10.); #142351=VECTOR('',#447383,10.); #142352=VECTOR('',#447390,10.); #142353=VECTOR('',#447391,10.); #142354=VECTOR('',#447392,10.); #142355=VECTOR('',#447393,10.); #142356=VECTOR('',#447396,10.); #142357=VECTOR('',#447397,10.); #142358=VECTOR('',#447398,10.); #142359=VECTOR('',#447401,10.); #142360=VECTOR('',#447402,10.); #142361=VECTOR('',#447403,10.); #142362=VECTOR('',#447406,10.); #142363=VECTOR('',#447407,10.); #142364=VECTOR('',#447414,10.); #142365=VECTOR('',#447415,10.); #142366=VECTOR('',#447416,10.); #142367=VECTOR('',#447417,10.); #142368=VECTOR('',#447420,10.); #142369=VECTOR('',#447421,10.); #142370=VECTOR('',#447422,10.); #142371=VECTOR('',#447425,10.); #142372=VECTOR('',#447426,10.); #142373=VECTOR('',#447427,10.); #142374=VECTOR('',#447430,10.); #142375=VECTOR('',#447431,10.); #142376=VECTOR('',#447438,10.); #142377=VECTOR('',#447439,10.); #142378=VECTOR('',#447440,10.); #142379=VECTOR('',#447441,10.); #142380=VECTOR('',#447444,10.); #142381=VECTOR('',#447445,10.); #142382=VECTOR('',#447446,10.); #142383=VECTOR('',#447449,10.); #142384=VECTOR('',#447450,10.); #142385=VECTOR('',#447451,10.); #142386=VECTOR('',#447454,10.); #142387=VECTOR('',#447455,10.); #142388=VECTOR('',#447462,10.); #142389=VECTOR('',#447463,10.); #142390=VECTOR('',#447464,10.); #142391=VECTOR('',#447465,10.); #142392=VECTOR('',#447468,10.); #142393=VECTOR('',#447469,10.); #142394=VECTOR('',#447470,10.); #142395=VECTOR('',#447473,10.); #142396=VECTOR('',#447474,10.); #142397=VECTOR('',#447475,10.); #142398=VECTOR('',#447478,10.); #142399=VECTOR('',#447479,10.); #142400=VECTOR('',#447486,10.); #142401=VECTOR('',#447487,10.); #142402=VECTOR('',#447488,10.); #142403=VECTOR('',#447489,10.); #142404=VECTOR('',#447492,10.); #142405=VECTOR('',#447493,10.); #142406=VECTOR('',#447494,10.); #142407=VECTOR('',#447497,10.); #142408=VECTOR('',#447498,10.); #142409=VECTOR('',#447499,10.); #142410=VECTOR('',#447502,10.); #142411=VECTOR('',#447503,10.); #142412=VECTOR('',#447510,10.); #142413=VECTOR('',#447511,10.); #142414=VECTOR('',#447512,10.); #142415=VECTOR('',#447513,10.); #142416=VECTOR('',#447516,10.); #142417=VECTOR('',#447517,10.); #142418=VECTOR('',#447518,10.); #142419=VECTOR('',#447521,10.); #142420=VECTOR('',#447522,10.); #142421=VECTOR('',#447523,10.); #142422=VECTOR('',#447526,10.); #142423=VECTOR('',#447527,10.); #142424=VECTOR('',#447534,10.); #142425=VECTOR('',#447535,10.); #142426=VECTOR('',#447536,10.); #142427=VECTOR('',#447537,10.); #142428=VECTOR('',#447540,10.); #142429=VECTOR('',#447541,10.); #142430=VECTOR('',#447542,10.); #142431=VECTOR('',#447545,10.); #142432=VECTOR('',#447546,10.); #142433=VECTOR('',#447547,10.); #142434=VECTOR('',#447550,10.); #142435=VECTOR('',#447551,10.); #142436=VECTOR('',#447558,10.); #142437=VECTOR('',#447559,10.); #142438=VECTOR('',#447560,10.); #142439=VECTOR('',#447561,10.); #142440=VECTOR('',#447564,10.); #142441=VECTOR('',#447565,10.); #142442=VECTOR('',#447566,10.); #142443=VECTOR('',#447569,10.); #142444=VECTOR('',#447570,10.); #142445=VECTOR('',#447571,10.); #142446=VECTOR('',#447574,10.); #142447=VECTOR('',#447575,10.); #142448=VECTOR('',#447582,10.); #142449=VECTOR('',#447583,10.); #142450=VECTOR('',#447584,10.); #142451=VECTOR('',#447585,10.); #142452=VECTOR('',#447588,10.); #142453=VECTOR('',#447589,10.); #142454=VECTOR('',#447590,10.); #142455=VECTOR('',#447593,10.); #142456=VECTOR('',#447594,10.); #142457=VECTOR('',#447595,10.); #142458=VECTOR('',#447598,10.); #142459=VECTOR('',#447599,10.); #142460=VECTOR('',#447606,10.); #142461=VECTOR('',#447607,10.); #142462=VECTOR('',#447608,10.); #142463=VECTOR('',#447609,10.); #142464=VECTOR('',#447612,10.); #142465=VECTOR('',#447613,10.); #142466=VECTOR('',#447614,10.); #142467=VECTOR('',#447617,10.); #142468=VECTOR('',#447618,10.); #142469=VECTOR('',#447619,10.); #142470=VECTOR('',#447622,10.); #142471=VECTOR('',#447623,10.); #142472=VECTOR('',#447630,10.); #142473=VECTOR('',#447631,10.); #142474=VECTOR('',#447632,10.); #142475=VECTOR('',#447633,10.); #142476=VECTOR('',#447636,10.); #142477=VECTOR('',#447637,10.); #142478=VECTOR('',#447638,10.); #142479=VECTOR('',#447641,10.); #142480=VECTOR('',#447642,10.); #142481=VECTOR('',#447643,10.); #142482=VECTOR('',#447646,10.); #142483=VECTOR('',#447647,10.); #142484=VECTOR('',#447654,10.); #142485=VECTOR('',#447655,10.); #142486=VECTOR('',#447656,10.); #142487=VECTOR('',#447657,10.); #142488=VECTOR('',#447660,10.); #142489=VECTOR('',#447661,10.); #142490=VECTOR('',#447662,10.); #142491=VECTOR('',#447665,10.); #142492=VECTOR('',#447666,10.); #142493=VECTOR('',#447667,10.); #142494=VECTOR('',#447670,10.); #142495=VECTOR('',#447671,10.); #142496=VECTOR('',#447678,10.); #142497=VECTOR('',#447679,10.); #142498=VECTOR('',#447680,10.); #142499=VECTOR('',#447681,10.); #142500=VECTOR('',#447684,10.); #142501=VECTOR('',#447685,10.); #142502=VECTOR('',#447686,10.); #142503=VECTOR('',#447689,10.); #142504=VECTOR('',#447690,10.); #142505=VECTOR('',#447691,10.); #142506=VECTOR('',#447694,10.); #142507=VECTOR('',#447695,10.); #142508=VECTOR('',#447702,10.); #142509=VECTOR('',#447703,10.); #142510=VECTOR('',#447704,10.); #142511=VECTOR('',#447705,10.); #142512=VECTOR('',#447708,10.); #142513=VECTOR('',#447709,10.); #142514=VECTOR('',#447710,10.); #142515=VECTOR('',#447713,10.); #142516=VECTOR('',#447714,10.); #142517=VECTOR('',#447715,10.); #142518=VECTOR('',#447718,10.); #142519=VECTOR('',#447719,10.); #142520=VECTOR('',#447726,10.); #142521=VECTOR('',#447727,10.); #142522=VECTOR('',#447728,10.); #142523=VECTOR('',#447729,10.); #142524=VECTOR('',#447732,10.); #142525=VECTOR('',#447733,10.); #142526=VECTOR('',#447734,10.); #142527=VECTOR('',#447737,10.); #142528=VECTOR('',#447738,10.); #142529=VECTOR('',#447739,10.); #142530=VECTOR('',#447742,10.); #142531=VECTOR('',#447743,10.); #142532=VECTOR('',#447750,10.); #142533=VECTOR('',#447751,10.); #142534=VECTOR('',#447752,10.); #142535=VECTOR('',#447753,10.); #142536=VECTOR('',#447756,10.); #142537=VECTOR('',#447757,10.); #142538=VECTOR('',#447758,10.); #142539=VECTOR('',#447761,10.); #142540=VECTOR('',#447762,10.); #142541=VECTOR('',#447763,10.); #142542=VECTOR('',#447766,10.); #142543=VECTOR('',#447767,10.); #142544=VECTOR('',#447776,0.114999999999998); #142545=VECTOR('',#447783,0.114999999999998); #142546=VECTOR('',#447788,10.); #142547=VECTOR('',#447789,10.); #142548=VECTOR('',#447790,10.); #142549=VECTOR('',#447791,10.); #142550=VECTOR('',#447794,10.); #142551=VECTOR('',#447795,10.); #142552=VECTOR('',#447796,10.); #142553=VECTOR('',#447799,10.); #142554=VECTOR('',#447800,10.); #142555=VECTOR('',#447801,10.); #142556=VECTOR('',#447804,10.); #142557=VECTOR('',#447805,10.); #142558=VECTOR('',#447812,10.); #142559=VECTOR('',#447813,10.); #142560=VECTOR('',#447814,10.); #142561=VECTOR('',#447815,10.); #142562=VECTOR('',#447818,10.); #142563=VECTOR('',#447819,10.); #142564=VECTOR('',#447820,10.); #142565=VECTOR('',#447823,10.); #142566=VECTOR('',#447824,10.); #142567=VECTOR('',#447825,10.); #142568=VECTOR('',#447828,10.); #142569=VECTOR('',#447829,10.); #142570=VECTOR('',#447838,0.114999999999998); #142571=VECTOR('',#447845,0.114999999999998); #142572=VECTOR('',#447850,10.); #142573=VECTOR('',#447851,10.); #142574=VECTOR('',#447852,10.); #142575=VECTOR('',#447853,10.); #142576=VECTOR('',#447856,10.); #142577=VECTOR('',#447857,10.); #142578=VECTOR('',#447858,10.); #142579=VECTOR('',#447861,10.); #142580=VECTOR('',#447862,10.); #142581=VECTOR('',#447863,10.); #142582=VECTOR('',#447866,10.); #142583=VECTOR('',#447867,10.); #142584=VECTOR('',#447874,10.); #142585=VECTOR('',#447875,10.); #142586=VECTOR('',#447876,10.); #142587=VECTOR('',#447877,10.); #142588=VECTOR('',#447880,10.); #142589=VECTOR('',#447881,10.); #142590=VECTOR('',#447882,10.); #142591=VECTOR('',#447885,10.); #142592=VECTOR('',#447886,10.); #142593=VECTOR('',#447887,10.); #142594=VECTOR('',#447890,10.); #142595=VECTOR('',#447891,10.); #142596=VECTOR('',#447898,10.); #142597=VECTOR('',#447899,10.); #142598=VECTOR('',#447900,10.); #142599=VECTOR('',#447901,10.); #142600=VECTOR('',#447904,10.); #142601=VECTOR('',#447905,10.); #142602=VECTOR('',#447906,10.); #142603=VECTOR('',#447909,10.); #142604=VECTOR('',#447910,10.); #142605=VECTOR('',#447911,10.); #142606=VECTOR('',#447914,10.); #142607=VECTOR('',#447915,10.); #142608=VECTOR('',#447922,10.); #142609=VECTOR('',#447923,10.); #142610=VECTOR('',#447924,10.); #142611=VECTOR('',#447925,10.); #142612=VECTOR('',#447928,10.); #142613=VECTOR('',#447929,10.); #142614=VECTOR('',#447930,10.); #142615=VECTOR('',#447933,10.); #142616=VECTOR('',#447934,10.); #142617=VECTOR('',#447935,10.); #142618=VECTOR('',#447938,10.); #142619=VECTOR('',#447939,10.); #142620=VECTOR('',#447946,10.); #142621=VECTOR('',#447947,10.); #142622=VECTOR('',#447948,10.); #142623=VECTOR('',#447949,10.); #142624=VECTOR('',#447952,10.); #142625=VECTOR('',#447953,10.); #142626=VECTOR('',#447954,10.); #142627=VECTOR('',#447957,10.); #142628=VECTOR('',#447958,10.); #142629=VECTOR('',#447959,10.); #142630=VECTOR('',#447962,10.); #142631=VECTOR('',#447963,10.); #142632=VECTOR('',#447970,10.); #142633=VECTOR('',#447971,10.); #142634=VECTOR('',#447972,10.); #142635=VECTOR('',#447973,10.); #142636=VECTOR('',#447976,10.); #142637=VECTOR('',#447977,10.); #142638=VECTOR('',#447978,10.); #142639=VECTOR('',#447981,10.); #142640=VECTOR('',#447982,10.); #142641=VECTOR('',#447983,10.); #142642=VECTOR('',#447986,10.); #142643=VECTOR('',#447987,10.); #142644=VECTOR('',#447994,10.); #142645=VECTOR('',#447995,10.); #142646=VECTOR('',#447996,10.); #142647=VECTOR('',#447997,10.); #142648=VECTOR('',#448000,10.); #142649=VECTOR('',#448001,10.); #142650=VECTOR('',#448002,10.); #142651=VECTOR('',#448005,10.); #142652=VECTOR('',#448006,10.); #142653=VECTOR('',#448007,10.); #142654=VECTOR('',#448010,10.); #142655=VECTOR('',#448011,10.); #142656=VECTOR('',#448018,10.); #142657=VECTOR('',#448019,10.); #142658=VECTOR('',#448020,10.); #142659=VECTOR('',#448021,10.); #142660=VECTOR('',#448024,10.); #142661=VECTOR('',#448025,10.); #142662=VECTOR('',#448026,10.); #142663=VECTOR('',#448029,10.); #142664=VECTOR('',#448030,10.); #142665=VECTOR('',#448031,10.); #142666=VECTOR('',#448034,10.); #142667=VECTOR('',#448035,10.); #142668=VECTOR('',#448042,10.); #142669=VECTOR('',#448043,10.); #142670=VECTOR('',#448044,10.); #142671=VECTOR('',#448045,10.); #142672=VECTOR('',#448048,10.); #142673=VECTOR('',#448049,10.); #142674=VECTOR('',#448050,10.); #142675=VECTOR('',#448053,10.); #142676=VECTOR('',#448054,10.); #142677=VECTOR('',#448055,10.); #142678=VECTOR('',#448058,10.); #142679=VECTOR('',#448059,10.); #142680=VECTOR('',#448066,10.); #142681=VECTOR('',#448067,10.); #142682=VECTOR('',#448068,10.); #142683=VECTOR('',#448069,10.); #142684=VECTOR('',#448072,10.); #142685=VECTOR('',#448073,10.); #142686=VECTOR('',#448074,10.); #142687=VECTOR('',#448077,10.); #142688=VECTOR('',#448078,10.); #142689=VECTOR('',#448079,10.); #142690=VECTOR('',#448082,10.); #142691=VECTOR('',#448083,10.); #142692=VECTOR('',#448090,10.); #142693=VECTOR('',#448091,10.); #142694=VECTOR('',#448092,10.); #142695=VECTOR('',#448093,10.); #142696=VECTOR('',#448096,10.); #142697=VECTOR('',#448097,10.); #142698=VECTOR('',#448098,10.); #142699=VECTOR('',#448101,10.); #142700=VECTOR('',#448102,10.); #142701=VECTOR('',#448103,10.); #142702=VECTOR('',#448106,10.); #142703=VECTOR('',#448107,10.); #142704=VECTOR('',#448114,10.); #142705=VECTOR('',#448115,10.); #142706=VECTOR('',#448116,10.); #142707=VECTOR('',#448117,10.); #142708=VECTOR('',#448120,10.); #142709=VECTOR('',#448121,10.); #142710=VECTOR('',#448122,10.); #142711=VECTOR('',#448125,10.); #142712=VECTOR('',#448126,10.); #142713=VECTOR('',#448127,10.); #142714=VECTOR('',#448130,10.); #142715=VECTOR('',#448131,10.); #142716=VECTOR('',#448138,10.); #142717=VECTOR('',#448139,10.); #142718=VECTOR('',#448140,10.); #142719=VECTOR('',#448141,10.); #142720=VECTOR('',#448144,10.); #142721=VECTOR('',#448145,10.); #142722=VECTOR('',#448146,10.); #142723=VECTOR('',#448149,10.); #142724=VECTOR('',#448150,10.); #142725=VECTOR('',#448151,10.); #142726=VECTOR('',#448154,10.); #142727=VECTOR('',#448155,10.); #142728=VECTOR('',#448162,10.); #142729=VECTOR('',#448163,10.); #142730=VECTOR('',#448164,10.); #142731=VECTOR('',#448165,10.); #142732=VECTOR('',#448168,10.); #142733=VECTOR('',#448169,10.); #142734=VECTOR('',#448170,10.); #142735=VECTOR('',#448173,10.); #142736=VECTOR('',#448174,10.); #142737=VECTOR('',#448175,10.); #142738=VECTOR('',#448178,10.); #142739=VECTOR('',#448179,10.); #142740=VECTOR('',#448186,10.); #142741=VECTOR('',#448187,10.); #142742=VECTOR('',#448188,10.); #142743=VECTOR('',#448189,10.); #142744=VECTOR('',#448192,10.); #142745=VECTOR('',#448193,10.); #142746=VECTOR('',#448194,10.); #142747=VECTOR('',#448197,10.); #142748=VECTOR('',#448198,10.); #142749=VECTOR('',#448199,10.); #142750=VECTOR('',#448202,10.); #142751=VECTOR('',#448203,10.); #142752=VECTOR('',#448210,10.); #142753=VECTOR('',#448211,10.); #142754=VECTOR('',#448212,10.); #142755=VECTOR('',#448213,10.); #142756=VECTOR('',#448216,10.); #142757=VECTOR('',#448217,10.); #142758=VECTOR('',#448218,10.); #142759=VECTOR('',#448221,10.); #142760=VECTOR('',#448222,10.); #142761=VECTOR('',#448223,10.); #142762=VECTOR('',#448226,10.); #142763=VECTOR('',#448227,10.); #142764=VECTOR('',#448234,10.); #142765=VECTOR('',#448235,10.); #142766=VECTOR('',#448236,10.); #142767=VECTOR('',#448237,10.); #142768=VECTOR('',#448240,10.); #142769=VECTOR('',#448241,10.); #142770=VECTOR('',#448242,10.); #142771=VECTOR('',#448245,10.); #142772=VECTOR('',#448246,10.); #142773=VECTOR('',#448247,10.); #142774=VECTOR('',#448250,10.); #142775=VECTOR('',#448251,10.); #142776=VECTOR('',#448260,0.139999999999998); #142777=VECTOR('',#448265,10.); #142778=VECTOR('',#448266,10.); #142779=VECTOR('',#448267,10.); #142780=VECTOR('',#448268,10.); #142781=VECTOR('',#448271,10.); #142782=VECTOR('',#448272,10.); #142783=VECTOR('',#448273,10.); #142784=VECTOR('',#448276,10.); #142785=VECTOR('',#448277,10.); #142786=VECTOR('',#448278,10.); #142787=VECTOR('',#448281,10.); #142788=VECTOR('',#448282,10.); #142789=VECTOR('',#448289,10.); #142790=VECTOR('',#448290,10.); #142791=VECTOR('',#448291,10.); #142792=VECTOR('',#448292,10.); #142793=VECTOR('',#448295,10.); #142794=VECTOR('',#448296,10.); #142795=VECTOR('',#448297,10.); #142796=VECTOR('',#448300,10.); #142797=VECTOR('',#448301,10.); #142798=VECTOR('',#448302,10.); #142799=VECTOR('',#448305,10.); #142800=VECTOR('',#448306,10.); #142801=VECTOR('',#448313,10.); #142802=VECTOR('',#448314,10.); #142803=VECTOR('',#448315,10.); #142804=VECTOR('',#448316,10.); #142805=VECTOR('',#448319,10.); #142806=VECTOR('',#448320,10.); #142807=VECTOR('',#448321,10.); #142808=VECTOR('',#448324,10.); #142809=VECTOR('',#448325,10.); #142810=VECTOR('',#448326,10.); #142811=VECTOR('',#448329,10.); #142812=VECTOR('',#448330,10.); #142813=VECTOR('',#448337,10.); #142814=VECTOR('',#448338,10.); #142815=VECTOR('',#448339,10.); #142816=VECTOR('',#448340,10.); #142817=VECTOR('',#448343,10.); #142818=VECTOR('',#448344,10.); #142819=VECTOR('',#448345,10.); #142820=VECTOR('',#448348,10.); #142821=VECTOR('',#448349,10.); #142822=VECTOR('',#448350,10.); #142823=VECTOR('',#448353,10.); #142824=VECTOR('',#448354,10.); #142825=VECTOR('',#448361,10.); #142826=VECTOR('',#448362,10.); #142827=VECTOR('',#448363,10.); #142828=VECTOR('',#448364,10.); #142829=VECTOR('',#448367,10.); #142830=VECTOR('',#448368,10.); #142831=VECTOR('',#448369,10.); #142832=VECTOR('',#448372,10.); #142833=VECTOR('',#448373,10.); #142834=VECTOR('',#448374,10.); #142835=VECTOR('',#448377,10.); #142836=VECTOR('',#448378,10.); #142837=VECTOR('',#448387,0.140000000000002); #142838=VECTOR('',#448394,0.140000000000002); #142839=VECTOR('',#448401,0.140000000000002); #142840=VECTOR('',#448408,0.140000000000002); #142841=VECTOR('',#448415,0.140000000000002); #142842=VECTOR('',#448422,0.140000000000002); #142843=VECTOR('',#448429,0.140000000000002); #142844=VECTOR('',#448436,0.140000000000002); #142845=VECTOR('',#448443,0.140000000000002); #142846=VECTOR('',#448450,0.140000000000002); #142847=VECTOR('',#448457,0.140000000000002); #142848=VECTOR('',#448464,0.140000000000002); #142849=VECTOR('',#448471,0.140000000000002); #142850=VECTOR('',#448478,0.140000000000002); #142851=VECTOR('',#448485,0.140000000000002); #142852=VECTOR('',#448490,10.); #142853=VECTOR('',#448491,10.); #142854=VECTOR('',#448492,10.); #142855=VECTOR('',#448493,10.); #142856=VECTOR('',#448496,10.); #142857=VECTOR('',#448497,10.); #142858=VECTOR('',#448498,10.); #142859=VECTOR('',#448501,10.); #142860=VECTOR('',#448502,10.); #142861=VECTOR('',#448503,10.); #142862=VECTOR('',#448506,10.); #142863=VECTOR('',#448507,10.); #142864=VECTOR('',#448514,10.); #142865=VECTOR('',#448515,10.); #142866=VECTOR('',#448516,10.); #142867=VECTOR('',#448517,10.); #142868=VECTOR('',#448520,10.); #142869=VECTOR('',#448521,10.); #142870=VECTOR('',#448522,10.); #142871=VECTOR('',#448525,10.); #142872=VECTOR('',#448526,10.); #142873=VECTOR('',#448527,10.); #142874=VECTOR('',#448530,10.); #142875=VECTOR('',#448531,10.); #142876=VECTOR('',#448538,10.); #142877=VECTOR('',#448539,10.); #142878=VECTOR('',#448540,10.); #142879=VECTOR('',#448541,10.); #142880=VECTOR('',#448544,10.); #142881=VECTOR('',#448545,10.); #142882=VECTOR('',#448546,10.); #142883=VECTOR('',#448549,10.); #142884=VECTOR('',#448550,10.); #142885=VECTOR('',#448551,10.); #142886=VECTOR('',#448554,10.); #142887=VECTOR('',#448555,10.); #142888=VECTOR('',#448562,10.); #142889=VECTOR('',#448563,10.); #142890=VECTOR('',#448564,10.); #142891=VECTOR('',#448565,10.); #142892=VECTOR('',#448568,10.); #142893=VECTOR('',#448569,10.); #142894=VECTOR('',#448570,10.); #142895=VECTOR('',#448573,10.); #142896=VECTOR('',#448574,10.); #142897=VECTOR('',#448575,10.); #142898=VECTOR('',#448578,10.); #142899=VECTOR('',#448579,10.); #142900=VECTOR('',#448588,0.139999999999998); #142901=VECTOR('',#448593,10.); #142902=VECTOR('',#448594,10.); #142903=VECTOR('',#448595,10.); #142904=VECTOR('',#448596,10.); #142905=VECTOR('',#448599,10.); #142906=VECTOR('',#448600,10.); #142907=VECTOR('',#448601,10.); #142908=VECTOR('',#448604,10.); #142909=VECTOR('',#448605,10.); #142910=VECTOR('',#448606,10.); #142911=VECTOR('',#448609,10.); #142912=VECTOR('',#448610,10.); #142913=VECTOR('',#448617,10.); #142914=VECTOR('',#448618,10.); #142915=VECTOR('',#448619,10.); #142916=VECTOR('',#448620,10.); #142917=VECTOR('',#448623,10.); #142918=VECTOR('',#448624,10.); #142919=VECTOR('',#448625,10.); #142920=VECTOR('',#448628,10.); #142921=VECTOR('',#448629,10.); #142922=VECTOR('',#448630,10.); #142923=VECTOR('',#448633,10.); #142924=VECTOR('',#448634,10.); #142925=VECTOR('',#448641,10.); #142926=VECTOR('',#448642,10.); #142927=VECTOR('',#448643,10.); #142928=VECTOR('',#448644,10.); #142929=VECTOR('',#448647,10.); #142930=VECTOR('',#448648,10.); #142931=VECTOR('',#448649,10.); #142932=VECTOR('',#448652,10.); #142933=VECTOR('',#448653,10.); #142934=VECTOR('',#448654,10.); #142935=VECTOR('',#448657,10.); #142936=VECTOR('',#448658,10.); #142937=VECTOR('',#448665,10.); #142938=VECTOR('',#448666,10.); #142939=VECTOR('',#448667,10.); #142940=VECTOR('',#448668,10.); #142941=VECTOR('',#448671,10.); #142942=VECTOR('',#448672,10.); #142943=VECTOR('',#448673,10.); #142944=VECTOR('',#448676,10.); #142945=VECTOR('',#448677,10.); #142946=VECTOR('',#448678,10.); #142947=VECTOR('',#448681,10.); #142948=VECTOR('',#448682,10.); #142949=VECTOR('',#448689,10.); #142950=VECTOR('',#448690,10.); #142951=VECTOR('',#448691,10.); #142952=VECTOR('',#448692,10.); #142953=VECTOR('',#448695,10.); #142954=VECTOR('',#448696,10.); #142955=VECTOR('',#448697,10.); #142956=VECTOR('',#448700,10.); #142957=VECTOR('',#448701,10.); #142958=VECTOR('',#448702,10.); #142959=VECTOR('',#448705,10.); #142960=VECTOR('',#448706,10.); #142961=VECTOR('',#448715,0.139999999999998); #142962=VECTOR('',#448722,0.139999999999998); #142963=VECTOR('',#448729,0.139999999999998); #142964=VECTOR('',#448736,0.139999999999998); #142965=VECTOR('',#448743,0.139999999999998); #142966=VECTOR('',#448750,0.139999999999998); #142967=VECTOR('',#448757,0.139999999999998); #142968=VECTOR('',#448764,0.139999999999998); #142969=VECTOR('',#448771,0.139999999999998); #142970=VECTOR('',#448778,0.139999999999998); #142971=VECTOR('',#448785,0.139999999999998); #142972=VECTOR('',#448792,0.139999999999998); #142973=VECTOR('',#448799,0.139999999999998); #142974=VECTOR('',#448806,0.139999999999998); #142975=VECTOR('',#448813,0.139999999999998); #142976=VECTOR('',#448818,10.); #142977=VECTOR('',#448819,10.); #142978=VECTOR('',#448820,10.); #142979=VECTOR('',#448821,10.); #142980=VECTOR('',#448824,10.); #142981=VECTOR('',#448825,10.); #142982=VECTOR('',#448826,10.); #142983=VECTOR('',#448829,10.); #142984=VECTOR('',#448830,10.); #142985=VECTOR('',#448831,10.); #142986=VECTOR('',#448834,10.); #142987=VECTOR('',#448835,10.); #142988=VECTOR('',#448842,10.); #142989=VECTOR('',#448843,10.); #142990=VECTOR('',#448844,10.); #142991=VECTOR('',#448845,10.); #142992=VECTOR('',#448848,10.); #142993=VECTOR('',#448849,10.); #142994=VECTOR('',#448850,10.); #142995=VECTOR('',#448853,10.); #142996=VECTOR('',#448854,10.); #142997=VECTOR('',#448855,10.); #142998=VECTOR('',#448858,10.); #142999=VECTOR('',#448859,10.); #143000=VECTOR('',#448866,10.); #143001=VECTOR('',#448867,10.); #143002=VECTOR('',#448868,10.); #143003=VECTOR('',#448869,10.); #143004=VECTOR('',#448872,10.); #143005=VECTOR('',#448873,10.); #143006=VECTOR('',#448874,10.); #143007=VECTOR('',#448877,10.); #143008=VECTOR('',#448878,10.); #143009=VECTOR('',#448879,10.); #143010=VECTOR('',#448882,10.); #143011=VECTOR('',#448883,10.); #143012=VECTOR('',#448890,10.); #143013=VECTOR('',#448891,10.); #143014=VECTOR('',#448892,10.); #143015=VECTOR('',#448893,10.); #143016=VECTOR('',#448896,10.); #143017=VECTOR('',#448897,10.); #143018=VECTOR('',#448898,10.); #143019=VECTOR('',#448901,10.); #143020=VECTOR('',#448902,10.); #143021=VECTOR('',#448903,10.); #143022=VECTOR('',#448906,10.); #143023=VECTOR('',#448907,10.); #143024=VECTOR('',#448916,0.139999999999998); #143025=VECTOR('',#448921,10.); #143026=VECTOR('',#448922,10.); #143027=VECTOR('',#448923,10.); #143028=VECTOR('',#448924,10.); #143029=VECTOR('',#448927,10.); #143030=VECTOR('',#448928,10.); #143031=VECTOR('',#448929,10.); #143032=VECTOR('',#448932,10.); #143033=VECTOR('',#448933,10.); #143034=VECTOR('',#448934,10.); #143035=VECTOR('',#448937,10.); #143036=VECTOR('',#448938,10.); #143037=VECTOR('',#448947,0.139999999999998); #143038=VECTOR('',#448952,10.); #143039=VECTOR('',#448953,10.); #143040=VECTOR('',#448954,10.); #143041=VECTOR('',#448955,10.); #143042=VECTOR('',#448958,10.); #143043=VECTOR('',#448959,10.); #143044=VECTOR('',#448960,10.); #143045=VECTOR('',#448963,10.); #143046=VECTOR('',#448964,10.); #143047=VECTOR('',#448965,10.); #143048=VECTOR('',#448968,10.); #143049=VECTOR('',#448969,10.); #143050=VECTOR('',#448978,0.140000000000002); #143051=VECTOR('',#448983,10.); #143052=VECTOR('',#448984,10.); #143053=VECTOR('',#448985,10.); #143054=VECTOR('',#448986,10.); #143055=VECTOR('',#448989,10.); #143056=VECTOR('',#448990,10.); #143057=VECTOR('',#448991,10.); #143058=VECTOR('',#448994,10.); #143059=VECTOR('',#448995,10.); #143060=VECTOR('',#448996,10.); #143061=VECTOR('',#448999,10.); #143062=VECTOR('',#449000,10.); #143063=VECTOR('',#449009,0.139999999999998); #143064=VECTOR('',#449016,0.139999999999998); #143065=VECTOR('',#449023,0.139999999999998); #143066=VECTOR('',#449030,0.139999999999998); #143067=VECTOR('',#449037,0.139999999999998); #143068=VECTOR('',#449044,0.139999999999998); #143069=VECTOR('',#449051,0.139999999999998); #143070=VECTOR('',#449058,0.139999999999998); #143071=VECTOR('',#449065,0.139999999999998); #143072=VECTOR('',#449072,0.139999999999998); #143073=VECTOR('',#449079,0.139999999999998); #143074=VECTOR('',#449086,0.139999999999998); #143075=VECTOR('',#449093,0.139999999999998); #143076=VECTOR('',#449100,0.139999999999998); #143077=VECTOR('',#449107,0.139999999999998); #143078=VECTOR('',#449112,10.); #143079=VECTOR('',#449113,10.); #143080=VECTOR('',#449114,10.); #143081=VECTOR('',#449115,10.); #143082=VECTOR('',#449118,10.); #143083=VECTOR('',#449119,10.); #143084=VECTOR('',#449120,10.); #143085=VECTOR('',#449123,10.); #143086=VECTOR('',#449124,10.); #143087=VECTOR('',#449125,10.); #143088=VECTOR('',#449128,10.); #143089=VECTOR('',#449129,10.); #143090=VECTOR('',#449136,10.); #143091=VECTOR('',#449137,10.); #143092=VECTOR('',#449138,10.); #143093=VECTOR('',#449139,10.); #143094=VECTOR('',#449142,10.); #143095=VECTOR('',#449143,10.); #143096=VECTOR('',#449144,10.); #143097=VECTOR('',#449147,10.); #143098=VECTOR('',#449148,10.); #143099=VECTOR('',#449149,10.); #143100=VECTOR('',#449152,10.); #143101=VECTOR('',#449153,10.); #143102=VECTOR('',#449160,10.); #143103=VECTOR('',#449161,10.); #143104=VECTOR('',#449162,10.); #143105=VECTOR('',#449163,10.); #143106=VECTOR('',#449166,10.); #143107=VECTOR('',#449167,10.); #143108=VECTOR('',#449168,10.); #143109=VECTOR('',#449171,10.); #143110=VECTOR('',#449172,10.); #143111=VECTOR('',#449173,10.); #143112=VECTOR('',#449176,10.); #143113=VECTOR('',#449177,10.); #143114=VECTOR('',#449184,10.); #143115=VECTOR('',#449185,10.); #143116=VECTOR('',#449186,10.); #143117=VECTOR('',#449187,10.); #143118=VECTOR('',#449190,10.); #143119=VECTOR('',#449191,10.); #143120=VECTOR('',#449192,10.); #143121=VECTOR('',#449195,10.); #143122=VECTOR('',#449196,10.); #143123=VECTOR('',#449197,10.); #143124=VECTOR('',#449200,10.); #143125=VECTOR('',#449201,10.); #143126=VECTOR('',#449208,10.); #143127=VECTOR('',#449209,10.); #143128=VECTOR('',#449210,10.); #143129=VECTOR('',#449211,10.); #143130=VECTOR('',#449214,10.); #143131=VECTOR('',#449215,10.); #143132=VECTOR('',#449216,10.); #143133=VECTOR('',#449219,10.); #143134=VECTOR('',#449220,10.); #143135=VECTOR('',#449221,10.); #143136=VECTOR('',#449224,10.); #143137=VECTOR('',#449225,10.); #143138=VECTOR('',#449232,10.); #143139=VECTOR('',#449233,10.); #143140=VECTOR('',#449234,10.); #143141=VECTOR('',#449235,10.); #143142=VECTOR('',#449238,10.); #143143=VECTOR('',#449239,10.); #143144=VECTOR('',#449240,10.); #143145=VECTOR('',#449243,10.); #143146=VECTOR('',#449244,10.); #143147=VECTOR('',#449245,10.); #143148=VECTOR('',#449248,10.); #143149=VECTOR('',#449249,10.); #143150=VECTOR('',#449256,10.); #143151=VECTOR('',#449257,10.); #143152=VECTOR('',#449258,10.); #143153=VECTOR('',#449259,10.); #143154=VECTOR('',#449262,10.); #143155=VECTOR('',#449263,10.); #143156=VECTOR('',#449264,10.); #143157=VECTOR('',#449267,10.); #143158=VECTOR('',#449268,10.); #143159=VECTOR('',#449269,10.); #143160=VECTOR('',#449272,10.); #143161=VECTOR('',#449273,10.); #143162=VECTOR('',#449280,10.); #143163=VECTOR('',#449281,10.); #143164=VECTOR('',#449282,10.); #143165=VECTOR('',#449283,10.); #143166=VECTOR('',#449286,10.); #143167=VECTOR('',#449287,10.); #143168=VECTOR('',#449288,10.); #143169=VECTOR('',#449291,10.); #143170=VECTOR('',#449292,10.); #143171=VECTOR('',#449293,10.); #143172=VECTOR('',#449296,10.); #143173=VECTOR('',#449297,10.); #143174=VECTOR('',#449304,10.); #143175=VECTOR('',#449305,10.); #143176=VECTOR('',#449306,10.); #143177=VECTOR('',#449307,10.); #143178=VECTOR('',#449310,10.); #143179=VECTOR('',#449311,10.); #143180=VECTOR('',#449312,10.); #143181=VECTOR('',#449315,10.); #143182=VECTOR('',#449316,10.); #143183=VECTOR('',#449317,10.); #143184=VECTOR('',#449320,10.); #143185=VECTOR('',#449321,10.); #143186=VECTOR('',#449328,10.); #143187=VECTOR('',#449329,10.); #143188=VECTOR('',#449330,10.); #143189=VECTOR('',#449331,10.); #143190=VECTOR('',#449334,10.); #143191=VECTOR('',#449335,10.); #143192=VECTOR('',#449336,10.); #143193=VECTOR('',#449339,10.); #143194=VECTOR('',#449340,10.); #143195=VECTOR('',#449341,10.); #143196=VECTOR('',#449344,10.); #143197=VECTOR('',#449345,10.); #143198=VECTOR('',#449352,10.); #143199=VECTOR('',#449353,10.); #143200=VECTOR('',#449354,10.); #143201=VECTOR('',#449355,10.); #143202=VECTOR('',#449358,10.); #143203=VECTOR('',#449359,10.); #143204=VECTOR('',#449360,10.); #143205=VECTOR('',#449363,10.); #143206=VECTOR('',#449364,10.); #143207=VECTOR('',#449365,10.); #143208=VECTOR('',#449368,10.); #143209=VECTOR('',#449369,10.); #143210=VECTOR('',#449376,10.); #143211=VECTOR('',#449377,10.); #143212=VECTOR('',#449378,10.); #143213=VECTOR('',#449379,10.); #143214=VECTOR('',#449382,10.); #143215=VECTOR('',#449383,10.); #143216=VECTOR('',#449384,10.); #143217=VECTOR('',#449387,10.); #143218=VECTOR('',#449388,10.); #143219=VECTOR('',#449389,10.); #143220=VECTOR('',#449392,10.); #143221=VECTOR('',#449393,10.); #143222=VECTOR('',#449400,10.); #143223=VECTOR('',#449401,10.); #143224=VECTOR('',#449402,10.); #143225=VECTOR('',#449403,10.); #143226=VECTOR('',#449406,10.); #143227=VECTOR('',#449407,10.); #143228=VECTOR('',#449408,10.); #143229=VECTOR('',#449411,10.); #143230=VECTOR('',#449412,10.); #143231=VECTOR('',#449413,10.); #143232=VECTOR('',#449416,10.); #143233=VECTOR('',#449417,10.); #143234=VECTOR('',#449424,10.); #143235=VECTOR('',#449425,10.); #143236=VECTOR('',#449426,10.); #143237=VECTOR('',#449427,10.); #143238=VECTOR('',#449430,10.); #143239=VECTOR('',#449431,10.); #143240=VECTOR('',#449432,10.); #143241=VECTOR('',#449435,10.); #143242=VECTOR('',#449436,10.); #143243=VECTOR('',#449437,10.); #143244=VECTOR('',#449440,10.); #143245=VECTOR('',#449441,10.); #143246=VECTOR('',#449448,10.); #143247=VECTOR('',#449449,10.); #143248=VECTOR('',#449450,10.); #143249=VECTOR('',#449451,10.); #143250=VECTOR('',#449454,10.); #143251=VECTOR('',#449455,10.); #143252=VECTOR('',#449456,10.); #143253=VECTOR('',#449459,10.); #143254=VECTOR('',#449460,10.); #143255=VECTOR('',#449461,10.); #143256=VECTOR('',#449464,10.); #143257=VECTOR('',#449465,10.); #143258=VECTOR('',#449472,10.); #143259=VECTOR('',#449473,10.); #143260=VECTOR('',#449474,10.); #143261=VECTOR('',#449475,10.); #143262=VECTOR('',#449478,10.); #143263=VECTOR('',#449479,10.); #143264=VECTOR('',#449480,10.); #143265=VECTOR('',#449483,10.); #143266=VECTOR('',#449484,10.); #143267=VECTOR('',#449485,10.); #143268=VECTOR('',#449488,10.); #143269=VECTOR('',#449489,10.); #143270=VECTOR('',#449496,10.); #143271=VECTOR('',#449497,10.); #143272=VECTOR('',#449498,10.); #143273=VECTOR('',#449499,10.); #143274=VECTOR('',#449502,10.); #143275=VECTOR('',#449503,10.); #143276=VECTOR('',#449504,10.); #143277=VECTOR('',#449507,10.); #143278=VECTOR('',#449508,10.); #143279=VECTOR('',#449509,10.); #143280=VECTOR('',#449512,10.); #143281=VECTOR('',#449513,10.); #143282=VECTOR('',#449520,10.); #143283=VECTOR('',#449521,10.); #143284=VECTOR('',#449522,10.); #143285=VECTOR('',#449523,10.); #143286=VECTOR('',#449526,10.); #143287=VECTOR('',#449527,10.); #143288=VECTOR('',#449528,10.); #143289=VECTOR('',#449531,10.); #143290=VECTOR('',#449532,10.); #143291=VECTOR('',#449533,10.); #143292=VECTOR('',#449536,10.); #143293=VECTOR('',#449537,10.); #143294=VECTOR('',#449544,10.); #143295=VECTOR('',#449545,10.); #143296=VECTOR('',#449546,10.); #143297=VECTOR('',#449547,10.); #143298=VECTOR('',#449550,10.); #143299=VECTOR('',#449551,10.); #143300=VECTOR('',#449552,10.); #143301=VECTOR('',#449555,10.); #143302=VECTOR('',#449556,10.); #143303=VECTOR('',#449557,10.); #143304=VECTOR('',#449560,10.); #143305=VECTOR('',#449561,10.); #143306=VECTOR('',#449568,10.); #143307=VECTOR('',#449569,10.); #143308=VECTOR('',#449570,10.); #143309=VECTOR('',#449571,10.); #143310=VECTOR('',#449574,10.); #143311=VECTOR('',#449575,10.); #143312=VECTOR('',#449576,10.); #143313=VECTOR('',#449579,10.); #143314=VECTOR('',#449580,10.); #143315=VECTOR('',#449581,10.); #143316=VECTOR('',#449584,10.); #143317=VECTOR('',#449585,10.); #143318=VECTOR('',#449592,10.); #143319=VECTOR('',#449593,10.); #143320=VECTOR('',#449594,10.); #143321=VECTOR('',#449595,10.); #143322=VECTOR('',#449598,10.); #143323=VECTOR('',#449599,10.); #143324=VECTOR('',#449600,10.); #143325=VECTOR('',#449603,10.); #143326=VECTOR('',#449604,10.); #143327=VECTOR('',#449605,10.); #143328=VECTOR('',#449608,10.); #143329=VECTOR('',#449609,10.); #143330=VECTOR('',#449618,0.140000000000002); #143331=VECTOR('',#449625,0.140000000000002); #143332=VECTOR('',#449632,0.140000000000002); #143333=VECTOR('',#449639,0.140000000000002); #143334=VECTOR('',#449646,0.140000000000002); #143335=VECTOR('',#449653,0.140000000000002); #143336=VECTOR('',#449660,0.140000000000002); #143337=VECTOR('',#449667,0.140000000000002); #143338=VECTOR('',#449674,0.140000000000002); #143339=VECTOR('',#449681,0.140000000000002); #143340=VECTOR('',#449688,0.140000000000002); #143341=VECTOR('',#449695,0.140000000000002); #143342=VECTOR('',#449702,0.140000000000002); #143343=VECTOR('',#449709,0.140000000000002); #143344=VECTOR('',#449716,0.140000000000002); #143345=VECTOR('',#449721,10.); #143346=VECTOR('',#449722,10.); #143347=VECTOR('',#449723,10.); #143348=VECTOR('',#449724,10.); #143349=VECTOR('',#449727,10.); #143350=VECTOR('',#449728,10.); #143351=VECTOR('',#449729,10.); #143352=VECTOR('',#449732,10.); #143353=VECTOR('',#449733,10.); #143354=VECTOR('',#449734,10.); #143355=VECTOR('',#449737,10.); #143356=VECTOR('',#449738,10.); #143357=VECTOR('',#449745,10.); #143358=VECTOR('',#449746,10.); #143359=VECTOR('',#449747,10.); #143360=VECTOR('',#449748,10.); #143361=VECTOR('',#449751,10.); #143362=VECTOR('',#449752,10.); #143363=VECTOR('',#449753,10.); #143364=VECTOR('',#449756,10.); #143365=VECTOR('',#449757,10.); #143366=VECTOR('',#449758,10.); #143367=VECTOR('',#449761,10.); #143368=VECTOR('',#449762,10.); #143369=VECTOR('',#449769,10.); #143370=VECTOR('',#449770,10.); #143371=VECTOR('',#449771,10.); #143372=VECTOR('',#449772,10.); #143373=VECTOR('',#449775,10.); #143374=VECTOR('',#449776,10.); #143375=VECTOR('',#449777,10.); #143376=VECTOR('',#449780,10.); #143377=VECTOR('',#449781,10.); #143378=VECTOR('',#449782,10.); #143379=VECTOR('',#449785,10.); #143380=VECTOR('',#449786,10.); #143381=VECTOR('',#449793,10.); #143382=VECTOR('',#449794,10.); #143383=VECTOR('',#449795,10.); #143384=VECTOR('',#449796,10.); #143385=VECTOR('',#449799,10.); #143386=VECTOR('',#449800,10.); #143387=VECTOR('',#449801,10.); #143388=VECTOR('',#449804,10.); #143389=VECTOR('',#449805,10.); #143390=VECTOR('',#449806,10.); #143391=VECTOR('',#449809,10.); #143392=VECTOR('',#449810,10.); #143393=VECTOR('',#449817,10.); #143394=VECTOR('',#449818,10.); #143395=VECTOR('',#449819,10.); #143396=VECTOR('',#449820,10.); #143397=VECTOR('',#449823,10.); #143398=VECTOR('',#449824,10.); #143399=VECTOR('',#449825,10.); #143400=VECTOR('',#449828,10.); #143401=VECTOR('',#449829,10.); #143402=VECTOR('',#449830,10.); #143403=VECTOR('',#449833,10.); #143404=VECTOR('',#449834,10.); #143405=VECTOR('',#449841,10.); #143406=VECTOR('',#449842,10.); #143407=VECTOR('',#449843,10.); #143408=VECTOR('',#449844,10.); #143409=VECTOR('',#449847,10.); #143410=VECTOR('',#449848,10.); #143411=VECTOR('',#449849,10.); #143412=VECTOR('',#449852,10.); #143413=VECTOR('',#449853,10.); #143414=VECTOR('',#449854,10.); #143415=VECTOR('',#449857,10.); #143416=VECTOR('',#449858,10.); #143417=VECTOR('',#449865,10.); #143418=VECTOR('',#449866,10.); #143419=VECTOR('',#449867,10.); #143420=VECTOR('',#449868,10.); #143421=VECTOR('',#449871,10.); #143422=VECTOR('',#449872,10.); #143423=VECTOR('',#449873,10.); #143424=VECTOR('',#449876,10.); #143425=VECTOR('',#449877,10.); #143426=VECTOR('',#449878,10.); #143427=VECTOR('',#449881,10.); #143428=VECTOR('',#449882,10.); #143429=VECTOR('',#449891,0.140000000000002); #143430=VECTOR('',#449896,10.); #143431=VECTOR('',#449897,10.); #143432=VECTOR('',#449898,10.); #143433=VECTOR('',#449899,10.); #143434=VECTOR('',#449902,10.); #143435=VECTOR('',#449903,10.); #143436=VECTOR('',#449904,10.); #143437=VECTOR('',#449907,10.); #143438=VECTOR('',#449908,10.); #143439=VECTOR('',#449909,10.); #143440=VECTOR('',#449912,10.); #143441=VECTOR('',#449913,10.); #143442=VECTOR('',#449922,0.114999999999998); #143443=VECTOR('',#449929,0.114999999999998); #143444=VECTOR('',#449934,10.); #143445=VECTOR('',#449935,10.); #143446=VECTOR('',#449936,10.); #143447=VECTOR('',#449937,10.); #143448=VECTOR('',#449940,10.); #143449=VECTOR('',#449941,10.); #143450=VECTOR('',#449942,10.); #143451=VECTOR('',#449945,10.); #143452=VECTOR('',#449946,10.); #143453=VECTOR('',#449947,10.); #143454=VECTOR('',#449950,10.); #143455=VECTOR('',#449951,10.); #143456=VECTOR('',#449960,0.114999999999998); #143457=VECTOR('',#449967,0.114999999999998); #143458=VECTOR('',#449972,10.); #143459=VECTOR('',#449973,10.); #143460=VECTOR('',#449974,10.); #143461=VECTOR('',#449975,10.); #143462=VECTOR('',#449978,10.); #143463=VECTOR('',#449979,10.); #143464=VECTOR('',#449980,10.); #143465=VECTOR('',#449983,10.); #143466=VECTOR('',#449984,10.); #143467=VECTOR('',#449985,10.); #143468=VECTOR('',#449988,10.); #143469=VECTOR('',#449989,10.); #143470=VECTOR('',#449998,0.139999999999998); #143471=VECTOR('',#450003,10.); #143472=VECTOR('',#450004,10.); #143473=VECTOR('',#450005,10.); #143474=VECTOR('',#450006,10.); #143475=VECTOR('',#450009,10.); #143476=VECTOR('',#450010,10.); #143477=VECTOR('',#450011,10.); #143478=VECTOR('',#450014,10.); #143479=VECTOR('',#450015,10.); #143480=VECTOR('',#450016,10.); #143481=VECTOR('',#450019,10.); #143482=VECTOR('',#450020,10.); #143483=VECTOR('',#450031,0.325); #143484=VECTOR('',#450038,0.325); #143485=VECTOR('',#450045,0.600000000000001); #143486=VECTOR('',#450052,0.175000000000001); #143487=VECTOR('',#450059,0.175000000000001); #143488=VECTOR('',#450066,0.175000000000001); #143489=VECTOR('',#450073,0.175000000000001); #143490=VECTOR('',#450080,0.175000000000001); #143491=VECTOR('',#450087,0.175000000000001); #143492=VECTOR('',#450094,0.175000000000001); #143493=VECTOR('',#450101,0.175000000000001); #143494=VECTOR('',#450108,0.175000000000001); #143495=VECTOR('',#450115,0.175000000000001); #143496=VECTOR('',#450122,0.175000000000001); #143497=VECTOR('',#450129,0.175000000000001); #143498=VECTOR('',#450136,0.175000000000001); #143499=VECTOR('',#450143,0.175000000000001); #143500=VECTOR('',#450150,0.175000000000001); #143501=VECTOR('',#450157,0.5); #143502=VECTOR('',#450164,0.175000000000001); #143503=VECTOR('',#450171,0.175000000000001); #143504=VECTOR('',#450178,0.175000000000001); #143505=VECTOR('',#450185,0.175000000000001); #143506=VECTOR('',#450192,0.175000000000001); #143507=VECTOR('',#450199,0.175000000000001); #143508=VECTOR('',#450206,0.175000000000001); #143509=VECTOR('',#450213,0.175000000000001); #143510=VECTOR('',#450220,0.175000000000001); #143511=VECTOR('',#450227,0.175000000000001); #143512=VECTOR('',#450234,0.175000000000001); #143513=VECTOR('',#450241,0.175000000000001); #143514=VECTOR('',#450248,0.175000000000001); #143515=VECTOR('',#450255,0.175000000000001); #143516=VECTOR('',#450262,0.175000000000001); #143517=VECTOR('',#450269,0.550000000000002); #143518=VECTOR('',#450276,0.175000000000001); #143519=VECTOR('',#450283,0.175000000000001); #143520=VECTOR('',#450290,0.175000000000001); #143521=VECTOR('',#450297,0.175000000000001); #143522=VECTOR('',#450304,0.175000000000001); #143523=VECTOR('',#450311,0.175000000000001); #143524=VECTOR('',#450318,0.175000000000001); #143525=VECTOR('',#450325,0.175000000000001); #143526=VECTOR('',#450332,0.175000000000001); #143527=VECTOR('',#450339,0.175000000000001); #143528=VECTOR('',#450346,0.175000000000001); #143529=VECTOR('',#450353,0.175000000000001); #143530=VECTOR('',#450360,0.175000000000001); #143531=VECTOR('',#450367,0.175000000000001); #143532=VECTOR('',#450374,0.175000000000001); #143533=VECTOR('',#450381,0.5); #143534=VECTOR('',#450388,0.175000000000001); #143535=VECTOR('',#450395,0.175000000000001); #143536=VECTOR('',#450402,0.175000000000001); #143537=VECTOR('',#450409,0.175000000000001); #143538=VECTOR('',#450416,0.175000000000001); #143539=VECTOR('',#450423,0.175000000000001); #143540=VECTOR('',#450430,0.175000000000001); #143541=VECTOR('',#450437,0.175000000000001); #143542=VECTOR('',#450444,0.175000000000001); #143543=VECTOR('',#450451,0.175000000000001); #143544=VECTOR('',#450458,0.175000000000001); #143545=VECTOR('',#450465,0.175000000000001); #143546=VECTOR('',#450472,0.175000000000001); #143547=VECTOR('',#450479,0.175000000000001); #143548=VECTOR('',#450486,0.175000000000001); #143549=VECTOR('',#450493,0.600000000000001); #143550=VECTOR('',#450500,0.175000000000001); #143551=VECTOR('',#450507,0.175000000000001); #143552=VECTOR('',#450514,0.175000000000001); #143553=VECTOR('',#450521,0.175000000000001); #143554=VECTOR('',#450528,0.175000000000001); #143555=VECTOR('',#450535,0.175); #143556=VECTOR('',#450542,0.175000000000001); #143557=VECTOR('',#450549,0.175000000000001); #143558=VECTOR('',#450556,0.175000000000001); #143559=VECTOR('',#450563,0.175000000000001); #143560=VECTOR('',#450570,0.175000000000001); #143561=VECTOR('',#450577,0.175000000000001); #143562=VECTOR('',#450584,0.175000000000001); #143563=VECTOR('',#450591,0.175); #143564=VECTOR('',#450598,0.175000000000001); #143565=VECTOR('',#450605,0.175000000000001); #143566=VECTOR('',#450612,0.175000000000001); #143567=VECTOR('',#450619,0.175000000000001); #143568=VECTOR('',#450626,0.175000000000001); #143569=VECTOR('',#450633,0.175000000000001); #143570=VECTOR('',#450640,0.175000000000001); #143571=VECTOR('',#450647,0.175000000000001); #143572=VECTOR('',#450654,0.175000000000001); #143573=VECTOR('',#450661,0.175000000000001); #143574=VECTOR('',#450668,0.175000000000001); #143575=VECTOR('',#450675,0.175000000000001); #143576=VECTOR('',#450682,0.175000000000001); #143577=VECTOR('',#450689,0.175000000000001); #143578=VECTOR('',#450696,0.175000000000001); #143579=VECTOR('',#450703,0.175000000000001); #143580=VECTOR('',#450710,0.175000000000001); #143581=VECTOR('',#450717,0.499999999999998); #143582=VECTOR('',#450724,0.175000000000001); #143583=VECTOR('',#450731,0.175000000000001); #143584=VECTOR('',#450738,0.175000000000001); #143585=VECTOR('',#450745,0.175000000000001); #143586=VECTOR('',#450752,0.175000000000001); #143587=VECTOR('',#450759,0.175000000000001); #143588=VECTOR('',#450766,0.175000000000001); #143589=VECTOR('',#450773,0.175000000000001); #143590=VECTOR('',#450780,0.175000000000001); #143591=VECTOR('',#450787,0.175000000000001); #143592=VECTOR('',#450794,0.175000000000001); #143593=VECTOR('',#450801,0.175000000000001); #143594=VECTOR('',#450808,0.175000000000001); #143595=VECTOR('',#450815,0.175000000000001); #143596=VECTOR('',#450822,0.175000000000001); #143597=VECTOR('',#450829,0.499999999999998); #143598=VECTOR('',#450836,0.175000000000001); #143599=VECTOR('',#450843,0.175000000000001); #143600=VECTOR('',#450850,0.175000000000001); #143601=VECTOR('',#450857,0.175000000000001); #143602=VECTOR('',#450864,0.175000000000001); #143603=VECTOR('',#450871,0.175000000000001); #143604=VECTOR('',#450878,0.175000000000001); #143605=VECTOR('',#450885,0.175000000000001); #143606=VECTOR('',#450892,0.175000000000001); #143607=VECTOR('',#450899,0.175000000000001); #143608=VECTOR('',#450906,0.175000000000001); #143609=VECTOR('',#450913,0.175000000000001); #143610=VECTOR('',#450920,0.175000000000001); #143611=VECTOR('',#450927,0.175000000000001); #143612=VECTOR('',#450934,0.175000000000001); #143613=VECTOR('',#450941,0.550000000000002); #143614=VECTOR('',#450948,0.150000000000001); #143615=VECTOR('',#450955,0.175); #143616=VECTOR('',#450962,0.150000000000001); #143617=VECTOR('',#450969,0.175000000000001); #143618=VECTOR('',#450976,0.175000000000001); #143619=VECTOR('',#450983,0.175); #143620=VECTOR('',#450990,0.150000000000001); #143621=VECTOR('',#450997,0.175000000000001); #143622=VECTOR('',#451004,0.175000000000001); #143623=VECTOR('',#451011,0.175); #143624=VECTOR('',#451018,0.175000000000001); #143625=VECTOR('',#451025,0.175000000000001); #143626=VECTOR('',#451032,0.175000000000001); #143627=VECTOR('',#451039,0.175); #143628=VECTOR('',#451046,0.175000000000001); #143629=VECTOR('',#451053,0.175000000000001); #143630=VECTOR('',#451060,0.175000000000001); #143631=VECTOR('',#451067,0.175); #143632=VECTOR('',#451074,0.175000000000001); #143633=VECTOR('',#451081,0.175000000000001); #143634=VECTOR('',#451088,0.175000000000001); #143635=VECTOR('',#451095,0.175000000000001); #143636=VECTOR('',#451102,0.175000000000001); #143637=VECTOR('',#451109,0.175000000000001); #143638=VECTOR('',#451116,0.175000000000001); #143639=VECTOR('',#451123,0.175); #143640=VECTOR('',#451130,0.175000000000001); #143641=VECTOR('',#451137,0.175000000000001); #143642=VECTOR('',#451144,0.175000000000001); #143643=VECTOR('',#451151,0.175); #143644=VECTOR('',#451158,0.175000000000001); #143645=VECTOR('',#451165,0.499999999999998); #143646=VECTOR('',#451170,10.); #143647=VECTOR('',#451171,10.); #143648=VECTOR('',#451172,10.); #143649=VECTOR('',#451173,10.); #143650=VECTOR('',#451180,10.); #143651=VECTOR('',#451183,10.); #143652=VECTOR('',#451184,10.); #143653=VECTOR('',#451185,10.); #143654=VECTOR('',#451196,0.175000000000001); #143655=VECTOR('',#451201,10.); #143656=VECTOR('',#451202,10.); #143657=VECTOR('',#451203,10.); #143658=VECTOR('',#451204,10.); #143659=VECTOR('',#451211,10.); #143660=VECTOR('',#451214,10.); #143661=VECTOR('',#451215,10.); #143662=VECTOR('',#451216,10.); #143663=VECTOR('',#451227,0.175000000000001); #143664=VECTOR('',#451234,0.150000000000001); #143665=VECTOR('',#451241,0.175000000000001); #143666=VECTOR('',#451246,10.); #143667=VECTOR('',#451247,10.); #143668=VECTOR('',#451248,10.); #143669=VECTOR('',#451249,10.); #143670=VECTOR('',#451256,10.); #143671=VECTOR('',#451259,10.); #143672=VECTOR('',#451260,10.); #143673=VECTOR('',#451261,10.); #143674=VECTOR('',#451272,0.175000000000001); #143675=VECTOR('',#451279,0.150000000000001); #143676=VECTOR('',#451286,0.175000000000001); #143677=VECTOR('',#451293,0.150000000000001); #143678=VECTOR('',#451300,0.175000000000001); #143679=VECTOR('',#451307,0.150000000000001); #143680=VECTOR('',#451314,0.175000000000001); #143681=VECTOR('',#451321,0.150000000000001); #143682=VECTOR('',#451328,0.175000000000001); #143683=VECTOR('',#451335,0.175000000000001); #143684=VECTOR('',#451340,10.); #143685=VECTOR('',#451341,10.); #143686=VECTOR('',#451342,10.); #143687=VECTOR('',#451343,10.); #143688=VECTOR('',#451350,10.); #143689=VECTOR('',#451353,10.); #143690=VECTOR('',#451354,10.); #143691=VECTOR('',#451355,10.); #143692=VECTOR('',#451366,0.175000000000001); #143693=VECTOR('',#451371,10.); #143694=VECTOR('',#451372,10.); #143695=VECTOR('',#451373,10.); #143696=VECTOR('',#451374,10.); #143697=VECTOR('',#451381,10.); #143698=VECTOR('',#451384,10.); #143699=VECTOR('',#451385,10.); #143700=VECTOR('',#451386,10.); #143701=VECTOR('',#451397,0.175000000000001); #143702=VECTOR('',#451402,10.); #143703=VECTOR('',#451403,10.); #143704=VECTOR('',#451404,10.); #143705=VECTOR('',#451405,10.); #143706=VECTOR('',#451412,10.); #143707=VECTOR('',#451415,10.); #143708=VECTOR('',#451416,10.); #143709=VECTOR('',#451417,10.); #143710=VECTOR('',#451428,0.175000000000001); #143711=VECTOR('',#451435,0.175000000000001); #143712=VECTOR('',#451442,0.175000000000001); #143713=VECTOR('',#451449,0.175000000000001); #143714=VECTOR('',#451456,0.600000000000001); #143715=VECTOR('',#451463,0.175000000000001); #143716=VECTOR('',#451470,0.175000000000001); #143717=VECTOR('',#451477,0.175000000000001); #143718=VECTOR('',#451484,0.175000000000001); #143719=VECTOR('',#451491,0.175000000000001); #143720=VECTOR('',#451498,0.175000000000001); #143721=VECTOR('',#451505,0.175000000000001); #143722=VECTOR('',#451512,0.175000000000001); #143723=VECTOR('',#451519,0.175000000000001); #143724=VECTOR('',#451526,0.175000000000001); #143725=VECTOR('',#451533,0.175000000000001); #143726=VECTOR('',#451540,0.175000000000001); #143727=VECTOR('',#451547,0.175000000000001); #143728=VECTOR('',#451554,0.175000000000001); #143729=VECTOR('',#451561,0.175000000000001); #143730=VECTOR('',#451568,0.499999999999998); #143731=VECTOR('',#451575,0.175000000000001); #143732=VECTOR('',#451582,0.175000000000001); #143733=VECTOR('',#451589,0.175000000000001); #143734=VECTOR('',#451596,0.175000000000001); #143735=VECTOR('',#451603,0.175000000000001); #143736=VECTOR('',#451610,0.175000000000001); #143737=VECTOR('',#451617,0.175000000000001); #143738=VECTOR('',#451624,0.175000000000001); #143739=VECTOR('',#451631,0.175000000000001); #143740=VECTOR('',#451638,0.175000000000001); #143741=VECTOR('',#451645,0.175000000000001); #143742=VECTOR('',#451652,0.175000000000001); #143743=VECTOR('',#451659,0.175000000000001); #143744=VECTOR('',#451666,0.175000000000001); #143745=VECTOR('',#451673,0.175000000000001); #143746=VECTOR('',#451680,0.549999999999997); #143747=VECTOR('',#451687,0.175000000000001); #143748=VECTOR('',#451694,0.175000000000001); #143749=VECTOR('',#451701,0.175000000000001); #143750=VECTOR('',#451708,0.175000000000001); #143751=VECTOR('',#451715,0.175000000000001); #143752=VECTOR('',#451722,0.175000000000001); #143753=VECTOR('',#451729,0.175000000000001); #143754=VECTOR('',#451736,0.175000000000001); #143755=VECTOR('',#451743,0.175000000000001); #143756=VECTOR('',#451750,0.175000000000001); #143757=VECTOR('',#451757,0.175000000000001); #143758=VECTOR('',#451764,0.175000000000001); #143759=VECTOR('',#451771,0.175000000000001); #143760=VECTOR('',#451778,0.175000000000001); #143761=VECTOR('',#451785,0.175000000000001); #143762=VECTOR('',#451792,0.499999999999998); #143763=VECTOR('',#451799,0.175000000000001); #143764=VECTOR('',#451806,0.175000000000001); #143765=VECTOR('',#451813,0.175000000000001); #143766=VECTOR('',#451820,0.175000000000001); #143767=VECTOR('',#451827,0.175000000000001); #143768=VECTOR('',#451834,0.175000000000001); #143769=VECTOR('',#451841,0.175000000000001); #143770=VECTOR('',#451848,0.175000000000001); #143771=VECTOR('',#451855,0.175000000000001); #143772=VECTOR('',#451862,0.175000000000001); #143773=VECTOR('',#451869,0.175000000000001); #143774=VECTOR('',#451876,0.175000000000001); #143775=VECTOR('',#451883,0.175000000000001); #143776=VECTOR('',#451890,0.175000000000001); #143777=VECTOR('',#451897,0.175000000000001); #143778=VECTOR('',#451904,0.600000000000001); #143779=VECTOR('',#451911,0.175000000000001); #143780=VECTOR('',#451918,0.175000000000001); #143781=VECTOR('',#451925,0.175000000000001); #143782=VECTOR('',#451932,0.175000000000001); #143783=VECTOR('',#451939,0.175000000000001); #143784=VECTOR('',#451946,0.175000000000001); #143785=VECTOR('',#451953,0.175000000000001); #143786=VECTOR('',#451960,0.175000000000001); #143787=VECTOR('',#451967,0.175000000000001); #143788=VECTOR('',#451974,0.175000000000001); #143789=VECTOR('',#451981,0.175000000000001); #143790=VECTOR('',#451988,0.175000000000001); #143791=VECTOR('',#451995,0.175000000000001); #143792=VECTOR('',#452002,0.175000000000001); #143793=VECTOR('',#452009,0.175000000000001); #143794=VECTOR('',#452016,0.499999999999998); #143795=VECTOR('',#452023,0.175000000000001); #143796=VECTOR('',#452030,0.175000000000001); #143797=VECTOR('',#452037,0.175000000000001); #143798=VECTOR('',#452044,0.175000000000001); #143799=VECTOR('',#452051,0.175000000000001); #143800=VECTOR('',#452058,0.175000000000001); #143801=VECTOR('',#452065,0.175000000000001); #143802=VECTOR('',#452072,0.175000000000001); #143803=VECTOR('',#452079,0.175000000000001); #143804=VECTOR('',#452086,0.175000000000001); #143805=VECTOR('',#452093,0.175000000000001); #143806=VECTOR('',#452100,0.175000000000001); #143807=VECTOR('',#452107,0.175000000000001); #143808=VECTOR('',#452114,0.175000000000001); #143809=VECTOR('',#452121,0.175000000000001); #143810=VECTOR('',#452128,0.549999999999997); #143811=VECTOR('',#452135,0.175000000000001); #143812=VECTOR('',#452142,0.175000000000001); #143813=VECTOR('',#452149,0.175000000000001); #143814=VECTOR('',#452156,0.175000000000001); #143815=VECTOR('',#452163,0.175000000000001); #143816=VECTOR('',#452170,0.175000000000001); #143817=VECTOR('',#452177,0.175000000000001); #143818=VECTOR('',#452184,0.175000000000001); #143819=VECTOR('',#452191,0.175000000000001); #143820=VECTOR('',#452198,0.175000000000001); #143821=VECTOR('',#452205,0.175000000000001); #143822=VECTOR('',#452212,0.175000000000001); #143823=VECTOR('',#452219,0.175000000000001); #143824=VECTOR('',#452226,0.175000000000001); #143825=VECTOR('',#452233,0.175000000000001); #143826=VECTOR('',#452240,0.499999999999998); #143827=VECTOR('',#452247,0.175000000000001); #143828=VECTOR('',#452254,0.175000000000001); #143829=VECTOR('',#452261,0.175000000000001); #143830=VECTOR('',#452268,0.175000000000001); #143831=VECTOR('',#452275,0.175000000000001); #143832=VECTOR('',#452282,0.175000000000001); #143833=VECTOR('',#452289,0.175000000000001); #143834=VECTOR('',#452296,0.175000000000001); #143835=VECTOR('',#452303,0.175000000000001); #143836=VECTOR('',#452310,0.175000000000001); #143837=VECTOR('',#452317,0.175000000000001); #143838=VECTOR('',#452324,0.175000000000001); #143839=VECTOR('',#452331,0.175000000000001); #143840=VECTOR('',#452338,0.175000000000001); #143841=VECTOR('',#452345,0.175000000000001); #143842=VECTOR('',#452352,34.); #143843=VECTOR('',#452365,0.325); #143844=VECTOR('',#452372,0.325); #143845=VECTOR('',#452379,0.600000000000001); #143846=VECTOR('',#452386,0.175000000000001); #143847=VECTOR('',#452393,0.175000000000001); #143848=VECTOR('',#452400,0.175000000000001); #143849=VECTOR('',#452407,0.175000000000001); #143850=VECTOR('',#452414,0.175000000000001); #143851=VECTOR('',#452421,0.175000000000001); #143852=VECTOR('',#452428,0.175000000000001); #143853=VECTOR('',#452435,0.175000000000001); #143854=VECTOR('',#452442,0.175000000000001); #143855=VECTOR('',#452449,0.175000000000001); #143856=VECTOR('',#452456,0.175000000000001); #143857=VECTOR('',#452463,0.175000000000001); #143858=VECTOR('',#452470,0.175000000000001); #143859=VECTOR('',#452477,0.175000000000001); #143860=VECTOR('',#452484,0.175000000000001); #143861=VECTOR('',#452491,0.5); #143862=VECTOR('',#452498,0.175000000000001); #143863=VECTOR('',#452505,0.175000000000001); #143864=VECTOR('',#452512,0.175000000000001); #143865=VECTOR('',#452519,0.175000000000001); #143866=VECTOR('',#452526,0.175000000000001); #143867=VECTOR('',#452533,0.175000000000001); #143868=VECTOR('',#452540,0.175000000000001); #143869=VECTOR('',#452547,0.175000000000001); #143870=VECTOR('',#452554,0.175000000000001); #143871=VECTOR('',#452561,0.175000000000001); #143872=VECTOR('',#452568,0.175000000000001); #143873=VECTOR('',#452575,0.175000000000001); #143874=VECTOR('',#452582,0.175000000000001); #143875=VECTOR('',#452589,0.175000000000001); #143876=VECTOR('',#452596,0.175000000000001); #143877=VECTOR('',#452603,0.550000000000002); #143878=VECTOR('',#452610,0.175000000000001); #143879=VECTOR('',#452617,0.175000000000001); #143880=VECTOR('',#452624,0.175000000000001); #143881=VECTOR('',#452631,0.175000000000001); #143882=VECTOR('',#452638,0.175000000000001); #143883=VECTOR('',#452645,0.175000000000001); #143884=VECTOR('',#452652,0.175000000000001); #143885=VECTOR('',#452659,0.175000000000001); #143886=VECTOR('',#452666,0.175000000000001); #143887=VECTOR('',#452673,0.175000000000001); #143888=VECTOR('',#452680,0.175000000000001); #143889=VECTOR('',#452687,0.175000000000001); #143890=VECTOR('',#452694,0.175000000000001); #143891=VECTOR('',#452701,0.175000000000001); #143892=VECTOR('',#452708,0.175000000000001); #143893=VECTOR('',#452715,0.5); #143894=VECTOR('',#452722,0.175000000000001); #143895=VECTOR('',#452729,0.175000000000001); #143896=VECTOR('',#452736,0.175000000000001); #143897=VECTOR('',#452743,0.175000000000001); #143898=VECTOR('',#452750,0.175000000000001); #143899=VECTOR('',#452757,0.175000000000001); #143900=VECTOR('',#452764,0.175000000000001); #143901=VECTOR('',#452771,0.175000000000001); #143902=VECTOR('',#452778,0.175000000000001); #143903=VECTOR('',#452785,0.175000000000001); #143904=VECTOR('',#452792,0.175000000000001); #143905=VECTOR('',#452799,0.175000000000001); #143906=VECTOR('',#452806,0.175000000000001); #143907=VECTOR('',#452813,0.175000000000001); #143908=VECTOR('',#452820,0.175000000000001); #143909=VECTOR('',#452827,0.600000000000001); #143910=VECTOR('',#452834,0.175000000000001); #143911=VECTOR('',#452841,0.175000000000001); #143912=VECTOR('',#452848,0.175000000000001); #143913=VECTOR('',#452855,0.175000000000001); #143914=VECTOR('',#452862,0.175000000000001); #143915=VECTOR('',#452869,0.175); #143916=VECTOR('',#452876,0.175000000000001); #143917=VECTOR('',#452883,0.175000000000001); #143918=VECTOR('',#452890,0.175000000000001); #143919=VECTOR('',#452897,0.175000000000001); #143920=VECTOR('',#452904,0.175000000000001); #143921=VECTOR('',#452911,0.175000000000001); #143922=VECTOR('',#452918,0.175000000000001); #143923=VECTOR('',#452925,0.175); #143924=VECTOR('',#452932,0.175000000000001); #143925=VECTOR('',#452939,0.175000000000001); #143926=VECTOR('',#452946,0.175000000000001); #143927=VECTOR('',#452953,0.175000000000001); #143928=VECTOR('',#452960,0.175000000000001); #143929=VECTOR('',#452967,0.175000000000001); #143930=VECTOR('',#452974,0.175000000000001); #143931=VECTOR('',#452981,0.175000000000001); #143932=VECTOR('',#452988,0.175000000000001); #143933=VECTOR('',#452995,0.175000000000001); #143934=VECTOR('',#453002,0.175000000000001); #143935=VECTOR('',#453009,0.175000000000001); #143936=VECTOR('',#453016,0.175000000000001); #143937=VECTOR('',#453023,0.175000000000001); #143938=VECTOR('',#453030,0.175000000000001); #143939=VECTOR('',#453037,0.175000000000001); #143940=VECTOR('',#453044,0.175000000000001); #143941=VECTOR('',#453051,0.499999999999998); #143942=VECTOR('',#453058,0.175000000000001); #143943=VECTOR('',#453065,0.175000000000001); #143944=VECTOR('',#453072,0.175000000000001); #143945=VECTOR('',#453079,0.175000000000001); #143946=VECTOR('',#453086,0.175000000000001); #143947=VECTOR('',#453093,0.175000000000001); #143948=VECTOR('',#453100,0.175000000000001); #143949=VECTOR('',#453107,0.175000000000001); #143950=VECTOR('',#453114,0.175000000000001); #143951=VECTOR('',#453121,0.175000000000001); #143952=VECTOR('',#453128,0.175000000000001); #143953=VECTOR('',#453135,0.175000000000001); #143954=VECTOR('',#453142,0.175000000000001); #143955=VECTOR('',#453149,0.175000000000001); #143956=VECTOR('',#453156,0.175000000000001); #143957=VECTOR('',#453163,0.499999999999998); #143958=VECTOR('',#453170,0.175000000000001); #143959=VECTOR('',#453177,0.175000000000001); #143960=VECTOR('',#453184,0.175000000000001); #143961=VECTOR('',#453191,0.175000000000001); #143962=VECTOR('',#453198,0.175000000000001); #143963=VECTOR('',#453205,0.175000000000001); #143964=VECTOR('',#453212,0.175000000000001); #143965=VECTOR('',#453219,0.175000000000001); #143966=VECTOR('',#453226,0.175000000000001); #143967=VECTOR('',#453233,0.175000000000001); #143968=VECTOR('',#453240,0.175000000000001); #143969=VECTOR('',#453247,0.175000000000001); #143970=VECTOR('',#453254,0.175000000000001); #143971=VECTOR('',#453261,0.175000000000001); #143972=VECTOR('',#453268,0.175000000000001); #143973=VECTOR('',#453275,0.550000000000002); #143974=VECTOR('',#453282,0.150000000000001); #143975=VECTOR('',#453289,0.175); #143976=VECTOR('',#453296,0.150000000000001); #143977=VECTOR('',#453303,0.175000000000001); #143978=VECTOR('',#453310,0.175000000000001); #143979=VECTOR('',#453317,0.175); #143980=VECTOR('',#453324,0.150000000000001); #143981=VECTOR('',#453331,0.175000000000001); #143982=VECTOR('',#453338,0.175000000000001); #143983=VECTOR('',#453345,0.175); #143984=VECTOR('',#453352,0.175000000000001); #143985=VECTOR('',#453359,0.175000000000001); #143986=VECTOR('',#453366,0.175000000000001); #143987=VECTOR('',#453373,0.175); #143988=VECTOR('',#453380,0.175000000000001); #143989=VECTOR('',#453387,0.175000000000001); #143990=VECTOR('',#453394,0.175000000000001); #143991=VECTOR('',#453401,0.175); #143992=VECTOR('',#453408,0.175000000000001); #143993=VECTOR('',#453415,0.175000000000001); #143994=VECTOR('',#453422,0.175000000000001); #143995=VECTOR('',#453429,0.175000000000001); #143996=VECTOR('',#453436,0.175000000000001); #143997=VECTOR('',#453443,0.175000000000001); #143998=VECTOR('',#453450,0.175000000000001); #143999=VECTOR('',#453457,0.175); #144000=VECTOR('',#453464,0.175000000000001); #144001=VECTOR('',#453471,0.175000000000001); #144002=VECTOR('',#453478,0.175000000000001); #144003=VECTOR('',#453485,0.175); #144004=VECTOR('',#453492,0.175000000000001); #144005=VECTOR('',#453499,0.499999999999998); #144006=VECTOR('',#453504,10.); #144007=VECTOR('',#453505,10.); #144008=VECTOR('',#453506,10.); #144009=VECTOR('',#453507,10.); #144010=VECTOR('',#453514,10.); #144011=VECTOR('',#453517,10.); #144012=VECTOR('',#453518,10.); #144013=VECTOR('',#453519,10.); #144014=VECTOR('',#453530,0.175000000000001); #144015=VECTOR('',#453535,10.); #144016=VECTOR('',#453536,10.); #144017=VECTOR('',#453537,10.); #144018=VECTOR('',#453538,10.); #144019=VECTOR('',#453545,10.); #144020=VECTOR('',#453548,10.); #144021=VECTOR('',#453549,10.); #144022=VECTOR('',#453550,10.); #144023=VECTOR('',#453561,0.175000000000001); #144024=VECTOR('',#453568,0.150000000000001); #144025=VECTOR('',#453575,0.175000000000001); #144026=VECTOR('',#453580,10.); #144027=VECTOR('',#453581,10.); #144028=VECTOR('',#453582,10.); #144029=VECTOR('',#453583,10.); #144030=VECTOR('',#453590,10.); #144031=VECTOR('',#453593,10.); #144032=VECTOR('',#453594,10.); #144033=VECTOR('',#453595,10.); #144034=VECTOR('',#453606,0.175000000000001); #144035=VECTOR('',#453613,0.150000000000001); #144036=VECTOR('',#453620,0.175000000000001); #144037=VECTOR('',#453627,0.150000000000001); #144038=VECTOR('',#453634,0.175000000000001); #144039=VECTOR('',#453641,0.150000000000001); #144040=VECTOR('',#453648,0.175000000000001); #144041=VECTOR('',#453655,0.150000000000001); #144042=VECTOR('',#453662,0.175000000000001); #144043=VECTOR('',#453669,0.175000000000001); #144044=VECTOR('',#453674,10.); #144045=VECTOR('',#453675,10.); #144046=VECTOR('',#453676,10.); #144047=VECTOR('',#453677,10.); #144048=VECTOR('',#453684,10.); #144049=VECTOR('',#453687,10.); #144050=VECTOR('',#453688,10.); #144051=VECTOR('',#453689,10.); #144052=VECTOR('',#453700,0.175000000000001); #144053=VECTOR('',#453705,10.); #144054=VECTOR('',#453706,10.); #144055=VECTOR('',#453707,10.); #144056=VECTOR('',#453708,10.); #144057=VECTOR('',#453715,10.); #144058=VECTOR('',#453718,10.); #144059=VECTOR('',#453719,10.); #144060=VECTOR('',#453720,10.); #144061=VECTOR('',#453731,0.175000000000001); #144062=VECTOR('',#453736,10.); #144063=VECTOR('',#453737,10.); #144064=VECTOR('',#453738,10.); #144065=VECTOR('',#453739,10.); #144066=VECTOR('',#453746,10.); #144067=VECTOR('',#453749,10.); #144068=VECTOR('',#453750,10.); #144069=VECTOR('',#453751,10.); #144070=VECTOR('',#453762,0.175000000000001); #144071=VECTOR('',#453769,0.175000000000001); #144072=VECTOR('',#453776,0.175000000000001); #144073=VECTOR('',#453783,0.175000000000001); #144074=VECTOR('',#453790,0.600000000000001); #144075=VECTOR('',#453797,0.175000000000001); #144076=VECTOR('',#453804,0.175000000000001); #144077=VECTOR('',#453811,0.175000000000001); #144078=VECTOR('',#453818,0.175000000000001); #144079=VECTOR('',#453825,0.175000000000001); #144080=VECTOR('',#453832,0.175000000000001); #144081=VECTOR('',#453839,0.175000000000001); #144082=VECTOR('',#453846,0.175000000000001); #144083=VECTOR('',#453853,0.175000000000001); #144084=VECTOR('',#453860,0.175000000000001); #144085=VECTOR('',#453867,0.175000000000001); #144086=VECTOR('',#453874,0.175000000000001); #144087=VECTOR('',#453881,0.175000000000001); #144088=VECTOR('',#453888,0.175000000000001); #144089=VECTOR('',#453895,0.175000000000001); #144090=VECTOR('',#453902,0.499999999999998); #144091=VECTOR('',#453909,0.175000000000001); #144092=VECTOR('',#453916,0.175000000000001); #144093=VECTOR('',#453923,0.175000000000001); #144094=VECTOR('',#453930,0.175000000000001); #144095=VECTOR('',#453937,0.175000000000001); #144096=VECTOR('',#453944,0.175000000000001); #144097=VECTOR('',#453951,0.175000000000001); #144098=VECTOR('',#453958,0.175000000000001); #144099=VECTOR('',#453965,0.175000000000001); #144100=VECTOR('',#453972,0.175000000000001); #144101=VECTOR('',#453979,0.175000000000001); #144102=VECTOR('',#453986,0.175000000000001); #144103=VECTOR('',#453993,0.175000000000001); #144104=VECTOR('',#454000,0.175000000000001); #144105=VECTOR('',#454007,0.175000000000001); #144106=VECTOR('',#454014,0.549999999999997); #144107=VECTOR('',#454021,0.175000000000001); #144108=VECTOR('',#454028,0.175000000000001); #144109=VECTOR('',#454035,0.175000000000001); #144110=VECTOR('',#454042,0.175000000000001); #144111=VECTOR('',#454049,0.175000000000001); #144112=VECTOR('',#454056,0.175000000000001); #144113=VECTOR('',#454063,0.175000000000001); #144114=VECTOR('',#454070,0.175000000000001); #144115=VECTOR('',#454077,0.175000000000001); #144116=VECTOR('',#454084,0.175000000000001); #144117=VECTOR('',#454091,0.175000000000001); #144118=VECTOR('',#454098,0.175000000000001); #144119=VECTOR('',#454105,0.175000000000001); #144120=VECTOR('',#454112,0.175000000000001); #144121=VECTOR('',#454119,0.175000000000001); #144122=VECTOR('',#454126,0.499999999999998); #144123=VECTOR('',#454133,0.175000000000001); #144124=VECTOR('',#454140,0.175000000000001); #144125=VECTOR('',#454147,0.175000000000001); #144126=VECTOR('',#454154,0.175000000000001); #144127=VECTOR('',#454161,0.175000000000001); #144128=VECTOR('',#454168,0.175000000000001); #144129=VECTOR('',#454175,0.175000000000001); #144130=VECTOR('',#454182,0.175000000000001); #144131=VECTOR('',#454189,0.175000000000001); #144132=VECTOR('',#454196,0.175000000000001); #144133=VECTOR('',#454203,0.175000000000001); #144134=VECTOR('',#454210,0.175000000000001); #144135=VECTOR('',#454217,0.175000000000001); #144136=VECTOR('',#454224,0.175000000000001); #144137=VECTOR('',#454231,0.175000000000001); #144138=VECTOR('',#454238,0.600000000000001); #144139=VECTOR('',#454245,0.175000000000001); #144140=VECTOR('',#454252,0.175000000000001); #144141=VECTOR('',#454259,0.175000000000001); #144142=VECTOR('',#454266,0.175000000000001); #144143=VECTOR('',#454273,0.175000000000001); #144144=VECTOR('',#454280,0.175000000000001); #144145=VECTOR('',#454287,0.175000000000001); #144146=VECTOR('',#454294,0.175000000000001); #144147=VECTOR('',#454301,0.175000000000001); #144148=VECTOR('',#454308,0.175000000000001); #144149=VECTOR('',#454315,0.175000000000001); #144150=VECTOR('',#454322,0.175000000000001); #144151=VECTOR('',#454329,0.175000000000001); #144152=VECTOR('',#454336,0.175000000000001); #144153=VECTOR('',#454343,0.175000000000001); #144154=VECTOR('',#454350,0.499999999999998); #144155=VECTOR('',#454357,0.175000000000001); #144156=VECTOR('',#454364,0.175000000000001); #144157=VECTOR('',#454371,0.175000000000001); #144158=VECTOR('',#454378,0.175000000000001); #144159=VECTOR('',#454385,0.175000000000001); #144160=VECTOR('',#454392,0.175000000000001); #144161=VECTOR('',#454399,0.175000000000001); #144162=VECTOR('',#454406,0.175000000000001); #144163=VECTOR('',#454413,0.175000000000001); #144164=VECTOR('',#454420,0.175000000000001); #144165=VECTOR('',#454427,0.175000000000001); #144166=VECTOR('',#454434,0.175000000000001); #144167=VECTOR('',#454441,0.175000000000001); #144168=VECTOR('',#454448,0.175000000000001); #144169=VECTOR('',#454455,0.175000000000001); #144170=VECTOR('',#454462,0.549999999999997); #144171=VECTOR('',#454469,0.175000000000001); #144172=VECTOR('',#454476,0.175000000000001); #144173=VECTOR('',#454483,0.175000000000001); #144174=VECTOR('',#454490,0.175000000000001); #144175=VECTOR('',#454497,0.175000000000001); #144176=VECTOR('',#454504,0.175000000000001); #144177=VECTOR('',#454511,0.175000000000001); #144178=VECTOR('',#454518,0.175000000000001); #144179=VECTOR('',#454525,0.175000000000001); #144180=VECTOR('',#454532,0.175000000000001); #144181=VECTOR('',#454539,0.175000000000001); #144182=VECTOR('',#454546,0.175000000000001); #144183=VECTOR('',#454553,0.175000000000001); #144184=VECTOR('',#454560,0.175000000000001); #144185=VECTOR('',#454567,0.175000000000001); #144186=VECTOR('',#454574,0.499999999999998); #144187=VECTOR('',#454581,0.175000000000001); #144188=VECTOR('',#454588,0.175000000000001); #144189=VECTOR('',#454595,0.175000000000001); #144190=VECTOR('',#454602,0.175000000000001); #144191=VECTOR('',#454609,0.175000000000001); #144192=VECTOR('',#454616,0.175000000000001); #144193=VECTOR('',#454623,0.175000000000001); #144194=VECTOR('',#454630,0.175000000000001); #144195=VECTOR('',#454637,0.175000000000001); #144196=VECTOR('',#454644,0.175000000000001); #144197=VECTOR('',#454651,0.175000000000001); #144198=VECTOR('',#454658,0.175000000000001); #144199=VECTOR('',#454665,0.175000000000001); #144200=VECTOR('',#454672,0.175000000000001); #144201=VECTOR('',#454679,0.175000000000001); #144202=VECTOR('',#454686,34.); #144203=VECTOR('',#454701,10.); #144204=VECTOR('',#454702,10.); #144205=VECTOR('',#454703,10.); #144206=VECTOR('',#454704,10.); #144207=VECTOR('',#454707,1.); #144208=VECTOR('',#454708,10.); #144209=VECTOR('',#454709,1.); #144210=VECTOR('',#454712,1.); #144211=VECTOR('',#454713,1.); #144212=VECTOR('',#454714,1.); #144213=VECTOR('',#454715,1.); #144214=VECTOR('',#454716,1.); #144215=VECTOR('',#454717,1.); #144216=VECTOR('',#454718,1.); #144217=VECTOR('',#454719,1.); #144218=VECTOR('',#454720,1.); #144219=VECTOR('',#454721,1.); #144220=VECTOR('',#454722,1.); #144221=VECTOR('',#454723,1.); #144222=VECTOR('',#454724,1.); #144223=VECTOR('',#454725,1.); #144224=VECTOR('',#454726,1.); #144225=VECTOR('',#454727,1.); #144226=VECTOR('',#454728,1.); #144227=VECTOR('',#454729,1.); #144228=VECTOR('',#454730,1.); #144229=VECTOR('',#454731,1.); #144230=VECTOR('',#454732,1.); #144231=VECTOR('',#454733,1.); #144232=VECTOR('',#454734,10.); #144233=VECTOR('',#454735,10.); #144234=VECTOR('',#454738,10.); #144235=VECTOR('',#454739,10.); #144236=VECTOR('',#454742,10.); #144237=VECTOR('',#454743,1.); #144238=VECTOR('',#454744,1.); #144239=VECTOR('',#454747,1.); #144240=VECTOR('',#454748,10.); #144241=VECTOR('',#454749,10.); #144242=VECTOR('',#454750,10.); #144243=VECTOR('',#454751,10.); #144244=VECTOR('',#454754,1.); #144245=VECTOR('',#454755,1.); #144246=VECTOR('',#454756,1.); #144247=VECTOR('',#454757,1.); #144248=VECTOR('',#454758,1.); #144249=VECTOR('',#454759,1.); #144250=VECTOR('',#454760,1.); #144251=VECTOR('',#454761,1.); #144252=VECTOR('',#454762,1.); #144253=VECTOR('',#454763,1.); #144254=VECTOR('',#454764,1.); #144255=VECTOR('',#454765,1.); #144256=VECTOR('',#454766,1.); #144257=VECTOR('',#454767,1.); #144258=VECTOR('',#454768,1.); #144259=VECTOR('',#454769,1.); #144260=VECTOR('',#454770,1.); #144261=VECTOR('',#454771,1.); #144262=VECTOR('',#454772,1.); #144263=VECTOR('',#454773,1.); #144264=VECTOR('',#454774,1.); #144265=VECTOR('',#454777,1.); #144266=VECTOR('',#454778,1.); #144267=VECTOR('',#454779,1.); #144268=VECTOR('',#454780,1.); #144269=VECTOR('',#454781,1.); #144270=VECTOR('',#454782,1.); #144271=VECTOR('',#454783,1.); #144272=VECTOR('',#454786,1.); #144273=VECTOR('',#454787,1.); #144274=VECTOR('',#454788,1.); #144275=VECTOR('',#454789,1.); #144276=VECTOR('',#454790,1.); #144277=VECTOR('',#454791,1.); #144278=VECTOR('',#454792,1.); #144279=VECTOR('',#454795,1.); #144280=VECTOR('',#454796,1.); #144281=VECTOR('',#454797,1.); #144282=VECTOR('',#454798,1.); #144283=VECTOR('',#454799,1.); #144284=VECTOR('',#454800,1.); #144285=VECTOR('',#454801,1.); #144286=VECTOR('',#454804,1.); #144287=VECTOR('',#454805,1.); #144288=VECTOR('',#454806,1.); #144289=VECTOR('',#454809,1.); #144290=VECTOR('',#454810,1.); #144291=VECTOR('',#454811,1.); #144292=VECTOR('',#454814,1.); #144293=VECTOR('',#454815,1.); #144294=VECTOR('',#454816,1.); #144295=VECTOR('',#454817,1.); #144296=VECTOR('',#454818,1.); #144297=VECTOR('',#454819,1.); #144298=VECTOR('',#454820,1.); #144299=VECTOR('',#454823,1.); #144300=VECTOR('',#454824,1.); #144301=VECTOR('',#454825,1.); #144302=VECTOR('',#454828,1.); #144303=VECTOR('',#454829,1.); #144304=VECTOR('',#454830,1.); #144305=VECTOR('',#454831,1.); #144306=VECTOR('',#454832,1.); #144307=VECTOR('',#454833,1.); #144308=VECTOR('',#454834,1.); #144309=VECTOR('',#454835,1.); #144310=VECTOR('',#454836,1.); #144311=VECTOR('',#454837,1.); #144312=VECTOR('',#454838,1.); #144313=VECTOR('',#454839,1.); #144314=VECTOR('',#454842,1.); #144315=VECTOR('',#454843,1.); #144316=VECTOR('',#454850,1.00000000000064); #144317=VECTOR('',#454851,1.); #144318=VECTOR('',#454852,1.00000000000064); #144319=VECTOR('',#454853,1.); #144320=VECTOR('',#454856,1.); #144321=VECTOR('',#454859,1.); #144322=VECTOR('',#454862,1.); #144323=VECTOR('',#454871,1.); #144324=VECTOR('',#454872,1.); #144325=VECTOR('',#454873,1.); #144326=VECTOR('',#454874,1.); #144327=VECTOR('',#454877,1.); #144328=VECTOR('',#454878,1.); #144329=VECTOR('',#454879,1.); #144330=VECTOR('',#454882,1.); #144331=VECTOR('',#454883,1.); #144332=VECTOR('',#454884,1.); #144333=VECTOR('',#454887,1.); #144334=VECTOR('',#454890,1.); #144335=VECTOR('',#454893,1.); #144336=VECTOR('',#454894,1.); #144337=VECTOR('',#454895,1.); #144338=VECTOR('',#454898,1.); #144339=VECTOR('',#454899,1.); #144340=VECTOR('',#454900,1.); #144341=VECTOR('',#454903,1.); #144342=VECTOR('',#454906,1.); #144343=VECTOR('',#454909,1.); #144344=VECTOR('',#454910,1.); #144345=VECTOR('',#454911,1.); #144346=VECTOR('',#454912,1.); #144347=VECTOR('',#454915,0.999999999999891); #144348=VECTOR('',#454916,0.999999999999891); #144349=VECTOR('',#454919,0.999999999999891); #144350=VECTOR('',#454922,0.999999999999891); #144351=VECTOR('',#454927,0.999999999999891); #144352=VECTOR('',#454928,0.999999999999891); #144353=VECTOR('',#454931,0.999999999999891); #144354=VECTOR('',#454934,0.999999999999891); #144355=VECTOR('',#454945,1.); #144356=VECTOR('',#454948,1.); #144357=VECTOR('',#454953,1.); #144358=VECTOR('',#454954,1.); #144359=VECTOR('',#454955,1.); #144360=VECTOR('',#454956,1.); #144361=VECTOR('',#454959,1.); #144362=VECTOR('',#454960,1.); #144363=VECTOR('',#454961,1.); #144364=VECTOR('',#454964,1.); #144365=VECTOR('',#454965,1.); #144366=VECTOR('',#454966,1.); #144367=VECTOR('',#454969,1.); #144368=VECTOR('',#454972,1.); #144369=VECTOR('',#454975,1.); #144370=VECTOR('',#454976,1.); #144371=VECTOR('',#454977,1.); #144372=VECTOR('',#454980,1.); #144373=VECTOR('',#454981,1.); #144374=VECTOR('',#454982,1.); #144375=VECTOR('',#454985,1.); #144376=VECTOR('',#454988,1.); #144377=VECTOR('',#454991,1.); #144378=VECTOR('',#454992,1.); #144379=VECTOR('',#454993,1.); #144380=VECTOR('',#454994,1.); #144381=VECTOR('',#454997,1.); #144382=VECTOR('',#454998,1.); #144383=VECTOR('',#454999,1.); #144384=VECTOR('',#455000,1.); #144385=VECTOR('',#455003,1.); #144386=VECTOR('',#455004,1.); #144387=VECTOR('',#455005,1.); #144388=VECTOR('',#455008,1.); #144389=VECTOR('',#455009,1.); #144390=VECTOR('',#455010,1.); #144391=VECTOR('',#455013,1.); #144392=VECTOR('',#455016,1.); #144393=VECTOR('',#455019,1.); #144394=VECTOR('',#455020,1.); #144395=VECTOR('',#455021,1.); #144396=VECTOR('',#455024,1.); #144397=VECTOR('',#455025,1.); #144398=VECTOR('',#455026,1.); #144399=VECTOR('',#455029,1.); #144400=VECTOR('',#455032,1.); #144401=VECTOR('',#455035,1.); #144402=VECTOR('',#455036,1.); #144403=VECTOR('',#455037,1.); #144404=VECTOR('',#455038,1.); #144405=VECTOR('',#455041,1.); #144406=VECTOR('',#455042,1.); #144407=VECTOR('',#455043,1.); #144408=VECTOR('',#455044,1.); #144409=VECTOR('',#455047,1.); #144410=VECTOR('',#455048,1.); #144411=VECTOR('',#455049,1.); #144412=VECTOR('',#455052,1.); #144413=VECTOR('',#455053,1.); #144414=VECTOR('',#455054,1.); #144415=VECTOR('',#455057,1.); #144416=VECTOR('',#455060,1.); #144417=VECTOR('',#455063,1.); #144418=VECTOR('',#455064,1.); #144419=VECTOR('',#455065,1.); #144420=VECTOR('',#455068,1.); #144421=VECTOR('',#455069,1.); #144422=VECTOR('',#455070,1.); #144423=VECTOR('',#455073,1.); #144424=VECTOR('',#455076,1.); #144425=VECTOR('',#455079,1.); #144426=VECTOR('',#455080,1.); #144427=VECTOR('',#455081,1.); #144428=VECTOR('',#455082,1.); #144429=VECTOR('',#455085,0.999999999999891); #144430=VECTOR('',#455086,0.999999999999891); #144431=VECTOR('',#455089,0.999999999999891); #144432=VECTOR('',#455092,0.999999999999891); #144433=VECTOR('',#455097,0.999999999999891); #144434=VECTOR('',#455098,0.999999999999891); #144435=VECTOR('',#455101,0.999999999999891); #144436=VECTOR('',#455104,0.999999999999891); #144437=VECTOR('',#455109,0.999999999999891); #144438=VECTOR('',#455110,0.999999999999891); #144439=VECTOR('',#455113,0.999999999999891); #144440=VECTOR('',#455116,0.999999999999891); #144441=VECTOR('',#455121,0.999999999999891); #144442=VECTOR('',#455122,0.999999999999891); #144443=VECTOR('',#455125,0.999999999999891); #144444=VECTOR('',#455128,0.999999999999891); #144445=VECTOR('',#455133,0.999999999999891); #144446=VECTOR('',#455134,0.999999999999891); #144447=VECTOR('',#455137,0.999999999999891); #144448=VECTOR('',#455140,0.999999999999891); #144449=VECTOR('',#455145,0.999999999999891); #144450=VECTOR('',#455146,0.999999999999891); #144451=VECTOR('',#455149,0.999999999999891); #144452=VECTOR('',#455152,0.999999999999891); #144453=VECTOR('',#455209,10.); #144454=VECTOR('',#455210,10.); #144455=VECTOR('',#455229,10.); #144456=VECTOR('',#455230,10.); #144457=VECTOR('',#455233,10.); #144458=VECTOR('',#455234,10.); #144459=VECTOR('',#455245,10.); #144460=VECTOR('',#455246,10.); #144461=VECTOR('',#455249,10.); #144462=VECTOR('',#455250,10.); #144463=VECTOR('',#455253,10.); #144464=VECTOR('',#455254,10.); #144465=VECTOR('',#455257,10.); #144466=VECTOR('',#455258,10.); #144467=VECTOR('',#455261,10.); #144468=VECTOR('',#455262,10.); #144469=VECTOR('',#455265,10.); #144470=VECTOR('',#455266,10.); #144471=VECTOR('',#455269,10.); #144472=VECTOR('',#455270,10.); #144473=VECTOR('',#455273,10.); #144474=VECTOR('',#455274,10.); #144475=VECTOR('',#455277,10.); #144476=VECTOR('',#455278,10.); #144477=VECTOR('',#455333,10.); #144478=VECTOR('',#455334,10.); #144479=VECTOR('',#455353,10.); #144480=VECTOR('',#455354,10.); #144481=VECTOR('',#455357,10.); #144482=VECTOR('',#455358,10.); #144483=VECTOR('',#455369,10.); #144484=VECTOR('',#455370,10.); #144485=VECTOR('',#455373,10.); #144486=VECTOR('',#455374,10.); #144487=VECTOR('',#455377,10.); #144488=VECTOR('',#455378,10.); #144489=VECTOR('',#455381,10.); #144490=VECTOR('',#455382,10.); #144491=VECTOR('',#455385,10.); #144492=VECTOR('',#455386,10.); #144493=VECTOR('',#455389,10.); #144494=VECTOR('',#455390,10.); #144495=VECTOR('',#455393,10.); #144496=VECTOR('',#455394,10.); #144497=VECTOR('',#455397,10.); #144498=VECTOR('',#455398,10.); #144499=VECTOR('',#455401,10.); #144500=VECTOR('',#455402,10.); #144501=VECTOR('',#455417,10.); #144502=VECTOR('',#455418,10.); #144503=VECTOR('',#455419,10.); #144504=VECTOR('',#455420,10.); #144505=VECTOR('',#455423,10.); #144506=VECTOR('',#455424,10.); #144507=VECTOR('',#455425,10.); #144508=VECTOR('',#455428,10.); #144509=VECTOR('',#455429,10.); #144510=VECTOR('',#455430,10.); #144511=VECTOR('',#455433,10.); #144512=VECTOR('',#455434,10.); #144513=VECTOR('',#455441,10.); #144514=VECTOR('',#455442,10.); #144515=VECTOR('',#455443,10.); #144516=VECTOR('',#455444,10.); #144517=VECTOR('',#455447,10.); #144518=VECTOR('',#455448,10.); #144519=VECTOR('',#455449,10.); #144520=VECTOR('',#455450,10.); #144521=VECTOR('',#455453,10.); #144522=VECTOR('',#455454,10.); #144523=VECTOR('',#455457,10.); #144524=VECTOR('',#455460,10.); #144525=VECTOR('',#455465,10.); #144526=VECTOR('',#455466,10.); #144527=VECTOR('',#455467,10.); #144528=VECTOR('',#455468,10.); #144529=VECTOR('',#455471,10.); #144530=VECTOR('',#455472,10.); #144531=VECTOR('',#455473,10.); #144532=VECTOR('',#455476,10.); #144533=VECTOR('',#455477,10.); #144534=VECTOR('',#455478,10.); #144535=VECTOR('',#455481,10.); #144536=VECTOR('',#455482,10.); #144537=VECTOR('',#455547,1000.); #144538=VECTOR('',#455548,1000.); #144539=VECTOR('',#455549,1000.); #144540=VECTOR('',#455550,1000.); #144541=VECTOR('',#455551,1000.); #144542=VECTOR('',#455552,1000.); #144543=VECTOR('',#455553,1000.); #144544=VECTOR('',#455554,1000.); #144545=VECTOR('',#455555,1000.); #144546=VECTOR('',#455556,1000.); #144547=VECTOR('',#455557,999.999999999999); #144548=VECTOR('',#455558,1000.); #144549=VECTOR('',#455559,999.999999999999); #144550=VECTOR('',#455560,1000.); #144551=VECTOR('',#455561,1000.); #144552=VECTOR('',#455562,1000.); #144553=VECTOR('',#455565,1000.); #144554=VECTOR('',#455566,1000.); #144555=VECTOR('',#455567,1000.); #144556=VECTOR('',#455568,1000.); #144557=VECTOR('',#455573,1000.); #144558=VECTOR('',#455576,1000.); #144559=VECTOR('',#455579,1000.); #144560=VECTOR('',#455582,1000.); #144561=VECTOR('',#455587,1000.); #144562=VECTOR('',#455590,1000.); #144563=VECTOR('',#455593,1000.); #144564=VECTOR('',#455596,1000.); #144565=VECTOR('',#455599,1000.); #144566=VECTOR('',#455600,1000.); #144567=VECTOR('',#455603,1000.); #144568=VECTOR('',#455604,1000.); #144569=VECTOR('',#455607,1000.); #144570=VECTOR('',#455608,1000.); #144571=VECTOR('',#455609,1000.); #144572=VECTOR('',#455610,1000.); #144573=VECTOR('',#455611,1000.); #144574=VECTOR('',#455612,1000.); #144575=VECTOR('',#455613,1000.); #144576=VECTOR('',#455614,1000.); #144577=VECTOR('',#455615,1000.); #144578=VECTOR('',#455616,1000.); #144579=VECTOR('',#455617,1000.); #144580=VECTOR('',#455618,1000.); #144581=VECTOR('',#455619,1000.); #144582=VECTOR('',#455620,1000.); #144583=VECTOR('',#455621,1000.); #144584=VECTOR('',#455622,1000.); #144585=VECTOR('',#455625,1000.); #144586=VECTOR('',#455626,1000.); #144587=VECTOR('',#455627,1000.); #144588=VECTOR('',#455630,1000.); #144589=VECTOR('',#455631,1000.); #144590=VECTOR('',#455632,1000.); #144591=VECTOR('',#455633,1000.); #144592=VECTOR('',#455634,1000.); #144593=VECTOR('',#455635,1000.); #144594=VECTOR('',#455636,1000.); #144595=VECTOR('',#455637,1000.); #144596=VECTOR('',#455638,1000.); #144597=VECTOR('',#455639,1000.); #144598=VECTOR('',#455640,1000.); #144599=VECTOR('',#455641,1000.); #144600=VECTOR('',#455642,1000.); #144601=VECTOR('',#455643,1000.); #144602=VECTOR('',#455644,1000.); #144603=VECTOR('',#455645,1000.); #144604=VECTOR('',#455646,1000.); #144605=VECTOR('',#455647,1000.); #144606=VECTOR('',#455648,1000.); #144607=VECTOR('',#455651,1000.); #144608=VECTOR('',#455654,1000.); #144609=VECTOR('',#455655,1000.); #144610=VECTOR('',#455656,1000.); #144611=VECTOR('',#455661,1000.); #144612=VECTOR('',#455662,1000.); #144613=VECTOR('',#455663,1000.); #144614=VECTOR('',#455664,1000.); #144615=VECTOR('',#455669,1000.); #144616=VECTOR('',#455672,1000.); #144617=VECTOR('',#455677,1000.); #144618=VECTOR('',#455678,1000.); #144619=VECTOR('',#455679,1000.); #144620=VECTOR('',#455680,1000.); #144621=VECTOR('',#455683,1000.); #144622=VECTOR('',#455684,1000.); #144623=VECTOR('',#455685,1000.); #144624=VECTOR('',#455686,1000.); #144625=VECTOR('',#455689,1000.); #144626=VECTOR('',#455690,1000.); #144627=VECTOR('',#455691,1000.); #144628=VECTOR('',#455692,1000.); #144629=VECTOR('',#455695,1000.); #144630=VECTOR('',#455696,1000.); #144631=VECTOR('',#455697,1000.); #144632=VECTOR('',#455698,1000.); #144633=VECTOR('',#455701,1000.); #144634=VECTOR('',#455702,1000.); #144635=VECTOR('',#455703,1000.); #144636=VECTOR('',#455704,1000.); #144637=VECTOR('',#455707,1000.); #144638=VECTOR('',#455708,1000.); #144639=VECTOR('',#455709,1000.); #144640=VECTOR('',#455710,1000.); #144641=VECTOR('',#455713,1000.); #144642=VECTOR('',#455714,1000.); #144643=VECTOR('',#455715,1000.); #144644=VECTOR('',#455716,1000.); #144645=VECTOR('',#455719,1000.); #144646=VECTOR('',#455720,1000.); #144647=VECTOR('',#455721,1000.); #144648=VECTOR('',#455722,1000.); #144649=VECTOR('',#455725,1000.); #144650=VECTOR('',#455726,1000.); #144651=VECTOR('',#455727,1000.); #144652=VECTOR('',#455728,1000.); #144653=VECTOR('',#455731,1000.); #144654=VECTOR('',#455732,1000.); #144655=VECTOR('',#455733,1000.); #144656=VECTOR('',#455734,1000.); #144657=VECTOR('',#455737,1000.); #144658=VECTOR('',#455738,1000.); #144659=VECTOR('',#455739,1000.); #144660=VECTOR('',#455740,1000.); #144661=VECTOR('',#455743,1000.); #144662=VECTOR('',#455744,1000.); #144663=VECTOR('',#455745,1000.); #144664=VECTOR('',#455746,1000.); #144665=VECTOR('',#455749,1000.); #144666=VECTOR('',#455750,1000.); #144667=VECTOR('',#455751,1000.); #144668=VECTOR('',#455754,1000.); #144669=VECTOR('',#455755,1000.); #144670=VECTOR('',#455756,1000.); #144671=VECTOR('',#455759,1000.); #144672=VECTOR('',#455760,1000.); #144673=VECTOR('',#455761,1000.); #144674=VECTOR('',#455762,1000.); #144675=VECTOR('',#455765,1000.); #144676=VECTOR('',#455766,1000.); #144677=VECTOR('',#455769,1000.); #144678=VECTOR('',#455770,1000.); #144679=VECTOR('',#455771,1000.); #144680=VECTOR('',#455774,1000.); #144681=VECTOR('',#455775,1000.); #144682=VECTOR('',#455776,1000.); #144683=VECTOR('',#455779,1000.); #144684=VECTOR('',#455780,1000.); #144685=VECTOR('',#455781,1000.); #144686=VECTOR('',#455782,1000.); #144687=VECTOR('',#455785,1000.); #144688=VECTOR('',#455786,1000.); #144689=VECTOR('',#455789,1000.); #144690=VECTOR('',#455790,1000.); #144691=VECTOR('',#455791,1000.); #144692=VECTOR('',#455794,1000.); #144693=VECTOR('',#455795,1000.); #144694=VECTOR('',#455796,1000.); #144695=VECTOR('',#455799,1000.); #144696=VECTOR('',#455800,1000.); #144697=VECTOR('',#455801,1000.); #144698=VECTOR('',#455802,1000.); #144699=VECTOR('',#455805,1000.); #144700=VECTOR('',#455806,1000.); #144701=VECTOR('',#455809,1000.); #144702=VECTOR('',#455810,1000.); #144703=VECTOR('',#455811,1000.); #144704=VECTOR('',#455814,1000.); #144705=VECTOR('',#455815,1000.); #144706=VECTOR('',#455816,1000.); #144707=VECTOR('',#455819,1000.); #144708=VECTOR('',#455820,1000.); #144709=VECTOR('',#455821,1000.); #144710=VECTOR('',#455822,1000.); #144711=VECTOR('',#455825,1000.); #144712=VECTOR('',#455826,1000.); #144713=VECTOR('',#455829,1000.); #144714=VECTOR('',#455830,1000.); #144715=VECTOR('',#455831,1000.); #144716=VECTOR('',#455834,1000.); #144717=VECTOR('',#455835,1000.); #144718=VECTOR('',#455836,1000.); #144719=VECTOR('',#455839,1000.); #144720=VECTOR('',#455840,1000.); #144721=VECTOR('',#455841,1000.); #144722=VECTOR('',#455842,1000.); #144723=VECTOR('',#455845,1000.); #144724=VECTOR('',#455846,1000.); #144725=VECTOR('',#455849,1000.); #144726=VECTOR('',#455850,1000.); #144727=VECTOR('',#455851,1000.); #144728=VECTOR('',#455854,1000.); #144729=VECTOR('',#455855,1000.); #144730=VECTOR('',#455856,1000.); #144731=VECTOR('',#455859,1000.); #144732=VECTOR('',#455860,1000.); #144733=VECTOR('',#455861,1000.); #144734=VECTOR('',#455862,1000.); #144735=VECTOR('',#455865,1000.); #144736=VECTOR('',#455866,1000.); #144737=VECTOR('',#455869,1000.); #144738=VECTOR('',#455870,1000.); #144739=VECTOR('',#455871,1000.); #144740=VECTOR('',#455874,1000.); #144741=VECTOR('',#455875,1000.); #144742=VECTOR('',#455876,1000.); #144743=VECTOR('',#455879,1000.); #144744=VECTOR('',#455880,1000.); #144745=VECTOR('',#455881,1000.); #144746=VECTOR('',#455884,1000.); #144747=VECTOR('',#455885,1000.); #144748=VECTOR('',#455886,1000.); #144749=VECTOR('',#455889,1000.); #144750=VECTOR('',#455890,1000.); #144751=VECTOR('',#455891,1000.); #144752=VECTOR('',#455892,1000.); #144753=VECTOR('',#455895,1000.); #144754=VECTOR('',#455896,1000.); #144755=VECTOR('',#455899,1000.); #144756=VECTOR('',#455900,1000.); #144757=VECTOR('',#455901,1000.); #144758=VECTOR('',#455904,1000.); #144759=VECTOR('',#455905,1000.); #144760=VECTOR('',#455906,1000.); #144761=VECTOR('',#455909,1000.); #144762=VECTOR('',#455910,1000.); #144763=VECTOR('',#455911,1000.); #144764=VECTOR('',#455912,1000.); #144765=VECTOR('',#455915,1000.); #144766=VECTOR('',#455916,1000.); #144767=VECTOR('',#455919,1000.); #144768=VECTOR('',#455920,1000.); #144769=VECTOR('',#455921,1000.); #144770=VECTOR('',#455924,1000.); #144771=VECTOR('',#455925,1000.); #144772=VECTOR('',#455926,1000.); #144773=VECTOR('',#455929,1000.); #144774=VECTOR('',#455930,1000.); #144775=VECTOR('',#455931,1000.); #144776=VECTOR('',#455932,1000.); #144777=VECTOR('',#455935,1000.); #144778=VECTOR('',#455936,1000.); #144779=VECTOR('',#455939,1000.); #144780=VECTOR('',#455940,1000.); #144781=VECTOR('',#455941,1000.); #144782=VECTOR('',#455944,1000.); #144783=VECTOR('',#455945,1000.); #144784=VECTOR('',#455946,1000.); #144785=VECTOR('',#455949,1000.); #144786=VECTOR('',#455950,1000.); #144787=VECTOR('',#455951,1000.); #144788=VECTOR('',#455952,1000.); #144789=VECTOR('',#455955,1000.); #144790=VECTOR('',#455956,1000.); #144791=VECTOR('',#455959,1000.); #144792=VECTOR('',#455960,1000.); #144793=VECTOR('',#455961,1000.); #144794=VECTOR('',#455964,1000.); #144795=VECTOR('',#455965,1000.); #144796=VECTOR('',#455966,1000.); #144797=VECTOR('',#455969,1000.); #144798=VECTOR('',#455970,1000.); #144799=VECTOR('',#455971,1000.); #144800=VECTOR('',#455972,1000.); #144801=VECTOR('',#455975,1000.); #144802=VECTOR('',#455976,1000.); #144803=VECTOR('',#455979,1000.); #144804=VECTOR('',#455980,1000.); #144805=VECTOR('',#455981,1000.); #144806=VECTOR('',#455984,1000.); #144807=VECTOR('',#455985,1000.); #144808=VECTOR('',#455986,1000.); #144809=VECTOR('',#455989,1000.); #144810=VECTOR('',#455990,1000.); #144811=VECTOR('',#455991,1000.); #144812=VECTOR('',#455992,1000.); #144813=VECTOR('',#455995,1000.); #144814=VECTOR('',#455996,1000.); #144815=VECTOR('',#455997,1000.); #144816=VECTOR('',#455998,1000.); #144817=VECTOR('',#455999,1000.); #144818=VECTOR('',#456002,1000.); #144819=VECTOR('',#456003,1000.); #144820=VECTOR('',#456004,1000.); #144821=VECTOR('',#456007,1000.); #144822=VECTOR('',#456008,1000.); #144823=VECTOR('',#456009,1000.); #144824=VECTOR('',#456010,1000.); #144825=VECTOR('',#456011,1000.); #144826=VECTOR('',#456012,1000.); #144827=VECTOR('',#456013,1000.); #144828=VECTOR('',#456014,1000.); #144829=VECTOR('',#456015,1000.); #144830=VECTOR('',#456016,1000.); #144831=VECTOR('',#456017,1000.); #144832=VECTOR('',#456018,1000.); #144833=VECTOR('',#456019,1000.); #144834=VECTOR('',#456022,1000.); #144835=VECTOR('',#456023,1000.); #144836=VECTOR('',#456024,1000.); #144837=VECTOR('',#456025,1000.); #144838=VECTOR('',#456026,1000.); #144839=VECTOR('',#456029,1000.); #144840=VECTOR('',#456030,1000.); #144841=VECTOR('',#456031,1000.); #144842=VECTOR('',#456032,1000.); #144843=VECTOR('',#456033,1000.); #144844=VECTOR('',#456036,1000.); #144845=VECTOR('',#456039,1000.); #144846=VECTOR('',#456044,1000.); #144847=VECTOR('',#456045,1000.); #144848=VECTOR('',#456046,1000.); #144849=VECTOR('',#456049,1000.); #144850=VECTOR('',#456050,1000.); #144851=VECTOR('',#456051,1000.); #144852=VECTOR('',#456052,1000.); #144853=VECTOR('',#456055,1000.); #144854=VECTOR('',#456056,1000.); #144855=VECTOR('',#456057,1000.); #144856=VECTOR('',#456058,1000.); #144857=VECTOR('',#456059,1000.); #144858=VECTOR('',#456060,1000.); #144859=VECTOR('',#456061,1000.); #144860=VECTOR('',#456062,1000.); #144861=VECTOR('',#456063,1000.); #144862=VECTOR('',#456064,1000.); #144863=VECTOR('',#456065,1000.); #144864=VECTOR('',#456066,1000.); #144865=VECTOR('',#456067,1000.); #144866=VECTOR('',#456068,1000.); #144867=VECTOR('',#456071,1000.); #144868=VECTOR('',#456072,1000.); #144869=VECTOR('',#456073,1000.); #144870=VECTOR('',#456074,1000.); #144871=VECTOR('',#456077,1000.); #144872=VECTOR('',#456078,1000.); #144873=VECTOR('',#456079,1000.); #144874=VECTOR('',#456082,1000.); #144875=VECTOR('',#456083,1000.); #144876=VECTOR('',#456084,1000.); #144877=VECTOR('',#456087,1000.); #144878=VECTOR('',#456090,1000.); #144879=VECTOR('',#456091,1000.); #144880=VECTOR('',#456092,1000.); #144881=VECTOR('',#456099,1000.); #144882=VECTOR('',#456100,1000.); #144883=VECTOR('',#456103,1000.); #144884=VECTOR('',#456104,1000.); #144885=VECTOR('',#456107,1000.); #144886=VECTOR('',#456108,1000.); #144887=VECTOR('',#456109,1000.); #144888=VECTOR('',#456110,1000.); #144889=VECTOR('',#456111,1000.); #144890=VECTOR('',#456114,1000.); #144891=VECTOR('',#456119,1000.); #144892=VECTOR('',#456120,1000.); #144893=VECTOR('',#456121,1000.); #144894=VECTOR('',#456122,1000.); #144895=VECTOR('',#456125,1000.); #144896=VECTOR('',#456126,1000.); #144897=VECTOR('',#456129,1000.); #144898=VECTOR('',#456130,1000.); #144899=VECTOR('',#456133,1000.); #144900=VECTOR('',#456134,1000.); #144901=VECTOR('',#456139,1000.); #144902=VECTOR('',#456144,1000.); #144903=VECTOR('',#456145,1000.); #144904=VECTOR('',#456146,1000.); #144905=VECTOR('',#456147,1000.); #144906=VECTOR('',#456156,1000.); #144907=VECTOR('',#456161,1000.); #144908=VECTOR('',#456162,1000.); #144909=VECTOR('',#456163,1000.); #144910=VECTOR('',#456166,1000.); #144911=VECTOR('',#456167,1000.); #144912=VECTOR('',#456168,1000.); #144913=VECTOR('',#456169,1000.); #144914=VECTOR('',#456170,1000.); #144915=VECTOR('',#456171,1000.); #144916=VECTOR('',#456172,1000.); #144917=VECTOR('',#456173,1000.); #144918=VECTOR('',#456174,1000.); #144919=VECTOR('',#456175,1000.); #144920=VECTOR('',#456180,1000.); #144921=VECTOR('',#456183,1000.); #144922=VECTOR('',#456188,1000.); #144923=VECTOR('',#456189,1000.); #144924=VECTOR('',#456190,1000.); #144925=VECTOR('',#456193,1000.); #144926=VECTOR('',#456196,1000.); #144927=VECTOR('',#456203,1000.); #144928=VECTOR('',#456204,1000.); #144929=VECTOR('',#456205,1000.); #144930=VECTOR('',#456206,1000.); #144931=VECTOR('',#456207,1000.); #144932=VECTOR('',#456208,1000.); #144933=VECTOR('',#456209,1000.); #144934=VECTOR('',#456212,1000.); #144935=VECTOR('',#456215,1000.); #144936=VECTOR('',#456218,1000.); #144937=VECTOR('',#456221,1000.); #144938=VECTOR('',#456224,1000.); #144939=VECTOR('',#456225,1000.); #144940=VECTOR('',#456226,1000.); #144941=VECTOR('',#456227,1000.); #144942=VECTOR('',#456230,1000.); #144943=VECTOR('',#456231,1000.); #144944=VECTOR('',#456232,1000.); #144945=VECTOR('',#456235,1000.); #144946=VECTOR('',#456236,1000.); #144947=VECTOR('',#456237,1000.); #144948=VECTOR('',#456244,1000.); #144949=VECTOR('',#456247,1000.); #144950=VECTOR('',#456248,1000.); #144951=VECTOR('',#456249,1000.); #144952=VECTOR('',#456252,1000.); #144953=VECTOR('',#456253,1000.); #144954=VECTOR('',#456254,1000.); #144955=VECTOR('',#456257,1000.); #144956=VECTOR('',#456258,1000.); #144957=VECTOR('',#456259,1000.); #144958=VECTOR('',#456260,1000.); #144959=VECTOR('',#456263,1000.); #144960=VECTOR('',#456264,1000.); #144961=VECTOR('',#456265,1000.); #144962=VECTOR('',#456266,1000.); #144963=VECTOR('',#456269,1000.); #144964=VECTOR('',#456270,1000.); #144965=VECTOR('',#456271,1000.); #144966=VECTOR('',#456280,1000.); #144967=VECTOR('',#456281,1000.); #144968=VECTOR('',#456282,1000.); #144969=VECTOR('',#456285,1000.); #144970=VECTOR('',#456286,1000.); #144971=VECTOR('',#456287,1000.); #144972=VECTOR('',#456290,1000.); #144973=VECTOR('',#456291,1000.); #144974=VECTOR('',#456294,1000.); #144975=VECTOR('',#456297,1000.); #144976=VECTOR('',#456300,1000.); #144977=VECTOR('',#456303,1000.); #144978=VECTOR('',#456306,1000.); #144979=VECTOR('',#456309,1000.); #144980=VECTOR('',#456314,1000.); #144981=VECTOR('',#456317,1000.); #144982=VECTOR('',#456320,1000.); #144983=VECTOR('',#456325,1000.); #144984=VECTOR('',#456346,1000.); #144985=VECTOR('',#456349,1000.); #144986=VECTOR('',#456354,1000.); #144987=VECTOR('',#456357,1000.); #144988=VECTOR('',#456362,1000.); #144989=VECTOR('',#456365,1000.); #144990=VECTOR('',#456366,1000.); #144991=VECTOR('',#456367,1000.); #144992=VECTOR('',#456368,1000.); #144993=VECTOR('',#456371,1000.); #144994=VECTOR('',#456376,1000.); #144995=VECTOR('',#456377,1000.); #144996=VECTOR('',#456378,1000.); #144997=VECTOR('',#456379,1000.); #144998=VECTOR('',#456380,1000.); #144999=VECTOR('',#456381,1000.); #145000=VECTOR('',#456384,1000.); #145001=VECTOR('',#456385,1000.); #145002=VECTOR('',#456386,1000.); #145003=VECTOR('',#456387,1000.); #145004=VECTOR('',#456390,1000.); #145005=VECTOR('',#456391,1000.); #145006=VECTOR('',#456394,1000.); #145007=VECTOR('',#456397,1000.); #145008=VECTOR('',#456398,1000.); #145009=VECTOR('',#456399,1000.); #145010=VECTOR('',#456400,1000.); #145011=VECTOR('',#456403,1000.); #145012=VECTOR('',#456408,1000.); #145013=VECTOR('',#456411,1000.); #145014=VECTOR('',#456416,1000.); #145015=VECTOR('',#456419,1000.); #145016=VECTOR('',#456420,1000.); #145017=VECTOR('',#456423,1000.); #145018=VECTOR('',#456424,1000.); #145019=VECTOR('',#456425,1000.); #145020=VECTOR('',#456426,1000.); #145021=VECTOR('',#456427,1000.); #145022=VECTOR('',#456430,1000.); #145023=VECTOR('',#456433,1000.); #145024=VECTOR('',#456442,0.25); #145025=VECTOR('',#456451,0.25); #145026=VECTOR('',#456460,0.15); #145027=VECTOR('',#456463,0.25); #145028=VECTOR('',#456468,1000.); #145029=VECTOR('',#456469,1000.); #145030=VECTOR('',#456476,1000.); #145031=VECTOR('',#456481,1000.); #145032=VECTOR('',#456484,1000.); #145033=VECTOR('',#456489,1000.); #145034=VECTOR('',#456490,1000.); #145035=VECTOR('',#456491,1000.); #145036=VECTOR('',#456492,1000.); #145037=VECTOR('',#456493,1000.); #145038=VECTOR('',#456494,1000.); #145039=VECTOR('',#456495,1000.); #145040=VECTOR('',#456496,1000.); #145041=VECTOR('',#456497,1000.); #145042=VECTOR('',#456498,1000.); #145043=VECTOR('',#456499,1000.); #145044=VECTOR('',#456500,1000.); #145045=VECTOR('',#456503,1000.); #145046=VECTOR('',#456508,1000.); #145047=VECTOR('',#456513,1000.); #145048=VECTOR('',#456518,1000.); #145049=VECTOR('',#456523,1000.); #145050=VECTOR('',#456528,1000.); #145051=VECTOR('',#456533,1000.); #145052=VECTOR('',#456538,1000.); #145053=VECTOR('',#456543,1000.); #145054=VECTOR('',#456548,1000.); #145055=VECTOR('',#456553,1000.); #145056=VECTOR('',#456558,1000.); #145057=VECTOR('',#456563,1000.); #145058=VECTOR('',#456564,1000.); #145059=VECTOR('',#456565,1000.); #145060=VECTOR('',#456566,1000.); #145061=VECTOR('',#456567,1000.); #145062=VECTOR('',#456570,1000.); #145063=VECTOR('',#456571,1000.); #145064=VECTOR('',#456574,1000.); #145065=VECTOR('',#456575,1000.); #145066=VECTOR('',#456576,1000.); #145067=VECTOR('',#456577,1000.); #145068=VECTOR('',#456580,1000.); #145069=VECTOR('',#456581,1000.); #145070=VECTOR('',#456582,1000.); #145071=VECTOR('',#456583,1000.); #145072=VECTOR('',#456586,1000.); #145073=VECTOR('',#456589,1000.); #145074=VECTOR('',#456590,1000.); #145075=VECTOR('',#456591,1000.); #145076=VECTOR('',#456594,1000.); #145077=VECTOR('',#456595,1000.); #145078=VECTOR('',#456596,1000.); #145079=VECTOR('',#456597,1000.); #145080=VECTOR('',#456598,1000.); #145081=VECTOR('',#456601,1000.); #145082=VECTOR('',#456602,1000.); #145083=VECTOR('',#456603,1000.); #145084=VECTOR('',#456604,1000.); #145085=VECTOR('',#456605,1000.); #145086=VECTOR('',#456606,1000.); #145087=VECTOR('',#456607,1000.); #145088=VECTOR('',#456608,1000.); #145089=VECTOR('',#456609,1000.); #145090=VECTOR('',#456610,1000.); #145091=VECTOR('',#456611,1000.); #145092=VECTOR('',#456612,1000.); #145093=VECTOR('',#456613,1000.); #145094=VECTOR('',#456614,1000.); #145095=VECTOR('',#456615,1000.); #145096=VECTOR('',#456618,1000.); #145097=VECTOR('',#456619,1000.); #145098=VECTOR('',#456622,1000.); #145099=VECTOR('',#456623,1000.); #145100=VECTOR('',#456626,1000.); #145101=VECTOR('',#456627,1000.); #145102=VECTOR('',#456632,1000.); #145103=VECTOR('',#456633,1000.); #145104=VECTOR('',#456636,1000.); #145105=VECTOR('',#456637,1000.); #145106=VECTOR('',#456640,1000.); #145107=VECTOR('',#456641,1000.); #145108=VECTOR('',#456646,1000.); #145109=VECTOR('',#456647,1000.); #145110=VECTOR('',#456650,1000.); #145111=VECTOR('',#456651,1000.); #145112=VECTOR('',#456654,1000.); #145113=VECTOR('',#456655,1000.); #145114=VECTOR('',#456658,1000.); #145115=VECTOR('',#456659,1000.); #145116=VECTOR('',#456662,1000.); #145117=VECTOR('',#456663,1000.); #145118=VECTOR('',#456666,1000.); #145119=VECTOR('',#456667,1000.); #145120=VECTOR('',#456670,1000.); #145121=VECTOR('',#456671,1000.); #145122=VECTOR('',#456674,1000.); #145123=VECTOR('',#456675,1000.); #145124=VECTOR('',#456678,1000.); #145125=VECTOR('',#456679,1000.); #145126=VECTOR('',#456682,1000.); #145127=VECTOR('',#456683,1000.); #145128=VECTOR('',#456686,1000.); #145129=VECTOR('',#456687,1000.); #145130=VECTOR('',#456690,1000.); #145131=VECTOR('',#456691,1000.); #145132=VECTOR('',#456694,1000.); #145133=VECTOR('',#456695,1000.); #145134=VECTOR('',#456698,1000.); #145135=VECTOR('',#456699,1000.); #145136=VECTOR('',#456702,1000.); #145137=VECTOR('',#456705,1000.); #145138=VECTOR('',#456706,1000.); #145139=VECTOR('',#456709,1000.); #145140=VECTOR('',#456710,1000.); #145141=VECTOR('',#456713,1000.); #145142=VECTOR('',#456714,1000.); #145143=VECTOR('',#456717,1000.); #145144=VECTOR('',#456718,1000.); #145145=VECTOR('',#456721,1000.); #145146=VECTOR('',#456722,1000.); #145147=VECTOR('',#456725,1000.); #145148=VECTOR('',#456726,1000.); #145149=VECTOR('',#456729,1000.); #145150=VECTOR('',#456730,1000.); #145151=VECTOR('',#456733,1000.); #145152=VECTOR('',#456734,1000.); #145153=VECTOR('',#456737,1000.); #145154=VECTOR('',#456738,1000.); #145155=VECTOR('',#456741,1000.); #145156=VECTOR('',#456742,1000.); #145157=VECTOR('',#456745,1000.); #145158=VECTOR('',#456746,1000.); #145159=VECTOR('',#456749,1000.); #145160=VECTOR('',#456750,1000.); #145161=VECTOR('',#456753,1000.); #145162=VECTOR('',#456754,1000.); #145163=VECTOR('',#456757,1000.); #145164=VECTOR('',#456758,1000.); #145165=VECTOR('',#456761,1000.); #145166=VECTOR('',#456766,1000.); #145167=VECTOR('',#456769,1000.); #145168=VECTOR('',#456774,1000.); #145169=VECTOR('',#456777,1000.); #145170=VECTOR('',#456784,1000.); #145171=VECTOR('',#456793,1000.); #145172=VECTOR('',#456794,1000.); #145173=VECTOR('',#456795,1000.); #145174=VECTOR('',#456796,1000.); #145175=VECTOR('',#456799,1000.); #145176=VECTOR('',#456802,1000.); #145177=VECTOR('',#456805,1000.); #145178=VECTOR('',#456806,1000.); #145179=VECTOR('',#456807,1000.); #145180=VECTOR('',#456810,1000.); #145181=VECTOR('',#456813,1000.); #145182=VECTOR('',#456816,1000.); #145183=VECTOR('',#456817,1000.); #145184=VECTOR('',#456818,1000.); #145185=VECTOR('',#456821,1000.); #145186=VECTOR('',#456824,1000.); #145187=VECTOR('',#456825,1000.); #145188=VECTOR('',#456826,1000.); #145189=VECTOR('',#456829,1000.); #145190=VECTOR('',#456834,1000.); #145191=VECTOR('',#456835,1000.); #145192=VECTOR('',#456836,1000.); #145193=VECTOR('',#456839,1000.); #145194=VECTOR('',#456840,1000.); #145195=VECTOR('',#456841,1000.); #145196=VECTOR('',#456842,1000.); #145197=VECTOR('',#456843,1000.); #145198=VECTOR('',#456846,1000.); #145199=VECTOR('',#456847,1000.); #145200=VECTOR('',#456848,1000.); #145201=VECTOR('',#456851,1000.); #145202=VECTOR('',#456852,1000.); #145203=VECTOR('',#456853,1000.); #145204=VECTOR('',#456854,1000.); #145205=VECTOR('',#456857,1000.); #145206=VECTOR('',#456858,1000.); #145207=VECTOR('',#456861,1000.); #145208=VECTOR('',#456862,1000.); #145209=VECTOR('',#456865,1000.); #145210=VECTOR('',#456866,1000.); #145211=VECTOR('',#456869,1000.); #145212=VECTOR('',#456872,1000.); #145213=VECTOR('',#456875,1000.); #145214=VECTOR('',#456880,1000.); #145215=VECTOR('',#456883,1000.); #145216=VECTOR('',#456886,1000.); #145217=VECTOR('',#456897,1000.); #145218=VECTOR('',#456898,1000.); #145219=VECTOR('',#456899,1000.); #145220=VECTOR('',#456900,1000.); #145221=VECTOR('',#456903,1000.); #145222=VECTOR('',#456906,1000.); #145223=VECTOR('',#456909,1000.); #145224=VECTOR('',#456910,1000.); #145225=VECTOR('',#456911,1000.); #145226=VECTOR('',#456914,1000.); #145227=VECTOR('',#456917,1000.); #145228=VECTOR('',#456920,1000.); #145229=VECTOR('',#456921,1000.); #145230=VECTOR('',#456922,1000.); #145231=VECTOR('',#456925,1000.); #145232=VECTOR('',#456928,1000.); #145233=VECTOR('',#456929,1000.); #145234=VECTOR('',#456930,1000.); #145235=VECTOR('',#456933,1000.); #145236=VECTOR('',#456938,1000.); #145237=VECTOR('',#456939,1000.); #145238=VECTOR('',#456940,1000.); #145239=VECTOR('',#456943,1000.); #145240=VECTOR('',#456944,1000.); #145241=VECTOR('',#456945,1000.); #145242=VECTOR('',#456946,1000.); #145243=VECTOR('',#456947,1000.); #145244=VECTOR('',#456950,1000.); #145245=VECTOR('',#456951,1000.); #145246=VECTOR('',#456952,1000.); #145247=VECTOR('',#456955,1000.); #145248=VECTOR('',#456956,1000.); #145249=VECTOR('',#456957,1000.); #145250=VECTOR('',#456958,1000.); #145251=VECTOR('',#456961,1000.); #145252=VECTOR('',#456962,1000.); #145253=VECTOR('',#456965,1000.); #145254=VECTOR('',#456966,1000.); #145255=VECTOR('',#456969,1000.); #145256=VECTOR('',#456970,1000.); #145257=VECTOR('',#456973,1000.); #145258=VECTOR('',#456976,1000.); #145259=VECTOR('',#456979,1000.); #145260=VECTOR('',#456984,1000.); #145261=VECTOR('',#456987,1000.); #145262=VECTOR('',#456990,1000.); #145263=VECTOR('',#457001,1000.); #145264=VECTOR('',#457002,1000.); #145265=VECTOR('',#457003,1000.); #145266=VECTOR('',#457004,1000.); #145267=VECTOR('',#457007,1000.); #145268=VECTOR('',#457010,1000.); #145269=VECTOR('',#457013,1000.); #145270=VECTOR('',#457014,1000.); #145271=VECTOR('',#457015,1000.); #145272=VECTOR('',#457018,1000.); #145273=VECTOR('',#457021,1000.); #145274=VECTOR('',#457024,1000.); #145275=VECTOR('',#457025,1000.); #145276=VECTOR('',#457026,1000.); #145277=VECTOR('',#457029,1000.); #145278=VECTOR('',#457032,1000.); #145279=VECTOR('',#457033,1000.); #145280=VECTOR('',#457034,1000.); #145281=VECTOR('',#457037,1000.); #145282=VECTOR('',#457042,1000.); #145283=VECTOR('',#457043,1000.); #145284=VECTOR('',#457044,1000.); #145285=VECTOR('',#457047,1000.); #145286=VECTOR('',#457048,1000.); #145287=VECTOR('',#457049,1000.); #145288=VECTOR('',#457050,1000.); #145289=VECTOR('',#457051,1000.); #145290=VECTOR('',#457054,1000.); #145291=VECTOR('',#457055,1000.); #145292=VECTOR('',#457056,1000.); #145293=VECTOR('',#457059,1000.); #145294=VECTOR('',#457060,1000.); #145295=VECTOR('',#457061,1000.); #145296=VECTOR('',#457062,1000.); #145297=VECTOR('',#457065,1000.); #145298=VECTOR('',#457066,1000.); #145299=VECTOR('',#457069,1000.); #145300=VECTOR('',#457070,1000.); #145301=VECTOR('',#457073,1000.); #145302=VECTOR('',#457074,1000.); #145303=VECTOR('',#457077,1000.); #145304=VECTOR('',#457080,1000.); #145305=VECTOR('',#457083,1000.); #145306=VECTOR('',#457088,1000.); #145307=VECTOR('',#457091,1000.); #145308=VECTOR('',#457094,1000.); #145309=VECTOR('',#457105,1000.); #145310=VECTOR('',#457106,1000.); #145311=VECTOR('',#457107,1000.); #145312=VECTOR('',#457108,1000.); #145313=VECTOR('',#457111,1000.); #145314=VECTOR('',#457114,1000.); #145315=VECTOR('',#457117,1000.); #145316=VECTOR('',#457118,1000.); #145317=VECTOR('',#457119,1000.); #145318=VECTOR('',#457122,1000.); #145319=VECTOR('',#457125,1000.); #145320=VECTOR('',#457128,1000.); #145321=VECTOR('',#457129,1000.); #145322=VECTOR('',#457130,1000.); #145323=VECTOR('',#457133,1000.); #145324=VECTOR('',#457136,1000.); #145325=VECTOR('',#457137,1000.); #145326=VECTOR('',#457138,1000.); #145327=VECTOR('',#457141,1000.); #145328=VECTOR('',#457146,1000.); #145329=VECTOR('',#457147,1000.); #145330=VECTOR('',#457148,1000.); #145331=VECTOR('',#457151,1000.); #145332=VECTOR('',#457152,1000.); #145333=VECTOR('',#457153,1000.); #145334=VECTOR('',#457154,1000.); #145335=VECTOR('',#457155,1000.); #145336=VECTOR('',#457158,1000.); #145337=VECTOR('',#457159,1000.); #145338=VECTOR('',#457160,1000.); #145339=VECTOR('',#457163,1000.); #145340=VECTOR('',#457164,1000.); #145341=VECTOR('',#457165,1000.); #145342=VECTOR('',#457166,1000.); #145343=VECTOR('',#457169,1000.); #145344=VECTOR('',#457170,1000.); #145345=VECTOR('',#457173,1000.); #145346=VECTOR('',#457174,1000.); #145347=VECTOR('',#457177,1000.); #145348=VECTOR('',#457178,1000.); #145349=VECTOR('',#457181,1000.); #145350=VECTOR('',#457184,1000.); #145351=VECTOR('',#457187,1000.); #145352=VECTOR('',#457192,1000.); #145353=VECTOR('',#457195,1000.); #145354=VECTOR('',#457198,1000.); #145355=VECTOR('',#457209,1000.); #145356=VECTOR('',#457210,1000.); #145357=VECTOR('',#457211,1000.); #145358=VECTOR('',#457212,1000.); #145359=VECTOR('',#457215,1000.); #145360=VECTOR('',#457218,1000.); #145361=VECTOR('',#457221,1000.); #145362=VECTOR('',#457222,1000.); #145363=VECTOR('',#457223,1000.); #145364=VECTOR('',#457226,1000.); #145365=VECTOR('',#457229,1000.); #145366=VECTOR('',#457232,1000.); #145367=VECTOR('',#457233,1000.); #145368=VECTOR('',#457234,1000.); #145369=VECTOR('',#457237,1000.); #145370=VECTOR('',#457240,1000.); #145371=VECTOR('',#457241,1000.); #145372=VECTOR('',#457242,1000.); #145373=VECTOR('',#457245,1000.); #145374=VECTOR('',#457250,1000.); #145375=VECTOR('',#457251,1000.); #145376=VECTOR('',#457252,1000.); #145377=VECTOR('',#457255,1000.); #145378=VECTOR('',#457256,1000.); #145379=VECTOR('',#457257,1000.); #145380=VECTOR('',#457258,1000.); #145381=VECTOR('',#457259,1000.); #145382=VECTOR('',#457262,1000.); #145383=VECTOR('',#457263,1000.); #145384=VECTOR('',#457264,1000.); #145385=VECTOR('',#457267,1000.); #145386=VECTOR('',#457268,1000.); #145387=VECTOR('',#457269,1000.); #145388=VECTOR('',#457270,1000.); #145389=VECTOR('',#457273,1000.); #145390=VECTOR('',#457274,1000.); #145391=VECTOR('',#457277,1000.); #145392=VECTOR('',#457278,1000.); #145393=VECTOR('',#457281,1000.); #145394=VECTOR('',#457282,1000.); #145395=VECTOR('',#457285,1000.); #145396=VECTOR('',#457288,1000.); #145397=VECTOR('',#457291,1000.); #145398=VECTOR('',#457296,1000.); #145399=VECTOR('',#457299,1000.); #145400=VECTOR('',#457302,1000.); #145401=VECTOR('',#457313,999.999999999999); #145402=VECTOR('',#457314,1000.); #145403=VECTOR('',#457315,999.999999999999); #145404=VECTOR('',#457316,1000.); #145405=VECTOR('',#457319,1000.); #145406=VECTOR('',#457322,1000.); #145407=VECTOR('',#457325,1000.); #145408=VECTOR('',#457326,1000.); #145409=VECTOR('',#457327,1000.); #145410=VECTOR('',#457330,1000.); #145411=VECTOR('',#457333,1000.); #145412=VECTOR('',#457336,1000.); #145413=VECTOR('',#457337,1000.); #145414=VECTOR('',#457338,1000.); #145415=VECTOR('',#457341,1000.); #145416=VECTOR('',#457344,1000.); #145417=VECTOR('',#457345,1000.); #145418=VECTOR('',#457346,1000.); #145419=VECTOR('',#457349,1000.); #145420=VECTOR('',#457354,1000.); #145421=VECTOR('',#457355,1000.); #145422=VECTOR('',#457356,1000.); #145423=VECTOR('',#457359,1000.); #145424=VECTOR('',#457360,1000.); #145425=VECTOR('',#457361,1000.); #145426=VECTOR('',#457362,1000.); #145427=VECTOR('',#457363,1000.); #145428=VECTOR('',#457366,1000.); #145429=VECTOR('',#457367,1000.); #145430=VECTOR('',#457368,1000.); #145431=VECTOR('',#457371,1000.); #145432=VECTOR('',#457372,1000.); #145433=VECTOR('',#457373,1000.); #145434=VECTOR('',#457374,1000.); #145435=VECTOR('',#457377,1000.); #145436=VECTOR('',#457378,1000.); #145437=VECTOR('',#457381,1000.); #145438=VECTOR('',#457382,1000.); #145439=VECTOR('',#457385,1000.); #145440=VECTOR('',#457386,1000.); #145441=VECTOR('',#457389,1000.); #145442=VECTOR('',#457392,1000.); #145443=VECTOR('',#457395,1000.); #145444=VECTOR('',#457400,1000.); #145445=VECTOR('',#457403,1000.); #145446=VECTOR('',#457406,1000.); #145447=VECTOR('',#457417,1000.); #145448=VECTOR('',#457418,1000.); #145449=VECTOR('',#457419,1000.); #145450=VECTOR('',#457420,1000.); #145451=VECTOR('',#457423,1000.); #145452=VECTOR('',#457426,1000.); #145453=VECTOR('',#457429,1000.); #145454=VECTOR('',#457430,1000.); #145455=VECTOR('',#457431,1000.); #145456=VECTOR('',#457434,1000.); #145457=VECTOR('',#457437,1000.); #145458=VECTOR('',#457440,1000.); #145459=VECTOR('',#457441,1000.); #145460=VECTOR('',#457442,1000.); #145461=VECTOR('',#457445,1000.); #145462=VECTOR('',#457448,1000.); #145463=VECTOR('',#457449,1000.); #145464=VECTOR('',#457450,1000.); #145465=VECTOR('',#457453,1000.); #145466=VECTOR('',#457458,1000.); #145467=VECTOR('',#457459,1000.); #145468=VECTOR('',#457460,1000.); #145469=VECTOR('',#457463,1000.); #145470=VECTOR('',#457464,1000.); #145471=VECTOR('',#457465,1000.); #145472=VECTOR('',#457466,1000.); #145473=VECTOR('',#457467,1000.); #145474=VECTOR('',#457470,1000.); #145475=VECTOR('',#457471,1000.); #145476=VECTOR('',#457472,1000.); #145477=VECTOR('',#457475,1000.); #145478=VECTOR('',#457476,1000.); #145479=VECTOR('',#457477,1000.); #145480=VECTOR('',#457478,1000.); #145481=VECTOR('',#457481,1000.); #145482=VECTOR('',#457482,1000.); #145483=VECTOR('',#457485,1000.); #145484=VECTOR('',#457486,1000.); #145485=VECTOR('',#457489,1000.); #145486=VECTOR('',#457490,1000.); #145487=VECTOR('',#457493,1000.); #145488=VECTOR('',#457496,1000.); #145489=VECTOR('',#457499,1000.); #145490=VECTOR('',#457504,1000.); #145491=VECTOR('',#457507,1000.); #145492=VECTOR('',#457510,1000.); #145493=VECTOR('',#457521,1000.); #145494=VECTOR('',#457522,1000.); #145495=VECTOR('',#457523,1000.); #145496=VECTOR('',#457524,1000.); #145497=VECTOR('',#457527,1000.); #145498=VECTOR('',#457528,1000.); #145499=VECTOR('',#457531,1000.); #145500=VECTOR('',#457534,1000.); #145501=VECTOR('',#457535,1000.); #145502=VECTOR('',#457536,1000.); #145503=VECTOR('',#457539,1000.); #145504=VECTOR('',#457544,1000.); #145505=VECTOR('',#457547,1000.); #145506=VECTOR('',#457550,1000.); #145507=VECTOR('',#457551,1000.); #145508=VECTOR('',#457552,1000.); #145509=VECTOR('',#457555,1000.); #145510=VECTOR('',#457558,1000.); #145511=VECTOR('',#457559,1000.); #145512=VECTOR('',#457562,1000.); #145513=VECTOR('',#457563,1000.); #145514=VECTOR('',#457564,1000.); #145515=VECTOR('',#457567,1000.); #145516=VECTOR('',#457568,1000.); #145517=VECTOR('',#457569,1000.); #145518=VECTOR('',#457570,1000.); #145519=VECTOR('',#457571,1000.); #145520=VECTOR('',#457574,1000.); #145521=VECTOR('',#457575,1000.); #145522=VECTOR('',#457576,1000.); #145523=VECTOR('',#457579,1000.); #145524=VECTOR('',#457580,1000.); #145525=VECTOR('',#457581,1000.); #145526=VECTOR('',#457582,1000.); #145527=VECTOR('',#457585,1000.); #145528=VECTOR('',#457586,1000.); #145529=VECTOR('',#457589,1000.); #145530=VECTOR('',#457590,1000.); #145531=VECTOR('',#457593,1000.); #145532=VECTOR('',#457594,1000.); #145533=VECTOR('',#457597,1000.); #145534=VECTOR('',#457600,1000.); #145535=VECTOR('',#457603,1000.); #145536=VECTOR('',#457608,1000.); #145537=VECTOR('',#457611,1000.); #145538=VECTOR('',#457614,1000.); #145539=VECTOR('',#457625,1000.); #145540=VECTOR('',#457626,1000.); #145541=VECTOR('',#457627,1000.); #145542=VECTOR('',#457628,1000.); #145543=VECTOR('',#457631,1000.); #145544=VECTOR('',#457632,1000.); #145545=VECTOR('',#457635,1000.); #145546=VECTOR('',#457638,1000.); #145547=VECTOR('',#457639,1000.); #145548=VECTOR('',#457640,1000.); #145549=VECTOR('',#457643,1000.); #145550=VECTOR('',#457648,1000.); #145551=VECTOR('',#457651,1000.); #145552=VECTOR('',#457654,1000.); #145553=VECTOR('',#457655,1000.); #145554=VECTOR('',#457656,1000.); #145555=VECTOR('',#457659,1000.); #145556=VECTOR('',#457662,1000.); #145557=VECTOR('',#457663,1000.); #145558=VECTOR('',#457666,1000.); #145559=VECTOR('',#457667,1000.); #145560=VECTOR('',#457668,1000.); #145561=VECTOR('',#457671,1000.); #145562=VECTOR('',#457672,1000.); #145563=VECTOR('',#457673,1000.); #145564=VECTOR('',#457674,1000.); #145565=VECTOR('',#457675,1000.); #145566=VECTOR('',#457678,1000.); #145567=VECTOR('',#457679,1000.); #145568=VECTOR('',#457680,1000.); #145569=VECTOR('',#457683,1000.); #145570=VECTOR('',#457684,1000.); #145571=VECTOR('',#457685,1000.); #145572=VECTOR('',#457686,1000.); #145573=VECTOR('',#457689,1000.); #145574=VECTOR('',#457690,1000.); #145575=VECTOR('',#457693,1000.); #145576=VECTOR('',#457694,1000.); #145577=VECTOR('',#457697,1000.); #145578=VECTOR('',#457698,1000.); #145579=VECTOR('',#457701,1000.); #145580=VECTOR('',#457704,1000.); #145581=VECTOR('',#457707,1000.); #145582=VECTOR('',#457712,1000.); #145583=VECTOR('',#457715,1000.); #145584=VECTOR('',#457718,1000.); #145585=VECTOR('',#457729,999.999999999999); #145586=VECTOR('',#457730,1000.); #145587=VECTOR('',#457731,999.999999999999); #145588=VECTOR('',#457732,1000.); #145589=VECTOR('',#457735,1000.); #145590=VECTOR('',#457736,1000.); #145591=VECTOR('',#457739,1000.); #145592=VECTOR('',#457742,1000.); #145593=VECTOR('',#457743,1000.); #145594=VECTOR('',#457744,1000.); #145595=VECTOR('',#457747,1000.); #145596=VECTOR('',#457752,1000.); #145597=VECTOR('',#457755,1000.); #145598=VECTOR('',#457758,1000.); #145599=VECTOR('',#457759,1000.); #145600=VECTOR('',#457760,1000.); #145601=VECTOR('',#457763,1000.); #145602=VECTOR('',#457766,1000.); #145603=VECTOR('',#457767,1000.); #145604=VECTOR('',#457770,1000.); #145605=VECTOR('',#457771,1000.); #145606=VECTOR('',#457772,1000.); #145607=VECTOR('',#457775,1000.); #145608=VECTOR('',#457776,1000.); #145609=VECTOR('',#457777,1000.); #145610=VECTOR('',#457778,1000.); #145611=VECTOR('',#457779,1000.); #145612=VECTOR('',#457782,1000.); #145613=VECTOR('',#457783,1000.); #145614=VECTOR('',#457784,1000.); #145615=VECTOR('',#457787,1000.); #145616=VECTOR('',#457788,1000.); #145617=VECTOR('',#457789,1000.); #145618=VECTOR('',#457790,1000.); #145619=VECTOR('',#457793,1000.); #145620=VECTOR('',#457794,1000.); #145621=VECTOR('',#457797,1000.); #145622=VECTOR('',#457798,1000.); #145623=VECTOR('',#457801,1000.); #145624=VECTOR('',#457802,1000.); #145625=VECTOR('',#457805,1000.); #145626=VECTOR('',#457808,1000.); #145627=VECTOR('',#457811,1000.); #145628=VECTOR('',#457816,1000.); #145629=VECTOR('',#457819,1000.); #145630=VECTOR('',#457822,1000.); #145631=VECTOR('',#457833,1000.); #145632=VECTOR('',#457834,1000.); #145633=VECTOR('',#457835,1000.); #145634=VECTOR('',#457836,1000.); #145635=VECTOR('',#457839,1000.); #145636=VECTOR('',#457840,1000.); #145637=VECTOR('',#457843,1000.); #145638=VECTOR('',#457846,1000.); #145639=VECTOR('',#457847,1000.); #145640=VECTOR('',#457848,1000.); #145641=VECTOR('',#457851,1000.); #145642=VECTOR('',#457856,1000.); #145643=VECTOR('',#457859,1000.); #145644=VECTOR('',#457862,1000.); #145645=VECTOR('',#457863,1000.); #145646=VECTOR('',#457864,1000.); #145647=VECTOR('',#457867,1000.); #145648=VECTOR('',#457870,1000.); #145649=VECTOR('',#457871,1000.); #145650=VECTOR('',#457874,1000.); #145651=VECTOR('',#457875,1000.); #145652=VECTOR('',#457876,1000.); #145653=VECTOR('',#457879,1000.); #145654=VECTOR('',#457880,1000.); #145655=VECTOR('',#457881,1000.); #145656=VECTOR('',#457882,1000.); #145657=VECTOR('',#457883,1000.); #145658=VECTOR('',#457886,1000.); #145659=VECTOR('',#457887,1000.); #145660=VECTOR('',#457888,1000.); #145661=VECTOR('',#457891,1000.); #145662=VECTOR('',#457892,1000.); #145663=VECTOR('',#457893,1000.); #145664=VECTOR('',#457894,1000.); #145665=VECTOR('',#457897,1000.); #145666=VECTOR('',#457898,1000.); #145667=VECTOR('',#457901,1000.); #145668=VECTOR('',#457902,1000.); #145669=VECTOR('',#457905,1000.); #145670=VECTOR('',#457906,1000.); #145671=VECTOR('',#457909,1000.); #145672=VECTOR('',#457912,1000.); #145673=VECTOR('',#457915,1000.); #145674=VECTOR('',#457920,1000.); #145675=VECTOR('',#457923,1000.); #145676=VECTOR('',#457926,1000.); #145677=VECTOR('',#457937,1000.); #145678=VECTOR('',#457938,1000.); #145679=VECTOR('',#457939,1000.); #145680=VECTOR('',#457940,1000.); #145681=VECTOR('',#457943,1000.); #145682=VECTOR('',#457944,1000.); #145683=VECTOR('',#457947,1000.); #145684=VECTOR('',#457950,1000.); #145685=VECTOR('',#457951,1000.); #145686=VECTOR('',#457952,1000.); #145687=VECTOR('',#457955,1000.); #145688=VECTOR('',#457960,1000.); #145689=VECTOR('',#457963,1000.); #145690=VECTOR('',#457966,1000.); #145691=VECTOR('',#457967,1000.); #145692=VECTOR('',#457968,1000.); #145693=VECTOR('',#457971,1000.); #145694=VECTOR('',#457974,1000.); #145695=VECTOR('',#457975,1000.); #145696=VECTOR('',#457978,1000.); #145697=VECTOR('',#457979,1000.); #145698=VECTOR('',#457980,1000.); #145699=VECTOR('',#457983,1000.); #145700=VECTOR('',#457984,1000.); #145701=VECTOR('',#457985,1000.); #145702=VECTOR('',#457986,1000.); #145703=VECTOR('',#457987,1000.); #145704=VECTOR('',#457990,1000.); #145705=VECTOR('',#457991,1000.); #145706=VECTOR('',#457992,1000.); #145707=VECTOR('',#457995,1000.); #145708=VECTOR('',#457996,1000.); #145709=VECTOR('',#457997,1000.); #145710=VECTOR('',#457998,1000.); #145711=VECTOR('',#458001,1000.); #145712=VECTOR('',#458002,1000.); #145713=VECTOR('',#458005,1000.); #145714=VECTOR('',#458006,1000.); #145715=VECTOR('',#458009,1000.); #145716=VECTOR('',#458010,1000.); #145717=VECTOR('',#458013,1000.); #145718=VECTOR('',#458016,1000.); #145719=VECTOR('',#458019,1000.); #145720=VECTOR('',#458024,1000.); #145721=VECTOR('',#458027,1000.); #145722=VECTOR('',#458030,1000.); #145723=VECTOR('',#458041,1000.); #145724=VECTOR('',#458042,1000.); #145725=VECTOR('',#458043,1000.); #145726=VECTOR('',#458044,1000.); #145727=VECTOR('',#458047,1000.); #145728=VECTOR('',#458048,1000.); #145729=VECTOR('',#458051,1000.); #145730=VECTOR('',#458054,1000.); #145731=VECTOR('',#458055,1000.); #145732=VECTOR('',#458056,1000.); #145733=VECTOR('',#458059,1000.); #145734=VECTOR('',#458064,1000.); #145735=VECTOR('',#458067,1000.); #145736=VECTOR('',#458070,1000.); #145737=VECTOR('',#458071,1000.); #145738=VECTOR('',#458072,1000.); #145739=VECTOR('',#458075,1000.); #145740=VECTOR('',#458078,1000.); #145741=VECTOR('',#458079,1000.); #145742=VECTOR('',#458082,1000.); #145743=VECTOR('',#458083,1000.); #145744=VECTOR('',#458084,1000.); #145745=VECTOR('',#458087,1000.); #145746=VECTOR('',#458088,1000.); #145747=VECTOR('',#458089,1000.); #145748=VECTOR('',#458090,1000.); #145749=VECTOR('',#458091,1000.); #145750=VECTOR('',#458094,1000.); #145751=VECTOR('',#458095,1000.); #145752=VECTOR('',#458096,1000.); #145753=VECTOR('',#458099,1000.); #145754=VECTOR('',#458100,1000.); #145755=VECTOR('',#458101,1000.); #145756=VECTOR('',#458102,1000.); #145757=VECTOR('',#458105,1000.); #145758=VECTOR('',#458106,1000.); #145759=VECTOR('',#458109,1000.); #145760=VECTOR('',#458110,1000.); #145761=VECTOR('',#458113,1000.); #145762=VECTOR('',#458114,1000.); #145763=VECTOR('',#458117,1000.); #145764=VECTOR('',#458120,1000.); #145765=VECTOR('',#458123,1000.); #145766=VECTOR('',#458128,1000.); #145767=VECTOR('',#458131,1000.); #145768=VECTOR('',#458134,1000.); #145769=VECTOR('',#458145,1000.); #145770=VECTOR('',#458146,1000.); #145771=VECTOR('',#458147,1000.); #145772=VECTOR('',#458148,1000.); #145773=VECTOR('',#458151,1000.); #145774=VECTOR('',#458152,1000.); #145775=VECTOR('',#458155,1000.); #145776=VECTOR('',#458158,1000.); #145777=VECTOR('',#458159,1000.); #145778=VECTOR('',#458160,1000.); #145779=VECTOR('',#458163,1000.); #145780=VECTOR('',#458168,1000.); #145781=VECTOR('',#458171,1000.); #145782=VECTOR('',#458174,1000.); #145783=VECTOR('',#458175,1000.); #145784=VECTOR('',#458176,1000.); #145785=VECTOR('',#458179,1000.); #145786=VECTOR('',#458182,1000.); #145787=VECTOR('',#458183,1000.); #145788=VECTOR('',#458186,1000.); #145789=VECTOR('',#458187,1000.); #145790=VECTOR('',#458188,1000.); #145791=VECTOR('',#458191,1000.); #145792=VECTOR('',#458192,1000.); #145793=VECTOR('',#458193,1000.); #145794=VECTOR('',#458194,1000.); #145795=VECTOR('',#458195,1000.); #145796=VECTOR('',#458198,1000.); #145797=VECTOR('',#458199,1000.); #145798=VECTOR('',#458200,1000.); #145799=VECTOR('',#458203,1000.); #145800=VECTOR('',#458204,1000.); #145801=VECTOR('',#458205,1000.); #145802=VECTOR('',#458206,1000.); #145803=VECTOR('',#458209,1000.); #145804=VECTOR('',#458210,1000.); #145805=VECTOR('',#458213,1000.); #145806=VECTOR('',#458214,1000.); #145807=VECTOR('',#458217,1000.); #145808=VECTOR('',#458218,1000.); #145809=VECTOR('',#458221,1000.); #145810=VECTOR('',#458224,1000.); #145811=VECTOR('',#458227,1000.); #145812=VECTOR('',#458232,1000.); #145813=VECTOR('',#458235,1000.); #145814=VECTOR('',#458238,1000.); #145815=VECTOR('',#458249,1000.); #145816=VECTOR('',#458250,1000.); #145817=VECTOR('',#458251,1000.); #145818=VECTOR('',#458252,1000.); #145819=VECTOR('',#458255,1000.); #145820=VECTOR('',#458256,1000.); #145821=VECTOR('',#458257,1000.); #145822=VECTOR('',#458260,1000.); #145823=VECTOR('',#458261,1000.); #145824=VECTOR('',#458262,1000.); #145825=VECTOR('',#458265,1000.); #145826=VECTOR('',#458266,1000.); #145827=VECTOR('',#458267,1000.); #145828=VECTOR('',#458272,1000.); #145829=VECTOR('',#458277,1000.); #145830=VECTOR('',#458278,1000.); #145831=VECTOR('',#458279,1000.); #145832=VECTOR('',#458282,1000.); #145833=VECTOR('',#458283,1000.); #145834=VECTOR('',#458284,1000.); #145835=VECTOR('',#458287,1000.); #145836=VECTOR('',#458288,1000.); #145837=VECTOR('',#458289,1000.); #145838=VECTOR('',#458294,1000.); #145839=VECTOR('',#458299,1000.); #145840=VECTOR('',#458300,1000.); #145841=VECTOR('',#458301,1000.); #145842=VECTOR('',#458306,1000.); #145843=VECTOR('',#458313,1000.); #145844=VECTOR('',#458318,1000.); #145845=VECTOR('',#458319,1000.); #145846=VECTOR('',#458320,1000.); #145847=VECTOR('',#458325,1000.); #145848=VECTOR('',#458330,1000.); #145849=VECTOR('',#458331,1000.); #145850=VECTOR('',#458332,1000.); #145851=VECTOR('',#458337,1000.); #145852=VECTOR('',#458342,1000.); #145853=VECTOR('',#458343,1000.); #145854=VECTOR('',#458344,1000.); #145855=VECTOR('',#458347,1000.); #145856=VECTOR('',#458348,1000.); #145857=VECTOR('',#458349,1000.); #145858=VECTOR('',#458352,1000.); #145859=VECTOR('',#458353,1000.); #145860=VECTOR('',#458354,1000.); #145861=VECTOR('',#458357,1000.); #145862=VECTOR('',#458358,1000.); #145863=VECTOR('',#458359,1000.); #145864=VECTOR('',#458362,1000.); #145865=VECTOR('',#458363,1000.); #145866=VECTOR('',#458364,1000.); #145867=VECTOR('',#458367,1000.); #145868=VECTOR('',#458368,1000.); #145869=VECTOR('',#458369,1000.); #145870=VECTOR('',#458372,1000.); #145871=VECTOR('',#458373,1000.); #145872=VECTOR('',#458374,1000.); #145873=VECTOR('',#458379,1000.); #145874=VECTOR('',#458384,1000.); #145875=VECTOR('',#458385,1000.); #145876=VECTOR('',#458386,1000.); #145877=VECTOR('',#458391,1000.); #145878=VECTOR('',#458396,1000.); #145879=VECTOR('',#458397,1000.); #145880=VECTOR('',#458398,1000.); #145881=VECTOR('',#458403,1000.); #145882=VECTOR('',#458410,1000.); #145883=VECTOR('',#458415,1000.); #145884=VECTOR('',#458416,1000.); #145885=VECTOR('',#458417,1000.); #145886=VECTOR('',#458422,1000.); #145887=VECTOR('',#458427,1000.); #145888=VECTOR('',#458428,1000.); #145889=VECTOR('',#458429,1000.); #145890=VECTOR('',#458432,1000.); #145891=VECTOR('',#458433,1000.); #145892=VECTOR('',#458434,1000.); #145893=VECTOR('',#458437,1000.); #145894=VECTOR('',#458438,1000.); #145895=VECTOR('',#458439,1000.); #145896=VECTOR('',#458444,1000.); #145897=VECTOR('',#458449,1000.); #145898=VECTOR('',#458450,1000.); #145899=VECTOR('',#458459,1000.); #145900=VECTOR('',#458460,1000.); #145901=VECTOR('',#458461,1000.); #145902=VECTOR('',#458462,1000.); #145903=VECTOR('',#458465,1000.); #145904=VECTOR('',#458466,1000.); #145905=VECTOR('',#458467,1000.); #145906=VECTOR('',#458468,1000.); #145907=VECTOR('',#458473,1000.); #145908=VECTOR('',#458476,1000.); #145909=VECTOR('',#458479,1000.); #145910=VECTOR('',#458482,1000.); #145911=VECTOR('',#458485,1000.); #145912=VECTOR('',#458488,1000.); #145913=VECTOR('',#458491,1000.); #145914=VECTOR('',#458494,1000.); #145915=VECTOR('',#458495,1000.); #145916=VECTOR('',#458496,1000.); #145917=VECTOR('',#458497,1000.); #145918=VECTOR('',#458500,1000.); #145919=VECTOR('',#458501,1000.); #145920=VECTOR('',#458504,1000.); #145921=VECTOR('',#458507,1000.); #145922=VECTOR('',#458512,1000.); #145923=VECTOR('',#458515,1000.); #145924=VECTOR('',#458518,1000.); #145925=VECTOR('',#458521,1000.); #145926=VECTOR('',#458522,1000.); #145927=VECTOR('',#458525,1000.); #145928=VECTOR('',#458526,1000.); #145929=VECTOR('',#458527,1000.); #145930=VECTOR('',#458528,1000.); #145931=VECTOR('',#458529,1000.); #145932=VECTOR('',#458530,1000.); #145933=VECTOR('',#458531,1000.); #145934=VECTOR('',#458532,1000.); #145935=VECTOR('',#458533,1000.); #145936=VECTOR('',#458534,1000.); #145937=VECTOR('',#458537,1000.); #145938=VECTOR('',#458540,1000.); #145939=VECTOR('',#458541,1000.); #145940=VECTOR('',#458544,1000.); #145941=VECTOR('',#458545,1000.); #145942=VECTOR('',#458548,1000.); #145943=VECTOR('',#458551,1000.); #145944=VECTOR('',#458554,1000.); #145945=VECTOR('',#458559,1000.); #145946=VECTOR('',#458562,1000.); #145947=VECTOR('',#458565,1000.); #145948=VECTOR('',#458566,1000.); #145949=VECTOR('',#458569,1000.); #145950=VECTOR('',#458570,1000.); #145951=VECTOR('',#458571,1000.); #145952=VECTOR('',#458572,1000.); #145953=VECTOR('',#458575,1000.); #145954=VECTOR('',#458578,1000.); #145955=VECTOR('',#458581,1000.); #145956=VECTOR('',#458584,1000.); #145957=VECTOR('',#458587,1000.); #145958=VECTOR('',#458590,1000.); #145959=VECTOR('',#458593,1000.); #145960=VECTOR('',#458594,1000.); #145961=VECTOR('',#458595,1000.); #145962=VECTOR('',#458596,1000.); #145963=VECTOR('',#458597,1000.); #145964=VECTOR('',#458598,1000.); #145965=VECTOR('',#458599,1000.); #145966=VECTOR('',#458600,1000.); #145967=VECTOR('',#458603,1000.); #145968=VECTOR('',#458604,1000.); #145969=VECTOR('',#458607,1000.); #145970=VECTOR('',#458608,1000.); #145971=VECTOR('',#458609,1000.); #145972=VECTOR('',#458614,1000.); #145973=VECTOR('',#458615,1000.); #145974=VECTOR('',#458618,1000.); #145975=VECTOR('',#458623,1000.); #145976=VECTOR('',#458624,1000.); #145977=VECTOR('',#458627,1000.); #145978=VECTOR('',#458632,1000.); #145979=VECTOR('',#458633,1000.); #145980=VECTOR('',#458636,1000.); #145981=VECTOR('',#458637,1000.); #145982=VECTOR('',#458638,1000.); #145983=VECTOR('',#458639,1000.); #145984=VECTOR('',#458640,1000.); #145985=VECTOR('',#458641,1000.); #145986=VECTOR('',#458642,1000.); #145987=VECTOR('',#458643,1000.); #145988=VECTOR('',#458644,1000.); #145989=VECTOR('',#458645,1000.); #145990=VECTOR('',#458646,1000.); #145991=VECTOR('',#458647,1000.); #145992=VECTOR('',#458648,1000.); #145993=VECTOR('',#458649,1000.); #145994=VECTOR('',#458650,1000.); #145995=VECTOR('',#458651,1000.); #145996=VECTOR('',#458652,1000.); #145997=VECTOR('',#458653,1000.); #145998=VECTOR('',#458654,1000.); #145999=VECTOR('',#458655,1000.); #146000=VECTOR('',#458656,1000.); #146001=VECTOR('',#458657,1000.); #146002=VECTOR('',#458660,1000.); #146003=VECTOR('',#458663,1000.); #146004=VECTOR('',#458668,1000.); #146005=VECTOR('',#458671,1000.); #146006=VECTOR('',#458674,1000.); #146007=VECTOR('',#458677,1000.); #146008=VECTOR('',#458680,1000.); #146009=VECTOR('',#458681,1000.); #146010=VECTOR('',#458682,1000.); #146011=VECTOR('',#458687,1000.); #146012=VECTOR('',#458688,1000.); #146013=VECTOR('',#458689,1000.); #146014=VECTOR('',#458690,1000.); #146015=VECTOR('',#458691,1000.); #146016=VECTOR('',#458694,1000.); #146017=VECTOR('',#458697,1000.); #146018=VECTOR('',#458700,1000.); #146019=VECTOR('',#458703,1000.); #146020=VECTOR('',#458706,1000.); #146021=VECTOR('',#458713,1000.); #146022=VECTOR('',#458716,1000.); #146023=VECTOR('',#458719,1000.); #146024=VECTOR('',#458720,1000.); #146025=VECTOR('',#458721,1000.); #146026=VECTOR('',#458722,1000.); #146027=VECTOR('',#458723,1000.); #146028=VECTOR('',#458724,1000.); #146029=VECTOR('',#458727,1000.); #146030=VECTOR('',#458730,1000.); #146031=VECTOR('',#458731,1000.); #146032=VECTOR('',#458732,1000.); #146033=VECTOR('',#458735,1000.); #146034=VECTOR('',#458736,1000.); #146035=VECTOR('',#458741,1000.); #146036=VECTOR('',#458744,1000.); #146037=VECTOR('',#458747,1000.); #146038=VECTOR('',#458750,1000.); #146039=VECTOR('',#458755,1000.); #146040=VECTOR('',#458758,1000.); #146041=VECTOR('',#458761,1000.); #146042=VECTOR('',#458762,1000.); #146043=VECTOR('',#458765,1000.); #146044=VECTOR('',#458766,1000.); #146045=VECTOR('',#458767,1000.); #146046=VECTOR('',#458768,1000.); #146047=VECTOR('',#458771,1000.); #146048=VECTOR('',#458774,1000.); #146049=VECTOR('',#458777,1000.); #146050=VECTOR('',#458782,1000.); #146051=VECTOR('',#458785,1000.); #146052=VECTOR('',#458788,1000.); #146053=VECTOR('',#458791,1000.); #146054=VECTOR('',#458792,1000.); #146055=VECTOR('',#458793,1000.); #146056=VECTOR('',#458794,1000.); #146057=VECTOR('',#458795,1000.); #146058=VECTOR('',#458796,1000.); #146059=VECTOR('',#458797,1000.); #146060=VECTOR('',#458798,1000.); #146061=VECTOR('',#458799,1000.); #146062=VECTOR('',#458802,1000.); #146063=VECTOR('',#458803,1000.); #146064=VECTOR('',#458804,1000.); #146065=VECTOR('',#458807,1000.); #146066=VECTOR('',#458808,1000.); #146067=VECTOR('',#458809,1000.); #146068=VECTOR('',#458812,1000.); #146069=VECTOR('',#458813,1000.); #146070=VECTOR('',#458814,1000.); #146071=VECTOR('',#458817,1000.); #146072=VECTOR('',#458818,1000.); #146073=VECTOR('',#458819,1000.); #146074=VECTOR('',#458828,1000.); #146075=VECTOR('',#458829,1000.); #146076=VECTOR('',#458830,1000.); #146077=VECTOR('',#458833,1000.); #146078=VECTOR('',#458836,1000.); #146079=VECTOR('',#458837,1000.); #146080=VECTOR('',#458842,1000.); #146081=VECTOR('',#458843,1000.); #146082=VECTOR('',#458844,1000.); #146083=VECTOR('',#458845,1000.); #146084=VECTOR('',#458850,1000.); #146085=VECTOR('',#458851,1000.); #146086=VECTOR('',#458852,1000.); #146087=VECTOR('',#458855,1000.); #146088=VECTOR('',#458858,1000.); #146089=VECTOR('',#458861,1000.); #146090=VECTOR('',#458864,1000.); #146091=VECTOR('',#458869,1000.); #146092=VECTOR('',#458872,1000.); #146093=VECTOR('',#458873,1000.); #146094=VECTOR('',#458874,1000.); #146095=VECTOR('',#458877,1000.); #146096=VECTOR('',#458880,1000.); #146097=VECTOR('',#458887,1000.); #146098=VECTOR('',#458890,1000.); #146099=VECTOR('',#458893,1000.); #146100=VECTOR('',#458896,1000.); #146101=VECTOR('',#458899,1000.); #146102=VECTOR('',#458902,1000.); #146103=VECTOR('',#458903,1000.); #146104=VECTOR('',#458904,1000.); #146105=VECTOR('',#458905,1000.); #146106=VECTOR('',#458906,1000.); #146107=VECTOR('',#458909,1000.); #146108=VECTOR('',#458910,1000.); #146109=VECTOR('',#458911,1000.); #146110=VECTOR('',#458914,1000.); #146111=VECTOR('',#458915,1000.); #146112=VECTOR('',#458918,1000.); #146113=VECTOR('',#458919,1000.); #146114=VECTOR('',#458922,1000.); #146115=VECTOR('',#458923,1000.); #146116=VECTOR('',#458924,1000.); #146117=VECTOR('',#458927,1000.); #146118=VECTOR('',#458928,1000.); #146119=VECTOR('',#458929,1000.); #146120=VECTOR('',#458932,1000.); #146121=VECTOR('',#458933,1000.); #146122=VECTOR('',#458934,1000.); #146123=VECTOR('',#458935,1000.); #146124=VECTOR('',#458936,1000.); #146125=VECTOR('',#458939,1000.); #146126=VECTOR('',#458942,1000.); #146127=VECTOR('',#458945,1000.); #146128=VECTOR('',#458948,1000.); #146129=VECTOR('',#458951,1000.); #146130=VECTOR('',#458958,1000.); #146131=VECTOR('',#458961,1000.); #146132=VECTOR('',#458964,1000.); #146133=VECTOR('',#458965,1000.); #146134=VECTOR('',#458966,1000.); #146135=VECTOR('',#458969,1000.); #146136=VECTOR('',#458974,1000.); #146137=VECTOR('',#458977,1000.); #146138=VECTOR('',#458980,1000.); #146139=VECTOR('',#458983,1000.); #146140=VECTOR('',#458986,1000.); #146141=VECTOR('',#458987,1000.); #146142=VECTOR('',#458988,1000.); #146143=VECTOR('',#458989,1000.); #146144=VECTOR('',#458990,1000.); #146145=VECTOR('',#458993,1000.); #146146=VECTOR('',#459000,1000.); #146147=VECTOR('',#459001,1000.); #146148=VECTOR('',#459008,1000.); #146149=VECTOR('',#459011,1000.); #146150=VECTOR('',#459014,1000.); #146151=VECTOR('',#459017,1000.); #146152=VECTOR('',#459020,1000.); #146153=VECTOR('',#459025,1000.); #146154=VECTOR('',#459028,1000.); #146155=VECTOR('',#459031,1000.); #146156=VECTOR('',#459034,1000.); #146157=VECTOR('',#459035,1000.); #146158=VECTOR('',#459036,1000.); #146159=VECTOR('',#459037,1000.); #146160=VECTOR('',#459040,1000.); #146161=VECTOR('',#459041,1000.); #146162=VECTOR('',#459044,1000.); #146163=VECTOR('',#459047,1000.); #146164=VECTOR('',#459052,1000.); #146165=VECTOR('',#459055,1000.); #146166=VECTOR('',#459058,1000.); #146167=VECTOR('',#459061,1000.); #146168=VECTOR('',#459062,1000.); #146169=VECTOR('',#459063,1000.); #146170=VECTOR('',#459064,1000.); #146171=VECTOR('',#459065,1000.); #146172=VECTOR('',#459066,1000.); #146173=VECTOR('',#459085,1000.); #146174=VECTOR('',#459090,1000.); #146175=VECTOR('',#459107,1000.); #146176=VECTOR('',#459108,1000.); #146177=VECTOR('',#459111,1000.); #146178=VECTOR('',#459112,1000.); #146179=VECTOR('',#459113,1000.); #146180=VECTOR('',#459116,1000.); #146181=VECTOR('',#459119,1000.); #146182=VECTOR('',#459124,1000.); #146183=VECTOR('',#459125,1000.); #146184=VECTOR('',#459128,1000.); #146185=VECTOR('',#459131,1000.); #146186=VECTOR('',#459134,1000.); #146187=VECTOR('',#459137,1000.); #146188=VECTOR('',#459140,1000.); #146189=VECTOR('',#459143,1000.); #146190=VECTOR('',#459146,1000.); #146191=VECTOR('',#459163,1000.); #146192=VECTOR('',#459164,1000.); #146193=VECTOR('',#459165,1000.); #146194=VECTOR('',#459168,1000.); #146195=VECTOR('',#459171,1000.); #146196=VECTOR('',#459174,1000.); #146197=VECTOR('',#459177,1000.); #146198=VECTOR('',#459182,1000.); #146199=VECTOR('',#459185,1000.); #146200=VECTOR('',#459186,1000.); #146201=VECTOR('',#459189,1000.); #146202=VECTOR('',#459192,1000.); #146203=VECTOR('',#459199,1000.); #146204=VECTOR('',#459202,1000.); #146205=VECTOR('',#459205,1000.); #146206=VECTOR('',#459208,1000.); #146207=VECTOR('',#459211,1000.); #146208=VECTOR('',#459214,1000.); #146209=VECTOR('',#459215,1000.); #146210=VECTOR('',#459216,1000.); #146211=VECTOR('',#459217,1000.); #146212=VECTOR('',#459220,1000.); #146213=VECTOR('',#459225,1000.); #146214=VECTOR('',#459228,1000.); #146215=VECTOR('',#459231,1000.); #146216=VECTOR('',#459232,1000.); #146217=VECTOR('',#459235,1000.); #146218=VECTOR('',#459236,1000.); #146219=VECTOR('',#459237,1000.); #146220=VECTOR('',#459238,1000.); #146221=VECTOR('',#459239,1000.); #146222=VECTOR('',#459240,1000.); #146223=VECTOR('',#459241,1000.); #146224=VECTOR('',#459242,1000.); #146225=VECTOR('',#459243,1000.); #146226=VECTOR('',#459244,1000.); #146227=VECTOR('',#459245,1000.); #146228=VECTOR('',#459246,1000.); #146229=VECTOR('',#459257,1000.); #146230=VECTOR('',#459258,1000.); #146231=VECTOR('',#459261,1000.); #146232=VECTOR('',#459262,1000.); #146233=VECTOR('',#459265,1000.); #146234=VECTOR('',#459266,1000.); #146235=VECTOR('',#459269,1000.); #146236=VECTOR('',#459274,1000.); #146237=VECTOR('',#459275,1000.); #146238=VECTOR('',#459276,1000.); #146239=VECTOR('',#459279,1000.); #146240=VECTOR('',#459282,1000.); #146241=VECTOR('',#459285,1000.); #146242=VECTOR('',#459288,1000.); #146243=VECTOR('',#459291,1000.); #146244=VECTOR('',#459298,1000.); #146245=VECTOR('',#459299,1000.); #146246=VECTOR('',#459302,1000.); #146247=VECTOR('',#459305,1000.); #146248=VECTOR('',#459310,1000.); #146249=VECTOR('',#459311,1000.); #146250=VECTOR('',#459314,1000.); #146251=VECTOR('',#459319,1000.); #146252=VECTOR('',#459322,1000.); #146253=VECTOR('',#459323,1000.); #146254=VECTOR('',#459326,1000.); #146255=VECTOR('',#459327,1000.); #146256=VECTOR('',#459330,1000.); #146257=VECTOR('',#459331,1000.); #146258=VECTOR('',#459334,1000.); #146259=VECTOR('',#459337,1000.); #146260=VECTOR('',#459340,1000.); #146261=VECTOR('',#459343,1000.); #146262=VECTOR('',#459346,1000.); #146263=VECTOR('',#459349,1000.); #146264=VECTOR('',#459352,1000.); #146265=VECTOR('',#459355,1000.); #146266=VECTOR('',#459360,1000.); #146267=VECTOR('',#459361,1000.); #146268=VECTOR('',#459364,1000.); #146269=VECTOR('',#459365,1000.); #146270=VECTOR('',#459368,1000.); #146271=VECTOR('',#459371,1000.); #146272=VECTOR('',#459374,1000.); #146273=VECTOR('',#459377,1000.); #146274=VECTOR('',#459380,1000.); #146275=VECTOR('',#459383,1000.); #146276=VECTOR('',#459386,1000.); #146277=VECTOR('',#459389,1000.); #146278=VECTOR('',#459394,1000.); #146279=VECTOR('',#459395,1000.); #146280=VECTOR('',#459398,1000.); #146281=VECTOR('',#459401,1000.); #146282=VECTOR('',#459404,1000.); #146283=VECTOR('',#459407,1000.); #146284=VECTOR('',#459410,1000.); #146285=VECTOR('',#459413,1000.); #146286=VECTOR('',#459416,1000.); #146287=VECTOR('',#459419,1000.); #146288=VECTOR('',#459422,1000.); #146289=VECTOR('',#459425,1000.); #146290=VECTOR('',#459428,1000.); #146291=VECTOR('',#459431,1000.); #146292=VECTOR('',#459434,1000.); #146293=VECTOR('',#459437,1000.); #146294=VECTOR('',#459440,1000.); #146295=VECTOR('',#459443,1000.); #146296=VECTOR('',#459446,1000.); #146297=VECTOR('',#459449,1000.); #146298=VECTOR('',#459450,1000.); #146299=VECTOR('',#459453,1000.); #146300=VECTOR('',#459454,1000.); #146301=VECTOR('',#459457,1000.); #146302=VECTOR('',#459460,1000.); #146303=VECTOR('',#459463,1000.); #146304=VECTOR('',#459466,1000.); #146305=VECTOR('',#459469,1000.); #146306=VECTOR('',#459472,1000.); #146307=VECTOR('',#459475,1000.); #146308=VECTOR('',#459478,1000.); #146309=VECTOR('',#459481,1000.); #146310=VECTOR('',#459484,1000.); #146311=VECTOR('',#459487,1000.); #146312=VECTOR('',#459498,1000.); #146313=VECTOR('',#459501,1000.); #146314=VECTOR('',#459504,1000.); #146315=VECTOR('',#459507,1000.); #146316=VECTOR('',#459510,1000.); #146317=VECTOR('',#459513,1000.); #146318=VECTOR('',#459516,1000.); #146319=VECTOR('',#459519,1000.); #146320=VECTOR('',#459524,1000.); #146321=VECTOR('',#459525,1000.); #146322=VECTOR('',#459530,1000.); #146323=VECTOR('',#459531,1000.); #146324=VECTOR('',#459538,1000.); #146325=VECTOR('',#459541,1000.); #146326=VECTOR('',#459544,1000.); #146327=VECTOR('',#459549,1000.); #146328=VECTOR('',#459552,1000.); #146329=VECTOR('',#459555,1000.); #146330=VECTOR('',#459560,1000.); #146331=VECTOR('',#459563,1000.); #146332=VECTOR('',#459566,1000.); #146333=VECTOR('',#459571,1000.); #146334=VECTOR('',#459574,1000.); #146335=VECTOR('',#459577,1000.); #146336=VECTOR('',#459584,1000.); #146337=VECTOR('',#459587,1000.); #146338=VECTOR('',#459590,1000.); #146339=VECTOR('',#459593,1000.); #146340=VECTOR('',#459596,1000.); #146341=VECTOR('',#459599,1000.); #146342=VECTOR('',#459604,1000.); #146343=VECTOR('',#459607,1000.); #146344=VECTOR('',#459610,1000.); #146345=VECTOR('',#459613,1000.); #146346=VECTOR('',#459616,1000.); #146347=VECTOR('',#459619,1000.); #146348=VECTOR('',#459624,1000.); #146349=VECTOR('',#459627,1000.); #146350=VECTOR('',#459628,1000.); #146351=VECTOR('',#459633,1000.); #146352=VECTOR('',#459638,1000.); #146353=VECTOR('',#459641,1000.); #146354=VECTOR('',#459644,1000.); #146355=VECTOR('',#459649,1000.); #146356=VECTOR('',#459652,1000.); #146357=VECTOR('',#459655,1000.); #146358=VECTOR('',#459660,1000.); #146359=VECTOR('',#459663,1000.); #146360=VECTOR('',#459666,1000.); #146361=VECTOR('',#459671,1000.); #146362=VECTOR('',#459674,1000.); #146363=VECTOR('',#459677,1000.); #146364=VECTOR('',#459684,1000.); #146365=VECTOR('',#459687,1000.); #146366=VECTOR('',#459690,1000.); #146367=VECTOR('',#459693,1000.); #146368=VECTOR('',#459696,1000.); #146369=VECTOR('',#459699,1000.); #146370=VECTOR('',#459704,1000.); #146371=VECTOR('',#459707,1000.); #146372=VECTOR('',#459710,1000.); #146373=VECTOR('',#459713,1000.); #146374=VECTOR('',#459716,1000.); #146375=VECTOR('',#459719,1000.); #146376=VECTOR('',#459724,1000.); #146377=VECTOR('',#459725,1000.); #146378=VECTOR('',#459726,1000.); #146379=VECTOR('',#459727,1000.); #146380=VECTOR('',#459734,1000.); #146381=VECTOR('',#459737,1000.); #146382=VECTOR('',#459738,1000.); #146383=VECTOR('',#459739,1000.); #146384=VECTOR('',#459748,1000.); #146385=VECTOR('',#459753,1000.); #146386=VECTOR('',#459756,1000.); #146387=VECTOR('',#459759,1000.); #146388=VECTOR('',#459766,1000.); #146389=VECTOR('',#459773,1000.); #146390=VECTOR('',#459774,1000.); #146391=VECTOR('',#459775,1000.); #146392=VECTOR('',#459796,1000.); #146393=VECTOR('',#459797,1000.); #146394=VECTOR('',#459798,1000.); #146395=VECTOR('',#459801,1000.); #146396=VECTOR('',#459802,1000.); #146397=VECTOR('',#459803,1000.); #146398=VECTOR('',#459806,1000.); #146399=VECTOR('',#459807,1000.); #146400=VECTOR('',#459814,1000.); #146401=VECTOR('',#459815,1000.); #146402=VECTOR('',#459818,1000.); #146403=VECTOR('',#459819,1000.); #146404=VECTOR('',#459822,1000.); #146405=VECTOR('',#459825,1000.); #146406=VECTOR('',#459828,1000.); #146407=VECTOR('',#459831,1000.); #146408=VECTOR('',#459834,1000.); #146409=VECTOR('',#459837,1000.); #146410=VECTOR('',#459840,1000.); #146411=VECTOR('',#459843,1000.); #146412=VECTOR('',#459846,1000.); #146413=VECTOR('',#459849,1000.); #146414=VECTOR('',#459852,1000.); #146415=VECTOR('',#459855,1000.); #146416=VECTOR('',#459860,1000.); #146417=VECTOR('',#459861,1000.); #146418=VECTOR('',#459864,1000.); #146419=VECTOR('',#459867,1000.); #146420=VECTOR('',#459870,1000.); #146421=VECTOR('',#459873,1000.); #146422=VECTOR('',#459876,1000.); #146423=VECTOR('',#459879,1000.); #146424=VECTOR('',#459882,1000.); #146425=VECTOR('',#459885,1000.); #146426=VECTOR('',#459888,1000.); #146427=VECTOR('',#459891,1000.); #146428=VECTOR('',#459894,1000.); #146429=VECTOR('',#459895,1000.); #146430=VECTOR('',#459898,1000.); #146431=VECTOR('',#459899,1000.); #146432=VECTOR('',#459902,1000.); #146433=VECTOR('',#459905,1000.); #146434=VECTOR('',#459918,1000.); #146435=VECTOR('',#459921,1000.); #146436=VECTOR('',#459922,1000.); #146437=VECTOR('',#459927,1000.); #146438=VECTOR('',#459932,1000.); #146439=VECTOR('',#459935,1000.); #146440=VECTOR('',#459938,1000.); #146441=VECTOR('',#459943,1000.); #146442=VECTOR('',#459946,1000.); #146443=VECTOR('',#459949,1000.); #146444=VECTOR('',#459954,1000.); #146445=VECTOR('',#459957,1000.); #146446=VECTOR('',#459960,1000.); #146447=VECTOR('',#459965,1000.); #146448=VECTOR('',#459968,1000.); #146449=VECTOR('',#459971,1000.); #146450=VECTOR('',#459978,1000.); #146451=VECTOR('',#459981,1000.); #146452=VECTOR('',#459984,1000.); #146453=VECTOR('',#459987,1000.); #146454=VECTOR('',#459990,1000.); #146455=VECTOR('',#459993,1000.); #146456=VECTOR('',#459998,1000.); #146457=VECTOR('',#460001,1000.); #146458=VECTOR('',#460004,1000.); #146459=VECTOR('',#460007,1000.); #146460=VECTOR('',#460010,1000.); #146461=VECTOR('',#460013,1000.); #146462=VECTOR('',#460018,1000.); #146463=VECTOR('',#460019,1000.); #146464=VECTOR('',#460024,1000.); #146465=VECTOR('',#460025,1000.); #146466=VECTOR('',#460032,1000.); #146467=VECTOR('',#460035,1000.); #146468=VECTOR('',#460038,1000.); #146469=VECTOR('',#460043,1000.); #146470=VECTOR('',#460046,1000.); #146471=VECTOR('',#460049,1000.); #146472=VECTOR('',#460054,1000.); #146473=VECTOR('',#460057,1000.); #146474=VECTOR('',#460060,1000.); #146475=VECTOR('',#460065,1000.); #146476=VECTOR('',#460068,1000.); #146477=VECTOR('',#460071,1000.); #146478=VECTOR('',#460078,1000.); #146479=VECTOR('',#460081,1000.); #146480=VECTOR('',#460084,1000.); #146481=VECTOR('',#460087,1000.); #146482=VECTOR('',#460090,1000.); #146483=VECTOR('',#460093,1000.); #146484=VECTOR('',#460098,1000.); #146485=VECTOR('',#460101,1000.); #146486=VECTOR('',#460104,1000.); #146487=VECTOR('',#460107,1000.); #146488=VECTOR('',#460110,1000.); #146489=VECTOR('',#460113,1000.); #146490=VECTOR('',#460120,1000.); #146491=VECTOR('',#460123,1000.); #146492=VECTOR('',#460126,1000.); #146493=VECTOR('',#460127,1000.); #146494=VECTOR('',#460128,1000.); #146495=VECTOR('',#460137,1000.); #146496=VECTOR('',#460138,1000.); #146497=VECTOR('',#460139,1000.); #146498=VECTOR('',#460144,1000.); #146499=VECTOR('',#460145,1000.); #146500=VECTOR('',#460150,1000.); #146501=VECTOR('',#460153,1000.); #146502=VECTOR('',#460162,1000.); #146503=VECTOR('',#460167,1000.); #146504=VECTOR('',#460174,1000.); #146505=VECTOR('',#460175,1000.); #146506=VECTOR('',#460190,1000.); #146507=VECTOR('',#460191,1000.); #146508=VECTOR('',#460192,1000.); #146509=VECTOR('',#460195,1000.); #146510=VECTOR('',#460196,1000.); #146511=VECTOR('',#460197,1000.); #146512=VECTOR('',#460200,1000.); #146513=VECTOR('',#460201,1000.); #146514=VECTOR('',#460208,1000.); #146515=VECTOR('',#460209,1000.); #146516=VECTOR('',#460210,1000.); #146517=VECTOR('',#460211,1000.); #146518=VECTOR('',#460216,1000.); #146519=VECTOR('',#460221,1000.); #146520=VECTOR('',#460222,1000.); #146521=VECTOR('',#460223,1000.); #146522=VECTOR('',#460224,1000.); #146523=VECTOR('',#460231,1000.); #146524=VECTOR('',#460238,1000.); #146525=VECTOR('',#460245,1000.); #146526=VECTOR('',#460248,1000.); #146527=VECTOR('',#460249,1000.); #146528=VECTOR('',#460250,1000.); #146529=VECTOR('',#460257,1000.); #146530=VECTOR('',#460264,1000.); #146531=VECTOR('',#460271,1000.); #146532=VECTOR('',#460274,1000.); #146533=VECTOR('',#460275,1000.); #146534=VECTOR('',#460276,1000.); #146535=VECTOR('',#460283,1000.); #146536=VECTOR('',#460286,1000.); #146537=VECTOR('',#460287,1000.); #146538=VECTOR('',#460288,1000.); #146539=VECTOR('',#460297,1000.); #146540=VECTOR('',#460298,1000.); #146541=VECTOR('',#460299,1000.); #146542=VECTOR('',#460300,1000.); #146543=VECTOR('',#460305,1000.); #146544=VECTOR('',#460312,1000.); #146545=VECTOR('',#460319,1000.); #146546=VECTOR('',#460324,1000.); #146547=VECTOR('',#460325,1000.); #146548=VECTOR('',#460326,1000.); #146549=VECTOR('',#460331,1000.); #146550=VECTOR('',#460338,1000.); #146551=VECTOR('',#460345,1000.); #146552=VECTOR('',#460350,1000.); #146553=VECTOR('',#460351,1000.); #146554=VECTOR('',#460352,1000.); #146555=VECTOR('',#460357,1000.); #146556=VECTOR('',#460362,1000.); #146557=VECTOR('',#460363,1000.); #146558=VECTOR('',#460364,1000.); #146559=VECTOR('',#460373,1000.); #146560=VECTOR('',#460374,1000.); #146561=VECTOR('',#460375,1000.); #146562=VECTOR('',#460376,1000.); #146563=VECTOR('',#460379,1000.); #146564=VECTOR('',#460384,1000.); #146565=VECTOR('',#460385,1000.); #146566=VECTOR('',#460386,1000.); #146567=VECTOR('',#460387,1000.); #146568=VECTOR('',#460390,1000.); #146569=VECTOR('',#460391,1000.); #146570=VECTOR('',#460396,1000.); #146571=VECTOR('',#460399,1000.); #146572=VECTOR('',#460400,1000.); #146573=VECTOR('',#460405,1000.); #146574=VECTOR('',#460410,1000.); #146575=VECTOR('',#460411,1000.); #146576=VECTOR('',#460414,1000.); #146577=VECTOR('',#460415,1000.); #146578=VECTOR('',#460418,1000.); #146579=VECTOR('',#460419,1000.); #146580=VECTOR('',#460420,1000.); #146581=VECTOR('',#460421,1000.); #146582=VECTOR('',#460424,1000.); #146583=VECTOR('',#460425,1000.); #146584=VECTOR('',#460430,1000.); #146585=VECTOR('',#460433,1000.); #146586=VECTOR('',#460434,1000.); #146587=VECTOR('',#460437,1000.); #146588=VECTOR('',#460438,1000.); #146589=VECTOR('',#460439,1000.); #146590=VECTOR('',#460446,1000.); #146591=VECTOR('',#460447,1000.); #146592=VECTOR('',#460450,1000.); #146593=VECTOR('',#460455,1000.); #146594=VECTOR('',#460456,1000.); #146595=VECTOR('',#460457,1000.); #146596=VECTOR('',#460458,1000.); #146597=VECTOR('',#460461,1000.); #146598=VECTOR('',#460462,1000.); #146599=VECTOR('',#460463,1000.); #146600=VECTOR('',#460464,1000.); #146601=VECTOR('',#460469,1000.); #146602=VECTOR('',#460472,1000.); #146603=VECTOR('',#460473,1000.); #146604=VECTOR('',#460474,1000.); #146605=VECTOR('',#460475,1000.); #146606=VECTOR('',#460480,1000.); #146607=VECTOR('',#460487,1000.); #146608=VECTOR('',#460500,1000.); #146609=VECTOR('',#460503,1000.); #146610=VECTOR('',#460504,1000.); #146611=VECTOR('',#460505,1000.); #146612=VECTOR('',#460510,1000.); #146613=VECTOR('',#460515,1000.); #146614=VECTOR('',#460516,1000.); #146615=VECTOR('',#460517,1000.); #146616=VECTOR('',#460522,1000.); #146617=VECTOR('',#460527,1000.); #146618=VECTOR('',#460528,1000.); #146619=VECTOR('',#460529,1000.); #146620=VECTOR('',#460534,1000.); #146621=VECTOR('',#460549,1000.); #146622=VECTOR('',#460554,1000.); #146623=VECTOR('',#460561,1000.); #146624=VECTOR('',#460566,1000.); #146625=VECTOR('',#460567,1000.); #146626=VECTOR('',#460568,1000.); #146627=VECTOR('',#460573,1000.); #146628=VECTOR('',#460588,1000.); #146629=VECTOR('',#460593,1000.); #146630=VECTOR('',#460600,1000.); #146631=VECTOR('',#460605,1000.); #146632=VECTOR('',#460606,1000.); #146633=VECTOR('',#460607,1000.); #146634=VECTOR('',#460612,1000.); #146635=VECTOR('',#460617,1000.); #146636=VECTOR('',#460618,1000.); #146637=VECTOR('',#460619,1000.); #146638=VECTOR('',#460624,1000.); #146639=VECTOR('',#460629,1000.); #146640=VECTOR('',#460630,1000.); #146641=VECTOR('',#460635,1000.); #146642=VECTOR('',#460642,1000.); #146643=VECTOR('',#460647,1000.); #146644=VECTOR('',#460654,1000.); #146645=VECTOR('',#460659,1000.); #146646=VECTOR('',#460660,1000.); #146647=VECTOR('',#460661,1000.); #146648=VECTOR('',#460664,1000.); #146649=VECTOR('',#460665,1000.); #146650=VECTOR('',#460666,1000.); #146651=VECTOR('',#460667,1000.); #146652=VECTOR('',#460672,1000.); #146653=VECTOR('',#460681,1000.); #146654=VECTOR('',#460684,1000.); #146655=VECTOR('',#460687,1000.); #146656=VECTOR('',#460690,1000.); #146657=VECTOR('',#460695,1000.); #146658=VECTOR('',#460696,1000.); #146659=VECTOR('',#460697,1000.); #146660=VECTOR('',#460702,1000.); #146661=VECTOR('',#460705,1000.); #146662=VECTOR('',#460708,1000.); #146663=VECTOR('',#460711,1000.); #146664=VECTOR('',#460712,1000.); #146665=VECTOR('',#460715,1000.); #146666=VECTOR('',#460716,1000.); #146667=VECTOR('',#460721,1000.); #146668=VECTOR('',#460726,1000.); #146669=VECTOR('',#460733,1000.); #146670=VECTOR('',#460740,1000.); #146671=VECTOR('',#460745,1000.); #146672=VECTOR('',#460758,1000.); #146673=VECTOR('',#460761,1000.); #146674=VECTOR('',#460764,1000.); #146675=VECTOR('',#460767,1000.); #146676=VECTOR('',#460770,1000.); #146677=VECTOR('',#460773,1000.); #146678=VECTOR('',#460778,1000.); #146679=VECTOR('',#460781,1000.); #146680=VECTOR('',#460784,1000.); #146681=VECTOR('',#460787,1000.); #146682=VECTOR('',#460790,1000.); #146683=VECTOR('',#460793,1000.); #146684=VECTOR('',#460798,1000.); #146685=VECTOR('',#460801,1000.); #146686=VECTOR('',#460804,1000.); #146687=VECTOR('',#460807,1000.); #146688=VECTOR('',#460810,1000.); #146689=VECTOR('',#460813,1000.); #146690=VECTOR('',#460818,1000.); #146691=VECTOR('',#460821,1000.); #146692=VECTOR('',#460824,1000.); #146693=VECTOR('',#460827,1000.); #146694=VECTOR('',#460830,1000.); #146695=VECTOR('',#460833,1000.); #146696=VECTOR('',#460840,1000.); #146697=VECTOR('',#460841,1000.); #146698=VECTOR('',#460842,1000.); #146699=VECTOR('',#460843,1000.); #146700=VECTOR('',#460846,1000.); #146701=VECTOR('',#460849,1000.); #146702=VECTOR('',#460852,1000.); #146703=VECTOR('',#460853,1000.); #146704=VECTOR('',#460854,1000.); #146705=VECTOR('',#460857,1000.); #146706=VECTOR('',#460860,1000.); #146707=VECTOR('',#460863,1000.); #146708=VECTOR('',#460864,1000.); #146709=VECTOR('',#460865,1000.); #146710=VECTOR('',#460868,1000.); #146711=VECTOR('',#460871,1000.); #146712=VECTOR('',#460872,1000.); #146713=VECTOR('',#460873,1000.); #146714=VECTOR('',#460876,1000.); #146715=VECTOR('',#460881,1000.); #146716=VECTOR('',#460882,1000.); #146717=VECTOR('',#460883,1000.); #146718=VECTOR('',#460886,1000.); #146719=VECTOR('',#460887,1000.); #146720=VECTOR('',#460888,1000.); #146721=VECTOR('',#460889,1000.); #146722=VECTOR('',#460890,1000.); #146723=VECTOR('',#460893,1000.); #146724=VECTOR('',#460894,1000.); #146725=VECTOR('',#460895,1000.); #146726=VECTOR('',#460898,1000.); #146727=VECTOR('',#460899,1000.); #146728=VECTOR('',#460900,1000.); #146729=VECTOR('',#460901,1000.); #146730=VECTOR('',#460904,1000.); #146731=VECTOR('',#460905,1000.); #146732=VECTOR('',#460908,1000.); #146733=VECTOR('',#460909,1000.); #146734=VECTOR('',#460912,1000.); #146735=VECTOR('',#460913,1000.); #146736=VECTOR('',#460916,1000.); #146737=VECTOR('',#460919,1000.); #146738=VECTOR('',#460922,1000.); #146739=VECTOR('',#460927,1000.); #146740=VECTOR('',#460930,1000.); #146741=VECTOR('',#460933,1000.); #146742=VECTOR('',#460990,1.); #146743=VECTOR('',#460991,1.); #146744=VECTOR('',#460992,1.); #146745=VECTOR('',#460993,1.); #146746=VECTOR('',#460994,1.); #146747=VECTOR('',#460995,1.); #146748=VECTOR('',#460996,1.); #146749=VECTOR('',#460997,1.); #146750=VECTOR('',#460998,1.); #146751=VECTOR('',#460999,1.); #146752=VECTOR('',#461000,1.); #146753=VECTOR('',#461001,1.); #146754=VECTOR('',#461004,1.); #146755=VECTOR('',#461005,1.); #146756=VECTOR('',#461006,1.); #146757=VECTOR('',#461007,1.); #146758=VECTOR('',#461010,1.); #146759=VECTOR('',#461011,1.); #146760=VECTOR('',#461012,1.); #146761=VECTOR('',#461013,1.); #146762=VECTOR('',#461016,1.); #146763=VECTOR('',#461017,1.); #146764=VECTOR('',#461018,1.); #146765=VECTOR('',#461019,1.); #146766=VECTOR('',#461020,1.); #146767=VECTOR('',#461021,1.); #146768=VECTOR('',#461022,1.); #146769=VECTOR('',#461023,1.); #146770=VECTOR('',#461026,1.); #146771=VECTOR('',#461027,1.); #146772=VECTOR('',#461028,1.); #146773=VECTOR('',#461029,1.); #146774=VECTOR('',#461030,1.); #146775=VECTOR('',#461031,1.); #146776=VECTOR('',#461032,1.); #146777=VECTOR('',#461033,1.); #146778=VECTOR('',#461036,1.); #146779=VECTOR('',#461037,1.); #146780=VECTOR('',#461038,1.); #146781=VECTOR('',#461039,1.); #146782=VECTOR('',#461040,1.); #146783=VECTOR('',#461041,1.); #146784=VECTOR('',#461042,1.); #146785=VECTOR('',#461043,1.); #146786=VECTOR('',#461044,1.); #146787=VECTOR('',#461045,1.); #146788=VECTOR('',#461046,1.); #146789=VECTOR('',#461047,1.); #146790=VECTOR('',#461050,1.00000000000024); #146791=VECTOR('',#461051,1.00000000000024); #146792=VECTOR('',#461052,1.); #146793=VECTOR('',#461053,1.); #146794=VECTOR('',#461056,1.00000000000024); #146795=VECTOR('',#461057,1.); #146796=VECTOR('',#461058,1.); #146797=VECTOR('',#461059,1.00000000000024); #146798=VECTOR('',#461062,1.); #146799=VECTOR('',#461063,1.); #146800=VECTOR('',#461066,1.00000000000024); #146801=VECTOR('',#461067,1.00000000000024); #146802=VECTOR('',#461068,1.); #146803=VECTOR('',#461069,1.); #146804=VECTOR('',#461072,1.00000000000024); #146805=VECTOR('',#461073,1.); #146806=VECTOR('',#461074,1.00000000000024); #146807=VECTOR('',#461077,1.); #146808=VECTOR('',#461078,1.); #146809=VECTOR('',#461081,1.); #146810=VECTOR('',#461082,1.); #146811=VECTOR('',#461083,1.); #146812=VECTOR('',#461084,1.); #146813=VECTOR('',#461085,1.); #146814=VECTOR('',#461086,1.); #146815=VECTOR('',#461087,1.); #146816=VECTOR('',#461090,1.); #146817=VECTOR('',#461093,1.); #146818=VECTOR('',#461094,1.); #146819=VECTOR('',#461095,1.); #146820=VECTOR('',#461098,1.); #146821=VECTOR('',#461101,1.); #146822=VECTOR('',#461102,1.); #146823=VECTOR('',#461103,1.); #146824=VECTOR('',#461104,1.); #146825=VECTOR('',#461109,1.); #146826=VECTOR('',#461112,1.); #146827=VECTOR('',#461113,1.00000000000064); #146828=VECTOR('',#461114,1.00000000000064); #146829=VECTOR('',#461115,1.); #146830=VECTOR('',#461116,1.); #146831=VECTOR('',#461117,1.); #146832=VECTOR('',#461118,1.); #146833=VECTOR('',#461119,1.); #146834=VECTOR('',#461120,1.00000000000064); #146835=VECTOR('',#461121,1.00000000000064); #146836=VECTOR('',#461122,1.); #146837=VECTOR('',#461129,1.); #146838=VECTOR('',#461132,1.); #146839=VECTOR('',#461133,1.00000000000064); #146840=VECTOR('',#461134,1.00000000000064); #146841=VECTOR('',#461135,1.); #146842=VECTOR('',#461136,1.); #146843=VECTOR('',#461137,1.); #146844=VECTOR('',#461138,1.); #146845=VECTOR('',#461139,1.); #146846=VECTOR('',#461140,1.); #146847=VECTOR('',#461141,1.00000000000064); #146848=VECTOR('',#461142,1.00000000000064); #146849=VECTOR('',#461143,1.); #146850=VECTOR('',#461146,1.); #146851=VECTOR('',#461147,1.); #146852=VECTOR('',#461148,1.); #146853=VECTOR('',#461149,1.); #146854=VECTOR('',#461154,1.); #146855=VECTOR('',#461155,1.); #146856=VECTOR('',#461158,1.); #146857=VECTOR('',#461159,1.); #146858=VECTOR('',#461162,1.); #146859=VECTOR('',#461165,1.); #146860=VECTOR('',#461168,1.); #146861=VECTOR('',#461171,1.); #146862=VECTOR('',#461174,1.); #146863=VECTOR('',#461177,1.); #146864=VECTOR('',#461180,1.); #146865=VECTOR('',#461183,1.); #146866=VECTOR('',#461186,1.); #146867=VECTOR('',#461189,1.); #146868=VECTOR('',#461192,1.); #146869=VECTOR('',#461205,1.); #146870=VECTOR('',#461206,1.); #146871=VECTOR('',#461207,1.); #146872=VECTOR('',#461210,1.); #146873=VECTOR('',#461211,1.); #146874=VECTOR('',#461214,1.); #146875=VECTOR('',#461215,1.); #146876=VECTOR('',#461218,1.); #146877=VECTOR('',#461221,1.); #146878=VECTOR('',#461222,1.); #146879=VECTOR('',#461223,1.); #146880=VECTOR('',#461224,1.); #146881=VECTOR('',#461227,0.999999999999891); #146882=VECTOR('',#461228,0.999999999999891); #146883=VECTOR('',#461231,0.999999999999891); #146884=VECTOR('',#461234,0.999999999999891); #146885=VECTOR('',#461239,1.); #146886=VECTOR('',#461240,1.); #146887=VECTOR('',#461241,1.); #146888=VECTOR('',#461244,1.); #146889=VECTOR('',#461245,1.); #146890=VECTOR('',#461248,1.); #146891=VECTOR('',#461249,1.); #146892=VECTOR('',#461252,1.); #146893=VECTOR('',#461255,1.); #146894=VECTOR('',#461256,1.); #146895=VECTOR('',#461257,1.); #146896=VECTOR('',#461258,1.); #146897=VECTOR('',#461261,0.999999999999891); #146898=VECTOR('',#461262,0.999999999999891); #146899=VECTOR('',#461265,0.999999999999891); #146900=VECTOR('',#461268,0.999999999999891); #146901=VECTOR('',#461273,1.); #146902=VECTOR('',#461274,1.); #146903=VECTOR('',#461275,1.); #146904=VECTOR('',#461278,1.); #146905=VECTOR('',#461279,1.); #146906=VECTOR('',#461280,1.); #146907=VECTOR('',#461281,1.); #146908=VECTOR('',#461284,1.); #146909=VECTOR('',#461285,1.); #146910=VECTOR('',#461286,1.); #146911=VECTOR('',#461289,1.); #146912=VECTOR('',#461292,1.); #146913=VECTOR('',#461295,0.999999999999891); #146914=VECTOR('',#461296,0.999999999999891); #146915=VECTOR('',#461299,0.999999999999891); #146916=VECTOR('',#461302,0.999999999999891); #146917=VECTOR('',#461307,1.); #146918=VECTOR('',#461308,1.); #146919=VECTOR('',#461309,1.); #146920=VECTOR('',#461312,1.); #146921=VECTOR('',#461313,1.); #146922=VECTOR('',#461314,1.); #146923=VECTOR('',#461315,1.); #146924=VECTOR('',#461318,1.); #146925=VECTOR('',#461319,1.); #146926=VECTOR('',#461320,1.); #146927=VECTOR('',#461323,1.); #146928=VECTOR('',#461326,1.); #146929=VECTOR('',#461329,0.999999999999891); #146930=VECTOR('',#461330,0.999999999999891); #146931=VECTOR('',#461333,0.999999999999891); #146932=VECTOR('',#461336,0.999999999999891); #146933=VECTOR('',#461341,1.00000000000064); #146934=VECTOR('',#461342,1.); #146935=VECTOR('',#461345,1.); #146936=VECTOR('',#461348,1.00000000000064); #146937=VECTOR('',#461349,1.); #146938=VECTOR('',#461352,1.); #146939=VECTOR('',#461359,1.00000000000065); #146940=VECTOR('',#461362,1.00000000000065); #146941=VECTOR('',#461415,10.); #146942=VECTOR('',#461416,10.); #146943=VECTOR('',#461435,10.); #146944=VECTOR('',#461436,10.); #146945=VECTOR('',#461439,10.); #146946=VECTOR('',#461440,10.); #146947=VECTOR('',#461451,10.); #146948=VECTOR('',#461452,10.); #146949=VECTOR('',#461455,10.); #146950=VECTOR('',#461456,10.); #146951=VECTOR('',#461459,10.); #146952=VECTOR('',#461460,10.); #146953=VECTOR('',#461463,10.); #146954=VECTOR('',#461464,10.); #146955=VECTOR('',#461467,10.); #146956=VECTOR('',#461468,10.); #146957=VECTOR('',#461471,10.); #146958=VECTOR('',#461472,10.); #146959=VECTOR('',#461475,10.); #146960=VECTOR('',#461476,10.); #146961=VECTOR('',#461479,10.); #146962=VECTOR('',#461480,10.); #146963=VECTOR('',#461483,10.); #146964=VECTOR('',#461484,10.); #146965=VECTOR('',#461539,10.); #146966=VECTOR('',#461540,10.); #146967=VECTOR('',#461559,10.); #146968=VECTOR('',#461560,10.); #146969=VECTOR('',#461563,10.); #146970=VECTOR('',#461564,10.); #146971=VECTOR('',#461575,10.); #146972=VECTOR('',#461576,10.); #146973=VECTOR('',#461579,10.); #146974=VECTOR('',#461580,10.); #146975=VECTOR('',#461583,10.); #146976=VECTOR('',#461584,10.); #146977=VECTOR('',#461587,10.); #146978=VECTOR('',#461588,10.); #146979=VECTOR('',#461591,10.); #146980=VECTOR('',#461592,10.); #146981=VECTOR('',#461595,10.); #146982=VECTOR('',#461596,10.); #146983=VECTOR('',#461599,10.); #146984=VECTOR('',#461600,10.); #146985=VECTOR('',#461603,10.); #146986=VECTOR('',#461604,10.); #146987=VECTOR('',#461607,10.); #146988=VECTOR('',#461608,10.); #146989=VECTOR('',#461623,10.); #146990=VECTOR('',#461624,10.); #146991=VECTOR('',#461625,10.); #146992=VECTOR('',#461626,10.); #146993=VECTOR('',#461629,10.); #146994=VECTOR('',#461630,10.); #146995=VECTOR('',#461631,10.); #146996=VECTOR('',#461634,10.); #146997=VECTOR('',#461635,10.); #146998=VECTOR('',#461636,10.); #146999=VECTOR('',#461639,10.); #147000=VECTOR('',#461640,10.); #147001=VECTOR('',#461737,10.); #147002=VECTOR('',#461738,10.); #147003=VECTOR('',#461757,10.); #147004=VECTOR('',#461758,10.); #147005=VECTOR('',#461761,10.); #147006=VECTOR('',#461762,10.); #147007=VECTOR('',#461773,10.); #147008=VECTOR('',#461774,10.); #147009=VECTOR('',#461777,10.); #147010=VECTOR('',#461778,10.); #147011=VECTOR('',#461781,10.); #147012=VECTOR('',#461782,10.); #147013=VECTOR('',#461785,10.); #147014=VECTOR('',#461786,10.); #147015=VECTOR('',#461789,10.); #147016=VECTOR('',#461790,10.); #147017=VECTOR('',#461793,10.); #147018=VECTOR('',#461794,10.); #147019=VECTOR('',#461797,10.); #147020=VECTOR('',#461798,10.); #147021=VECTOR('',#461801,10.); #147022=VECTOR('',#461802,10.); #147023=VECTOR('',#461805,10.); #147024=VECTOR('',#461806,10.); #147025=VECTOR('',#461861,10.); #147026=VECTOR('',#461862,10.); #147027=VECTOR('',#461881,10.); #147028=VECTOR('',#461882,10.); #147029=VECTOR('',#461885,10.); #147030=VECTOR('',#461886,10.); #147031=VECTOR('',#461897,10.); #147032=VECTOR('',#461898,10.); #147033=VECTOR('',#461901,10.); #147034=VECTOR('',#461902,10.); #147035=VECTOR('',#461905,10.); #147036=VECTOR('',#461906,10.); #147037=VECTOR('',#461909,10.); #147038=VECTOR('',#461910,10.); #147039=VECTOR('',#461913,10.); #147040=VECTOR('',#461914,10.); #147041=VECTOR('',#461917,10.); #147042=VECTOR('',#461918,10.); #147043=VECTOR('',#461921,10.); #147044=VECTOR('',#461922,10.); #147045=VECTOR('',#461925,10.); #147046=VECTOR('',#461926,10.); #147047=VECTOR('',#461929,10.); #147048=VECTOR('',#461930,10.); #147049=VECTOR('',#461945,10.); #147050=VECTOR('',#461946,10.); #147051=VECTOR('',#461947,10.); #147052=VECTOR('',#461948,10.); #147053=VECTOR('',#461951,10.); #147054=VECTOR('',#461952,10.); #147055=VECTOR('',#461953,10.); #147056=VECTOR('',#461956,10.); #147057=VECTOR('',#461957,10.); #147058=VECTOR('',#461958,10.); #147059=VECTOR('',#461961,10.); #147060=VECTOR('',#461962,10.); #147061=VECTOR('',#462015,10.); #147062=VECTOR('',#462016,10.); #147063=VECTOR('',#462035,10.); #147064=VECTOR('',#462036,10.); #147065=VECTOR('',#462039,10.); #147066=VECTOR('',#462040,10.); #147067=VECTOR('',#462051,10.); #147068=VECTOR('',#462052,10.); #147069=VECTOR('',#462055,10.); #147070=VECTOR('',#462056,10.); #147071=VECTOR('',#462059,10.); #147072=VECTOR('',#462060,10.); #147073=VECTOR('',#462063,10.); #147074=VECTOR('',#462064,10.); #147075=VECTOR('',#462067,10.); #147076=VECTOR('',#462068,10.); #147077=VECTOR('',#462071,10.); #147078=VECTOR('',#462072,10.); #147079=VECTOR('',#462075,10.); #147080=VECTOR('',#462076,10.); #147081=VECTOR('',#462079,10.); #147082=VECTOR('',#462080,10.); #147083=VECTOR('',#462083,10.); #147084=VECTOR('',#462084,10.); #147085=VECTOR('',#462139,10.); #147086=VECTOR('',#462140,10.); #147087=VECTOR('',#462159,10.); #147088=VECTOR('',#462160,10.); #147089=VECTOR('',#462163,10.); #147090=VECTOR('',#462164,10.); #147091=VECTOR('',#462175,10.); #147092=VECTOR('',#462176,10.); #147093=VECTOR('',#462179,10.); #147094=VECTOR('',#462180,10.); #147095=VECTOR('',#462183,10.); #147096=VECTOR('',#462184,10.); #147097=VECTOR('',#462187,10.); #147098=VECTOR('',#462188,10.); #147099=VECTOR('',#462191,10.); #147100=VECTOR('',#462192,10.); #147101=VECTOR('',#462195,10.); #147102=VECTOR('',#462196,10.); #147103=VECTOR('',#462199,10.); #147104=VECTOR('',#462200,10.); #147105=VECTOR('',#462203,10.); #147106=VECTOR('',#462204,10.); #147107=VECTOR('',#462207,10.); #147108=VECTOR('',#462208,10.); #147109=VECTOR('',#462223,10.); #147110=VECTOR('',#462224,10.); #147111=VECTOR('',#462225,10.); #147112=VECTOR('',#462226,10.); #147113=VECTOR('',#462229,10.); #147114=VECTOR('',#462230,10.); #147115=VECTOR('',#462231,10.); #147116=VECTOR('',#462234,10.); #147117=VECTOR('',#462235,10.); #147118=VECTOR('',#462236,10.); #147119=VECTOR('',#462239,10.); #147120=VECTOR('',#462240,10.); #147121=VECTOR('',#462255,3.11666666666667); #147122=VECTOR('',#462274,4.25); #147123=VECTOR('',#462297,4.25); #147124=VECTOR('',#462314,10.); #147125=VECTOR('',#462317,10.); #147126=VECTOR('',#462320,10.); #147127=VECTOR('',#462327,10.); #147128=VECTOR('',#462330,10.); #147129=VECTOR('',#462333,10.); #147130=VECTOR('',#462350,10.); #147131=VECTOR('',#462361,10.); #147132=VECTOR('',#462372,10.); #147133=VECTOR('',#462395,10.); #147134=VECTOR('',#462406,10.); #147135=VECTOR('',#462417,10.); #147136=VECTOR('',#462430,0.325); #147137=VECTOR('',#462441,0.325); #147138=VECTOR('',#462450,10.); #147139=VECTOR('',#462451,10.); #147140=VECTOR('',#462452,10.); #147141=VECTOR('',#462453,10.); #147142=VECTOR('',#462458,10.); #147143=VECTOR('',#462463,10.); #147144=VECTOR('',#462468,10.); #147145=VECTOR('',#462473,10.); #147146=VECTOR('',#462478,10.); #147147=VECTOR('',#462479,10.); #147148=VECTOR('',#462480,10.); #147149=VECTOR('',#462481,10.); #147150=VECTOR('',#462486,10.); #147151=VECTOR('',#462491,10.); #147152=VECTOR('',#462496,10.); #147153=VECTOR('',#462501,10.); #147154=VECTOR('',#462506,10.); #147155=VECTOR('',#462507,10.); #147156=VECTOR('',#462508,10.); #147157=VECTOR('',#462509,10.); #147158=VECTOR('',#462514,10.); #147159=VECTOR('',#462519,10.); #147160=VECTOR('',#462524,10.); #147161=VECTOR('',#462529,10.); #147162=VECTOR('',#462534,10.); #147163=VECTOR('',#462535,10.); #147164=VECTOR('',#462536,10.); #147165=VECTOR('',#462537,10.); #147166=VECTOR('',#462542,10.); #147167=VECTOR('',#462547,10.); #147168=VECTOR('',#462552,10.); #147169=VECTOR('',#462557,10.); #147170=VECTOR('',#462562,10.); #147171=VECTOR('',#462563,10.); #147172=VECTOR('',#462564,10.); #147173=VECTOR('',#462565,10.); #147174=VECTOR('',#462570,10.); #147175=VECTOR('',#462575,10.); #147176=VECTOR('',#462580,10.); #147177=VECTOR('',#462585,10.); #147178=VECTOR('',#462590,10.); #147179=VECTOR('',#462591,10.); #147180=VECTOR('',#462592,10.); #147181=VECTOR('',#462593,10.); #147182=VECTOR('',#462598,10.); #147183=VECTOR('',#462603,10.); #147184=VECTOR('',#462608,10.); #147185=VECTOR('',#462613,10.); #147186=VECTOR('',#462618,10.); #147187=VECTOR('',#462619,10.); #147188=VECTOR('',#462620,10.); #147189=VECTOR('',#462621,10.); #147190=VECTOR('',#462626,10.); #147191=VECTOR('',#462631,10.); #147192=VECTOR('',#462636,10.); #147193=VECTOR('',#462641,10.); #147194=VECTOR('',#462646,10.); #147195=VECTOR('',#462647,10.); #147196=VECTOR('',#462648,10.); #147197=VECTOR('',#462649,10.); #147198=VECTOR('',#462654,10.); #147199=VECTOR('',#462659,10.); #147200=VECTOR('',#462664,10.); #147201=VECTOR('',#462669,10.); #147202=VECTOR('',#462674,10.); #147203=VECTOR('',#462675,10.); #147204=VECTOR('',#462676,10.); #147205=VECTOR('',#462677,10.); #147206=VECTOR('',#462682,10.); #147207=VECTOR('',#462687,10.); #147208=VECTOR('',#462692,10.); #147209=VECTOR('',#462697,10.); #147210=VECTOR('',#462710,0.0750000000000001); #147211=VECTOR('',#462717,10.); #147212=VECTOR('',#462718,10.); #147213=VECTOR('',#462719,10.); #147214=VECTOR('',#462720,10.); #147215=VECTOR('',#462723,10.); #147216=VECTOR('',#462724,10.); #147217=VECTOR('',#462725,10.); #147218=VECTOR('',#462728,10.); #147219=VECTOR('',#462729,10.); #147220=VECTOR('',#462730,10.); #147221=VECTOR('',#462733,10.); #147222=VECTOR('',#462734,10.); #147223=VECTOR('',#462737,10.); #147224=VECTOR('',#462738,10.); #147225=VECTOR('',#462739,10.); #147226=VECTOR('',#462742,10.); #147227=VECTOR('',#462743,10.); #147228=VECTOR('',#462748,10.); #147229=VECTOR('',#462749,10.); #147230=VECTOR('',#462752,10.); #147231=VECTOR('',#462757,10.); #147232=VECTOR('',#462758,10.); #147233=VECTOR('',#462759,10.); #147234=VECTOR('',#462760,10.); #147235=VECTOR('',#462763,10.); #147236=VECTOR('',#462764,10.); #147237=VECTOR('',#462765,10.); #147238=VECTOR('',#462768,10.); #147239=VECTOR('',#462769,10.); #147240=VECTOR('',#462770,10.); #147241=VECTOR('',#462773,10.); #147242=VECTOR('',#462774,10.); #147243=VECTOR('',#462781,10.); #147244=VECTOR('',#462782,10.); #147245=VECTOR('',#462783,10.); #147246=VECTOR('',#462784,10.); #147247=VECTOR('',#462787,10.); #147248=VECTOR('',#462788,10.); #147249=VECTOR('',#462789,10.); #147250=VECTOR('',#462796,10.); #147251=VECTOR('',#462799,10.); #147252=VECTOR('',#462800,10.); #147253=VECTOR('',#462801,10.); #147254=VECTOR('',#462808,10.); #147255=VECTOR('',#462811,10.); #147256=VECTOR('',#462812,10.); #147257=VECTOR('',#462819,10.); #147258=VECTOR('',#462820,10.); #147259=VECTOR('',#462821,10.); #147260=VECTOR('',#462822,10.); #147261=VECTOR('',#462825,10.); #147262=VECTOR('',#462826,10.); #147263=VECTOR('',#462827,10.); #147264=VECTOR('',#462832,10.); #147265=VECTOR('',#462837,10.); #147266=VECTOR('',#462838,10.); #147267=VECTOR('',#462839,10.); #147268=VECTOR('',#462844,10.); #147269=VECTOR('',#462849,10.); #147270=VECTOR('',#462850,10.); #147271=VECTOR('',#462857,10.); #147272=VECTOR('',#462858,10.); #147273=VECTOR('',#462859,10.); #147274=VECTOR('',#462860,10.); #147275=VECTOR('',#462863,10.); #147276=VECTOR('',#462864,10.); #147277=VECTOR('',#462865,10.); #147278=VECTOR('',#462872,10.); #147279=VECTOR('',#462875,10.); #147280=VECTOR('',#462876,10.); #147281=VECTOR('',#462877,10.); #147282=VECTOR('',#462884,10.); #147283=VECTOR('',#462887,10.); #147284=VECTOR('',#462888,10.); #147285=VECTOR('',#462895,10.); #147286=VECTOR('',#462896,10.); #147287=VECTOR('',#462897,10.); #147288=VECTOR('',#462898,10.); #147289=VECTOR('',#462901,10.); #147290=VECTOR('',#462902,10.); #147291=VECTOR('',#462903,10.); #147292=VECTOR('',#462910,10.); #147293=VECTOR('',#462913,10.); #147294=VECTOR('',#462914,10.); #147295=VECTOR('',#462915,10.); #147296=VECTOR('',#462922,10.); #147297=VECTOR('',#462925,10.); #147298=VECTOR('',#462926,10.); #147299=VECTOR('',#462933,10.); #147300=VECTOR('',#462934,10.); #147301=VECTOR('',#462935,10.); #147302=VECTOR('',#462936,10.); #147303=VECTOR('',#462939,10.); #147304=VECTOR('',#462940,10.); #147305=VECTOR('',#462941,10.); #147306=VECTOR('',#462948,10.); #147307=VECTOR('',#462951,10.); #147308=VECTOR('',#462952,10.); #147309=VECTOR('',#462953,10.); #147310=VECTOR('',#462960,10.); #147311=VECTOR('',#462963,10.); #147312=VECTOR('',#462964,10.); #147313=VECTOR('',#462971,10.); #147314=VECTOR('',#462972,10.); #147315=VECTOR('',#462973,10.); #147316=VECTOR('',#462974,10.); #147317=VECTOR('',#462977,10.); #147318=VECTOR('',#462978,10.); #147319=VECTOR('',#462979,10.); #147320=VECTOR('',#462984,10.); #147321=VECTOR('',#462989,10.); #147322=VECTOR('',#462990,10.); #147323=VECTOR('',#462991,10.); #147324=VECTOR('',#462996,10.); #147325=VECTOR('',#463001,10.); #147326=VECTOR('',#463002,10.); #147327=VECTOR('',#463009,10.); #147328=VECTOR('',#463010,10.); #147329=VECTOR('',#463011,10.); #147330=VECTOR('',#463012,10.); #147331=VECTOR('',#463015,10.); #147332=VECTOR('',#463016,10.); #147333=VECTOR('',#463017,10.); #147334=VECTOR('',#463022,10.); #147335=VECTOR('',#463027,10.); #147336=VECTOR('',#463028,10.); #147337=VECTOR('',#463029,10.); #147338=VECTOR('',#463034,10.); #147339=VECTOR('',#463039,10.); #147340=VECTOR('',#463040,10.); #147341=VECTOR('',#463047,10.); #147342=VECTOR('',#463048,10.); #147343=VECTOR('',#463049,10.); #147344=VECTOR('',#463050,10.); #147345=VECTOR('',#463053,10.); #147346=VECTOR('',#463054,10.); #147347=VECTOR('',#463055,10.); #147348=VECTOR('',#463060,10.); #147349=VECTOR('',#463065,10.); #147350=VECTOR('',#463066,10.); #147351=VECTOR('',#463067,10.); #147352=VECTOR('',#463072,10.); #147353=VECTOR('',#463077,10.); #147354=VECTOR('',#463078,10.); #147355=VECTOR('',#463085,10.); #147356=VECTOR('',#463086,10.); #147357=VECTOR('',#463087,10.); #147358=VECTOR('',#463088,10.); #147359=VECTOR('',#463091,10.); #147360=VECTOR('',#463092,10.); #147361=VECTOR('',#463093,10.); #147362=VECTOR('',#463096,10.); #147363=VECTOR('',#463097,10.); #147364=VECTOR('',#463098,10.); #147365=VECTOR('',#463101,10.); #147366=VECTOR('',#463102,10.); #147367=VECTOR('',#463109,10.); #147368=VECTOR('',#463110,10.); #147369=VECTOR('',#463111,10.); #147370=VECTOR('',#463112,10.); #147371=VECTOR('',#463115,10.); #147372=VECTOR('',#463116,10.); #147373=VECTOR('',#463117,10.); #147374=VECTOR('',#463120,10.); #147375=VECTOR('',#463121,10.); #147376=VECTOR('',#463122,10.); #147377=VECTOR('',#463125,10.); #147378=VECTOR('',#463126,10.); #147379=VECTOR('',#463133,10.); #147380=VECTOR('',#463134,10.); #147381=VECTOR('',#463135,10.); #147382=VECTOR('',#463136,10.); #147383=VECTOR('',#463139,10.); #147384=VECTOR('',#463140,10.); #147385=VECTOR('',#463141,10.); #147386=VECTOR('',#463144,10.); #147387=VECTOR('',#463145,10.); #147388=VECTOR('',#463146,10.); #147389=VECTOR('',#463149,10.); #147390=VECTOR('',#463150,10.); #147391=VECTOR('',#463157,10.); #147392=VECTOR('',#463158,10.); #147393=VECTOR('',#463159,10.); #147394=VECTOR('',#463160,10.); #147395=VECTOR('',#463163,10.); #147396=VECTOR('',#463164,10.); #147397=VECTOR('',#463165,10.); #147398=VECTOR('',#463168,10.); #147399=VECTOR('',#463169,10.); #147400=VECTOR('',#463170,10.); #147401=VECTOR('',#463173,10.); #147402=VECTOR('',#463174,10.); #147403=VECTOR('',#463181,10.); #147404=VECTOR('',#463182,10.); #147405=VECTOR('',#463183,10.); #147406=VECTOR('',#463184,10.); #147407=VECTOR('',#463187,10.); #147408=VECTOR('',#463188,10.); #147409=VECTOR('',#463189,10.); #147410=VECTOR('',#463192,10.); #147411=VECTOR('',#463193,10.); #147412=VECTOR('',#463194,10.); #147413=VECTOR('',#463197,10.); #147414=VECTOR('',#463198,10.); #147415=VECTOR('',#463205,10.); #147416=VECTOR('',#463206,10.); #147417=VECTOR('',#463207,10.); #147418=VECTOR('',#463208,10.); #147419=VECTOR('',#463211,10.); #147420=VECTOR('',#463212,10.); #147421=VECTOR('',#463213,10.); #147422=VECTOR('',#463216,10.); #147423=VECTOR('',#463217,10.); #147424=VECTOR('',#463218,10.); #147425=VECTOR('',#463221,10.); #147426=VECTOR('',#463222,10.); #147427=VECTOR('',#463229,10.); #147428=VECTOR('',#463230,10.); #147429=VECTOR('',#463231,10.); #147430=VECTOR('',#463232,10.); #147431=VECTOR('',#463235,10.); #147432=VECTOR('',#463236,10.); #147433=VECTOR('',#463237,10.); #147434=VECTOR('',#463240,10.); #147435=VECTOR('',#463241,10.); #147436=VECTOR('',#463242,10.); #147437=VECTOR('',#463245,10.); #147438=VECTOR('',#463246,10.); #147439=VECTOR('',#463253,10.); #147440=VECTOR('',#463254,10.); #147441=VECTOR('',#463255,10.); #147442=VECTOR('',#463256,10.); #147443=VECTOR('',#463259,10.); #147444=VECTOR('',#463260,10.); #147445=VECTOR('',#463261,10.); #147446=VECTOR('',#463264,10.); #147447=VECTOR('',#463265,10.); #147448=VECTOR('',#463266,10.); #147449=VECTOR('',#463269,10.); #147450=VECTOR('',#463270,10.); #147451=VECTOR('',#463285,0.0750000000000001); #147452=VECTOR('',#463292,10.); #147453=VECTOR('',#463293,10.); #147454=VECTOR('',#463294,10.); #147455=VECTOR('',#463295,10.); #147456=VECTOR('',#463298,10.); #147457=VECTOR('',#463299,10.); #147458=VECTOR('',#463300,10.); #147459=VECTOR('',#463303,10.); #147460=VECTOR('',#463304,10.); #147461=VECTOR('',#463305,10.); #147462=VECTOR('',#463308,10.); #147463=VECTOR('',#463309,10.); #147464=VECTOR('',#463312,10.); #147465=VECTOR('',#463313,10.); #147466=VECTOR('',#463314,10.); #147467=VECTOR('',#463317,10.); #147468=VECTOR('',#463318,10.); #147469=VECTOR('',#463323,10.); #147470=VECTOR('',#463324,10.); #147471=VECTOR('',#463327,10.); #147472=VECTOR('',#463332,10.); #147473=VECTOR('',#463333,10.); #147474=VECTOR('',#463334,10.); #147475=VECTOR('',#463335,10.); #147476=VECTOR('',#463338,10.); #147477=VECTOR('',#463339,10.); #147478=VECTOR('',#463340,10.); #147479=VECTOR('',#463343,10.); #147480=VECTOR('',#463344,10.); #147481=VECTOR('',#463345,10.); #147482=VECTOR('',#463348,10.); #147483=VECTOR('',#463349,10.); #147484=VECTOR('',#463356,10.); #147485=VECTOR('',#463357,10.); #147486=VECTOR('',#463358,10.); #147487=VECTOR('',#463359,10.); #147488=VECTOR('',#463362,10.); #147489=VECTOR('',#463363,10.); #147490=VECTOR('',#463364,10.); #147491=VECTOR('',#463371,10.); #147492=VECTOR('',#463374,10.); #147493=VECTOR('',#463375,10.); #147494=VECTOR('',#463376,10.); #147495=VECTOR('',#463383,10.); #147496=VECTOR('',#463386,10.); #147497=VECTOR('',#463387,10.); #147498=VECTOR('',#463394,10.); #147499=VECTOR('',#463395,10.); #147500=VECTOR('',#463396,10.); #147501=VECTOR('',#463397,10.); #147502=VECTOR('',#463400,10.); #147503=VECTOR('',#463401,10.); #147504=VECTOR('',#463402,10.); #147505=VECTOR('',#463407,10.); #147506=VECTOR('',#463412,10.); #147507=VECTOR('',#463413,10.); #147508=VECTOR('',#463414,10.); #147509=VECTOR('',#463419,10.); #147510=VECTOR('',#463424,10.); #147511=VECTOR('',#463425,10.); #147512=VECTOR('',#463432,10.); #147513=VECTOR('',#463433,10.); #147514=VECTOR('',#463434,10.); #147515=VECTOR('',#463435,10.); #147516=VECTOR('',#463438,10.); #147517=VECTOR('',#463439,10.); #147518=VECTOR('',#463440,10.); #147519=VECTOR('',#463447,10.); #147520=VECTOR('',#463450,10.); #147521=VECTOR('',#463451,10.); #147522=VECTOR('',#463452,10.); #147523=VECTOR('',#463459,10.); #147524=VECTOR('',#463462,10.); #147525=VECTOR('',#463463,10.); #147526=VECTOR('',#463470,10.); #147527=VECTOR('',#463471,10.); #147528=VECTOR('',#463472,10.); #147529=VECTOR('',#463473,10.); #147530=VECTOR('',#463476,10.); #147531=VECTOR('',#463477,10.); #147532=VECTOR('',#463478,10.); #147533=VECTOR('',#463485,10.); #147534=VECTOR('',#463488,10.); #147535=VECTOR('',#463489,10.); #147536=VECTOR('',#463490,10.); #147537=VECTOR('',#463497,10.); #147538=VECTOR('',#463500,10.); #147539=VECTOR('',#463501,10.); #147540=VECTOR('',#463508,10.); #147541=VECTOR('',#463509,10.); #147542=VECTOR('',#463510,10.); #147543=VECTOR('',#463511,10.); #147544=VECTOR('',#463514,10.); #147545=VECTOR('',#463515,10.); #147546=VECTOR('',#463516,10.); #147547=VECTOR('',#463523,10.); #147548=VECTOR('',#463526,10.); #147549=VECTOR('',#463527,10.); #147550=VECTOR('',#463528,10.); #147551=VECTOR('',#463535,10.); #147552=VECTOR('',#463538,10.); #147553=VECTOR('',#463539,10.); #147554=VECTOR('',#463546,10.); #147555=VECTOR('',#463547,10.); #147556=VECTOR('',#463548,10.); #147557=VECTOR('',#463549,10.); #147558=VECTOR('',#463552,10.); #147559=VECTOR('',#463553,10.); #147560=VECTOR('',#463554,10.); #147561=VECTOR('',#463559,10.); #147562=VECTOR('',#463564,10.); #147563=VECTOR('',#463565,10.); #147564=VECTOR('',#463566,10.); #147565=VECTOR('',#463571,10.); #147566=VECTOR('',#463576,10.); #147567=VECTOR('',#463577,10.); #147568=VECTOR('',#463584,10.); #147569=VECTOR('',#463585,10.); #147570=VECTOR('',#463586,10.); #147571=VECTOR('',#463587,10.); #147572=VECTOR('',#463590,10.); #147573=VECTOR('',#463591,10.); #147574=VECTOR('',#463592,10.); #147575=VECTOR('',#463597,10.); #147576=VECTOR('',#463602,10.); #147577=VECTOR('',#463603,10.); #147578=VECTOR('',#463604,10.); #147579=VECTOR('',#463609,10.); #147580=VECTOR('',#463614,10.); #147581=VECTOR('',#463615,10.); #147582=VECTOR('',#463622,10.); #147583=VECTOR('',#463623,10.); #147584=VECTOR('',#463624,10.); #147585=VECTOR('',#463625,10.); #147586=VECTOR('',#463628,10.); #147587=VECTOR('',#463629,10.); #147588=VECTOR('',#463630,10.); #147589=VECTOR('',#463635,10.); #147590=VECTOR('',#463640,10.); #147591=VECTOR('',#463641,10.); #147592=VECTOR('',#463642,10.); #147593=VECTOR('',#463647,10.); #147594=VECTOR('',#463652,10.); #147595=VECTOR('',#463653,10.); #147596=VECTOR('',#463660,10.); #147597=VECTOR('',#463661,10.); #147598=VECTOR('',#463662,10.); #147599=VECTOR('',#463663,10.); #147600=VECTOR('',#463666,10.); #147601=VECTOR('',#463667,10.); #147602=VECTOR('',#463668,10.); #147603=VECTOR('',#463671,10.); #147604=VECTOR('',#463672,10.); #147605=VECTOR('',#463673,10.); #147606=VECTOR('',#463676,10.); #147607=VECTOR('',#463677,10.); #147608=VECTOR('',#463684,10.); #147609=VECTOR('',#463685,10.); #147610=VECTOR('',#463686,10.); #147611=VECTOR('',#463687,10.); #147612=VECTOR('',#463690,10.); #147613=VECTOR('',#463691,10.); #147614=VECTOR('',#463692,10.); #147615=VECTOR('',#463695,10.); #147616=VECTOR('',#463696,10.); #147617=VECTOR('',#463697,10.); #147618=VECTOR('',#463700,10.); #147619=VECTOR('',#463701,10.); #147620=VECTOR('',#463708,10.); #147621=VECTOR('',#463709,10.); #147622=VECTOR('',#463710,10.); #147623=VECTOR('',#463711,10.); #147624=VECTOR('',#463714,10.); #147625=VECTOR('',#463715,10.); #147626=VECTOR('',#463716,10.); #147627=VECTOR('',#463719,10.); #147628=VECTOR('',#463720,10.); #147629=VECTOR('',#463721,10.); #147630=VECTOR('',#463724,10.); #147631=VECTOR('',#463725,10.); #147632=VECTOR('',#463732,10.); #147633=VECTOR('',#463733,10.); #147634=VECTOR('',#463734,10.); #147635=VECTOR('',#463735,10.); #147636=VECTOR('',#463738,10.); #147637=VECTOR('',#463739,10.); #147638=VECTOR('',#463740,10.); #147639=VECTOR('',#463743,10.); #147640=VECTOR('',#463744,10.); #147641=VECTOR('',#463745,10.); #147642=VECTOR('',#463748,10.); #147643=VECTOR('',#463749,10.); #147644=VECTOR('',#463756,10.); #147645=VECTOR('',#463757,10.); #147646=VECTOR('',#463758,10.); #147647=VECTOR('',#463759,10.); #147648=VECTOR('',#463762,10.); #147649=VECTOR('',#463763,10.); #147650=VECTOR('',#463764,10.); #147651=VECTOR('',#463767,10.); #147652=VECTOR('',#463768,10.); #147653=VECTOR('',#463769,10.); #147654=VECTOR('',#463772,10.); #147655=VECTOR('',#463773,10.); #147656=VECTOR('',#463780,10.); #147657=VECTOR('',#463781,10.); #147658=VECTOR('',#463782,10.); #147659=VECTOR('',#463783,10.); #147660=VECTOR('',#463786,10.); #147661=VECTOR('',#463787,10.); #147662=VECTOR('',#463788,10.); #147663=VECTOR('',#463791,10.); #147664=VECTOR('',#463792,10.); #147665=VECTOR('',#463793,10.); #147666=VECTOR('',#463796,10.); #147667=VECTOR('',#463797,10.); #147668=VECTOR('',#463804,10.); #147669=VECTOR('',#463805,10.); #147670=VECTOR('',#463806,10.); #147671=VECTOR('',#463807,10.); #147672=VECTOR('',#463810,10.); #147673=VECTOR('',#463811,10.); #147674=VECTOR('',#463812,10.); #147675=VECTOR('',#463815,10.); #147676=VECTOR('',#463816,10.); #147677=VECTOR('',#463817,10.); #147678=VECTOR('',#463820,10.); #147679=VECTOR('',#463821,10.); #147680=VECTOR('',#463828,10.); #147681=VECTOR('',#463829,10.); #147682=VECTOR('',#463830,10.); #147683=VECTOR('',#463831,10.); #147684=VECTOR('',#463834,10.); #147685=VECTOR('',#463835,10.); #147686=VECTOR('',#463836,10.); #147687=VECTOR('',#463839,10.); #147688=VECTOR('',#463840,10.); #147689=VECTOR('',#463841,10.); #147690=VECTOR('',#463844,10.); #147691=VECTOR('',#463845,10.); #147692=VECTOR('',#463858,10.); #147693=VECTOR('',#463859,10.); #147694=VECTOR('',#463860,10.); #147695=VECTOR('',#463861,10.); #147696=VECTOR('',#463864,10.); #147697=VECTOR('',#463865,10.); #147698=VECTOR('',#463866,10.); #147699=VECTOR('',#463869,10.); #147700=VECTOR('',#463870,10.); #147701=VECTOR('',#463871,10.); #147702=VECTOR('',#463874,10.); #147703=VECTOR('',#463875,10.); #147704=VECTOR('',#463878,10.); #147705=VECTOR('',#463879,10.); #147706=VECTOR('',#463880,10.); #147707=VECTOR('',#463883,10.); #147708=VECTOR('',#463884,10.); #147709=VECTOR('',#463889,10.); #147710=VECTOR('',#463890,10.); #147711=VECTOR('',#463893,10.); #147712=VECTOR('',#463898,10.); #147713=VECTOR('',#463899,10.); #147714=VECTOR('',#463900,10.); #147715=VECTOR('',#463901,10.); #147716=VECTOR('',#463904,10.); #147717=VECTOR('',#463905,10.); #147718=VECTOR('',#463906,10.); #147719=VECTOR('',#463909,10.); #147720=VECTOR('',#463910,10.); #147721=VECTOR('',#463911,10.); #147722=VECTOR('',#463914,10.); #147723=VECTOR('',#463915,10.); #147724=VECTOR('',#463922,10.); #147725=VECTOR('',#463923,10.); #147726=VECTOR('',#463924,10.); #147727=VECTOR('',#463925,10.); #147728=VECTOR('',#463928,10.); #147729=VECTOR('',#463929,10.); #147730=VECTOR('',#463930,10.); #147731=VECTOR('',#463933,10.); #147732=VECTOR('',#463934,10.); #147733=VECTOR('',#463935,10.); #147734=VECTOR('',#463938,10.); #147735=VECTOR('',#463939,10.); #147736=VECTOR('',#463946,10.); #147737=VECTOR('',#463947,10.); #147738=VECTOR('',#463948,10.); #147739=VECTOR('',#463949,10.); #147740=VECTOR('',#463952,10.); #147741=VECTOR('',#463953,10.); #147742=VECTOR('',#463954,10.); #147743=VECTOR('',#463957,10.); #147744=VECTOR('',#463958,10.); #147745=VECTOR('',#463959,10.); #147746=VECTOR('',#463962,10.); #147747=VECTOR('',#463963,10.); #147748=VECTOR('',#463970,10.); #147749=VECTOR('',#463971,10.); #147750=VECTOR('',#463972,10.); #147751=VECTOR('',#463973,10.); #147752=VECTOR('',#463976,10.); #147753=VECTOR('',#463977,10.); #147754=VECTOR('',#463978,10.); #147755=VECTOR('',#463981,10.); #147756=VECTOR('',#463982,10.); #147757=VECTOR('',#463983,10.); #147758=VECTOR('',#463986,10.); #147759=VECTOR('',#463987,10.); #147760=VECTOR('',#464002,3.595); #147761=VECTOR('',#464009,5.76); #147762=VECTOR('',#464018,3.5); #147763=VECTOR('',#464021,3.5); #147764=VECTOR('',#464024,3.5); #147765=VECTOR('',#464029,3.5); #147766=VECTOR('',#464034,3.5); #147767=VECTOR('',#464037,3.5); #147768=VECTOR('',#464044,1000.); #147769=VECTOR('',#464045,1000.); #147770=VECTOR('',#464046,1000.); #147771=VECTOR('',#464047,1000.); #147772=VECTOR('',#464048,1000.); #147773=VECTOR('',#464049,1000.); #147774=VECTOR('',#464052,1000.); #147775=VECTOR('',#464053,1000.); #147776=VECTOR('',#464056,1000.); #147777=VECTOR('',#464059,1000.); #147778=VECTOR('',#464062,1000.); #147779=VECTOR('',#464065,1000.); #147780=VECTOR('',#464070,3.5); #147781=VECTOR('',#464075,3.5); #147782=VECTOR('',#464078,3.5); #147783=VECTOR('',#464081,3.5); #147784=VECTOR('',#464084,3.5); #147785=VECTOR('',#464087,3.5); #147786=VECTOR('',#464090,3.5); #147787=VECTOR('',#464093,3.02375); #147788=VECTOR('',#464098,3.02375); #147789=VECTOR('',#464103,3.02375); #147790=VECTOR('',#464106,3.02375); #147791=VECTOR('',#464109,3.02375); #147792=VECTOR('',#464112,3.02375); #147793=VECTOR('',#464115,3.02375); #147794=VECTOR('',#464118,10.); #147795=VECTOR('',#464119,10.); #147796=VECTOR('',#464120,10.); #147797=VECTOR('',#464121,10.); #147798=VECTOR('',#464124,10.); #147799=VECTOR('',#464125,10.); #147800=VECTOR('',#464126,10.); #147801=VECTOR('',#464127,10.); #147802=VECTOR('',#464130,10.); #147803=VECTOR('',#464131,10.); #147804=VECTOR('',#464132,10.); #147805=VECTOR('',#464133,10.); #147806=VECTOR('',#464136,10.); #147807=VECTOR('',#464137,10.); #147808=VECTOR('',#464138,10.); #147809=VECTOR('',#464139,10.); #147810=VECTOR('',#464142,10.); #147811=VECTOR('',#464143,10.); #147812=VECTOR('',#464144,10.); #147813=VECTOR('',#464145,10.); #147814=VECTOR('',#464148,10.); #147815=VECTOR('',#464149,10.); #147816=VECTOR('',#464150,10.); #147817=VECTOR('',#464151,10.); #147818=VECTOR('',#464154,1000.); #147819=VECTOR('',#464155,10.); #147820=VECTOR('',#464156,1000.); #147821=VECTOR('',#464157,10.); #147822=VECTOR('',#464158,10.); #147823=VECTOR('',#464159,10.); #147824=VECTOR('',#464160,10.); #147825=VECTOR('',#464163,1000.); #147826=VECTOR('',#464164,1000.); #147827=VECTOR('',#464165,10.); #147828=VECTOR('',#464168,10.); #147829=VECTOR('',#464169,1000.); #147830=VECTOR('',#464172,10.); #147831=VECTOR('',#464177,10.); #147832=VECTOR('',#464178,10.); #147833=VECTOR('',#464179,10.); #147834=VECTOR('',#464180,10.); #147835=VECTOR('',#464181,10.); #147836=VECTOR('',#464184,10.); #147837=VECTOR('',#464187,1000.); #147838=VECTOR('',#464188,1000.); #147839=VECTOR('',#464189,10.); #147840=VECTOR('',#464190,10.); #147841=VECTOR('',#464191,10.); #147842=VECTOR('',#464192,10.); #147843=VECTOR('',#464195,1000.); #147844=VECTOR('',#464196,1000.); #147845=VECTOR('',#464199,1000.); #147846=VECTOR('',#464202,10.); #147847=VECTOR('',#464203,1000.); #147848=VECTOR('',#464204,1000.); #147849=VECTOR('',#464207,10.); #147850=VECTOR('',#464208,1000.); #147851=VECTOR('',#464211,10.); #147852=VECTOR('',#464212,1000.); #147853=VECTOR('',#464213,1000.); #147854=VECTOR('',#464216,10.); #147855=VECTOR('',#464217,1000.); #147856=VECTOR('',#464220,10.); #147857=VECTOR('',#464221,1000.); #147858=VECTOR('',#464222,1000.); #147859=VECTOR('',#464225,10.); #147860=VECTOR('',#464226,1000.); #147861=VECTOR('',#464229,1000.); #147862=VECTOR('',#464232,1000.); #147863=VECTOR('',#464235,10.); #147864=VECTOR('',#464236,1000.); #147865=VECTOR('',#464237,1000.); #147866=VECTOR('',#464240,10.); #147867=VECTOR('',#464241,1000.); #147868=VECTOR('',#464244,10.); #147869=VECTOR('',#464245,1000.); #147870=VECTOR('',#464246,1000.); #147871=VECTOR('',#464249,10.); #147872=VECTOR('',#464250,1000.); #147873=VECTOR('',#464253,10.); #147874=VECTOR('',#464254,1000.); #147875=VECTOR('',#464255,1000.); #147876=VECTOR('',#464258,10.); #147877=VECTOR('',#464259,1000.); #147878=VECTOR('',#464262,10.); #147879=VECTOR('',#464263,1000.); #147880=VECTOR('',#464264,1000.); #147881=VECTOR('',#464267,10.); #147882=VECTOR('',#464268,1000.); #147883=VECTOR('',#464345,10.); #147884=VECTOR('',#464346,1000.); #147885=VECTOR('',#464347,1000.); #147886=VECTOR('',#464350,10.); #147887=VECTOR('',#464351,1000.); #147888=VECTOR('',#464354,10.); #147889=VECTOR('',#464355,1000.); #147890=VECTOR('',#464356,1000.); #147891=VECTOR('',#464359,10.); #147892=VECTOR('',#464360,1000.); #147893=VECTOR('',#464363,10.); #147894=VECTOR('',#464364,1000.); #147895=VECTOR('',#464365,1000.); #147896=VECTOR('',#464368,10.); #147897=VECTOR('',#464369,1000.); #147898=VECTOR('',#464372,10.); #147899=VECTOR('',#464373,1000.); #147900=VECTOR('',#464374,1000.); #147901=VECTOR('',#464377,10.); #147902=VECTOR('',#464378,1000.); #147903=VECTOR('',#464431,1000.); #147904=VECTOR('',#464434,1000.); #147905=VECTOR('',#464437,10.); #147906=VECTOR('',#464438,1000.); #147907=VECTOR('',#464439,1000.); #147908=VECTOR('',#464442,10.); #147909=VECTOR('',#464443,1000.); #147910=VECTOR('',#464446,10.); #147911=VECTOR('',#464447,1000.); #147912=VECTOR('',#464448,1000.); #147913=VECTOR('',#464451,10.); #147914=VECTOR('',#464452,1000.); #147915=VECTOR('',#464455,10.); #147916=VECTOR('',#464456,1000.); #147917=VECTOR('',#464457,1000.); #147918=VECTOR('',#464460,10.); #147919=VECTOR('',#464461,1000.); #147920=VECTOR('',#464498,3.); #147921=VECTOR('',#464501,10.); #147922=VECTOR('',#464502,10.); #147923=VECTOR('',#464503,1.); #147924=VECTOR('',#464504,10.); #147925=VECTOR('',#464507,10.); #147926=VECTOR('',#464508,1.); #147927=VECTOR('',#464509,1.); #147928=VECTOR('',#464510,10.); #147929=VECTOR('',#464515,1.); #147930=VECTOR('',#464524,1.); #147931=VECTOR('',#464527,1.); #147932=VECTOR('',#464530,10.); #147933=VECTOR('',#464531,10.); #147934=VECTOR('',#464534,10.); #147935=VECTOR('',#464537,1.); #147936=VECTOR('',#464538,10.); #147937=VECTOR('',#464541,10.); #147938=VECTOR('',#464544,1.); #147939=VECTOR('',#464545,10.); #147940=VECTOR('',#464546,10.); #147941=VECTOR('',#464549,10.); #147942=VECTOR('',#464550,1.); #147943=VECTOR('',#464551,10.); #147944=VECTOR('',#464554,10.); #147945=VECTOR('',#464555,1.); #147946=VECTOR('',#464556,10.); #147947=VECTOR('',#464559,10.); #147948=VECTOR('',#464560,10.); #147949=VECTOR('',#464565,10.); #147950=VECTOR('',#464568,10.); #147951=VECTOR('',#464569,10.); #147952=VECTOR('',#464578,10.); #147953=VECTOR('',#464581,10.); #147954=VECTOR('',#464582,10.); #147955=VECTOR('',#464585,1.); #147956=VECTOR('',#464592,10.); #147957=VECTOR('',#464595,10.); #147958=VECTOR('',#464596,1.); #147959=VECTOR('',#464599,10.); #147960=VECTOR('',#464600,10.); #147961=VECTOR('',#464603,10.); #147962=VECTOR('',#464606,10.); #147963=VECTOR('',#464607,10.); #147964=VECTOR('',#464610,1.); #147965=VECTOR('',#464613,10.); #147966=VECTOR('',#464614,1.); #147967=VECTOR('',#464615,10.); #147968=VECTOR('',#464618,10.); #147969=VECTOR('',#464619,1.); #147970=VECTOR('',#464622,10.); #147971=VECTOR('',#464623,10.); #147972=VECTOR('',#464626,10.); #147973=VECTOR('',#464629,1.); #147974=VECTOR('',#464630,10.); #147975=VECTOR('',#464635,10.); #147976=VECTOR('',#464636,10.); #147977=VECTOR('',#464639,10.); #147978=VECTOR('',#464642,10.); #147979=VECTOR('',#464645,10.); #147980=VECTOR('',#464646,10.); #147981=VECTOR('',#464649,1.); #147982=VECTOR('',#464652,10.); #147983=VECTOR('',#464655,10.); #147984=VECTOR('',#464662,10.); #147985=VECTOR('',#464665,1.); #147986=VECTOR('',#464666,1.); #147987=VECTOR('',#464667,10.); #147988=VECTOR('',#464668,10.); #147989=VECTOR('',#464675,1.); #147990=VECTOR('',#464678,1.); #147991=VECTOR('',#464681,1.); #147992=VECTOR('',#464686,1.); #147993=VECTOR('',#464687,10.); #147994=VECTOR('',#464688,10.); #147995=VECTOR('',#464691,1.); #147996=VECTOR('',#464694,10.); #147997=VECTOR('',#464695,10.); #147998=VECTOR('',#464698,10.); #147999=VECTOR('',#464701,1.); #148000=VECTOR('',#464702,10.); #148001=VECTOR('',#464705,10.); #148002=VECTOR('',#464708,1.); #148003=VECTOR('',#464709,10.); #148004=VECTOR('',#464710,10.); #148005=VECTOR('',#464715,10.); #148006=VECTOR('',#464716,10.); #148007=VECTOR('',#464719,1.); #148008=VECTOR('',#464722,10.); #148009=VECTOR('',#464723,10.); #148010=VECTOR('',#464724,10.); #148011=VECTOR('',#464727,10.); #148012=VECTOR('',#464728,10.); #148013=VECTOR('',#464733,10.); #148014=VECTOR('',#464736,10.); #148015=VECTOR('',#464739,10.); #148016=VECTOR('',#464742,1.); #148017=VECTOR('',#464745,10.); #148018=VECTOR('',#464746,10.); #148019=VECTOR('',#464749,10.); #148020=VECTOR('',#464752,10.); #148021=VECTOR('',#464759,10.); #148022=VECTOR('',#464760,10.); #148023=VECTOR('',#464761,1.); #148024=VECTOR('',#464762,10.); #148025=VECTOR('',#464765,10.); #148026=VECTOR('',#464766,1.); #148027=VECTOR('',#464767,1.); #148028=VECTOR('',#464768,10.); #148029=VECTOR('',#464773,1.); #148030=VECTOR('',#464782,1.); #148031=VECTOR('',#464785,1.); #148032=VECTOR('',#464788,10.); #148033=VECTOR('',#464789,10.); #148034=VECTOR('',#464792,10.); #148035=VECTOR('',#464795,1.); #148036=VECTOR('',#464796,10.); #148037=VECTOR('',#464799,10.); #148038=VECTOR('',#464802,1.); #148039=VECTOR('',#464803,10.); #148040=VECTOR('',#464804,10.); #148041=VECTOR('',#464807,10.); #148042=VECTOR('',#464808,1.); #148043=VECTOR('',#464809,10.); #148044=VECTOR('',#464812,10.); #148045=VECTOR('',#464813,1.); #148046=VECTOR('',#464814,10.); #148047=VECTOR('',#464817,10.); #148048=VECTOR('',#464818,10.); #148049=VECTOR('',#464823,10.); #148050=VECTOR('',#464826,10.); #148051=VECTOR('',#464827,10.); #148052=VECTOR('',#464836,10.); #148053=VECTOR('',#464839,10.); #148054=VECTOR('',#464840,10.); #148055=VECTOR('',#464843,1.); #148056=VECTOR('',#464850,10.); #148057=VECTOR('',#464853,10.); #148058=VECTOR('',#464854,1.); #148059=VECTOR('',#464857,10.); #148060=VECTOR('',#464858,10.); #148061=VECTOR('',#464861,10.); #148062=VECTOR('',#464864,10.); #148063=VECTOR('',#464865,10.); #148064=VECTOR('',#464868,1.); #148065=VECTOR('',#464871,10.); #148066=VECTOR('',#464872,1.); #148067=VECTOR('',#464873,10.); #148068=VECTOR('',#464876,10.); #148069=VECTOR('',#464877,1.); #148070=VECTOR('',#464880,10.); #148071=VECTOR('',#464881,10.); #148072=VECTOR('',#464884,10.); #148073=VECTOR('',#464887,1.); #148074=VECTOR('',#464888,10.); #148075=VECTOR('',#464893,10.); #148076=VECTOR('',#464894,10.); #148077=VECTOR('',#464897,10.); #148078=VECTOR('',#464898,1.); #148079=VECTOR('',#464901,10.); #148080=VECTOR('',#464902,1.); #148081=VECTOR('',#464905,10.); #148082=VECTOR('',#464910,10.); #148083=VECTOR('',#464915,10.); #148084=VECTOR('',#464918,10.); #148085=VECTOR('',#464923,1.); #148086=VECTOR('',#464926,1.); #148087=VECTOR('',#464929,10.); #148088=VECTOR('',#464930,10.); #148089=VECTOR('',#464933,1.); #148090=VECTOR('',#464934,10.); #148091=VECTOR('',#464935,10.); #148092=VECTOR('',#464936,1.); #148093=VECTOR('',#464937,1.); #148094=VECTOR('',#464938,10.); #148095=VECTOR('',#464939,10.); #148096=VECTOR('',#464940,10.); #148097=VECTOR('',#464943,1.); #148098=VECTOR('',#464944,10.); #148099=VECTOR('',#464945,10.); #148100=VECTOR('',#464948,1.); #148101=VECTOR('',#464953,10.); #148102=VECTOR('',#464956,10.); #148103=VECTOR('',#464959,1.); #148104=VECTOR('',#464960,10.); #148105=VECTOR('',#464965,10.); #148106=VECTOR('',#464968,1.); #148107=VECTOR('',#464971,10.); #148108=VECTOR('',#464974,10.); #148109=VECTOR('',#464975,1.); #148110=VECTOR('',#464976,10.); #148111=VECTOR('',#464977,10.); #148112=VECTOR('',#464978,1.); #148113=VECTOR('',#464979,1.); #148114=VECTOR('',#464980,10.); #148115=VECTOR('',#464981,10.); #148116=VECTOR('',#464982,10.); #148117=VECTOR('',#464993,10.); #148118=VECTOR('',#464994,10.); #148119=VECTOR('',#464995,10.); #148120=VECTOR('',#464996,10.); #148121=VECTOR('',#464997,10.); #148122=VECTOR('',#464998,10.); #148123=VECTOR('',#465001,10.); #148124=VECTOR('',#465002,10.); #148125=VECTOR('',#465003,10.); #148126=VECTOR('',#465006,10.); #148127=VECTOR('',#465007,10.); #148128=VECTOR('',#465008,10.); #148129=VECTOR('',#465011,10.); #148130=VECTOR('',#465012,10.); #148131=VECTOR('',#465015,10.); #148132=VECTOR('',#465016,10.); #148133=VECTOR('',#465017,10.); #148134=VECTOR('',#465022,1.); #148135=VECTOR('',#465023,1.); #148136=VECTOR('',#465024,1.); #148137=VECTOR('',#465025,10.); #148138=VECTOR('',#465026,1.); #148139=VECTOR('',#465027,10.); #148140=VECTOR('',#465028,1.); #148141=VECTOR('',#465029,1.); #148142=VECTOR('',#465030,1.); #148143=VECTOR('',#465035,10.); #148144=VECTOR('',#465036,10.); #148145=VECTOR('',#465037,1.); #148146=VECTOR('',#465038,1.); #148147=VECTOR('',#465041,10.); #148148=VECTOR('',#465042,10.); #148149=VECTOR('',#465043,1.); #148150=VECTOR('',#465046,10.); #148151=VECTOR('',#465047,10.); #148152=VECTOR('',#465048,1.); #148153=VECTOR('',#465049,1.); #148154=VECTOR('',#465052,10.); #148155=VECTOR('',#465053,10.); #148156=VECTOR('',#465054,1.); #148157=VECTOR('',#465055,10.); #148158=VECTOR('',#465058,10.); #148159=VECTOR('',#465059,1.); #148160=VECTOR('',#465060,1.); #148161=VECTOR('',#465063,10.); #148162=VECTOR('',#465064,1.); #148163=VECTOR('',#465065,10.); #148164=VECTOR('',#465066,1.); #148165=VECTOR('',#465071,10.); #148166=VECTOR('',#465076,10.); #148167=VECTOR('',#465077,1.); #148168=VECTOR('',#465078,1.); #148169=VECTOR('',#465083,1.); #148170=VECTOR('',#465084,10.); #148171=VECTOR('',#465087,1.); #148172=VECTOR('',#465088,10.); #148173=VECTOR('',#465091,10.); #148174=VECTOR('',#465092,10.); #148175=VECTOR('',#465093,1.); #148176=VECTOR('',#465096,1.); #148177=VECTOR('',#465097,10.); #148178=VECTOR('',#465100,10.); #148179=VECTOR('',#465101,10.); #148180=VECTOR('',#465108,10.); #148181=VECTOR('',#465109,1.); #148182=VECTOR('',#465110,10.); #148183=VECTOR('',#465111,1.); #148184=VECTOR('',#465116,10.); #148185=VECTOR('',#465121,10.); #148186=VECTOR('',#465122,1.); #148187=VECTOR('',#465123,1.); #148188=VECTOR('',#465126,10.); #148189=VECTOR('',#465127,10.); #148190=VECTOR('',#465128,10.); #148191=VECTOR('',#465129,10.); #148192=VECTOR('',#465132,1.); #148193=VECTOR('',#465133,1.); #148194=VECTOR('',#465136,10.); #148195=VECTOR('',#465137,1.); #148196=VECTOR('',#465138,10.); #148197=VECTOR('',#465139,1.); #148198=VECTOR('',#465148,10.); #148199=VECTOR('',#465149,10.); #148200=VECTOR('',#465150,10.); #148201=VECTOR('',#465155,1.); #148202=VECTOR('',#465158,1.); #148203=VECTOR('',#465161,10.); #148204=VECTOR('',#465162,1.); #148205=VECTOR('',#465165,1.); #148206=VECTOR('',#465168,1.); #148207=VECTOR('',#465169,1.); #148208=VECTOR('',#465170,10.); #148209=VECTOR('',#465171,10.); #148210=VECTOR('',#465172,1.); #148211=VECTOR('',#465173,1.); #148212=VECTOR('',#465174,1.); #148213=VECTOR('',#465177,10.); #148214=VECTOR('',#465178,1.); #148215=VECTOR('',#465179,1.); #148216=VECTOR('',#465182,10.); #148217=VECTOR('',#465183,1.); #148218=VECTOR('',#465184,1.); #148219=VECTOR('',#465189,1.); #148220=VECTOR('',#465192,10.); #148221=VECTOR('',#465193,1.); #148222=VECTOR('',#465194,1.); #148223=VECTOR('',#465195,10.); #148224=VECTOR('',#465198,10.); #148225=VECTOR('',#465199,1.); #148226=VECTOR('',#465204,10.); #148227=VECTOR('',#465205,1.); #148228=VECTOR('',#465206,1.); #148229=VECTOR('',#465207,1.); #148230=VECTOR('',#465208,10.); #148231=VECTOR('',#465211,10.); #148232=VECTOR('',#465214,10.); #148233=VECTOR('',#465217,10.); #148234=VECTOR('',#465218,1.); #148235=VECTOR('',#465219,1.); #148236=VECTOR('',#465220,10.); #148237=VECTOR('',#465227,10.); #148238=VECTOR('',#465230,10.); #148239=VECTOR('',#465231,1.); #148240=VECTOR('',#465232,10.); #148241=VECTOR('',#465239,10.); #148242=VECTOR('',#465242,10.); #148243=VECTOR('',#465243,1.); #148244=VECTOR('',#465244,1.); #148245=VECTOR('',#465247,10.); #148246=VECTOR('',#465248,1.); #148247=VECTOR('',#465249,1.); #148248=VECTOR('',#465252,10.); #148249=VECTOR('',#465253,1.); #148250=VECTOR('',#465256,10.); #148251=VECTOR('',#465257,1.); #148252=VECTOR('',#465258,1.); #148253=VECTOR('',#465261,10.); #148254=VECTOR('',#465262,1.); #148255=VECTOR('',#465265,10.); #148256=VECTOR('',#465266,1.); #148257=VECTOR('',#465271,10.); #148258=VECTOR('',#465274,10.); #148259=VECTOR('',#465275,10.); #148260=VECTOR('',#465282,10.); #148261=VECTOR('',#465283,1.); #148262=VECTOR('',#465286,1.); #148263=VECTOR('',#465291,10.); #148264=VECTOR('',#465292,10.); #148265=VECTOR('',#465295,10.); #148266=VECTOR('',#465296,10.); #148267=VECTOR('',#465299,10.); #148268=VECTOR('',#465300,10.); #148269=VECTOR('',#465301,10.); #148270=VECTOR('',#465302,10.); #148271=VECTOR('',#465303,10.); #148272=VECTOR('',#465304,10.); #148273=VECTOR('',#465317,10.); #148274=VECTOR('',#465322,10.); #148275=VECTOR('',#465325,10.); #148276=VECTOR('',#465328,10.); #148277=VECTOR('',#465331,10.); #148278=VECTOR('',#465334,10.); #148279=VECTOR('',#465337,10.); #148280=VECTOR('',#465338,10.); #148281=VECTOR('',#465339,10.); #148282=VECTOR('',#465340,10.); #148283=VECTOR('',#465349,10.); #148284=VECTOR('',#465350,10.); #148285=VECTOR('',#465359,10.); #148286=VECTOR('',#465360,10.); #148287=VECTOR('',#465361,1.); #148288=VECTOR('',#465364,1.); #148289=VECTOR('',#465371,10.); #148290=VECTOR('',#465372,10.); #148291=VECTOR('',#465375,10.); #148292=VECTOR('',#465376,10.); #148293=VECTOR('',#465377,1.); #148294=VECTOR('',#465378,10.); #148295=VECTOR('',#465387,10.); #148296=VECTOR('',#465388,1.); #148297=VECTOR('',#465389,10.); #148298=VECTOR('',#465390,10.); #148299=VECTOR('',#465391,1.); #148300=VECTOR('',#465392,10.); #148301=VECTOR('',#465393,10.); #148302=VECTOR('',#465394,10.); #148303=VECTOR('',#465395,10.); #148304=VECTOR('',#465398,10.); #148305=VECTOR('',#465401,1.); #148306=VECTOR('',#465404,10.); #148307=VECTOR('',#465405,1.); #148308=VECTOR('',#465406,10.); #148309=VECTOR('',#465409,1.); #148310=VECTOR('',#465412,10.); #148311=VECTOR('',#465413,10.); #148312=VECTOR('',#465414,10.); #148313=VECTOR('',#465415,10.); #148314=VECTOR('',#465416,1.); #148315=VECTOR('',#465417,1.); #148316=VECTOR('',#465418,10.); #148317=VECTOR('',#465419,10.); #148318=VECTOR('',#465422,10.); #148319=VECTOR('',#465425,10.); #148320=VECTOR('',#465428,10.); #148321=VECTOR('',#465429,1.); #148322=VECTOR('',#465430,10.); #148323=VECTOR('',#465433,1.); #148324=VECTOR('',#465436,10.); #148325=VECTOR('',#465439,10.); #148326=VECTOR('',#465442,10.); #148327=VECTOR('',#465449,10.); #148328=VECTOR('',#465452,10.); #148329=VECTOR('',#465455,10.); #148330=VECTOR('',#465458,10.); #148331=VECTOR('',#465461,10.); #148332=VECTOR('',#465464,10.); #148333=VECTOR('',#465467,10.); #148334=VECTOR('',#465470,10.); #148335=VECTOR('',#465473,1.); #148336=VECTOR('',#465476,10.); #148337=VECTOR('',#465477,1.); #148338=VECTOR('',#465478,1.); #148339=VECTOR('',#465479,1.); #148340=VECTOR('',#465482,10.); #148341=VECTOR('',#465483,1.); #148342=VECTOR('',#465484,1.); #148343=VECTOR('',#465485,1.); #148344=VECTOR('',#465492,1.); #148345=VECTOR('',#465497,1.); #148346=VECTOR('',#465502,1.); #148347=VECTOR('',#465507,10.); #148348=VECTOR('',#465512,10.); #148349=VECTOR('',#465519,10.); #148350=VECTOR('',#465524,10.); #148351=VECTOR('',#465527,10.); #148352=VECTOR('',#465532,10.); #148353=VECTOR('',#465535,10.); #148354=VECTOR('',#465536,1.); #148355=VECTOR('',#465539,10.); #148356=VECTOR('',#465540,1.); #148357=VECTOR('',#465541,1.); #148358=VECTOR('',#465542,1.); #148359=VECTOR('',#465545,10.); #148360=VECTOR('',#465546,1.); #148361=VECTOR('',#465547,1.); #148362=VECTOR('',#465548,1.); #148363=VECTOR('',#465555,1.); #148364=VECTOR('',#465560,1.); #148365=VECTOR('',#465567,1.); #148366=VECTOR('',#465574,10.); #148367=VECTOR('',#465581,10.); #148368=VECTOR('',#465586,10.); #148369=VECTOR('',#465589,10.); #148370=VECTOR('',#465598,1.); #148371=VECTOR('',#465603,1.); #148372=VECTOR('',#465606,10.); #148373=VECTOR('',#465607,10.); #148374=VECTOR('',#465612,10.); #148375=VECTOR('',#465613,10.); #148376=VECTOR('',#465614,10.); #148377=VECTOR('',#465621,10.); #148378=VECTOR('',#465626,10.); #148379=VECTOR('',#465627,10.); #148380=VECTOR('',#465628,10.); #148381=VECTOR('',#465631,1.); #148382=VECTOR('',#465632,10.); #148383=VECTOR('',#465635,10.); #148384=VECTOR('',#465636,10.); #148385=VECTOR('',#465639,10.); #148386=VECTOR('',#465640,10.); #148387=VECTOR('',#465645,10.); #148388=VECTOR('',#465646,10.); #148389=VECTOR('',#465653,10.); #148390=VECTOR('',#465656,10.); #148391=VECTOR('',#465657,10.); #148392=VECTOR('',#465658,1.); #148393=VECTOR('',#465663,10.); #148394=VECTOR('',#465666,10.); #148395=VECTOR('',#465669,10.); #148396=VECTOR('',#465670,1.); #148397=VECTOR('',#465671,10.); #148398=VECTOR('',#465674,10.); #148399=VECTOR('',#465675,10.); #148400=VECTOR('',#465676,10.); #148401=VECTOR('',#465679,10.); #148402=VECTOR('',#465682,10.); #148403=VECTOR('',#465683,10.); #148404=VECTOR('',#465688,10.); #148405=VECTOR('',#465693,10.); #148406=VECTOR('',#465694,10.); #148407=VECTOR('',#465697,10.); #148408=VECTOR('',#465698,10.); #148409=VECTOR('',#465699,10.); #148410=VECTOR('',#465700,10.); #148411=VECTOR('',#465701,1.); #148412=VECTOR('',#465706,10.); #148413=VECTOR('',#465707,10.); #148414=VECTOR('',#465712,10.); #148415=VECTOR('',#465717,1.); #148416=VECTOR('',#465722,10.); #148417=VECTOR('',#465725,1.); #148418=VECTOR('',#465728,1.); #148419=VECTOR('',#465733,10.); #148420=VECTOR('',#465738,1.); #148421=VECTOR('',#465741,1.); #148422=VECTOR('',#465744,10.); #148423=VECTOR('',#465747,1.); #148424=VECTOR('',#465750,1.); #148425=VECTOR('',#465751,1.); #148426=VECTOR('',#465754,1.); #148427=VECTOR('',#465765,1.); #148428=VECTOR('',#465768,1.); #148429=VECTOR('',#465773,1.); #148430=VECTOR('',#465776,1.); #148431=VECTOR('',#465779,0.999999999999929); #148432=VECTOR('',#465782,1.); #148433=VECTOR('',#465787,0.999999999999929); #148434=VECTOR('',#465790,1.); #148435=VECTOR('',#465795,1.); #148436=VECTOR('',#465804,1.); #148437=VECTOR('',#465809,1.); #148438=VECTOR('',#465810,1.); #148439=VECTOR('',#465811,1.); #148440=VECTOR('',#465812,1.); #148441=VECTOR('',#465815,1.); #148442=VECTOR('',#465818,0.999999999999929); #148443=VECTOR('',#465819,1.); #148444=VECTOR('',#465820,0.999999999999929); #148445=VECTOR('',#465825,1.); #148446=VECTOR('',#465830,0.999999999999786); #148447=VECTOR('',#465831,0.999999999999786); #148448=VECTOR('',#465834,1.); #148449=VECTOR('',#465837,1.); #148450=VECTOR('',#465840,1.); #148451=VECTOR('',#465843,1.); #148452=VECTOR('',#465846,1.); #148453=VECTOR('',#465849,1.); #148454=VECTOR('',#465850,0.999999999999929); #148455=VECTOR('',#465851,0.999999999999929); #148456=VECTOR('',#465854,1.); #148457=VECTOR('',#465861,0.999999999999786); #148458=VECTOR('',#465862,0.999999999999786); #148459=VECTOR('',#465865,0.999999999999929); #148460=VECTOR('',#465868,0.999999999999786); #148461=VECTOR('',#465871,0.999999999999786); #148462=VECTOR('',#465876,0.999999999999929); #148463=VECTOR('',#465879,0.999999999999786); #148464=VECTOR('',#465882,0.999999999999786); #148465=VECTOR('',#465889,1.); #148466=VECTOR('',#465892,1.); #148467=VECTOR('',#465897,1.); #148468=VECTOR('',#465900,1.); #148469=VECTOR('',#465903,0.999999999999929); #148470=VECTOR('',#465906,1.); #148471=VECTOR('',#465911,0.999999999999929); #148472=VECTOR('',#465914,1.); #148473=VECTOR('',#465921,1.); #148474=VECTOR('',#465928,1.); #148475=VECTOR('',#465933,1.); #148476=VECTOR('',#465934,1.); #148477=VECTOR('',#465935,1.); #148478=VECTOR('',#465936,1.); #148479=VECTOR('',#465939,1.); #148480=VECTOR('',#465942,1.); #148481=VECTOR('',#465943,0.999999999999929); #148482=VECTOR('',#465944,0.999999999999929); #148483=VECTOR('',#465947,0.999999999999786); #148484=VECTOR('',#465948,1.); #148485=VECTOR('',#465949,0.999999999999786); #148486=VECTOR('',#465952,1.); #148487=VECTOR('',#465955,1.); #148488=VECTOR('',#465958,1.); #148489=VECTOR('',#465961,1.); #148490=VECTOR('',#465964,1.); #148491=VECTOR('',#465967,0.999999999999929); #148492=VECTOR('',#465968,1.); #148493=VECTOR('',#465969,0.999999999999929); #148494=VECTOR('',#465972,1.); #148495=VECTOR('',#465973,0.999999999999786); #148496=VECTOR('',#465974,0.999999999999786); #148497=VECTOR('',#465977,0.999999999999929); #148498=VECTOR('',#465980,0.999999999999786); #148499=VECTOR('',#465987,0.999999999999786); #148500=VECTOR('',#465992,0.999999999999929); #148501=VECTOR('',#465995,0.999999999999786); #148502=VECTOR('',#465998,0.999999999999786); #148503=VECTOR('',#466019,0.15); #148504=VECTOR('',#466026,10.); #148505=VECTOR('',#466027,10.); #148506=VECTOR('',#466028,10.); #148507=VECTOR('',#466029,10.); #148508=VECTOR('',#466032,10.); #148509=VECTOR('',#466033,10.); #148510=VECTOR('',#466034,10.); #148511=VECTOR('',#466037,10.); #148512=VECTOR('',#466038,10.); #148513=VECTOR('',#466039,10.); #148514=VECTOR('',#466042,10.); #148515=VECTOR('',#466043,10.); #148516=VECTOR('',#466046,10.); #148517=VECTOR('',#466047,10.); #148518=VECTOR('',#466048,10.); #148519=VECTOR('',#466051,10.); #148520=VECTOR('',#466052,10.); #148521=VECTOR('',#466057,10.); #148522=VECTOR('',#466058,10.); #148523=VECTOR('',#466061,10.); #148524=VECTOR('',#466068,10.); #148525=VECTOR('',#466069,10.); #148526=VECTOR('',#466070,10.); #148527=VECTOR('',#466073,10.); #148528=VECTOR('',#466076,10.); #148529=VECTOR('',#466079,10.); #148530=VECTOR('',#466080,10.); #148531=VECTOR('',#466081,10.); #148532=VECTOR('',#466082,10.); #148533=VECTOR('',#466085,10.); #148534=VECTOR('',#466086,10.); #148535=VECTOR('',#466089,10.); #148536=VECTOR('',#466090,10.); #148537=VECTOR('',#466091,10.); #148538=VECTOR('',#466094,10.); #148539=VECTOR('',#466095,10.); #148540=VECTOR('',#466104,10.); #148541=VECTOR('',#466105,10.); #148542=VECTOR('',#466106,10.); #148543=VECTOR('',#466109,10.); #148544=VECTOR('',#466112,10.); #148545=VECTOR('',#466115,10.); #148546=VECTOR('',#466116,10.); #148547=VECTOR('',#466117,10.); #148548=VECTOR('',#466118,10.); #148549=VECTOR('',#466121,10.); #148550=VECTOR('',#466122,10.); #148551=VECTOR('',#466125,10.); #148552=VECTOR('',#466126,10.); #148553=VECTOR('',#466127,10.); #148554=VECTOR('',#466130,10.); #148555=VECTOR('',#466131,10.); #148556=VECTOR('',#466140,10.); #148557=VECTOR('',#466141,10.); #148558=VECTOR('',#466142,10.); #148559=VECTOR('',#466145,10.); #148560=VECTOR('',#466148,10.); #148561=VECTOR('',#466151,10.); #148562=VECTOR('',#466152,10.); #148563=VECTOR('',#466153,10.); #148564=VECTOR('',#466154,10.); #148565=VECTOR('',#466157,10.); #148566=VECTOR('',#466158,10.); #148567=VECTOR('',#466161,10.); #148568=VECTOR('',#466162,10.); #148569=VECTOR('',#466163,10.); #148570=VECTOR('',#466166,10.); #148571=VECTOR('',#466167,10.); #148572=VECTOR('',#466176,10.); #148573=VECTOR('',#466177,10.); #148574=VECTOR('',#466178,10.); #148575=VECTOR('',#466181,10.); #148576=VECTOR('',#466184,10.); #148577=VECTOR('',#466187,10.); #148578=VECTOR('',#466188,10.); #148579=VECTOR('',#466189,10.); #148580=VECTOR('',#466190,10.); #148581=VECTOR('',#466193,10.); #148582=VECTOR('',#466194,10.); #148583=VECTOR('',#466197,10.); #148584=VECTOR('',#466198,10.); #148585=VECTOR('',#466199,10.); #148586=VECTOR('',#466202,10.); #148587=VECTOR('',#466203,10.); #148588=VECTOR('',#466212,10.); #148589=VECTOR('',#466213,10.); #148590=VECTOR('',#466214,10.); #148591=VECTOR('',#466217,10.); #148592=VECTOR('',#466220,10.); #148593=VECTOR('',#466223,10.); #148594=VECTOR('',#466224,10.); #148595=VECTOR('',#466225,10.); #148596=VECTOR('',#466226,10.); #148597=VECTOR('',#466229,10.); #148598=VECTOR('',#466230,10.); #148599=VECTOR('',#466233,10.); #148600=VECTOR('',#466234,10.); #148601=VECTOR('',#466235,10.); #148602=VECTOR('',#466238,10.); #148603=VECTOR('',#466239,10.); #148604=VECTOR('',#466248,10.); #148605=VECTOR('',#466249,10.); #148606=VECTOR('',#466250,10.); #148607=VECTOR('',#466253,10.); #148608=VECTOR('',#466256,10.); #148609=VECTOR('',#466259,10.); #148610=VECTOR('',#466260,10.); #148611=VECTOR('',#466261,10.); #148612=VECTOR('',#466262,10.); #148613=VECTOR('',#466265,10.); #148614=VECTOR('',#466266,10.); #148615=VECTOR('',#466269,10.); #148616=VECTOR('',#466270,10.); #148617=VECTOR('',#466271,10.); #148618=VECTOR('',#466274,10.); #148619=VECTOR('',#466275,10.); #148620=VECTOR('',#466284,10.); #148621=VECTOR('',#466285,10.); #148622=VECTOR('',#466286,10.); #148623=VECTOR('',#466289,10.); #148624=VECTOR('',#466292,10.); #148625=VECTOR('',#466295,10.); #148626=VECTOR('',#466296,10.); #148627=VECTOR('',#466297,10.); #148628=VECTOR('',#466298,10.); #148629=VECTOR('',#466301,10.); #148630=VECTOR('',#466302,10.); #148631=VECTOR('',#466305,10.); #148632=VECTOR('',#466306,10.); #148633=VECTOR('',#466307,10.); #148634=VECTOR('',#466310,10.); #148635=VECTOR('',#466311,10.); #148636=VECTOR('',#466320,10.); #148637=VECTOR('',#466321,10.); #148638=VECTOR('',#466322,10.); #148639=VECTOR('',#466325,10.); #148640=VECTOR('',#466328,10.); #148641=VECTOR('',#466331,10.); #148642=VECTOR('',#466332,10.); #148643=VECTOR('',#466333,10.); #148644=VECTOR('',#466334,10.); #148645=VECTOR('',#466337,10.); #148646=VECTOR('',#466338,10.); #148647=VECTOR('',#466341,10.); #148648=VECTOR('',#466342,10.); #148649=VECTOR('',#466343,10.); #148650=VECTOR('',#466346,10.); #148651=VECTOR('',#466347,10.); #148652=VECTOR('',#466356,10.); #148653=VECTOR('',#466357,10.); #148654=VECTOR('',#466358,10.); #148655=VECTOR('',#466361,10.); #148656=VECTOR('',#466364,10.); #148657=VECTOR('',#466367,10.); #148658=VECTOR('',#466368,10.); #148659=VECTOR('',#466369,10.); #148660=VECTOR('',#466370,10.); #148661=VECTOR('',#466373,10.); #148662=VECTOR('',#466374,10.); #148663=VECTOR('',#466377,10.); #148664=VECTOR('',#466378,10.); #148665=VECTOR('',#466379,10.); #148666=VECTOR('',#466382,10.); #148667=VECTOR('',#466383,10.); #148668=VECTOR('',#466392,10.); #148669=VECTOR('',#466393,10.); #148670=VECTOR('',#466394,10.); #148671=VECTOR('',#466397,10.); #148672=VECTOR('',#466400,10.); #148673=VECTOR('',#466403,10.); #148674=VECTOR('',#466404,10.); #148675=VECTOR('',#466405,10.); #148676=VECTOR('',#466406,10.); #148677=VECTOR('',#466409,10.); #148678=VECTOR('',#466410,10.); #148679=VECTOR('',#466413,10.); #148680=VECTOR('',#466414,10.); #148681=VECTOR('',#466415,10.); #148682=VECTOR('',#466418,10.); #148683=VECTOR('',#466419,10.); #148684=VECTOR('',#466428,10.); #148685=VECTOR('',#466429,10.); #148686=VECTOR('',#466430,10.); #148687=VECTOR('',#466433,10.); #148688=VECTOR('',#466436,10.); #148689=VECTOR('',#466439,10.); #148690=VECTOR('',#466440,10.); #148691=VECTOR('',#466441,10.); #148692=VECTOR('',#466442,10.); #148693=VECTOR('',#466445,10.); #148694=VECTOR('',#466446,10.); #148695=VECTOR('',#466449,10.); #148696=VECTOR('',#466450,10.); #148697=VECTOR('',#466451,10.); #148698=VECTOR('',#466454,10.); #148699=VECTOR('',#466455,10.); #148700=VECTOR('',#466464,10.); #148701=VECTOR('',#466465,10.); #148702=VECTOR('',#466466,10.); #148703=VECTOR('',#466469,10.); #148704=VECTOR('',#466472,10.); #148705=VECTOR('',#466475,10.); #148706=VECTOR('',#466476,10.); #148707=VECTOR('',#466477,10.); #148708=VECTOR('',#466478,10.); #148709=VECTOR('',#466481,10.); #148710=VECTOR('',#466482,10.); #148711=VECTOR('',#466485,10.); #148712=VECTOR('',#466486,10.); #148713=VECTOR('',#466487,10.); #148714=VECTOR('',#466490,10.); #148715=VECTOR('',#466491,10.); #148716=VECTOR('',#466500,10.); #148717=VECTOR('',#466501,10.); #148718=VECTOR('',#466502,10.); #148719=VECTOR('',#466505,10.); #148720=VECTOR('',#466508,10.); #148721=VECTOR('',#466511,10.); #148722=VECTOR('',#466512,10.); #148723=VECTOR('',#466513,10.); #148724=VECTOR('',#466514,10.); #148725=VECTOR('',#466517,10.); #148726=VECTOR('',#466518,10.); #148727=VECTOR('',#466521,10.); #148728=VECTOR('',#466522,10.); #148729=VECTOR('',#466523,10.); #148730=VECTOR('',#466526,10.); #148731=VECTOR('',#466527,10.); #148732=VECTOR('',#466536,10.); #148733=VECTOR('',#466537,10.); #148734=VECTOR('',#466538,10.); #148735=VECTOR('',#466541,10.); #148736=VECTOR('',#466544,10.); #148737=VECTOR('',#466547,10.); #148738=VECTOR('',#466548,10.); #148739=VECTOR('',#466549,10.); #148740=VECTOR('',#466550,10.); #148741=VECTOR('',#466553,10.); #148742=VECTOR('',#466554,10.); #148743=VECTOR('',#466557,10.); #148744=VECTOR('',#466558,10.); #148745=VECTOR('',#466559,10.); #148746=VECTOR('',#466562,10.); #148747=VECTOR('',#466563,10.); #148748=VECTOR('',#466572,10.); #148749=VECTOR('',#466573,10.); #148750=VECTOR('',#466574,10.); #148751=VECTOR('',#466577,10.); #148752=VECTOR('',#466580,10.); #148753=VECTOR('',#466583,10.); #148754=VECTOR('',#466584,10.); #148755=VECTOR('',#466585,10.); #148756=VECTOR('',#466586,10.); #148757=VECTOR('',#466589,10.); #148758=VECTOR('',#466590,10.); #148759=VECTOR('',#466593,10.); #148760=VECTOR('',#466594,10.); #148761=VECTOR('',#466595,10.); #148762=VECTOR('',#466598,10.); #148763=VECTOR('',#466599,10.); #148764=VECTOR('',#466608,10.); #148765=VECTOR('',#466609,10.); #148766=VECTOR('',#466610,10.); #148767=VECTOR('',#466613,10.); #148768=VECTOR('',#466616,10.); #148769=VECTOR('',#466619,10.); #148770=VECTOR('',#466620,10.); #148771=VECTOR('',#466621,10.); #148772=VECTOR('',#466622,10.); #148773=VECTOR('',#466625,10.); #148774=VECTOR('',#466626,10.); #148775=VECTOR('',#466629,10.); #148776=VECTOR('',#466630,10.); #148777=VECTOR('',#466631,10.); #148778=VECTOR('',#466634,10.); #148779=VECTOR('',#466635,10.); #148780=VECTOR('',#466644,10.); #148781=VECTOR('',#466645,10.); #148782=VECTOR('',#466646,10.); #148783=VECTOR('',#466649,10.); #148784=VECTOR('',#466652,10.); #148785=VECTOR('',#466655,10.); #148786=VECTOR('',#466656,10.); #148787=VECTOR('',#466657,10.); #148788=VECTOR('',#466658,10.); #148789=VECTOR('',#466661,10.); #148790=VECTOR('',#466662,10.); #148791=VECTOR('',#466665,10.); #148792=VECTOR('',#466666,10.); #148793=VECTOR('',#466667,10.); #148794=VECTOR('',#466670,10.); #148795=VECTOR('',#466671,10.); #148796=VECTOR('',#466680,10.); #148797=VECTOR('',#466681,10.); #148798=VECTOR('',#466682,10.); #148799=VECTOR('',#466685,10.); #148800=VECTOR('',#466688,10.); #148801=VECTOR('',#466691,10.); #148802=VECTOR('',#466692,10.); #148803=VECTOR('',#466693,10.); #148804=VECTOR('',#466694,10.); #148805=VECTOR('',#466697,10.); #148806=VECTOR('',#466698,10.); #148807=VECTOR('',#466701,10.); #148808=VECTOR('',#466702,10.); #148809=VECTOR('',#466703,10.); #148810=VECTOR('',#466706,10.); #148811=VECTOR('',#466707,10.); #148812=VECTOR('',#466716,10.); #148813=VECTOR('',#466717,10.); #148814=VECTOR('',#466718,10.); #148815=VECTOR('',#466721,10.); #148816=VECTOR('',#466724,10.); #148817=VECTOR('',#466727,10.); #148818=VECTOR('',#466728,10.); #148819=VECTOR('',#466729,10.); #148820=VECTOR('',#466730,10.); #148821=VECTOR('',#466733,10.); #148822=VECTOR('',#466734,10.); #148823=VECTOR('',#466737,10.); #148824=VECTOR('',#466738,10.); #148825=VECTOR('',#466739,10.); #148826=VECTOR('',#466742,10.); #148827=VECTOR('',#466743,10.); #148828=VECTOR('',#466752,10.); #148829=VECTOR('',#466753,10.); #148830=VECTOR('',#466754,10.); #148831=VECTOR('',#466757,10.); #148832=VECTOR('',#466760,10.); #148833=VECTOR('',#466763,10.); #148834=VECTOR('',#466764,10.); #148835=VECTOR('',#466765,10.); #148836=VECTOR('',#466766,10.); #148837=VECTOR('',#466769,10.); #148838=VECTOR('',#466770,10.); #148839=VECTOR('',#466773,10.); #148840=VECTOR('',#466774,10.); #148841=VECTOR('',#466775,10.); #148842=VECTOR('',#466778,10.); #148843=VECTOR('',#466779,10.); #148844=VECTOR('',#466788,10.); #148845=VECTOR('',#466789,10.); #148846=VECTOR('',#466790,10.); #148847=VECTOR('',#466793,10.); #148848=VECTOR('',#466796,10.); #148849=VECTOR('',#466799,10.); #148850=VECTOR('',#466800,10.); #148851=VECTOR('',#466801,10.); #148852=VECTOR('',#466802,10.); #148853=VECTOR('',#466805,10.); #148854=VECTOR('',#466806,10.); #148855=VECTOR('',#466809,10.); #148856=VECTOR('',#466810,10.); #148857=VECTOR('',#466811,10.); #148858=VECTOR('',#466814,10.); #148859=VECTOR('',#466815,10.); #148860=VECTOR('',#466824,10.); #148861=VECTOR('',#466825,10.); #148862=VECTOR('',#466826,10.); #148863=VECTOR('',#466829,10.); #148864=VECTOR('',#466832,10.); #148865=VECTOR('',#466835,10.); #148866=VECTOR('',#466836,10.); #148867=VECTOR('',#466837,10.); #148868=VECTOR('',#466838,10.); #148869=VECTOR('',#466841,10.); #148870=VECTOR('',#466842,10.); #148871=VECTOR('',#466845,10.); #148872=VECTOR('',#466846,10.); #148873=VECTOR('',#466847,10.); #148874=VECTOR('',#466850,10.); #148875=VECTOR('',#466851,10.); #148876=VECTOR('',#466860,10.); #148877=VECTOR('',#466861,10.); #148878=VECTOR('',#466862,10.); #148879=VECTOR('',#466865,10.); #148880=VECTOR('',#466868,10.); #148881=VECTOR('',#466871,10.); #148882=VECTOR('',#466872,10.); #148883=VECTOR('',#466873,10.); #148884=VECTOR('',#466874,10.); #148885=VECTOR('',#466877,10.); #148886=VECTOR('',#466878,10.); #148887=VECTOR('',#466881,10.); #148888=VECTOR('',#466882,10.); #148889=VECTOR('',#466883,10.); #148890=VECTOR('',#466886,10.); #148891=VECTOR('',#466887,10.); #148892=VECTOR('',#466896,10.); #148893=VECTOR('',#466897,10.); #148894=VECTOR('',#466898,10.); #148895=VECTOR('',#466901,10.); #148896=VECTOR('',#466904,10.); #148897=VECTOR('',#466907,10.); #148898=VECTOR('',#466908,10.); #148899=VECTOR('',#466909,10.); #148900=VECTOR('',#466910,10.); #148901=VECTOR('',#466913,10.); #148902=VECTOR('',#466914,10.); #148903=VECTOR('',#466917,10.); #148904=VECTOR('',#466918,10.); #148905=VECTOR('',#466919,10.); #148906=VECTOR('',#466922,10.); #148907=VECTOR('',#466923,10.); #148908=VECTOR('',#466932,10.); #148909=VECTOR('',#466933,10.); #148910=VECTOR('',#466934,10.); #148911=VECTOR('',#466939,10.); #148912=VECTOR('',#466940,10.); #148913=VECTOR('',#466943,10.); #148914=VECTOR('',#466944,10.); #148915=VECTOR('',#466945,10.); #148916=VECTOR('',#466948,10.); #148917=VECTOR('',#466949,10.); #148918=VECTOR('',#466950,10.); #148919=VECTOR('',#466953,10.); #148920=VECTOR('',#466954,10.); #148921=VECTOR('',#466955,10.); #148922=VECTOR('',#466958,10.); #148923=VECTOR('',#466959,10.); #148924=VECTOR('',#466968,10.); #148925=VECTOR('',#466969,10.); #148926=VECTOR('',#466970,10.); #148927=VECTOR('',#466975,10.); #148928=VECTOR('',#466976,10.); #148929=VECTOR('',#466979,10.); #148930=VECTOR('',#466980,10.); #148931=VECTOR('',#466981,10.); #148932=VECTOR('',#466984,10.); #148933=VECTOR('',#466985,10.); #148934=VECTOR('',#466986,10.); #148935=VECTOR('',#466989,10.); #148936=VECTOR('',#466990,10.); #148937=VECTOR('',#466991,10.); #148938=VECTOR('',#466994,10.); #148939=VECTOR('',#466995,10.); #148940=VECTOR('',#467004,10.); #148941=VECTOR('',#467005,10.); #148942=VECTOR('',#467006,10.); #148943=VECTOR('',#467011,10.); #148944=VECTOR('',#467012,10.); #148945=VECTOR('',#467015,10.); #148946=VECTOR('',#467016,10.); #148947=VECTOR('',#467017,10.); #148948=VECTOR('',#467020,10.); #148949=VECTOR('',#467021,10.); #148950=VECTOR('',#467022,10.); #148951=VECTOR('',#467025,10.); #148952=VECTOR('',#467026,10.); #148953=VECTOR('',#467027,10.); #148954=VECTOR('',#467030,10.); #148955=VECTOR('',#467031,10.); #148956=VECTOR('',#467040,10.); #148957=VECTOR('',#467041,10.); #148958=VECTOR('',#467042,10.); #148959=VECTOR('',#467047,10.); #148960=VECTOR('',#467048,10.); #148961=VECTOR('',#467051,10.); #148962=VECTOR('',#467052,10.); #148963=VECTOR('',#467053,10.); #148964=VECTOR('',#467056,10.); #148965=VECTOR('',#467057,10.); #148966=VECTOR('',#467058,10.); #148967=VECTOR('',#467061,10.); #148968=VECTOR('',#467062,10.); #148969=VECTOR('',#467063,10.); #148970=VECTOR('',#467066,10.); #148971=VECTOR('',#467067,10.); #148972=VECTOR('',#467076,10.); #148973=VECTOR('',#467077,10.); #148974=VECTOR('',#467078,10.); #148975=VECTOR('',#467083,10.); #148976=VECTOR('',#467084,10.); #148977=VECTOR('',#467087,10.); #148978=VECTOR('',#467088,10.); #148979=VECTOR('',#467089,10.); #148980=VECTOR('',#467092,10.); #148981=VECTOR('',#467093,10.); #148982=VECTOR('',#467094,10.); #148983=VECTOR('',#467097,10.); #148984=VECTOR('',#467098,10.); #148985=VECTOR('',#467099,10.); #148986=VECTOR('',#467102,10.); #148987=VECTOR('',#467103,10.); #148988=VECTOR('',#467112,10.); #148989=VECTOR('',#467113,10.); #148990=VECTOR('',#467114,10.); #148991=VECTOR('',#467119,10.); #148992=VECTOR('',#467120,10.); #148993=VECTOR('',#467123,10.); #148994=VECTOR('',#467124,10.); #148995=VECTOR('',#467125,10.); #148996=VECTOR('',#467128,10.); #148997=VECTOR('',#467129,10.); #148998=VECTOR('',#467130,10.); #148999=VECTOR('',#467133,10.); #149000=VECTOR('',#467134,10.); #149001=VECTOR('',#467135,10.); #149002=VECTOR('',#467138,10.); #149003=VECTOR('',#467139,10.); #149004=VECTOR('',#467148,10.); #149005=VECTOR('',#467149,10.); #149006=VECTOR('',#467150,10.); #149007=VECTOR('',#467155,10.); #149008=VECTOR('',#467156,10.); #149009=VECTOR('',#467159,10.); #149010=VECTOR('',#467160,10.); #149011=VECTOR('',#467161,10.); #149012=VECTOR('',#467164,10.); #149013=VECTOR('',#467165,10.); #149014=VECTOR('',#467166,10.); #149015=VECTOR('',#467169,10.); #149016=VECTOR('',#467170,10.); #149017=VECTOR('',#467171,10.); #149018=VECTOR('',#467174,10.); #149019=VECTOR('',#467175,10.); #149020=VECTOR('',#467184,10.); #149021=VECTOR('',#467185,10.); #149022=VECTOR('',#467186,10.); #149023=VECTOR('',#467191,10.); #149024=VECTOR('',#467192,10.); #149025=VECTOR('',#467195,10.); #149026=VECTOR('',#467196,10.); #149027=VECTOR('',#467197,10.); #149028=VECTOR('',#467200,10.); #149029=VECTOR('',#467201,10.); #149030=VECTOR('',#467202,10.); #149031=VECTOR('',#467205,10.); #149032=VECTOR('',#467206,10.); #149033=VECTOR('',#467207,10.); #149034=VECTOR('',#467210,10.); #149035=VECTOR('',#467211,10.); #149036=VECTOR('',#467220,10.); #149037=VECTOR('',#467221,10.); #149038=VECTOR('',#467222,10.); #149039=VECTOR('',#467227,10.); #149040=VECTOR('',#467228,10.); #149041=VECTOR('',#467231,10.); #149042=VECTOR('',#467232,10.); #149043=VECTOR('',#467233,10.); #149044=VECTOR('',#467236,10.); #149045=VECTOR('',#467237,10.); #149046=VECTOR('',#467238,10.); #149047=VECTOR('',#467241,10.); #149048=VECTOR('',#467242,10.); #149049=VECTOR('',#467243,10.); #149050=VECTOR('',#467246,10.); #149051=VECTOR('',#467247,10.); #149052=VECTOR('',#467256,10.); #149053=VECTOR('',#467257,10.); #149054=VECTOR('',#467258,10.); #149055=VECTOR('',#467263,10.); #149056=VECTOR('',#467264,10.); #149057=VECTOR('',#467267,10.); #149058=VECTOR('',#467268,10.); #149059=VECTOR('',#467269,10.); #149060=VECTOR('',#467272,10.); #149061=VECTOR('',#467273,10.); #149062=VECTOR('',#467274,10.); #149063=VECTOR('',#467277,10.); #149064=VECTOR('',#467278,10.); #149065=VECTOR('',#467279,10.); #149066=VECTOR('',#467282,10.); #149067=VECTOR('',#467283,10.); #149068=VECTOR('',#467292,10.); #149069=VECTOR('',#467293,10.); #149070=VECTOR('',#467294,10.); #149071=VECTOR('',#467299,10.); #149072=VECTOR('',#467300,10.); #149073=VECTOR('',#467303,10.); #149074=VECTOR('',#467304,10.); #149075=VECTOR('',#467305,10.); #149076=VECTOR('',#467308,10.); #149077=VECTOR('',#467309,10.); #149078=VECTOR('',#467310,10.); #149079=VECTOR('',#467313,10.); #149080=VECTOR('',#467314,10.); #149081=VECTOR('',#467315,10.); #149082=VECTOR('',#467318,10.); #149083=VECTOR('',#467319,10.); #149084=VECTOR('',#467328,10.); #149085=VECTOR('',#467329,10.); #149086=VECTOR('',#467330,10.); #149087=VECTOR('',#467335,10.); #149088=VECTOR('',#467336,10.); #149089=VECTOR('',#467339,10.); #149090=VECTOR('',#467340,10.); #149091=VECTOR('',#467341,10.); #149092=VECTOR('',#467344,10.); #149093=VECTOR('',#467345,10.); #149094=VECTOR('',#467346,10.); #149095=VECTOR('',#467349,10.); #149096=VECTOR('',#467350,10.); #149097=VECTOR('',#467351,10.); #149098=VECTOR('',#467354,10.); #149099=VECTOR('',#467355,10.); #149100=VECTOR('',#467364,10.); #149101=VECTOR('',#467365,10.); #149102=VECTOR('',#467366,10.); #149103=VECTOR('',#467371,10.); #149104=VECTOR('',#467372,10.); #149105=VECTOR('',#467375,10.); #149106=VECTOR('',#467376,10.); #149107=VECTOR('',#467377,10.); #149108=VECTOR('',#467380,10.); #149109=VECTOR('',#467381,10.); #149110=VECTOR('',#467382,10.); #149111=VECTOR('',#467385,10.); #149112=VECTOR('',#467386,10.); #149113=VECTOR('',#467387,10.); #149114=VECTOR('',#467390,10.); #149115=VECTOR('',#467391,10.); #149116=VECTOR('',#467400,10.); #149117=VECTOR('',#467401,10.); #149118=VECTOR('',#467402,10.); #149119=VECTOR('',#467407,10.); #149120=VECTOR('',#467408,10.); #149121=VECTOR('',#467411,10.); #149122=VECTOR('',#467412,10.); #149123=VECTOR('',#467413,10.); #149124=VECTOR('',#467416,10.); #149125=VECTOR('',#467417,10.); #149126=VECTOR('',#467418,10.); #149127=VECTOR('',#467421,10.); #149128=VECTOR('',#467422,10.); #149129=VECTOR('',#467423,10.); #149130=VECTOR('',#467426,10.); #149131=VECTOR('',#467427,10.); #149132=VECTOR('',#467436,10.); #149133=VECTOR('',#467437,10.); #149134=VECTOR('',#467438,10.); #149135=VECTOR('',#467443,10.); #149136=VECTOR('',#467444,10.); #149137=VECTOR('',#467447,10.); #149138=VECTOR('',#467448,10.); #149139=VECTOR('',#467449,10.); #149140=VECTOR('',#467452,10.); #149141=VECTOR('',#467453,10.); #149142=VECTOR('',#467454,10.); #149143=VECTOR('',#467457,10.); #149144=VECTOR('',#467458,10.); #149145=VECTOR('',#467459,10.); #149146=VECTOR('',#467462,10.); #149147=VECTOR('',#467463,10.); #149148=VECTOR('',#467472,10.); #149149=VECTOR('',#467473,10.); #149150=VECTOR('',#467474,10.); #149151=VECTOR('',#467479,10.); #149152=VECTOR('',#467480,10.); #149153=VECTOR('',#467483,10.); #149154=VECTOR('',#467484,10.); #149155=VECTOR('',#467485,10.); #149156=VECTOR('',#467488,10.); #149157=VECTOR('',#467489,10.); #149158=VECTOR('',#467490,10.); #149159=VECTOR('',#467493,10.); #149160=VECTOR('',#467494,10.); #149161=VECTOR('',#467495,10.); #149162=VECTOR('',#467498,10.); #149163=VECTOR('',#467499,10.); #149164=VECTOR('',#467508,10.); #149165=VECTOR('',#467509,10.); #149166=VECTOR('',#467510,10.); #149167=VECTOR('',#467515,10.); #149168=VECTOR('',#467516,10.); #149169=VECTOR('',#467519,10.); #149170=VECTOR('',#467520,10.); #149171=VECTOR('',#467521,10.); #149172=VECTOR('',#467524,10.); #149173=VECTOR('',#467525,10.); #149174=VECTOR('',#467526,10.); #149175=VECTOR('',#467529,10.); #149176=VECTOR('',#467530,10.); #149177=VECTOR('',#467531,10.); #149178=VECTOR('',#467534,10.); #149179=VECTOR('',#467535,10.); #149180=VECTOR('',#467544,10.); #149181=VECTOR('',#467545,10.); #149182=VECTOR('',#467546,10.); #149183=VECTOR('',#467551,10.); #149184=VECTOR('',#467552,10.); #149185=VECTOR('',#467555,10.); #149186=VECTOR('',#467556,10.); #149187=VECTOR('',#467557,10.); #149188=VECTOR('',#467560,10.); #149189=VECTOR('',#467561,10.); #149190=VECTOR('',#467562,10.); #149191=VECTOR('',#467565,10.); #149192=VECTOR('',#467566,10.); #149193=VECTOR('',#467567,10.); #149194=VECTOR('',#467570,10.); #149195=VECTOR('',#467571,10.); #149196=VECTOR('',#467580,10.); #149197=VECTOR('',#467581,10.); #149198=VECTOR('',#467582,10.); #149199=VECTOR('',#467587,10.); #149200=VECTOR('',#467588,10.); #149201=VECTOR('',#467591,10.); #149202=VECTOR('',#467592,10.); #149203=VECTOR('',#467593,10.); #149204=VECTOR('',#467596,10.); #149205=VECTOR('',#467597,10.); #149206=VECTOR('',#467598,10.); #149207=VECTOR('',#467601,10.); #149208=VECTOR('',#467602,10.); #149209=VECTOR('',#467603,10.); #149210=VECTOR('',#467606,10.); #149211=VECTOR('',#467607,10.); #149212=VECTOR('',#467616,10.); #149213=VECTOR('',#467617,10.); #149214=VECTOR('',#467618,10.); #149215=VECTOR('',#467623,10.); #149216=VECTOR('',#467624,10.); #149217=VECTOR('',#467627,10.); #149218=VECTOR('',#467628,10.); #149219=VECTOR('',#467629,10.); #149220=VECTOR('',#467632,10.); #149221=VECTOR('',#467633,10.); #149222=VECTOR('',#467634,10.); #149223=VECTOR('',#467637,10.); #149224=VECTOR('',#467638,10.); #149225=VECTOR('',#467639,10.); #149226=VECTOR('',#467642,10.); #149227=VECTOR('',#467643,10.); #149228=VECTOR('',#467652,10.); #149229=VECTOR('',#467653,10.); #149230=VECTOR('',#467654,10.); #149231=VECTOR('',#467659,10.); #149232=VECTOR('',#467660,10.); #149233=VECTOR('',#467663,10.); #149234=VECTOR('',#467664,10.); #149235=VECTOR('',#467665,10.); #149236=VECTOR('',#467668,10.); #149237=VECTOR('',#467669,10.); #149238=VECTOR('',#467670,10.); #149239=VECTOR('',#467673,10.); #149240=VECTOR('',#467674,10.); #149241=VECTOR('',#467675,10.); #149242=VECTOR('',#467678,10.); #149243=VECTOR('',#467679,10.); #149244=VECTOR('',#467688,10.); #149245=VECTOR('',#467689,10.); #149246=VECTOR('',#467690,10.); #149247=VECTOR('',#467695,10.); #149248=VECTOR('',#467696,10.); #149249=VECTOR('',#467699,10.); #149250=VECTOR('',#467700,10.); #149251=VECTOR('',#467701,10.); #149252=VECTOR('',#467704,10.); #149253=VECTOR('',#467705,10.); #149254=VECTOR('',#467706,10.); #149255=VECTOR('',#467709,10.); #149256=VECTOR('',#467710,10.); #149257=VECTOR('',#467711,10.); #149258=VECTOR('',#467714,10.); #149259=VECTOR('',#467715,10.); #149260=VECTOR('',#467724,10.); #149261=VECTOR('',#467725,10.); #149262=VECTOR('',#467726,10.); #149263=VECTOR('',#467731,10.); #149264=VECTOR('',#467732,10.); #149265=VECTOR('',#467735,10.); #149266=VECTOR('',#467736,10.); #149267=VECTOR('',#467737,10.); #149268=VECTOR('',#467740,10.); #149269=VECTOR('',#467741,10.); #149270=VECTOR('',#467742,10.); #149271=VECTOR('',#467745,10.); #149272=VECTOR('',#467746,10.); #149273=VECTOR('',#467747,10.); #149274=VECTOR('',#467750,10.); #149275=VECTOR('',#467751,10.); #149276=VECTOR('',#467760,10.); #149277=VECTOR('',#467761,10.); #149278=VECTOR('',#467762,10.); #149279=VECTOR('',#467767,10.); #149280=VECTOR('',#467768,10.); #149281=VECTOR('',#467771,10.); #149282=VECTOR('',#467772,10.); #149283=VECTOR('',#467773,10.); #149284=VECTOR('',#467776,10.); #149285=VECTOR('',#467777,10.); #149286=VECTOR('',#467778,10.); #149287=VECTOR('',#467781,10.); #149288=VECTOR('',#467782,10.); #149289=VECTOR('',#467783,10.); #149290=VECTOR('',#467786,10.); #149291=VECTOR('',#467787,10.); #149292=VECTOR('',#467794,10.); #149293=VECTOR('',#467795,10.); #149294=VECTOR('',#467796,10.); #149295=VECTOR('',#467797,10.); #149296=VECTOR('',#467800,10.); #149297=VECTOR('',#467801,10.); #149298=VECTOR('',#467802,10.); #149299=VECTOR('',#467805,10.); #149300=VECTOR('',#467806,10.); #149301=VECTOR('',#467807,10.); #149302=VECTOR('',#467810,10.); #149303=VECTOR('',#467811,10.); #149304=VECTOR('',#467864,10.); #149305=VECTOR('',#467865,10.); #149306=VECTOR('',#467884,10.); #149307=VECTOR('',#467885,10.); #149308=VECTOR('',#467888,10.); #149309=VECTOR('',#467889,10.); #149310=VECTOR('',#467900,10.); #149311=VECTOR('',#467901,10.); #149312=VECTOR('',#467904,10.); #149313=VECTOR('',#467905,10.); #149314=VECTOR('',#467908,10.); #149315=VECTOR('',#467909,10.); #149316=VECTOR('',#467912,10.); #149317=VECTOR('',#467913,10.); #149318=VECTOR('',#467916,10.); #149319=VECTOR('',#467917,10.); #149320=VECTOR('',#467920,10.); #149321=VECTOR('',#467921,10.); #149322=VECTOR('',#467924,10.); #149323=VECTOR('',#467925,10.); #149324=VECTOR('',#467928,10.); #149325=VECTOR('',#467929,10.); #149326=VECTOR('',#467932,10.); #149327=VECTOR('',#467933,10.); #149328=VECTOR('',#467988,10.); #149329=VECTOR('',#467989,10.); #149330=VECTOR('',#468008,10.); #149331=VECTOR('',#468009,10.); #149332=VECTOR('',#468012,10.); #149333=VECTOR('',#468013,10.); #149334=VECTOR('',#468024,10.); #149335=VECTOR('',#468025,10.); #149336=VECTOR('',#468028,10.); #149337=VECTOR('',#468029,10.); #149338=VECTOR('',#468032,10.); #149339=VECTOR('',#468033,10.); #149340=VECTOR('',#468036,10.); #149341=VECTOR('',#468037,10.); #149342=VECTOR('',#468040,10.); #149343=VECTOR('',#468041,10.); #149344=VECTOR('',#468044,10.); #149345=VECTOR('',#468045,10.); #149346=VECTOR('',#468048,10.); #149347=VECTOR('',#468049,10.); #149348=VECTOR('',#468052,10.); #149349=VECTOR('',#468053,10.); #149350=VECTOR('',#468056,10.); #149351=VECTOR('',#468057,10.); #149352=VECTOR('',#468072,10.); #149353=VECTOR('',#468073,10.); #149354=VECTOR('',#468074,10.); #149355=VECTOR('',#468075,10.); #149356=VECTOR('',#468078,10.); #149357=VECTOR('',#468079,10.); #149358=VECTOR('',#468080,10.); #149359=VECTOR('',#468083,10.); #149360=VECTOR('',#468084,10.); #149361=VECTOR('',#468085,10.); #149362=VECTOR('',#468088,10.); #149363=VECTOR('',#468089,10.); #149364=VECTOR('',#468102,10.); #149365=VECTOR('',#468103,10.); #149366=VECTOR('',#468104,10.); #149367=VECTOR('',#468105,10.); #149368=VECTOR('',#468108,10.); #149369=VECTOR('',#468109,10.); #149370=VECTOR('',#468110,10.); #149371=VECTOR('',#468113,10.); #149372=VECTOR('',#468114,10.); #149373=VECTOR('',#468115,10.); #149374=VECTOR('',#468118,10.); #149375=VECTOR('',#468119,10.); #149376=VECTOR('',#468122,10.); #149377=VECTOR('',#468123,10.); #149378=VECTOR('',#468124,10.); #149379=VECTOR('',#468127,10.); #149380=VECTOR('',#468128,10.); #149381=VECTOR('',#468133,10.); #149382=VECTOR('',#468134,10.); #149383=VECTOR('',#468137,10.); #149384=VECTOR('',#468142,10.); #149385=VECTOR('',#468143,10.); #149386=VECTOR('',#468144,10.); #149387=VECTOR('',#468145,10.); #149388=VECTOR('',#468148,10.); #149389=VECTOR('',#468149,10.); #149390=VECTOR('',#468150,10.); #149391=VECTOR('',#468153,10.); #149392=VECTOR('',#468154,10.); #149393=VECTOR('',#468155,10.); #149394=VECTOR('',#468158,10.); #149395=VECTOR('',#468159,10.); #149396=VECTOR('',#468166,10.); #149397=VECTOR('',#468167,10.); #149398=VECTOR('',#468168,10.); #149399=VECTOR('',#468169,10.); #149400=VECTOR('',#468172,10.); #149401=VECTOR('',#468173,10.); #149402=VECTOR('',#468174,10.); #149403=VECTOR('',#468177,10.); #149404=VECTOR('',#468178,10.); #149405=VECTOR('',#468179,10.); #149406=VECTOR('',#468182,10.); #149407=VECTOR('',#468183,10.); #149408=VECTOR('',#468190,10.); #149409=VECTOR('',#468191,10.); #149410=VECTOR('',#468192,10.); #149411=VECTOR('',#468193,10.); #149412=VECTOR('',#468196,10.); #149413=VECTOR('',#468197,10.); #149414=VECTOR('',#468198,10.); #149415=VECTOR('',#468201,10.); #149416=VECTOR('',#468202,10.); #149417=VECTOR('',#468203,10.); #149418=VECTOR('',#468206,10.); #149419=VECTOR('',#468207,10.); #149420=VECTOR('',#468214,10.); #149421=VECTOR('',#468215,10.); #149422=VECTOR('',#468216,10.); #149423=VECTOR('',#468217,10.); #149424=VECTOR('',#468220,10.); #149425=VECTOR('',#468221,10.); #149426=VECTOR('',#468222,10.); #149427=VECTOR('',#468225,10.); #149428=VECTOR('',#468226,10.); #149429=VECTOR('',#468227,10.); #149430=VECTOR('',#468230,10.); #149431=VECTOR('',#468231,10.); #149432=VECTOR('',#468238,10.); #149433=VECTOR('',#468239,10.); #149434=VECTOR('',#468240,10.); #149435=VECTOR('',#468241,10.); #149436=VECTOR('',#468244,10.); #149437=VECTOR('',#468245,10.); #149438=VECTOR('',#468246,10.); #149439=VECTOR('',#468249,10.); #149440=VECTOR('',#468250,10.); #149441=VECTOR('',#468251,10.); #149442=VECTOR('',#468254,10.); #149443=VECTOR('',#468255,10.); #149444=VECTOR('',#468270,0.1995); #149445=VECTOR('',#468277,10.); #149446=VECTOR('',#468278,10.); #149447=VECTOR('',#468279,10.); #149448=VECTOR('',#468280,10.); #149449=VECTOR('',#468283,10.); #149450=VECTOR('',#468284,10.); #149451=VECTOR('',#468285,10.); #149452=VECTOR('',#468288,10.); #149453=VECTOR('',#468289,10.); #149454=VECTOR('',#468290,10.); #149455=VECTOR('',#468293,10.); #149456=VECTOR('',#468294,10.); #149457=VECTOR('',#468297,10.); #149458=VECTOR('',#468298,10.); #149459=VECTOR('',#468299,10.); #149460=VECTOR('',#468302,10.); #149461=VECTOR('',#468303,10.); #149462=VECTOR('',#468308,10.); #149463=VECTOR('',#468309,10.); #149464=VECTOR('',#468310,10.); #149465=VECTOR('',#468311,10.); #149466=VECTOR('',#468314,10.); #149467=VECTOR('',#468315,10.); #149468=VECTOR('',#468318,10.); #149469=VECTOR('',#468319,10.); #149470=VECTOR('',#468320,10.); #149471=VECTOR('',#468323,10.); #149472=VECTOR('',#468326,10.); #149473=VECTOR('',#468335,10.); #149474=VECTOR('',#468338,10.); #149475=VECTOR('',#468339,10.); #149476=VECTOR('',#468340,10.); #149477=VECTOR('',#468343,10.); #149478=VECTOR('',#468346,10.); #149479=VECTOR('',#468347,10.); #149480=VECTOR('',#468348,10.); #149481=VECTOR('',#468351,10.); #149482=VECTOR('',#468352,10.); #149483=VECTOR('',#468353,10.); #149484=VECTOR('',#468358,10.); #149485=VECTOR('',#468361,10.); #149486=VECTOR('',#468362,10.); #149487=VECTOR('',#468365,10.); #149488=VECTOR('',#468366,10.); #149489=VECTOR('',#468369,10.); #149490=VECTOR('',#468370,10.); #149491=VECTOR('',#468375,10.); #149492=VECTOR('',#468378,10.); #149493=VECTOR('',#468379,10.); #149494=VECTOR('',#468384,10.); #149495=VECTOR('',#468387,10.); #149496=VECTOR('',#468388,10.); #149497=VECTOR('',#468391,10.); #149498=VECTOR('',#468392,10.); #149499=VECTOR('',#468395,10.); #149500=VECTOR('',#468396,10.); #149501=VECTOR('',#468407,10.); #149502=VECTOR('',#468408,10.); #149503=VECTOR('',#468409,10.); #149504=VECTOR('',#468412,10.); #149505=VECTOR('',#468415,10.); #149506=VECTOR('',#468416,10.); #149507=VECTOR('',#468417,10.); #149508=VECTOR('',#468420,10.); #149509=VECTOR('',#468423,10.); #149510=VECTOR('',#468424,10.); #149511=VECTOR('',#468425,10.); #149512=VECTOR('',#468428,10.); #149513=VECTOR('',#468433,10.); #149514=VECTOR('',#468434,10.); #149515=VECTOR('',#468437,10.); #149516=VECTOR('',#468438,10.); #149517=VECTOR('',#468441,10.); #149518=VECTOR('',#468442,10.); #149519=VECTOR('',#468445,10.); #149520=VECTOR('',#468450,10.); #149521=VECTOR('',#468451,10.); #149522=VECTOR('',#468454,10.); #149523=VECTOR('',#468459,10.); #149524=VECTOR('',#468460,10.); #149525=VECTOR('',#468463,10.); #149526=VECTOR('',#468464,10.); #149527=VECTOR('',#468467,10.); #149528=VECTOR('',#468468,10.); #149529=VECTOR('',#468479,10.); #149530=VECTOR('',#468482,10.); #149531=VECTOR('',#468483,10.); #149532=VECTOR('',#468484,10.); #149533=VECTOR('',#468487,10.); #149534=VECTOR('',#468490,10.); #149535=VECTOR('',#468491,10.); #149536=VECTOR('',#468492,10.); #149537=VECTOR('',#468495,10.); #149538=VECTOR('',#468496,10.); #149539=VECTOR('',#468497,10.); #149540=VECTOR('',#468502,10.); #149541=VECTOR('',#468505,10.); #149542=VECTOR('',#468506,10.); #149543=VECTOR('',#468509,10.); #149544=VECTOR('',#468510,10.); #149545=VECTOR('',#468513,10.); #149546=VECTOR('',#468514,10.); #149547=VECTOR('',#468519,10.); #149548=VECTOR('',#468522,10.); #149549=VECTOR('',#468523,10.); #149550=VECTOR('',#468528,10.); #149551=VECTOR('',#468531,10.); #149552=VECTOR('',#468532,10.); #149553=VECTOR('',#468535,10.); #149554=VECTOR('',#468536,10.); #149555=VECTOR('',#468539,10.); #149556=VECTOR('',#468540,10.); #149557=VECTOR('',#468551,10.); #149558=VECTOR('',#468554,10.); #149559=VECTOR('',#468555,10.); #149560=VECTOR('',#468556,10.); #149561=VECTOR('',#468559,10.); #149562=VECTOR('',#468562,10.); #149563=VECTOR('',#468563,10.); #149564=VECTOR('',#468564,10.); #149565=VECTOR('',#468567,10.); #149566=VECTOR('',#468568,10.); #149567=VECTOR('',#468569,10.); #149568=VECTOR('',#468574,10.); #149569=VECTOR('',#468577,10.); #149570=VECTOR('',#468578,10.); #149571=VECTOR('',#468581,10.); #149572=VECTOR('',#468582,10.); #149573=VECTOR('',#468585,10.); #149574=VECTOR('',#468586,10.); #149575=VECTOR('',#468591,10.); #149576=VECTOR('',#468594,10.); #149577=VECTOR('',#468595,10.); #149578=VECTOR('',#468600,10.); #149579=VECTOR('',#468603,10.); #149580=VECTOR('',#468604,10.); #149581=VECTOR('',#468607,10.); #149582=VECTOR('',#468608,10.); #149583=VECTOR('',#468611,10.); #149584=VECTOR('',#468612,10.); #149585=VECTOR('',#468623,10.); #149586=VECTOR('',#468626,10.); #149587=VECTOR('',#468627,10.); #149588=VECTOR('',#468628,10.); #149589=VECTOR('',#468631,10.); #149590=VECTOR('',#468634,10.); #149591=VECTOR('',#468635,10.); #149592=VECTOR('',#468636,10.); #149593=VECTOR('',#468639,10.); #149594=VECTOR('',#468640,10.); #149595=VECTOR('',#468641,10.); #149596=VECTOR('',#468646,10.); #149597=VECTOR('',#468649,10.); #149598=VECTOR('',#468650,10.); #149599=VECTOR('',#468653,10.); #149600=VECTOR('',#468654,10.); #149601=VECTOR('',#468657,10.); #149602=VECTOR('',#468658,10.); #149603=VECTOR('',#468663,10.); #149604=VECTOR('',#468666,10.); #149605=VECTOR('',#468667,10.); #149606=VECTOR('',#468672,10.); #149607=VECTOR('',#468675,10.); #149608=VECTOR('',#468676,10.); #149609=VECTOR('',#468679,10.); #149610=VECTOR('',#468680,10.); #149611=VECTOR('',#468683,10.); #149612=VECTOR('',#468684,10.); #149613=VECTOR('',#468695,10.); #149614=VECTOR('',#468696,10.); #149615=VECTOR('',#468697,10.); #149616=VECTOR('',#468700,10.); #149617=VECTOR('',#468703,10.); #149618=VECTOR('',#468704,10.); #149619=VECTOR('',#468705,10.); #149620=VECTOR('',#468708,10.); #149621=VECTOR('',#468711,10.); #149622=VECTOR('',#468712,10.); #149623=VECTOR('',#468713,10.); #149624=VECTOR('',#468716,10.); #149625=VECTOR('',#468721,10.); #149626=VECTOR('',#468722,10.); #149627=VECTOR('',#468725,10.); #149628=VECTOR('',#468726,10.); #149629=VECTOR('',#468729,10.); #149630=VECTOR('',#468730,10.); #149631=VECTOR('',#468733,10.); #149632=VECTOR('',#468738,10.); #149633=VECTOR('',#468739,10.); #149634=VECTOR('',#468742,10.); #149635=VECTOR('',#468747,10.); #149636=VECTOR('',#468748,10.); #149637=VECTOR('',#468751,10.); #149638=VECTOR('',#468752,10.); #149639=VECTOR('',#468755,10.); #149640=VECTOR('',#468756,10.); #149641=VECTOR('',#468767,10.); #149642=VECTOR('',#468768,10.); #149643=VECTOR('',#468769,10.); #149644=VECTOR('',#468772,10.); #149645=VECTOR('',#468775,10.); #149646=VECTOR('',#468776,10.); #149647=VECTOR('',#468777,10.); #149648=VECTOR('',#468780,10.); #149649=VECTOR('',#468783,10.); #149650=VECTOR('',#468784,10.); #149651=VECTOR('',#468785,10.); #149652=VECTOR('',#468788,10.); #149653=VECTOR('',#468793,10.); #149654=VECTOR('',#468794,10.); #149655=VECTOR('',#468797,10.); #149656=VECTOR('',#468798,10.); #149657=VECTOR('',#468801,10.); #149658=VECTOR('',#468802,10.); #149659=VECTOR('',#468805,10.); #149660=VECTOR('',#468810,10.); #149661=VECTOR('',#468811,10.); #149662=VECTOR('',#468814,10.); #149663=VECTOR('',#468819,10.); #149664=VECTOR('',#468820,10.); #149665=VECTOR('',#468823,10.); #149666=VECTOR('',#468824,10.); #149667=VECTOR('',#468827,10.); #149668=VECTOR('',#468828,10.); #149669=VECTOR('',#468839,10.); #149670=VECTOR('',#468840,10.); #149671=VECTOR('',#468841,10.); #149672=VECTOR('',#468844,10.); #149673=VECTOR('',#468847,10.); #149674=VECTOR('',#468848,10.); #149675=VECTOR('',#468849,10.); #149676=VECTOR('',#468852,10.); #149677=VECTOR('',#468855,10.); #149678=VECTOR('',#468856,10.); #149679=VECTOR('',#468857,10.); #149680=VECTOR('',#468860,10.); #149681=VECTOR('',#468865,10.); #149682=VECTOR('',#468866,10.); #149683=VECTOR('',#468869,10.); #149684=VECTOR('',#468870,10.); #149685=VECTOR('',#468873,10.); #149686=VECTOR('',#468874,10.); #149687=VECTOR('',#468877,10.); #149688=VECTOR('',#468882,10.); #149689=VECTOR('',#468883,10.); #149690=VECTOR('',#468886,10.); #149691=VECTOR('',#468891,10.); #149692=VECTOR('',#468892,10.); #149693=VECTOR('',#468895,10.); #149694=VECTOR('',#468896,10.); #149695=VECTOR('',#468899,10.); #149696=VECTOR('',#468900,10.); #149697=VECTOR('',#468917,0.085); #149698=VECTOR('',#468924,10.); #149699=VECTOR('',#468925,10.); #149700=VECTOR('',#468926,10.); #149701=VECTOR('',#468927,10.); #149702=VECTOR('',#468930,10.); #149703=VECTOR('',#468931,10.); #149704=VECTOR('',#468932,10.); #149705=VECTOR('',#468935,10.); #149706=VECTOR('',#468936,10.); #149707=VECTOR('',#468937,10.); #149708=VECTOR('',#468940,10.); #149709=VECTOR('',#468941,10.); #149710=VECTOR('',#468944,10.); #149711=VECTOR('',#468945,10.); #149712=VECTOR('',#468946,10.); #149713=VECTOR('',#468949,10.); #149714=VECTOR('',#468950,10.); #149715=VECTOR('',#468955,10.); #149716=VECTOR('',#468956,10.); #149717=VECTOR('',#468957,10.); #149718=VECTOR('',#468958,10.); #149719=VECTOR('',#468961,10.); #149720=VECTOR('',#468962,10.); #149721=VECTOR('',#468965,10.); #149722=VECTOR('',#468966,10.); #149723=VECTOR('',#468967,10.); #149724=VECTOR('',#468970,10.); #149725=VECTOR('',#468973,10.); #149726=VECTOR('',#468982,10.); #149727=VECTOR('',#468983,10.); #149728=VECTOR('',#468984,10.); #149729=VECTOR('',#468987,10.); #149730=VECTOR('',#468990,10.); #149731=VECTOR('',#468991,10.); #149732=VECTOR('',#468992,10.); #149733=VECTOR('',#468995,10.); #149734=VECTOR('',#468998,10.); #149735=VECTOR('',#468999,10.); #149736=VECTOR('',#469000,10.); #149737=VECTOR('',#469003,10.); #149738=VECTOR('',#469004,10.); #149739=VECTOR('',#469007,10.); #149740=VECTOR('',#469008,10.); #149741=VECTOR('',#469013,10.); #149742=VECTOR('',#469016,10.); #149743=VECTOR('',#469017,10.); #149744=VECTOR('',#469022,10.); #149745=VECTOR('',#469025,10.); #149746=VECTOR('',#469026,10.); #149747=VECTOR('',#469029,10.); #149748=VECTOR('',#469030,10.); #149749=VECTOR('',#469033,10.); #149750=VECTOR('',#469034,10.); #149751=VECTOR('',#469039,10.); #149752=VECTOR('',#469042,10.); #149753=VECTOR('',#469043,10.); #149754=VECTOR('',#469054,10.); #149755=VECTOR('',#469055,10.); #149756=VECTOR('',#469056,10.); #149757=VECTOR('',#469059,10.); #149758=VECTOR('',#469062,10.); #149759=VECTOR('',#469063,10.); #149760=VECTOR('',#469064,10.); #149761=VECTOR('',#469067,10.); #149762=VECTOR('',#469070,10.); #149763=VECTOR('',#469071,10.); #149764=VECTOR('',#469072,10.); #149765=VECTOR('',#469075,10.); #149766=VECTOR('',#469076,10.); #149767=VECTOR('',#469079,10.); #149768=VECTOR('',#469080,10.); #149769=VECTOR('',#469085,10.); #149770=VECTOR('',#469088,10.); #149771=VECTOR('',#469089,10.); #149772=VECTOR('',#469094,10.); #149773=VECTOR('',#469097,10.); #149774=VECTOR('',#469098,10.); #149775=VECTOR('',#469101,10.); #149776=VECTOR('',#469102,10.); #149777=VECTOR('',#469105,10.); #149778=VECTOR('',#469106,10.); #149779=VECTOR('',#469111,10.); #149780=VECTOR('',#469114,10.); #149781=VECTOR('',#469115,10.); #149782=VECTOR('',#469126,10.); #149783=VECTOR('',#469127,10.); #149784=VECTOR('',#469128,10.); #149785=VECTOR('',#469131,10.); #149786=VECTOR('',#469134,10.); #149787=VECTOR('',#469135,10.); #149788=VECTOR('',#469136,10.); #149789=VECTOR('',#469139,10.); #149790=VECTOR('',#469142,10.); #149791=VECTOR('',#469143,10.); #149792=VECTOR('',#469144,10.); #149793=VECTOR('',#469147,10.); #149794=VECTOR('',#469148,10.); #149795=VECTOR('',#469151,10.); #149796=VECTOR('',#469152,10.); #149797=VECTOR('',#469157,10.); #149798=VECTOR('',#469160,10.); #149799=VECTOR('',#469161,10.); #149800=VECTOR('',#469166,10.); #149801=VECTOR('',#469169,10.); #149802=VECTOR('',#469170,10.); #149803=VECTOR('',#469173,10.); #149804=VECTOR('',#469174,10.); #149805=VECTOR('',#469177,10.); #149806=VECTOR('',#469178,10.); #149807=VECTOR('',#469183,10.); #149808=VECTOR('',#469186,10.); #149809=VECTOR('',#469187,10.); #149810=VECTOR('',#469198,10.); #149811=VECTOR('',#469199,10.); #149812=VECTOR('',#469200,10.); #149813=VECTOR('',#469203,10.); #149814=VECTOR('',#469206,10.); #149815=VECTOR('',#469207,10.); #149816=VECTOR('',#469208,10.); #149817=VECTOR('',#469211,10.); #149818=VECTOR('',#469214,10.); #149819=VECTOR('',#469215,10.); #149820=VECTOR('',#469216,10.); #149821=VECTOR('',#469219,10.); #149822=VECTOR('',#469220,10.); #149823=VECTOR('',#469223,10.); #149824=VECTOR('',#469224,10.); #149825=VECTOR('',#469229,10.); #149826=VECTOR('',#469232,10.); #149827=VECTOR('',#469233,10.); #149828=VECTOR('',#469238,10.); #149829=VECTOR('',#469241,10.); #149830=VECTOR('',#469242,10.); #149831=VECTOR('',#469245,10.); #149832=VECTOR('',#469246,10.); #149833=VECTOR('',#469249,10.); #149834=VECTOR('',#469250,10.); #149835=VECTOR('',#469255,10.); #149836=VECTOR('',#469258,10.); #149837=VECTOR('',#469259,10.); #149838=VECTOR('',#469270,10.); #149839=VECTOR('',#469271,10.); #149840=VECTOR('',#469272,10.); #149841=VECTOR('',#469275,10.); #149842=VECTOR('',#469278,10.); #149843=VECTOR('',#469279,10.); #149844=VECTOR('',#469280,10.); #149845=VECTOR('',#469283,10.); #149846=VECTOR('',#469286,10.); #149847=VECTOR('',#469287,10.); #149848=VECTOR('',#469288,10.); #149849=VECTOR('',#469291,10.); #149850=VECTOR('',#469292,10.); #149851=VECTOR('',#469295,10.); #149852=VECTOR('',#469296,10.); #149853=VECTOR('',#469301,10.); #149854=VECTOR('',#469304,10.); #149855=VECTOR('',#469305,10.); #149856=VECTOR('',#469310,10.); #149857=VECTOR('',#469313,10.); #149858=VECTOR('',#469314,10.); #149859=VECTOR('',#469317,10.); #149860=VECTOR('',#469318,10.); #149861=VECTOR('',#469321,10.); #149862=VECTOR('',#469322,10.); #149863=VECTOR('',#469327,10.); #149864=VECTOR('',#469330,10.); #149865=VECTOR('',#469331,10.); #149866=VECTOR('',#469386,10.); #149867=VECTOR('',#469387,10.); #149868=VECTOR('',#469406,10.); #149869=VECTOR('',#469407,10.); #149870=VECTOR('',#469410,10.); #149871=VECTOR('',#469411,10.); #149872=VECTOR('',#469422,10.); #149873=VECTOR('',#469423,10.); #149874=VECTOR('',#469426,10.); #149875=VECTOR('',#469427,10.); #149876=VECTOR('',#469430,10.); #149877=VECTOR('',#469431,10.); #149878=VECTOR('',#469434,10.); #149879=VECTOR('',#469435,10.); #149880=VECTOR('',#469438,10.); #149881=VECTOR('',#469439,10.); #149882=VECTOR('',#469442,10.); #149883=VECTOR('',#469443,10.); #149884=VECTOR('',#469446,10.); #149885=VECTOR('',#469447,10.); #149886=VECTOR('',#469450,10.); #149887=VECTOR('',#469451,10.); #149888=VECTOR('',#469454,10.); #149889=VECTOR('',#469455,10.); #149890=VECTOR('',#469510,10.); #149891=VECTOR('',#469511,10.); #149892=VECTOR('',#469530,10.); #149893=VECTOR('',#469531,10.); #149894=VECTOR('',#469534,10.); #149895=VECTOR('',#469535,10.); #149896=VECTOR('',#469546,10.); #149897=VECTOR('',#469547,10.); #149898=VECTOR('',#469550,10.); #149899=VECTOR('',#469551,10.); #149900=VECTOR('',#469554,10.); #149901=VECTOR('',#469555,10.); #149902=VECTOR('',#469558,10.); #149903=VECTOR('',#469559,10.); #149904=VECTOR('',#469562,10.); #149905=VECTOR('',#469563,10.); #149906=VECTOR('',#469566,10.); #149907=VECTOR('',#469567,10.); #149908=VECTOR('',#469570,10.); #149909=VECTOR('',#469571,10.); #149910=VECTOR('',#469574,10.); #149911=VECTOR('',#469575,10.); #149912=VECTOR('',#469578,10.); #149913=VECTOR('',#469579,10.); #149914=VECTOR('',#469594,10.); #149915=VECTOR('',#469595,10.); #149916=VECTOR('',#469596,10.); #149917=VECTOR('',#469597,10.); #149918=VECTOR('',#469600,10.); #149919=VECTOR('',#469601,10.); #149920=VECTOR('',#469602,10.); #149921=VECTOR('',#469605,10.); #149922=VECTOR('',#469606,10.); #149923=VECTOR('',#469607,10.); #149924=VECTOR('',#469610,10.); #149925=VECTOR('',#469611,10.); #149926=VECTOR('',#469774,1.); #149927=VECTOR('',#469781,1.); #149928=VECTOR('',#469786,10.); #149929=VECTOR('',#469787,10.); #149930=VECTOR('',#469788,10.); #149931=VECTOR('',#469789,10.); #149932=VECTOR('',#469792,10.); #149933=VECTOR('',#469793,10.); #149934=VECTOR('',#469794,10.); #149935=VECTOR('',#469797,10.); #149936=VECTOR('',#469798,10.); #149937=VECTOR('',#469799,10.); #149938=VECTOR('',#469802,10.); #149939=VECTOR('',#469803,10.); #149940=VECTOR('',#469804,10.); #149941=VECTOR('',#469807,10.); #149942=VECTOR('',#469808,10.); #149943=VECTOR('',#469809,10.); #149944=VECTOR('',#469812,10.); #149945=VECTOR('',#469813,10.); #149946=VECTOR('',#469814,10.); #149947=VECTOR('',#469817,10.); #149948=VECTOR('',#469818,10.); #149949=VECTOR('',#469819,10.); #149950=VECTOR('',#469822,10.); #149951=VECTOR('',#469823,10.); #149952=VECTOR('',#469824,10.); #149953=VECTOR('',#469827,10.); #149954=VECTOR('',#469828,10.); #149955=VECTOR('',#469829,10.); #149956=VECTOR('',#469832,10.); #149957=VECTOR('',#469833,10.); #149958=VECTOR('',#469834,10.); #149959=VECTOR('',#469837,10.); #149960=VECTOR('',#469838,10.); #149961=VECTOR('',#469839,10.); #149962=VECTOR('',#469842,10.); #149963=VECTOR('',#469843,10.); #149964=VECTOR('',#469854,1.); #149965=VECTOR('',#469861,1.); #149966=VECTOR('',#469866,10.); #149967=VECTOR('',#469867,10.); #149968=VECTOR('',#469868,10.); #149969=VECTOR('',#469869,10.); #149970=VECTOR('',#469872,10.); #149971=VECTOR('',#469873,10.); #149972=VECTOR('',#469874,10.); #149973=VECTOR('',#469877,10.); #149974=VECTOR('',#469878,10.); #149975=VECTOR('',#469879,10.); #149976=VECTOR('',#469882,10.); #149977=VECTOR('',#469883,10.); #149978=VECTOR('',#469884,10.); #149979=VECTOR('',#469887,10.); #149980=VECTOR('',#469888,10.); #149981=VECTOR('',#469889,10.); #149982=VECTOR('',#469892,10.); #149983=VECTOR('',#469893,10.); #149984=VECTOR('',#469894,10.); #149985=VECTOR('',#469897,10.); #149986=VECTOR('',#469898,10.); #149987=VECTOR('',#469899,10.); #149988=VECTOR('',#469902,10.); #149989=VECTOR('',#469903,10.); #149990=VECTOR('',#469904,10.); #149991=VECTOR('',#469907,10.); #149992=VECTOR('',#469908,10.); #149993=VECTOR('',#469909,10.); #149994=VECTOR('',#469912,10.); #149995=VECTOR('',#469913,10.); #149996=VECTOR('',#469914,10.); #149997=VECTOR('',#469917,10.); #149998=VECTOR('',#469918,10.); #149999=VECTOR('',#469919,10.); #150000=VECTOR('',#469922,10.); #150001=VECTOR('',#469923,10.); #150002=VECTOR('',#469934,1.); #150003=VECTOR('',#469941,1.); #150004=VECTOR('',#469946,10.); #150005=VECTOR('',#469947,10.); #150006=VECTOR('',#469948,10.); #150007=VECTOR('',#469949,10.); #150008=VECTOR('',#469952,10.); #150009=VECTOR('',#469953,10.); #150010=VECTOR('',#469954,10.); #150011=VECTOR('',#469957,10.); #150012=VECTOR('',#469958,10.); #150013=VECTOR('',#469959,10.); #150014=VECTOR('',#469962,10.); #150015=VECTOR('',#469963,10.); #150016=VECTOR('',#469964,10.); #150017=VECTOR('',#469967,10.); #150018=VECTOR('',#469968,10.); #150019=VECTOR('',#469969,10.); #150020=VECTOR('',#469972,10.); #150021=VECTOR('',#469973,10.); #150022=VECTOR('',#469974,10.); #150023=VECTOR('',#469977,10.); #150024=VECTOR('',#469978,10.); #150025=VECTOR('',#469979,10.); #150026=VECTOR('',#469982,10.); #150027=VECTOR('',#469983,10.); #150028=VECTOR('',#469984,10.); #150029=VECTOR('',#469987,10.); #150030=VECTOR('',#469988,10.); #150031=VECTOR('',#469989,10.); #150032=VECTOR('',#469992,10.); #150033=VECTOR('',#469993,10.); #150034=VECTOR('',#469994,10.); #150035=VECTOR('',#469997,10.); #150036=VECTOR('',#469998,10.); #150037=VECTOR('',#469999,10.); #150038=VECTOR('',#470002,10.); #150039=VECTOR('',#470003,10.); #150040=VECTOR('',#470010,10.); #150041=VECTOR('',#470011,10.); #150042=VECTOR('',#470012,10.); #150043=VECTOR('',#470013,10.); #150044=VECTOR('',#470016,10.); #150045=VECTOR('',#470017,10.); #150046=VECTOR('',#470018,10.); #150047=VECTOR('',#470021,10.); #150048=VECTOR('',#470022,10.); #150049=VECTOR('',#470025,10.); #150050=VECTOR('',#470026,10.); #150051=VECTOR('',#470029,10.); #150052=VECTOR('',#470042,29.25); #150053=VECTOR('',#470049,10.); #150054=VECTOR('',#470050,10.); #150055=VECTOR('',#470051,10.); #150056=VECTOR('',#470056,28.); #150057=VECTOR('',#470061,10.); #150058=VECTOR('',#470062,10.); #150059=VECTOR('',#470063,10.); #150060=VECTOR('',#470066,10.); #150061=VECTOR('',#470067,10.); #150062=VECTOR('',#470070,10.); #150063=VECTOR('',#470071,10.); #150064=VECTOR('',#470080,28.85); #150065=VECTOR('',#470089,29.5); #150066=VECTOR('',#470094,10.); #150067=VECTOR('',#470097,10.); #150068=VECTOR('',#470098,10.); #150069=VECTOR('',#470101,10.); #150070=VECTOR('',#470104,10.); #150071=VECTOR('',#470107,10.); #150072=VECTOR('',#470112,26.75); #150073=VECTOR('',#470117,26.5); #150074=VECTOR('',#470122,27.25); #150075=VECTOR('',#470125,10.); #150076=VECTOR('',#470128,10.); #150077=VECTOR('',#470131,10.); #150078=VECTOR('',#470134,10.); #150079=VECTOR('',#470137,10.); #150080=VECTOR('',#470140,10.); #150081=VECTOR('',#470175,30.35); #150082=VECTOR('',#470180,10.); #150083=VECTOR('',#470181,10.); #150084=VECTOR('',#470190,10.); #150085=VECTOR('',#470191,10.); #150086=VECTOR('',#470200,10.); #150087=VECTOR('',#470201,10.); #150088=VECTOR('',#470202,10.); #150089=VECTOR('',#470205,10.); #150090=VECTOR('',#470214,10.); #150091=VECTOR('',#470217,10.); #150092=VECTOR('',#470222,10.); #150093=VECTOR('',#470223,10.); #150094=VECTOR('',#470228,10.); #150095=VECTOR('',#470231,10.); #150096=VECTOR('',#470232,10.); #150097=VECTOR('',#470233,10.); #150098=VECTOR('',#470236,10.); #150099=VECTOR('',#470243,10.); #150100=VECTOR('',#470246,10.); #150101=VECTOR('',#470253,10.); #150102=VECTOR('',#470258,10.); #150103=VECTOR('',#470259,10.); #150104=VECTOR('',#470262,10.); #150105=VECTOR('',#470263,10.); #150106=VECTOR('',#470266,10.); #150107=VECTOR('',#470269,10.); #150108=VECTOR('',#470270,10.); #150109=VECTOR('',#470273,10.); #150110=VECTOR('',#470274,10.); #150111=VECTOR('',#470277,10.); #150112=VECTOR('',#470280,10.); #150113=VECTOR('',#470285,10.); #150114=VECTOR('',#470286,10.); #150115=VECTOR('',#470291,10.); #150116=VECTOR('',#470292,10.); #150117=VECTOR('',#470295,10.); #150118=VECTOR('',#470296,10.); #150119=VECTOR('',#470299,10.); #150120=VECTOR('',#470300,10.); #150121=VECTOR('',#470301,10.); #150122=VECTOR('',#470302,10.); #150123=VECTOR('',#470303,10.); #150124=VECTOR('',#470304,10.); #150125=VECTOR('',#470307,10.); #150126=VECTOR('',#470310,10.); #150127=VECTOR('',#470313,10.); #150128=VECTOR('',#470314,10.); #150129=VECTOR('',#470315,10.); #150130=VECTOR('',#470316,10.); #150131=VECTOR('',#470319,10.); #150132=VECTOR('',#470326,10.); #150133=VECTOR('',#470329,33.0000000149012); #150134=VECTOR('',#470334,10.); #150135=VECTOR('',#470337,10.); #150136=VECTOR('',#470342,10.); #150137=VECTOR('',#470349,10.); #150138=VECTOR('',#470352,10.); #150139=VECTOR('',#470363,10.); #150140=VECTOR('',#470366,10.); #150141=VECTOR('',#470369,10.); #150142=VECTOR('',#470372,10.); #150143=VECTOR('',#470373,10.); #150144=VECTOR('',#470374,10.); #150145=VECTOR('',#470379,10.); #150146=VECTOR('',#470384,10.); #150147=VECTOR('',#470397,29.0000000149012); #150148=VECTOR('',#470404,10.); #150149=VECTOR('',#470407,10.); #150150=VECTOR('',#470410,10.); #150151=VECTOR('',#470411,10.); #150152=VECTOR('',#470418,31.0000000149012); #150153=VECTOR('',#470425,10.); #150154=VECTOR('',#470428,10.); #150155=VECTOR('',#470431,10.); #150156=VECTOR('',#470432,10.); #150157=VECTOR('',#470437,10.); #150158=VECTOR('',#470438,10.); #150159=VECTOR('',#470453,35.2842712474619); #150160=VECTOR('',#470456,10.); #150161=VECTOR('',#470457,10.); #150162=VECTOR('',#470458,10.); #150163=VECTOR('',#470459,10.); #150164=VECTOR('',#470464,10.); #150165=VECTOR('',#470469,10.); #150166=VECTOR('',#470470,10.); #150167=VECTOR('',#470471,10.); #150168=VECTOR('',#470472,10.); #150169=VECTOR('',#470475,10.); #150170=VECTOR('',#470476,10.); #150171=VECTOR('',#470477,10.); #150172=VECTOR('',#470480,10.); #150173=VECTOR('',#470481,10.); #150174=VECTOR('',#470482,10.); #150175=VECTOR('',#470485,10.); #150176=VECTOR('',#470486,10.); #150177=VECTOR('',#470489,10.); #150178=VECTOR('',#470490,10.); #150179=VECTOR('',#470491,10.); #150180=VECTOR('',#470502,2.225); #150181=VECTOR('',#470507,10.); #150182=VECTOR('',#470510,10.); #150183=VECTOR('',#470517,10.); #150184=VECTOR('',#470520,10.); #150185=VECTOR('',#470523,2.1); #150186=VECTOR('',#470528,2.225); #150187=VECTOR('',#470533,2.1); #150188=VECTOR('',#470540,1.525); #150189=VECTOR('',#470551,10.); #150190=VECTOR('',#470554,10.); #150191=VECTOR('',#470559,1.4); #150192=VECTOR('',#470564,1.525); #150193=VECTOR('',#470569,1.4); #150194=VECTOR('',#470574,10.); #150195=VECTOR('',#470581,10.); #150196=VECTOR('',#470582,10.); #150197=VECTOR('',#470583,10.); #150198=VECTOR('',#470598,10.); #150199=VECTOR('',#470601,10.); #150200=VECTOR('',#470604,10.); #150201=VECTOR('',#470607,10.); #150202=VECTOR('',#470618,10.); #150203=VECTOR('',#470621,10.); #150204=VECTOR('',#470628,10.); #150205=VECTOR('',#470631,10.); #150206=VECTOR('',#470634,10.); #150207=VECTOR('',#470635,10.); #150208=VECTOR('',#470638,10.); #150209=VECTOR('',#470639,10.); #150210=VECTOR('',#470644,10.); #150211=VECTOR('',#470647,10.); #150212=VECTOR('',#470650,10.); #150213=VECTOR('',#470651,10.); #150214=VECTOR('',#470652,10.); #150215=VECTOR('',#470655,10.); #150216=VECTOR('',#470658,10.); #150217=VECTOR('',#470659,10.); #150218=VECTOR('',#470666,10.); #150219=VECTOR('',#470669,10.); #150220=VECTOR('',#470674,10.); #150221=VECTOR('',#470675,10.); #150222=VECTOR('',#470678,10.); #150223=VECTOR('',#470681,10.); #150224=VECTOR('',#470688,10.); #150225=VECTOR('',#470689,10.); #150226=VECTOR('',#470692,10.); #150227=VECTOR('',#470693,10.); #150228=VECTOR('',#470694,10.); #150229=VECTOR('',#470695,10.); #150230=VECTOR('',#470700,10.); #150231=VECTOR('',#470705,10.); #150232=VECTOR('',#470706,10.); #150233=VECTOR('',#470709,10.); #150234=VECTOR('',#470710,10.); #150235=VECTOR('',#470711,10.); #150236=VECTOR('',#470712,10.); #150237=VECTOR('',#470717,10.); #150238=VECTOR('',#470720,10.); #150239=VECTOR('',#470723,10.); #150240=VECTOR('',#470726,10.); #150241=VECTOR('',#470729,10.); #150242=VECTOR('',#470732,10.); #150243=VECTOR('',#470733,10.); #150244=VECTOR('',#470734,10.); #150245=VECTOR('',#470735,10.); #150246=VECTOR('',#470740,10.); #150247=VECTOR('',#470743,10.); #150248=VECTOR('',#470744,10.); #150249=VECTOR('',#470745,10.); #150250=VECTOR('',#470746,10.); #150251=VECTOR('',#470749,10.); #150252=VECTOR('',#470754,10.); #150253=VECTOR('',#470755,10.); #150254=VECTOR('',#470756,10.); #150255=VECTOR('',#470759,10.); #150256=VECTOR('',#470760,10.); #150257=VECTOR('',#470761,10.); #150258=VECTOR('',#470764,10.); #150259=VECTOR('',#470765,10.); #150260=VECTOR('',#470766,10.); #150261=VECTOR('',#470767,10.); #150262=VECTOR('',#470770,10.); #150263=VECTOR('',#470771,10.); #150264=VECTOR('',#470774,10.); #150265=VECTOR('',#470775,10.); #150266=VECTOR('',#470776,10.); #150267=VECTOR('',#470779,10.); #150268=VECTOR('',#470780,10.); #150269=VECTOR('',#470785,10.); #150270=VECTOR('',#470786,10.); #150271=VECTOR('',#470787,10.); #150272=VECTOR('',#470788,10.); #150273=VECTOR('',#470791,10.); #150274=VECTOR('',#470792,10.); #150275=VECTOR('',#470795,10.); #150276=VECTOR('',#470796,10.); #150277=VECTOR('',#470799,10.); #150278=VECTOR('',#470800,10.); #150279=VECTOR('',#470803,10.); #150280=VECTOR('',#470806,10.); #150281=VECTOR('',#470807,10.); #150282=VECTOR('',#470808,10.); #150283=VECTOR('',#470811,10.); #150284=VECTOR('',#470812,10.); #150285=VECTOR('',#470813,10.); #150286=VECTOR('',#470816,10.); #150287=VECTOR('',#470817,10.); #150288=VECTOR('',#470818,10.); #150289=VECTOR('',#470821,10.); #150290=VECTOR('',#470822,10.); #150291=VECTOR('',#470823,10.); #150292=VECTOR('',#470824,10.); #150293=VECTOR('',#470827,10.); #150294=VECTOR('',#470828,10.); #150295=VECTOR('',#470831,10.); #150296=VECTOR('',#470832,10.); #150297=VECTOR('',#470833,10.); #150298=VECTOR('',#470836,10.); #150299=VECTOR('',#470837,10.); #150300=VECTOR('',#470838,10.); #150301=VECTOR('',#470841,10.); #150302=VECTOR('',#470842,10.); #150303=VECTOR('',#470843,10.); #150304=VECTOR('',#470846,10.); #150305=VECTOR('',#470847,10.); #150306=VECTOR('',#470848,10.); #150307=VECTOR('',#470849,10.); #150308=VECTOR('',#470852,10.); #150309=VECTOR('',#470853,10.); #150310=VECTOR('',#470856,10.); #150311=VECTOR('',#470857,10.); #150312=VECTOR('',#470858,10.); #150313=VECTOR('',#470859,10.); #150314=VECTOR('',#470862,10.); #150315=VECTOR('',#470863,10.); #150316=VECTOR('',#470866,10.); #150317=VECTOR('',#470869,10.); #150318=VECTOR('',#470870,10.); #150319=VECTOR('',#470871,10.); #150320=VECTOR('',#470872,10.); #150321=VECTOR('',#470875,10.); #150322=VECTOR('',#470876,10.); #150323=VECTOR('',#470879,10.); #150324=VECTOR('',#470880,10.); #150325=VECTOR('',#470881,10.); #150326=VECTOR('',#470882,10.); #150327=VECTOR('',#470885,10.); #150328=VECTOR('',#470886,10.); #150329=VECTOR('',#470891,10.); #150330=VECTOR('',#470896,1.4); #150331=VECTOR('',#470901,1.4); #150332=VECTOR('',#470904,10.); #150333=VECTOR('',#470905,10.); #150334=VECTOR('',#470908,10.); #150335=VECTOR('',#470909,10.); #150336=VECTOR('',#470910,10.); #150337=VECTOR('',#470913,10.); #150338=VECTOR('',#470914,10.); #150339=VECTOR('',#470915,10.); #150340=VECTOR('',#470916,10.); #150341=VECTOR('',#470917,10.); #150342=VECTOR('',#470918,10.); #150343=VECTOR('',#470919,10.); #150344=VECTOR('',#470920,10.); #150345=VECTOR('',#470923,10.); #150346=VECTOR('',#470924,10.); #150347=VECTOR('',#470927,10.); #150348=VECTOR('',#470928,10.); #150349=VECTOR('',#470929,10.); #150350=VECTOR('',#470930,10.); #150351=VECTOR('',#470931,10.); #150352=VECTOR('',#470932,10.); #150353=VECTOR('',#470933,10.); #150354=VECTOR('',#470934,10.); #150355=VECTOR('',#470945,10.); #150356=VECTOR('',#470946,10.); #150357=VECTOR('',#470949,10.); #150358=VECTOR('',#470950,10.); #150359=VECTOR('',#470951,10.); #150360=VECTOR('',#470964,27.0000000149012); #150361=VECTOR('',#470973,10.); #150362=VECTOR('',#470976,10.); #150363=VECTOR('',#470979,35.2842712474619); #150364=VECTOR('',#470988,10.); #150365=VECTOR('',#470989,10.); #150366=VECTOR('',#470990,10.); #150367=VECTOR('',#470991,10.); #150368=VECTOR('',#470992,10.); #150369=VECTOR('',#470997,10.); #150370=VECTOR('',#471004,10.); #150371=VECTOR('',#471009,10.); #150372=VECTOR('',#471010,10.); #150373=VECTOR('',#471011,10.); #150374=VECTOR('',#471020,10.); #150375=VECTOR('',#471021,10.); #150376=VECTOR('',#471022,10.); #150377=VECTOR('',#471023,10.); #150378=VECTOR('',#471028,10.); #150379=VECTOR('',#471033,10.); #150380=VECTOR('',#471034,10.); #150381=VECTOR('',#471035,10.); #150382=VECTOR('',#471036,10.); #150383=VECTOR('',#471039,10.); #150384=VECTOR('',#471040,10.); #150385=VECTOR('',#471041,10.); #150386=VECTOR('',#471044,10.); #150387=VECTOR('',#471045,10.); #150388=VECTOR('',#471046,10.); #150389=VECTOR('',#471055,10.); #150390=VECTOR('',#471056,10.); #150391=VECTOR('',#471057,10.); #150392=VECTOR('',#471060,10.); #150393=VECTOR('',#471061,10.); #150394=VECTOR('',#471064,10.); #150395=VECTOR('',#471065,10.); #150396=VECTOR('',#471066,10.); #150397=VECTOR('',#471067,10.); #150398=VECTOR('',#471070,10.); #150399=VECTOR('',#471071,10.); #150400=VECTOR('',#471072,10.); #150401=VECTOR('',#471075,10.); #150402=VECTOR('',#471076,10.); #150403=VECTOR('',#471077,10.); #150404=VECTOR('',#471080,10.); #150405=VECTOR('',#471081,10.); #150406=VECTOR('',#471086,3.75); #150407=VECTOR('',#471091,10.); #150408=VECTOR('',#471092,10.); #150409=VECTOR('',#471093,10.); #150410=VECTOR('',#471094,10.); #150411=VECTOR('',#471101,10.); #150412=VECTOR('',#471104,10.); #150413=VECTOR('',#471105,10.); #150414=VECTOR('',#471106,10.); #150415=VECTOR('',#471145,10.); #150416=VECTOR('',#471148,10.); #150417=VECTOR('',#471151,10.); #150418=VECTOR('',#471154,10.); #150419=VECTOR('',#471157,41.974765156531); #150420=VECTOR('',#471160,42.0086824004184); #150421=VECTOR('',#471163,43.3242590983762); #150422=VECTOR('',#471166,43.1972986394013); #150423=VECTOR('',#471169,41.9747651565319); #150424=VECTOR('',#471174,41.974765156532); #150425=VECTOR('',#471177,43.2195403136844); #150426=VECTOR('',#471180,43.2195403136837); #150427=VECTOR('',#471187,33.4092712474619); #150428=VECTOR('',#471194,33.7842712474619); #150429=VECTOR('',#471201,35.2842712474619); #150430=VECTOR('',#471208,25.8000000000002); #150431=VECTOR('',#471213,3.125); #150432=VECTOR('',#471224,3.125); #150433=VECTOR('',#471235,3.125); #150434=VECTOR('',#471246,3.125); #150435=VERTEX_POINT('',#471267); #150436=VERTEX_POINT('',#471268); #150437=VERTEX_POINT('',#471270); #150438=VERTEX_POINT('',#471272); #150439=VERTEX_POINT('',#471300); #150440=VERTEX_POINT('',#471301); #150441=VERTEX_POINT('',#471303); #150442=VERTEX_POINT('',#471305); #150443=VERTEX_POINT('',#471309); #150444=VERTEX_POINT('',#471311); #150445=VERTEX_POINT('',#471313); #150446=VERTEX_POINT('',#471315); #150447=VERTEX_POINT('',#471317); #150448=VERTEX_POINT('',#471319); #150449=VERTEX_POINT('',#471321); #150450=VERTEX_POINT('',#471323); #150451=VERTEX_POINT('',#471325); #150452=VERTEX_POINT('',#471327); #150453=VERTEX_POINT('',#471329); #150454=VERTEX_POINT('',#471333); #150455=VERTEX_POINT('',#471337); #150456=VERTEX_POINT('',#471338); #150457=VERTEX_POINT('',#471340); #150458=VERTEX_POINT('',#471342); #150459=VERTEX_POINT('',#471346); #150460=VERTEX_POINT('',#471348); #150461=VERTEX_POINT('',#471350); #150462=VERTEX_POINT('',#471352); #150463=VERTEX_POINT('',#471354); #150464=VERTEX_POINT('',#471357); #150465=VERTEX_POINT('',#471361); #150466=VERTEX_POINT('',#471362); #150467=VERTEX_POINT('',#471367); #150468=VERTEX_POINT('',#471369); #150469=VERTEX_POINT('',#471371); #150470=VERTEX_POINT('',#471373); #150471=VERTEX_POINT('',#471375); #150472=VERTEX_POINT('',#471377); #150473=VERTEX_POINT('',#471379); #150474=VERTEX_POINT('',#471381); #150475=VERTEX_POINT('',#471383); #150476=VERTEX_POINT('',#471385); #150477=VERTEX_POINT('',#471387); #150478=VERTEX_POINT('',#471393); #150479=VERTEX_POINT('',#471394); #150480=VERTEX_POINT('',#471396); #150481=VERTEX_POINT('',#471398); #150482=VERTEX_POINT('',#471400); #150483=VERTEX_POINT('',#471402); #150484=VERTEX_POINT('',#471404); #150485=VERTEX_POINT('',#471406); #150486=VERTEX_POINT('',#471408); #150487=VERTEX_POINT('',#471410); #150488=VERTEX_POINT('',#471412); #150489=VERTEX_POINT('',#471414); #150490=VERTEX_POINT('',#471416); #150491=VERTEX_POINT('',#471418); #150492=VERTEX_POINT('',#471420); #150493=VERTEX_POINT('',#471422); #150494=VERTEX_POINT('',#471424); #150495=VERTEX_POINT('',#471426); #150496=VERTEX_POINT('',#471428); #150497=VERTEX_POINT('',#471430); #150498=VERTEX_POINT('',#471432); #150499=VERTEX_POINT('',#471434); #150500=VERTEX_POINT('',#471436); #150501=VERTEX_POINT('',#471438); #150502=VERTEX_POINT('',#471440); #150503=VERTEX_POINT('',#471442); #150504=VERTEX_POINT('',#471444); #150505=VERTEX_POINT('',#471446); #150506=VERTEX_POINT('',#471448); #150507=VERTEX_POINT('',#471450); #150508=VERTEX_POINT('',#471452); #150509=VERTEX_POINT('',#471454); #150510=VERTEX_POINT('',#471456); #150511=VERTEX_POINT('',#471458); #150512=VERTEX_POINT('',#471460); #150513=VERTEX_POINT('',#471462); #150514=VERTEX_POINT('',#471464); #150515=VERTEX_POINT('',#471468); #150516=VERTEX_POINT('',#471470); #150517=VERTEX_POINT('',#471472); #150518=VERTEX_POINT('',#471474); #150519=VERTEX_POINT('',#471476); #150520=VERTEX_POINT('',#471478); #150521=VERTEX_POINT('',#471480); #150522=VERTEX_POINT('',#471482); #150523=VERTEX_POINT('',#471484); #150524=VERTEX_POINT('',#471486); #150525=VERTEX_POINT('',#471488); #150526=VERTEX_POINT('',#471490); #150527=VERTEX_POINT('',#471492); #150528=VERTEX_POINT('',#471494); #150529=VERTEX_POINT('',#471496); #150530=VERTEX_POINT('',#471498); #150531=VERTEX_POINT('',#471500); #150532=VERTEX_POINT('',#471502); #150533=VERTEX_POINT('',#471504); #150534=VERTEX_POINT('',#471506); #150535=VERTEX_POINT('',#471508); #150536=VERTEX_POINT('',#471510); #150537=VERTEX_POINT('',#471512); #150538=VERTEX_POINT('',#471514); #150539=VERTEX_POINT('',#471516); #150540=VERTEX_POINT('',#471518); #150541=VERTEX_POINT('',#471520); #150542=VERTEX_POINT('',#471522); #150543=VERTEX_POINT('',#471524); #150544=VERTEX_POINT('',#471526); #150545=VERTEX_POINT('',#471528); #150546=VERTEX_POINT('',#471530); #150547=VERTEX_POINT('',#471532); #150548=VERTEX_POINT('',#471535); #150549=VERTEX_POINT('',#471537); #150550=VERTEX_POINT('',#471539); #150551=VERTEX_POINT('',#471541); #150552=VERTEX_POINT('',#471544); #150553=VERTEX_POINT('',#471545); #150554=VERTEX_POINT('',#471550); #150555=VERTEX_POINT('',#471551); #150556=VERTEX_POINT('',#471554); #150557=VERTEX_POINT('',#471556); #150558=VERTEX_POINT('',#471558); #150559=VERTEX_POINT('',#471560); #150560=VERTEX_POINT('',#471562); #150561=VERTEX_POINT('',#471564); #150562=VERTEX_POINT('',#471566); #150563=VERTEX_POINT('',#471568); #150564=VERTEX_POINT('',#471570); #150565=VERTEX_POINT('',#471572); #150566=VERTEX_POINT('',#471574); #150567=VERTEX_POINT('',#471576); #150568=VERTEX_POINT('',#471578); #150569=VERTEX_POINT('',#471580); #150570=VERTEX_POINT('',#471582); #150571=VERTEX_POINT('',#471585); #150572=VERTEX_POINT('',#471586); #150573=VERTEX_POINT('',#471588); #150574=VERTEX_POINT('',#471590); #150575=VERTEX_POINT('',#471592); #150576=VERTEX_POINT('',#471594); #150577=VERTEX_POINT('',#471596); #150578=VERTEX_POINT('',#471598); #150579=VERTEX_POINT('',#471600); #150580=VERTEX_POINT('',#471602); #150581=VERTEX_POINT('',#471604); #150582=VERTEX_POINT('',#471606); #150583=VERTEX_POINT('',#471608); #150584=VERTEX_POINT('',#471610); #150585=VERTEX_POINT('',#471612); #150586=VERTEX_POINT('',#471614); #150587=VERTEX_POINT('',#471617); #150588=VERTEX_POINT('',#471619); #150589=VERTEX_POINT('',#471621); #150590=VERTEX_POINT('',#471623); #150591=VERTEX_POINT('',#471655); #150592=VERTEX_POINT('',#471656); #150593=VERTEX_POINT('',#471658); #150594=VERTEX_POINT('',#471660); #150595=VERTEX_POINT('',#471662); #150596=VERTEX_POINT('',#471664); #150597=VERTEX_POINT('',#471666); #150598=VERTEX_POINT('',#471668); #150599=VERTEX_POINT('',#471670); #150600=VERTEX_POINT('',#471672); #150601=VERTEX_POINT('',#471674); #150602=VERTEX_POINT('',#471676); #150603=VERTEX_POINT('',#471678); #150604=VERTEX_POINT('',#471680); #150605=VERTEX_POINT('',#471686); #150606=VERTEX_POINT('',#471687); #150607=VERTEX_POINT('',#471693); #150608=VERTEX_POINT('',#471695); #150609=VERTEX_POINT('',#471697); #150610=VERTEX_POINT('',#471699); #150611=VERTEX_POINT('',#471701); #150612=VERTEX_POINT('',#471703); #150613=VERTEX_POINT('',#471705); #150614=VERTEX_POINT('',#471707); #150615=VERTEX_POINT('',#471709); #150616=VERTEX_POINT('',#471729); #150617=VERTEX_POINT('',#471730); #150618=VERTEX_POINT('',#471732); #150619=VERTEX_POINT('',#471734); #150620=VERTEX_POINT('',#471736); #150621=VERTEX_POINT('',#471739); #150622=VERTEX_POINT('',#471741); #150623=VERTEX_POINT('',#471743); #150624=VERTEX_POINT('',#471745); #150625=VERTEX_POINT('',#471747); #150626=VERTEX_POINT('',#471749); #150627=VERTEX_POINT('',#471751); #150628=VERTEX_POINT('',#471753); #150629=VERTEX_POINT('',#471755); #150630=VERTEX_POINT('',#471757); #150631=VERTEX_POINT('',#471759); #150632=VERTEX_POINT('',#471761); #150633=VERTEX_POINT('',#471763); #150634=VERTEX_POINT('',#471765); #150635=VERTEX_POINT('',#471767); #150636=VERTEX_POINT('',#471769); #150637=VERTEX_POINT('',#471771); #150638=VERTEX_POINT('',#471773); #150639=VERTEX_POINT('',#471775); #150640=VERTEX_POINT('',#471777); #150641=VERTEX_POINT('',#471779); #150642=VERTEX_POINT('',#471781); #150643=VERTEX_POINT('',#471785); #150644=VERTEX_POINT('',#471787); #150645=VERTEX_POINT('',#471789); #150646=VERTEX_POINT('',#471791); #150647=VERTEX_POINT('',#471793); #150648=VERTEX_POINT('',#471795); #150649=VERTEX_POINT('',#471797); #150650=VERTEX_POINT('',#471799); #150651=VERTEX_POINT('',#471801); #150652=VERTEX_POINT('',#471803); #150653=VERTEX_POINT('',#471805); #150654=VERTEX_POINT('',#471807); #150655=VERTEX_POINT('',#471809); #150656=VERTEX_POINT('',#471811); #150657=VERTEX_POINT('',#471813); #150658=VERTEX_POINT('',#471815); #150659=VERTEX_POINT('',#471818); #150660=VERTEX_POINT('',#471820); #150661=VERTEX_POINT('',#471822); #150662=VERTEX_POINT('',#471824); #150663=VERTEX_POINT('',#471826); #150664=VERTEX_POINT('',#471828); #150665=VERTEX_POINT('',#471830); #150666=VERTEX_POINT('',#471832); #150667=VERTEX_POINT('',#471834); #150668=VERTEX_POINT('',#471836); #150669=VERTEX_POINT('',#471838); #150670=VERTEX_POINT('',#471840); #150671=VERTEX_POINT('',#471843); #150672=VERTEX_POINT('',#471845); #150673=VERTEX_POINT('',#471847); #150674=VERTEX_POINT('',#471849); #150675=VERTEX_POINT('',#471852); #150676=VERTEX_POINT('',#471853); #150677=VERTEX_POINT('',#471858); #150678=VERTEX_POINT('',#471859); #150679=VERTEX_POINT('',#471862); #150680=VERTEX_POINT('',#471864); #150681=VERTEX_POINT('',#471866); #150682=VERTEX_POINT('',#471868); #150683=VERTEX_POINT('',#471870); #150684=VERTEX_POINT('',#471872); #150685=VERTEX_POINT('',#471874); #150686=VERTEX_POINT('',#471876); #150687=VERTEX_POINT('',#471878); #150688=VERTEX_POINT('',#471880); #150689=VERTEX_POINT('',#471882); #150690=VERTEX_POINT('',#471884); #150691=VERTEX_POINT('',#471887); #150692=VERTEX_POINT('',#471890); #150693=VERTEX_POINT('',#471891); #150694=VERTEX_POINT('',#471893); #150695=VERTEX_POINT('',#471895); #150696=VERTEX_POINT('',#471897); #150697=VERTEX_POINT('',#471899); #150698=VERTEX_POINT('',#471901); #150699=VERTEX_POINT('',#471903); #150700=VERTEX_POINT('',#471905); #150701=VERTEX_POINT('',#471907); #150702=VERTEX_POINT('',#471909); #150703=VERTEX_POINT('',#471911); #150704=VERTEX_POINT('',#471913); #150705=VERTEX_POINT('',#471915); #150706=VERTEX_POINT('',#471917); #150707=VERTEX_POINT('',#471919); #150708=VERTEX_POINT('',#471922); #150709=VERTEX_POINT('',#471924); #150710=VERTEX_POINT('',#471926); #150711=VERTEX_POINT('',#471928); #150712=VERTEX_POINT('',#471981); #150713=VERTEX_POINT('',#471985); #150714=VERTEX_POINT('',#472014); #150715=VERTEX_POINT('',#472043); #150716=VERTEX_POINT('',#472079); #150717=VERTEX_POINT('',#472080); #150718=VERTEX_POINT('',#472082); #150719=VERTEX_POINT('',#472168); #150720=VERTEX_POINT('',#472203); #150721=VERTEX_POINT('',#472205); #150722=VERTEX_POINT('',#472285); #150723=VERTEX_POINT('',#472320); #150724=VERTEX_POINT('',#472322); #150725=VERTEX_POINT('',#472324); #150726=VERTEX_POINT('',#472357); #150727=VERTEX_POINT('',#472390); #150728=VERTEX_POINT('',#472394); #150729=VERTEX_POINT('',#472396); #150730=VERTEX_POINT('',#472399); #150731=VERTEX_POINT('',#472401); #150732=VERTEX_POINT('',#472432); #150733=VERTEX_POINT('',#472467); #150734=VERTEX_POINT('',#472469); #150735=VERTEX_POINT('',#472471); #150736=VERTEX_POINT('',#472502); #150737=VERTEX_POINT('',#472727); #150738=VERTEX_POINT('',#472750); #150739=VERTEX_POINT('',#472806); #150740=VERTEX_POINT('',#472895); #150741=VERTEX_POINT('',#472912); #150742=VERTEX_POINT('',#472932); #150743=VERTEX_POINT('',#472938); #150744=VERTEX_POINT('',#472943); #150745=VERTEX_POINT('',#472952); #150746=VERTEX_POINT('',#473050); #150747=VERTEX_POINT('',#473264); #150748=VERTEX_POINT('',#473271); #150749=VERTEX_POINT('',#473280); #150750=VERTEX_POINT('',#473285); #150751=VERTEX_POINT('',#473359); #150752=VERTEX_POINT('',#473366); #150753=VERTEX_POINT('',#473440); #150754=VERTEX_POINT('',#473445); #150755=VERTEX_POINT('',#473459); #150756=VERTEX_POINT('',#473482); #150757=VERTEX_POINT('',#473539); #150758=VERTEX_POINT('',#473540); #150759=VERTEX_POINT('',#473548); #150760=VERTEX_POINT('',#473550); #150761=VERTEX_POINT('',#473572); #150762=VERTEX_POINT('',#473577); #150763=VERTEX_POINT('',#473588); #150764=VERTEX_POINT('',#473593); #150765=VERTEX_POINT('',#473599); #150766=VERTEX_POINT('',#473606); #150767=VERTEX_POINT('',#473647); #150768=VERTEX_POINT('',#473700); #150769=VERTEX_POINT('',#473729); #150770=VERTEX_POINT('',#473731); #150771=VERTEX_POINT('',#473736); #150772=VERTEX_POINT('',#473741); #150773=VERTEX_POINT('',#473744); #150774=VERTEX_POINT('',#473759); #150775=VERTEX_POINT('',#473773); #150776=VERTEX_POINT('',#473788); #150777=VERTEX_POINT('',#473793); #150778=VERTEX_POINT('',#473825); #150779=VERTEX_POINT('',#473832); #150780=VERTEX_POINT('',#473845); #150781=VERTEX_POINT('',#473850); #150782=VERTEX_POINT('',#473852); #150783=VERTEX_POINT('',#473866); #150784=VERTEX_POINT('',#473874); #150785=VERTEX_POINT('',#473880); #150786=VERTEX_POINT('',#473886); #150787=VERTEX_POINT('',#473924); #150788=VERTEX_POINT('',#473968); #150789=VERTEX_POINT('',#473975); #150790=VERTEX_POINT('',#473987); #150791=VERTEX_POINT('',#473989); #150792=VERTEX_POINT('',#474002); #150793=VERTEX_POINT('',#474017); #150794=VERTEX_POINT('',#474031); #150795=VERTEX_POINT('',#474063); #150796=VERTEX_POINT('',#474072); #150797=VERTEX_POINT('',#474077); #150798=VERTEX_POINT('',#474079); #150799=VERTEX_POINT('',#474093); #150800=VERTEX_POINT('',#474110); #150801=VERTEX_POINT('',#474115); #150802=VERTEX_POINT('',#474121); #150803=VERTEX_POINT('',#474128); #150804=VERTEX_POINT('',#474169); #150805=VERTEX_POINT('',#474250); #150806=VERTEX_POINT('',#474265); #150807=VERTEX_POINT('',#474279); #150808=VERTEX_POINT('',#474293); #150809=VERTEX_POINT('',#474298); #150810=VERTEX_POINT('',#474330); #150811=VERTEX_POINT('',#474337); #150812=VERTEX_POINT('',#474350); #150813=VERTEX_POINT('',#474355); #150814=VERTEX_POINT('',#474357); #150815=VERTEX_POINT('',#474371); #150816=VERTEX_POINT('',#474388); #150817=VERTEX_POINT('',#474393); #150818=VERTEX_POINT('',#474399); #150819=VERTEX_POINT('',#474406); #150820=VERTEX_POINT('',#474447); #150821=VERTEX_POINT('',#474500); #150822=VERTEX_POINT('',#474529); #150823=VERTEX_POINT('',#474531); #150824=VERTEX_POINT('',#474546); #150825=VERTEX_POINT('',#474560); #150826=VERTEX_POINT('',#474574); #150827=VERTEX_POINT('',#474579); #150828=VERTEX_POINT('',#474611); #150829=VERTEX_POINT('',#474618); #150830=VERTEX_POINT('',#474631); #150831=VERTEX_POINT('',#474636); #150832=VERTEX_POINT('',#474638); #150833=VERTEX_POINT('',#474652); #150834=VERTEX_POINT('',#474669); #150835=VERTEX_POINT('',#474674); #150836=VERTEX_POINT('',#474680); #150837=VERTEX_POINT('',#474687); #150838=VERTEX_POINT('',#474728); #150839=VERTEX_POINT('',#474781); #150840=VERTEX_POINT('',#474810); #150841=VERTEX_POINT('',#474812); #150842=VERTEX_POINT('',#474827); #150843=VERTEX_POINT('',#474841); #150844=VERTEX_POINT('',#474856); #150845=VERTEX_POINT('',#474861); #150846=VERTEX_POINT('',#474893); #150847=VERTEX_POINT('',#474900); #150848=VERTEX_POINT('',#474913); #150849=VERTEX_POINT('',#474953); #150850=VERTEX_POINT('',#475164); #150851=VERTEX_POINT('',#475181); #150852=VERTEX_POINT('',#475190); #150853=VERTEX_POINT('',#475192); #150854=VERTEX_POINT('',#475331); #150855=VERTEX_POINT('',#475367); #150856=VERTEX_POINT('',#475404); #150857=VERTEX_POINT('',#475441); #150858=VERTEX_POINT('',#475542); #150859=VERTEX_POINT('',#475655); #150860=VERTEX_POINT('',#475793); #150861=VERTEX_POINT('',#475876); #150862=VERTEX_POINT('',#476055); #150863=VERTEX_POINT('',#476216); #150864=VERTEX_POINT('',#476383); #150865=VERTEX_POINT('',#476442); #150866=VERTEX_POINT('',#476591); #150867=VERTEX_POINT('',#476665); #150868=VERTEX_POINT('',#476734); #150869=VERTEX_POINT('',#476874); #150870=VERTEX_POINT('',#477205); #150871=VERTEX_POINT('',#477428); #150872=VERTEX_POINT('',#477485); #150873=VERTEX_POINT('',#477511); #150874=VERTEX_POINT('',#477690); #150875=VERTEX_POINT('',#477785); #150876=VERTEX_POINT('',#477787); #150877=VERTEX_POINT('',#477804); #150878=VERTEX_POINT('',#478032); #150879=VERTEX_POINT('',#478041); #150880=VERTEX_POINT('',#478043); #150881=VERTEX_POINT('',#478182); #150882=VERTEX_POINT('',#478218); #150883=VERTEX_POINT('',#478255); #150884=VERTEX_POINT('',#478292); #150885=VERTEX_POINT('',#478393); #150886=VERTEX_POINT('',#478506); #150887=VERTEX_POINT('',#478888); #150888=VERTEX_POINT('',#479101); #150889=VERTEX_POINT('',#479175); #150890=VERTEX_POINT('',#479182); #150891=VERTEX_POINT('',#479256); #150892=VERTEX_POINT('',#479261); #150893=VERTEX_POINT('',#480016); #150894=VERTEX_POINT('',#480023); #150895=VERTEX_POINT('',#480101); #150896=VERTEX_POINT('',#480280); #150897=VERTEX_POINT('',#480441); #150898=VERTEX_POINT('',#480619); #150899=VERTEX_POINT('',#480679); #150900=VERTEX_POINT('',#480828); #150901=VERTEX_POINT('',#480969); #150902=VERTEX_POINT('',#481109); #150903=VERTEX_POINT('',#481228); #150904=VERTEX_POINT('',#481245); #150905=VERTEX_POINT('',#481484); #150906=VERTEX_POINT('',#481493); #150907=VERTEX_POINT('',#481495); #150908=VERTEX_POINT('',#481637); #150909=VERTEX_POINT('',#481673); #150910=VERTEX_POINT('',#481710); #150911=VERTEX_POINT('',#481747); #150912=VERTEX_POINT('',#481848); #150913=VERTEX_POINT('',#482238); #150914=VERTEX_POINT('',#482310); #150915=VERTEX_POINT('',#482318); #150916=VERTEX_POINT('',#482325); #150917=VERTEX_POINT('',#482338); #150918=VERTEX_POINT('',#482345); #150919=VERTEX_POINT('',#482502); #150920=VERTEX_POINT('',#482695); #150921=VERTEX_POINT('',#482854); #150922=VERTEX_POINT('',#483012); #150923=VERTEX_POINT('',#483241); #150924=VERTEX_POINT('',#483399); #150925=VERTEX_POINT('',#483416); #150926=VERTEX_POINT('',#483655); #150927=VERTEX_POINT('',#483664); #150928=VERTEX_POINT('',#483666); #150929=VERTEX_POINT('',#483803); #150930=VERTEX_POINT('',#483843); #150931=VERTEX_POINT('',#483883); #150932=VERTEX_POINT('',#483955); #150933=VERTEX_POINT('',#484055); #150934=VERTEX_POINT('',#484179); #150935=VERTEX_POINT('',#484474); #150936=VERTEX_POINT('',#484704); #150937=VERTEX_POINT('',#484710); #150938=VERTEX_POINT('',#484940); #150939=VERTEX_POINT('',#485585); #150940=VERTEX_POINT('',#486398); #150941=VERTEX_POINT('',#486399); #150942=VERTEX_POINT('',#486401); #150943=VERTEX_POINT('',#486403); #150944=VERTEX_POINT('',#486405); #150945=VERTEX_POINT('',#486407); #150946=VERTEX_POINT('',#486409); #150947=VERTEX_POINT('',#486411); #150948=VERTEX_POINT('',#486423); #150949=VERTEX_POINT('',#486427); #150950=VERTEX_POINT('',#486428); #150951=VERTEX_POINT('',#486433); #150952=VERTEX_POINT('',#486434); #150953=VERTEX_POINT('',#486437); #150954=VERTEX_POINT('',#486441); #150955=VERTEX_POINT('',#486452); #150956=VERTEX_POINT('',#486454); #150957=VERTEX_POINT('',#486456); #150958=VERTEX_POINT('',#486494); #150959=VERTEX_POINT('',#486495); #150960=VERTEX_POINT('',#486497); #150961=VERTEX_POINT('',#486499); #150962=VERTEX_POINT('',#486501); #150963=VERTEX_POINT('',#486503); #150964=VERTEX_POINT('',#486505); #150965=VERTEX_POINT('',#486507); #150966=VERTEX_POINT('',#486510); #150967=VERTEX_POINT('',#486514); #150968=VERTEX_POINT('',#486516); #150969=VERTEX_POINT('',#486520); #150970=VERTEX_POINT('',#486524); #150971=VERTEX_POINT('',#486528); #150972=VERTEX_POINT('',#486532); #150973=VERTEX_POINT('',#486536); #150974=VERTEX_POINT('',#486540); #150975=VERTEX_POINT('',#486549); #150976=VERTEX_POINT('',#486555); #150977=VERTEX_POINT('',#486557); #150978=VERTEX_POINT('',#486559); #150979=VERTEX_POINT('',#486561); #150980=VERTEX_POINT('',#486563); #150981=VERTEX_POINT('',#486565); #150982=VERTEX_POINT('',#486567); #150983=VERTEX_POINT('',#486569); #150984=VERTEX_POINT('',#486571); #150985=VERTEX_POINT('',#486577); #150986=VERTEX_POINT('',#486581); #150987=VERTEX_POINT('',#486582); #150988=VERTEX_POINT('',#486584); #150989=VERTEX_POINT('',#486586); #150990=VERTEX_POINT('',#486588); #150991=VERTEX_POINT('',#486592); #150992=VERTEX_POINT('',#486594); #150993=VERTEX_POINT('',#486596); #150994=VERTEX_POINT('',#486619); #150995=VERTEX_POINT('',#486620); #150996=VERTEX_POINT('',#486622); #150997=VERTEX_POINT('',#486624); #150998=VERTEX_POINT('',#486626); #150999=VERTEX_POINT('',#486628); #151000=VERTEX_POINT('',#486630); #151001=VERTEX_POINT('',#486632); #151002=VERTEX_POINT('',#486644); #151003=VERTEX_POINT('',#486648); #151004=VERTEX_POINT('',#486649); #151005=VERTEX_POINT('',#486654); #151006=VERTEX_POINT('',#486655); #151007=VERTEX_POINT('',#486658); #151008=VERTEX_POINT('',#486662); #151009=VERTEX_POINT('',#486673); #151010=VERTEX_POINT('',#486675); #151011=VERTEX_POINT('',#486677); #151012=VERTEX_POINT('',#486724); #151013=VERTEX_POINT('',#486728); #151014=VERTEX_POINT('',#486729); #151015=VERTEX_POINT('',#486731); #151016=VERTEX_POINT('',#486733); #151017=VERTEX_POINT('',#486737); #151018=VERTEX_POINT('',#486739); #151019=VERTEX_POINT('',#486741); #151020=VERTEX_POINT('',#486743); #151021=VERTEX_POINT('',#486766); #151022=VERTEX_POINT('',#486767); #151023=VERTEX_POINT('',#486769); #151024=VERTEX_POINT('',#486771); #151025=VERTEX_POINT('',#486773); #151026=VERTEX_POINT('',#486775); #151027=VERTEX_POINT('',#486777); #151028=VERTEX_POINT('',#486779); #151029=VERTEX_POINT('',#486791); #151030=VERTEX_POINT('',#486795); #151031=VERTEX_POINT('',#486796); #151032=VERTEX_POINT('',#486801); #151033=VERTEX_POINT('',#486802); #151034=VERTEX_POINT('',#486805); #151035=VERTEX_POINT('',#486809); #151036=VERTEX_POINT('',#486820); #151037=VERTEX_POINT('',#486822); #151038=VERTEX_POINT('',#486824); #151039=VERTEX_POINT('',#486862); #151040=VERTEX_POINT('',#486863); #151041=VERTEX_POINT('',#486865); #151042=VERTEX_POINT('',#486867); #151043=VERTEX_POINT('',#486869); #151044=VERTEX_POINT('',#486871); #151045=VERTEX_POINT('',#486873); #151046=VERTEX_POINT('',#486875); #151047=VERTEX_POINT('',#486878); #151048=VERTEX_POINT('',#486882); #151049=VERTEX_POINT('',#486884); #151050=VERTEX_POINT('',#486888); #151051=VERTEX_POINT('',#486892); #151052=VERTEX_POINT('',#486896); #151053=VERTEX_POINT('',#486900); #151054=VERTEX_POINT('',#486904); #151055=VERTEX_POINT('',#486908); #151056=VERTEX_POINT('',#486917); #151057=VERTEX_POINT('',#486923); #151058=VERTEX_POINT('',#486925); #151059=VERTEX_POINT('',#486927); #151060=VERTEX_POINT('',#486929); #151061=VERTEX_POINT('',#486931); #151062=VERTEX_POINT('',#486933); #151063=VERTEX_POINT('',#486935); #151064=VERTEX_POINT('',#486937); #151065=VERTEX_POINT('',#486939); #151066=VERTEX_POINT('',#486945); #151067=VERTEX_POINT('',#486949); #151068=VERTEX_POINT('',#486950); #151069=VERTEX_POINT('',#486952); #151070=VERTEX_POINT('',#486954); #151071=VERTEX_POINT('',#486956); #151072=VERTEX_POINT('',#486960); #151073=VERTEX_POINT('',#486962); #151074=VERTEX_POINT('',#486964); #151075=VERTEX_POINT('',#486987); #151076=VERTEX_POINT('',#486988); #151077=VERTEX_POINT('',#486990); #151078=VERTEX_POINT('',#486992); #151079=VERTEX_POINT('',#486994); #151080=VERTEX_POINT('',#486996); #151081=VERTEX_POINT('',#486998); #151082=VERTEX_POINT('',#487000); #151083=VERTEX_POINT('',#487012); #151084=VERTEX_POINT('',#487016); #151085=VERTEX_POINT('',#487017); #151086=VERTEX_POINT('',#487022); #151087=VERTEX_POINT('',#487023); #151088=VERTEX_POINT('',#487026); #151089=VERTEX_POINT('',#487030); #151090=VERTEX_POINT('',#487041); #151091=VERTEX_POINT('',#487043); #151092=VERTEX_POINT('',#487045); #151093=VERTEX_POINT('',#487083); #151094=VERTEX_POINT('',#487084); #151095=VERTEX_POINT('',#487086); #151096=VERTEX_POINT('',#487088); #151097=VERTEX_POINT('',#487090); #151098=VERTEX_POINT('',#487092); #151099=VERTEX_POINT('',#487094); #151100=VERTEX_POINT('',#487096); #151101=VERTEX_POINT('',#487099); #151102=VERTEX_POINT('',#487103); #151103=VERTEX_POINT('',#487105); #151104=VERTEX_POINT('',#487109); #151105=VERTEX_POINT('',#487113); #151106=VERTEX_POINT('',#487117); #151107=VERTEX_POINT('',#487121); #151108=VERTEX_POINT('',#487125); #151109=VERTEX_POINT('',#487129); #151110=VERTEX_POINT('',#487138); #151111=VERTEX_POINT('',#487287); #151112=VERTEX_POINT('',#487288); #151113=VERTEX_POINT('',#487290); #151114=VERTEX_POINT('',#487297); #151115=VERTEX_POINT('',#487299); #151116=VERTEX_POINT('',#487312); #151117=VERTEX_POINT('',#487313); #151118=VERTEX_POINT('',#487543); #151119=VERTEX_POINT('',#487554); #151120=VERTEX_POINT('',#487556); #151121=VERTEX_POINT('',#487645); #151122=VERTEX_POINT('',#487647); #151123=VERTEX_POINT('',#487674); #151124=VERTEX_POINT('',#487719); #151125=VERTEX_POINT('',#487722); #151126=VERTEX_POINT('',#487729); #151127=VERTEX_POINT('',#487731); #151128=VERTEX_POINT('',#487769); #151129=VERTEX_POINT('',#487776); #151130=VERTEX_POINT('',#487778); #151131=VERTEX_POINT('',#487816); #151132=VERTEX_POINT('',#487823); #151133=VERTEX_POINT('',#487825); #151134=VERTEX_POINT('',#487863); #151135=VERTEX_POINT('',#487870); #151136=VERTEX_POINT('',#487872); #151137=VERTEX_POINT('',#487992); #151138=VERTEX_POINT('',#487993); #151139=VERTEX_POINT('',#488000); #151140=VERTEX_POINT('',#488007); #151141=VERTEX_POINT('',#488009); #151142=VERTEX_POINT('',#488049); #151143=VERTEX_POINT('',#488051); #151144=VERTEX_POINT('',#488321); #151145=VERTEX_POINT('',#488328); #151146=VERTEX_POINT('',#488369); #151147=VERTEX_POINT('',#488371); #151148=VERTEX_POINT('',#488373); #151149=VERTEX_POINT('',#488683); #151150=VERTEX_POINT('',#488755); #151151=VERTEX_POINT('',#488770); #151152=VERTEX_POINT('',#488799); #151153=VERTEX_POINT('',#488831); #151154=VERTEX_POINT('',#488842); #151155=VERTEX_POINT('',#489035); #151156=VERTEX_POINT('',#489036); #151157=VERTEX_POINT('',#489053); #151158=VERTEX_POINT('',#489055); #151159=VERTEX_POINT('',#489091); #151160=VERTEX_POINT('',#489093); #151161=VERTEX_POINT('',#489291); #151162=VERTEX_POINT('',#489298); #151163=VERTEX_POINT('',#489300); #151164=VERTEX_POINT('',#489477); #151165=VERTEX_POINT('',#489478); #151166=VERTEX_POINT('',#489495); #151167=VERTEX_POINT('',#489536); #151168=VERTEX_POINT('',#489538); #151169=VERTEX_POINT('',#489545); #151170=VERTEX_POINT('',#489581); #151171=VERTEX_POINT('',#489583); #151172=VERTEX_POINT('',#489781); #151173=VERTEX_POINT('',#489788); #151174=VERTEX_POINT('',#489790); #151175=VERTEX_POINT('',#489967); #151176=VERTEX_POINT('',#490008); #151177=VERTEX_POINT('',#490010); #151178=VERTEX_POINT('',#490037); #151179=VERTEX_POINT('',#490052); #151180=VERTEX_POINT('',#490124); #151181=VERTEX_POINT('',#490153); #151182=VERTEX_POINT('',#490344); #151183=VERTEX_POINT('',#490345); #151184=VERTEX_POINT('',#490362); #151185=VERTEX_POINT('',#490364); #151186=VERTEX_POINT('',#490366); #151187=VERTEX_POINT('',#490402); #151188=VERTEX_POINT('',#490404); #151189=VERTEX_POINT('',#490602); #151190=VERTEX_POINT('',#490609); #151191=VERTEX_POINT('',#490638); #151192=VERTEX_POINT('',#490695); #151193=VERTEX_POINT('',#490696); #151194=VERTEX_POINT('',#490715); #151195=VERTEX_POINT('',#491028); #151196=VERTEX_POINT('',#491030); #151197=VERTEX_POINT('',#491038); #151198=VERTEX_POINT('',#491045); #151199=VERTEX_POINT('',#491347); #151200=VERTEX_POINT('',#491451); #151201=VERTEX_POINT('',#491452); #151202=VERTEX_POINT('',#491538); #151203=VERTEX_POINT('',#491831); #151204=VERTEX_POINT('',#491837); #151205=VERTEX_POINT('',#491838); #151206=VERTEX_POINT('',#491840); #151207=VERTEX_POINT('',#491842); #151208=VERTEX_POINT('',#491846); #151209=VERTEX_POINT('',#491847); #151210=VERTEX_POINT('',#491849); #151211=VERTEX_POINT('',#491853); #151212=VERTEX_POINT('',#491854); #151213=VERTEX_POINT('',#491856); #151214=VERTEX_POINT('',#491858); #151215=VERTEX_POINT('',#491862); #151216=VERTEX_POINT('',#491863); #151217=VERTEX_POINT('',#491865); #151218=VERTEX_POINT('',#491869); #151219=VERTEX_POINT('',#491870); #151220=VERTEX_POINT('',#491874); #151221=VERTEX_POINT('',#491875); #151222=VERTEX_POINT('',#491877); #151223=VERTEX_POINT('',#491881); #151224=VERTEX_POINT('',#491883); #151225=VERTEX_POINT('',#491887); #151226=VERTEX_POINT('',#491888); #151227=VERTEX_POINT('',#491892); #151228=VERTEX_POINT('',#491894); #151229=VERTEX_POINT('',#491896); #151230=VERTEX_POINT('',#491899); #151231=VERTEX_POINT('',#491903); #151232=VERTEX_POINT('',#491904); #151233=VERTEX_POINT('',#491906); #151234=VERTEX_POINT('',#491908); #151235=VERTEX_POINT('',#491912); #151236=VERTEX_POINT('',#491913); #151237=VERTEX_POINT('',#491915); #151238=VERTEX_POINT('',#491917); #151239=VERTEX_POINT('',#491924); #151240=VERTEX_POINT('',#491926); #151241=VERTEX_POINT('',#491928); #151242=VERTEX_POINT('',#491930); #151243=VERTEX_POINT('',#491934); #151244=VERTEX_POINT('',#491936); #151245=VERTEX_POINT('',#491938); #151246=VERTEX_POINT('',#491940); #151247=VERTEX_POINT('',#491944); #151248=VERTEX_POINT('',#491946); #151249=VERTEX_POINT('',#491950); #151250=VERTEX_POINT('',#491951); #151251=VERTEX_POINT('',#491953); #151252=VERTEX_POINT('',#491955); #151253=VERTEX_POINT('',#491959); #151254=VERTEX_POINT('',#491961); #151255=VERTEX_POINT('',#491963); #151256=VERTEX_POINT('',#491965); #151257=VERTEX_POINT('',#491967); #151258=VERTEX_POINT('',#491971); #151259=VERTEX_POINT('',#491973); #151260=VERTEX_POINT('',#491975); #151261=VERTEX_POINT('',#491979); #151262=VERTEX_POINT('',#491980); #151263=VERTEX_POINT('',#491982); #151264=VERTEX_POINT('',#491984); #151265=VERTEX_POINT('',#491988); #151266=VERTEX_POINT('',#491992); #151267=VERTEX_POINT('',#491996); #151268=VERTEX_POINT('',#491997); #151269=VERTEX_POINT('',#491999); #151270=VERTEX_POINT('',#492001); #151271=VERTEX_POINT('',#492005); #151272=VERTEX_POINT('',#492008); #151273=VERTEX_POINT('',#492010); #151274=VERTEX_POINT('',#492012); #151275=VERTEX_POINT('',#492016); #151276=VERTEX_POINT('',#492017); #151277=VERTEX_POINT('',#492019); #151278=VERTEX_POINT('',#492021); #151279=VERTEX_POINT('',#492025); #151280=VERTEX_POINT('',#492027); #151281=VERTEX_POINT('',#492029); #151282=VERTEX_POINT('',#492031); #151283=VERTEX_POINT('',#492035); #151284=VERTEX_POINT('',#492036); #151285=VERTEX_POINT('',#492038); #151286=VERTEX_POINT('',#492040); #151287=VERTEX_POINT('',#492044); #151288=VERTEX_POINT('',#492046); #151289=VERTEX_POINT('',#492048); #151290=VERTEX_POINT('',#492050); #151291=VERTEX_POINT('',#492052); #151292=VERTEX_POINT('',#492054); #151293=VERTEX_POINT('',#492058); #151294=VERTEX_POINT('',#492059); #151295=VERTEX_POINT('',#492061); #151296=VERTEX_POINT('',#492063); #151297=VERTEX_POINT('',#492067); #151298=VERTEX_POINT('',#492069); #151299=VERTEX_POINT('',#492074); #151300=VERTEX_POINT('',#492075); #151301=VERTEX_POINT('',#492077); #151302=VERTEX_POINT('',#492079); #151303=VERTEX_POINT('',#492083); #151304=VERTEX_POINT('',#492085); #151305=VERTEX_POINT('',#492087); #151306=VERTEX_POINT('',#492089); #151307=VERTEX_POINT('',#492091); #151308=VERTEX_POINT('',#492095); #151309=VERTEX_POINT('',#492096); #151310=VERTEX_POINT('',#492098); #151311=VERTEX_POINT('',#492100); #151312=VERTEX_POINT('',#492104); #151313=VERTEX_POINT('',#492106); #151314=VERTEX_POINT('',#492108); #151315=VERTEX_POINT('',#492110); #151316=VERTEX_POINT('',#492112); #151317=VERTEX_POINT('',#492114); #151318=VERTEX_POINT('',#492118); #151319=VERTEX_POINT('',#492120); #151320=VERTEX_POINT('',#492124); #151321=VERTEX_POINT('',#492126); #151322=VERTEX_POINT('',#492130); #151323=VERTEX_POINT('',#492132); #151324=VERTEX_POINT('',#492134); #151325=VERTEX_POINT('',#492137); #151326=VERTEX_POINT('',#492144); #151327=VERTEX_POINT('',#492146); #151328=VERTEX_POINT('',#492150); #151329=VERTEX_POINT('',#492154); #151330=VERTEX_POINT('',#492156); #151331=VERTEX_POINT('',#492160); #151332=VERTEX_POINT('',#492162); #151333=VERTEX_POINT('',#492164); #151334=VERTEX_POINT('',#492166); #151335=VERTEX_POINT('',#492168); #151336=VERTEX_POINT('',#492178); #151337=VERTEX_POINT('',#492183); #151338=VERTEX_POINT('',#492187); #151339=VERTEX_POINT('',#492189); #151340=VERTEX_POINT('',#492193); #151341=VERTEX_POINT('',#492195); #151342=VERTEX_POINT('',#492199); #151343=VERTEX_POINT('',#492201); #151344=VERTEX_POINT('',#492205); #151345=VERTEX_POINT('',#492207); #151346=VERTEX_POINT('',#492211); #151347=VERTEX_POINT('',#492214); #151348=VERTEX_POINT('',#492218); #151349=VERTEX_POINT('',#492220); #151350=VERTEX_POINT('',#492224); #151351=VERTEX_POINT('',#492226); #151352=VERTEX_POINT('',#492228); #151353=VERTEX_POINT('',#492230); #151354=VERTEX_POINT('',#492243); #151355=VERTEX_POINT('',#492247); #151356=VERTEX_POINT('',#492252); #151357=VERTEX_POINT('',#492256); #151358=VERTEX_POINT('',#492261); #151359=VERTEX_POINT('',#492262); #151360=VERTEX_POINT('',#492264); #151361=VERTEX_POINT('',#492266); #151362=VERTEX_POINT('',#492270); #151363=VERTEX_POINT('',#492272); #151364=VERTEX_POINT('',#492274); #151365=VERTEX_POINT('',#492276); #151366=VERTEX_POINT('',#492280); #151367=VERTEX_POINT('',#492285); #151368=VERTEX_POINT('',#492293); #151369=VERTEX_POINT('',#492296); #151370=VERTEX_POINT('',#492298); #151371=VERTEX_POINT('',#492302); #151372=VERTEX_POINT('',#492304); #151373=VERTEX_POINT('',#492308); #151374=VERTEX_POINT('',#492309); #151375=VERTEX_POINT('',#492314); #151376=VERTEX_POINT('',#492316); #151377=VERTEX_POINT('',#492318); #151378=VERTEX_POINT('',#492322); #151379=VERTEX_POINT('',#492327); #151380=VERTEX_POINT('',#492335); #151381=VERTEX_POINT('',#492339); #151382=VERTEX_POINT('',#492351); #151383=VERTEX_POINT('',#492355); #151384=VERTEX_POINT('',#492356); #151385=VERTEX_POINT('',#492359); #151386=VERTEX_POINT('',#492364); #151387=VERTEX_POINT('',#492376); #151388=VERTEX_POINT('',#492380); #151389=VERTEX_POINT('',#492381); #151390=VERTEX_POINT('',#492383); #151391=VERTEX_POINT('',#492385); #151392=VERTEX_POINT('',#492387); #151393=VERTEX_POINT('',#492391); #151394=VERTEX_POINT('',#492392); #151395=VERTEX_POINT('',#492394); #151396=VERTEX_POINT('',#492396); #151397=VERTEX_POINT('',#492401); #151398=VERTEX_POINT('',#492408); #151399=VERTEX_POINT('',#492410); #151400=VERTEX_POINT('',#492415); #151401=VERTEX_POINT('',#492417); #151402=VERTEX_POINT('',#492424); #151403=VERTEX_POINT('',#492426); #151404=VERTEX_POINT('',#492435); #151405=VERTEX_POINT('',#492439); #151406=VERTEX_POINT('',#492447); #151407=VERTEX_POINT('',#492453); #151408=VERTEX_POINT('',#492459); #151409=VERTEX_POINT('',#492460); #151410=VERTEX_POINT('',#492462); #151411=VERTEX_POINT('',#492464); #151412=VERTEX_POINT('',#492468); #151413=VERTEX_POINT('',#492470); #151414=VERTEX_POINT('',#492474); #151415=VERTEX_POINT('',#492476); #151416=VERTEX_POINT('',#492480); #151417=VERTEX_POINT('',#492482); #151418=VERTEX_POINT('',#492484); #151419=VERTEX_POINT('',#492486); #151420=VERTEX_POINT('',#492490); #151421=VERTEX_POINT('',#492491); #151422=VERTEX_POINT('',#492493); #151423=VERTEX_POINT('',#492495); #151424=VERTEX_POINT('',#492497); #151425=VERTEX_POINT('',#492499); #151426=VERTEX_POINT('',#492501); #151427=VERTEX_POINT('',#492503); #151428=VERTEX_POINT('',#492505); #151429=VERTEX_POINT('',#492507); #151430=VERTEX_POINT('',#492511); #151431=VERTEX_POINT('',#492513); #151432=VERTEX_POINT('',#492515); #151433=VERTEX_POINT('',#492517); #151434=VERTEX_POINT('',#492522); #151435=VERTEX_POINT('',#492523); #151436=VERTEX_POINT('',#492528); #151437=VERTEX_POINT('',#492532); #151438=VERTEX_POINT('',#492534); #151439=VERTEX_POINT('',#492538); #151440=VERTEX_POINT('',#492542); #151441=VERTEX_POINT('',#492543); #151442=VERTEX_POINT('',#492545); #151443=VERTEX_POINT('',#492548); #151444=VERTEX_POINT('',#492553); #151445=VERTEX_POINT('',#492555); #151446=VERTEX_POINT('',#492557); #151447=VERTEX_POINT('',#492559); #151448=VERTEX_POINT('',#492582); #151449=VERTEX_POINT('',#492583); #151450=VERTEX_POINT('',#492585); #151451=VERTEX_POINT('',#492587); #151452=VERTEX_POINT('',#492591); #151453=VERTEX_POINT('',#492593); #151454=VERTEX_POINT('',#492597); #151455=VERTEX_POINT('',#492599); #151456=VERTEX_POINT('',#492601); #151457=VERTEX_POINT('',#492603); #151458=VERTEX_POINT('',#492605); #151459=VERTEX_POINT('',#492607); #151460=VERTEX_POINT('',#492609); #151461=VERTEX_POINT('',#492611); #151462=VERTEX_POINT('',#492613); #151463=VERTEX_POINT('',#492615); #151464=VERTEX_POINT('',#492617); #151465=VERTEX_POINT('',#492621); #151466=VERTEX_POINT('',#492622); #151467=VERTEX_POINT('',#492627); #151468=VERTEX_POINT('',#492631); #151469=VERTEX_POINT('',#492633); #151470=VERTEX_POINT('',#492641); #151471=VERTEX_POINT('',#492645); #151472=VERTEX_POINT('',#492649); #151473=VERTEX_POINT('',#492653); #151474=VERTEX_POINT('',#492657); #151475=VERTEX_POINT('',#492661); #151476=VERTEX_POINT('',#492668); #151477=VERTEX_POINT('',#492669); #151478=VERTEX_POINT('',#492671); #151479=VERTEX_POINT('',#492673); #151480=VERTEX_POINT('',#492675); #151481=VERTEX_POINT('',#492681); #151482=VERTEX_POINT('',#492683); #151483=VERTEX_POINT('',#492685); #151484=VERTEX_POINT('',#492689); #151485=VERTEX_POINT('',#492693); #151486=VERTEX_POINT('',#492697); #151487=VERTEX_POINT('',#492701); #151488=VERTEX_POINT('',#492705); #151489=VERTEX_POINT('',#492709); #151490=VERTEX_POINT('',#492713); #151491=VERTEX_POINT('',#492717); #151492=VERTEX_POINT('',#492721); #151493=VERTEX_POINT('',#492725); #151494=VERTEX_POINT('',#492729); #151495=VERTEX_POINT('',#492737); #151496=VERTEX_POINT('',#492739); #151497=VERTEX_POINT('',#492741); #151498=VERTEX_POINT('',#492745); #151499=VERTEX_POINT('',#492747); #151500=VERTEX_POINT('',#492751); #151501=VERTEX_POINT('',#492753); #151502=VERTEX_POINT('',#492757); #151503=VERTEX_POINT('',#492759); #151504=VERTEX_POINT('',#492763); #151505=VERTEX_POINT('',#492765); #151506=VERTEX_POINT('',#492769); #151507=VERTEX_POINT('',#492771); #151508=VERTEX_POINT('',#492775); #151509=VERTEX_POINT('',#492777); #151510=VERTEX_POINT('',#492781); #151511=VERTEX_POINT('',#492783); #151512=VERTEX_POINT('',#492787); #151513=VERTEX_POINT('',#492789); #151514=VERTEX_POINT('',#492793); #151515=VERTEX_POINT('',#492795); #151516=VERTEX_POINT('',#492799); #151517=VERTEX_POINT('',#492801); #151518=VERTEX_POINT('',#492805); #151519=VERTEX_POINT('',#492809); #151520=VERTEX_POINT('',#492815); #151521=VERTEX_POINT('',#492821); #151522=VERTEX_POINT('',#492825); #151523=VERTEX_POINT('',#492831); #151524=VERTEX_POINT('',#492837); #151525=VERTEX_POINT('',#492843); #151526=VERTEX_POINT('',#492849); #151527=VERTEX_POINT('',#492855); #151528=VERTEX_POINT('',#492861); #151529=VERTEX_POINT('',#492867); #151530=VERTEX_POINT('',#492873); #151531=VERTEX_POINT('',#492879); #151532=VERTEX_POINT('',#492885); #151533=VERTEX_POINT('',#492889); #151534=VERTEX_POINT('',#492890); #151535=VERTEX_POINT('',#492899); #151536=VERTEX_POINT('',#492901); #151537=VERTEX_POINT('',#492905); #151538=VERTEX_POINT('',#492907); #151539=VERTEX_POINT('',#492911); #151540=VERTEX_POINT('',#492912); #151541=VERTEX_POINT('',#492917); #151542=VERTEX_POINT('',#492919); #151543=VERTEX_POINT('',#492923); #151544=VERTEX_POINT('',#492924); #151545=VERTEX_POINT('',#492929); #151546=VERTEX_POINT('',#492931); #151547=VERTEX_POINT('',#492935); #151548=VERTEX_POINT('',#492936); #151549=VERTEX_POINT('',#492948); #151550=VERTEX_POINT('',#492950); #151551=VERTEX_POINT('',#492952); #151552=VERTEX_POINT('',#492954); #151553=VERTEX_POINT('',#492956); #151554=VERTEX_POINT('',#492958); #151555=VERTEX_POINT('',#492960); #151556=VERTEX_POINT('',#492962); #151557=VERTEX_POINT('',#492966); #151558=VERTEX_POINT('',#492975); #151559=VERTEX_POINT('',#492979); #151560=VERTEX_POINT('',#492983); #151561=VERTEX_POINT('',#492987); #151562=VERTEX_POINT('',#492991); #151563=VERTEX_POINT('',#492995); #151564=VERTEX_POINT('',#492999); #151565=VERTEX_POINT('',#493010); #151566=VERTEX_POINT('',#493011); #151567=VERTEX_POINT('',#493020); #151568=VERTEX_POINT('',#493022); #151569=VERTEX_POINT('',#493026); #151570=VERTEX_POINT('',#493028); #151571=VERTEX_POINT('',#493032); #151572=VERTEX_POINT('',#493033); #151573=VERTEX_POINT('',#493038); #151574=VERTEX_POINT('',#493040); #151575=VERTEX_POINT('',#493044); #151576=VERTEX_POINT('',#493045); #151577=VERTEX_POINT('',#493050); #151578=VERTEX_POINT('',#493052); #151579=VERTEX_POINT('',#493056); #151580=VERTEX_POINT('',#493057); #151581=VERTEX_POINT('',#493069); #151582=VERTEX_POINT('',#493071); #151583=VERTEX_POINT('',#493073); #151584=VERTEX_POINT('',#493075); #151585=VERTEX_POINT('',#493077); #151586=VERTEX_POINT('',#493079); #151587=VERTEX_POINT('',#493081); #151588=VERTEX_POINT('',#493083); #151589=VERTEX_POINT('',#493087); #151590=VERTEX_POINT('',#493096); #151591=VERTEX_POINT('',#493100); #151592=VERTEX_POINT('',#493104); #151593=VERTEX_POINT('',#493108); #151594=VERTEX_POINT('',#493112); #151595=VERTEX_POINT('',#493116); #151596=VERTEX_POINT('',#493120); #151597=VERTEX_POINT('',#493131); #151598=VERTEX_POINT('',#493132); #151599=VERTEX_POINT('',#493141); #151600=VERTEX_POINT('',#493143); #151601=VERTEX_POINT('',#493147); #151602=VERTEX_POINT('',#493149); #151603=VERTEX_POINT('',#493153); #151604=VERTEX_POINT('',#493154); #151605=VERTEX_POINT('',#493159); #151606=VERTEX_POINT('',#493161); #151607=VERTEX_POINT('',#493165); #151608=VERTEX_POINT('',#493166); #151609=VERTEX_POINT('',#493171); #151610=VERTEX_POINT('',#493173); #151611=VERTEX_POINT('',#493177); #151612=VERTEX_POINT('',#493178); #151613=VERTEX_POINT('',#493190); #151614=VERTEX_POINT('',#493192); #151615=VERTEX_POINT('',#493194); #151616=VERTEX_POINT('',#493196); #151617=VERTEX_POINT('',#493198); #151618=VERTEX_POINT('',#493200); #151619=VERTEX_POINT('',#493202); #151620=VERTEX_POINT('',#493204); #151621=VERTEX_POINT('',#493208); #151622=VERTEX_POINT('',#493217); #151623=VERTEX_POINT('',#493221); #151624=VERTEX_POINT('',#493225); #151625=VERTEX_POINT('',#493229); #151626=VERTEX_POINT('',#493233); #151627=VERTEX_POINT('',#493237); #151628=VERTEX_POINT('',#493241); #151629=VERTEX_POINT('',#493252); #151630=VERTEX_POINT('',#493253); #151631=VERTEX_POINT('',#493262); #151632=VERTEX_POINT('',#493264); #151633=VERTEX_POINT('',#493268); #151634=VERTEX_POINT('',#493270); #151635=VERTEX_POINT('',#493274); #151636=VERTEX_POINT('',#493275); #151637=VERTEX_POINT('',#493280); #151638=VERTEX_POINT('',#493282); #151639=VERTEX_POINT('',#493286); #151640=VERTEX_POINT('',#493287); #151641=VERTEX_POINT('',#493292); #151642=VERTEX_POINT('',#493294); #151643=VERTEX_POINT('',#493298); #151644=VERTEX_POINT('',#493299); #151645=VERTEX_POINT('',#493311); #151646=VERTEX_POINT('',#493313); #151647=VERTEX_POINT('',#493315); #151648=VERTEX_POINT('',#493317); #151649=VERTEX_POINT('',#493319); #151650=VERTEX_POINT('',#493321); #151651=VERTEX_POINT('',#493323); #151652=VERTEX_POINT('',#493325); #151653=VERTEX_POINT('',#493329); #151654=VERTEX_POINT('',#493338); #151655=VERTEX_POINT('',#493342); #151656=VERTEX_POINT('',#493346); #151657=VERTEX_POINT('',#493350); #151658=VERTEX_POINT('',#493354); #151659=VERTEX_POINT('',#493358); #151660=VERTEX_POINT('',#493362); #151661=VERTEX_POINT('',#493433); #151662=VERTEX_POINT('',#493434); #151663=VERTEX_POINT('',#493450); #151664=VERTEX_POINT('',#493452); #151665=VERTEX_POINT('',#493454); #151666=VERTEX_POINT('',#493458); #151667=VERTEX_POINT('',#493465); #151668=VERTEX_POINT('',#493474); #151669=VERTEX_POINT('',#493475); #151670=VERTEX_POINT('',#493484); #151671=VERTEX_POINT('',#493493); #151672=VERTEX_POINT('',#493495); #151673=VERTEX_POINT('',#493505); #151674=VERTEX_POINT('',#493507); #151675=VERTEX_POINT('',#493517); #151676=VERTEX_POINT('',#493519); #151677=VERTEX_POINT('',#493533); #151678=VERTEX_POINT('',#493544); #151679=VERTEX_POINT('',#493546); #151680=VERTEX_POINT('',#493556); #151681=VERTEX_POINT('',#493558); #151682=VERTEX_POINT('',#493573); #151683=VERTEX_POINT('',#493584); #151684=VERTEX_POINT('',#493586); #151685=VERTEX_POINT('',#493595); #151686=VERTEX_POINT('',#493597); #151687=VERTEX_POINT('',#493612); #151688=VERTEX_POINT('',#493624); #151689=VERTEX_POINT('',#493626); #151690=VERTEX_POINT('',#493638); #151691=VERTEX_POINT('',#493640); #151692=VERTEX_POINT('',#493654); #151693=VERTEX_POINT('',#493665); #151694=VERTEX_POINT('',#493667); #151695=VERTEX_POINT('',#493677); #151696=VERTEX_POINT('',#493679); #151697=VERTEX_POINT('',#493695); #151698=VERTEX_POINT('',#493706); #151699=VERTEX_POINT('',#493708); #151700=VERTEX_POINT('',#493720); #151701=VERTEX_POINT('',#493722); #151702=VERTEX_POINT('',#493738); #151703=VERTEX_POINT('',#493749); #151704=VERTEX_POINT('',#493751); #151705=VERTEX_POINT('',#493760); #151706=VERTEX_POINT('',#493762); #151707=VERTEX_POINT('',#493776); #151708=VERTEX_POINT('',#493790); #151709=VERTEX_POINT('',#493792); #151710=VERTEX_POINT('',#493804); #151711=VERTEX_POINT('',#493806); #151712=VERTEX_POINT('',#493821); #151713=VERTEX_POINT('',#493831); #151714=VERTEX_POINT('',#493833); #151715=VERTEX_POINT('',#493845); #151716=VERTEX_POINT('',#493847); #151717=VERTEX_POINT('',#493856); #151718=VERTEX_POINT('',#493858); #151719=VERTEX_POINT('',#493861); #151720=VERTEX_POINT('',#493864); #151721=VERTEX_POINT('',#493874); #151722=VERTEX_POINT('',#493884); #151723=VERTEX_POINT('',#493886); #151724=VERTEX_POINT('',#493898); #151725=VERTEX_POINT('',#493900); #151726=VERTEX_POINT('',#493917); #151727=VERTEX_POINT('',#493927); #151728=VERTEX_POINT('',#493929); #151729=VERTEX_POINT('',#493941); #151730=VERTEX_POINT('',#493943); #151731=VERTEX_POINT('',#493958); #151732=VERTEX_POINT('',#493969); #151733=VERTEX_POINT('',#493971); #151734=VERTEX_POINT('',#493981); #151735=VERTEX_POINT('',#493983); #151736=VERTEX_POINT('',#493998); #151737=VERTEX_POINT('',#494009); #151738=VERTEX_POINT('',#494011); #151739=VERTEX_POINT('',#494021); #151740=VERTEX_POINT('',#494023); #151741=VERTEX_POINT('',#494037); #151742=VERTEX_POINT('',#494048); #151743=VERTEX_POINT('',#494050); #151744=VERTEX_POINT('',#494060); #151745=VERTEX_POINT('',#494062); #151746=VERTEX_POINT('',#494076); #151747=VERTEX_POINT('',#494087); #151748=VERTEX_POINT('',#494089); #151749=VERTEX_POINT('',#494099); #151750=VERTEX_POINT('',#494101); #151751=VERTEX_POINT('',#494116); #151752=VERTEX_POINT('',#494129); #151753=VERTEX_POINT('',#494141); #151754=VERTEX_POINT('',#494152); #151755=VERTEX_POINT('',#494156); #151756=VERTEX_POINT('',#494157); #151757=VERTEX_POINT('',#494161); #151758=VERTEX_POINT('',#494163); #151759=VERTEX_POINT('',#494167); #151760=VERTEX_POINT('',#494172); #151761=VERTEX_POINT('',#494174); #151762=VERTEX_POINT('',#494176); #151763=VERTEX_POINT('',#494178); #151764=VERTEX_POINT('',#494182); #151765=VERTEX_POINT('',#494197); #151766=VERTEX_POINT('',#494212); #151767=VERTEX_POINT('',#494214); #151768=VERTEX_POINT('',#494216); #151769=VERTEX_POINT('',#494218); #151770=VERTEX_POINT('',#494220); #151771=VERTEX_POINT('',#494222); #151772=VERTEX_POINT('',#494226); #151773=VERTEX_POINT('',#494230); #151774=VERTEX_POINT('',#494232); #151775=VERTEX_POINT('',#494236); #151776=VERTEX_POINT('',#494242); #151777=VERTEX_POINT('',#494247); #151778=VERTEX_POINT('',#494249); #151779=VERTEX_POINT('',#494253); #151780=VERTEX_POINT('',#494255); #151781=VERTEX_POINT('',#494259); #151782=VERTEX_POINT('',#494262); #151783=VERTEX_POINT('',#494264); #151784=VERTEX_POINT('',#494268); #151785=VERTEX_POINT('',#494271); #151786=VERTEX_POINT('',#494273); #151787=VERTEX_POINT('',#494277); #151788=VERTEX_POINT('',#494280); #151789=VERTEX_POINT('',#494282); #151790=VERTEX_POINT('',#494286); #151791=VERTEX_POINT('',#494289); #151792=VERTEX_POINT('',#494294); #151793=VERTEX_POINT('',#494299); #151794=VERTEX_POINT('',#494304); #151795=VERTEX_POINT('',#494309); #151796=VERTEX_POINT('',#494314); #151797=VERTEX_POINT('',#494316); #151798=VERTEX_POINT('',#494371); #151799=VERTEX_POINT('',#494387); #151800=VERTEX_POINT('',#494461); #151801=VERTEX_POINT('',#494463); #151802=VERTEX_POINT('',#494482); #151803=VERTEX_POINT('',#494486); #151804=VERTEX_POINT('',#494488); #151805=VERTEX_POINT('',#494547); #151806=VERTEX_POINT('',#494548); #151807=VERTEX_POINT('',#494579); #151808=VERTEX_POINT('',#494666); #151809=VERTEX_POINT('',#494668); #151810=VERTEX_POINT('',#494680); #151811=VERTEX_POINT('',#494682); #151812=VERTEX_POINT('',#494692); #151813=VERTEX_POINT('',#494695); #151814=VERTEX_POINT('',#494706); #151815=VERTEX_POINT('',#494716); #151816=VERTEX_POINT('',#494717); #151817=VERTEX_POINT('',#494719); #151818=VERTEX_POINT('',#494736); #151819=VERTEX_POINT('',#494751); #151820=VERTEX_POINT('',#494752); #151821=VERTEX_POINT('',#494754); #151822=VERTEX_POINT('',#494769); #151823=VERTEX_POINT('',#494784); #151824=VERTEX_POINT('',#494785); #151825=VERTEX_POINT('',#494787); #151826=VERTEX_POINT('',#494803); #151827=VERTEX_POINT('',#494818); #151828=VERTEX_POINT('',#494819); #151829=VERTEX_POINT('',#494821); #151830=VERTEX_POINT('',#494836); #151831=VERTEX_POINT('',#494853); #151832=VERTEX_POINT('',#494854); #151833=VERTEX_POINT('',#494856); #151834=VERTEX_POINT('',#494872); #151835=VERTEX_POINT('',#494887); #151836=VERTEX_POINT('',#494888); #151837=VERTEX_POINT('',#494890); #151838=VERTEX_POINT('',#494905); #151839=VERTEX_POINT('',#494923); #151840=VERTEX_POINT('',#494924); #151841=VERTEX_POINT('',#494926); #151842=VERTEX_POINT('',#494941); #151843=VERTEX_POINT('',#494957); #151844=VERTEX_POINT('',#494958); #151845=VERTEX_POINT('',#494960); #151846=VERTEX_POINT('',#494977); #151847=VERTEX_POINT('',#494995); #151848=VERTEX_POINT('',#494996); #151849=VERTEX_POINT('',#494998); #151850=VERTEX_POINT('',#495014); #151851=VERTEX_POINT('',#495031); #151852=VERTEX_POINT('',#495032); #151853=VERTEX_POINT('',#495034); #151854=VERTEX_POINT('',#495048); #151855=VERTEX_POINT('',#495066); #151856=VERTEX_POINT('',#495067); #151857=VERTEX_POINT('',#495069); #151858=VERTEX_POINT('',#495084); #151859=VERTEX_POINT('',#495100); #151860=VERTEX_POINT('',#495101); #151861=VERTEX_POINT('',#495103); #151862=VERTEX_POINT('',#495119); #151863=VERTEX_POINT('',#495135); #151864=VERTEX_POINT('',#495136); #151865=VERTEX_POINT('',#495138); #151866=VERTEX_POINT('',#495154); #151867=VERTEX_POINT('',#495172); #151868=VERTEX_POINT('',#495173); #151869=VERTEX_POINT('',#495175); #151870=VERTEX_POINT('',#495188); #151871=VERTEX_POINT('',#495205); #151872=VERTEX_POINT('',#495207); #151873=VERTEX_POINT('',#495266); #151874=VERTEX_POINT('',#495283); #151875=VERTEX_POINT('',#495362); #151876=VERTEX_POINT('',#495364); #151877=VERTEX_POINT('',#495380); #151878=VERTEX_POINT('',#495450); #151879=VERTEX_POINT('',#495527); #151880=VERTEX_POINT('',#495529); #151881=VERTEX_POINT('',#495588); #151882=VERTEX_POINT('',#495605); #151883=VERTEX_POINT('',#495689); #151884=VERTEX_POINT('',#495691); #151885=VERTEX_POINT('',#495707); #151886=VERTEX_POINT('',#495761); #151887=VERTEX_POINT('',#495834); #151888=VERTEX_POINT('',#495836); #151889=VERTEX_POINT('',#495891); #151890=VERTEX_POINT('',#495907); #151891=VERTEX_POINT('',#495981); #151892=VERTEX_POINT('',#495983); #151893=VERTEX_POINT('',#495999); #151894=VERTEX_POINT('',#496061); #151895=VERTEX_POINT('',#496136); #151896=VERTEX_POINT('',#496138); #151897=VERTEX_POINT('',#496197); #151898=VERTEX_POINT('',#496214); #151899=VERTEX_POINT('',#496288); #151900=VERTEX_POINT('',#496290); #151901=VERTEX_POINT('',#496309); #151902=VERTEX_POINT('',#496375); #151903=VERTEX_POINT('',#496463); #151904=VERTEX_POINT('',#496465); #151905=VERTEX_POINT('',#496524); #151906=VERTEX_POINT('',#496541); #151907=VERTEX_POINT('',#496615); #151908=VERTEX_POINT('',#496617); #151909=VERTEX_POINT('',#496633); #151910=VERTEX_POINT('',#496699); #151911=VERTEX_POINT('',#496775); #151912=VERTEX_POINT('',#496777); #151913=VERTEX_POINT('',#496832); #151914=VERTEX_POINT('',#496848); #151915=VERTEX_POINT('',#496932); #151916=VERTEX_POINT('',#496934); #151917=VERTEX_POINT('',#496951); #151918=VERTEX_POINT('',#497017); #151919=VERTEX_POINT('',#497097); #151920=VERTEX_POINT('',#497099); #151921=VERTEX_POINT('',#497162); #151922=VERTEX_POINT('',#497180); #151923=VERTEX_POINT('',#497254); #151924=VERTEX_POINT('',#497256); #151925=VERTEX_POINT('',#497274); #151926=VERTEX_POINT('',#497336); #151927=VERTEX_POINT('',#497419); #151928=VERTEX_POINT('',#497421); #151929=VERTEX_POINT('',#497484); #151930=VERTEX_POINT('',#497502); #151931=VERTEX_POINT('',#497586); #151932=VERTEX_POINT('',#497588); #151933=VERTEX_POINT('',#497605); #151934=VERTEX_POINT('',#497667); #151935=VERTEX_POINT('',#497746); #151936=VERTEX_POINT('',#497748); #151937=VERTEX_POINT('',#497803); #151938=VERTEX_POINT('',#497819); #151939=VERTEX_POINT('',#497903); #151940=VERTEX_POINT('',#497905); #151941=VERTEX_POINT('',#497921); #151942=VERTEX_POINT('',#497987); #151943=VERTEX_POINT('',#498063); #151944=VERTEX_POINT('',#498065); #151945=VERTEX_POINT('',#498124); #151946=VERTEX_POINT('',#498141); #151947=VERTEX_POINT('',#498220); #151948=VERTEX_POINT('',#498222); #151949=VERTEX_POINT('',#498241); #151950=VERTEX_POINT('',#498299); #151951=VERTEX_POINT('',#498385); #151952=VERTEX_POINT('',#498387); #151953=VERTEX_POINT('',#498442); #151954=VERTEX_POINT('',#498458); #151955=VERTEX_POINT('',#498537); #151956=VERTEX_POINT('',#498539); #151957=VERTEX_POINT('',#498557); #151958=VERTEX_POINT('',#498623); #151959=VERTEX_POINT('',#498707); #151960=VERTEX_POINT('',#498709); #151961=VERTEX_POINT('',#498776); #151962=VERTEX_POINT('',#498795); #151963=VERTEX_POINT('',#498874); #151964=VERTEX_POINT('',#498876); #151965=VERTEX_POINT('',#498894); #151966=VERTEX_POINT('',#498960); #151967=VERTEX_POINT('',#499044); #151968=VERTEX_POINT('',#499046); #151969=VERTEX_POINT('',#499105); #151970=VERTEX_POINT('',#499122); #151971=VERTEX_POINT('',#499206); #151972=VERTEX_POINT('',#499208); #151973=VERTEX_POINT('',#499227); #151974=VERTEX_POINT('',#499289); #151975=VERTEX_POINT('',#499376); #151976=VERTEX_POINT('',#499378); #151977=VERTEX_POINT('',#499437); #151978=VERTEX_POINT('',#499454); #151979=VERTEX_POINT('',#499528); #151980=VERTEX_POINT('',#499530); #151981=VERTEX_POINT('',#499549); #151982=VERTEX_POINT('',#499619); #151983=VERTEX_POINT('',#499708); #151984=VERTEX_POINT('',#499710); #151985=VERTEX_POINT('',#499765); #151986=VERTEX_POINT('',#499781); #151987=VERTEX_POINT('',#499855); #151988=VERTEX_POINT('',#499857); #151989=VERTEX_POINT('',#499874); #151990=VERTEX_POINT('',#499936); #151991=VERTEX_POINT('',#500018); #151992=VERTEX_POINT('',#500019); #151993=VERTEX_POINT('',#500024); #151994=VERTEX_POINT('',#500025); #151995=VERTEX_POINT('',#500030); #151996=VERTEX_POINT('',#500038); #151997=VERTEX_POINT('',#500042); #151998=VERTEX_POINT('',#500044); #151999=VERTEX_POINT('',#500050); #152000=VERTEX_POINT('',#500052); #152001=VERTEX_POINT('',#500058); #152002=VERTEX_POINT('',#500065); #152003=VERTEX_POINT('',#500067); #152004=VERTEX_POINT('',#500071); #152005=VERTEX_POINT('',#500075); #152006=VERTEX_POINT('',#500077); #152007=VERTEX_POINT('',#500079); #152008=VERTEX_POINT('',#500081); #152009=VERTEX_POINT('',#500083); #152010=VERTEX_POINT('',#500086); #152011=VERTEX_POINT('',#500089); #152012=VERTEX_POINT('',#500091); #152013=VERTEX_POINT('',#500095); #152014=VERTEX_POINT('',#500097); #152015=VERTEX_POINT('',#500101); #152016=VERTEX_POINT('',#500103); #152017=VERTEX_POINT('',#500107); #152018=VERTEX_POINT('',#500109); #152019=VERTEX_POINT('',#500113); #152020=VERTEX_POINT('',#500118); #152021=VERTEX_POINT('',#500123); #152022=VERTEX_POINT('',#500128); #152023=VERTEX_POINT('',#500133); #152024=VERTEX_POINT('',#500137); #152025=VERTEX_POINT('',#500142); #152026=VERTEX_POINT('',#500143); #152027=VERTEX_POINT('',#500145); #152028=VERTEX_POINT('',#500147); #152029=VERTEX_POINT('',#500151); #152030=VERTEX_POINT('',#500153); #152031=VERTEX_POINT('',#500155); #152032=VERTEX_POINT('',#500157); #152033=VERTEX_POINT('',#500159); #152034=VERTEX_POINT('',#500161); #152035=VERTEX_POINT('',#500163); #152036=VERTEX_POINT('',#500165); #152037=VERTEX_POINT('',#500167); #152038=VERTEX_POINT('',#500169); #152039=VERTEX_POINT('',#500171); #152040=VERTEX_POINT('',#500173); #152041=VERTEX_POINT('',#500175); #152042=VERTEX_POINT('',#500177); #152043=VERTEX_POINT('',#500179); #152044=VERTEX_POINT('',#500181); #152045=VERTEX_POINT('',#500183); #152046=VERTEX_POINT('',#500185); #152047=VERTEX_POINT('',#500187); #152048=VERTEX_POINT('',#500189); #152049=VERTEX_POINT('',#500191); #152050=VERTEX_POINT('',#500193); #152051=VERTEX_POINT('',#500195); #152052=VERTEX_POINT('',#500197); #152053=VERTEX_POINT('',#500199); #152054=VERTEX_POINT('',#500203); #152055=VERTEX_POINT('',#500205); #152056=VERTEX_POINT('',#500207); #152057=VERTEX_POINT('',#500211); #152058=VERTEX_POINT('',#500215); #152059=VERTEX_POINT('',#500217); #152060=VERTEX_POINT('',#500219); #152061=VERTEX_POINT('',#500223); #152062=VERTEX_POINT('',#500233); #152063=VERTEX_POINT('',#500234); #152064=VERTEX_POINT('',#500238); #152065=VERTEX_POINT('',#500242); #152066=VERTEX_POINT('',#500246); #152067=VERTEX_POINT('',#500249); #152068=VERTEX_POINT('',#500253); #152069=VERTEX_POINT('',#500257); #152070=VERTEX_POINT('',#500261); #152071=VERTEX_POINT('',#500265); #152072=VERTEX_POINT('',#500276); #152073=VERTEX_POINT('',#500280); #152074=VERTEX_POINT('',#500284); #152075=VERTEX_POINT('',#500288); #152076=VERTEX_POINT('',#500292); #152077=VERTEX_POINT('',#500296); #152078=VERTEX_POINT('',#500309); #152079=VERTEX_POINT('',#500313); #152080=VERTEX_POINT('',#500355); #152081=VERTEX_POINT('',#500359); #152082=VERTEX_POINT('',#500360); #152083=VERTEX_POINT('',#500362); #152084=VERTEX_POINT('',#500364); #152085=VERTEX_POINT('',#500368); #152086=VERTEX_POINT('',#500369); #152087=VERTEX_POINT('',#500371); #152088=VERTEX_POINT('',#500373); #152089=VERTEX_POINT('',#500377); #152090=VERTEX_POINT('',#500379); #152091=VERTEX_POINT('',#500381); #152092=VERTEX_POINT('',#500383); #152093=VERTEX_POINT('',#500385); #152094=VERTEX_POINT('',#500387); #152095=VERTEX_POINT('',#500391); #152096=VERTEX_POINT('',#500393); #152097=VERTEX_POINT('',#500397); #152098=VERTEX_POINT('',#500398); #152099=VERTEX_POINT('',#500400); #152100=VERTEX_POINT('',#500402); #152101=VERTEX_POINT('',#500406); #152102=VERTEX_POINT('',#500408); #152103=VERTEX_POINT('',#500410); #152104=VERTEX_POINT('',#500412); #152105=VERTEX_POINT('',#500417); #152106=VERTEX_POINT('',#500419); #152107=VERTEX_POINT('',#500421); #152108=VERTEX_POINT('',#500428); #152109=VERTEX_POINT('',#500430); #152110=VERTEX_POINT('',#500434); #152111=VERTEX_POINT('',#500437); #152112=VERTEX_POINT('',#500439); #152113=VERTEX_POINT('',#500443); #152114=VERTEX_POINT('',#500444); #152115=VERTEX_POINT('',#500447); #152116=VERTEX_POINT('',#500451); #152117=VERTEX_POINT('',#500453); #152118=VERTEX_POINT('',#500457); #152119=VERTEX_POINT('',#500459); #152120=VERTEX_POINT('',#500473); #152121=VERTEX_POINT('',#500475); #152122=VERTEX_POINT('',#500477); #152123=VERTEX_POINT('',#500479); #152124=VERTEX_POINT('',#500481); #152125=VERTEX_POINT('',#500483); #152126=VERTEX_POINT('',#500485); #152127=VERTEX_POINT('',#500487); #152128=VERTEX_POINT('',#500489); #152129=VERTEX_POINT('',#500491); #152130=VERTEX_POINT('',#500495); #152131=VERTEX_POINT('',#500499); #152132=VERTEX_POINT('',#500500); #152133=VERTEX_POINT('',#500502); #152134=VERTEX_POINT('',#500504); #152135=VERTEX_POINT('',#500506); #152136=VERTEX_POINT('',#500508); #152137=VERTEX_POINT('',#500512); #152138=VERTEX_POINT('',#500513); #152139=VERTEX_POINT('',#500515); #152140=VERTEX_POINT('',#500517); #152141=VERTEX_POINT('',#500519); #152142=VERTEX_POINT('',#500521); #152143=VERTEX_POINT('',#500525); #152144=VERTEX_POINT('',#500526); #152145=VERTEX_POINT('',#500528); #152146=VERTEX_POINT('',#500530); #152147=VERTEX_POINT('',#500532); #152148=VERTEX_POINT('',#500534); #152149=VERTEX_POINT('',#500538); #152150=VERTEX_POINT('',#500540); #152151=VERTEX_POINT('',#500544); #152152=VERTEX_POINT('',#500545); #152153=VERTEX_POINT('',#500547); #152154=VERTEX_POINT('',#500549); #152155=VERTEX_POINT('',#500553); #152156=VERTEX_POINT('',#500555); #152157=VERTEX_POINT('',#500560); #152158=VERTEX_POINT('',#500565); #152159=VERTEX_POINT('',#500569); #152160=VERTEX_POINT('',#500570); #152161=VERTEX_POINT('',#500572); #152162=VERTEX_POINT('',#500574); #152163=VERTEX_POINT('',#500578); #152164=VERTEX_POINT('',#500580); #152165=VERTEX_POINT('',#500585); #152166=VERTEX_POINT('',#500590); #152167=VERTEX_POINT('',#500594); #152168=VERTEX_POINT('',#500596); #152169=VERTEX_POINT('',#500598); #152170=VERTEX_POINT('',#500600); #152171=VERTEX_POINT('',#500604); #152172=VERTEX_POINT('',#500608); #152173=VERTEX_POINT('',#500612); #152174=VERTEX_POINT('',#500614); #152175=VERTEX_POINT('',#500618); #152176=VERTEX_POINT('',#500619); #152177=VERTEX_POINT('',#500621); #152178=VERTEX_POINT('',#500623); #152179=VERTEX_POINT('',#500627); #152180=VERTEX_POINT('',#500631); #152181=VERTEX_POINT('',#500638); #152182=VERTEX_POINT('',#500639); #152183=VERTEX_POINT('',#500641); #152184=VERTEX_POINT('',#500643); #152185=VERTEX_POINT('',#500647); #152186=VERTEX_POINT('',#500653); #152187=VERTEX_POINT('',#500660); #152188=VERTEX_POINT('',#500661); #152189=VERTEX_POINT('',#500663); #152190=VERTEX_POINT('',#500665); #152191=VERTEX_POINT('',#500669); #152192=VERTEX_POINT('',#500673); #152193=VERTEX_POINT('',#500682); #152194=VERTEX_POINT('',#500683); #152195=VERTEX_POINT('',#500685); #152196=VERTEX_POINT('',#500687); #152197=VERTEX_POINT('',#500695); #152198=VERTEX_POINT('',#500700); #152199=VERTEX_POINT('',#500707); #152200=VERTEX_POINT('',#500712); #152201=VERTEX_POINT('',#500714); #152202=VERTEX_POINT('',#500719); #152203=VERTEX_POINT('',#500721); #152204=VERTEX_POINT('',#500736); #152205=VERTEX_POINT('',#500740); #152206=VERTEX_POINT('',#500742); #152207=VERTEX_POINT('',#500746); #152208=VERTEX_POINT('',#500748); #152209=VERTEX_POINT('',#500752); #152210=VERTEX_POINT('',#500753); #152211=VERTEX_POINT('',#500755); #152212=VERTEX_POINT('',#500757); #152213=VERTEX_POINT('',#500761); #152214=VERTEX_POINT('',#500764); #152215=VERTEX_POINT('',#500766); #152216=VERTEX_POINT('',#500768); #152217=VERTEX_POINT('',#500770); #152218=VERTEX_POINT('',#500773); #152219=VERTEX_POINT('',#500775); #152220=VERTEX_POINT('',#500777); #152221=VERTEX_POINT('',#500779); #152222=VERTEX_POINT('',#500781); #152223=VERTEX_POINT('',#500783); #152224=VERTEX_POINT('',#500788); #152225=VERTEX_POINT('',#500842); #152226=VERTEX_POINT('',#500859); #152227=VERTEX_POINT('',#500861); #152228=VERTEX_POINT('',#500865); #152229=VERTEX_POINT('',#500869); #152230=VERTEX_POINT('',#500873); #152231=VERTEX_POINT('',#500877); #152232=VERTEX_POINT('',#500881); #152233=VERTEX_POINT('',#500887); #152234=VERTEX_POINT('',#500900); #152235=VERTEX_POINT('',#500902); #152236=VERTEX_POINT('',#500906); #152237=VERTEX_POINT('',#500908); #152238=VERTEX_POINT('',#500912); #152239=VERTEX_POINT('',#500914); #152240=VERTEX_POINT('',#500918); #152241=VERTEX_POINT('',#500920); #152242=VERTEX_POINT('',#500924); #152243=VERTEX_POINT('',#500926); #152244=VERTEX_POINT('',#500930); #152245=VERTEX_POINT('',#500932); #152246=VERTEX_POINT('',#500936); #152247=VERTEX_POINT('',#500938); #152248=VERTEX_POINT('',#500942); #152249=VERTEX_POINT('',#500944); #152250=VERTEX_POINT('',#500948); #152251=VERTEX_POINT('',#500950); #152252=VERTEX_POINT('',#500954); #152253=VERTEX_POINT('',#500956); #152254=VERTEX_POINT('',#500960); #152255=VERTEX_POINT('',#500962); #152256=VERTEX_POINT('',#500966); #152257=VERTEX_POINT('',#500968); #152258=VERTEX_POINT('',#500972); #152259=VERTEX_POINT('',#500974); #152260=VERTEX_POINT('',#500978); #152261=VERTEX_POINT('',#500980); #152262=VERTEX_POINT('',#500984); #152263=VERTEX_POINT('',#500986); #152264=VERTEX_POINT('',#500990); #152265=VERTEX_POINT('',#500992); #152266=VERTEX_POINT('',#500996); #152267=VERTEX_POINT('',#500998); #152268=VERTEX_POINT('',#501002); #152269=VERTEX_POINT('',#501004); #152270=VERTEX_POINT('',#501008); #152271=VERTEX_POINT('',#501010); #152272=VERTEX_POINT('',#501014); #152273=VERTEX_POINT('',#501016); #152274=VERTEX_POINT('',#501020); #152275=VERTEX_POINT('',#501022); #152276=VERTEX_POINT('',#501026); #152277=VERTEX_POINT('',#501028); #152278=VERTEX_POINT('',#501032); #152279=VERTEX_POINT('',#501034); #152280=VERTEX_POINT('',#501038); #152281=VERTEX_POINT('',#501040); #152282=VERTEX_POINT('',#501044); #152283=VERTEX_POINT('',#501046); #152284=VERTEX_POINT('',#501050); #152285=VERTEX_POINT('',#501052); #152286=VERTEX_POINT('',#501056); #152287=VERTEX_POINT('',#501058); #152288=VERTEX_POINT('',#501062); #152289=VERTEX_POINT('',#501064); #152290=VERTEX_POINT('',#501068); #152291=VERTEX_POINT('',#501070); #152292=VERTEX_POINT('',#501074); #152293=VERTEX_POINT('',#501076); #152294=VERTEX_POINT('',#501080); #152295=VERTEX_POINT('',#501082); #152296=VERTEX_POINT('',#501086); #152297=VERTEX_POINT('',#501088); #152298=VERTEX_POINT('',#501092); #152299=VERTEX_POINT('',#501094); #152300=VERTEX_POINT('',#501098); #152301=VERTEX_POINT('',#501100); #152302=VERTEX_POINT('',#501104); #152303=VERTEX_POINT('',#501106); #152304=VERTEX_POINT('',#501110); #152305=VERTEX_POINT('',#501112); #152306=VERTEX_POINT('',#501116); #152307=VERTEX_POINT('',#501118); #152308=VERTEX_POINT('',#501122); #152309=VERTEX_POINT('',#501124); #152310=VERTEX_POINT('',#501128); #152311=VERTEX_POINT('',#501130); #152312=VERTEX_POINT('',#501134); #152313=VERTEX_POINT('',#501136); #152314=VERTEX_POINT('',#501140); #152315=VERTEX_POINT('',#501142); #152316=VERTEX_POINT('',#501146); #152317=VERTEX_POINT('',#501148); #152318=VERTEX_POINT('',#501152); #152319=VERTEX_POINT('',#501154); #152320=VERTEX_POINT('',#501158); #152321=VERTEX_POINT('',#501160); #152322=VERTEX_POINT('',#501164); #152323=VERTEX_POINT('',#501166); #152324=VERTEX_POINT('',#501170); #152325=VERTEX_POINT('',#501172); #152326=VERTEX_POINT('',#501176); #152327=VERTEX_POINT('',#501178); #152328=VERTEX_POINT('',#501182); #152329=VERTEX_POINT('',#501184); #152330=VERTEX_POINT('',#501188); #152331=VERTEX_POINT('',#501190); #152332=VERTEX_POINT('',#501194); #152333=VERTEX_POINT('',#501196); #152334=VERTEX_POINT('',#501200); #152335=VERTEX_POINT('',#501202); #152336=VERTEX_POINT('',#501206); #152337=VERTEX_POINT('',#501208); #152338=VERTEX_POINT('',#501212); #152339=VERTEX_POINT('',#501214); #152340=VERTEX_POINT('',#501218); #152341=VERTEX_POINT('',#501220); #152342=VERTEX_POINT('',#501224); #152343=VERTEX_POINT('',#501226); #152344=VERTEX_POINT('',#501230); #152345=VERTEX_POINT('',#501232); #152346=VERTEX_POINT('',#501236); #152347=VERTEX_POINT('',#501238); #152348=VERTEX_POINT('',#501242); #152349=VERTEX_POINT('',#501244); #152350=VERTEX_POINT('',#501248); #152351=VERTEX_POINT('',#501250); #152352=VERTEX_POINT('',#501254); #152353=VERTEX_POINT('',#501256); #152354=VERTEX_POINT('',#501260); #152355=VERTEX_POINT('',#501262); #152356=VERTEX_POINT('',#501266); #152357=VERTEX_POINT('',#501268); #152358=VERTEX_POINT('',#501272); #152359=VERTEX_POINT('',#501274); #152360=VERTEX_POINT('',#501278); #152361=VERTEX_POINT('',#501280); #152362=VERTEX_POINT('',#501284); #152363=VERTEX_POINT('',#501286); #152364=VERTEX_POINT('',#501290); #152365=VERTEX_POINT('',#501292); #152366=VERTEX_POINT('',#501296); #152367=VERTEX_POINT('',#501298); #152368=VERTEX_POINT('',#501302); #152369=VERTEX_POINT('',#501304); #152370=VERTEX_POINT('',#501308); #152371=VERTEX_POINT('',#501310); #152372=VERTEX_POINT('',#501314); #152373=VERTEX_POINT('',#501316); #152374=VERTEX_POINT('',#501320); #152375=VERTEX_POINT('',#501322); #152376=VERTEX_POINT('',#501326); #152377=VERTEX_POINT('',#501328); #152378=VERTEX_POINT('',#501332); #152379=VERTEX_POINT('',#501334); #152380=VERTEX_POINT('',#501338); #152381=VERTEX_POINT('',#501340); #152382=VERTEX_POINT('',#501344); #152383=VERTEX_POINT('',#501346); #152384=VERTEX_POINT('',#501350); #152385=VERTEX_POINT('',#501352); #152386=VERTEX_POINT('',#501356); #152387=VERTEX_POINT('',#501358); #152388=VERTEX_POINT('',#501362); #152389=VERTEX_POINT('',#501364); #152390=VERTEX_POINT('',#501368); #152391=VERTEX_POINT('',#501370); #152392=VERTEX_POINT('',#501374); #152393=VERTEX_POINT('',#501376); #152394=VERTEX_POINT('',#501380); #152395=VERTEX_POINT('',#501382); #152396=VERTEX_POINT('',#501386); #152397=VERTEX_POINT('',#501388); #152398=VERTEX_POINT('',#501392); #152399=VERTEX_POINT('',#501394); #152400=VERTEX_POINT('',#501398); #152401=VERTEX_POINT('',#501400); #152402=VERTEX_POINT('',#501404); #152403=VERTEX_POINT('',#501406); #152404=VERTEX_POINT('',#501410); #152405=VERTEX_POINT('',#501412); #152406=VERTEX_POINT('',#501416); #152407=VERTEX_POINT('',#501418); #152408=VERTEX_POINT('',#501422); #152409=VERTEX_POINT('',#501424); #152410=VERTEX_POINT('',#501428); #152411=VERTEX_POINT('',#501430); #152412=VERTEX_POINT('',#501434); #152413=VERTEX_POINT('',#501436); #152414=VERTEX_POINT('',#501440); #152415=VERTEX_POINT('',#501442); #152416=VERTEX_POINT('',#501446); #152417=VERTEX_POINT('',#501448); #152418=VERTEX_POINT('',#501452); #152419=VERTEX_POINT('',#501454); #152420=VERTEX_POINT('',#501458); #152421=VERTEX_POINT('',#501460); #152422=VERTEX_POINT('',#501464); #152423=VERTEX_POINT('',#501466); #152424=VERTEX_POINT('',#501470); #152425=VERTEX_POINT('',#501472); #152426=VERTEX_POINT('',#501476); #152427=VERTEX_POINT('',#501478); #152428=VERTEX_POINT('',#501482); #152429=VERTEX_POINT('',#501484); #152430=VERTEX_POINT('',#501488); #152431=VERTEX_POINT('',#501490); #152432=VERTEX_POINT('',#501494); #152433=VERTEX_POINT('',#501496); #152434=VERTEX_POINT('',#501500); #152435=VERTEX_POINT('',#501502); #152436=VERTEX_POINT('',#501506); #152437=VERTEX_POINT('',#501508); #152438=VERTEX_POINT('',#501512); #152439=VERTEX_POINT('',#501514); #152440=VERTEX_POINT('',#501518); #152441=VERTEX_POINT('',#501520); #152442=VERTEX_POINT('',#501524); #152443=VERTEX_POINT('',#501526); #152444=VERTEX_POINT('',#501530); #152445=VERTEX_POINT('',#501532); #152446=VERTEX_POINT('',#501536); #152447=VERTEX_POINT('',#501538); #152448=VERTEX_POINT('',#501542); #152449=VERTEX_POINT('',#501544); #152450=VERTEX_POINT('',#501548); #152451=VERTEX_POINT('',#501550); #152452=VERTEX_POINT('',#501554); #152453=VERTEX_POINT('',#501556); #152454=VERTEX_POINT('',#501560); #152455=VERTEX_POINT('',#501562); #152456=VERTEX_POINT('',#501566); #152457=VERTEX_POINT('',#501568); #152458=VERTEX_POINT('',#501572); #152459=VERTEX_POINT('',#501574); #152460=VERTEX_POINT('',#501578); #152461=VERTEX_POINT('',#501580); #152462=VERTEX_POINT('',#501584); #152463=VERTEX_POINT('',#501586); #152464=VERTEX_POINT('',#501590); #152465=VERTEX_POINT('',#501592); #152466=VERTEX_POINT('',#501596); #152467=VERTEX_POINT('',#501598); #152468=VERTEX_POINT('',#501602); #152469=VERTEX_POINT('',#501604); #152470=VERTEX_POINT('',#501608); #152471=VERTEX_POINT('',#501610); #152472=VERTEX_POINT('',#501614); #152473=VERTEX_POINT('',#501616); #152474=VERTEX_POINT('',#501620); #152475=VERTEX_POINT('',#501622); #152476=VERTEX_POINT('',#501626); #152477=VERTEX_POINT('',#501628); #152478=VERTEX_POINT('',#501632); #152479=VERTEX_POINT('',#501634); #152480=VERTEX_POINT('',#501638); #152481=VERTEX_POINT('',#501640); #152482=VERTEX_POINT('',#501644); #152483=VERTEX_POINT('',#501646); #152484=VERTEX_POINT('',#501650); #152485=VERTEX_POINT('',#501652); #152486=VERTEX_POINT('',#501656); #152487=VERTEX_POINT('',#501658); #152488=VERTEX_POINT('',#501662); #152489=VERTEX_POINT('',#501664); #152490=VERTEX_POINT('',#501668); #152491=VERTEX_POINT('',#501670); #152492=VERTEX_POINT('',#501674); #152493=VERTEX_POINT('',#501676); #152494=VERTEX_POINT('',#501680); #152495=VERTEX_POINT('',#501682); #152496=VERTEX_POINT('',#501686); #152497=VERTEX_POINT('',#501688); #152498=VERTEX_POINT('',#501692); #152499=VERTEX_POINT('',#501694); #152500=VERTEX_POINT('',#501698); #152501=VERTEX_POINT('',#501700); #152502=VERTEX_POINT('',#501704); #152503=VERTEX_POINT('',#501706); #152504=VERTEX_POINT('',#501710); #152505=VERTEX_POINT('',#501712); #152506=VERTEX_POINT('',#501716); #152507=VERTEX_POINT('',#501718); #152508=VERTEX_POINT('',#501722); #152509=VERTEX_POINT('',#501724); #152510=VERTEX_POINT('',#501728); #152511=VERTEX_POINT('',#501730); #152512=VERTEX_POINT('',#501734); #152513=VERTEX_POINT('',#501736); #152514=VERTEX_POINT('',#501740); #152515=VERTEX_POINT('',#501742); #152516=VERTEX_POINT('',#501746); #152517=VERTEX_POINT('',#501748); #152518=VERTEX_POINT('',#501752); #152519=VERTEX_POINT('',#501754); #152520=VERTEX_POINT('',#501758); #152521=VERTEX_POINT('',#501760); #152522=VERTEX_POINT('',#501764); #152523=VERTEX_POINT('',#501766); #152524=VERTEX_POINT('',#501770); #152525=VERTEX_POINT('',#501772); #152526=VERTEX_POINT('',#501776); #152527=VERTEX_POINT('',#501778); #152528=VERTEX_POINT('',#501782); #152529=VERTEX_POINT('',#501784); #152530=VERTEX_POINT('',#501788); #152531=VERTEX_POINT('',#501790); #152532=VERTEX_POINT('',#501794); #152533=VERTEX_POINT('',#501796); #152534=VERTEX_POINT('',#501800); #152535=VERTEX_POINT('',#501802); #152536=VERTEX_POINT('',#501806); #152537=VERTEX_POINT('',#501808); #152538=VERTEX_POINT('',#501812); #152539=VERTEX_POINT('',#501814); #152540=VERTEX_POINT('',#501818); #152541=VERTEX_POINT('',#501820); #152542=VERTEX_POINT('',#501824); #152543=VERTEX_POINT('',#501826); #152544=VERTEX_POINT('',#501830); #152545=VERTEX_POINT('',#501832); #152546=VERTEX_POINT('',#501836); #152547=VERTEX_POINT('',#501838); #152548=VERTEX_POINT('',#501842); #152549=VERTEX_POINT('',#501844); #152550=VERTEX_POINT('',#501848); #152551=VERTEX_POINT('',#501850); #152552=VERTEX_POINT('',#501854); #152553=VERTEX_POINT('',#501856); #152554=VERTEX_POINT('',#501860); #152555=VERTEX_POINT('',#501862); #152556=VERTEX_POINT('',#501866); #152557=VERTEX_POINT('',#501868); #152558=VERTEX_POINT('',#501872); #152559=VERTEX_POINT('',#501874); #152560=VERTEX_POINT('',#501878); #152561=VERTEX_POINT('',#501879); #152562=VERTEX_POINT('',#501881); #152563=VERTEX_POINT('',#501883); #152564=VERTEX_POINT('',#501887); #152565=VERTEX_POINT('',#501889); #152566=VERTEX_POINT('',#501893); #152567=VERTEX_POINT('',#501895); #152568=VERTEX_POINT('',#501902); #152569=VERTEX_POINT('',#501904); #152570=VERTEX_POINT('',#501908); #152571=VERTEX_POINT('',#501909); #152572=VERTEX_POINT('',#501911); #152573=VERTEX_POINT('',#501913); #152574=VERTEX_POINT('',#501917); #152575=VERTEX_POINT('',#501919); #152576=VERTEX_POINT('',#501923); #152577=VERTEX_POINT('',#501925); #152578=VERTEX_POINT('',#501932); #152579=VERTEX_POINT('',#501934); #152580=VERTEX_POINT('',#501938); #152581=VERTEX_POINT('',#501940); #152582=VERTEX_POINT('',#501944); #152583=VERTEX_POINT('',#501946); #152584=VERTEX_POINT('',#501950); #152585=VERTEX_POINT('',#501951); #152586=VERTEX_POINT('',#501953); #152587=VERTEX_POINT('',#501955); #152588=VERTEX_POINT('',#501959); #152589=VERTEX_POINT('',#501961); #152590=VERTEX_POINT('',#501965); #152591=VERTEX_POINT('',#501967); #152592=VERTEX_POINT('',#501974); #152593=VERTEX_POINT('',#501976); #152594=VERTEX_POINT('',#501980); #152595=VERTEX_POINT('',#501982); #152596=VERTEX_POINT('',#501986); #152597=VERTEX_POINT('',#501988); #152598=VERTEX_POINT('',#501992); #152599=VERTEX_POINT('',#501994); #152600=VERTEX_POINT('',#501998); #152601=VERTEX_POINT('',#502000); #152602=VERTEX_POINT('',#502004); #152603=VERTEX_POINT('',#502006); #152604=VERTEX_POINT('',#502010); #152605=VERTEX_POINT('',#502012); #152606=VERTEX_POINT('',#502016); #152607=VERTEX_POINT('',#502018); #152608=VERTEX_POINT('',#502022); #152609=VERTEX_POINT('',#502024); #152610=VERTEX_POINT('',#502028); #152611=VERTEX_POINT('',#502030); #152612=VERTEX_POINT('',#502034); #152613=VERTEX_POINT('',#502035); #152614=VERTEX_POINT('',#502037); #152615=VERTEX_POINT('',#502039); #152616=VERTEX_POINT('',#502043); #152617=VERTEX_POINT('',#502045); #152618=VERTEX_POINT('',#502049); #152619=VERTEX_POINT('',#502051); #152620=VERTEX_POINT('',#502058); #152621=VERTEX_POINT('',#502060); #152622=VERTEX_POINT('',#502064); #152623=VERTEX_POINT('',#502065); #152624=VERTEX_POINT('',#502067); #152625=VERTEX_POINT('',#502069); #152626=VERTEX_POINT('',#502073); #152627=VERTEX_POINT('',#502075); #152628=VERTEX_POINT('',#502079); #152629=VERTEX_POINT('',#502081); #152630=VERTEX_POINT('',#502088); #152631=VERTEX_POINT('',#502090); #152632=VERTEX_POINT('',#502094); #152633=VERTEX_POINT('',#502095); #152634=VERTEX_POINT('',#502097); #152635=VERTEX_POINT('',#502099); #152636=VERTEX_POINT('',#502103); #152637=VERTEX_POINT('',#502105); #152638=VERTEX_POINT('',#502109); #152639=VERTEX_POINT('',#502111); #152640=VERTEX_POINT('',#502118); #152641=VERTEX_POINT('',#502120); #152642=VERTEX_POINT('',#502124); #152643=VERTEX_POINT('',#502126); #152644=VERTEX_POINT('',#502130); #152645=VERTEX_POINT('',#502132); #152646=VERTEX_POINT('',#502136); #152647=VERTEX_POINT('',#502138); #152648=VERTEX_POINT('',#502142); #152649=VERTEX_POINT('',#502144); #152650=VERTEX_POINT('',#502148); #152651=VERTEX_POINT('',#502150); #152652=VERTEX_POINT('',#502154); #152653=VERTEX_POINT('',#502156); #152654=VERTEX_POINT('',#502160); #152655=VERTEX_POINT('',#502162); #152656=VERTEX_POINT('',#502166); #152657=VERTEX_POINT('',#502168); #152658=VERTEX_POINT('',#502172); #152659=VERTEX_POINT('',#502174); #152660=VERTEX_POINT('',#502178); #152661=VERTEX_POINT('',#502180); #152662=VERTEX_POINT('',#502184); #152663=VERTEX_POINT('',#502186); #152664=VERTEX_POINT('',#502190); #152665=VERTEX_POINT('',#502192); #152666=VERTEX_POINT('',#502196); #152667=VERTEX_POINT('',#502198); #152668=VERTEX_POINT('',#502202); #152669=VERTEX_POINT('',#502204); #152670=VERTEX_POINT('',#502208); #152671=VERTEX_POINT('',#502210); #152672=VERTEX_POINT('',#502214); #152673=VERTEX_POINT('',#502216); #152674=VERTEX_POINT('',#502220); #152675=VERTEX_POINT('',#502222); #152676=VERTEX_POINT('',#502226); #152677=VERTEX_POINT('',#502228); #152678=VERTEX_POINT('',#502232); #152679=VERTEX_POINT('',#502234); #152680=VERTEX_POINT('',#502238); #152681=VERTEX_POINT('',#502240); #152682=VERTEX_POINT('',#502244); #152683=VERTEX_POINT('',#502246); #152684=VERTEX_POINT('',#502250); #152685=VERTEX_POINT('',#502252); #152686=VERTEX_POINT('',#502256); #152687=VERTEX_POINT('',#502258); #152688=VERTEX_POINT('',#502262); #152689=VERTEX_POINT('',#502264); #152690=VERTEX_POINT('',#502268); #152691=VERTEX_POINT('',#502270); #152692=VERTEX_POINT('',#502274); #152693=VERTEX_POINT('',#502276); #152694=VERTEX_POINT('',#502280); #152695=VERTEX_POINT('',#502282); #152696=VERTEX_POINT('',#502286); #152697=VERTEX_POINT('',#502288); #152698=VERTEX_POINT('',#502292); #152699=VERTEX_POINT('',#502294); #152700=VERTEX_POINT('',#502298); #152701=VERTEX_POINT('',#502300); #152702=VERTEX_POINT('',#502304); #152703=VERTEX_POINT('',#502306); #152704=VERTEX_POINT('',#502310); #152705=VERTEX_POINT('',#502312); #152706=VERTEX_POINT('',#502316); #152707=VERTEX_POINT('',#502318); #152708=VERTEX_POINT('',#502322); #152709=VERTEX_POINT('',#502324); #152710=VERTEX_POINT('',#502328); #152711=VERTEX_POINT('',#502330); #152712=VERTEX_POINT('',#502334); #152713=VERTEX_POINT('',#502336); #152714=VERTEX_POINT('',#502340); #152715=VERTEX_POINT('',#502342); #152716=VERTEX_POINT('',#502346); #152717=VERTEX_POINT('',#502348); #152718=VERTEX_POINT('',#502352); #152719=VERTEX_POINT('',#502354); #152720=VERTEX_POINT('',#502358); #152721=VERTEX_POINT('',#502360); #152722=VERTEX_POINT('',#502364); #152723=VERTEX_POINT('',#502366); #152724=VERTEX_POINT('',#502370); #152725=VERTEX_POINT('',#502372); #152726=VERTEX_POINT('',#502376); #152727=VERTEX_POINT('',#502378); #152728=VERTEX_POINT('',#502382); #152729=VERTEX_POINT('',#502384); #152730=VERTEX_POINT('',#502388); #152731=VERTEX_POINT('',#502390); #152732=VERTEX_POINT('',#502394); #152733=VERTEX_POINT('',#502396); #152734=VERTEX_POINT('',#502400); #152735=VERTEX_POINT('',#502402); #152736=VERTEX_POINT('',#502406); #152737=VERTEX_POINT('',#502408); #152738=VERTEX_POINT('',#502412); #152739=VERTEX_POINT('',#502414); #152740=VERTEX_POINT('',#502418); #152741=VERTEX_POINT('',#502420); #152742=VERTEX_POINT('',#502424); #152743=VERTEX_POINT('',#502426); #152744=VERTEX_POINT('',#502430); #152745=VERTEX_POINT('',#502432); #152746=VERTEX_POINT('',#502436); #152747=VERTEX_POINT('',#502438); #152748=VERTEX_POINT('',#502442); #152749=VERTEX_POINT('',#502444); #152750=VERTEX_POINT('',#502448); #152751=VERTEX_POINT('',#502450); #152752=VERTEX_POINT('',#502454); #152753=VERTEX_POINT('',#502456); #152754=VERTEX_POINT('',#502460); #152755=VERTEX_POINT('',#502462); #152756=VERTEX_POINT('',#502466); #152757=VERTEX_POINT('',#502468); #152758=VERTEX_POINT('',#502472); #152759=VERTEX_POINT('',#502474); #152760=VERTEX_POINT('',#502478); #152761=VERTEX_POINT('',#502480); #152762=VERTEX_POINT('',#502484); #152763=VERTEX_POINT('',#502486); #152764=VERTEX_POINT('',#502490); #152765=VERTEX_POINT('',#502492); #152766=VERTEX_POINT('',#502496); #152767=VERTEX_POINT('',#502498); #152768=VERTEX_POINT('',#502502); #152769=VERTEX_POINT('',#502504); #152770=VERTEX_POINT('',#502508); #152771=VERTEX_POINT('',#502510); #152772=VERTEX_POINT('',#502514); #152773=VERTEX_POINT('',#502516); #152774=VERTEX_POINT('',#502520); #152775=VERTEX_POINT('',#502522); #152776=VERTEX_POINT('',#502526); #152777=VERTEX_POINT('',#502528); #152778=VERTEX_POINT('',#502532); #152779=VERTEX_POINT('',#502534); #152780=VERTEX_POINT('',#502538); #152781=VERTEX_POINT('',#502540); #152782=VERTEX_POINT('',#502544); #152783=VERTEX_POINT('',#502546); #152784=VERTEX_POINT('',#502550); #152785=VERTEX_POINT('',#502552); #152786=VERTEX_POINT('',#502556); #152787=VERTEX_POINT('',#502558); #152788=VERTEX_POINT('',#502562); #152789=VERTEX_POINT('',#502564); #152790=VERTEX_POINT('',#502568); #152791=VERTEX_POINT('',#502570); #152792=VERTEX_POINT('',#502574); #152793=VERTEX_POINT('',#502576); #152794=VERTEX_POINT('',#502580); #152795=VERTEX_POINT('',#502582); #152796=VERTEX_POINT('',#502586); #152797=VERTEX_POINT('',#502588); #152798=VERTEX_POINT('',#502592); #152799=VERTEX_POINT('',#502594); #152800=VERTEX_POINT('',#502598); #152801=VERTEX_POINT('',#502600); #152802=VERTEX_POINT('',#502604); #152803=VERTEX_POINT('',#502606); #152804=VERTEX_POINT('',#502610); #152805=VERTEX_POINT('',#502612); #152806=VERTEX_POINT('',#502616); #152807=VERTEX_POINT('',#502618); #152808=VERTEX_POINT('',#502622); #152809=VERTEX_POINT('',#502624); #152810=VERTEX_POINT('',#502628); #152811=VERTEX_POINT('',#502630); #152812=VERTEX_POINT('',#502634); #152813=VERTEX_POINT('',#502636); #152814=VERTEX_POINT('',#502640); #152815=VERTEX_POINT('',#502642); #152816=VERTEX_POINT('',#502646); #152817=VERTEX_POINT('',#502648); #152818=VERTEX_POINT('',#502652); #152819=VERTEX_POINT('',#502654); #152820=VERTEX_POINT('',#502658); #152821=VERTEX_POINT('',#502660); #152822=VERTEX_POINT('',#502664); #152823=VERTEX_POINT('',#502666); #152824=VERTEX_POINT('',#502670); #152825=VERTEX_POINT('',#502672); #152826=VERTEX_POINT('',#502676); #152827=VERTEX_POINT('',#502678); #152828=VERTEX_POINT('',#502682); #152829=VERTEX_POINT('',#502684); #152830=VERTEX_POINT('',#502688); #152831=VERTEX_POINT('',#502690); #152832=VERTEX_POINT('',#502694); #152833=VERTEX_POINT('',#502696); #152834=VERTEX_POINT('',#502700); #152835=VERTEX_POINT('',#502702); #152836=VERTEX_POINT('',#502706); #152837=VERTEX_POINT('',#502708); #152838=VERTEX_POINT('',#502712); #152839=VERTEX_POINT('',#502714); #152840=VERTEX_POINT('',#502718); #152841=VERTEX_POINT('',#502720); #152842=VERTEX_POINT('',#502724); #152843=VERTEX_POINT('',#502726); #152844=VERTEX_POINT('',#502730); #152845=VERTEX_POINT('',#502732); #152846=VERTEX_POINT('',#502736); #152847=VERTEX_POINT('',#502738); #152848=VERTEX_POINT('',#502742); #152849=VERTEX_POINT('',#502744); #152850=VERTEX_POINT('',#502748); #152851=VERTEX_POINT('',#502750); #152852=VERTEX_POINT('',#502754); #152853=VERTEX_POINT('',#502756); #152854=VERTEX_POINT('',#502760); #152855=VERTEX_POINT('',#502762); #152856=VERTEX_POINT('',#502766); #152857=VERTEX_POINT('',#502768); #152858=VERTEX_POINT('',#502772); #152859=VERTEX_POINT('',#502774); #152860=VERTEX_POINT('',#502778); #152861=VERTEX_POINT('',#502780); #152862=VERTEX_POINT('',#502784); #152863=VERTEX_POINT('',#502786); #152864=VERTEX_POINT('',#502790); #152865=VERTEX_POINT('',#502792); #152866=VERTEX_POINT('',#502796); #152867=VERTEX_POINT('',#502798); #152868=VERTEX_POINT('',#502802); #152869=VERTEX_POINT('',#502804); #152870=VERTEX_POINT('',#502808); #152871=VERTEX_POINT('',#502810); #152872=VERTEX_POINT('',#502814); #152873=VERTEX_POINT('',#502816); #152874=VERTEX_POINT('',#502820); #152875=VERTEX_POINT('',#502822); #152876=VERTEX_POINT('',#502826); #152877=VERTEX_POINT('',#502828); #152878=VERTEX_POINT('',#502832); #152879=VERTEX_POINT('',#502834); #152880=VERTEX_POINT('',#502838); #152881=VERTEX_POINT('',#502840); #152882=VERTEX_POINT('',#502844); #152883=VERTEX_POINT('',#502846); #152884=VERTEX_POINT('',#502850); #152885=VERTEX_POINT('',#502852); #152886=VERTEX_POINT('',#502856); #152887=VERTEX_POINT('',#502858); #152888=VERTEX_POINT('',#502862); #152889=VERTEX_POINT('',#502864); #152890=VERTEX_POINT('',#502868); #152891=VERTEX_POINT('',#502870); #152892=VERTEX_POINT('',#502874); #152893=VERTEX_POINT('',#502876); #152894=VERTEX_POINT('',#502880); #152895=VERTEX_POINT('',#502882); #152896=VERTEX_POINT('',#502886); #152897=VERTEX_POINT('',#502888); #152898=VERTEX_POINT('',#502892); #152899=VERTEX_POINT('',#502894); #152900=VERTEX_POINT('',#502898); #152901=VERTEX_POINT('',#502900); #152902=VERTEX_POINT('',#502904); #152903=VERTEX_POINT('',#502906); #152904=VERTEX_POINT('',#502910); #152905=VERTEX_POINT('',#502912); #152906=VERTEX_POINT('',#502918); #152907=VERTEX_POINT('',#502920); #152908=VERTEX_POINT('',#502924); #152909=VERTEX_POINT('',#502926); #152910=VERTEX_POINT('',#502932); #152911=VERTEX_POINT('',#502934); #152912=VERTEX_POINT('',#502938); #152913=VERTEX_POINT('',#502940); #152914=VERTEX_POINT('',#502946); #152915=VERTEX_POINT('',#502948); #152916=VERTEX_POINT('',#502952); #152917=VERTEX_POINT('',#502954); #152918=VERTEX_POINT('',#502960); #152919=VERTEX_POINT('',#502962); #152920=VERTEX_POINT('',#502966); #152921=VERTEX_POINT('',#502968); #152922=VERTEX_POINT('',#502974); #152923=VERTEX_POINT('',#502976); #152924=VERTEX_POINT('',#502980); #152925=VERTEX_POINT('',#502982); #152926=VERTEX_POINT('',#502988); #152927=VERTEX_POINT('',#502990); #152928=VERTEX_POINT('',#502994); #152929=VERTEX_POINT('',#502996); #152930=VERTEX_POINT('',#503002); #152931=VERTEX_POINT('',#503004); #152932=VERTEX_POINT('',#503008); #152933=VERTEX_POINT('',#503010); #152934=VERTEX_POINT('',#503016); #152935=VERTEX_POINT('',#503018); #152936=VERTEX_POINT('',#503022); #152937=VERTEX_POINT('',#503024); #152938=VERTEX_POINT('',#503030); #152939=VERTEX_POINT('',#503032); #152940=VERTEX_POINT('',#503036); #152941=VERTEX_POINT('',#503038); #152942=VERTEX_POINT('',#503044); #152943=VERTEX_POINT('',#503046); #152944=VERTEX_POINT('',#503050); #152945=VERTEX_POINT('',#503052); #152946=VERTEX_POINT('',#503058); #152947=VERTEX_POINT('',#503060); #152948=VERTEX_POINT('',#503064); #152949=VERTEX_POINT('',#503066); #152950=VERTEX_POINT('',#503072); #152951=VERTEX_POINT('',#503074); #152952=VERTEX_POINT('',#503078); #152953=VERTEX_POINT('',#503080); #152954=VERTEX_POINT('',#503086); #152955=VERTEX_POINT('',#503088); #152956=VERTEX_POINT('',#503092); #152957=VERTEX_POINT('',#503094); #152958=VERTEX_POINT('',#503100); #152959=VERTEX_POINT('',#503102); #152960=VERTEX_POINT('',#503106); #152961=VERTEX_POINT('',#503108); #152962=VERTEX_POINT('',#503114); #152963=VERTEX_POINT('',#503116); #152964=VERTEX_POINT('',#503120); #152965=VERTEX_POINT('',#503122); #152966=VERTEX_POINT('',#503128); #152967=VERTEX_POINT('',#503130); #152968=VERTEX_POINT('',#503134); #152969=VERTEX_POINT('',#503136); #152970=VERTEX_POINT('',#503142); #152971=VERTEX_POINT('',#503144); #152972=VERTEX_POINT('',#503148); #152973=VERTEX_POINT('',#503150); #152974=VERTEX_POINT('',#503156); #152975=VERTEX_POINT('',#503158); #152976=VERTEX_POINT('',#503162); #152977=VERTEX_POINT('',#503164); #152978=VERTEX_POINT('',#503170); #152979=VERTEX_POINT('',#503172); #152980=VERTEX_POINT('',#503176); #152981=VERTEX_POINT('',#503178); #152982=VERTEX_POINT('',#503184); #152983=VERTEX_POINT('',#503186); #152984=VERTEX_POINT('',#503190); #152985=VERTEX_POINT('',#503192); #152986=VERTEX_POINT('',#503198); #152987=VERTEX_POINT('',#503200); #152988=VERTEX_POINT('',#503204); #152989=VERTEX_POINT('',#503206); #152990=VERTEX_POINT('',#503212); #152991=VERTEX_POINT('',#503214); #152992=VERTEX_POINT('',#503218); #152993=VERTEX_POINT('',#503220); #152994=VERTEX_POINT('',#503226); #152995=VERTEX_POINT('',#503228); #152996=VERTEX_POINT('',#503232); #152997=VERTEX_POINT('',#503234); #152998=VERTEX_POINT('',#503240); #152999=VERTEX_POINT('',#503242); #153000=VERTEX_POINT('',#503246); #153001=VERTEX_POINT('',#503248); #153002=VERTEX_POINT('',#503254); #153003=VERTEX_POINT('',#503256); #153004=VERTEX_POINT('',#503260); #153005=VERTEX_POINT('',#503262); #153006=VERTEX_POINT('',#503268); #153007=VERTEX_POINT('',#503270); #153008=VERTEX_POINT('',#503274); #153009=VERTEX_POINT('',#503276); #153010=VERTEX_POINT('',#503282); #153011=VERTEX_POINT('',#503284); #153012=VERTEX_POINT('',#503288); #153013=VERTEX_POINT('',#503290); #153014=VERTEX_POINT('',#503296); #153015=VERTEX_POINT('',#503298); #153016=VERTEX_POINT('',#503302); #153017=VERTEX_POINT('',#503304); #153018=VERTEX_POINT('',#503310); #153019=VERTEX_POINT('',#503312); #153020=VERTEX_POINT('',#503316); #153021=VERTEX_POINT('',#503318); #153022=VERTEX_POINT('',#503324); #153023=VERTEX_POINT('',#503326); #153024=VERTEX_POINT('',#503330); #153025=VERTEX_POINT('',#503332); #153026=VERTEX_POINT('',#503338); #153027=VERTEX_POINT('',#503340); #153028=VERTEX_POINT('',#503344); #153029=VERTEX_POINT('',#503346); #153030=VERTEX_POINT('',#503352); #153031=VERTEX_POINT('',#503354); #153032=VERTEX_POINT('',#503358); #153033=VERTEX_POINT('',#503360); #153034=VERTEX_POINT('',#503366); #153035=VERTEX_POINT('',#503368); #153036=VERTEX_POINT('',#503372); #153037=VERTEX_POINT('',#503374); #153038=VERTEX_POINT('',#503380); #153039=VERTEX_POINT('',#503382); #153040=VERTEX_POINT('',#503386); #153041=VERTEX_POINT('',#503388); #153042=VERTEX_POINT('',#503394); #153043=VERTEX_POINT('',#503396); #153044=VERTEX_POINT('',#503400); #153045=VERTEX_POINT('',#503402); #153046=VERTEX_POINT('',#503408); #153047=VERTEX_POINT('',#503410); #153048=VERTEX_POINT('',#503414); #153049=VERTEX_POINT('',#503416); #153050=VERTEX_POINT('',#503422); #153051=VERTEX_POINT('',#503424); #153052=VERTEX_POINT('',#503428); #153053=VERTEX_POINT('',#503430); #153054=VERTEX_POINT('',#503436); #153055=VERTEX_POINT('',#503438); #153056=VERTEX_POINT('',#503442); #153057=VERTEX_POINT('',#503444); #153058=VERTEX_POINT('',#503450); #153059=VERTEX_POINT('',#503452); #153060=VERTEX_POINT('',#503456); #153061=VERTEX_POINT('',#503458); #153062=VERTEX_POINT('',#503464); #153063=VERTEX_POINT('',#503466); #153064=VERTEX_POINT('',#503470); #153065=VERTEX_POINT('',#503472); #153066=VERTEX_POINT('',#503478); #153067=VERTEX_POINT('',#503480); #153068=VERTEX_POINT('',#503484); #153069=VERTEX_POINT('',#503486); #153070=VERTEX_POINT('',#503492); #153071=VERTEX_POINT('',#503494); #153072=VERTEX_POINT('',#503498); #153073=VERTEX_POINT('',#503500); #153074=VERTEX_POINT('',#503506); #153075=VERTEX_POINT('',#503508); #153076=VERTEX_POINT('',#503512); #153077=VERTEX_POINT('',#503514); #153078=VERTEX_POINT('',#503520); #153079=VERTEX_POINT('',#503522); #153080=VERTEX_POINT('',#503526); #153081=VERTEX_POINT('',#503528); #153082=VERTEX_POINT('',#503534); #153083=VERTEX_POINT('',#503536); #153084=VERTEX_POINT('',#503540); #153085=VERTEX_POINT('',#503542); #153086=VERTEX_POINT('',#503548); #153087=VERTEX_POINT('',#503550); #153088=VERTEX_POINT('',#503554); #153089=VERTEX_POINT('',#503556); #153090=VERTEX_POINT('',#503562); #153091=VERTEX_POINT('',#503564); #153092=VERTEX_POINT('',#503568); #153093=VERTEX_POINT('',#503570); #153094=VERTEX_POINT('',#503576); #153095=VERTEX_POINT('',#503578); #153096=VERTEX_POINT('',#503582); #153097=VERTEX_POINT('',#503584); #153098=VERTEX_POINT('',#503590); #153099=VERTEX_POINT('',#503592); #153100=VERTEX_POINT('',#503596); #153101=VERTEX_POINT('',#503598); #153102=VERTEX_POINT('',#503604); #153103=VERTEX_POINT('',#503606); #153104=VERTEX_POINT('',#503610); #153105=VERTEX_POINT('',#503612); #153106=VERTEX_POINT('',#503618); #153107=VERTEX_POINT('',#503620); #153108=VERTEX_POINT('',#503624); #153109=VERTEX_POINT('',#503626); #153110=VERTEX_POINT('',#503632); #153111=VERTEX_POINT('',#503634); #153112=VERTEX_POINT('',#503638); #153113=VERTEX_POINT('',#503640); #153114=VERTEX_POINT('',#503646); #153115=VERTEX_POINT('',#503648); #153116=VERTEX_POINT('',#503652); #153117=VERTEX_POINT('',#503654); #153118=VERTEX_POINT('',#503660); #153119=VERTEX_POINT('',#503662); #153120=VERTEX_POINT('',#503666); #153121=VERTEX_POINT('',#503668); #153122=VERTEX_POINT('',#503674); #153123=VERTEX_POINT('',#503676); #153124=VERTEX_POINT('',#503680); #153125=VERTEX_POINT('',#503682); #153126=VERTEX_POINT('',#503688); #153127=VERTEX_POINT('',#503690); #153128=VERTEX_POINT('',#503694); #153129=VERTEX_POINT('',#503696); #153130=VERTEX_POINT('',#503702); #153131=VERTEX_POINT('',#503704); #153132=VERTEX_POINT('',#503708); #153133=VERTEX_POINT('',#503710); #153134=VERTEX_POINT('',#503716); #153135=VERTEX_POINT('',#503718); #153136=VERTEX_POINT('',#503722); #153137=VERTEX_POINT('',#503724); #153138=VERTEX_POINT('',#503730); #153139=VERTEX_POINT('',#503732); #153140=VERTEX_POINT('',#503736); #153141=VERTEX_POINT('',#503738); #153142=VERTEX_POINT('',#503744); #153143=VERTEX_POINT('',#503746); #153144=VERTEX_POINT('',#503750); #153145=VERTEX_POINT('',#503752); #153146=VERTEX_POINT('',#503758); #153147=VERTEX_POINT('',#503760); #153148=VERTEX_POINT('',#503764); #153149=VERTEX_POINT('',#503766); #153150=VERTEX_POINT('',#503772); #153151=VERTEX_POINT('',#503774); #153152=VERTEX_POINT('',#503778); #153153=VERTEX_POINT('',#503780); #153154=VERTEX_POINT('',#503786); #153155=VERTEX_POINT('',#503788); #153156=VERTEX_POINT('',#503792); #153157=VERTEX_POINT('',#503794); #153158=VERTEX_POINT('',#503800); #153159=VERTEX_POINT('',#503802); #153160=VERTEX_POINT('',#503806); #153161=VERTEX_POINT('',#503808); #153162=VERTEX_POINT('',#503814); #153163=VERTEX_POINT('',#503816); #153164=VERTEX_POINT('',#503820); #153165=VERTEX_POINT('',#503822); #153166=VERTEX_POINT('',#503828); #153167=VERTEX_POINT('',#503830); #153168=VERTEX_POINT('',#503834); #153169=VERTEX_POINT('',#503836); #153170=VERTEX_POINT('',#503842); #153171=VERTEX_POINT('',#503844); #153172=VERTEX_POINT('',#503848); #153173=VERTEX_POINT('',#503850); #153174=VERTEX_POINT('',#503856); #153175=VERTEX_POINT('',#503858); #153176=VERTEX_POINT('',#503862); #153177=VERTEX_POINT('',#503864); #153178=VERTEX_POINT('',#503870); #153179=VERTEX_POINT('',#503872); #153180=VERTEX_POINT('',#503876); #153181=VERTEX_POINT('',#503878); #153182=VERTEX_POINT('',#503884); #153183=VERTEX_POINT('',#503886); #153184=VERTEX_POINT('',#503890); #153185=VERTEX_POINT('',#503892); #153186=VERTEX_POINT('',#503898); #153187=VERTEX_POINT('',#503900); #153188=VERTEX_POINT('',#503904); #153189=VERTEX_POINT('',#503906); #153190=VERTEX_POINT('',#503912); #153191=VERTEX_POINT('',#503914); #153192=VERTEX_POINT('',#503918); #153193=VERTEX_POINT('',#503920); #153194=VERTEX_POINT('',#503926); #153195=VERTEX_POINT('',#503928); #153196=VERTEX_POINT('',#503932); #153197=VERTEX_POINT('',#503934); #153198=VERTEX_POINT('',#503940); #153199=VERTEX_POINT('',#503942); #153200=VERTEX_POINT('',#503946); #153201=VERTEX_POINT('',#503948); #153202=VERTEX_POINT('',#503954); #153203=VERTEX_POINT('',#503956); #153204=VERTEX_POINT('',#503960); #153205=VERTEX_POINT('',#503962); #153206=VERTEX_POINT('',#503968); #153207=VERTEX_POINT('',#503970); #153208=VERTEX_POINT('',#503974); #153209=VERTEX_POINT('',#503976); #153210=VERTEX_POINT('',#503982); #153211=VERTEX_POINT('',#503984); #153212=VERTEX_POINT('',#503988); #153213=VERTEX_POINT('',#503990); #153214=VERTEX_POINT('',#503996); #153215=VERTEX_POINT('',#503998); #153216=VERTEX_POINT('',#504002); #153217=VERTEX_POINT('',#504004); #153218=VERTEX_POINT('',#504010); #153219=VERTEX_POINT('',#504012); #153220=VERTEX_POINT('',#504016); #153221=VERTEX_POINT('',#504018); #153222=VERTEX_POINT('',#504024); #153223=VERTEX_POINT('',#504026); #153224=VERTEX_POINT('',#504030); #153225=VERTEX_POINT('',#504032); #153226=VERTEX_POINT('',#504038); #153227=VERTEX_POINT('',#504040); #153228=VERTEX_POINT('',#504044); #153229=VERTEX_POINT('',#504046); #153230=VERTEX_POINT('',#504052); #153231=VERTEX_POINT('',#504054); #153232=VERTEX_POINT('',#504058); #153233=VERTEX_POINT('',#504060); #153234=VERTEX_POINT('',#504066); #153235=VERTEX_POINT('',#504068); #153236=VERTEX_POINT('',#504072); #153237=VERTEX_POINT('',#504074); #153238=VERTEX_POINT('',#504080); #153239=VERTEX_POINT('',#504082); #153240=VERTEX_POINT('',#504086); #153241=VERTEX_POINT('',#504088); #153242=VERTEX_POINT('',#504094); #153243=VERTEX_POINT('',#504096); #153244=VERTEX_POINT('',#504100); #153245=VERTEX_POINT('',#504102); #153246=VERTEX_POINT('',#504108); #153247=VERTEX_POINT('',#504110); #153248=VERTEX_POINT('',#504114); #153249=VERTEX_POINT('',#504116); #153250=VERTEX_POINT('',#504122); #153251=VERTEX_POINT('',#504124); #153252=VERTEX_POINT('',#504128); #153253=VERTEX_POINT('',#504130); #153254=VERTEX_POINT('',#504136); #153255=VERTEX_POINT('',#504138); #153256=VERTEX_POINT('',#504142); #153257=VERTEX_POINT('',#504144); #153258=VERTEX_POINT('',#504150); #153259=VERTEX_POINT('',#504152); #153260=VERTEX_POINT('',#504156); #153261=VERTEX_POINT('',#504158); #153262=VERTEX_POINT('',#504164); #153263=VERTEX_POINT('',#504166); #153264=VERTEX_POINT('',#504170); #153265=VERTEX_POINT('',#504172); #153266=VERTEX_POINT('',#504178); #153267=VERTEX_POINT('',#504180); #153268=VERTEX_POINT('',#504184); #153269=VERTEX_POINT('',#504186); #153270=VERTEX_POINT('',#504192); #153271=VERTEX_POINT('',#504194); #153272=VERTEX_POINT('',#504198); #153273=VERTEX_POINT('',#504200); #153274=VERTEX_POINT('',#504206); #153275=VERTEX_POINT('',#504208); #153276=VERTEX_POINT('',#504212); #153277=VERTEX_POINT('',#504214); #153278=VERTEX_POINT('',#504220); #153279=VERTEX_POINT('',#504222); #153280=VERTEX_POINT('',#504226); #153281=VERTEX_POINT('',#504228); #153282=VERTEX_POINT('',#504234); #153283=VERTEX_POINT('',#504236); #153284=VERTEX_POINT('',#504240); #153285=VERTEX_POINT('',#504242); #153286=VERTEX_POINT('',#504248); #153287=VERTEX_POINT('',#504250); #153288=VERTEX_POINT('',#504254); #153289=VERTEX_POINT('',#504256); #153290=VERTEX_POINT('',#504262); #153291=VERTEX_POINT('',#504264); #153292=VERTEX_POINT('',#504268); #153293=VERTEX_POINT('',#504270); #153294=VERTEX_POINT('',#504276); #153295=VERTEX_POINT('',#504278); #153296=VERTEX_POINT('',#504282); #153297=VERTEX_POINT('',#504284); #153298=VERTEX_POINT('',#504290); #153299=VERTEX_POINT('',#504292); #153300=VERTEX_POINT('',#504296); #153301=VERTEX_POINT('',#504298); #153302=VERTEX_POINT('',#504304); #153303=VERTEX_POINT('',#504306); #153304=VERTEX_POINT('',#504310); #153305=VERTEX_POINT('',#504312); #153306=VERTEX_POINT('',#504318); #153307=VERTEX_POINT('',#504320); #153308=VERTEX_POINT('',#504324); #153309=VERTEX_POINT('',#504326); #153310=VERTEX_POINT('',#504332); #153311=VERTEX_POINT('',#504334); #153312=VERTEX_POINT('',#504338); #153313=VERTEX_POINT('',#504340); #153314=VERTEX_POINT('',#504346); #153315=VERTEX_POINT('',#504348); #153316=VERTEX_POINT('',#504352); #153317=VERTEX_POINT('',#504354); #153318=VERTEX_POINT('',#504360); #153319=VERTEX_POINT('',#504362); #153320=VERTEX_POINT('',#504366); #153321=VERTEX_POINT('',#504368); #153322=VERTEX_POINT('',#504374); #153323=VERTEX_POINT('',#504376); #153324=VERTEX_POINT('',#504380); #153325=VERTEX_POINT('',#504382); #153326=VERTEX_POINT('',#504388); #153327=VERTEX_POINT('',#504390); #153328=VERTEX_POINT('',#504394); #153329=VERTEX_POINT('',#504396); #153330=VERTEX_POINT('',#504402); #153331=VERTEX_POINT('',#504404); #153332=VERTEX_POINT('',#504408); #153333=VERTEX_POINT('',#504410); #153334=VERTEX_POINT('',#504416); #153335=VERTEX_POINT('',#504418); #153336=VERTEX_POINT('',#504422); #153337=VERTEX_POINT('',#504424); #153338=VERTEX_POINT('',#504430); #153339=VERTEX_POINT('',#504432); #153340=VERTEX_POINT('',#504436); #153341=VERTEX_POINT('',#504438); #153342=VERTEX_POINT('',#504444); #153343=VERTEX_POINT('',#504446); #153344=VERTEX_POINT('',#504450); #153345=VERTEX_POINT('',#504452); #153346=VERTEX_POINT('',#504458); #153347=VERTEX_POINT('',#504460); #153348=VERTEX_POINT('',#504464); #153349=VERTEX_POINT('',#504466); #153350=VERTEX_POINT('',#504472); #153351=VERTEX_POINT('',#504474); #153352=VERTEX_POINT('',#504478); #153353=VERTEX_POINT('',#504480); #153354=VERTEX_POINT('',#504486); #153355=VERTEX_POINT('',#504488); #153356=VERTEX_POINT('',#504492); #153357=VERTEX_POINT('',#504494); #153358=VERTEX_POINT('',#504500); #153359=VERTEX_POINT('',#504502); #153360=VERTEX_POINT('',#504506); #153361=VERTEX_POINT('',#504508); #153362=VERTEX_POINT('',#504514); #153363=VERTEX_POINT('',#504516); #153364=VERTEX_POINT('',#504520); #153365=VERTEX_POINT('',#504522); #153366=VERTEX_POINT('',#504528); #153367=VERTEX_POINT('',#504530); #153368=VERTEX_POINT('',#504534); #153369=VERTEX_POINT('',#504536); #153370=VERTEX_POINT('',#504542); #153371=VERTEX_POINT('',#504544); #153372=VERTEX_POINT('',#504548); #153373=VERTEX_POINT('',#504550); #153374=VERTEX_POINT('',#504556); #153375=VERTEX_POINT('',#504558); #153376=VERTEX_POINT('',#504562); #153377=VERTEX_POINT('',#504564); #153378=VERTEX_POINT('',#504570); #153379=VERTEX_POINT('',#504572); #153380=VERTEX_POINT('',#504576); #153381=VERTEX_POINT('',#504578); #153382=VERTEX_POINT('',#504584); #153383=VERTEX_POINT('',#504586); #153384=VERTEX_POINT('',#504590); #153385=VERTEX_POINT('',#504592); #153386=VERTEX_POINT('',#504598); #153387=VERTEX_POINT('',#504600); #153388=VERTEX_POINT('',#504604); #153389=VERTEX_POINT('',#504606); #153390=VERTEX_POINT('',#504612); #153391=VERTEX_POINT('',#504614); #153392=VERTEX_POINT('',#504618); #153393=VERTEX_POINT('',#504620); #153394=VERTEX_POINT('',#504626); #153395=VERTEX_POINT('',#504628); #153396=VERTEX_POINT('',#504632); #153397=VERTEX_POINT('',#504634); #153398=VERTEX_POINT('',#504640); #153399=VERTEX_POINT('',#504642); #153400=VERTEX_POINT('',#504646); #153401=VERTEX_POINT('',#504648); #153402=VERTEX_POINT('',#504654); #153403=VERTEX_POINT('',#504656); #153404=VERTEX_POINT('',#504660); #153405=VERTEX_POINT('',#504662); #153406=VERTEX_POINT('',#504668); #153407=VERTEX_POINT('',#504670); #153408=VERTEX_POINT('',#504674); #153409=VERTEX_POINT('',#504676); #153410=VERTEX_POINT('',#504682); #153411=VERTEX_POINT('',#504684); #153412=VERTEX_POINT('',#504688); #153413=VERTEX_POINT('',#504690); #153414=VERTEX_POINT('',#504696); #153415=VERTEX_POINT('',#504698); #153416=VERTEX_POINT('',#504702); #153417=VERTEX_POINT('',#504704); #153418=VERTEX_POINT('',#504710); #153419=VERTEX_POINT('',#504712); #153420=VERTEX_POINT('',#504716); #153421=VERTEX_POINT('',#504718); #153422=VERTEX_POINT('',#504724); #153423=VERTEX_POINT('',#504726); #153424=VERTEX_POINT('',#504730); #153425=VERTEX_POINT('',#504732); #153426=VERTEX_POINT('',#504738); #153427=VERTEX_POINT('',#504740); #153428=VERTEX_POINT('',#504744); #153429=VERTEX_POINT('',#504746); #153430=VERTEX_POINT('',#504752); #153431=VERTEX_POINT('',#504754); #153432=VERTEX_POINT('',#504758); #153433=VERTEX_POINT('',#504760); #153434=VERTEX_POINT('',#504766); #153435=VERTEX_POINT('',#504767); #153436=VERTEX_POINT('',#504769); #153437=VERTEX_POINT('',#504771); #153438=VERTEX_POINT('',#504775); #153439=VERTEX_POINT('',#504777); #153440=VERTEX_POINT('',#504781); #153441=VERTEX_POINT('',#504783); #153442=VERTEX_POINT('',#504790); #153443=VERTEX_POINT('',#504791); #153444=VERTEX_POINT('',#504793); #153445=VERTEX_POINT('',#504795); #153446=VERTEX_POINT('',#504799); #153447=VERTEX_POINT('',#504801); #153448=VERTEX_POINT('',#504805); #153449=VERTEX_POINT('',#504807); #153450=VERTEX_POINT('',#504816); #153451=VERTEX_POINT('',#504818); #153452=VERTEX_POINT('',#504822); #153453=VERTEX_POINT('',#504824); #153454=VERTEX_POINT('',#504830); #153455=VERTEX_POINT('',#504831); #153456=VERTEX_POINT('',#504833); #153457=VERTEX_POINT('',#504835); #153458=VERTEX_POINT('',#504839); #153459=VERTEX_POINT('',#504841); #153460=VERTEX_POINT('',#504845); #153461=VERTEX_POINT('',#504847); #153462=VERTEX_POINT('',#504854); #153463=VERTEX_POINT('',#504855); #153464=VERTEX_POINT('',#504857); #153465=VERTEX_POINT('',#504859); #153466=VERTEX_POINT('',#504863); #153467=VERTEX_POINT('',#504865); #153468=VERTEX_POINT('',#504869); #153469=VERTEX_POINT('',#504871); #153470=VERTEX_POINT('',#504880); #153471=VERTEX_POINT('',#504882); #153472=VERTEX_POINT('',#504886); #153473=VERTEX_POINT('',#504888); #153474=VERTEX_POINT('',#504894); #153475=VERTEX_POINT('',#504895); #153476=VERTEX_POINT('',#504897); #153477=VERTEX_POINT('',#504899); #153478=VERTEX_POINT('',#504903); #153479=VERTEX_POINT('',#504905); #153480=VERTEX_POINT('',#504909); #153481=VERTEX_POINT('',#504911); #153482=VERTEX_POINT('',#504918); #153483=VERTEX_POINT('',#504919); #153484=VERTEX_POINT('',#504921); #153485=VERTEX_POINT('',#504923); #153486=VERTEX_POINT('',#504927); #153487=VERTEX_POINT('',#504929); #153488=VERTEX_POINT('',#504933); #153489=VERTEX_POINT('',#504935); #153490=VERTEX_POINT('',#504944); #153491=VERTEX_POINT('',#504946); #153492=VERTEX_POINT('',#504950); #153493=VERTEX_POINT('',#504952); #153494=VERTEX_POINT('',#504958); #153495=VERTEX_POINT('',#504960); #153496=VERTEX_POINT('',#504964); #153497=VERTEX_POINT('',#504966); #153498=VERTEX_POINT('',#504972); #153499=VERTEX_POINT('',#504974); #153500=VERTEX_POINT('',#504978); #153501=VERTEX_POINT('',#504980); #153502=VERTEX_POINT('',#504986); #153503=VERTEX_POINT('',#504988); #153504=VERTEX_POINT('',#504992); #153505=VERTEX_POINT('',#504994); #153506=VERTEX_POINT('',#505000); #153507=VERTEX_POINT('',#505002); #153508=VERTEX_POINT('',#505006); #153509=VERTEX_POINT('',#505008); #153510=VERTEX_POINT('',#505014); #153511=VERTEX_POINT('',#505016); #153512=VERTEX_POINT('',#505020); #153513=VERTEX_POINT('',#505022); #153514=VERTEX_POINT('',#505028); #153515=VERTEX_POINT('',#505030); #153516=VERTEX_POINT('',#505034); #153517=VERTEX_POINT('',#505036); #153518=VERTEX_POINT('',#505042); #153519=VERTEX_POINT('',#505044); #153520=VERTEX_POINT('',#505048); #153521=VERTEX_POINT('',#505050); #153522=VERTEX_POINT('',#505056); #153523=VERTEX_POINT('',#505058); #153524=VERTEX_POINT('',#505062); #153525=VERTEX_POINT('',#505064); #153526=VERTEX_POINT('',#505070); #153527=VERTEX_POINT('',#505072); #153528=VERTEX_POINT('',#505076); #153529=VERTEX_POINT('',#505078); #153530=VERTEX_POINT('',#505084); #153531=VERTEX_POINT('',#505085); #153532=VERTEX_POINT('',#505087); #153533=VERTEX_POINT('',#505089); #153534=VERTEX_POINT('',#505093); #153535=VERTEX_POINT('',#505095); #153536=VERTEX_POINT('',#505099); #153537=VERTEX_POINT('',#505101); #153538=VERTEX_POINT('',#505108); #153539=VERTEX_POINT('',#505109); #153540=VERTEX_POINT('',#505111); #153541=VERTEX_POINT('',#505113); #153542=VERTEX_POINT('',#505117); #153543=VERTEX_POINT('',#505119); #153544=VERTEX_POINT('',#505123); #153545=VERTEX_POINT('',#505125); #153546=VERTEX_POINT('',#505134); #153547=VERTEX_POINT('',#505136); #153548=VERTEX_POINT('',#505140); #153549=VERTEX_POINT('',#505142); #153550=VERTEX_POINT('',#505148); #153551=VERTEX_POINT('',#505150); #153552=VERTEX_POINT('',#505154); #153553=VERTEX_POINT('',#505156); #153554=VERTEX_POINT('',#505162); #153555=VERTEX_POINT('',#505164); #153556=VERTEX_POINT('',#505168); #153557=VERTEX_POINT('',#505170); #153558=VERTEX_POINT('',#505176); #153559=VERTEX_POINT('',#505177); #153560=VERTEX_POINT('',#505179); #153561=VERTEX_POINT('',#505181); #153562=VERTEX_POINT('',#505185); #153563=VERTEX_POINT('',#505187); #153564=VERTEX_POINT('',#505191); #153565=VERTEX_POINT('',#505193); #153566=VERTEX_POINT('',#505200); #153567=VERTEX_POINT('',#505201); #153568=VERTEX_POINT('',#505203); #153569=VERTEX_POINT('',#505205); #153570=VERTEX_POINT('',#505209); #153571=VERTEX_POINT('',#505211); #153572=VERTEX_POINT('',#505215); #153573=VERTEX_POINT('',#505217); #153574=VERTEX_POINT('',#505226); #153575=VERTEX_POINT('',#505228); #153576=VERTEX_POINT('',#505232); #153577=VERTEX_POINT('',#505234); #153578=VERTEX_POINT('',#505240); #153579=VERTEX_POINT('',#505241); #153580=VERTEX_POINT('',#505243); #153581=VERTEX_POINT('',#505245); #153582=VERTEX_POINT('',#505249); #153583=VERTEX_POINT('',#505251); #153584=VERTEX_POINT('',#505255); #153585=VERTEX_POINT('',#505257); #153586=VERTEX_POINT('',#505264); #153587=VERTEX_POINT('',#505265); #153588=VERTEX_POINT('',#505267); #153589=VERTEX_POINT('',#505269); #153590=VERTEX_POINT('',#505273); #153591=VERTEX_POINT('',#505275); #153592=VERTEX_POINT('',#505279); #153593=VERTEX_POINT('',#505281); #153594=VERTEX_POINT('',#505290); #153595=VERTEX_POINT('',#505292); #153596=VERTEX_POINT('',#505296); #153597=VERTEX_POINT('',#505298); #153598=VERTEX_POINT('',#505304); #153599=VERTEX_POINT('',#505306); #153600=VERTEX_POINT('',#505310); #153601=VERTEX_POINT('',#505312); #153602=VERTEX_POINT('',#505318); #153603=VERTEX_POINT('',#505320); #153604=VERTEX_POINT('',#505324); #153605=VERTEX_POINT('',#505326); #153606=VERTEX_POINT('',#505332); #153607=VERTEX_POINT('',#505334); #153608=VERTEX_POINT('',#505338); #153609=VERTEX_POINT('',#505340); #153610=VERTEX_POINT('',#505346); #153611=VERTEX_POINT('',#505348); #153612=VERTEX_POINT('',#505352); #153613=VERTEX_POINT('',#505354); #153614=VERTEX_POINT('',#505360); #153615=VERTEX_POINT('',#505362); #153616=VERTEX_POINT('',#505366); #153617=VERTEX_POINT('',#505368); #153618=VERTEX_POINT('',#505374); #153619=VERTEX_POINT('',#505376); #153620=VERTEX_POINT('',#505380); #153621=VERTEX_POINT('',#505382); #153622=VERTEX_POINT('',#505388); #153623=VERTEX_POINT('',#505390); #153624=VERTEX_POINT('',#505394); #153625=VERTEX_POINT('',#505396); #153626=VERTEX_POINT('',#505402); #153627=VERTEX_POINT('',#505404); #153628=VERTEX_POINT('',#505408); #153629=VERTEX_POINT('',#505410); #153630=VERTEX_POINT('',#505416); #153631=VERTEX_POINT('',#505418); #153632=VERTEX_POINT('',#505422); #153633=VERTEX_POINT('',#505424); #153634=VERTEX_POINT('',#505430); #153635=VERTEX_POINT('',#505432); #153636=VERTEX_POINT('',#505436); #153637=VERTEX_POINT('',#505438); #153638=VERTEX_POINT('',#505444); #153639=VERTEX_POINT('',#505446); #153640=VERTEX_POINT('',#505450); #153641=VERTEX_POINT('',#505452); #153642=VERTEX_POINT('',#505458); #153643=VERTEX_POINT('',#505460); #153644=VERTEX_POINT('',#505464); #153645=VERTEX_POINT('',#505466); #153646=VERTEX_POINT('',#505472); #153647=VERTEX_POINT('',#505474); #153648=VERTEX_POINT('',#505478); #153649=VERTEX_POINT('',#505480); #153650=VERTEX_POINT('',#505486); #153651=VERTEX_POINT('',#505488); #153652=VERTEX_POINT('',#505492); #153653=VERTEX_POINT('',#505494); #153654=VERTEX_POINT('',#505500); #153655=VERTEX_POINT('',#505502); #153656=VERTEX_POINT('',#505506); #153657=VERTEX_POINT('',#505508); #153658=VERTEX_POINT('',#505514); #153659=VERTEX_POINT('',#505516); #153660=VERTEX_POINT('',#505520); #153661=VERTEX_POINT('',#505522); #153662=VERTEX_POINT('',#505528); #153663=VERTEX_POINT('',#505530); #153664=VERTEX_POINT('',#505534); #153665=VERTEX_POINT('',#505536); #153666=VERTEX_POINT('',#505542); #153667=VERTEX_POINT('',#505544); #153668=VERTEX_POINT('',#505548); #153669=VERTEX_POINT('',#505550); #153670=VERTEX_POINT('',#505556); #153671=VERTEX_POINT('',#505558); #153672=VERTEX_POINT('',#505562); #153673=VERTEX_POINT('',#505564); #153674=VERTEX_POINT('',#505570); #153675=VERTEX_POINT('',#505572); #153676=VERTEX_POINT('',#505576); #153677=VERTEX_POINT('',#505578); #153678=VERTEX_POINT('',#505584); #153679=VERTEX_POINT('',#505586); #153680=VERTEX_POINT('',#505590); #153681=VERTEX_POINT('',#505592); #153682=VERTEX_POINT('',#505598); #153683=VERTEX_POINT('',#505600); #153684=VERTEX_POINT('',#505604); #153685=VERTEX_POINT('',#505606); #153686=VERTEX_POINT('',#505612); #153687=VERTEX_POINT('',#505614); #153688=VERTEX_POINT('',#505618); #153689=VERTEX_POINT('',#505620); #153690=VERTEX_POINT('',#505626); #153691=VERTEX_POINT('',#505628); #153692=VERTEX_POINT('',#505632); #153693=VERTEX_POINT('',#505634); #153694=VERTEX_POINT('',#505640); #153695=VERTEX_POINT('',#505642); #153696=VERTEX_POINT('',#505646); #153697=VERTEX_POINT('',#505648); #153698=VERTEX_POINT('',#505654); #153699=VERTEX_POINT('',#505656); #153700=VERTEX_POINT('',#505660); #153701=VERTEX_POINT('',#505662); #153702=VERTEX_POINT('',#505668); #153703=VERTEX_POINT('',#505670); #153704=VERTEX_POINT('',#505674); #153705=VERTEX_POINT('',#505676); #153706=VERTEX_POINT('',#505682); #153707=VERTEX_POINT('',#505684); #153708=VERTEX_POINT('',#505688); #153709=VERTEX_POINT('',#505690); #153710=VERTEX_POINT('',#505696); #153711=VERTEX_POINT('',#505698); #153712=VERTEX_POINT('',#505702); #153713=VERTEX_POINT('',#505704); #153714=VERTEX_POINT('',#505710); #153715=VERTEX_POINT('',#505712); #153716=VERTEX_POINT('',#505716); #153717=VERTEX_POINT('',#505718); #153718=VERTEX_POINT('',#505724); #153719=VERTEX_POINT('',#505726); #153720=VERTEX_POINT('',#505730); #153721=VERTEX_POINT('',#505732); #153722=VERTEX_POINT('',#505738); #153723=VERTEX_POINT('',#505740); #153724=VERTEX_POINT('',#505744); #153725=VERTEX_POINT('',#505746); #153726=VERTEX_POINT('',#505752); #153727=VERTEX_POINT('',#505754); #153728=VERTEX_POINT('',#505758); #153729=VERTEX_POINT('',#505760); #153730=VERTEX_POINT('',#505766); #153731=VERTEX_POINT('',#505768); #153732=VERTEX_POINT('',#505772); #153733=VERTEX_POINT('',#505774); #153734=VERTEX_POINT('',#505780); #153735=VERTEX_POINT('',#505782); #153736=VERTEX_POINT('',#505786); #153737=VERTEX_POINT('',#505788); #153738=VERTEX_POINT('',#505794); #153739=VERTEX_POINT('',#505796); #153740=VERTEX_POINT('',#505800); #153741=VERTEX_POINT('',#505802); #153742=VERTEX_POINT('',#505808); #153743=VERTEX_POINT('',#505810); #153744=VERTEX_POINT('',#505814); #153745=VERTEX_POINT('',#505816); #153746=VERTEX_POINT('',#505822); #153747=VERTEX_POINT('',#505824); #153748=VERTEX_POINT('',#505828); #153749=VERTEX_POINT('',#505830); #153750=VERTEX_POINT('',#505836); #153751=VERTEX_POINT('',#505838); #153752=VERTEX_POINT('',#505842); #153753=VERTEX_POINT('',#505844); #153754=VERTEX_POINT('',#505850); #153755=VERTEX_POINT('',#505852); #153756=VERTEX_POINT('',#505856); #153757=VERTEX_POINT('',#505858); #153758=VERTEX_POINT('',#505864); #153759=VERTEX_POINT('',#505866); #153760=VERTEX_POINT('',#505870); #153761=VERTEX_POINT('',#505872); #153762=VERTEX_POINT('',#505878); #153763=VERTEX_POINT('',#505880); #153764=VERTEX_POINT('',#505884); #153765=VERTEX_POINT('',#505886); #153766=VERTEX_POINT('',#505892); #153767=VERTEX_POINT('',#505894); #153768=VERTEX_POINT('',#505898); #153769=VERTEX_POINT('',#505900); #153770=VERTEX_POINT('',#505906); #153771=VERTEX_POINT('',#505908); #153772=VERTEX_POINT('',#505912); #153773=VERTEX_POINT('',#505914); #153774=VERTEX_POINT('',#505920); #153775=VERTEX_POINT('',#505922); #153776=VERTEX_POINT('',#505926); #153777=VERTEX_POINT('',#505928); #153778=VERTEX_POINT('',#505934); #153779=VERTEX_POINT('',#505936); #153780=VERTEX_POINT('',#505940); #153781=VERTEX_POINT('',#505942); #153782=VERTEX_POINT('',#505948); #153783=VERTEX_POINT('',#505950); #153784=VERTEX_POINT('',#505954); #153785=VERTEX_POINT('',#505956); #153786=VERTEX_POINT('',#505962); #153787=VERTEX_POINT('',#505964); #153788=VERTEX_POINT('',#505968); #153789=VERTEX_POINT('',#505970); #153790=VERTEX_POINT('',#505976); #153791=VERTEX_POINT('',#505978); #153792=VERTEX_POINT('',#505982); #153793=VERTEX_POINT('',#505984); #153794=VERTEX_POINT('',#505990); #153795=VERTEX_POINT('',#505992); #153796=VERTEX_POINT('',#505996); #153797=VERTEX_POINT('',#505998); #153798=VERTEX_POINT('',#506004); #153799=VERTEX_POINT('',#506006); #153800=VERTEX_POINT('',#506010); #153801=VERTEX_POINT('',#506012); #153802=VERTEX_POINT('',#506018); #153803=VERTEX_POINT('',#506020); #153804=VERTEX_POINT('',#506024); #153805=VERTEX_POINT('',#506026); #153806=VERTEX_POINT('',#506032); #153807=VERTEX_POINT('',#506034); #153808=VERTEX_POINT('',#506038); #153809=VERTEX_POINT('',#506040); #153810=VERTEX_POINT('',#506046); #153811=VERTEX_POINT('',#506048); #153812=VERTEX_POINT('',#506052); #153813=VERTEX_POINT('',#506054); #153814=VERTEX_POINT('',#506060); #153815=VERTEX_POINT('',#506062); #153816=VERTEX_POINT('',#506066); #153817=VERTEX_POINT('',#506068); #153818=VERTEX_POINT('',#506074); #153819=VERTEX_POINT('',#506076); #153820=VERTEX_POINT('',#506080); #153821=VERTEX_POINT('',#506082); #153822=VERTEX_POINT('',#506088); #153823=VERTEX_POINT('',#506090); #153824=VERTEX_POINT('',#506094); #153825=VERTEX_POINT('',#506096); #153826=VERTEX_POINT('',#506102); #153827=VERTEX_POINT('',#506104); #153828=VERTEX_POINT('',#506108); #153829=VERTEX_POINT('',#506110); #153830=VERTEX_POINT('',#506116); #153831=VERTEX_POINT('',#506118); #153832=VERTEX_POINT('',#506122); #153833=VERTEX_POINT('',#506124); #153834=VERTEX_POINT('',#506130); #153835=VERTEX_POINT('',#506132); #153836=VERTEX_POINT('',#506136); #153837=VERTEX_POINT('',#506138); #153838=VERTEX_POINT('',#506144); #153839=VERTEX_POINT('',#506146); #153840=VERTEX_POINT('',#506150); #153841=VERTEX_POINT('',#506152); #153842=VERTEX_POINT('',#506158); #153843=VERTEX_POINT('',#506160); #153844=VERTEX_POINT('',#506164); #153845=VERTEX_POINT('',#506166); #153846=VERTEX_POINT('',#506172); #153847=VERTEX_POINT('',#506174); #153848=VERTEX_POINT('',#506178); #153849=VERTEX_POINT('',#506180); #153850=VERTEX_POINT('',#506186); #153851=VERTEX_POINT('',#506188); #153852=VERTEX_POINT('',#506192); #153853=VERTEX_POINT('',#506194); #153854=VERTEX_POINT('',#506200); #153855=VERTEX_POINT('',#506202); #153856=VERTEX_POINT('',#506206); #153857=VERTEX_POINT('',#506208); #153858=VERTEX_POINT('',#506214); #153859=VERTEX_POINT('',#506216); #153860=VERTEX_POINT('',#506220); #153861=VERTEX_POINT('',#506222); #153862=VERTEX_POINT('',#506228); #153863=VERTEX_POINT('',#506230); #153864=VERTEX_POINT('',#506234); #153865=VERTEX_POINT('',#506236); #153866=VERTEX_POINT('',#506242); #153867=VERTEX_POINT('',#506244); #153868=VERTEX_POINT('',#506248); #153869=VERTEX_POINT('',#506250); #153870=VERTEX_POINT('',#506256); #153871=VERTEX_POINT('',#506258); #153872=VERTEX_POINT('',#506262); #153873=VERTEX_POINT('',#506264); #153874=VERTEX_POINT('',#506270); #153875=VERTEX_POINT('',#506272); #153876=VERTEX_POINT('',#506276); #153877=VERTEX_POINT('',#506278); #153878=VERTEX_POINT('',#506284); #153879=VERTEX_POINT('',#506286); #153880=VERTEX_POINT('',#506290); #153881=VERTEX_POINT('',#506292); #153882=VERTEX_POINT('',#506298); #153883=VERTEX_POINT('',#506300); #153884=VERTEX_POINT('',#506304); #153885=VERTEX_POINT('',#506306); #153886=VERTEX_POINT('',#506312); #153887=VERTEX_POINT('',#506314); #153888=VERTEX_POINT('',#506318); #153889=VERTEX_POINT('',#506320); #153890=VERTEX_POINT('',#506326); #153891=VERTEX_POINT('',#506328); #153892=VERTEX_POINT('',#506332); #153893=VERTEX_POINT('',#506334); #153894=VERTEX_POINT('',#506340); #153895=VERTEX_POINT('',#506342); #153896=VERTEX_POINT('',#506346); #153897=VERTEX_POINT('',#506348); #153898=VERTEX_POINT('',#506354); #153899=VERTEX_POINT('',#506356); #153900=VERTEX_POINT('',#506360); #153901=VERTEX_POINT('',#506362); #153902=VERTEX_POINT('',#506368); #153903=VERTEX_POINT('',#506370); #153904=VERTEX_POINT('',#506374); #153905=VERTEX_POINT('',#506376); #153906=VERTEX_POINT('',#506382); #153907=VERTEX_POINT('',#506384); #153908=VERTEX_POINT('',#506388); #153909=VERTEX_POINT('',#506390); #153910=VERTEX_POINT('',#506396); #153911=VERTEX_POINT('',#506398); #153912=VERTEX_POINT('',#506402); #153913=VERTEX_POINT('',#506404); #153914=VERTEX_POINT('',#506410); #153915=VERTEX_POINT('',#506412); #153916=VERTEX_POINT('',#506416); #153917=VERTEX_POINT('',#506418); #153918=VERTEX_POINT('',#506424); #153919=VERTEX_POINT('',#506426); #153920=VERTEX_POINT('',#506430); #153921=VERTEX_POINT('',#506432); #153922=VERTEX_POINT('',#506438); #153923=VERTEX_POINT('',#506440); #153924=VERTEX_POINT('',#506444); #153925=VERTEX_POINT('',#506446); #153926=VERTEX_POINT('',#506452); #153927=VERTEX_POINT('',#506454); #153928=VERTEX_POINT('',#506458); #153929=VERTEX_POINT('',#506460); #153930=VERTEX_POINT('',#506466); #153931=VERTEX_POINT('',#506468); #153932=VERTEX_POINT('',#506472); #153933=VERTEX_POINT('',#506474); #153934=VERTEX_POINT('',#506480); #153935=VERTEX_POINT('',#506482); #153936=VERTEX_POINT('',#506486); #153937=VERTEX_POINT('',#506488); #153938=VERTEX_POINT('',#506494); #153939=VERTEX_POINT('',#506496); #153940=VERTEX_POINT('',#506500); #153941=VERTEX_POINT('',#506502); #153942=VERTEX_POINT('',#506508); #153943=VERTEX_POINT('',#506510); #153944=VERTEX_POINT('',#506514); #153945=VERTEX_POINT('',#506516); #153946=VERTEX_POINT('',#506522); #153947=VERTEX_POINT('',#506524); #153948=VERTEX_POINT('',#506528); #153949=VERTEX_POINT('',#506530); #153950=VERTEX_POINT('',#506536); #153951=VERTEX_POINT('',#506538); #153952=VERTEX_POINT('',#506542); #153953=VERTEX_POINT('',#506544); #153954=VERTEX_POINT('',#506550); #153955=VERTEX_POINT('',#506552); #153956=VERTEX_POINT('',#506556); #153957=VERTEX_POINT('',#506558); #153958=VERTEX_POINT('',#506564); #153959=VERTEX_POINT('',#506566); #153960=VERTEX_POINT('',#506570); #153961=VERTEX_POINT('',#506572); #153962=VERTEX_POINT('',#506578); #153963=VERTEX_POINT('',#506580); #153964=VERTEX_POINT('',#506584); #153965=VERTEX_POINT('',#506586); #153966=VERTEX_POINT('',#506592); #153967=VERTEX_POINT('',#506594); #153968=VERTEX_POINT('',#506598); #153969=VERTEX_POINT('',#506600); #153970=VERTEX_POINT('',#506606); #153971=VERTEX_POINT('',#506608); #153972=VERTEX_POINT('',#506612); #153973=VERTEX_POINT('',#506614); #153974=VERTEX_POINT('',#506620); #153975=VERTEX_POINT('',#506622); #153976=VERTEX_POINT('',#506626); #153977=VERTEX_POINT('',#506628); #153978=VERTEX_POINT('',#506634); #153979=VERTEX_POINT('',#506636); #153980=VERTEX_POINT('',#506640); #153981=VERTEX_POINT('',#506642); #153982=VERTEX_POINT('',#506648); #153983=VERTEX_POINT('',#506650); #153984=VERTEX_POINT('',#506654); #153985=VERTEX_POINT('',#506656); #153986=VERTEX_POINT('',#506662); #153987=VERTEX_POINT('',#506664); #153988=VERTEX_POINT('',#506668); #153989=VERTEX_POINT('',#506670); #153990=VERTEX_POINT('',#506676); #153991=VERTEX_POINT('',#506678); #153992=VERTEX_POINT('',#506682); #153993=VERTEX_POINT('',#506684); #153994=VERTEX_POINT('',#506690); #153995=VERTEX_POINT('',#506692); #153996=VERTEX_POINT('',#506696); #153997=VERTEX_POINT('',#506698); #153998=VERTEX_POINT('',#506704); #153999=VERTEX_POINT('',#506706); #154000=VERTEX_POINT('',#506710); #154001=VERTEX_POINT('',#506712); #154002=VERTEX_POINT('',#506718); #154003=VERTEX_POINT('',#506720); #154004=VERTEX_POINT('',#506724); #154005=VERTEX_POINT('',#506726); #154006=VERTEX_POINT('',#506732); #154007=VERTEX_POINT('',#506734); #154008=VERTEX_POINT('',#506738); #154009=VERTEX_POINT('',#506740); #154010=VERTEX_POINT('',#506746); #154011=VERTEX_POINT('',#506748); #154012=VERTEX_POINT('',#506752); #154013=VERTEX_POINT('',#506754); #154014=VERTEX_POINT('',#506760); #154015=VERTEX_POINT('',#506762); #154016=VERTEX_POINT('',#506766); #154017=VERTEX_POINT('',#506768); #154018=VERTEX_POINT('',#506774); #154019=VERTEX_POINT('',#506776); #154020=VERTEX_POINT('',#506780); #154021=VERTEX_POINT('',#506782); #154022=VERTEX_POINT('',#506788); #154023=VERTEX_POINT('',#506790); #154024=VERTEX_POINT('',#506794); #154025=VERTEX_POINT('',#506796); #154026=VERTEX_POINT('',#506802); #154027=VERTEX_POINT('',#506804); #154028=VERTEX_POINT('',#506808); #154029=VERTEX_POINT('',#506810); #154030=VERTEX_POINT('',#506816); #154031=VERTEX_POINT('',#506818); #154032=VERTEX_POINT('',#506822); #154033=VERTEX_POINT('',#506824); #154034=VERTEX_POINT('',#506830); #154035=VERTEX_POINT('',#506832); #154036=VERTEX_POINT('',#506836); #154037=VERTEX_POINT('',#506838); #154038=VERTEX_POINT('',#506844); #154039=VERTEX_POINT('',#506846); #154040=VERTEX_POINT('',#506850); #154041=VERTEX_POINT('',#506852); #154042=VERTEX_POINT('',#506858); #154043=VERTEX_POINT('',#506860); #154044=VERTEX_POINT('',#506864); #154045=VERTEX_POINT('',#506866); #154046=VERTEX_POINT('',#506872); #154047=VERTEX_POINT('',#506874); #154048=VERTEX_POINT('',#506878); #154049=VERTEX_POINT('',#506880); #154050=VERTEX_POINT('',#506886); #154051=VERTEX_POINT('',#506888); #154052=VERTEX_POINT('',#506892); #154053=VERTEX_POINT('',#506894); #154054=VERTEX_POINT('',#506900); #154055=VERTEX_POINT('',#506902); #154056=VERTEX_POINT('',#506906); #154057=VERTEX_POINT('',#506908); #154058=VERTEX_POINT('',#506914); #154059=VERTEX_POINT('',#506916); #154060=VERTEX_POINT('',#506920); #154061=VERTEX_POINT('',#506922); #154062=VERTEX_POINT('',#506928); #154063=VERTEX_POINT('',#506930); #154064=VERTEX_POINT('',#506934); #154065=VERTEX_POINT('',#506936); #154066=VERTEX_POINT('',#506942); #154067=VERTEX_POINT('',#506944); #154068=VERTEX_POINT('',#506948); #154069=VERTEX_POINT('',#506950); #154070=VERTEX_POINT('',#506956); #154071=VERTEX_POINT('',#506958); #154072=VERTEX_POINT('',#506962); #154073=VERTEX_POINT('',#506964); #154074=VERTEX_POINT('',#506970); #154075=VERTEX_POINT('',#506972); #154076=VERTEX_POINT('',#506976); #154077=VERTEX_POINT('',#506978); #154078=VERTEX_POINT('',#506984); #154079=VERTEX_POINT('',#506986); #154080=VERTEX_POINT('',#506990); #154081=VERTEX_POINT('',#506992); #154082=VERTEX_POINT('',#506998); #154083=VERTEX_POINT('',#507000); #154084=VERTEX_POINT('',#507004); #154085=VERTEX_POINT('',#507006); #154086=VERTEX_POINT('',#507012); #154087=VERTEX_POINT('',#507014); #154088=VERTEX_POINT('',#507018); #154089=VERTEX_POINT('',#507020); #154090=VERTEX_POINT('',#507026); #154091=VERTEX_POINT('',#507028); #154092=VERTEX_POINT('',#507032); #154093=VERTEX_POINT('',#507034); #154094=VERTEX_POINT('',#507040); #154095=VERTEX_POINT('',#507042); #154096=VERTEX_POINT('',#507046); #154097=VERTEX_POINT('',#507048); #154098=VERTEX_POINT('',#507054); #154099=VERTEX_POINT('',#507056); #154100=VERTEX_POINT('',#507060); #154101=VERTEX_POINT('',#507062); #154102=VERTEX_POINT('',#507068); #154103=VERTEX_POINT('',#507070); #154104=VERTEX_POINT('',#507074); #154105=VERTEX_POINT('',#507076); #154106=VERTEX_POINT('',#507082); #154107=VERTEX_POINT('',#507084); #154108=VERTEX_POINT('',#507088); #154109=VERTEX_POINT('',#507090); #154110=VERTEX_POINT('',#507096); #154111=VERTEX_POINT('',#507098); #154112=VERTEX_POINT('',#507102); #154113=VERTEX_POINT('',#507104); #154114=VERTEX_POINT('',#507110); #154115=VERTEX_POINT('',#507112); #154116=VERTEX_POINT('',#507116); #154117=VERTEX_POINT('',#507118); #154118=VERTEX_POINT('',#507124); #154119=VERTEX_POINT('',#507126); #154120=VERTEX_POINT('',#507130); #154121=VERTEX_POINT('',#507132); #154122=VERTEX_POINT('',#507138); #154123=VERTEX_POINT('',#507140); #154124=VERTEX_POINT('',#507144); #154125=VERTEX_POINT('',#507146); #154126=VERTEX_POINT('',#507152); #154127=VERTEX_POINT('',#507154); #154128=VERTEX_POINT('',#507158); #154129=VERTEX_POINT('',#507160); #154130=VERTEX_POINT('',#507166); #154131=VERTEX_POINT('',#507168); #154132=VERTEX_POINT('',#507172); #154133=VERTEX_POINT('',#507174); #154134=VERTEX_POINT('',#507180); #154135=VERTEX_POINT('',#507182); #154136=VERTEX_POINT('',#507186); #154137=VERTEX_POINT('',#507188); #154138=VERTEX_POINT('',#507194); #154139=VERTEX_POINT('',#507196); #154140=VERTEX_POINT('',#507200); #154141=VERTEX_POINT('',#507202); #154142=VERTEX_POINT('',#507208); #154143=VERTEX_POINT('',#507210); #154144=VERTEX_POINT('',#507214); #154145=VERTEX_POINT('',#507216); #154146=VERTEX_POINT('',#507222); #154147=VERTEX_POINT('',#507224); #154148=VERTEX_POINT('',#507228); #154149=VERTEX_POINT('',#507230); #154150=VERTEX_POINT('',#507236); #154151=VERTEX_POINT('',#507238); #154152=VERTEX_POINT('',#507242); #154153=VERTEX_POINT('',#507244); #154154=VERTEX_POINT('',#507250); #154155=VERTEX_POINT('',#507252); #154156=VERTEX_POINT('',#507256); #154157=VERTEX_POINT('',#507258); #154158=VERTEX_POINT('',#507264); #154159=VERTEX_POINT('',#507266); #154160=VERTEX_POINT('',#507270); #154161=VERTEX_POINT('',#507272); #154162=VERTEX_POINT('',#507278); #154163=VERTEX_POINT('',#507280); #154164=VERTEX_POINT('',#507284); #154165=VERTEX_POINT('',#507286); #154166=VERTEX_POINT('',#507292); #154167=VERTEX_POINT('',#507294); #154168=VERTEX_POINT('',#507298); #154169=VERTEX_POINT('',#507300); #154170=VERTEX_POINT('',#507306); #154171=VERTEX_POINT('',#507308); #154172=VERTEX_POINT('',#507312); #154173=VERTEX_POINT('',#507314); #154174=VERTEX_POINT('',#507320); #154175=VERTEX_POINT('',#507322); #154176=VERTEX_POINT('',#507326); #154177=VERTEX_POINT('',#507328); #154178=VERTEX_POINT('',#507334); #154179=VERTEX_POINT('',#507336); #154180=VERTEX_POINT('',#507340); #154181=VERTEX_POINT('',#507342); #154182=VERTEX_POINT('',#507348); #154183=VERTEX_POINT('',#507350); #154184=VERTEX_POINT('',#507354); #154185=VERTEX_POINT('',#507356); #154186=VERTEX_POINT('',#507362); #154187=VERTEX_POINT('',#507364); #154188=VERTEX_POINT('',#507368); #154189=VERTEX_POINT('',#507370); #154190=VERTEX_POINT('',#507376); #154191=VERTEX_POINT('',#507378); #154192=VERTEX_POINT('',#507382); #154193=VERTEX_POINT('',#507384); #154194=VERTEX_POINT('',#507390); #154195=VERTEX_POINT('',#507392); #154196=VERTEX_POINT('',#507396); #154197=VERTEX_POINT('',#507398); #154198=VERTEX_POINT('',#507404); #154199=VERTEX_POINT('',#507406); #154200=VERTEX_POINT('',#507410); #154201=VERTEX_POINT('',#507412); #154202=VERTEX_POINT('',#507418); #154203=VERTEX_POINT('',#507420); #154204=VERTEX_POINT('',#507424); #154205=VERTEX_POINT('',#507426); #154206=VERTEX_POINT('',#507432); #154207=VERTEX_POINT('',#507434); #154208=VERTEX_POINT('',#507438); #154209=VERTEX_POINT('',#507440); #154210=VERTEX_POINT('',#507446); #154211=VERTEX_POINT('',#507448); #154212=VERTEX_POINT('',#507452); #154213=VERTEX_POINT('',#507454); #154214=VERTEX_POINT('',#507460); #154215=VERTEX_POINT('',#507462); #154216=VERTEX_POINT('',#507466); #154217=VERTEX_POINT('',#507468); #154218=VERTEX_POINT('',#507474); #154219=VERTEX_POINT('',#507476); #154220=VERTEX_POINT('',#507480); #154221=VERTEX_POINT('',#507482); #154222=VERTEX_POINT('',#507488); #154223=VERTEX_POINT('',#507490); #154224=VERTEX_POINT('',#507494); #154225=VERTEX_POINT('',#507496); #154226=VERTEX_POINT('',#507502); #154227=VERTEX_POINT('',#507504); #154228=VERTEX_POINT('',#507508); #154229=VERTEX_POINT('',#507510); #154230=VERTEX_POINT('',#507516); #154231=VERTEX_POINT('',#507518); #154232=VERTEX_POINT('',#507522); #154233=VERTEX_POINT('',#507524); #154234=VERTEX_POINT('',#507530); #154235=VERTEX_POINT('',#507532); #154236=VERTEX_POINT('',#507536); #154237=VERTEX_POINT('',#507538); #154238=VERTEX_POINT('',#507545); #154239=VERTEX_POINT('',#507547); #154240=VERTEX_POINT('',#507551); #154241=VERTEX_POINT('',#507552); #154242=VERTEX_POINT('',#507554); #154243=VERTEX_POINT('',#507556); #154244=VERTEX_POINT('',#507560); #154245=VERTEX_POINT('',#507562); #154246=VERTEX_POINT('',#507571); #154247=VERTEX_POINT('',#507572); #154248=VERTEX_POINT('',#507574); #154249=VERTEX_POINT('',#507576); #154250=VERTEX_POINT('',#507580); #154251=VERTEX_POINT('',#507582); #154252=VERTEX_POINT('',#507586); #154253=VERTEX_POINT('',#507588); #154254=VERTEX_POINT('',#507597); #154255=VERTEX_POINT('',#507598); #154256=VERTEX_POINT('',#507600); #154257=VERTEX_POINT('',#507602); #154258=VERTEX_POINT('',#507606); #154259=VERTEX_POINT('',#507608); #154260=VERTEX_POINT('',#507612); #154261=VERTEX_POINT('',#507614); #154262=VERTEX_POINT('',#507623); #154263=VERTEX_POINT('',#507624); #154264=VERTEX_POINT('',#507626); #154265=VERTEX_POINT('',#507628); #154266=VERTEX_POINT('',#507632); #154267=VERTEX_POINT('',#507634); #154268=VERTEX_POINT('',#507638); #154269=VERTEX_POINT('',#507640); #154270=VERTEX_POINT('',#507649); #154271=VERTEX_POINT('',#507650); #154272=VERTEX_POINT('',#507652); #154273=VERTEX_POINT('',#507654); #154274=VERTEX_POINT('',#507658); #154275=VERTEX_POINT('',#507660); #154276=VERTEX_POINT('',#507664); #154277=VERTEX_POINT('',#507666); #154278=VERTEX_POINT('',#507675); #154279=VERTEX_POINT('',#507676); #154280=VERTEX_POINT('',#507678); #154281=VERTEX_POINT('',#507680); #154282=VERTEX_POINT('',#507684); #154283=VERTEX_POINT('',#507686); #154284=VERTEX_POINT('',#507690); #154285=VERTEX_POINT('',#507692); #154286=VERTEX_POINT('',#507701); #154287=VERTEX_POINT('',#507702); #154288=VERTEX_POINT('',#507704); #154289=VERTEX_POINT('',#507706); #154290=VERTEX_POINT('',#507710); #154291=VERTEX_POINT('',#507712); #154292=VERTEX_POINT('',#507716); #154293=VERTEX_POINT('',#507718); #154294=VERTEX_POINT('',#507727); #154295=VERTEX_POINT('',#507728); #154296=VERTEX_POINT('',#507730); #154297=VERTEX_POINT('',#507732); #154298=VERTEX_POINT('',#507736); #154299=VERTEX_POINT('',#507738); #154300=VERTEX_POINT('',#507742); #154301=VERTEX_POINT('',#507744); #154302=VERTEX_POINT('',#507753); #154303=VERTEX_POINT('',#507754); #154304=VERTEX_POINT('',#507756); #154305=VERTEX_POINT('',#507758); #154306=VERTEX_POINT('',#507762); #154307=VERTEX_POINT('',#507764); #154308=VERTEX_POINT('',#507768); #154309=VERTEX_POINT('',#507770); #154310=VERTEX_POINT('',#507779); #154311=VERTEX_POINT('',#507780); #154312=VERTEX_POINT('',#507782); #154313=VERTEX_POINT('',#507784); #154314=VERTEX_POINT('',#507788); #154315=VERTEX_POINT('',#507790); #154316=VERTEX_POINT('',#507794); #154317=VERTEX_POINT('',#507796); #154318=VERTEX_POINT('',#507805); #154319=VERTEX_POINT('',#507806); #154320=VERTEX_POINT('',#507808); #154321=VERTEX_POINT('',#507810); #154322=VERTEX_POINT('',#507814); #154323=VERTEX_POINT('',#507816); #154324=VERTEX_POINT('',#507820); #154325=VERTEX_POINT('',#507822); #154326=VERTEX_POINT('',#507831); #154327=VERTEX_POINT('',#507833); #154328=VERTEX_POINT('',#507837); #154329=VERTEX_POINT('',#507838); #154330=VERTEX_POINT('',#507840); #154331=VERTEX_POINT('',#507842); #154332=VERTEX_POINT('',#507846); #154333=VERTEX_POINT('',#507848); #154334=VERTEX_POINT('',#507857); #154335=VERTEX_POINT('',#507859); #154336=VERTEX_POINT('',#507863); #154337=VERTEX_POINT('',#507865); #154338=VERTEX_POINT('',#507869); #154339=VERTEX_POINT('',#507870); #154340=VERTEX_POINT('',#507872); #154341=VERTEX_POINT('',#507874); #154342=VERTEX_POINT('',#507878); #154343=VERTEX_POINT('',#507880); #154344=VERTEX_POINT('',#507884); #154345=VERTEX_POINT('',#507886); #154346=VERTEX_POINT('',#507890); #154347=VERTEX_POINT('',#507892); #154348=VERTEX_POINT('',#507896); #154349=VERTEX_POINT('',#507898); #154350=VERTEX_POINT('',#507902); #154351=VERTEX_POINT('',#507904); #154352=VERTEX_POINT('',#507908); #154353=VERTEX_POINT('',#507910); #154354=VERTEX_POINT('',#507919); #154355=VERTEX_POINT('',#507921); #154356=VERTEX_POINT('',#507925); #154357=VERTEX_POINT('',#507926); #154358=VERTEX_POINT('',#507928); #154359=VERTEX_POINT('',#507930); #154360=VERTEX_POINT('',#507934); #154361=VERTEX_POINT('',#507936); #154362=VERTEX_POINT('',#507945); #154363=VERTEX_POINT('',#507947); #154364=VERTEX_POINT('',#507951); #154365=VERTEX_POINT('',#507952); #154366=VERTEX_POINT('',#507954); #154367=VERTEX_POINT('',#507956); #154368=VERTEX_POINT('',#507960); #154369=VERTEX_POINT('',#507962); #154370=VERTEX_POINT('',#507971); #154371=VERTEX_POINT('',#507973); #154372=VERTEX_POINT('',#507977); #154373=VERTEX_POINT('',#507978); #154374=VERTEX_POINT('',#507980); #154375=VERTEX_POINT('',#507982); #154376=VERTEX_POINT('',#507986); #154377=VERTEX_POINT('',#507988); #154378=VERTEX_POINT('',#507992); #154379=VERTEX_POINT('',#507994); #154380=VERTEX_POINT('',#507998); #154381=VERTEX_POINT('',#508000); #154382=VERTEX_POINT('',#508004); #154383=VERTEX_POINT('',#508006); #154384=VERTEX_POINT('',#508010); #154385=VERTEX_POINT('',#508012); #154386=VERTEX_POINT('',#508016); #154387=VERTEX_POINT('',#508018); #154388=VERTEX_POINT('',#508022); #154389=VERTEX_POINT('',#508024); #154390=VERTEX_POINT('',#508028); #154391=VERTEX_POINT('',#508030); #154392=VERTEX_POINT('',#508034); #154393=VERTEX_POINT('',#508036); #154394=VERTEX_POINT('',#508040); #154395=VERTEX_POINT('',#508042); #154396=VERTEX_POINT('',#508046); #154397=VERTEX_POINT('',#508048); #154398=VERTEX_POINT('',#508057); #154399=VERTEX_POINT('',#508059); #154400=VERTEX_POINT('',#508063); #154401=VERTEX_POINT('',#508064); #154402=VERTEX_POINT('',#508066); #154403=VERTEX_POINT('',#508068); #154404=VERTEX_POINT('',#508072); #154405=VERTEX_POINT('',#508074); #154406=VERTEX_POINT('',#508078); #154407=VERTEX_POINT('',#508080); #154408=VERTEX_POINT('',#508084); #154409=VERTEX_POINT('',#508086); #154410=VERTEX_POINT('',#508090); #154411=VERTEX_POINT('',#508092); #154412=VERTEX_POINT('',#508096); #154413=VERTEX_POINT('',#508098); #154414=VERTEX_POINT('',#508102); #154415=VERTEX_POINT('',#508104); #154416=VERTEX_POINT('',#508108); #154417=VERTEX_POINT('',#508110); #154418=VERTEX_POINT('',#508114); #154419=VERTEX_POINT('',#508116); #154420=VERTEX_POINT('',#508125); #154421=VERTEX_POINT('',#508126); #154422=VERTEX_POINT('',#508128); #154423=VERTEX_POINT('',#508130); #154424=VERTEX_POINT('',#508134); #154425=VERTEX_POINT('',#508136); #154426=VERTEX_POINT('',#508140); #154427=VERTEX_POINT('',#508142); #154428=VERTEX_POINT('',#508146); #154429=VERTEX_POINT('',#508148); #154430=VERTEX_POINT('',#508152); #154431=VERTEX_POINT('',#508154); #154432=VERTEX_POINT('',#508158); #154433=VERTEX_POINT('',#508160); #154434=VERTEX_POINT('',#508164); #154435=VERTEX_POINT('',#508166); #154436=VERTEX_POINT('',#508170); #154437=VERTEX_POINT('',#508172); #154438=VERTEX_POINT('',#508176); #154439=VERTEX_POINT('',#508178); #154440=VERTEX_POINT('',#508182); #154441=VERTEX_POINT('',#508184); #154442=VERTEX_POINT('',#508188); #154443=VERTEX_POINT('',#508190); #154444=VERTEX_POINT('',#508194); #154445=VERTEX_POINT('',#508196); #154446=VERTEX_POINT('',#508200); #154447=VERTEX_POINT('',#508202); #154448=VERTEX_POINT('',#508206); #154449=VERTEX_POINT('',#508208); #154450=VERTEX_POINT('',#508212); #154451=VERTEX_POINT('',#508214); #154452=VERTEX_POINT('',#508218); #154453=VERTEX_POINT('',#508220); #154454=VERTEX_POINT('',#508224); #154455=VERTEX_POINT('',#508226); #154456=VERTEX_POINT('',#508230); #154457=VERTEX_POINT('',#508232); #154458=VERTEX_POINT('',#508236); #154459=VERTEX_POINT('',#508238); #154460=VERTEX_POINT('',#508247); #154461=VERTEX_POINT('',#508248); #154462=VERTEX_POINT('',#508250); #154463=VERTEX_POINT('',#508252); #154464=VERTEX_POINT('',#508256); #154465=VERTEX_POINT('',#508258); #154466=VERTEX_POINT('',#508262); #154467=VERTEX_POINT('',#508264); #154468=VERTEX_POINT('',#508268); #154469=VERTEX_POINT('',#508270); #154470=VERTEX_POINT('',#508274); #154471=VERTEX_POINT('',#508276); #154472=VERTEX_POINT('',#508280); #154473=VERTEX_POINT('',#508282); #154474=VERTEX_POINT('',#508286); #154475=VERTEX_POINT('',#508288); #154476=VERTEX_POINT('',#508292); #154477=VERTEX_POINT('',#508294); #154478=VERTEX_POINT('',#508298); #154479=VERTEX_POINT('',#508300); #154480=VERTEX_POINT('',#508304); #154481=VERTEX_POINT('',#508306); #154482=VERTEX_POINT('',#508310); #154483=VERTEX_POINT('',#508312); #154484=VERTEX_POINT('',#508316); #154485=VERTEX_POINT('',#508318); #154486=VERTEX_POINT('',#508322); #154487=VERTEX_POINT('',#508324); #154488=VERTEX_POINT('',#508328); #154489=VERTEX_POINT('',#508330); #154490=VERTEX_POINT('',#508334); #154491=VERTEX_POINT('',#508336); #154492=VERTEX_POINT('',#508340); #154493=VERTEX_POINT('',#508342); #154494=VERTEX_POINT('',#508346); #154495=VERTEX_POINT('',#508348); #154496=VERTEX_POINT('',#508352); #154497=VERTEX_POINT('',#508354); #154498=VERTEX_POINT('',#508358); #154499=VERTEX_POINT('',#508360); #154500=VERTEX_POINT('',#508364); #154501=VERTEX_POINT('',#508366); #154502=VERTEX_POINT('',#508370); #154503=VERTEX_POINT('',#508372); #154504=VERTEX_POINT('',#508376); #154505=VERTEX_POINT('',#508378); #154506=VERTEX_POINT('',#508382); #154507=VERTEX_POINT('',#508384); #154508=VERTEX_POINT('',#508388); #154509=VERTEX_POINT('',#508390); #154510=VERTEX_POINT('',#508394); #154511=VERTEX_POINT('',#508396); #154512=VERTEX_POINT('',#508400); #154513=VERTEX_POINT('',#508402); #154514=VERTEX_POINT('',#508406); #154515=VERTEX_POINT('',#508408); #154516=VERTEX_POINT('',#508412); #154517=VERTEX_POINT('',#508414); #154518=VERTEX_POINT('',#508418); #154519=VERTEX_POINT('',#508420); #154520=VERTEX_POINT('',#508424); #154521=VERTEX_POINT('',#508426); #154522=VERTEX_POINT('',#508430); #154523=VERTEX_POINT('',#508432); #154524=VERTEX_POINT('',#508436); #154525=VERTEX_POINT('',#508438); #154526=VERTEX_POINT('',#508442); #154527=VERTEX_POINT('',#508444); #154528=VERTEX_POINT('',#508448); #154529=VERTEX_POINT('',#508450); #154530=VERTEX_POINT('',#508454); #154531=VERTEX_POINT('',#508456); #154532=VERTEX_POINT('',#508465); #154533=VERTEX_POINT('',#508466); #154534=VERTEX_POINT('',#508468); #154535=VERTEX_POINT('',#508470); #154536=VERTEX_POINT('',#508474); #154537=VERTEX_POINT('',#508476); #154538=VERTEX_POINT('',#508480); #154539=VERTEX_POINT('',#508482); #154540=VERTEX_POINT('',#508486); #154541=VERTEX_POINT('',#508488); #154542=VERTEX_POINT('',#508492); #154543=VERTEX_POINT('',#508494); #154544=VERTEX_POINT('',#508498); #154545=VERTEX_POINT('',#508500); #154546=VERTEX_POINT('',#508504); #154547=VERTEX_POINT('',#508506); #154548=VERTEX_POINT('',#508510); #154549=VERTEX_POINT('',#508512); #154550=VERTEX_POINT('',#508516); #154551=VERTEX_POINT('',#508518); #154552=VERTEX_POINT('',#508522); #154553=VERTEX_POINT('',#508524); #154554=VERTEX_POINT('',#508528); #154555=VERTEX_POINT('',#508530); #154556=VERTEX_POINT('',#508534); #154557=VERTEX_POINT('',#508536); #154558=VERTEX_POINT('',#508540); #154559=VERTEX_POINT('',#508542); #154560=VERTEX_POINT('',#508546); #154561=VERTEX_POINT('',#508548); #154562=VERTEX_POINT('',#508552); #154563=VERTEX_POINT('',#508554); #154564=VERTEX_POINT('',#508558); #154565=VERTEX_POINT('',#508560); #154566=VERTEX_POINT('',#508564); #154567=VERTEX_POINT('',#508566); #154568=VERTEX_POINT('',#508570); #154569=VERTEX_POINT('',#508572); #154570=VERTEX_POINT('',#508576); #154571=VERTEX_POINT('',#508578); #154572=VERTEX_POINT('',#508582); #154573=VERTEX_POINT('',#508584); #154574=VERTEX_POINT('',#508588); #154575=VERTEX_POINT('',#508590); #154576=VERTEX_POINT('',#508594); #154577=VERTEX_POINT('',#508596); #154578=VERTEX_POINT('',#508600); #154579=VERTEX_POINT('',#508602); #154580=VERTEX_POINT('',#508606); #154581=VERTEX_POINT('',#508608); #154582=VERTEX_POINT('',#508612); #154583=VERTEX_POINT('',#508614); #154584=VERTEX_POINT('',#508618); #154585=VERTEX_POINT('',#508620); #154586=VERTEX_POINT('',#508624); #154587=VERTEX_POINT('',#508626); #154588=VERTEX_POINT('',#508630); #154589=VERTEX_POINT('',#508632); #154590=VERTEX_POINT('',#508636); #154591=VERTEX_POINT('',#508638); #154592=VERTEX_POINT('',#508642); #154593=VERTEX_POINT('',#508644); #154594=VERTEX_POINT('',#508648); #154595=VERTEX_POINT('',#508650); #154596=VERTEX_POINT('',#508654); #154597=VERTEX_POINT('',#508656); #154598=VERTEX_POINT('',#508660); #154599=VERTEX_POINT('',#508662); #154600=VERTEX_POINT('',#508666); #154601=VERTEX_POINT('',#508668); #154602=VERTEX_POINT('',#508672); #154603=VERTEX_POINT('',#508674); #154604=VERTEX_POINT('',#508683); #154605=VERTEX_POINT('',#508685); #154606=VERTEX_POINT('',#508689); #154607=VERTEX_POINT('',#508690); #154608=VERTEX_POINT('',#508692); #154609=VERTEX_POINT('',#508694); #154610=VERTEX_POINT('',#508698); #154611=VERTEX_POINT('',#508700); #154612=VERTEX_POINT('',#508704); #154613=VERTEX_POINT('',#508706); #154614=VERTEX_POINT('',#508710); #154615=VERTEX_POINT('',#508712); #154616=VERTEX_POINT('',#508716); #154617=VERTEX_POINT('',#508718); #154618=VERTEX_POINT('',#508722); #154619=VERTEX_POINT('',#508724); #154620=VERTEX_POINT('',#508728); #154621=VERTEX_POINT('',#508730); #154622=VERTEX_POINT('',#508734); #154623=VERTEX_POINT('',#508736); #154624=VERTEX_POINT('',#508740); #154625=VERTEX_POINT('',#508742); #154626=VERTEX_POINT('',#508746); #154627=VERTEX_POINT('',#508748); #154628=VERTEX_POINT('',#508752); #154629=VERTEX_POINT('',#508754); #154630=VERTEX_POINT('',#508758); #154631=VERTEX_POINT('',#508760); #154632=VERTEX_POINT('',#508764); #154633=VERTEX_POINT('',#508766); #154634=VERTEX_POINT('',#508770); #154635=VERTEX_POINT('',#508772); #154636=VERTEX_POINT('',#508776); #154637=VERTEX_POINT('',#508778); #154638=VERTEX_POINT('',#508782); #154639=VERTEX_POINT('',#508784); #154640=VERTEX_POINT('',#508788); #154641=VERTEX_POINT('',#508790); #154642=VERTEX_POINT('',#508794); #154643=VERTEX_POINT('',#508796); #154644=VERTEX_POINT('',#508800); #154645=VERTEX_POINT('',#508802); #154646=VERTEX_POINT('',#508806); #154647=VERTEX_POINT('',#508808); #154648=VERTEX_POINT('',#508812); #154649=VERTEX_POINT('',#508814); #154650=VERTEX_POINT('',#508823); #154651=VERTEX_POINT('',#508825); #154652=VERTEX_POINT('',#508829); #154653=VERTEX_POINT('',#508830); #154654=VERTEX_POINT('',#508832); #154655=VERTEX_POINT('',#508834); #154656=VERTEX_POINT('',#508838); #154657=VERTEX_POINT('',#508840); #154658=VERTEX_POINT('',#508844); #154659=VERTEX_POINT('',#508846); #154660=VERTEX_POINT('',#508850); #154661=VERTEX_POINT('',#508852); #154662=VERTEX_POINT('',#508856); #154663=VERTEX_POINT('',#508858); #154664=VERTEX_POINT('',#508862); #154665=VERTEX_POINT('',#508864); #154666=VERTEX_POINT('',#508868); #154667=VERTEX_POINT('',#508870); #154668=VERTEX_POINT('',#508874); #154669=VERTEX_POINT('',#508876); #154670=VERTEX_POINT('',#508880); #154671=VERTEX_POINT('',#508882); #154672=VERTEX_POINT('',#508886); #154673=VERTEX_POINT('',#508888); #154674=VERTEX_POINT('',#508892); #154675=VERTEX_POINT('',#508894); #154676=VERTEX_POINT('',#508898); #154677=VERTEX_POINT('',#508900); #154678=VERTEX_POINT('',#508904); #154679=VERTEX_POINT('',#508906); #154680=VERTEX_POINT('',#508910); #154681=VERTEX_POINT('',#508912); #154682=VERTEX_POINT('',#508916); #154683=VERTEX_POINT('',#508918); #154684=VERTEX_POINT('',#508927); #154685=VERTEX_POINT('',#508929); #154686=VERTEX_POINT('',#508933); #154687=VERTEX_POINT('',#508934); #154688=VERTEX_POINT('',#508936); #154689=VERTEX_POINT('',#508938); #154690=VERTEX_POINT('',#508942); #154691=VERTEX_POINT('',#508944); #154692=VERTEX_POINT('',#508948); #154693=VERTEX_POINT('',#508950); #154694=VERTEX_POINT('',#508954); #154695=VERTEX_POINT('',#508956); #154696=VERTEX_POINT('',#508960); #154697=VERTEX_POINT('',#508962); #154698=VERTEX_POINT('',#508966); #154699=VERTEX_POINT('',#508968); #154700=VERTEX_POINT('',#508972); #154701=VERTEX_POINT('',#508974); #154702=VERTEX_POINT('',#508978); #154703=VERTEX_POINT('',#508980); #154704=VERTEX_POINT('',#508984); #154705=VERTEX_POINT('',#508986); #154706=VERTEX_POINT('',#508990); #154707=VERTEX_POINT('',#508992); #154708=VERTEX_POINT('',#508996); #154709=VERTEX_POINT('',#508998); #154710=VERTEX_POINT('',#509007); #154711=VERTEX_POINT('',#509009); #154712=VERTEX_POINT('',#509013); #154713=VERTEX_POINT('',#509014); #154714=VERTEX_POINT('',#509016); #154715=VERTEX_POINT('',#509018); #154716=VERTEX_POINT('',#509022); #154717=VERTEX_POINT('',#509024); #154718=VERTEX_POINT('',#509028); #154719=VERTEX_POINT('',#509030); #154720=VERTEX_POINT('',#509034); #154721=VERTEX_POINT('',#509036); #154722=VERTEX_POINT('',#509040); #154723=VERTEX_POINT('',#509042); #154724=VERTEX_POINT('',#509046); #154725=VERTEX_POINT('',#509048); #154726=VERTEX_POINT('',#509052); #154727=VERTEX_POINT('',#509054); #154728=VERTEX_POINT('',#509058); #154729=VERTEX_POINT('',#509060); #154730=VERTEX_POINT('',#509064); #154731=VERTEX_POINT('',#509066); #154732=VERTEX_POINT('',#509070); #154733=VERTEX_POINT('',#509072); #154734=VERTEX_POINT('',#509076); #154735=VERTEX_POINT('',#509078); #154736=VERTEX_POINT('',#509082); #154737=VERTEX_POINT('',#509084); #154738=VERTEX_POINT('',#509088); #154739=VERTEX_POINT('',#509090); #154740=VERTEX_POINT('',#509099); #154741=VERTEX_POINT('',#509101); #154742=VERTEX_POINT('',#509105); #154743=VERTEX_POINT('',#509107); #154744=VERTEX_POINT('',#509111); #154745=VERTEX_POINT('',#509112); #154746=VERTEX_POINT('',#509114); #154747=VERTEX_POINT('',#509116); #154748=VERTEX_POINT('',#509120); #154749=VERTEX_POINT('',#509122); #154750=VERTEX_POINT('',#509126); #154751=VERTEX_POINT('',#509128); #154752=VERTEX_POINT('',#509132); #154753=VERTEX_POINT('',#509134); #154754=VERTEX_POINT('',#509138); #154755=VERTEX_POINT('',#509140); #154756=VERTEX_POINT('',#509144); #154757=VERTEX_POINT('',#509146); #154758=VERTEX_POINT('',#509150); #154759=VERTEX_POINT('',#509152); #154760=VERTEX_POINT('',#509161); #154761=VERTEX_POINT('',#509163); #154762=VERTEX_POINT('',#509167); #154763=VERTEX_POINT('',#509168); #154764=VERTEX_POINT('',#509170); #154765=VERTEX_POINT('',#509172); #154766=VERTEX_POINT('',#509176); #154767=VERTEX_POINT('',#509178); #154768=VERTEX_POINT('',#509182); #154769=VERTEX_POINT('',#509184); #154770=VERTEX_POINT('',#509188); #154771=VERTEX_POINT('',#509190); #154772=VERTEX_POINT('',#509194); #154773=VERTEX_POINT('',#509196); #154774=VERTEX_POINT('',#509200); #154775=VERTEX_POINT('',#509202); #154776=VERTEX_POINT('',#509206); #154777=VERTEX_POINT('',#509208); #154778=VERTEX_POINT('',#509212); #154779=VERTEX_POINT('',#509214); #154780=VERTEX_POINT('',#509218); #154781=VERTEX_POINT('',#509220); #154782=VERTEX_POINT('',#509224); #154783=VERTEX_POINT('',#509226); #154784=VERTEX_POINT('',#509230); #154785=VERTEX_POINT('',#509232); #154786=VERTEX_POINT('',#509236); #154787=VERTEX_POINT('',#509238); #154788=VERTEX_POINT('',#509242); #154789=VERTEX_POINT('',#509244); #154790=VERTEX_POINT('',#509248); #154791=VERTEX_POINT('',#509250); #154792=VERTEX_POINT('',#509254); #154793=VERTEX_POINT('',#509256); #154794=VERTEX_POINT('',#509265); #154795=VERTEX_POINT('',#509267); #154796=VERTEX_POINT('',#509271); #154797=VERTEX_POINT('',#509272); #154798=VERTEX_POINT('',#509274); #154799=VERTEX_POINT('',#509276); #154800=VERTEX_POINT('',#509280); #154801=VERTEX_POINT('',#509282); #154802=VERTEX_POINT('',#509286); #154803=VERTEX_POINT('',#509288); #154804=VERTEX_POINT('',#509292); #154805=VERTEX_POINT('',#509294); #154806=VERTEX_POINT('',#509298); #154807=VERTEX_POINT('',#509300); #154808=VERTEX_POINT('',#509304); #154809=VERTEX_POINT('',#509306); #154810=VERTEX_POINT('',#509310); #154811=VERTEX_POINT('',#509312); #154812=VERTEX_POINT('',#509316); #154813=VERTEX_POINT('',#509318); #154814=VERTEX_POINT('',#509322); #154815=VERTEX_POINT('',#509324); #154816=VERTEX_POINT('',#509328); #154817=VERTEX_POINT('',#509330); #154818=VERTEX_POINT('',#509334); #154819=VERTEX_POINT('',#509336); #154820=VERTEX_POINT('',#509340); #154821=VERTEX_POINT('',#509342); #154822=VERTEX_POINT('',#509346); #154823=VERTEX_POINT('',#509348); #154824=VERTEX_POINT('',#509352); #154825=VERTEX_POINT('',#509354); #154826=VERTEX_POINT('',#509358); #154827=VERTEX_POINT('',#509360); #154828=VERTEX_POINT('',#509364); #154829=VERTEX_POINT('',#509366); #154830=VERTEX_POINT('',#509370); #154831=VERTEX_POINT('',#509372); #154832=VERTEX_POINT('',#509376); #154833=VERTEX_POINT('',#509378); #154834=VERTEX_POINT('',#509382); #154835=VERTEX_POINT('',#509384); #154836=VERTEX_POINT('',#509388); #154837=VERTEX_POINT('',#509390); #154838=VERTEX_POINT('',#509394); #154839=VERTEX_POINT('',#509396); #154840=VERTEX_POINT('',#509405); #154841=VERTEX_POINT('',#509406); #154842=VERTEX_POINT('',#509408); #154843=VERTEX_POINT('',#509410); #154844=VERTEX_POINT('',#509414); #154845=VERTEX_POINT('',#509416); #154846=VERTEX_POINT('',#509420); #154847=VERTEX_POINT('',#509422); #154848=VERTEX_POINT('',#509426); #154849=VERTEX_POINT('',#509428); #154850=VERTEX_POINT('',#509432); #154851=VERTEX_POINT('',#509434); #154852=VERTEX_POINT('',#509438); #154853=VERTEX_POINT('',#509440); #154854=VERTEX_POINT('',#509444); #154855=VERTEX_POINT('',#509446); #154856=VERTEX_POINT('',#509450); #154857=VERTEX_POINT('',#509452); #154858=VERTEX_POINT('',#509456); #154859=VERTEX_POINT('',#509458); #154860=VERTEX_POINT('',#509462); #154861=VERTEX_POINT('',#509464); #154862=VERTEX_POINT('',#509468); #154863=VERTEX_POINT('',#509470); #154864=VERTEX_POINT('',#509474); #154865=VERTEX_POINT('',#509476); #154866=VERTEX_POINT('',#509480); #154867=VERTEX_POINT('',#509482); #154868=VERTEX_POINT('',#509486); #154869=VERTEX_POINT('',#509488); #154870=VERTEX_POINT('',#509492); #154871=VERTEX_POINT('',#509494); #154872=VERTEX_POINT('',#509498); #154873=VERTEX_POINT('',#509500); #154874=VERTEX_POINT('',#509504); #154875=VERTEX_POINT('',#509506); #154876=VERTEX_POINT('',#509510); #154877=VERTEX_POINT('',#509512); #154878=VERTEX_POINT('',#509516); #154879=VERTEX_POINT('',#509518); #154880=VERTEX_POINT('',#509522); #154881=VERTEX_POINT('',#509524); #154882=VERTEX_POINT('',#509528); #154883=VERTEX_POINT('',#509530); #154884=VERTEX_POINT('',#509534); #154885=VERTEX_POINT('',#509536); #154886=VERTEX_POINT('',#509540); #154887=VERTEX_POINT('',#509542); #154888=VERTEX_POINT('',#509546); #154889=VERTEX_POINT('',#509548); #154890=VERTEX_POINT('',#509552); #154891=VERTEX_POINT('',#509554); #154892=VERTEX_POINT('',#509563); #154893=VERTEX_POINT('',#509565); #154894=VERTEX_POINT('',#509569); #154895=VERTEX_POINT('',#509570); #154896=VERTEX_POINT('',#509572); #154897=VERTEX_POINT('',#509574); #154898=VERTEX_POINT('',#509578); #154899=VERTEX_POINT('',#509580); #154900=VERTEX_POINT('',#509584); #154901=VERTEX_POINT('',#509586); #154902=VERTEX_POINT('',#509590); #154903=VERTEX_POINT('',#509592); #154904=VERTEX_POINT('',#509596); #154905=VERTEX_POINT('',#509598); #154906=VERTEX_POINT('',#509602); #154907=VERTEX_POINT('',#509604); #154908=VERTEX_POINT('',#509608); #154909=VERTEX_POINT('',#509610); #154910=VERTEX_POINT('',#509614); #154911=VERTEX_POINT('',#509616); #154912=VERTEX_POINT('',#509620); #154913=VERTEX_POINT('',#509622); #154914=VERTEX_POINT('',#509626); #154915=VERTEX_POINT('',#509628); #154916=VERTEX_POINT('',#509632); #154917=VERTEX_POINT('',#509634); #154918=VERTEX_POINT('',#509638); #154919=VERTEX_POINT('',#509640); #154920=VERTEX_POINT('',#509644); #154921=VERTEX_POINT('',#509646); #154922=VERTEX_POINT('',#509650); #154923=VERTEX_POINT('',#509652); #154924=VERTEX_POINT('',#509656); #154925=VERTEX_POINT('',#509658); #154926=VERTEX_POINT('',#509662); #154927=VERTEX_POINT('',#509664); #154928=VERTEX_POINT('',#509668); #154929=VERTEX_POINT('',#509670); #154930=VERTEX_POINT('',#509674); #154931=VERTEX_POINT('',#509676); #154932=VERTEX_POINT('',#509680); #154933=VERTEX_POINT('',#509682); #154934=VERTEX_POINT('',#509686); #154935=VERTEX_POINT('',#509688); #154936=VERTEX_POINT('',#509692); #154937=VERTEX_POINT('',#509694); #154938=VERTEX_POINT('',#509698); #154939=VERTEX_POINT('',#509700); #154940=VERTEX_POINT('',#509704); #154941=VERTEX_POINT('',#509706); #154942=VERTEX_POINT('',#509715); #154943=VERTEX_POINT('',#509717); #154944=VERTEX_POINT('',#509721); #154945=VERTEX_POINT('',#509722); #154946=VERTEX_POINT('',#509724); #154947=VERTEX_POINT('',#509726); #154948=VERTEX_POINT('',#509730); #154949=VERTEX_POINT('',#509732); #154950=VERTEX_POINT('',#509736); #154951=VERTEX_POINT('',#509738); #154952=VERTEX_POINT('',#509742); #154953=VERTEX_POINT('',#509744); #154954=VERTEX_POINT('',#509748); #154955=VERTEX_POINT('',#509750); #154956=VERTEX_POINT('',#509754); #154957=VERTEX_POINT('',#509756); #154958=VERTEX_POINT('',#509760); #154959=VERTEX_POINT('',#509762); #154960=VERTEX_POINT('',#509766); #154961=VERTEX_POINT('',#509768); #154962=VERTEX_POINT('',#509772); #154963=VERTEX_POINT('',#509774); #154964=VERTEX_POINT('',#509778); #154965=VERTEX_POINT('',#509780); #154966=VERTEX_POINT('',#509789); #154967=VERTEX_POINT('',#509790); #154968=VERTEX_POINT('',#509792); #154969=VERTEX_POINT('',#509794); #154970=VERTEX_POINT('',#509798); #154971=VERTEX_POINT('',#509800); #154972=VERTEX_POINT('',#509804); #154973=VERTEX_POINT('',#509806); #154974=VERTEX_POINT('',#509810); #154975=VERTEX_POINT('',#509812); #154976=VERTEX_POINT('',#509816); #154977=VERTEX_POINT('',#509818); #154978=VERTEX_POINT('',#509822); #154979=VERTEX_POINT('',#509824); #154980=VERTEX_POINT('',#509828); #154981=VERTEX_POINT('',#509830); #154982=VERTEX_POINT('',#509834); #154983=VERTEX_POINT('',#509836); #154984=VERTEX_POINT('',#509840); #154985=VERTEX_POINT('',#509842); #154986=VERTEX_POINT('',#509846); #154987=VERTEX_POINT('',#509848); #154988=VERTEX_POINT('',#509852); #154989=VERTEX_POINT('',#509854); #154990=VERTEX_POINT('',#509858); #154991=VERTEX_POINT('',#509860); #154992=VERTEX_POINT('',#509864); #154993=VERTEX_POINT('',#509866); #154994=VERTEX_POINT('',#509870); #154995=VERTEX_POINT('',#509872); #154996=VERTEX_POINT('',#509876); #154997=VERTEX_POINT('',#509878); #154998=VERTEX_POINT('',#509882); #154999=VERTEX_POINT('',#509884); #155000=VERTEX_POINT('',#509888); #155001=VERTEX_POINT('',#509890); #155002=VERTEX_POINT('',#509894); #155003=VERTEX_POINT('',#509896); #155004=VERTEX_POINT('',#509900); #155005=VERTEX_POINT('',#509902); #155006=VERTEX_POINT('',#509906); #155007=VERTEX_POINT('',#509908); #155008=VERTEX_POINT('',#509912); #155009=VERTEX_POINT('',#509914); #155010=VERTEX_POINT('',#509918); #155011=VERTEX_POINT('',#509920); #155012=VERTEX_POINT('',#509924); #155013=VERTEX_POINT('',#509926); #155014=VERTEX_POINT('',#509930); #155015=VERTEX_POINT('',#509932); #155016=VERTEX_POINT('',#509936); #155017=VERTEX_POINT('',#509938); #155018=VERTEX_POINT('',#509942); #155019=VERTEX_POINT('',#509944); #155020=VERTEX_POINT('',#509948); #155021=VERTEX_POINT('',#509950); #155022=VERTEX_POINT('',#509954); #155023=VERTEX_POINT('',#509956); #155024=VERTEX_POINT('',#509960); #155025=VERTEX_POINT('',#509962); #155026=VERTEX_POINT('',#509966); #155027=VERTEX_POINT('',#509968); #155028=VERTEX_POINT('',#509972); #155029=VERTEX_POINT('',#509974); #155030=VERTEX_POINT('',#509978); #155031=VERTEX_POINT('',#509980); #155032=VERTEX_POINT('',#509984); #155033=VERTEX_POINT('',#509986); #155034=VERTEX_POINT('',#509990); #155035=VERTEX_POINT('',#509992); #155036=VERTEX_POINT('',#509996); #155037=VERTEX_POINT('',#509998); #155038=VERTEX_POINT('',#510002); #155039=VERTEX_POINT('',#510004); #155040=VERTEX_POINT('',#510008); #155041=VERTEX_POINT('',#510010); #155042=VERTEX_POINT('',#510019); #155043=VERTEX_POINT('',#510020); #155044=VERTEX_POINT('',#510022); #155045=VERTEX_POINT('',#510024); #155046=VERTEX_POINT('',#510028); #155047=VERTEX_POINT('',#510030); #155048=VERTEX_POINT('',#510034); #155049=VERTEX_POINT('',#510036); #155050=VERTEX_POINT('',#510040); #155051=VERTEX_POINT('',#510042); #155052=VERTEX_POINT('',#510046); #155053=VERTEX_POINT('',#510048); #155054=VERTEX_POINT('',#510052); #155055=VERTEX_POINT('',#510054); #155056=VERTEX_POINT('',#510058); #155057=VERTEX_POINT('',#510060); #155058=VERTEX_POINT('',#510064); #155059=VERTEX_POINT('',#510066); #155060=VERTEX_POINT('',#510070); #155061=VERTEX_POINT('',#510072); #155062=VERTEX_POINT('',#510076); #155063=VERTEX_POINT('',#510078); #155064=VERTEX_POINT('',#510082); #155065=VERTEX_POINT('',#510084); #155066=VERTEX_POINT('',#510088); #155067=VERTEX_POINT('',#510090); #155068=VERTEX_POINT('',#510094); #155069=VERTEX_POINT('',#510096); #155070=VERTEX_POINT('',#510100); #155071=VERTEX_POINT('',#510102); #155072=VERTEX_POINT('',#510106); #155073=VERTEX_POINT('',#510108); #155074=VERTEX_POINT('',#510112); #155075=VERTEX_POINT('',#510114); #155076=VERTEX_POINT('',#510118); #155077=VERTEX_POINT('',#510120); #155078=VERTEX_POINT('',#510124); #155079=VERTEX_POINT('',#510126); #155080=VERTEX_POINT('',#510130); #155081=VERTEX_POINT('',#510132); #155082=VERTEX_POINT('',#510136); #155083=VERTEX_POINT('',#510138); #155084=VERTEX_POINT('',#510142); #155085=VERTEX_POINT('',#510144); #155086=VERTEX_POINT('',#510148); #155087=VERTEX_POINT('',#510150); #155088=VERTEX_POINT('',#510154); #155089=VERTEX_POINT('',#510156); #155090=VERTEX_POINT('',#510160); #155091=VERTEX_POINT('',#510162); #155092=VERTEX_POINT('',#510166); #155093=VERTEX_POINT('',#510168); #155094=VERTEX_POINT('',#510172); #155095=VERTEX_POINT('',#510174); #155096=VERTEX_POINT('',#510178); #155097=VERTEX_POINT('',#510180); #155098=VERTEX_POINT('',#510184); #155099=VERTEX_POINT('',#510186); #155100=VERTEX_POINT('',#510190); #155101=VERTEX_POINT('',#510192); #155102=VERTEX_POINT('',#510196); #155103=VERTEX_POINT('',#510198); #155104=VERTEX_POINT('',#510202); #155105=VERTEX_POINT('',#510204); #155106=VERTEX_POINT('',#510208); #155107=VERTEX_POINT('',#510210); #155108=VERTEX_POINT('',#510214); #155109=VERTEX_POINT('',#510216); #155110=VERTEX_POINT('',#510220); #155111=VERTEX_POINT('',#510222); #155112=VERTEX_POINT('',#510226); #155113=VERTEX_POINT('',#510228); #155114=VERTEX_POINT('',#510232); #155115=VERTEX_POINT('',#510234); #155116=VERTEX_POINT('',#510238); #155117=VERTEX_POINT('',#510240); #155118=VERTEX_POINT('',#510249); #155119=VERTEX_POINT('',#510250); #155120=VERTEX_POINT('',#510252); #155121=VERTEX_POINT('',#510254); #155122=VERTEX_POINT('',#510258); #155123=VERTEX_POINT('',#510260); #155124=VERTEX_POINT('',#510264); #155125=VERTEX_POINT('',#510266); #155126=VERTEX_POINT('',#510270); #155127=VERTEX_POINT('',#510272); #155128=VERTEX_POINT('',#510276); #155129=VERTEX_POINT('',#510278); #155130=VERTEX_POINT('',#510282); #155131=VERTEX_POINT('',#510284); #155132=VERTEX_POINT('',#510288); #155133=VERTEX_POINT('',#510290); #155134=VERTEX_POINT('',#510294); #155135=VERTEX_POINT('',#510296); #155136=VERTEX_POINT('',#510300); #155137=VERTEX_POINT('',#510302); #155138=VERTEX_POINT('',#510306); #155139=VERTEX_POINT('',#510308); #155140=VERTEX_POINT('',#510312); #155141=VERTEX_POINT('',#510314); #155142=VERTEX_POINT('',#510318); #155143=VERTEX_POINT('',#510320); #155144=VERTEX_POINT('',#510324); #155145=VERTEX_POINT('',#510326); #155146=VERTEX_POINT('',#510330); #155147=VERTEX_POINT('',#510332); #155148=VERTEX_POINT('',#510336); #155149=VERTEX_POINT('',#510338); #155150=VERTEX_POINT('',#510342); #155151=VERTEX_POINT('',#510344); #155152=VERTEX_POINT('',#510348); #155153=VERTEX_POINT('',#510350); #155154=VERTEX_POINT('',#510354); #155155=VERTEX_POINT('',#510356); #155156=VERTEX_POINT('',#510360); #155157=VERTEX_POINT('',#510362); #155158=VERTEX_POINT('',#510371); #155159=VERTEX_POINT('',#510373); #155160=VERTEX_POINT('',#510377); #155161=VERTEX_POINT('',#510378); #155162=VERTEX_POINT('',#510380); #155163=VERTEX_POINT('',#510382); #155164=VERTEX_POINT('',#510386); #155165=VERTEX_POINT('',#510388); #155166=VERTEX_POINT('',#510392); #155167=VERTEX_POINT('',#510394); #155168=VERTEX_POINT('',#510398); #155169=VERTEX_POINT('',#510400); #155170=VERTEX_POINT('',#510404); #155171=VERTEX_POINT('',#510406); #155172=VERTEX_POINT('',#510410); #155173=VERTEX_POINT('',#510412); #155174=VERTEX_POINT('',#510416); #155175=VERTEX_POINT('',#510418); #155176=VERTEX_POINT('',#510422); #155177=VERTEX_POINT('',#510424); #155178=VERTEX_POINT('',#510428); #155179=VERTEX_POINT('',#510430); #155180=VERTEX_POINT('',#510434); #155181=VERTEX_POINT('',#510436); #155182=VERTEX_POINT('',#510440); #155183=VERTEX_POINT('',#510442); #155184=VERTEX_POINT('',#510446); #155185=VERTEX_POINT('',#510448); #155186=VERTEX_POINT('',#510452); #155187=VERTEX_POINT('',#510454); #155188=VERTEX_POINT('',#510458); #155189=VERTEX_POINT('',#510460); #155190=VERTEX_POINT('',#510464); #155191=VERTEX_POINT('',#510466); #155192=VERTEX_POINT('',#510470); #155193=VERTEX_POINT('',#510472); #155194=VERTEX_POINT('',#510476); #155195=VERTEX_POINT('',#510478); #155196=VERTEX_POINT('',#510482); #155197=VERTEX_POINT('',#510484); #155198=VERTEX_POINT('',#510488); #155199=VERTEX_POINT('',#510490); #155200=VERTEX_POINT('',#510494); #155201=VERTEX_POINT('',#510496); #155202=VERTEX_POINT('',#510505); #155203=VERTEX_POINT('',#510507); #155204=VERTEX_POINT('',#510511); #155205=VERTEX_POINT('',#510512); #155206=VERTEX_POINT('',#510514); #155207=VERTEX_POINT('',#510516); #155208=VERTEX_POINT('',#510520); #155209=VERTEX_POINT('',#510522); #155210=VERTEX_POINT('',#510526); #155211=VERTEX_POINT('',#510528); #155212=VERTEX_POINT('',#510532); #155213=VERTEX_POINT('',#510534); #155214=VERTEX_POINT('',#510538); #155215=VERTEX_POINT('',#510540); #155216=VERTEX_POINT('',#510544); #155217=VERTEX_POINT('',#510546); #155218=VERTEX_POINT('',#510550); #155219=VERTEX_POINT('',#510552); #155220=VERTEX_POINT('',#510556); #155221=VERTEX_POINT('',#510558); #155222=VERTEX_POINT('',#510562); #155223=VERTEX_POINT('',#510564); #155224=VERTEX_POINT('',#510568); #155225=VERTEX_POINT('',#510570); #155226=VERTEX_POINT('',#510574); #155227=VERTEX_POINT('',#510576); #155228=VERTEX_POINT('',#510580); #155229=VERTEX_POINT('',#510582); #155230=VERTEX_POINT('',#510586); #155231=VERTEX_POINT('',#510588); #155232=VERTEX_POINT('',#510592); #155233=VERTEX_POINT('',#510594); #155234=VERTEX_POINT('',#510598); #155235=VERTEX_POINT('',#510600); #155236=VERTEX_POINT('',#510609); #155237=VERTEX_POINT('',#510611); #155238=VERTEX_POINT('',#510615); #155239=VERTEX_POINT('',#510616); #155240=VERTEX_POINT('',#510618); #155241=VERTEX_POINT('',#510620); #155242=VERTEX_POINT('',#510624); #155243=VERTEX_POINT('',#510626); #155244=VERTEX_POINT('',#510630); #155245=VERTEX_POINT('',#510632); #155246=VERTEX_POINT('',#510636); #155247=VERTEX_POINT('',#510638); #155248=VERTEX_POINT('',#510642); #155249=VERTEX_POINT('',#510644); #155250=VERTEX_POINT('',#510648); #155251=VERTEX_POINT('',#510650); #155252=VERTEX_POINT('',#510654); #155253=VERTEX_POINT('',#510656); #155254=VERTEX_POINT('',#510660); #155255=VERTEX_POINT('',#510662); #155256=VERTEX_POINT('',#510666); #155257=VERTEX_POINT('',#510668); #155258=VERTEX_POINT('',#510672); #155259=VERTEX_POINT('',#510674); #155260=VERTEX_POINT('',#510678); #155261=VERTEX_POINT('',#510680); #155262=VERTEX_POINT('',#510684); #155263=VERTEX_POINT('',#510686); #155264=VERTEX_POINT('',#510695); #155265=VERTEX_POINT('',#510697); #155266=VERTEX_POINT('',#510701); #155267=VERTEX_POINT('',#510702); #155268=VERTEX_POINT('',#510704); #155269=VERTEX_POINT('',#510706); #155270=VERTEX_POINT('',#510710); #155271=VERTEX_POINT('',#510712); #155272=VERTEX_POINT('',#510716); #155273=VERTEX_POINT('',#510718); #155274=VERTEX_POINT('',#510722); #155275=VERTEX_POINT('',#510724); #155276=VERTEX_POINT('',#510728); #155277=VERTEX_POINT('',#510730); #155278=VERTEX_POINT('',#510734); #155279=VERTEX_POINT('',#510736); #155280=VERTEX_POINT('',#510740); #155281=VERTEX_POINT('',#510742); #155282=VERTEX_POINT('',#510746); #155283=VERTEX_POINT('',#510748); #155284=VERTEX_POINT('',#510752); #155285=VERTEX_POINT('',#510754); #155286=VERTEX_POINT('',#510758); #155287=VERTEX_POINT('',#510760); #155288=VERTEX_POINT('',#510764); #155289=VERTEX_POINT('',#510766); #155290=VERTEX_POINT('',#510770); #155291=VERTEX_POINT('',#510772); #155292=VERTEX_POINT('',#510776); #155293=VERTEX_POINT('',#510778); #155294=VERTEX_POINT('',#510782); #155295=VERTEX_POINT('',#510784); #155296=VERTEX_POINT('',#510788); #155297=VERTEX_POINT('',#510790); #155298=VERTEX_POINT('',#510794); #155299=VERTEX_POINT('',#510796); #155300=VERTEX_POINT('',#510800); #155301=VERTEX_POINT('',#510802); #155302=VERTEX_POINT('',#510811); #155303=VERTEX_POINT('',#510813); #155304=VERTEX_POINT('',#510817); #155305=VERTEX_POINT('',#510818); #155306=VERTEX_POINT('',#510820); #155307=VERTEX_POINT('',#510822); #155308=VERTEX_POINT('',#510826); #155309=VERTEX_POINT('',#510828); #155310=VERTEX_POINT('',#510832); #155311=VERTEX_POINT('',#510834); #155312=VERTEX_POINT('',#510838); #155313=VERTEX_POINT('',#510840); #155314=VERTEX_POINT('',#510844); #155315=VERTEX_POINT('',#510846); #155316=VERTEX_POINT('',#510850); #155317=VERTEX_POINT('',#510852); #155318=VERTEX_POINT('',#510856); #155319=VERTEX_POINT('',#510858); #155320=VERTEX_POINT('',#510862); #155321=VERTEX_POINT('',#510864); #155322=VERTEX_POINT('',#510868); #155323=VERTEX_POINT('',#510870); #155324=VERTEX_POINT('',#510874); #155325=VERTEX_POINT('',#510876); #155326=VERTEX_POINT('',#510880); #155327=VERTEX_POINT('',#510882); #155328=VERTEX_POINT('',#510886); #155329=VERTEX_POINT('',#510888); #155330=VERTEX_POINT('',#510897); #155331=VERTEX_POINT('',#510899); #155332=VERTEX_POINT('',#510903); #155333=VERTEX_POINT('',#510904); #155334=VERTEX_POINT('',#510906); #155335=VERTEX_POINT('',#510908); #155336=VERTEX_POINT('',#510912); #155337=VERTEX_POINT('',#510914); #155338=VERTEX_POINT('',#510918); #155339=VERTEX_POINT('',#510920); #155340=VERTEX_POINT('',#510924); #155341=VERTEX_POINT('',#510926); #155342=VERTEX_POINT('',#510930); #155343=VERTEX_POINT('',#510932); #155344=VERTEX_POINT('',#510936); #155345=VERTEX_POINT('',#510938); #155346=VERTEX_POINT('',#510942); #155347=VERTEX_POINT('',#510944); #155348=VERTEX_POINT('',#510948); #155349=VERTEX_POINT('',#510950); #155350=VERTEX_POINT('',#510954); #155351=VERTEX_POINT('',#510956); #155352=VERTEX_POINT('',#510960); #155353=VERTEX_POINT('',#510962); #155354=VERTEX_POINT('',#510966); #155355=VERTEX_POINT('',#510968); #155356=VERTEX_POINT('',#510972); #155357=VERTEX_POINT('',#510974); #155358=VERTEX_POINT('',#510978); #155359=VERTEX_POINT('',#510980); #155360=VERTEX_POINT('',#510984); #155361=VERTEX_POINT('',#510986); #155362=VERTEX_POINT('',#510990); #155363=VERTEX_POINT('',#510992); #155364=VERTEX_POINT('',#510996); #155365=VERTEX_POINT('',#510998); #155366=VERTEX_POINT('',#511002); #155367=VERTEX_POINT('',#511004); #155368=VERTEX_POINT('',#511013); #155369=VERTEX_POINT('',#511015); #155370=VERTEX_POINT('',#511019); #155371=VERTEX_POINT('',#511020); #155372=VERTEX_POINT('',#511022); #155373=VERTEX_POINT('',#511024); #155374=VERTEX_POINT('',#511028); #155375=VERTEX_POINT('',#511030); #155376=VERTEX_POINT('',#511034); #155377=VERTEX_POINT('',#511036); #155378=VERTEX_POINT('',#511040); #155379=VERTEX_POINT('',#511042); #155380=VERTEX_POINT('',#511046); #155381=VERTEX_POINT('',#511048); #155382=VERTEX_POINT('',#511052); #155383=VERTEX_POINT('',#511054); #155384=VERTEX_POINT('',#511058); #155385=VERTEX_POINT('',#511060); #155386=VERTEX_POINT('',#511064); #155387=VERTEX_POINT('',#511066); #155388=VERTEX_POINT('',#511070); #155389=VERTEX_POINT('',#511072); #155390=VERTEX_POINT('',#511076); #155391=VERTEX_POINT('',#511078); #155392=VERTEX_POINT('',#511087); #155393=VERTEX_POINT('',#511089); #155394=VERTEX_POINT('',#511093); #155395=VERTEX_POINT('',#511094); #155396=VERTEX_POINT('',#511096); #155397=VERTEX_POINT('',#511098); #155398=VERTEX_POINT('',#511102); #155399=VERTEX_POINT('',#511104); #155400=VERTEX_POINT('',#511108); #155401=VERTEX_POINT('',#511110); #155402=VERTEX_POINT('',#511114); #155403=VERTEX_POINT('',#511116); #155404=VERTEX_POINT('',#511120); #155405=VERTEX_POINT('',#511122); #155406=VERTEX_POINT('',#511126); #155407=VERTEX_POINT('',#511128); #155408=VERTEX_POINT('',#511132); #155409=VERTEX_POINT('',#511134); #155410=VERTEX_POINT('',#511138); #155411=VERTEX_POINT('',#511140); #155412=VERTEX_POINT('',#511144); #155413=VERTEX_POINT('',#511146); #155414=VERTEX_POINT('',#511150); #155415=VERTEX_POINT('',#511152); #155416=VERTEX_POINT('',#511161); #155417=VERTEX_POINT('',#511163); #155418=VERTEX_POINT('',#511167); #155419=VERTEX_POINT('',#511168); #155420=VERTEX_POINT('',#511170); #155421=VERTEX_POINT('',#511172); #155422=VERTEX_POINT('',#511176); #155423=VERTEX_POINT('',#511178); #155424=VERTEX_POINT('',#511182); #155425=VERTEX_POINT('',#511184); #155426=VERTEX_POINT('',#511188); #155427=VERTEX_POINT('',#511190); #155428=VERTEX_POINT('',#511194); #155429=VERTEX_POINT('',#511196); #155430=VERTEX_POINT('',#511200); #155431=VERTEX_POINT('',#511202); #155432=VERTEX_POINT('',#511206); #155433=VERTEX_POINT('',#511208); #155434=VERTEX_POINT('',#511212); #155435=VERTEX_POINT('',#511214); #155436=VERTEX_POINT('',#511218); #155437=VERTEX_POINT('',#511220); #155438=VERTEX_POINT('',#511224); #155439=VERTEX_POINT('',#511226); #155440=VERTEX_POINT('',#511230); #155441=VERTEX_POINT('',#511232); #155442=VERTEX_POINT('',#511236); #155443=VERTEX_POINT('',#511238); #155444=VERTEX_POINT('',#511242); #155445=VERTEX_POINT('',#511244); #155446=VERTEX_POINT('',#511248); #155447=VERTEX_POINT('',#511250); #155448=VERTEX_POINT('',#511254); #155449=VERTEX_POINT('',#511256); #155450=VERTEX_POINT('',#511260); #155451=VERTEX_POINT('',#511262); #155452=VERTEX_POINT('',#511266); #155453=VERTEX_POINT('',#511268); #155454=VERTEX_POINT('',#511272); #155455=VERTEX_POINT('',#511274); #155456=VERTEX_POINT('',#511278); #155457=VERTEX_POINT('',#511280); #155458=VERTEX_POINT('',#511284); #155459=VERTEX_POINT('',#511286); #155460=VERTEX_POINT('',#511290); #155461=VERTEX_POINT('',#511292); #155462=VERTEX_POINT('',#511296); #155463=VERTEX_POINT('',#511298); #155464=VERTEX_POINT('',#511307); #155465=VERTEX_POINT('',#511309); #155466=VERTEX_POINT('',#511313); #155467=VERTEX_POINT('',#511314); #155468=VERTEX_POINT('',#511316); #155469=VERTEX_POINT('',#511318); #155470=VERTEX_POINT('',#511322); #155471=VERTEX_POINT('',#511324); #155472=VERTEX_POINT('',#511328); #155473=VERTEX_POINT('',#511330); #155474=VERTEX_POINT('',#511334); #155475=VERTEX_POINT('',#511336); #155476=VERTEX_POINT('',#511340); #155477=VERTEX_POINT('',#511342); #155478=VERTEX_POINT('',#511346); #155479=VERTEX_POINT('',#511348); #155480=VERTEX_POINT('',#511352); #155481=VERTEX_POINT('',#511354); #155482=VERTEX_POINT('',#511358); #155483=VERTEX_POINT('',#511360); #155484=VERTEX_POINT('',#511364); #155485=VERTEX_POINT('',#511366); #155486=VERTEX_POINT('',#511370); #155487=VERTEX_POINT('',#511372); #155488=VERTEX_POINT('',#511376); #155489=VERTEX_POINT('',#511378); #155490=VERTEX_POINT('',#511382); #155491=VERTEX_POINT('',#511384); #155492=VERTEX_POINT('',#511388); #155493=VERTEX_POINT('',#511390); #155494=VERTEX_POINT('',#511394); #155495=VERTEX_POINT('',#511396); #155496=VERTEX_POINT('',#511400); #155497=VERTEX_POINT('',#511402); #155498=VERTEX_POINT('',#511406); #155499=VERTEX_POINT('',#511408); #155500=VERTEX_POINT('',#511417); #155501=VERTEX_POINT('',#511419); #155502=VERTEX_POINT('',#511423); #155503=VERTEX_POINT('',#511424); #155504=VERTEX_POINT('',#511426); #155505=VERTEX_POINT('',#511428); #155506=VERTEX_POINT('',#511432); #155507=VERTEX_POINT('',#511434); #155508=VERTEX_POINT('',#511438); #155509=VERTEX_POINT('',#511440); #155510=VERTEX_POINT('',#511444); #155511=VERTEX_POINT('',#511446); #155512=VERTEX_POINT('',#511450); #155513=VERTEX_POINT('',#511452); #155514=VERTEX_POINT('',#511456); #155515=VERTEX_POINT('',#511458); #155516=VERTEX_POINT('',#511462); #155517=VERTEX_POINT('',#511464); #155518=VERTEX_POINT('',#511468); #155519=VERTEX_POINT('',#511470); #155520=VERTEX_POINT('',#511474); #155521=VERTEX_POINT('',#511476); #155522=VERTEX_POINT('',#511480); #155523=VERTEX_POINT('',#511482); #155524=VERTEX_POINT('',#511486); #155525=VERTEX_POINT('',#511488); #155526=VERTEX_POINT('',#511492); #155527=VERTEX_POINT('',#511494); #155528=VERTEX_POINT('',#511498); #155529=VERTEX_POINT('',#511500); #155530=VERTEX_POINT('',#511504); #155531=VERTEX_POINT('',#511506); #155532=VERTEX_POINT('',#511510); #155533=VERTEX_POINT('',#511512); #155534=VERTEX_POINT('',#511516); #155535=VERTEX_POINT('',#511518); #155536=VERTEX_POINT('',#511522); #155537=VERTEX_POINT('',#511524); #155538=VERTEX_POINT('',#511528); #155539=VERTEX_POINT('',#511530); #155540=VERTEX_POINT('',#511534); #155541=VERTEX_POINT('',#511536); #155542=VERTEX_POINT('',#511540); #155543=VERTEX_POINT('',#511542); #155544=VERTEX_POINT('',#511546); #155545=VERTEX_POINT('',#511548); #155546=VERTEX_POINT('',#511552); #155547=VERTEX_POINT('',#511554); #155548=VERTEX_POINT('',#511563); #155549=VERTEX_POINT('',#511564); #155550=VERTEX_POINT('',#511566); #155551=VERTEX_POINT('',#511568); #155552=VERTEX_POINT('',#511572); #155553=VERTEX_POINT('',#511574); #155554=VERTEX_POINT('',#511578); #155555=VERTEX_POINT('',#511580); #155556=VERTEX_POINT('',#511584); #155557=VERTEX_POINT('',#511586); #155558=VERTEX_POINT('',#511590); #155559=VERTEX_POINT('',#511592); #155560=VERTEX_POINT('',#511596); #155561=VERTEX_POINT('',#511598); #155562=VERTEX_POINT('',#511602); #155563=VERTEX_POINT('',#511604); #155564=VERTEX_POINT('',#511608); #155565=VERTEX_POINT('',#511610); #155566=VERTEX_POINT('',#511614); #155567=VERTEX_POINT('',#511616); #155568=VERTEX_POINT('',#511620); #155569=VERTEX_POINT('',#511622); #155570=VERTEX_POINT('',#511626); #155571=VERTEX_POINT('',#511628); #155572=VERTEX_POINT('',#511632); #155573=VERTEX_POINT('',#511634); #155574=VERTEX_POINT('',#511638); #155575=VERTEX_POINT('',#511640); #155576=VERTEX_POINT('',#511644); #155577=VERTEX_POINT('',#511646); #155578=VERTEX_POINT('',#511650); #155579=VERTEX_POINT('',#511652); #155580=VERTEX_POINT('',#511656); #155581=VERTEX_POINT('',#511658); #155582=VERTEX_POINT('',#511662); #155583=VERTEX_POINT('',#511664); #155584=VERTEX_POINT('',#511668); #155585=VERTEX_POINT('',#511670); #155586=VERTEX_POINT('',#511674); #155587=VERTEX_POINT('',#511676); #155588=VERTEX_POINT('',#511680); #155589=VERTEX_POINT('',#511682); #155590=VERTEX_POINT('',#511686); #155591=VERTEX_POINT('',#511688); #155592=VERTEX_POINT('',#511692); #155593=VERTEX_POINT('',#511694); #155594=VERTEX_POINT('',#511698); #155595=VERTEX_POINT('',#511700); #155596=VERTEX_POINT('',#511709); #155597=VERTEX_POINT('',#511711); #155598=VERTEX_POINT('',#511715); #155599=VERTEX_POINT('',#511716); #155600=VERTEX_POINT('',#511718); #155601=VERTEX_POINT('',#511720); #155602=VERTEX_POINT('',#511724); #155603=VERTEX_POINT('',#511726); #155604=VERTEX_POINT('',#511730); #155605=VERTEX_POINT('',#511732); #155606=VERTEX_POINT('',#511741); #155607=VERTEX_POINT('',#511743); #155608=VERTEX_POINT('',#511747); #155609=VERTEX_POINT('',#511748); #155610=VERTEX_POINT('',#511750); #155611=VERTEX_POINT('',#511752); #155612=VERTEX_POINT('',#511756); #155613=VERTEX_POINT('',#511758); #155614=VERTEX_POINT('',#511762); #155615=VERTEX_POINT('',#511764); #155616=VERTEX_POINT('',#511768); #155617=VERTEX_POINT('',#511770); #155618=VERTEX_POINT('',#511774); #155619=VERTEX_POINT('',#511776); #155620=VERTEX_POINT('',#511780); #155621=VERTEX_POINT('',#511782); #155622=VERTEX_POINT('',#511786); #155623=VERTEX_POINT('',#511788); #155624=VERTEX_POINT('',#511792); #155625=VERTEX_POINT('',#511794); #155626=VERTEX_POINT('',#511798); #155627=VERTEX_POINT('',#511800); #155628=VERTEX_POINT('',#511804); #155629=VERTEX_POINT('',#511806); #155630=VERTEX_POINT('',#511810); #155631=VERTEX_POINT('',#511812); #155632=VERTEX_POINT('',#511816); #155633=VERTEX_POINT('',#511818); #155634=VERTEX_POINT('',#511822); #155635=VERTEX_POINT('',#511824); #155636=VERTEX_POINT('',#511828); #155637=VERTEX_POINT('',#511830); #155638=VERTEX_POINT('',#511834); #155639=VERTEX_POINT('',#511836); #155640=VERTEX_POINT('',#511840); #155641=VERTEX_POINT('',#511842); #155642=VERTEX_POINT('',#511846); #155643=VERTEX_POINT('',#511848); #155644=VERTEX_POINT('',#511852); #155645=VERTEX_POINT('',#511854); #155646=VERTEX_POINT('',#511858); #155647=VERTEX_POINT('',#511860); #155648=VERTEX_POINT('',#511864); #155649=VERTEX_POINT('',#511866); #155650=VERTEX_POINT('',#511870); #155651=VERTEX_POINT('',#511872); #155652=VERTEX_POINT('',#511876); #155653=VERTEX_POINT('',#511878); #155654=VERTEX_POINT('',#511882); #155655=VERTEX_POINT('',#511884); #155656=VERTEX_POINT('',#511893); #155657=VERTEX_POINT('',#511895); #155658=VERTEX_POINT('',#511899); #155659=VERTEX_POINT('',#511900); #155660=VERTEX_POINT('',#511902); #155661=VERTEX_POINT('',#511904); #155662=VERTEX_POINT('',#511908); #155663=VERTEX_POINT('',#511910); #155664=VERTEX_POINT('',#511914); #155665=VERTEX_POINT('',#511916); #155666=VERTEX_POINT('',#511920); #155667=VERTEX_POINT('',#511922); #155668=VERTEX_POINT('',#511926); #155669=VERTEX_POINT('',#511928); #155670=VERTEX_POINT('',#511932); #155671=VERTEX_POINT('',#511934); #155672=VERTEX_POINT('',#511938); #155673=VERTEX_POINT('',#511940); #155674=VERTEX_POINT('',#511944); #155675=VERTEX_POINT('',#511946); #155676=VERTEX_POINT('',#511950); #155677=VERTEX_POINT('',#511952); #155678=VERTEX_POINT('',#511956); #155679=VERTEX_POINT('',#511958); #155680=VERTEX_POINT('',#511962); #155681=VERTEX_POINT('',#511964); #155682=VERTEX_POINT('',#511968); #155683=VERTEX_POINT('',#511970); #155684=VERTEX_POINT('',#511974); #155685=VERTEX_POINT('',#511976); #155686=VERTEX_POINT('',#511980); #155687=VERTEX_POINT('',#511982); #155688=VERTEX_POINT('',#511991); #155689=VERTEX_POINT('',#511993); #155690=VERTEX_POINT('',#511997); #155691=VERTEX_POINT('',#511998); #155692=VERTEX_POINT('',#512000); #155693=VERTEX_POINT('',#512002); #155694=VERTEX_POINT('',#512006); #155695=VERTEX_POINT('',#512008); #155696=VERTEX_POINT('',#512012); #155697=VERTEX_POINT('',#512014); #155698=VERTEX_POINT('',#512018); #155699=VERTEX_POINT('',#512020); #155700=VERTEX_POINT('',#512024); #155701=VERTEX_POINT('',#512026); #155702=VERTEX_POINT('',#512030); #155703=VERTEX_POINT('',#512032); #155704=VERTEX_POINT('',#512036); #155705=VERTEX_POINT('',#512038); #155706=VERTEX_POINT('',#512042); #155707=VERTEX_POINT('',#512044); #155708=VERTEX_POINT('',#512048); #155709=VERTEX_POINT('',#512050); #155710=VERTEX_POINT('',#512054); #155711=VERTEX_POINT('',#512056); #155712=VERTEX_POINT('',#512060); #155713=VERTEX_POINT('',#512062); #155714=VERTEX_POINT('',#512066); #155715=VERTEX_POINT('',#512068); #155716=VERTEX_POINT('',#512072); #155717=VERTEX_POINT('',#512074); #155718=VERTEX_POINT('',#512078); #155719=VERTEX_POINT('',#512080); #155720=VERTEX_POINT('',#512084); #155721=VERTEX_POINT('',#512086); #155722=VERTEX_POINT('',#512090); #155723=VERTEX_POINT('',#512092); #155724=VERTEX_POINT('',#512096); #155725=VERTEX_POINT('',#512098); #155726=VERTEX_POINT('',#512102); #155727=VERTEX_POINT('',#512104); #155728=VERTEX_POINT('',#512108); #155729=VERTEX_POINT('',#512110); #155730=VERTEX_POINT('',#512114); #155731=VERTEX_POINT('',#512116); #155732=VERTEX_POINT('',#512120); #155733=VERTEX_POINT('',#512122); #155734=VERTEX_POINT('',#512126); #155735=VERTEX_POINT('',#512128); #155736=VERTEX_POINT('',#512132); #155737=VERTEX_POINT('',#512134); #155738=VERTEX_POINT('',#512138); #155739=VERTEX_POINT('',#512140); #155740=VERTEX_POINT('',#512144); #155741=VERTEX_POINT('',#512146); #155742=VERTEX_POINT('',#512150); #155743=VERTEX_POINT('',#512152); #155744=VERTEX_POINT('',#512156); #155745=VERTEX_POINT('',#512158); #155746=VERTEX_POINT('',#512162); #155747=VERTEX_POINT('',#512164); #155748=VERTEX_POINT('',#512168); #155749=VERTEX_POINT('',#512170); #155750=VERTEX_POINT('',#512174); #155751=VERTEX_POINT('',#512176); #155752=VERTEX_POINT('',#512180); #155753=VERTEX_POINT('',#512182); #155754=VERTEX_POINT('',#512186); #155755=VERTEX_POINT('',#512188); #155756=VERTEX_POINT('',#512192); #155757=VERTEX_POINT('',#512194); #155758=VERTEX_POINT('',#512198); #155759=VERTEX_POINT('',#512200); #155760=VERTEX_POINT('',#512204); #155761=VERTEX_POINT('',#512206); #155762=VERTEX_POINT('',#512210); #155763=VERTEX_POINT('',#512212); #155764=VERTEX_POINT('',#512216); #155765=VERTEX_POINT('',#512218); #155766=VERTEX_POINT('',#512222); #155767=VERTEX_POINT('',#512224); #155768=VERTEX_POINT('',#512228); #155769=VERTEX_POINT('',#512230); #155770=VERTEX_POINT('',#512234); #155771=VERTEX_POINT('',#512236); #155772=VERTEX_POINT('',#512240); #155773=VERTEX_POINT('',#512242); #155774=VERTEX_POINT('',#512246); #155775=VERTEX_POINT('',#512248); #155776=VERTEX_POINT('',#512257); #155777=VERTEX_POINT('',#512259); #155778=VERTEX_POINT('',#512263); #155779=VERTEX_POINT('',#512264); #155780=VERTEX_POINT('',#512266); #155781=VERTEX_POINT('',#512268); #155782=VERTEX_POINT('',#512272); #155783=VERTEX_POINT('',#512274); #155784=VERTEX_POINT('',#512278); #155785=VERTEX_POINT('',#512280); #155786=VERTEX_POINT('',#512284); #155787=VERTEX_POINT('',#512286); #155788=VERTEX_POINT('',#512290); #155789=VERTEX_POINT('',#512292); #155790=VERTEX_POINT('',#512296); #155791=VERTEX_POINT('',#512298); #155792=VERTEX_POINT('',#512302); #155793=VERTEX_POINT('',#512304); #155794=VERTEX_POINT('',#512308); #155795=VERTEX_POINT('',#512310); #155796=VERTEX_POINT('',#512314); #155797=VERTEX_POINT('',#512316); #155798=VERTEX_POINT('',#512320); #155799=VERTEX_POINT('',#512322); #155800=VERTEX_POINT('',#512326); #155801=VERTEX_POINT('',#512328); #155802=VERTEX_POINT('',#512332); #155803=VERTEX_POINT('',#512334); #155804=VERTEX_POINT('',#512338); #155805=VERTEX_POINT('',#512340); #155806=VERTEX_POINT('',#512344); #155807=VERTEX_POINT('',#512346); #155808=VERTEX_POINT('',#512350); #155809=VERTEX_POINT('',#512352); #155810=VERTEX_POINT('',#512356); #155811=VERTEX_POINT('',#512358); #155812=VERTEX_POINT('',#512362); #155813=VERTEX_POINT('',#512364); #155814=VERTEX_POINT('',#512368); #155815=VERTEX_POINT('',#512370); #155816=VERTEX_POINT('',#512374); #155817=VERTEX_POINT('',#512376); #155818=VERTEX_POINT('',#512380); #155819=VERTEX_POINT('',#512382); #155820=VERTEX_POINT('',#512391); #155821=VERTEX_POINT('',#512393); #155822=VERTEX_POINT('',#512397); #155823=VERTEX_POINT('',#512398); #155824=VERTEX_POINT('',#512400); #155825=VERTEX_POINT('',#512402); #155826=VERTEX_POINT('',#512406); #155827=VERTEX_POINT('',#512408); #155828=VERTEX_POINT('',#512412); #155829=VERTEX_POINT('',#512414); #155830=VERTEX_POINT('',#512423); #155831=VERTEX_POINT('',#512425); #155832=VERTEX_POINT('',#512429); #155833=VERTEX_POINT('',#512430); #155834=VERTEX_POINT('',#512432); #155835=VERTEX_POINT('',#512434); #155836=VERTEX_POINT('',#512438); #155837=VERTEX_POINT('',#512440); #155838=VERTEX_POINT('',#512444); #155839=VERTEX_POINT('',#512446); #155840=VERTEX_POINT('',#512455); #155841=VERTEX_POINT('',#512457); #155842=VERTEX_POINT('',#512461); #155843=VERTEX_POINT('',#512462); #155844=VERTEX_POINT('',#512464); #155845=VERTEX_POINT('',#512466); #155846=VERTEX_POINT('',#512470); #155847=VERTEX_POINT('',#512472); #155848=VERTEX_POINT('',#512476); #155849=VERTEX_POINT('',#512478); #155850=VERTEX_POINT('',#512482); #155851=VERTEX_POINT('',#512484); #155852=VERTEX_POINT('',#512488); #155853=VERTEX_POINT('',#512490); #155854=VERTEX_POINT('',#512494); #155855=VERTEX_POINT('',#512496); #155856=VERTEX_POINT('',#512500); #155857=VERTEX_POINT('',#512502); #155858=VERTEX_POINT('',#512506); #155859=VERTEX_POINT('',#512508); #155860=VERTEX_POINT('',#512512); #155861=VERTEX_POINT('',#512514); #155862=VERTEX_POINT('',#512518); #155863=VERTEX_POINT('',#512520); #155864=VERTEX_POINT('',#512524); #155865=VERTEX_POINT('',#512526); #155866=VERTEX_POINT('',#512530); #155867=VERTEX_POINT('',#512532); #155868=VERTEX_POINT('',#512536); #155869=VERTEX_POINT('',#512538); #155870=VERTEX_POINT('',#512547); #155871=VERTEX_POINT('',#512549); #155872=VERTEX_POINT('',#512553); #155873=VERTEX_POINT('',#512554); #155874=VERTEX_POINT('',#512556); #155875=VERTEX_POINT('',#512558); #155876=VERTEX_POINT('',#512562); #155877=VERTEX_POINT('',#512564); #155878=VERTEX_POINT('',#512573); #155879=VERTEX_POINT('',#512575); #155880=VERTEX_POINT('',#512579); #155881=VERTEX_POINT('',#512581); #155882=VERTEX_POINT('',#512585); #155883=VERTEX_POINT('',#512586); #155884=VERTEX_POINT('',#512588); #155885=VERTEX_POINT('',#512590); #155886=VERTEX_POINT('',#512594); #155887=VERTEX_POINT('',#512596); #155888=VERTEX_POINT('',#512600); #155889=VERTEX_POINT('',#512602); #155890=VERTEX_POINT('',#512606); #155891=VERTEX_POINT('',#512608); #155892=VERTEX_POINT('',#512612); #155893=VERTEX_POINT('',#512614); #155894=VERTEX_POINT('',#512618); #155895=VERTEX_POINT('',#512620); #155896=VERTEX_POINT('',#512629); #155897=VERTEX_POINT('',#512631); #155898=VERTEX_POINT('',#512635); #155899=VERTEX_POINT('',#512636); #155900=VERTEX_POINT('',#512638); #155901=VERTEX_POINT('',#512640); #155902=VERTEX_POINT('',#512644); #155903=VERTEX_POINT('',#512646); #155904=VERTEX_POINT('',#512655); #155905=VERTEX_POINT('',#512657); #155906=VERTEX_POINT('',#512661); #155907=VERTEX_POINT('',#512662); #155908=VERTEX_POINT('',#512664); #155909=VERTEX_POINT('',#512666); #155910=VERTEX_POINT('',#512670); #155911=VERTEX_POINT('',#512672); #155912=VERTEX_POINT('',#512676); #155913=VERTEX_POINT('',#512678); #155914=VERTEX_POINT('',#512687); #155915=VERTEX_POINT('',#512689); #155916=VERTEX_POINT('',#512693); #155917=VERTEX_POINT('',#512694); #155918=VERTEX_POINT('',#512696); #155919=VERTEX_POINT('',#512698); #155920=VERTEX_POINT('',#512702); #155921=VERTEX_POINT('',#512704); #155922=VERTEX_POINT('',#512708); #155923=VERTEX_POINT('',#512710); #155924=VERTEX_POINT('',#512714); #155925=VERTEX_POINT('',#512716); #155926=VERTEX_POINT('',#512720); #155927=VERTEX_POINT('',#512722); #155928=VERTEX_POINT('',#512726); #155929=VERTEX_POINT('',#512728); #155930=VERTEX_POINT('',#512732); #155931=VERTEX_POINT('',#512734); #155932=VERTEX_POINT('',#512738); #155933=VERTEX_POINT('',#512740); #155934=VERTEX_POINT('',#512744); #155935=VERTEX_POINT('',#512746); #155936=VERTEX_POINT('',#512750); #155937=VERTEX_POINT('',#512752); #155938=VERTEX_POINT('',#512756); #155939=VERTEX_POINT('',#512758); #155940=VERTEX_POINT('',#512762); #155941=VERTEX_POINT('',#512764); #155942=VERTEX_POINT('',#512768); #155943=VERTEX_POINT('',#512770); #155944=VERTEX_POINT('',#512774); #155945=VERTEX_POINT('',#512776); #155946=VERTEX_POINT('',#512780); #155947=VERTEX_POINT('',#512782); #155948=VERTEX_POINT('',#512786); #155949=VERTEX_POINT('',#512788); #155950=VERTEX_POINT('',#512792); #155951=VERTEX_POINT('',#512794); #155952=VERTEX_POINT('',#512798); #155953=VERTEX_POINT('',#512800); #155954=VERTEX_POINT('',#512804); #155955=VERTEX_POINT('',#512806); #155956=VERTEX_POINT('',#512810); #155957=VERTEX_POINT('',#512812); #155958=VERTEX_POINT('',#512816); #155959=VERTEX_POINT('',#512818); #155960=VERTEX_POINT('',#512822); #155961=VERTEX_POINT('',#512824); #155962=VERTEX_POINT('',#512828); #155963=VERTEX_POINT('',#512830); #155964=VERTEX_POINT('',#512834); #155965=VERTEX_POINT('',#512836); #155966=VERTEX_POINT('',#512840); #155967=VERTEX_POINT('',#512842); #155968=VERTEX_POINT('',#512846); #155969=VERTEX_POINT('',#512848); #155970=VERTEX_POINT('',#512852); #155971=VERTEX_POINT('',#512854); #155972=VERTEX_POINT('',#512858); #155973=VERTEX_POINT('',#512860); #155974=VERTEX_POINT('',#512864); #155975=VERTEX_POINT('',#512866); #155976=VERTEX_POINT('',#512870); #155977=VERTEX_POINT('',#512872); #155978=VERTEX_POINT('',#512876); #155979=VERTEX_POINT('',#512878); #155980=VERTEX_POINT('',#512882); #155981=VERTEX_POINT('',#512884); #155982=VERTEX_POINT('',#512888); #155983=VERTEX_POINT('',#512890); #155984=VERTEX_POINT('',#512894); #155985=VERTEX_POINT('',#512896); #155986=VERTEX_POINT('',#512900); #155987=VERTEX_POINT('',#512902); #155988=VERTEX_POINT('',#512906); #155989=VERTEX_POINT('',#512908); #155990=VERTEX_POINT('',#512912); #155991=VERTEX_POINT('',#512914); #155992=VERTEX_POINT('',#512918); #155993=VERTEX_POINT('',#512920); #155994=VERTEX_POINT('',#512924); #155995=VERTEX_POINT('',#512926); #155996=VERTEX_POINT('',#512930); #155997=VERTEX_POINT('',#512932); #155998=VERTEX_POINT('',#512936); #155999=VERTEX_POINT('',#512938); #156000=VERTEX_POINT('',#512942); #156001=VERTEX_POINT('',#512944); #156002=VERTEX_POINT('',#512953); #156003=VERTEX_POINT('',#512955); #156004=VERTEX_POINT('',#512959); #156005=VERTEX_POINT('',#512960); #156006=VERTEX_POINT('',#512962); #156007=VERTEX_POINT('',#512964); #156008=VERTEX_POINT('',#512968); #156009=VERTEX_POINT('',#512970); #156010=VERTEX_POINT('',#512974); #156011=VERTEX_POINT('',#512976); #156012=VERTEX_POINT('',#512980); #156013=VERTEX_POINT('',#512982); #156014=VERTEX_POINT('',#512986); #156015=VERTEX_POINT('',#512988); #156016=VERTEX_POINT('',#512992); #156017=VERTEX_POINT('',#512994); #156018=VERTEX_POINT('',#512998); #156019=VERTEX_POINT('',#513000); #156020=VERTEX_POINT('',#513004); #156021=VERTEX_POINT('',#513006); #156022=VERTEX_POINT('',#513010); #156023=VERTEX_POINT('',#513012); #156024=VERTEX_POINT('',#513016); #156025=VERTEX_POINT('',#513018); #156026=VERTEX_POINT('',#513022); #156027=VERTEX_POINT('',#513024); #156028=VERTEX_POINT('',#513028); #156029=VERTEX_POINT('',#513030); #156030=VERTEX_POINT('',#513034); #156031=VERTEX_POINT('',#513036); #156032=VERTEX_POINT('',#513040); #156033=VERTEX_POINT('',#513042); #156034=VERTEX_POINT('',#513046); #156035=VERTEX_POINT('',#513048); #156036=VERTEX_POINT('',#513052); #156037=VERTEX_POINT('',#513054); #156038=VERTEX_POINT('',#513058); #156039=VERTEX_POINT('',#513060); #156040=VERTEX_POINT('',#513064); #156041=VERTEX_POINT('',#513066); #156042=VERTEX_POINT('',#513070); #156043=VERTEX_POINT('',#513072); #156044=VERTEX_POINT('',#513076); #156045=VERTEX_POINT('',#513078); #156046=VERTEX_POINT('',#513087); #156047=VERTEX_POINT('',#513088); #156048=VERTEX_POINT('',#513090); #156049=VERTEX_POINT('',#513092); #156050=VERTEX_POINT('',#513096); #156051=VERTEX_POINT('',#513098); #156052=VERTEX_POINT('',#513102); #156053=VERTEX_POINT('',#513104); #156054=VERTEX_POINT('',#513108); #156055=VERTEX_POINT('',#513110); #156056=VERTEX_POINT('',#513114); #156057=VERTEX_POINT('',#513116); #156058=VERTEX_POINT('',#513120); #156059=VERTEX_POINT('',#513122); #156060=VERTEX_POINT('',#513126); #156061=VERTEX_POINT('',#513128); #156062=VERTEX_POINT('',#513132); #156063=VERTEX_POINT('',#513134); #156064=VERTEX_POINT('',#513138); #156065=VERTEX_POINT('',#513140); #156066=VERTEX_POINT('',#513144); #156067=VERTEX_POINT('',#513146); #156068=VERTEX_POINT('',#513150); #156069=VERTEX_POINT('',#513152); #156070=VERTEX_POINT('',#513161); #156071=VERTEX_POINT('',#513162); #156072=VERTEX_POINT('',#513164); #156073=VERTEX_POINT('',#513166); #156074=VERTEX_POINT('',#513170); #156075=VERTEX_POINT('',#513172); #156076=VERTEX_POINT('',#513176); #156077=VERTEX_POINT('',#513178); #156078=VERTEX_POINT('',#513182); #156079=VERTEX_POINT('',#513184); #156080=VERTEX_POINT('',#513188); #156081=VERTEX_POINT('',#513190); #156082=VERTEX_POINT('',#513194); #156083=VERTEX_POINT('',#513196); #156084=VERTEX_POINT('',#513200); #156085=VERTEX_POINT('',#513202); #156086=VERTEX_POINT('',#513206); #156087=VERTEX_POINT('',#513208); #156088=VERTEX_POINT('',#513212); #156089=VERTEX_POINT('',#513214); #156090=VERTEX_POINT('',#513218); #156091=VERTEX_POINT('',#513220); #156092=VERTEX_POINT('',#513224); #156093=VERTEX_POINT('',#513226); #156094=VERTEX_POINT('',#513235); #156095=VERTEX_POINT('',#513237); #156096=VERTEX_POINT('',#513241); #156097=VERTEX_POINT('',#513242); #156098=VERTEX_POINT('',#513244); #156099=VERTEX_POINT('',#513246); #156100=VERTEX_POINT('',#513250); #156101=VERTEX_POINT('',#513252); #156102=VERTEX_POINT('',#513256); #156103=VERTEX_POINT('',#513258); #156104=VERTEX_POINT('',#513262); #156105=VERTEX_POINT('',#513264); #156106=VERTEX_POINT('',#513268); #156107=VERTEX_POINT('',#513270); #156108=VERTEX_POINT('',#513274); #156109=VERTEX_POINT('',#513276); #156110=VERTEX_POINT('',#513280); #156111=VERTEX_POINT('',#513282); #156112=VERTEX_POINT('',#513286); #156113=VERTEX_POINT('',#513288); #156114=VERTEX_POINT('',#513292); #156115=VERTEX_POINT('',#513294); #156116=VERTEX_POINT('',#513298); #156117=VERTEX_POINT('',#513300); #156118=VERTEX_POINT('',#513304); #156119=VERTEX_POINT('',#513306); #156120=VERTEX_POINT('',#513310); #156121=VERTEX_POINT('',#513312); #156122=VERTEX_POINT('',#513316); #156123=VERTEX_POINT('',#513318); #156124=VERTEX_POINT('',#513322); #156125=VERTEX_POINT('',#513324); #156126=VERTEX_POINT('',#513328); #156127=VERTEX_POINT('',#513330); #156128=VERTEX_POINT('',#513334); #156129=VERTEX_POINT('',#513336); #156130=VERTEX_POINT('',#513345); #156131=VERTEX_POINT('',#513347); #156132=VERTEX_POINT('',#513351); #156133=VERTEX_POINT('',#513352); #156134=VERTEX_POINT('',#513354); #156135=VERTEX_POINT('',#513356); #156136=VERTEX_POINT('',#513360); #156137=VERTEX_POINT('',#513362); #156138=VERTEX_POINT('',#513366); #156139=VERTEX_POINT('',#513368); #156140=VERTEX_POINT('',#513372); #156141=VERTEX_POINT('',#513374); #156142=VERTEX_POINT('',#513378); #156143=VERTEX_POINT('',#513380); #156144=VERTEX_POINT('',#513384); #156145=VERTEX_POINT('',#513386); #156146=VERTEX_POINT('',#513390); #156147=VERTEX_POINT('',#513392); #156148=VERTEX_POINT('',#513396); #156149=VERTEX_POINT('',#513398); #156150=VERTEX_POINT('',#513402); #156151=VERTEX_POINT('',#513404); #156152=VERTEX_POINT('',#513408); #156153=VERTEX_POINT('',#513410); #156154=VERTEX_POINT('',#513414); #156155=VERTEX_POINT('',#513416); #156156=VERTEX_POINT('',#513420); #156157=VERTEX_POINT('',#513422); #156158=VERTEX_POINT('',#513426); #156159=VERTEX_POINT('',#513428); #156160=VERTEX_POINT('',#513432); #156161=VERTEX_POINT('',#513434); #156162=VERTEX_POINT('',#513438); #156163=VERTEX_POINT('',#513440); #156164=VERTEX_POINT('',#513444); #156165=VERTEX_POINT('',#513446); #156166=VERTEX_POINT('',#513450); #156167=VERTEX_POINT('',#513452); #156168=VERTEX_POINT('',#513456); #156169=VERTEX_POINT('',#513458); #156170=VERTEX_POINT('',#513462); #156171=VERTEX_POINT('',#513464); #156172=VERTEX_POINT('',#513468); #156173=VERTEX_POINT('',#513470); #156174=VERTEX_POINT('',#513474); #156175=VERTEX_POINT('',#513476); #156176=VERTEX_POINT('',#513485); #156177=VERTEX_POINT('',#513487); #156178=VERTEX_POINT('',#513491); #156179=VERTEX_POINT('',#513492); #156180=VERTEX_POINT('',#513494); #156181=VERTEX_POINT('',#513496); #156182=VERTEX_POINT('',#513500); #156183=VERTEX_POINT('',#513502); #156184=VERTEX_POINT('',#513506); #156185=VERTEX_POINT('',#513508); #156186=VERTEX_POINT('',#513512); #156187=VERTEX_POINT('',#513514); #156188=VERTEX_POINT('',#513518); #156189=VERTEX_POINT('',#513520); #156190=VERTEX_POINT('',#513524); #156191=VERTEX_POINT('',#513526); #156192=VERTEX_POINT('',#513530); #156193=VERTEX_POINT('',#513532); #156194=VERTEX_POINT('',#513536); #156195=VERTEX_POINT('',#513538); #156196=VERTEX_POINT('',#513542); #156197=VERTEX_POINT('',#513544); #156198=VERTEX_POINT('',#513548); #156199=VERTEX_POINT('',#513550); #156200=VERTEX_POINT('',#513554); #156201=VERTEX_POINT('',#513556); #156202=VERTEX_POINT('',#513565); #156203=VERTEX_POINT('',#513566); #156204=VERTEX_POINT('',#513568); #156205=VERTEX_POINT('',#513570); #156206=VERTEX_POINT('',#513574); #156207=VERTEX_POINT('',#513576); #156208=VERTEX_POINT('',#513580); #156209=VERTEX_POINT('',#513582); #156210=VERTEX_POINT('',#513586); #156211=VERTEX_POINT('',#513588); #156212=VERTEX_POINT('',#513592); #156213=VERTEX_POINT('',#513594); #156214=VERTEX_POINT('',#513598); #156215=VERTEX_POINT('',#513600); #156216=VERTEX_POINT('',#513604); #156217=VERTEX_POINT('',#513606); #156218=VERTEX_POINT('',#513610); #156219=VERTEX_POINT('',#513612); #156220=VERTEX_POINT('',#513616); #156221=VERTEX_POINT('',#513618); #156222=VERTEX_POINT('',#513622); #156223=VERTEX_POINT('',#513624); #156224=VERTEX_POINT('',#513628); #156225=VERTEX_POINT('',#513630); #156226=VERTEX_POINT('',#513634); #156227=VERTEX_POINT('',#513636); #156228=VERTEX_POINT('',#513640); #156229=VERTEX_POINT('',#513642); #156230=VERTEX_POINT('',#513646); #156231=VERTEX_POINT('',#513648); #156232=VERTEX_POINT('',#513652); #156233=VERTEX_POINT('',#513654); #156234=VERTEX_POINT('',#513658); #156235=VERTEX_POINT('',#513660); #156236=VERTEX_POINT('',#513664); #156237=VERTEX_POINT('',#513666); #156238=VERTEX_POINT('',#513670); #156239=VERTEX_POINT('',#513672); #156240=VERTEX_POINT('',#513676); #156241=VERTEX_POINT('',#513678); #156242=VERTEX_POINT('',#513682); #156243=VERTEX_POINT('',#513684); #156244=VERTEX_POINT('',#513688); #156245=VERTEX_POINT('',#513690); #156246=VERTEX_POINT('',#513694); #156247=VERTEX_POINT('',#513696); #156248=VERTEX_POINT('',#513700); #156249=VERTEX_POINT('',#513702); #156250=VERTEX_POINT('',#513706); #156251=VERTEX_POINT('',#513708); #156252=VERTEX_POINT('',#513712); #156253=VERTEX_POINT('',#513714); #156254=VERTEX_POINT('',#513718); #156255=VERTEX_POINT('',#513720); #156256=VERTEX_POINT('',#513724); #156257=VERTEX_POINT('',#513726); #156258=VERTEX_POINT('',#513730); #156259=VERTEX_POINT('',#513732); #156260=VERTEX_POINT('',#513736); #156261=VERTEX_POINT('',#513738); #156262=VERTEX_POINT('',#513742); #156263=VERTEX_POINT('',#513744); #156264=VERTEX_POINT('',#513748); #156265=VERTEX_POINT('',#513750); #156266=VERTEX_POINT('',#513754); #156267=VERTEX_POINT('',#513756); #156268=VERTEX_POINT('',#513760); #156269=VERTEX_POINT('',#513762); #156270=VERTEX_POINT('',#513766); #156271=VERTEX_POINT('',#513768); #156272=VERTEX_POINT('',#513772); #156273=VERTEX_POINT('',#513774); #156274=VERTEX_POINT('',#513783); #156275=VERTEX_POINT('',#513785); #156276=VERTEX_POINT('',#513789); #156277=VERTEX_POINT('',#513790); #156278=VERTEX_POINT('',#513792); #156279=VERTEX_POINT('',#513794); #156280=VERTEX_POINT('',#513798); #156281=VERTEX_POINT('',#513800); #156282=VERTEX_POINT('',#513804); #156283=VERTEX_POINT('',#513806); #156284=VERTEX_POINT('',#513810); #156285=VERTEX_POINT('',#513812); #156286=VERTEX_POINT('',#513816); #156287=VERTEX_POINT('',#513818); #156288=VERTEX_POINT('',#513822); #156289=VERTEX_POINT('',#513824); #156290=VERTEX_POINT('',#513828); #156291=VERTEX_POINT('',#513830); #156292=VERTEX_POINT('',#513834); #156293=VERTEX_POINT('',#513836); #156294=VERTEX_POINT('',#513840); #156295=VERTEX_POINT('',#513842); #156296=VERTEX_POINT('',#513851); #156297=VERTEX_POINT('',#513853); #156298=VERTEX_POINT('',#513857); #156299=VERTEX_POINT('',#513859); #156300=VERTEX_POINT('',#513863); #156301=VERTEX_POINT('',#513864); #156302=VERTEX_POINT('',#513866); #156303=VERTEX_POINT('',#513868); #156304=VERTEX_POINT('',#513872); #156305=VERTEX_POINT('',#513874); #156306=VERTEX_POINT('',#513878); #156307=VERTEX_POINT('',#513880); #156308=VERTEX_POINT('',#513884); #156309=VERTEX_POINT('',#513886); #156310=VERTEX_POINT('',#513890); #156311=VERTEX_POINT('',#513892); #156312=VERTEX_POINT('',#513896); #156313=VERTEX_POINT('',#513898); #156314=VERTEX_POINT('',#513902); #156315=VERTEX_POINT('',#513904); #156316=VERTEX_POINT('',#513908); #156317=VERTEX_POINT('',#513910); #156318=VERTEX_POINT('',#513914); #156319=VERTEX_POINT('',#513916); #156320=VERTEX_POINT('',#513920); #156321=VERTEX_POINT('',#513922); #156322=VERTEX_POINT('',#513926); #156323=VERTEX_POINT('',#513928); #156324=VERTEX_POINT('',#513932); #156325=VERTEX_POINT('',#513934); #156326=VERTEX_POINT('',#513938); #156327=VERTEX_POINT('',#513940); #156328=VERTEX_POINT('',#513944); #156329=VERTEX_POINT('',#513946); #156330=VERTEX_POINT('',#513950); #156331=VERTEX_POINT('',#513952); #156332=VERTEX_POINT('',#513956); #156333=VERTEX_POINT('',#513958); #156334=VERTEX_POINT('',#513962); #156335=VERTEX_POINT('',#513964); #156336=VERTEX_POINT('',#513968); #156337=VERTEX_POINT('',#513970); #156338=VERTEX_POINT('',#513974); #156339=VERTEX_POINT('',#513976); #156340=VERTEX_POINT('',#513980); #156341=VERTEX_POINT('',#513982); #156342=VERTEX_POINT('',#513991); #156343=VERTEX_POINT('',#513992); #156344=VERTEX_POINT('',#513994); #156345=VERTEX_POINT('',#513996); #156346=VERTEX_POINT('',#514000); #156347=VERTEX_POINT('',#514002); #156348=VERTEX_POINT('',#514006); #156349=VERTEX_POINT('',#514008); #156350=VERTEX_POINT('',#514012); #156351=VERTEX_POINT('',#514014); #156352=VERTEX_POINT('',#514018); #156353=VERTEX_POINT('',#514020); #156354=VERTEX_POINT('',#514024); #156355=VERTEX_POINT('',#514026); #156356=VERTEX_POINT('',#514030); #156357=VERTEX_POINT('',#514032); #156358=VERTEX_POINT('',#514036); #156359=VERTEX_POINT('',#514038); #156360=VERTEX_POINT('',#514042); #156361=VERTEX_POINT('',#514044); #156362=VERTEX_POINT('',#514048); #156363=VERTEX_POINT('',#514050); #156364=VERTEX_POINT('',#514054); #156365=VERTEX_POINT('',#514056); #156366=VERTEX_POINT('',#514060); #156367=VERTEX_POINT('',#514062); #156368=VERTEX_POINT('',#514066); #156369=VERTEX_POINT('',#514068); #156370=VERTEX_POINT('',#514077); #156371=VERTEX_POINT('',#514079); #156372=VERTEX_POINT('',#514083); #156373=VERTEX_POINT('',#514085); #156374=VERTEX_POINT('',#514089); #156375=VERTEX_POINT('',#514091); #156376=VERTEX_POINT('',#514095); #156377=VERTEX_POINT('',#514097); #156378=VERTEX_POINT('',#514101); #156379=VERTEX_POINT('',#514103); #156380=VERTEX_POINT('',#514107); #156381=VERTEX_POINT('',#514109); #156382=VERTEX_POINT('',#514113); #156383=VERTEX_POINT('',#514115); #156384=VERTEX_POINT('',#514119); #156385=VERTEX_POINT('',#514121); #156386=VERTEX_POINT('',#514125); #156387=VERTEX_POINT('',#514127); #156388=VERTEX_POINT('',#514131); #156389=VERTEX_POINT('',#514133); #156390=VERTEX_POINT('',#514137); #156391=VERTEX_POINT('',#514139); #156392=VERTEX_POINT('',#514143); #156393=VERTEX_POINT('',#514144); #156394=VERTEX_POINT('',#514146); #156395=VERTEX_POINT('',#514148); #156396=VERTEX_POINT('',#514152); #156397=VERTEX_POINT('',#514154); #156398=VERTEX_POINT('',#514158); #156399=VERTEX_POINT('',#514160); #156400=VERTEX_POINT('',#514164); #156401=VERTEX_POINT('',#514166); #156402=VERTEX_POINT('',#514170); #156403=VERTEX_POINT('',#514172); #156404=VERTEX_POINT('',#514176); #156405=VERTEX_POINT('',#514178); #156406=VERTEX_POINT('',#514182); #156407=VERTEX_POINT('',#514184); #156408=VERTEX_POINT('',#514188); #156409=VERTEX_POINT('',#514190); #156410=VERTEX_POINT('',#514194); #156411=VERTEX_POINT('',#514196); #156412=VERTEX_POINT('',#514200); #156413=VERTEX_POINT('',#514202); #156414=VERTEX_POINT('',#514206); #156415=VERTEX_POINT('',#514208); #156416=VERTEX_POINT('',#514212); #156417=VERTEX_POINT('',#514214); #156418=VERTEX_POINT('',#514218); #156419=VERTEX_POINT('',#514220); #156420=VERTEX_POINT('',#514224); #156421=VERTEX_POINT('',#514226); #156422=VERTEX_POINT('',#514230); #156423=VERTEX_POINT('',#514232); #156424=VERTEX_POINT('',#514236); #156425=VERTEX_POINT('',#514238); #156426=VERTEX_POINT('',#514242); #156427=VERTEX_POINT('',#514244); #156428=VERTEX_POINT('',#514248); #156429=VERTEX_POINT('',#514250); #156430=VERTEX_POINT('',#514254); #156431=VERTEX_POINT('',#514256); #156432=VERTEX_POINT('',#514260); #156433=VERTEX_POINT('',#514262); #156434=VERTEX_POINT('',#514266); #156435=VERTEX_POINT('',#514268); #156436=VERTEX_POINT('',#514272); #156437=VERTEX_POINT('',#514274); #156438=VERTEX_POINT('',#514278); #156439=VERTEX_POINT('',#514280); #156440=VERTEX_POINT('',#514284); #156441=VERTEX_POINT('',#514286); #156442=VERTEX_POINT('',#514290); #156443=VERTEX_POINT('',#514292); #156444=VERTEX_POINT('',#514296); #156445=VERTEX_POINT('',#514298); #156446=VERTEX_POINT('',#514302); #156447=VERTEX_POINT('',#514304); #156448=VERTEX_POINT('',#514308); #156449=VERTEX_POINT('',#514310); #156450=VERTEX_POINT('',#514314); #156451=VERTEX_POINT('',#514316); #156452=VERTEX_POINT('',#514320); #156453=VERTEX_POINT('',#514322); #156454=VERTEX_POINT('',#514326); #156455=VERTEX_POINT('',#514328); #156456=VERTEX_POINT('',#514332); #156457=VERTEX_POINT('',#514334); #156458=VERTEX_POINT('',#514338); #156459=VERTEX_POINT('',#514340); #156460=VERTEX_POINT('',#514344); #156461=VERTEX_POINT('',#514346); #156462=VERTEX_POINT('',#514350); #156463=VERTEX_POINT('',#514352); #156464=VERTEX_POINT('',#514356); #156465=VERTEX_POINT('',#514358); #156466=VERTEX_POINT('',#514362); #156467=VERTEX_POINT('',#514364); #156468=VERTEX_POINT('',#514368); #156469=VERTEX_POINT('',#514370); #156470=VERTEX_POINT('',#514374); #156471=VERTEX_POINT('',#514376); #156472=VERTEX_POINT('',#514380); #156473=VERTEX_POINT('',#514382); #156474=VERTEX_POINT('',#514386); #156475=VERTEX_POINT('',#514388); #156476=VERTEX_POINT('',#514392); #156477=VERTEX_POINT('',#514394); #156478=VERTEX_POINT('',#514398); #156479=VERTEX_POINT('',#514400); #156480=VERTEX_POINT('',#514404); #156481=VERTEX_POINT('',#514406); #156482=VERTEX_POINT('',#514410); #156483=VERTEX_POINT('',#514412); #156484=VERTEX_POINT('',#514416); #156485=VERTEX_POINT('',#514418); #156486=VERTEX_POINT('',#514422); #156487=VERTEX_POINT('',#514424); #156488=VERTEX_POINT('',#514428); #156489=VERTEX_POINT('',#514430); #156490=VERTEX_POINT('',#514434); #156491=VERTEX_POINT('',#514436); #156492=VERTEX_POINT('',#514440); #156493=VERTEX_POINT('',#514442); #156494=VERTEX_POINT('',#514446); #156495=VERTEX_POINT('',#514448); #156496=VERTEX_POINT('',#514452); #156497=VERTEX_POINT('',#514454); #156498=VERTEX_POINT('',#514458); #156499=VERTEX_POINT('',#514460); #156500=VERTEX_POINT('',#514464); #156501=VERTEX_POINT('',#514466); #156502=VERTEX_POINT('',#514470); #156503=VERTEX_POINT('',#514472); #156504=VERTEX_POINT('',#514476); #156505=VERTEX_POINT('',#514478); #156506=VERTEX_POINT('',#514482); #156507=VERTEX_POINT('',#514484); #156508=VERTEX_POINT('',#514488); #156509=VERTEX_POINT('',#514490); #156510=VERTEX_POINT('',#514494); #156511=VERTEX_POINT('',#514496); #156512=VERTEX_POINT('',#514500); #156513=VERTEX_POINT('',#514502); #156514=VERTEX_POINT('',#514506); #156515=VERTEX_POINT('',#514508); #156516=VERTEX_POINT('',#514512); #156517=VERTEX_POINT('',#514514); #156518=VERTEX_POINT('',#514518); #156519=VERTEX_POINT('',#514520); #156520=VERTEX_POINT('',#514524); #156521=VERTEX_POINT('',#514526); #156522=VERTEX_POINT('',#514530); #156523=VERTEX_POINT('',#514532); #156524=VERTEX_POINT('',#514536); #156525=VERTEX_POINT('',#514538); #156526=VERTEX_POINT('',#514542); #156527=VERTEX_POINT('',#514544); #156528=VERTEX_POINT('',#514548); #156529=VERTEX_POINT('',#514550); #156530=VERTEX_POINT('',#514554); #156531=VERTEX_POINT('',#514556); #156532=VERTEX_POINT('',#514560); #156533=VERTEX_POINT('',#514562); #156534=VERTEX_POINT('',#514566); #156535=VERTEX_POINT('',#514568); #156536=VERTEX_POINT('',#514572); #156537=VERTEX_POINT('',#514574); #156538=VERTEX_POINT('',#514578); #156539=VERTEX_POINT('',#514580); #156540=VERTEX_POINT('',#514584); #156541=VERTEX_POINT('',#514586); #156542=VERTEX_POINT('',#514590); #156543=VERTEX_POINT('',#514592); #156544=VERTEX_POINT('',#514596); #156545=VERTEX_POINT('',#514598); #156546=VERTEX_POINT('',#514602); #156547=VERTEX_POINT('',#514604); #156548=VERTEX_POINT('',#514608); #156549=VERTEX_POINT('',#514610); #156550=VERTEX_POINT('',#514614); #156551=VERTEX_POINT('',#514616); #156552=VERTEX_POINT('',#514620); #156553=VERTEX_POINT('',#514622); #156554=VERTEX_POINT('',#514626); #156555=VERTEX_POINT('',#514628); #156556=VERTEX_POINT('',#514632); #156557=VERTEX_POINT('',#514634); #156558=VERTEX_POINT('',#514638); #156559=VERTEX_POINT('',#514640); #156560=VERTEX_POINT('',#514644); #156561=VERTEX_POINT('',#514646); #156562=VERTEX_POINT('',#514650); #156563=VERTEX_POINT('',#514652); #156564=VERTEX_POINT('',#514656); #156565=VERTEX_POINT('',#514658); #156566=VERTEX_POINT('',#514662); #156567=VERTEX_POINT('',#514664); #156568=VERTEX_POINT('',#514668); #156569=VERTEX_POINT('',#514670); #156570=VERTEX_POINT('',#514674); #156571=VERTEX_POINT('',#514676); #156572=VERTEX_POINT('',#514680); #156573=VERTEX_POINT('',#514682); #156574=VERTEX_POINT('',#514686); #156575=VERTEX_POINT('',#514688); #156576=VERTEX_POINT('',#514692); #156577=VERTEX_POINT('',#514694); #156578=VERTEX_POINT('',#514698); #156579=VERTEX_POINT('',#514700); #156580=VERTEX_POINT('',#514704); #156581=VERTEX_POINT('',#514706); #156582=VERTEX_POINT('',#514710); #156583=VERTEX_POINT('',#514712); #156584=VERTEX_POINT('',#514716); #156585=VERTEX_POINT('',#514718); #156586=VERTEX_POINT('',#514722); #156587=VERTEX_POINT('',#514724); #156588=VERTEX_POINT('',#514728); #156589=VERTEX_POINT('',#514730); #156590=VERTEX_POINT('',#514734); #156591=VERTEX_POINT('',#514736); #156592=VERTEX_POINT('',#514740); #156593=VERTEX_POINT('',#514742); #156594=VERTEX_POINT('',#514746); #156595=VERTEX_POINT('',#514748); #156596=VERTEX_POINT('',#514752); #156597=VERTEX_POINT('',#514754); #156598=VERTEX_POINT('',#514758); #156599=VERTEX_POINT('',#514760); #156600=VERTEX_POINT('',#514764); #156601=VERTEX_POINT('',#514766); #156602=VERTEX_POINT('',#514770); #156603=VERTEX_POINT('',#514772); #156604=VERTEX_POINT('',#514776); #156605=VERTEX_POINT('',#514778); #156606=VERTEX_POINT('',#514782); #156607=VERTEX_POINT('',#514784); #156608=VERTEX_POINT('',#514788); #156609=VERTEX_POINT('',#514790); #156610=VERTEX_POINT('',#514794); #156611=VERTEX_POINT('',#514796); #156612=VERTEX_POINT('',#514800); #156613=VERTEX_POINT('',#514802); #156614=VERTEX_POINT('',#514806); #156615=VERTEX_POINT('',#514808); #156616=VERTEX_POINT('',#514812); #156617=VERTEX_POINT('',#514814); #156618=VERTEX_POINT('',#514818); #156619=VERTEX_POINT('',#514820); #156620=VERTEX_POINT('',#514824); #156621=VERTEX_POINT('',#514826); #156622=VERTEX_POINT('',#514830); #156623=VERTEX_POINT('',#514832); #156624=VERTEX_POINT('',#514836); #156625=VERTEX_POINT('',#514838); #156626=VERTEX_POINT('',#514842); #156627=VERTEX_POINT('',#514844); #156628=VERTEX_POINT('',#514848); #156629=VERTEX_POINT('',#514850); #156630=VERTEX_POINT('',#514854); #156631=VERTEX_POINT('',#514856); #156632=VERTEX_POINT('',#514860); #156633=VERTEX_POINT('',#514862); #156634=VERTEX_POINT('',#514866); #156635=VERTEX_POINT('',#514868); #156636=VERTEX_POINT('',#514872); #156637=VERTEX_POINT('',#514874); #156638=VERTEX_POINT('',#514878); #156639=VERTEX_POINT('',#514880); #156640=VERTEX_POINT('',#514884); #156641=VERTEX_POINT('',#514886); #156642=VERTEX_POINT('',#514890); #156643=VERTEX_POINT('',#514892); #156644=VERTEX_POINT('',#514896); #156645=VERTEX_POINT('',#514898); #156646=VERTEX_POINT('',#514902); #156647=VERTEX_POINT('',#514904); #156648=VERTEX_POINT('',#514908); #156649=VERTEX_POINT('',#514910); #156650=VERTEX_POINT('',#514914); #156651=VERTEX_POINT('',#514916); #156652=VERTEX_POINT('',#514920); #156653=VERTEX_POINT('',#514922); #156654=VERTEX_POINT('',#514926); #156655=VERTEX_POINT('',#514928); #156656=VERTEX_POINT('',#514932); #156657=VERTEX_POINT('',#514934); #156658=VERTEX_POINT('',#514943); #156659=VERTEX_POINT('',#514945); #156660=VERTEX_POINT('',#514949); #156661=VERTEX_POINT('',#514950); #156662=VERTEX_POINT('',#514952); #156663=VERTEX_POINT('',#514954); #156664=VERTEX_POINT('',#514958); #156665=VERTEX_POINT('',#514960); #156666=VERTEX_POINT('',#514969); #156667=VERTEX_POINT('',#514970); #156668=VERTEX_POINT('',#514972); #156669=VERTEX_POINT('',#514974); #156670=VERTEX_POINT('',#514978); #156671=VERTEX_POINT('',#514980); #156672=VERTEX_POINT('',#514984); #156673=VERTEX_POINT('',#514986); #156674=VERTEX_POINT('',#514990); #156675=VERTEX_POINT('',#514992); #156676=VERTEX_POINT('',#514996); #156677=VERTEX_POINT('',#514998); #156678=VERTEX_POINT('',#515002); #156679=VERTEX_POINT('',#515004); #156680=VERTEX_POINT('',#515008); #156681=VERTEX_POINT('',#515010); #156682=VERTEX_POINT('',#515014); #156683=VERTEX_POINT('',#515016); #156684=VERTEX_POINT('',#515020); #156685=VERTEX_POINT('',#515022); #156686=VERTEX_POINT('',#515026); #156687=VERTEX_POINT('',#515028); #156688=VERTEX_POINT('',#515032); #156689=VERTEX_POINT('',#515034); #156690=VERTEX_POINT('',#515038); #156691=VERTEX_POINT('',#515040); #156692=VERTEX_POINT('',#515044); #156693=VERTEX_POINT('',#515046); #156694=VERTEX_POINT('',#515050); #156695=VERTEX_POINT('',#515052); #156696=VERTEX_POINT('',#515056); #156697=VERTEX_POINT('',#515058); #156698=VERTEX_POINT('',#515062); #156699=VERTEX_POINT('',#515064); #156700=VERTEX_POINT('',#515068); #156701=VERTEX_POINT('',#515070); #156702=VERTEX_POINT('',#515074); #156703=VERTEX_POINT('',#515076); #156704=VERTEX_POINT('',#515080); #156705=VERTEX_POINT('',#515082); #156706=VERTEX_POINT('',#515091); #156707=VERTEX_POINT('',#515092); #156708=VERTEX_POINT('',#515094); #156709=VERTEX_POINT('',#515096); #156710=VERTEX_POINT('',#515100); #156711=VERTEX_POINT('',#515102); #156712=VERTEX_POINT('',#515106); #156713=VERTEX_POINT('',#515108); #156714=VERTEX_POINT('',#515112); #156715=VERTEX_POINT('',#515114); #156716=VERTEX_POINT('',#515118); #156717=VERTEX_POINT('',#515120); #156718=VERTEX_POINT('',#515124); #156719=VERTEX_POINT('',#515126); #156720=VERTEX_POINT('',#515130); #156721=VERTEX_POINT('',#515132); #156722=VERTEX_POINT('',#515136); #156723=VERTEX_POINT('',#515138); #156724=VERTEX_POINT('',#515142); #156725=VERTEX_POINT('',#515144); #156726=VERTEX_POINT('',#515148); #156727=VERTEX_POINT('',#515150); #156728=VERTEX_POINT('',#515154); #156729=VERTEX_POINT('',#515156); #156730=VERTEX_POINT('',#515160); #156731=VERTEX_POINT('',#515162); #156732=VERTEX_POINT('',#515166); #156733=VERTEX_POINT('',#515168); #156734=VERTEX_POINT('',#515172); #156735=VERTEX_POINT('',#515174); #156736=VERTEX_POINT('',#515178); #156737=VERTEX_POINT('',#515180); #156738=VERTEX_POINT('',#515184); #156739=VERTEX_POINT('',#515186); #156740=VERTEX_POINT('',#515190); #156741=VERTEX_POINT('',#515192); #156742=VERTEX_POINT('',#515196); #156743=VERTEX_POINT('',#515198); #156744=VERTEX_POINT('',#515202); #156745=VERTEX_POINT('',#515204); #156746=VERTEX_POINT('',#515208); #156747=VERTEX_POINT('',#515210); #156748=VERTEX_POINT('',#515219); #156749=VERTEX_POINT('',#515220); #156750=VERTEX_POINT('',#515222); #156751=VERTEX_POINT('',#515224); #156752=VERTEX_POINT('',#515228); #156753=VERTEX_POINT('',#515230); #156754=VERTEX_POINT('',#515234); #156755=VERTEX_POINT('',#515236); #156756=VERTEX_POINT('',#515240); #156757=VERTEX_POINT('',#515242); #156758=VERTEX_POINT('',#515246); #156759=VERTEX_POINT('',#515248); #156760=VERTEX_POINT('',#515252); #156761=VERTEX_POINT('',#515254); #156762=VERTEX_POINT('',#515258); #156763=VERTEX_POINT('',#515260); #156764=VERTEX_POINT('',#515264); #156765=VERTEX_POINT('',#515266); #156766=VERTEX_POINT('',#515270); #156767=VERTEX_POINT('',#515272); #156768=VERTEX_POINT('',#515276); #156769=VERTEX_POINT('',#515278); #156770=VERTEX_POINT('',#515282); #156771=VERTEX_POINT('',#515284); #156772=VERTEX_POINT('',#515288); #156773=VERTEX_POINT('',#515290); #156774=VERTEX_POINT('',#515294); #156775=VERTEX_POINT('',#515296); #156776=VERTEX_POINT('',#515300); #156777=VERTEX_POINT('',#515302); #156778=VERTEX_POINT('',#515306); #156779=VERTEX_POINT('',#515308); #156780=VERTEX_POINT('',#515312); #156781=VERTEX_POINT('',#515314); #156782=VERTEX_POINT('',#515318); #156783=VERTEX_POINT('',#515320); #156784=VERTEX_POINT('',#515324); #156785=VERTEX_POINT('',#515326); #156786=VERTEX_POINT('',#515330); #156787=VERTEX_POINT('',#515332); #156788=VERTEX_POINT('',#515341); #156789=VERTEX_POINT('',#515342); #156790=VERTEX_POINT('',#515344); #156791=VERTEX_POINT('',#515346); #156792=VERTEX_POINT('',#515350); #156793=VERTEX_POINT('',#515352); #156794=VERTEX_POINT('',#515356); #156795=VERTEX_POINT('',#515358); #156796=VERTEX_POINT('',#515362); #156797=VERTEX_POINT('',#515364); #156798=VERTEX_POINT('',#515368); #156799=VERTEX_POINT('',#515370); #156800=VERTEX_POINT('',#515374); #156801=VERTEX_POINT('',#515376); #156802=VERTEX_POINT('',#515380); #156803=VERTEX_POINT('',#515382); #156804=VERTEX_POINT('',#515386); #156805=VERTEX_POINT('',#515388); #156806=VERTEX_POINT('',#515392); #156807=VERTEX_POINT('',#515394); #156808=VERTEX_POINT('',#515398); #156809=VERTEX_POINT('',#515400); #156810=VERTEX_POINT('',#515404); #156811=VERTEX_POINT('',#515406); #156812=VERTEX_POINT('',#515410); #156813=VERTEX_POINT('',#515412); #156814=VERTEX_POINT('',#515416); #156815=VERTEX_POINT('',#515418); #156816=VERTEX_POINT('',#515422); #156817=VERTEX_POINT('',#515424); #156818=VERTEX_POINT('',#515428); #156819=VERTEX_POINT('',#515430); #156820=VERTEX_POINT('',#515434); #156821=VERTEX_POINT('',#515436); #156822=VERTEX_POINT('',#515440); #156823=VERTEX_POINT('',#515442); #156824=VERTEX_POINT('',#515446); #156825=VERTEX_POINT('',#515448); #156826=VERTEX_POINT('',#515452); #156827=VERTEX_POINT('',#515454); #156828=VERTEX_POINT('',#515458); #156829=VERTEX_POINT('',#515460); #156830=VERTEX_POINT('',#515469); #156831=VERTEX_POINT('',#515471); #156832=VERTEX_POINT('',#515475); #156833=VERTEX_POINT('',#515477); #156834=VERTEX_POINT('',#515481); #156835=VERTEX_POINT('',#515483); #156836=VERTEX_POINT('',#515487); #156837=VERTEX_POINT('',#515488); #156838=VERTEX_POINT('',#515490); #156839=VERTEX_POINT('',#515492); #156840=VERTEX_POINT('',#515496); #156841=VERTEX_POINT('',#515498); #156842=VERTEX_POINT('',#515502); #156843=VERTEX_POINT('',#515504); #156844=VERTEX_POINT('',#515508); #156845=VERTEX_POINT('',#515510); #156846=VERTEX_POINT('',#515514); #156847=VERTEX_POINT('',#515516); #156848=VERTEX_POINT('',#515520); #156849=VERTEX_POINT('',#515522); #156850=VERTEX_POINT('',#515526); #156851=VERTEX_POINT('',#515528); #156852=VERTEX_POINT('',#515532); #156853=VERTEX_POINT('',#515534); #156854=VERTEX_POINT('',#515538); #156855=VERTEX_POINT('',#515540); #156856=VERTEX_POINT('',#515544); #156857=VERTEX_POINT('',#515546); #156858=VERTEX_POINT('',#515550); #156859=VERTEX_POINT('',#515552); #156860=VERTEX_POINT('',#515556); #156861=VERTEX_POINT('',#515558); #156862=VERTEX_POINT('',#515562); #156863=VERTEX_POINT('',#515564); #156864=VERTEX_POINT('',#515568); #156865=VERTEX_POINT('',#515570); #156866=VERTEX_POINT('',#515574); #156867=VERTEX_POINT('',#515576); #156868=VERTEX_POINT('',#515580); #156869=VERTEX_POINT('',#515582); #156870=VERTEX_POINT('',#515586); #156871=VERTEX_POINT('',#515588); #156872=VERTEX_POINT('',#515592); #156873=VERTEX_POINT('',#515594); #156874=VERTEX_POINT('',#515598); #156875=VERTEX_POINT('',#515600); #156876=VERTEX_POINT('',#515604); #156877=VERTEX_POINT('',#515606); #156878=VERTEX_POINT('',#515610); #156879=VERTEX_POINT('',#515612); #156880=VERTEX_POINT('',#515616); #156881=VERTEX_POINT('',#515618); #156882=VERTEX_POINT('',#515622); #156883=VERTEX_POINT('',#515624); #156884=VERTEX_POINT('',#515628); #156885=VERTEX_POINT('',#515630); #156886=VERTEX_POINT('',#515634); #156887=VERTEX_POINT('',#515636); #156888=VERTEX_POINT('',#515640); #156889=VERTEX_POINT('',#515642); #156890=VERTEX_POINT('',#515646); #156891=VERTEX_POINT('',#515648); #156892=VERTEX_POINT('',#515652); #156893=VERTEX_POINT('',#515654); #156894=VERTEX_POINT('',#515658); #156895=VERTEX_POINT('',#515660); #156896=VERTEX_POINT('',#515664); #156897=VERTEX_POINT('',#515666); #156898=VERTEX_POINT('',#515670); #156899=VERTEX_POINT('',#515672); #156900=VERTEX_POINT('',#515676); #156901=VERTEX_POINT('',#515678); #156902=VERTEX_POINT('',#515682); #156903=VERTEX_POINT('',#515684); #156904=VERTEX_POINT('',#515688); #156905=VERTEX_POINT('',#515690); #156906=VERTEX_POINT('',#515694); #156907=VERTEX_POINT('',#515696); #156908=VERTEX_POINT('',#515700); #156909=VERTEX_POINT('',#515702); #156910=VERTEX_POINT('',#515706); #156911=VERTEX_POINT('',#515708); #156912=VERTEX_POINT('',#515712); #156913=VERTEX_POINT('',#515714); #156914=VERTEX_POINT('',#515718); #156915=VERTEX_POINT('',#515720); #156916=VERTEX_POINT('',#515724); #156917=VERTEX_POINT('',#515726); #156918=VERTEX_POINT('',#515730); #156919=VERTEX_POINT('',#515732); #156920=VERTEX_POINT('',#515736); #156921=VERTEX_POINT('',#515738); #156922=VERTEX_POINT('',#515742); #156923=VERTEX_POINT('',#515744); #156924=VERTEX_POINT('',#515748); #156925=VERTEX_POINT('',#515750); #156926=VERTEX_POINT('',#515754); #156927=VERTEX_POINT('',#515756); #156928=VERTEX_POINT('',#515760); #156929=VERTEX_POINT('',#515762); #156930=VERTEX_POINT('',#515766); #156931=VERTEX_POINT('',#515768); #156932=VERTEX_POINT('',#515772); #156933=VERTEX_POINT('',#515774); #156934=VERTEX_POINT('',#515778); #156935=VERTEX_POINT('',#515780); #156936=VERTEX_POINT('',#515784); #156937=VERTEX_POINT('',#515786); #156938=VERTEX_POINT('',#515790); #156939=VERTEX_POINT('',#515792); #156940=VERTEX_POINT('',#515796); #156941=VERTEX_POINT('',#515798); #156942=VERTEX_POINT('',#515802); #156943=VERTEX_POINT('',#515804); #156944=VERTEX_POINT('',#515808); #156945=VERTEX_POINT('',#515810); #156946=VERTEX_POINT('',#515814); #156947=VERTEX_POINT('',#515816); #156948=VERTEX_POINT('',#515820); #156949=VERTEX_POINT('',#515822); #156950=VERTEX_POINT('',#515826); #156951=VERTEX_POINT('',#515828); #156952=VERTEX_POINT('',#515832); #156953=VERTEX_POINT('',#515834); #156954=VERTEX_POINT('',#515838); #156955=VERTEX_POINT('',#515840); #156956=VERTEX_POINT('',#515844); #156957=VERTEX_POINT('',#515846); #156958=VERTEX_POINT('',#515850); #156959=VERTEX_POINT('',#515852); #156960=VERTEX_POINT('',#515856); #156961=VERTEX_POINT('',#515858); #156962=VERTEX_POINT('',#515862); #156963=VERTEX_POINT('',#515864); #156964=VERTEX_POINT('',#515868); #156965=VERTEX_POINT('',#515870); #156966=VERTEX_POINT('',#515874); #156967=VERTEX_POINT('',#515876); #156968=VERTEX_POINT('',#515880); #156969=VERTEX_POINT('',#515882); #156970=VERTEX_POINT('',#515886); #156971=VERTEX_POINT('',#515888); #156972=VERTEX_POINT('',#515892); #156973=VERTEX_POINT('',#515894); #156974=VERTEX_POINT('',#515898); #156975=VERTEX_POINT('',#515900); #156976=VERTEX_POINT('',#515904); #156977=VERTEX_POINT('',#515906); #156978=VERTEX_POINT('',#515910); #156979=VERTEX_POINT('',#515912); #156980=VERTEX_POINT('',#515916); #156981=VERTEX_POINT('',#515918); #156982=VERTEX_POINT('',#515922); #156983=VERTEX_POINT('',#515924); #156984=VERTEX_POINT('',#515928); #156985=VERTEX_POINT('',#515930); #156986=VERTEX_POINT('',#515934); #156987=VERTEX_POINT('',#515936); #156988=VERTEX_POINT('',#515940); #156989=VERTEX_POINT('',#515942); #156990=VERTEX_POINT('',#515946); #156991=VERTEX_POINT('',#515948); #156992=VERTEX_POINT('',#515952); #156993=VERTEX_POINT('',#515954); #156994=VERTEX_POINT('',#515958); #156995=VERTEX_POINT('',#515960); #156996=VERTEX_POINT('',#515964); #156997=VERTEX_POINT('',#515966); #156998=VERTEX_POINT('',#515970); #156999=VERTEX_POINT('',#515972); #157000=VERTEX_POINT('',#515976); #157001=VERTEX_POINT('',#515978); #157002=VERTEX_POINT('',#515982); #157003=VERTEX_POINT('',#515984); #157004=VERTEX_POINT('',#515988); #157005=VERTEX_POINT('',#515990); #157006=VERTEX_POINT('',#515994); #157007=VERTEX_POINT('',#515996); #157008=VERTEX_POINT('',#516000); #157009=VERTEX_POINT('',#516002); #157010=VERTEX_POINT('',#516006); #157011=VERTEX_POINT('',#516008); #157012=VERTEX_POINT('',#516012); #157013=VERTEX_POINT('',#516014); #157014=VERTEX_POINT('',#516018); #157015=VERTEX_POINT('',#516020); #157016=VERTEX_POINT('',#516029); #157017=VERTEX_POINT('',#516030); #157018=VERTEX_POINT('',#516032); #157019=VERTEX_POINT('',#516034); #157020=VERTEX_POINT('',#516038); #157021=VERTEX_POINT('',#516040); #157022=VERTEX_POINT('',#516044); #157023=VERTEX_POINT('',#516046); #157024=VERTEX_POINT('',#516050); #157025=VERTEX_POINT('',#516052); #157026=VERTEX_POINT('',#516056); #157027=VERTEX_POINT('',#516058); #157028=VERTEX_POINT('',#516062); #157029=VERTEX_POINT('',#516064); #157030=VERTEX_POINT('',#516068); #157031=VERTEX_POINT('',#516070); #157032=VERTEX_POINT('',#516074); #157033=VERTEX_POINT('',#516076); #157034=VERTEX_POINT('',#516080); #157035=VERTEX_POINT('',#516082); #157036=VERTEX_POINT('',#516086); #157037=VERTEX_POINT('',#516088); #157038=VERTEX_POINT('',#516092); #157039=VERTEX_POINT('',#516094); #157040=VERTEX_POINT('',#516098); #157041=VERTEX_POINT('',#516100); #157042=VERTEX_POINT('',#516104); #157043=VERTEX_POINT('',#516106); #157044=VERTEX_POINT('',#516110); #157045=VERTEX_POINT('',#516112); #157046=VERTEX_POINT('',#516116); #157047=VERTEX_POINT('',#516118); #157048=VERTEX_POINT('',#516122); #157049=VERTEX_POINT('',#516124); #157050=VERTEX_POINT('',#516128); #157051=VERTEX_POINT('',#516130); #157052=VERTEX_POINT('',#516134); #157053=VERTEX_POINT('',#516136); #157054=VERTEX_POINT('',#516140); #157055=VERTEX_POINT('',#516142); #157056=VERTEX_POINT('',#516146); #157057=VERTEX_POINT('',#516148); #157058=VERTEX_POINT('',#516152); #157059=VERTEX_POINT('',#516154); #157060=VERTEX_POINT('',#516158); #157061=VERTEX_POINT('',#516160); #157062=VERTEX_POINT('',#516164); #157063=VERTEX_POINT('',#516166); #157064=VERTEX_POINT('',#516170); #157065=VERTEX_POINT('',#516172); #157066=VERTEX_POINT('',#516176); #157067=VERTEX_POINT('',#516178); #157068=VERTEX_POINT('',#516182); #157069=VERTEX_POINT('',#516184); #157070=VERTEX_POINT('',#516188); #157071=VERTEX_POINT('',#516190); #157072=VERTEX_POINT('',#516194); #157073=VERTEX_POINT('',#516196); #157074=VERTEX_POINT('',#516200); #157075=VERTEX_POINT('',#516202); #157076=VERTEX_POINT('',#516206); #157077=VERTEX_POINT('',#516208); #157078=VERTEX_POINT('',#516212); #157079=VERTEX_POINT('',#516214); #157080=VERTEX_POINT('',#516218); #157081=VERTEX_POINT('',#516220); #157082=VERTEX_POINT('',#516224); #157083=VERTEX_POINT('',#516226); #157084=VERTEX_POINT('',#516235); #157085=VERTEX_POINT('',#516236); #157086=VERTEX_POINT('',#516238); #157087=VERTEX_POINT('',#516240); #157088=VERTEX_POINT('',#516244); #157089=VERTEX_POINT('',#516246); #157090=VERTEX_POINT('',#516250); #157091=VERTEX_POINT('',#516252); #157092=VERTEX_POINT('',#516256); #157093=VERTEX_POINT('',#516258); #157094=VERTEX_POINT('',#516262); #157095=VERTEX_POINT('',#516264); #157096=VERTEX_POINT('',#516268); #157097=VERTEX_POINT('',#516270); #157098=VERTEX_POINT('',#516274); #157099=VERTEX_POINT('',#516276); #157100=VERTEX_POINT('',#516280); #157101=VERTEX_POINT('',#516282); #157102=VERTEX_POINT('',#516286); #157103=VERTEX_POINT('',#516288); #157104=VERTEX_POINT('',#516292); #157105=VERTEX_POINT('',#516294); #157106=VERTEX_POINT('',#516298); #157107=VERTEX_POINT('',#516300); #157108=VERTEX_POINT('',#516304); #157109=VERTEX_POINT('',#516306); #157110=VERTEX_POINT('',#516310); #157111=VERTEX_POINT('',#516312); #157112=VERTEX_POINT('',#516316); #157113=VERTEX_POINT('',#516318); #157114=VERTEX_POINT('',#516322); #157115=VERTEX_POINT('',#516324); #157116=VERTEX_POINT('',#516328); #157117=VERTEX_POINT('',#516330); #157118=VERTEX_POINT('',#516334); #157119=VERTEX_POINT('',#516336); #157120=VERTEX_POINT('',#516340); #157121=VERTEX_POINT('',#516342); #157122=VERTEX_POINT('',#516346); #157123=VERTEX_POINT('',#516348); #157124=VERTEX_POINT('',#516357); #157125=VERTEX_POINT('',#516358); #157126=VERTEX_POINT('',#516360); #157127=VERTEX_POINT('',#516362); #157128=VERTEX_POINT('',#516366); #157129=VERTEX_POINT('',#516368); #157130=VERTEX_POINT('',#516372); #157131=VERTEX_POINT('',#516374); #157132=VERTEX_POINT('',#516378); #157133=VERTEX_POINT('',#516380); #157134=VERTEX_POINT('',#516384); #157135=VERTEX_POINT('',#516386); #157136=VERTEX_POINT('',#516390); #157137=VERTEX_POINT('',#516392); #157138=VERTEX_POINT('',#516396); #157139=VERTEX_POINT('',#516398); #157140=VERTEX_POINT('',#516402); #157141=VERTEX_POINT('',#516404); #157142=VERTEX_POINT('',#516408); #157143=VERTEX_POINT('',#516410); #157144=VERTEX_POINT('',#516414); #157145=VERTEX_POINT('',#516416); #157146=VERTEX_POINT('',#516420); #157147=VERTEX_POINT('',#516422); #157148=VERTEX_POINT('',#516426); #157149=VERTEX_POINT('',#516428); #157150=VERTEX_POINT('',#516432); #157151=VERTEX_POINT('',#516434); #157152=VERTEX_POINT('',#516438); #157153=VERTEX_POINT('',#516440); #157154=VERTEX_POINT('',#516444); #157155=VERTEX_POINT('',#516446); #157156=VERTEX_POINT('',#516450); #157157=VERTEX_POINT('',#516452); #157158=VERTEX_POINT('',#516456); #157159=VERTEX_POINT('',#516458); #157160=VERTEX_POINT('',#516462); #157161=VERTEX_POINT('',#516464); #157162=VERTEX_POINT('',#516468); #157163=VERTEX_POINT('',#516470); #157164=VERTEX_POINT('',#516474); #157165=VERTEX_POINT('',#516476); #157166=VERTEX_POINT('',#516485); #157167=VERTEX_POINT('',#516487); #157168=VERTEX_POINT('',#516491); #157169=VERTEX_POINT('',#516492); #157170=VERTEX_POINT('',#516494); #157171=VERTEX_POINT('',#516496); #157172=VERTEX_POINT('',#516500); #157173=VERTEX_POINT('',#516502); #157174=VERTEX_POINT('',#516506); #157175=VERTEX_POINT('',#516508); #157176=VERTEX_POINT('',#516515); #157177=VERTEX_POINT('',#516517); #157178=VERTEX_POINT('',#516521); #157179=VERTEX_POINT('',#516523); #157180=VERTEX_POINT('',#516527); #157181=VERTEX_POINT('',#516529); #157182=VERTEX_POINT('',#516533); #157183=VERTEX_POINT('',#516535); #157184=VERTEX_POINT('',#516539); #157185=VERTEX_POINT('',#516541); #157186=VERTEX_POINT('',#516545); #157187=VERTEX_POINT('',#516547); #157188=VERTEX_POINT('',#516551); #157189=VERTEX_POINT('',#516553); #157190=VERTEX_POINT('',#516557); #157191=VERTEX_POINT('',#516559); #157192=VERTEX_POINT('',#516563); #157193=VERTEX_POINT('',#516565); #157194=VERTEX_POINT('',#516569); #157195=VERTEX_POINT('',#516570); #157196=VERTEX_POINT('',#516572); #157197=VERTEX_POINT('',#516574); #157198=VERTEX_POINT('',#516578); #157199=VERTEX_POINT('',#516580); #157200=VERTEX_POINT('',#516584); #157201=VERTEX_POINT('',#516586); #157202=VERTEX_POINT('',#516590); #157203=VERTEX_POINT('',#516592); #157204=VERTEX_POINT('',#516596); #157205=VERTEX_POINT('',#516598); #157206=VERTEX_POINT('',#516602); #157207=VERTEX_POINT('',#516604); #157208=VERTEX_POINT('',#516608); #157209=VERTEX_POINT('',#516610); #157210=VERTEX_POINT('',#516614); #157211=VERTEX_POINT('',#516616); #157212=VERTEX_POINT('',#516620); #157213=VERTEX_POINT('',#516622); #157214=VERTEX_POINT('',#516626); #157215=VERTEX_POINT('',#516628); #157216=VERTEX_POINT('',#516632); #157217=VERTEX_POINT('',#516634); #157218=VERTEX_POINT('',#516638); #157219=VERTEX_POINT('',#516640); #157220=VERTEX_POINT('',#516644); #157221=VERTEX_POINT('',#516646); #157222=VERTEX_POINT('',#516650); #157223=VERTEX_POINT('',#516652); #157224=VERTEX_POINT('',#516656); #157225=VERTEX_POINT('',#516658); #157226=VERTEX_POINT('',#516665); #157227=VERTEX_POINT('',#516666); #157228=VERTEX_POINT('',#516668); #157229=VERTEX_POINT('',#516670); #157230=VERTEX_POINT('',#516674); #157231=VERTEX_POINT('',#516676); #157232=VERTEX_POINT('',#516680); #157233=VERTEX_POINT('',#516682); #157234=VERTEX_POINT('',#516686); #157235=VERTEX_POINT('',#516688); #157236=VERTEX_POINT('',#516692); #157237=VERTEX_POINT('',#516694); #157238=VERTEX_POINT('',#516698); #157239=VERTEX_POINT('',#516700); #157240=VERTEX_POINT('',#516704); #157241=VERTEX_POINT('',#516706); #157242=VERTEX_POINT('',#516710); #157243=VERTEX_POINT('',#516712); #157244=VERTEX_POINT('',#516716); #157245=VERTEX_POINT('',#516718); #157246=VERTEX_POINT('',#516722); #157247=VERTEX_POINT('',#516724); #157248=VERTEX_POINT('',#516728); #157249=VERTEX_POINT('',#516730); #157250=VERTEX_POINT('',#516734); #157251=VERTEX_POINT('',#516736); #157252=VERTEX_POINT('',#516740); #157253=VERTEX_POINT('',#516742); #157254=VERTEX_POINT('',#516746); #157255=VERTEX_POINT('',#516748); #157256=VERTEX_POINT('',#516752); #157257=VERTEX_POINT('',#516754); #157258=VERTEX_POINT('',#516758); #157259=VERTEX_POINT('',#516760); #157260=VERTEX_POINT('',#516764); #157261=VERTEX_POINT('',#516766); #157262=VERTEX_POINT('',#516770); #157263=VERTEX_POINT('',#516772); #157264=VERTEX_POINT('',#516776); #157265=VERTEX_POINT('',#516778); #157266=VERTEX_POINT('',#516782); #157267=VERTEX_POINT('',#516784); #157268=VERTEX_POINT('',#516788); #157269=VERTEX_POINT('',#516790); #157270=VERTEX_POINT('',#516794); #157271=VERTEX_POINT('',#516796); #157272=VERTEX_POINT('',#516800); #157273=VERTEX_POINT('',#516802); #157274=VERTEX_POINT('',#516806); #157275=VERTEX_POINT('',#516808); #157276=VERTEX_POINT('',#516812); #157277=VERTEX_POINT('',#516814); #157278=VERTEX_POINT('',#516818); #157279=VERTEX_POINT('',#516820); #157280=VERTEX_POINT('',#516824); #157281=VERTEX_POINT('',#516826); #157282=VERTEX_POINT('',#516830); #157283=VERTEX_POINT('',#516832); #157284=VERTEX_POINT('',#516836); #157285=VERTEX_POINT('',#516838); #157286=VERTEX_POINT('',#516842); #157287=VERTEX_POINT('',#516844); #157288=VERTEX_POINT('',#516848); #157289=VERTEX_POINT('',#516850); #157290=VERTEX_POINT('',#516854); #157291=VERTEX_POINT('',#516856); #157292=VERTEX_POINT('',#516860); #157293=VERTEX_POINT('',#516862); #157294=VERTEX_POINT('',#516866); #157295=VERTEX_POINT('',#516868); #157296=VERTEX_POINT('',#516872); #157297=VERTEX_POINT('',#516874); #157298=VERTEX_POINT('',#516878); #157299=VERTEX_POINT('',#516880); #157300=VERTEX_POINT('',#516884); #157301=VERTEX_POINT('',#516886); #157302=VERTEX_POINT('',#516890); #157303=VERTEX_POINT('',#516892); #157304=VERTEX_POINT('',#516896); #157305=VERTEX_POINT('',#516898); #157306=VERTEX_POINT('',#516902); #157307=VERTEX_POINT('',#516904); #157308=VERTEX_POINT('',#516908); #157309=VERTEX_POINT('',#516910); #157310=VERTEX_POINT('',#516914); #157311=VERTEX_POINT('',#516916); #157312=VERTEX_POINT('',#516920); #157313=VERTEX_POINT('',#516922); #157314=VERTEX_POINT('',#516926); #157315=VERTEX_POINT('',#516928); #157316=VERTEX_POINT('',#516932); #157317=VERTEX_POINT('',#516934); #157318=VERTEX_POINT('',#516938); #157319=VERTEX_POINT('',#516940); #157320=VERTEX_POINT('',#516944); #157321=VERTEX_POINT('',#516946); #157322=VERTEX_POINT('',#516950); #157323=VERTEX_POINT('',#516952); #157324=VERTEX_POINT('',#516956); #157325=VERTEX_POINT('',#516958); #157326=VERTEX_POINT('',#516962); #157327=VERTEX_POINT('',#516964); #157328=VERTEX_POINT('',#516968); #157329=VERTEX_POINT('',#516970); #157330=VERTEX_POINT('',#516974); #157331=VERTEX_POINT('',#516976); #157332=VERTEX_POINT('',#516980); #157333=VERTEX_POINT('',#516982); #157334=VERTEX_POINT('',#516986); #157335=VERTEX_POINT('',#516988); #157336=VERTEX_POINT('',#516992); #157337=VERTEX_POINT('',#516994); #157338=VERTEX_POINT('',#516998); #157339=VERTEX_POINT('',#517000); #157340=VERTEX_POINT('',#517004); #157341=VERTEX_POINT('',#517006); #157342=VERTEX_POINT('',#517010); #157343=VERTEX_POINT('',#517012); #157344=VERTEX_POINT('',#517016); #157345=VERTEX_POINT('',#517018); #157346=VERTEX_POINT('',#517022); #157347=VERTEX_POINT('',#517024); #157348=VERTEX_POINT('',#517028); #157349=VERTEX_POINT('',#517030); #157350=VERTEX_POINT('',#517034); #157351=VERTEX_POINT('',#517036); #157352=VERTEX_POINT('',#517040); #157353=VERTEX_POINT('',#517042); #157354=VERTEX_POINT('',#517046); #157355=VERTEX_POINT('',#517048); #157356=VERTEX_POINT('',#517052); #157357=VERTEX_POINT('',#517054); #157358=VERTEX_POINT('',#517058); #157359=VERTEX_POINT('',#517060); #157360=VERTEX_POINT('',#517064); #157361=VERTEX_POINT('',#517066); #157362=VERTEX_POINT('',#517070); #157363=VERTEX_POINT('',#517072); #157364=VERTEX_POINT('',#517076); #157365=VERTEX_POINT('',#517078); #157366=VERTEX_POINT('',#517082); #157367=VERTEX_POINT('',#517084); #157368=VERTEX_POINT('',#517088); #157369=VERTEX_POINT('',#517090); #157370=VERTEX_POINT('',#517094); #157371=VERTEX_POINT('',#517096); #157372=VERTEX_POINT('',#517100); #157373=VERTEX_POINT('',#517102); #157374=VERTEX_POINT('',#517106); #157375=VERTEX_POINT('',#517108); #157376=VERTEX_POINT('',#517112); #157377=VERTEX_POINT('',#517114); #157378=VERTEX_POINT('',#517118); #157379=VERTEX_POINT('',#517120); #157380=VERTEX_POINT('',#517124); #157381=VERTEX_POINT('',#517126); #157382=VERTEX_POINT('',#517130); #157383=VERTEX_POINT('',#517132); #157384=VERTEX_POINT('',#517136); #157385=VERTEX_POINT('',#517138); #157386=VERTEX_POINT('',#517142); #157387=VERTEX_POINT('',#517144); #157388=VERTEX_POINT('',#517148); #157389=VERTEX_POINT('',#517150); #157390=VERTEX_POINT('',#517154); #157391=VERTEX_POINT('',#517156); #157392=VERTEX_POINT('',#517160); #157393=VERTEX_POINT('',#517162); #157394=VERTEX_POINT('',#517166); #157395=VERTEX_POINT('',#517168); #157396=VERTEX_POINT('',#517172); #157397=VERTEX_POINT('',#517174); #157398=VERTEX_POINT('',#517178); #157399=VERTEX_POINT('',#517180); #157400=VERTEX_POINT('',#517184); #157401=VERTEX_POINT('',#517186); #157402=VERTEX_POINT('',#517190); #157403=VERTEX_POINT('',#517192); #157404=VERTEX_POINT('',#517196); #157405=VERTEX_POINT('',#517198); #157406=VERTEX_POINT('',#517202); #157407=VERTEX_POINT('',#517204); #157408=VERTEX_POINT('',#517208); #157409=VERTEX_POINT('',#517210); #157410=VERTEX_POINT('',#517214); #157411=VERTEX_POINT('',#517216); #157412=VERTEX_POINT('',#517220); #157413=VERTEX_POINT('',#517222); #157414=VERTEX_POINT('',#517226); #157415=VERTEX_POINT('',#517228); #157416=VERTEX_POINT('',#517232); #157417=VERTEX_POINT('',#517234); #157418=VERTEX_POINT('',#517238); #157419=VERTEX_POINT('',#517240); #157420=VERTEX_POINT('',#517244); #157421=VERTEX_POINT('',#517246); #157422=VERTEX_POINT('',#517250); #157423=VERTEX_POINT('',#517252); #157424=VERTEX_POINT('',#517256); #157425=VERTEX_POINT('',#517258); #157426=VERTEX_POINT('',#517262); #157427=VERTEX_POINT('',#517264); #157428=VERTEX_POINT('',#517268); #157429=VERTEX_POINT('',#517270); #157430=VERTEX_POINT('',#517274); #157431=VERTEX_POINT('',#517276); #157432=VERTEX_POINT('',#517280); #157433=VERTEX_POINT('',#517282); #157434=VERTEX_POINT('',#517286); #157435=VERTEX_POINT('',#517288); #157436=VERTEX_POINT('',#517292); #157437=VERTEX_POINT('',#517294); #157438=VERTEX_POINT('',#517298); #157439=VERTEX_POINT('',#517300); #157440=VERTEX_POINT('',#517304); #157441=VERTEX_POINT('',#517306); #157442=VERTEX_POINT('',#517310); #157443=VERTEX_POINT('',#517312); #157444=VERTEX_POINT('',#517316); #157445=VERTEX_POINT('',#517318); #157446=VERTEX_POINT('',#517322); #157447=VERTEX_POINT('',#517324); #157448=VERTEX_POINT('',#517328); #157449=VERTEX_POINT('',#517330); #157450=VERTEX_POINT('',#517334); #157451=VERTEX_POINT('',#517336); #157452=VERTEX_POINT('',#517340); #157453=VERTEX_POINT('',#517342); #157454=VERTEX_POINT('',#517346); #157455=VERTEX_POINT('',#517348); #157456=VERTEX_POINT('',#517352); #157457=VERTEX_POINT('',#517354); #157458=VERTEX_POINT('',#517358); #157459=VERTEX_POINT('',#517360); #157460=VERTEX_POINT('',#517364); #157461=VERTEX_POINT('',#517366); #157462=VERTEX_POINT('',#517370); #157463=VERTEX_POINT('',#517372); #157464=VERTEX_POINT('',#517376); #157465=VERTEX_POINT('',#517378); #157466=VERTEX_POINT('',#517382); #157467=VERTEX_POINT('',#517384); #157468=VERTEX_POINT('',#517388); #157469=VERTEX_POINT('',#517390); #157470=VERTEX_POINT('',#517394); #157471=VERTEX_POINT('',#517396); #157472=VERTEX_POINT('',#517400); #157473=VERTEX_POINT('',#517402); #157474=VERTEX_POINT('',#517406); #157475=VERTEX_POINT('',#517408); #157476=VERTEX_POINT('',#517412); #157477=VERTEX_POINT('',#517414); #157478=VERTEX_POINT('',#517418); #157479=VERTEX_POINT('',#517420); #157480=VERTEX_POINT('',#517424); #157481=VERTEX_POINT('',#517426); #157482=VERTEX_POINT('',#517430); #157483=VERTEX_POINT('',#517432); #157484=VERTEX_POINT('',#517436); #157485=VERTEX_POINT('',#517438); #157486=VERTEX_POINT('',#517442); #157487=VERTEX_POINT('',#517444); #157488=VERTEX_POINT('',#517448); #157489=VERTEX_POINT('',#517450); #157490=VERTEX_POINT('',#517454); #157491=VERTEX_POINT('',#517456); #157492=VERTEX_POINT('',#517460); #157493=VERTEX_POINT('',#517462); #157494=VERTEX_POINT('',#517466); #157495=VERTEX_POINT('',#517468); #157496=VERTEX_POINT('',#517472); #157497=VERTEX_POINT('',#517474); #157498=VERTEX_POINT('',#517478); #157499=VERTEX_POINT('',#517480); #157500=VERTEX_POINT('',#517484); #157501=VERTEX_POINT('',#517486); #157502=VERTEX_POINT('',#517490); #157503=VERTEX_POINT('',#517492); #157504=VERTEX_POINT('',#517496); #157505=VERTEX_POINT('',#517498); #157506=VERTEX_POINT('',#517502); #157507=VERTEX_POINT('',#517504); #157508=VERTEX_POINT('',#517508); #157509=VERTEX_POINT('',#517510); #157510=VERTEX_POINT('',#517514); #157511=VERTEX_POINT('',#517516); #157512=VERTEX_POINT('',#517520); #157513=VERTEX_POINT('',#517522); #157514=VERTEX_POINT('',#517526); #157515=VERTEX_POINT('',#517528); #157516=VERTEX_POINT('',#517532); #157517=VERTEX_POINT('',#517534); #157518=VERTEX_POINT('',#517538); #157519=VERTEX_POINT('',#517540); #157520=VERTEX_POINT('',#517544); #157521=VERTEX_POINT('',#517546); #157522=VERTEX_POINT('',#517550); #157523=VERTEX_POINT('',#517552); #157524=VERTEX_POINT('',#517556); #157525=VERTEX_POINT('',#517558); #157526=VERTEX_POINT('',#517562); #157527=VERTEX_POINT('',#517564); #157528=VERTEX_POINT('',#517568); #157529=VERTEX_POINT('',#517570); #157530=VERTEX_POINT('',#517574); #157531=VERTEX_POINT('',#517576); #157532=VERTEX_POINT('',#517580); #157533=VERTEX_POINT('',#517582); #157534=VERTEX_POINT('',#517586); #157535=VERTEX_POINT('',#517588); #157536=VERTEX_POINT('',#517592); #157537=VERTEX_POINT('',#517594); #157538=VERTEX_POINT('',#517598); #157539=VERTEX_POINT('',#517600); #157540=VERTEX_POINT('',#517604); #157541=VERTEX_POINT('',#517606); #157542=VERTEX_POINT('',#517610); #157543=VERTEX_POINT('',#517612); #157544=VERTEX_POINT('',#517616); #157545=VERTEX_POINT('',#517618); #157546=VERTEX_POINT('',#517622); #157547=VERTEX_POINT('',#517624); #157548=VERTEX_POINT('',#517628); #157549=VERTEX_POINT('',#517630); #157550=VERTEX_POINT('',#517634); #157551=VERTEX_POINT('',#517636); #157552=VERTEX_POINT('',#517640); #157553=VERTEX_POINT('',#517642); #157554=VERTEX_POINT('',#517646); #157555=VERTEX_POINT('',#517648); #157556=VERTEX_POINT('',#517652); #157557=VERTEX_POINT('',#517654); #157558=VERTEX_POINT('',#517658); #157559=VERTEX_POINT('',#517660); #157560=VERTEX_POINT('',#517664); #157561=VERTEX_POINT('',#517666); #157562=VERTEX_POINT('',#517670); #157563=VERTEX_POINT('',#517672); #157564=VERTEX_POINT('',#517676); #157565=VERTEX_POINT('',#517678); #157566=VERTEX_POINT('',#517682); #157567=VERTEX_POINT('',#517684); #157568=VERTEX_POINT('',#517688); #157569=VERTEX_POINT('',#517690); #157570=VERTEX_POINT('',#517694); #157571=VERTEX_POINT('',#517696); #157572=VERTEX_POINT('',#517700); #157573=VERTEX_POINT('',#517702); #157574=VERTEX_POINT('',#517706); #157575=VERTEX_POINT('',#517708); #157576=VERTEX_POINT('',#517712); #157577=VERTEX_POINT('',#517714); #157578=VERTEX_POINT('',#517718); #157579=VERTEX_POINT('',#517720); #157580=VERTEX_POINT('',#517724); #157581=VERTEX_POINT('',#517726); #157582=VERTEX_POINT('',#517730); #157583=VERTEX_POINT('',#517732); #157584=VERTEX_POINT('',#517736); #157585=VERTEX_POINT('',#517738); #157586=VERTEX_POINT('',#517742); #157587=VERTEX_POINT('',#517744); #157588=VERTEX_POINT('',#517748); #157589=VERTEX_POINT('',#517750); #157590=VERTEX_POINT('',#517754); #157591=VERTEX_POINT('',#517756); #157592=VERTEX_POINT('',#517760); #157593=VERTEX_POINT('',#517762); #157594=VERTEX_POINT('',#517766); #157595=VERTEX_POINT('',#517768); #157596=VERTEX_POINT('',#517772); #157597=VERTEX_POINT('',#517774); #157598=VERTEX_POINT('',#517778); #157599=VERTEX_POINT('',#517780); #157600=VERTEX_POINT('',#517784); #157601=VERTEX_POINT('',#517786); #157602=VERTEX_POINT('',#517790); #157603=VERTEX_POINT('',#517792); #157604=VERTEX_POINT('',#517796); #157605=VERTEX_POINT('',#517798); #157606=VERTEX_POINT('',#517802); #157607=VERTEX_POINT('',#517804); #157608=VERTEX_POINT('',#517808); #157609=VERTEX_POINT('',#517810); #157610=VERTEX_POINT('',#517814); #157611=VERTEX_POINT('',#517816); #157612=VERTEX_POINT('',#517820); #157613=VERTEX_POINT('',#517822); #157614=VERTEX_POINT('',#517826); #157615=VERTEX_POINT('',#517828); #157616=VERTEX_POINT('',#517832); #157617=VERTEX_POINT('',#517834); #157618=VERTEX_POINT('',#517838); #157619=VERTEX_POINT('',#517840); #157620=VERTEX_POINT('',#517844); #157621=VERTEX_POINT('',#517846); #157622=VERTEX_POINT('',#517850); #157623=VERTEX_POINT('',#517852); #157624=VERTEX_POINT('',#517856); #157625=VERTEX_POINT('',#517858); #157626=VERTEX_POINT('',#517862); #157627=VERTEX_POINT('',#517864); #157628=VERTEX_POINT('',#517868); #157629=VERTEX_POINT('',#517870); #157630=VERTEX_POINT('',#517874); #157631=VERTEX_POINT('',#517876); #157632=VERTEX_POINT('',#517880); #157633=VERTEX_POINT('',#517882); #157634=VERTEX_POINT('',#517886); #157635=VERTEX_POINT('',#517888); #157636=VERTEX_POINT('',#517892); #157637=VERTEX_POINT('',#517894); #157638=VERTEX_POINT('',#517898); #157639=VERTEX_POINT('',#517900); #157640=VERTEX_POINT('',#517904); #157641=VERTEX_POINT('',#517906); #157642=VERTEX_POINT('',#517910); #157643=VERTEX_POINT('',#517912); #157644=VERTEX_POINT('',#517916); #157645=VERTEX_POINT('',#517918); #157646=VERTEX_POINT('',#517922); #157647=VERTEX_POINT('',#517924); #157648=VERTEX_POINT('',#517933); #157649=VERTEX_POINT('',#517934); #157650=VERTEX_POINT('',#517936); #157651=VERTEX_POINT('',#517938); #157652=VERTEX_POINT('',#517942); #157653=VERTEX_POINT('',#517944); #157654=VERTEX_POINT('',#517948); #157655=VERTEX_POINT('',#517950); #157656=VERTEX_POINT('',#517954); #157657=VERTEX_POINT('',#517956); #157658=VERTEX_POINT('',#517960); #157659=VERTEX_POINT('',#517962); #157660=VERTEX_POINT('',#517966); #157661=VERTEX_POINT('',#517968); #157662=VERTEX_POINT('',#517972); #157663=VERTEX_POINT('',#517974); #157664=VERTEX_POINT('',#517978); #157665=VERTEX_POINT('',#517980); #157666=VERTEX_POINT('',#517984); #157667=VERTEX_POINT('',#517986); #157668=VERTEX_POINT('',#517990); #157669=VERTEX_POINT('',#517992); #157670=VERTEX_POINT('',#517996); #157671=VERTEX_POINT('',#517998); #157672=VERTEX_POINT('',#518002); #157673=VERTEX_POINT('',#518004); #157674=VERTEX_POINT('',#518008); #157675=VERTEX_POINT('',#518010); #157676=VERTEX_POINT('',#518014); #157677=VERTEX_POINT('',#518016); #157678=VERTEX_POINT('',#518020); #157679=VERTEX_POINT('',#518022); #157680=VERTEX_POINT('',#518026); #157681=VERTEX_POINT('',#518028); #157682=VERTEX_POINT('',#518032); #157683=VERTEX_POINT('',#518034); #157684=VERTEX_POINT('',#518038); #157685=VERTEX_POINT('',#518040); #157686=VERTEX_POINT('',#518044); #157687=VERTEX_POINT('',#518046); #157688=VERTEX_POINT('',#518050); #157689=VERTEX_POINT('',#518052); #157690=VERTEX_POINT('',#518061); #157691=VERTEX_POINT('',#518062); #157692=VERTEX_POINT('',#518064); #157693=VERTEX_POINT('',#518066); #157694=VERTEX_POINT('',#518070); #157695=VERTEX_POINT('',#518072); #157696=VERTEX_POINT('',#518076); #157697=VERTEX_POINT('',#518078); #157698=VERTEX_POINT('',#518082); #157699=VERTEX_POINT('',#518084); #157700=VERTEX_POINT('',#518088); #157701=VERTEX_POINT('',#518090); #157702=VERTEX_POINT('',#518094); #157703=VERTEX_POINT('',#518096); #157704=VERTEX_POINT('',#518100); #157705=VERTEX_POINT('',#518102); #157706=VERTEX_POINT('',#518106); #157707=VERTEX_POINT('',#518108); #157708=VERTEX_POINT('',#518112); #157709=VERTEX_POINT('',#518114); #157710=VERTEX_POINT('',#518118); #157711=VERTEX_POINT('',#518120); #157712=VERTEX_POINT('',#518124); #157713=VERTEX_POINT('',#518126); #157714=VERTEX_POINT('',#518130); #157715=VERTEX_POINT('',#518132); #157716=VERTEX_POINT('',#518136); #157717=VERTEX_POINT('',#518138); #157718=VERTEX_POINT('',#518142); #157719=VERTEX_POINT('',#518144); #157720=VERTEX_POINT('',#518148); #157721=VERTEX_POINT('',#518150); #157722=VERTEX_POINT('',#518154); #157723=VERTEX_POINT('',#518156); #157724=VERTEX_POINT('',#518160); #157725=VERTEX_POINT('',#518162); #157726=VERTEX_POINT('',#518166); #157727=VERTEX_POINT('',#518168); #157728=VERTEX_POINT('',#518172); #157729=VERTEX_POINT('',#518174); #157730=VERTEX_POINT('',#518183); #157731=VERTEX_POINT('',#518185); #157732=VERTEX_POINT('',#518189); #157733=VERTEX_POINT('',#518191); #157734=VERTEX_POINT('',#518195); #157735=VERTEX_POINT('',#518197); #157736=VERTEX_POINT('',#518201); #157737=VERTEX_POINT('',#518203); #157738=VERTEX_POINT('',#518207); #157739=VERTEX_POINT('',#518209); #157740=VERTEX_POINT('',#518213); #157741=VERTEX_POINT('',#518215); #157742=VERTEX_POINT('',#518219); #157743=VERTEX_POINT('',#518221); #157744=VERTEX_POINT('',#518225); #157745=VERTEX_POINT('',#518227); #157746=VERTEX_POINT('',#518231); #157747=VERTEX_POINT('',#518233); #157748=VERTEX_POINT('',#518237); #157749=VERTEX_POINT('',#518239); #157750=VERTEX_POINT('',#518243); #157751=VERTEX_POINT('',#518245); #157752=VERTEX_POINT('',#518249); #157753=VERTEX_POINT('',#518251); #157754=VERTEX_POINT('',#518255); #157755=VERTEX_POINT('',#518257); #157756=VERTEX_POINT('',#518261); #157757=VERTEX_POINT('',#518263); #157758=VERTEX_POINT('',#518267); #157759=VERTEX_POINT('',#518269); #157760=VERTEX_POINT('',#518273); #157761=VERTEX_POINT('',#518275); #157762=VERTEX_POINT('',#518279); #157763=VERTEX_POINT('',#518281); #157764=VERTEX_POINT('',#518285); #157765=VERTEX_POINT('',#518287); #157766=VERTEX_POINT('',#518291); #157767=VERTEX_POINT('',#518293); #157768=VERTEX_POINT('',#518297); #157769=VERTEX_POINT('',#518299); #157770=VERTEX_POINT('',#518303); #157771=VERTEX_POINT('',#518305); #157772=VERTEX_POINT('',#518309); #157773=VERTEX_POINT('',#518311); #157774=VERTEX_POINT('',#518315); #157775=VERTEX_POINT('',#518317); #157776=VERTEX_POINT('',#518321); #157777=VERTEX_POINT('',#518323); #157778=VERTEX_POINT('',#518327); #157779=VERTEX_POINT('',#518329); #157780=VERTEX_POINT('',#518333); #157781=VERTEX_POINT('',#518335); #157782=VERTEX_POINT('',#518339); #157783=VERTEX_POINT('',#518341); #157784=VERTEX_POINT('',#518345); #157785=VERTEX_POINT('',#518347); #157786=VERTEX_POINT('',#518351); #157787=VERTEX_POINT('',#518353); #157788=VERTEX_POINT('',#518357); #157789=VERTEX_POINT('',#518359); #157790=VERTEX_POINT('',#518363); #157791=VERTEX_POINT('',#518365); #157792=VERTEX_POINT('',#518369); #157793=VERTEX_POINT('',#518371); #157794=VERTEX_POINT('',#518375); #157795=VERTEX_POINT('',#518377); #157796=VERTEX_POINT('',#518381); #157797=VERTEX_POINT('',#518383); #157798=VERTEX_POINT('',#518387); #157799=VERTEX_POINT('',#518389); #157800=VERTEX_POINT('',#518393); #157801=VERTEX_POINT('',#518394); #157802=VERTEX_POINT('',#518396); #157803=VERTEX_POINT('',#518398); #157804=VERTEX_POINT('',#518402); #157805=VERTEX_POINT('',#518404); #157806=VERTEX_POINT('',#518408); #157807=VERTEX_POINT('',#518410); #157808=VERTEX_POINT('',#518417); #157809=VERTEX_POINT('',#518419); #157810=VERTEX_POINT('',#518423); #157811=VERTEX_POINT('',#518425); #157812=VERTEX_POINT('',#518429); #157813=VERTEX_POINT('',#518431); #157814=VERTEX_POINT('',#518435); #157815=VERTEX_POINT('',#518437); #157816=VERTEX_POINT('',#518441); #157817=VERTEX_POINT('',#518442); #157818=VERTEX_POINT('',#518444); #157819=VERTEX_POINT('',#518446); #157820=VERTEX_POINT('',#518450); #157821=VERTEX_POINT('',#518452); #157822=VERTEX_POINT('',#518456); #157823=VERTEX_POINT('',#518458); #157824=VERTEX_POINT('',#518465); #157825=VERTEX_POINT('',#518466); #157826=VERTEX_POINT('',#518468); #157827=VERTEX_POINT('',#518470); #157828=VERTEX_POINT('',#518474); #157829=VERTEX_POINT('',#518476); #157830=VERTEX_POINT('',#518480); #157831=VERTEX_POINT('',#518482); #157832=VERTEX_POINT('',#518489); #157833=VERTEX_POINT('',#518490); #157834=VERTEX_POINT('',#518492); #157835=VERTEX_POINT('',#518494); #157836=VERTEX_POINT('',#518498); #157837=VERTEX_POINT('',#518500); #157838=VERTEX_POINT('',#518504); #157839=VERTEX_POINT('',#518506); #157840=VERTEX_POINT('',#518513); #157841=VERTEX_POINT('',#518515); #157842=VERTEX_POINT('',#518519); #157843=VERTEX_POINT('',#518521); #157844=VERTEX_POINT('',#518525); #157845=VERTEX_POINT('',#518527); #157846=VERTEX_POINT('',#518531); #157847=VERTEX_POINT('',#518533); #157848=VERTEX_POINT('',#518537); #157849=VERTEX_POINT('',#518539); #157850=VERTEX_POINT('',#518543); #157851=VERTEX_POINT('',#518545); #157852=VERTEX_POINT('',#518549); #157853=VERTEX_POINT('',#518551); #157854=VERTEX_POINT('',#518555); #157855=VERTEX_POINT('',#518557); #157856=VERTEX_POINT('',#518561); #157857=VERTEX_POINT('',#518563); #157858=VERTEX_POINT('',#518567); #157859=VERTEX_POINT('',#518569); #157860=VERTEX_POINT('',#518573); #157861=VERTEX_POINT('',#518575); #157862=VERTEX_POINT('',#518579); #157863=VERTEX_POINT('',#518581); #157864=VERTEX_POINT('',#518585); #157865=VERTEX_POINT('',#518587); #157866=VERTEX_POINT('',#518591); #157867=VERTEX_POINT('',#518593); #157868=VERTEX_POINT('',#518597); #157869=VERTEX_POINT('',#518599); #157870=VERTEX_POINT('',#518603); #157871=VERTEX_POINT('',#518605); #157872=VERTEX_POINT('',#518609); #157873=VERTEX_POINT('',#518611); #157874=VERTEX_POINT('',#518615); #157875=VERTEX_POINT('',#518617); #157876=VERTEX_POINT('',#518621); #157877=VERTEX_POINT('',#518623); #157878=VERTEX_POINT('',#518627); #157879=VERTEX_POINT('',#518628); #157880=VERTEX_POINT('',#518630); #157881=VERTEX_POINT('',#518632); #157882=VERTEX_POINT('',#518636); #157883=VERTEX_POINT('',#518638); #157884=VERTEX_POINT('',#518642); #157885=VERTEX_POINT('',#518644); #157886=VERTEX_POINT('',#518648); #157887=VERTEX_POINT('',#518650); #157888=VERTEX_POINT('',#518654); #157889=VERTEX_POINT('',#518656); #157890=VERTEX_POINT('',#518660); #157891=VERTEX_POINT('',#518662); #157892=VERTEX_POINT('',#518666); #157893=VERTEX_POINT('',#518668); #157894=VERTEX_POINT('',#518675); #157895=VERTEX_POINT('',#518676); #157896=VERTEX_POINT('',#518678); #157897=VERTEX_POINT('',#518680); #157898=VERTEX_POINT('',#518684); #157899=VERTEX_POINT('',#518686); #157900=VERTEX_POINT('',#518690); #157901=VERTEX_POINT('',#518692); #157902=VERTEX_POINT('',#518696); #157903=VERTEX_POINT('',#518698); #157904=VERTEX_POINT('',#518702); #157905=VERTEX_POINT('',#518704); #157906=VERTEX_POINT('',#518708); #157907=VERTEX_POINT('',#518710); #157908=VERTEX_POINT('',#518714); #157909=VERTEX_POINT('',#518716); #157910=VERTEX_POINT('',#518720); #157911=VERTEX_POINT('',#518722); #157912=VERTEX_POINT('',#518726); #157913=VERTEX_POINT('',#518728); #157914=VERTEX_POINT('',#518732); #157915=VERTEX_POINT('',#518734); #157916=VERTEX_POINT('',#518738); #157917=VERTEX_POINT('',#518740); #157918=VERTEX_POINT('',#518744); #157919=VERTEX_POINT('',#518746); #157920=VERTEX_POINT('',#518750); #157921=VERTEX_POINT('',#518752); #157922=VERTEX_POINT('',#518756); #157923=VERTEX_POINT('',#518758); #157924=VERTEX_POINT('',#518762); #157925=VERTEX_POINT('',#518764); #157926=VERTEX_POINT('',#518771); #157927=VERTEX_POINT('',#518772); #157928=VERTEX_POINT('',#518774); #157929=VERTEX_POINT('',#518776); #157930=VERTEX_POINT('',#518780); #157931=VERTEX_POINT('',#518782); #157932=VERTEX_POINT('',#518786); #157933=VERTEX_POINT('',#518788); #157934=VERTEX_POINT('',#518792); #157935=VERTEX_POINT('',#518794); #157936=VERTEX_POINT('',#518798); #157937=VERTEX_POINT('',#518800); #157938=VERTEX_POINT('',#518804); #157939=VERTEX_POINT('',#518806); #157940=VERTEX_POINT('',#518810); #157941=VERTEX_POINT('',#518812); #157942=VERTEX_POINT('',#518816); #157943=VERTEX_POINT('',#518818); #157944=VERTEX_POINT('',#518822); #157945=VERTEX_POINT('',#518824); #157946=VERTEX_POINT('',#518828); #157947=VERTEX_POINT('',#518830); #157948=VERTEX_POINT('',#518834); #157949=VERTEX_POINT('',#518836); #157950=VERTEX_POINT('',#518840); #157951=VERTEX_POINT('',#518842); #157952=VERTEX_POINT('',#518846); #157953=VERTEX_POINT('',#518848); #157954=VERTEX_POINT('',#518852); #157955=VERTEX_POINT('',#518854); #157956=VERTEX_POINT('',#518858); #157957=VERTEX_POINT('',#518860); #157958=VERTEX_POINT('',#518867); #157959=VERTEX_POINT('',#518868); #157960=VERTEX_POINT('',#518870); #157961=VERTEX_POINT('',#518872); #157962=VERTEX_POINT('',#518876); #157963=VERTEX_POINT('',#518878); #157964=VERTEX_POINT('',#518882); #157965=VERTEX_POINT('',#518884); #157966=VERTEX_POINT('',#518888); #157967=VERTEX_POINT('',#518890); #157968=VERTEX_POINT('',#518894); #157969=VERTEX_POINT('',#518896); #157970=VERTEX_POINT('',#518900); #157971=VERTEX_POINT('',#518902); #157972=VERTEX_POINT('',#518906); #157973=VERTEX_POINT('',#518908); #157974=VERTEX_POINT('',#518912); #157975=VERTEX_POINT('',#518914); #157976=VERTEX_POINT('',#518918); #157977=VERTEX_POINT('',#518920); #157978=VERTEX_POINT('',#518924); #157979=VERTEX_POINT('',#518926); #157980=VERTEX_POINT('',#518930); #157981=VERTEX_POINT('',#518932); #157982=VERTEX_POINT('',#518936); #157983=VERTEX_POINT('',#518938); #157984=VERTEX_POINT('',#518942); #157985=VERTEX_POINT('',#518944); #157986=VERTEX_POINT('',#518948); #157987=VERTEX_POINT('',#518950); #157988=VERTEX_POINT('',#518954); #157989=VERTEX_POINT('',#518956); #157990=VERTEX_POINT('',#518960); #157991=VERTEX_POINT('',#518962); #157992=VERTEX_POINT('',#518966); #157993=VERTEX_POINT('',#518968); #157994=VERTEX_POINT('',#518972); #157995=VERTEX_POINT('',#518974); #157996=VERTEX_POINT('',#518978); #157997=VERTEX_POINT('',#518980); #157998=VERTEX_POINT('',#518984); #157999=VERTEX_POINT('',#518986); #158000=VERTEX_POINT('',#518990); #158001=VERTEX_POINT('',#518992); #158002=VERTEX_POINT('',#518996); #158003=VERTEX_POINT('',#518998); #158004=VERTEX_POINT('',#519002); #158005=VERTEX_POINT('',#519004); #158006=VERTEX_POINT('',#519008); #158007=VERTEX_POINT('',#519010); #158008=VERTEX_POINT('',#519014); #158009=VERTEX_POINT('',#519016); #158010=VERTEX_POINT('',#519020); #158011=VERTEX_POINT('',#519022); #158012=VERTEX_POINT('',#519026); #158013=VERTEX_POINT('',#519028); #158014=VERTEX_POINT('',#519032); #158015=VERTEX_POINT('',#519034); #158016=VERTEX_POINT('',#519038); #158017=VERTEX_POINT('',#519040); #158018=VERTEX_POINT('',#519044); #158019=VERTEX_POINT('',#519046); #158020=VERTEX_POINT('',#519050); #158021=VERTEX_POINT('',#519052); #158022=VERTEX_POINT('',#519056); #158023=VERTEX_POINT('',#519058); #158024=VERTEX_POINT('',#519062); #158025=VERTEX_POINT('',#519064); #158026=VERTEX_POINT('',#519068); #158027=VERTEX_POINT('',#519070); #158028=VERTEX_POINT('',#519074); #158029=VERTEX_POINT('',#519076); #158030=VERTEX_POINT('',#519083); #158031=VERTEX_POINT('',#519084); #158032=VERTEX_POINT('',#519086); #158033=VERTEX_POINT('',#519088); #158034=VERTEX_POINT('',#519092); #158035=VERTEX_POINT('',#519094); #158036=VERTEX_POINT('',#519098); #158037=VERTEX_POINT('',#519100); #158038=VERTEX_POINT('',#519104); #158039=VERTEX_POINT('',#519106); #158040=VERTEX_POINT('',#519110); #158041=VERTEX_POINT('',#519112); #158042=VERTEX_POINT('',#519116); #158043=VERTEX_POINT('',#519118); #158044=VERTEX_POINT('',#519122); #158045=VERTEX_POINT('',#519124); #158046=VERTEX_POINT('',#519128); #158047=VERTEX_POINT('',#519130); #158048=VERTEX_POINT('',#519134); #158049=VERTEX_POINT('',#519136); #158050=VERTEX_POINT('',#519140); #158051=VERTEX_POINT('',#519142); #158052=VERTEX_POINT('',#519146); #158053=VERTEX_POINT('',#519148); #158054=VERTEX_POINT('',#519152); #158055=VERTEX_POINT('',#519154); #158056=VERTEX_POINT('',#519158); #158057=VERTEX_POINT('',#519160); #158058=VERTEX_POINT('',#519164); #158059=VERTEX_POINT('',#519166); #158060=VERTEX_POINT('',#519170); #158061=VERTEX_POINT('',#519172); #158062=VERTEX_POINT('',#519176); #158063=VERTEX_POINT('',#519178); #158064=VERTEX_POINT('',#519182); #158065=VERTEX_POINT('',#519184); #158066=VERTEX_POINT('',#519188); #158067=VERTEX_POINT('',#519190); #158068=VERTEX_POINT('',#519194); #158069=VERTEX_POINT('',#519196); #158070=VERTEX_POINT('',#519200); #158071=VERTEX_POINT('',#519202); #158072=VERTEX_POINT('',#519206); #158073=VERTEX_POINT('',#519208); #158074=VERTEX_POINT('',#519212); #158075=VERTEX_POINT('',#519214); #158076=VERTEX_POINT('',#519218); #158077=VERTEX_POINT('',#519220); #158078=VERTEX_POINT('',#519224); #158079=VERTEX_POINT('',#519226); #158080=VERTEX_POINT('',#519230); #158081=VERTEX_POINT('',#519232); #158082=VERTEX_POINT('',#519236); #158083=VERTEX_POINT('',#519238); #158084=VERTEX_POINT('',#519242); #158085=VERTEX_POINT('',#519244); #158086=VERTEX_POINT('',#519248); #158087=VERTEX_POINT('',#519250); #158088=VERTEX_POINT('',#519254); #158089=VERTEX_POINT('',#519256); #158090=VERTEX_POINT('',#519260); #158091=VERTEX_POINT('',#519262); #158092=VERTEX_POINT('',#519266); #158093=VERTEX_POINT('',#519268); #158094=VERTEX_POINT('',#519272); #158095=VERTEX_POINT('',#519274); #158096=VERTEX_POINT('',#519278); #158097=VERTEX_POINT('',#519280); #158098=VERTEX_POINT('',#519284); #158099=VERTEX_POINT('',#519286); #158100=VERTEX_POINT('',#519290); #158101=VERTEX_POINT('',#519292); #158102=VERTEX_POINT('',#519299); #158103=VERTEX_POINT('',#519300); #158104=VERTEX_POINT('',#519302); #158105=VERTEX_POINT('',#519304); #158106=VERTEX_POINT('',#519308); #158107=VERTEX_POINT('',#519310); #158108=VERTEX_POINT('',#519314); #158109=VERTEX_POINT('',#519316); #158110=VERTEX_POINT('',#519320); #158111=VERTEX_POINT('',#519322); #158112=VERTEX_POINT('',#519326); #158113=VERTEX_POINT('',#519328); #158114=VERTEX_POINT('',#519332); #158115=VERTEX_POINT('',#519334); #158116=VERTEX_POINT('',#519338); #158117=VERTEX_POINT('',#519340); #158118=VERTEX_POINT('',#519344); #158119=VERTEX_POINT('',#519346); #158120=VERTEX_POINT('',#519350); #158121=VERTEX_POINT('',#519352); #158122=VERTEX_POINT('',#519356); #158123=VERTEX_POINT('',#519358); #158124=VERTEX_POINT('',#519362); #158125=VERTEX_POINT('',#519364); #158126=VERTEX_POINT('',#519368); #158127=VERTEX_POINT('',#519370); #158128=VERTEX_POINT('',#519374); #158129=VERTEX_POINT('',#519376); #158130=VERTEX_POINT('',#519380); #158131=VERTEX_POINT('',#519382); #158132=VERTEX_POINT('',#519386); #158133=VERTEX_POINT('',#519388); #158134=VERTEX_POINT('',#519392); #158135=VERTEX_POINT('',#519394); #158136=VERTEX_POINT('',#519398); #158137=VERTEX_POINT('',#519400); #158138=VERTEX_POINT('',#519404); #158139=VERTEX_POINT('',#519406); #158140=VERTEX_POINT('',#519410); #158141=VERTEX_POINT('',#519412); #158142=VERTEX_POINT('',#519416); #158143=VERTEX_POINT('',#519418); #158144=VERTEX_POINT('',#519422); #158145=VERTEX_POINT('',#519424); #158146=VERTEX_POINT('',#519428); #158147=VERTEX_POINT('',#519430); #158148=VERTEX_POINT('',#519434); #158149=VERTEX_POINT('',#519436); #158150=VERTEX_POINT('',#519440); #158151=VERTEX_POINT('',#519442); #158152=VERTEX_POINT('',#519446); #158153=VERTEX_POINT('',#519448); #158154=VERTEX_POINT('',#519452); #158155=VERTEX_POINT('',#519454); #158156=VERTEX_POINT('',#519458); #158157=VERTEX_POINT('',#519460); #158158=VERTEX_POINT('',#519464); #158159=VERTEX_POINT('',#519466); #158160=VERTEX_POINT('',#519470); #158161=VERTEX_POINT('',#519472); #158162=VERTEX_POINT('',#519476); #158163=VERTEX_POINT('',#519478); #158164=VERTEX_POINT('',#519482); #158165=VERTEX_POINT('',#519484); #158166=VERTEX_POINT('',#519488); #158167=VERTEX_POINT('',#519490); #158168=VERTEX_POINT('',#519494); #158169=VERTEX_POINT('',#519496); #158170=VERTEX_POINT('',#519500); #158171=VERTEX_POINT('',#519502); #158172=VERTEX_POINT('',#519506); #158173=VERTEX_POINT('',#519508); #158174=VERTEX_POINT('',#519512); #158175=VERTEX_POINT('',#519514); #158176=VERTEX_POINT('',#519518); #158177=VERTEX_POINT('',#519520); #158178=VERTEX_POINT('',#519524); #158179=VERTEX_POINT('',#519526); #158180=VERTEX_POINT('',#519530); #158181=VERTEX_POINT('',#519532); #158182=VERTEX_POINT('',#519536); #158183=VERTEX_POINT('',#519538); #158184=VERTEX_POINT('',#519542); #158185=VERTEX_POINT('',#519544); #158186=VERTEX_POINT('',#519548); #158187=VERTEX_POINT('',#519550); #158188=VERTEX_POINT('',#519554); #158189=VERTEX_POINT('',#519556); #158190=VERTEX_POINT('',#519560); #158191=VERTEX_POINT('',#519562); #158192=VERTEX_POINT('',#519566); #158193=VERTEX_POINT('',#519568); #158194=VERTEX_POINT('',#519572); #158195=VERTEX_POINT('',#519574); #158196=VERTEX_POINT('',#519578); #158197=VERTEX_POINT('',#519580); #158198=VERTEX_POINT('',#519584); #158199=VERTEX_POINT('',#519586); #158200=VERTEX_POINT('',#519590); #158201=VERTEX_POINT('',#519592); #158202=VERTEX_POINT('',#519596); #158203=VERTEX_POINT('',#519598); #158204=VERTEX_POINT('',#519602); #158205=VERTEX_POINT('',#519604); #158206=VERTEX_POINT('',#519608); #158207=VERTEX_POINT('',#519610); #158208=VERTEX_POINT('',#519614); #158209=VERTEX_POINT('',#519616); #158210=VERTEX_POINT('',#519620); #158211=VERTEX_POINT('',#519622); #158212=VERTEX_POINT('',#519626); #158213=VERTEX_POINT('',#519628); #158214=VERTEX_POINT('',#519632); #158215=VERTEX_POINT('',#519634); #158216=VERTEX_POINT('',#519638); #158217=VERTEX_POINT('',#519640); #158218=VERTEX_POINT('',#519644); #158219=VERTEX_POINT('',#519646); #158220=VERTEX_POINT('',#519650); #158221=VERTEX_POINT('',#519652); #158222=VERTEX_POINT('',#519656); #158223=VERTEX_POINT('',#519658); #158224=VERTEX_POINT('',#519662); #158225=VERTEX_POINT('',#519664); #158226=VERTEX_POINT('',#519668); #158227=VERTEX_POINT('',#519670); #158228=VERTEX_POINT('',#519674); #158229=VERTEX_POINT('',#519676); #158230=VERTEX_POINT('',#519680); #158231=VERTEX_POINT('',#519682); #158232=VERTEX_POINT('',#519686); #158233=VERTEX_POINT('',#519688); #158234=VERTEX_POINT('',#519692); #158235=VERTEX_POINT('',#519694); #158236=VERTEX_POINT('',#519698); #158237=VERTEX_POINT('',#519700); #158238=VERTEX_POINT('',#519704); #158239=VERTEX_POINT('',#519706); #158240=VERTEX_POINT('',#519710); #158241=VERTEX_POINT('',#519712); #158242=VERTEX_POINT('',#519716); #158243=VERTEX_POINT('',#519718); #158244=VERTEX_POINT('',#519722); #158245=VERTEX_POINT('',#519724); #158246=VERTEX_POINT('',#519728); #158247=VERTEX_POINT('',#519730); #158248=VERTEX_POINT('',#519734); #158249=VERTEX_POINT('',#519736); #158250=VERTEX_POINT('',#519740); #158251=VERTEX_POINT('',#519742); #158252=VERTEX_POINT('',#519746); #158253=VERTEX_POINT('',#519748); #158254=VERTEX_POINT('',#519752); #158255=VERTEX_POINT('',#519754); #158256=VERTEX_POINT('',#519758); #158257=VERTEX_POINT('',#519760); #158258=VERTEX_POINT('',#519764); #158259=VERTEX_POINT('',#519766); #158260=VERTEX_POINT('',#519770); #158261=VERTEX_POINT('',#519772); #158262=VERTEX_POINT('',#519776); #158263=VERTEX_POINT('',#519778); #158264=VERTEX_POINT('',#519782); #158265=VERTEX_POINT('',#519784); #158266=VERTEX_POINT('',#519788); #158267=VERTEX_POINT('',#519790); #158268=VERTEX_POINT('',#519794); #158269=VERTEX_POINT('',#519796); #158270=VERTEX_POINT('',#519800); #158271=VERTEX_POINT('',#519802); #158272=VERTEX_POINT('',#519806); #158273=VERTEX_POINT('',#519808); #158274=VERTEX_POINT('',#519812); #158275=VERTEX_POINT('',#519814); #158276=VERTEX_POINT('',#519818); #158277=VERTEX_POINT('',#519820); #158278=VERTEX_POINT('',#519824); #158279=VERTEX_POINT('',#519826); #158280=VERTEX_POINT('',#519830); #158281=VERTEX_POINT('',#519832); #158282=VERTEX_POINT('',#519836); #158283=VERTEX_POINT('',#519838); #158284=VERTEX_POINT('',#519842); #158285=VERTEX_POINT('',#519844); #158286=VERTEX_POINT('',#519848); #158287=VERTEX_POINT('',#519850); #158288=VERTEX_POINT('',#519854); #158289=VERTEX_POINT('',#519856); #158290=VERTEX_POINT('',#519860); #158291=VERTEX_POINT('',#519862); #158292=VERTEX_POINT('',#519866); #158293=VERTEX_POINT('',#519868); #158294=VERTEX_POINT('',#519872); #158295=VERTEX_POINT('',#519874); #158296=VERTEX_POINT('',#519878); #158297=VERTEX_POINT('',#519880); #158298=VERTEX_POINT('',#519884); #158299=VERTEX_POINT('',#519886); #158300=VERTEX_POINT('',#519890); #158301=VERTEX_POINT('',#519892); #158302=VERTEX_POINT('',#519896); #158303=VERTEX_POINT('',#519898); #158304=VERTEX_POINT('',#519902); #158305=VERTEX_POINT('',#519904); #158306=VERTEX_POINT('',#519908); #158307=VERTEX_POINT('',#519910); #158308=VERTEX_POINT('',#519914); #158309=VERTEX_POINT('',#519916); #158310=VERTEX_POINT('',#519920); #158311=VERTEX_POINT('',#519922); #158312=VERTEX_POINT('',#519926); #158313=VERTEX_POINT('',#519928); #158314=VERTEX_POINT('',#519932); #158315=VERTEX_POINT('',#519934); #158316=VERTEX_POINT('',#519938); #158317=VERTEX_POINT('',#519940); #158318=VERTEX_POINT('',#519944); #158319=VERTEX_POINT('',#519946); #158320=VERTEX_POINT('',#519950); #158321=VERTEX_POINT('',#519952); #158322=VERTEX_POINT('',#519956); #158323=VERTEX_POINT('',#519958); #158324=VERTEX_POINT('',#519962); #158325=VERTEX_POINT('',#519964); #158326=VERTEX_POINT('',#519968); #158327=VERTEX_POINT('',#519970); #158328=VERTEX_POINT('',#519974); #158329=VERTEX_POINT('',#519976); #158330=VERTEX_POINT('',#519980); #158331=VERTEX_POINT('',#519982); #158332=VERTEX_POINT('',#519986); #158333=VERTEX_POINT('',#519988); #158334=VERTEX_POINT('',#519992); #158335=VERTEX_POINT('',#519994); #158336=VERTEX_POINT('',#519998); #158337=VERTEX_POINT('',#520000); #158338=VERTEX_POINT('',#520004); #158339=VERTEX_POINT('',#520006); #158340=VERTEX_POINT('',#520010); #158341=VERTEX_POINT('',#520012); #158342=VERTEX_POINT('',#520016); #158343=VERTEX_POINT('',#520018); #158344=VERTEX_POINT('',#520022); #158345=VERTEX_POINT('',#520024); #158346=VERTEX_POINT('',#520028); #158347=VERTEX_POINT('',#520030); #158348=VERTEX_POINT('',#520034); #158349=VERTEX_POINT('',#520036); #158350=VERTEX_POINT('',#520040); #158351=VERTEX_POINT('',#520042); #158352=VERTEX_POINT('',#520046); #158353=VERTEX_POINT('',#520048); #158354=VERTEX_POINT('',#520052); #158355=VERTEX_POINT('',#520054); #158356=VERTEX_POINT('',#520058); #158357=VERTEX_POINT('',#520060); #158358=VERTEX_POINT('',#520064); #158359=VERTEX_POINT('',#520066); #158360=VERTEX_POINT('',#520070); #158361=VERTEX_POINT('',#520072); #158362=VERTEX_POINT('',#520076); #158363=VERTEX_POINT('',#520078); #158364=VERTEX_POINT('',#520082); #158365=VERTEX_POINT('',#520084); #158366=VERTEX_POINT('',#520088); #158367=VERTEX_POINT('',#520090); #158368=VERTEX_POINT('',#520094); #158369=VERTEX_POINT('',#520096); #158370=VERTEX_POINT('',#520100); #158371=VERTEX_POINT('',#520102); #158372=VERTEX_POINT('',#520106); #158373=VERTEX_POINT('',#520108); #158374=VERTEX_POINT('',#520112); #158375=VERTEX_POINT('',#520114); #158376=VERTEX_POINT('',#520118); #158377=VERTEX_POINT('',#520120); #158378=VERTEX_POINT('',#520124); #158379=VERTEX_POINT('',#520126); #158380=VERTEX_POINT('',#520130); #158381=VERTEX_POINT('',#520132); #158382=VERTEX_POINT('',#520136); #158383=VERTEX_POINT('',#520138); #158384=VERTEX_POINT('',#520142); #158385=VERTEX_POINT('',#520144); #158386=VERTEX_POINT('',#520148); #158387=VERTEX_POINT('',#520150); #158388=VERTEX_POINT('',#520154); #158389=VERTEX_POINT('',#520156); #158390=VERTEX_POINT('',#520160); #158391=VERTEX_POINT('',#520162); #158392=VERTEX_POINT('',#520166); #158393=VERTEX_POINT('',#520168); #158394=VERTEX_POINT('',#520172); #158395=VERTEX_POINT('',#520174); #158396=VERTEX_POINT('',#520178); #158397=VERTEX_POINT('',#520180); #158398=VERTEX_POINT('',#520184); #158399=VERTEX_POINT('',#520186); #158400=VERTEX_POINT('',#520190); #158401=VERTEX_POINT('',#520192); #158402=VERTEX_POINT('',#520196); #158403=VERTEX_POINT('',#520198); #158404=VERTEX_POINT('',#520202); #158405=VERTEX_POINT('',#520204); #158406=VERTEX_POINT('',#520208); #158407=VERTEX_POINT('',#520210); #158408=VERTEX_POINT('',#520214); #158409=VERTEX_POINT('',#520216); #158410=VERTEX_POINT('',#520220); #158411=VERTEX_POINT('',#520222); #158412=VERTEX_POINT('',#520226); #158413=VERTEX_POINT('',#520228); #158414=VERTEX_POINT('',#520232); #158415=VERTEX_POINT('',#520234); #158416=VERTEX_POINT('',#520238); #158417=VERTEX_POINT('',#520240); #158418=VERTEX_POINT('',#520244); #158419=VERTEX_POINT('',#520246); #158420=VERTEX_POINT('',#520250); #158421=VERTEX_POINT('',#520252); #158422=VERTEX_POINT('',#520256); #158423=VERTEX_POINT('',#520258); #158424=VERTEX_POINT('',#520262); #158425=VERTEX_POINT('',#520264); #158426=VERTEX_POINT('',#520268); #158427=VERTEX_POINT('',#520270); #158428=VERTEX_POINT('',#520274); #158429=VERTEX_POINT('',#520276); #158430=VERTEX_POINT('',#520280); #158431=VERTEX_POINT('',#520282); #158432=VERTEX_POINT('',#520286); #158433=VERTEX_POINT('',#520288); #158434=VERTEX_POINT('',#520292); #158435=VERTEX_POINT('',#520294); #158436=VERTEX_POINT('',#520298); #158437=VERTEX_POINT('',#520300); #158438=VERTEX_POINT('',#520304); #158439=VERTEX_POINT('',#520306); #158440=VERTEX_POINT('',#520310); #158441=VERTEX_POINT('',#520312); #158442=VERTEX_POINT('',#520316); #158443=VERTEX_POINT('',#520318); #158444=VERTEX_POINT('',#520322); #158445=VERTEX_POINT('',#520324); #158446=VERTEX_POINT('',#520328); #158447=VERTEX_POINT('',#520330); #158448=VERTEX_POINT('',#520334); #158449=VERTEX_POINT('',#520336); #158450=VERTEX_POINT('',#520340); #158451=VERTEX_POINT('',#520342); #158452=VERTEX_POINT('',#520346); #158453=VERTEX_POINT('',#520348); #158454=VERTEX_POINT('',#520352); #158455=VERTEX_POINT('',#520354); #158456=VERTEX_POINT('',#520358); #158457=VERTEX_POINT('',#520360); #158458=VERTEX_POINT('',#520364); #158459=VERTEX_POINT('',#520366); #158460=VERTEX_POINT('',#520370); #158461=VERTEX_POINT('',#520372); #158462=VERTEX_POINT('',#520376); #158463=VERTEX_POINT('',#520378); #158464=VERTEX_POINT('',#520382); #158465=VERTEX_POINT('',#520384); #158466=VERTEX_POINT('',#520388); #158467=VERTEX_POINT('',#520390); #158468=VERTEX_POINT('',#520394); #158469=VERTEX_POINT('',#520396); #158470=VERTEX_POINT('',#520400); #158471=VERTEX_POINT('',#520402); #158472=VERTEX_POINT('',#520406); #158473=VERTEX_POINT('',#520408); #158474=VERTEX_POINT('',#520412); #158475=VERTEX_POINT('',#520414); #158476=VERTEX_POINT('',#520418); #158477=VERTEX_POINT('',#520420); #158478=VERTEX_POINT('',#520424); #158479=VERTEX_POINT('',#520426); #158480=VERTEX_POINT('',#520430); #158481=VERTEX_POINT('',#520432); #158482=VERTEX_POINT('',#520436); #158483=VERTEX_POINT('',#520438); #158484=VERTEX_POINT('',#520442); #158485=VERTEX_POINT('',#520444); #158486=VERTEX_POINT('',#520448); #158487=VERTEX_POINT('',#520450); #158488=VERTEX_POINT('',#520454); #158489=VERTEX_POINT('',#520456); #158490=VERTEX_POINT('',#520460); #158491=VERTEX_POINT('',#520462); #158492=VERTEX_POINT('',#520466); #158493=VERTEX_POINT('',#520468); #158494=VERTEX_POINT('',#520472); #158495=VERTEX_POINT('',#520474); #158496=VERTEX_POINT('',#520478); #158497=VERTEX_POINT('',#520480); #158498=VERTEX_POINT('',#520484); #158499=VERTEX_POINT('',#520486); #158500=VERTEX_POINT('',#520490); #158501=VERTEX_POINT('',#520492); #158502=VERTEX_POINT('',#520496); #158503=VERTEX_POINT('',#520498); #158504=VERTEX_POINT('',#520502); #158505=VERTEX_POINT('',#520504); #158506=VERTEX_POINT('',#520508); #158507=VERTEX_POINT('',#520510); #158508=VERTEX_POINT('',#520514); #158509=VERTEX_POINT('',#520516); #158510=VERTEX_POINT('',#520520); #158511=VERTEX_POINT('',#520522); #158512=VERTEX_POINT('',#520526); #158513=VERTEX_POINT('',#520528); #158514=VERTEX_POINT('',#520532); #158515=VERTEX_POINT('',#520534); #158516=VERTEX_POINT('',#520538); #158517=VERTEX_POINT('',#520540); #158518=VERTEX_POINT('',#520544); #158519=VERTEX_POINT('',#520546); #158520=VERTEX_POINT('',#520550); #158521=VERTEX_POINT('',#520552); #158522=VERTEX_POINT('',#520556); #158523=VERTEX_POINT('',#520558); #158524=VERTEX_POINT('',#520562); #158525=VERTEX_POINT('',#520564); #158526=VERTEX_POINT('',#520568); #158527=VERTEX_POINT('',#520570); #158528=VERTEX_POINT('',#520574); #158529=VERTEX_POINT('',#520576); #158530=VERTEX_POINT('',#520580); #158531=VERTEX_POINT('',#520582); #158532=VERTEX_POINT('',#520586); #158533=VERTEX_POINT('',#520588); #158534=VERTEX_POINT('',#520592); #158535=VERTEX_POINT('',#520594); #158536=VERTEX_POINT('',#520598); #158537=VERTEX_POINT('',#520600); #158538=VERTEX_POINT('',#520604); #158539=VERTEX_POINT('',#520606); #158540=VERTEX_POINT('',#520610); #158541=VERTEX_POINT('',#520612); #158542=VERTEX_POINT('',#520616); #158543=VERTEX_POINT('',#520618); #158544=VERTEX_POINT('',#520622); #158545=VERTEX_POINT('',#520624); #158546=VERTEX_POINT('',#520628); #158547=VERTEX_POINT('',#520630); #158548=VERTEX_POINT('',#520634); #158549=VERTEX_POINT('',#520636); #158550=VERTEX_POINT('',#520640); #158551=VERTEX_POINT('',#520642); #158552=VERTEX_POINT('',#520646); #158553=VERTEX_POINT('',#520648); #158554=VERTEX_POINT('',#520652); #158555=VERTEX_POINT('',#520654); #158556=VERTEX_POINT('',#520658); #158557=VERTEX_POINT('',#520660); #158558=VERTEX_POINT('',#520664); #158559=VERTEX_POINT('',#520666); #158560=VERTEX_POINT('',#520670); #158561=VERTEX_POINT('',#520672); #158562=VERTEX_POINT('',#520676); #158563=VERTEX_POINT('',#520678); #158564=VERTEX_POINT('',#520682); #158565=VERTEX_POINT('',#520684); #158566=VERTEX_POINT('',#520688); #158567=VERTEX_POINT('',#520690); #158568=VERTEX_POINT('',#520694); #158569=VERTEX_POINT('',#520696); #158570=VERTEX_POINT('',#520700); #158571=VERTEX_POINT('',#520702); #158572=VERTEX_POINT('',#520706); #158573=VERTEX_POINT('',#520708); #158574=VERTEX_POINT('',#520712); #158575=VERTEX_POINT('',#520714); #158576=VERTEX_POINT('',#520718); #158577=VERTEX_POINT('',#520720); #158578=VERTEX_POINT('',#520724); #158579=VERTEX_POINT('',#520726); #158580=VERTEX_POINT('',#520730); #158581=VERTEX_POINT('',#520732); #158582=VERTEX_POINT('',#520736); #158583=VERTEX_POINT('',#520738); #158584=VERTEX_POINT('',#520742); #158585=VERTEX_POINT('',#520744); #158586=VERTEX_POINT('',#520748); #158587=VERTEX_POINT('',#520750); #158588=VERTEX_POINT('',#520754); #158589=VERTEX_POINT('',#520756); #158590=VERTEX_POINT('',#520760); #158591=VERTEX_POINT('',#520762); #158592=VERTEX_POINT('',#520766); #158593=VERTEX_POINT('',#520768); #158594=VERTEX_POINT('',#520772); #158595=VERTEX_POINT('',#520774); #158596=VERTEX_POINT('',#520778); #158597=VERTEX_POINT('',#520780); #158598=VERTEX_POINT('',#520784); #158599=VERTEX_POINT('',#520786); #158600=VERTEX_POINT('',#520790); #158601=VERTEX_POINT('',#520792); #158602=VERTEX_POINT('',#520796); #158603=VERTEX_POINT('',#520798); #158604=VERTEX_POINT('',#520802); #158605=VERTEX_POINT('',#520804); #158606=VERTEX_POINT('',#520808); #158607=VERTEX_POINT('',#520810); #158608=VERTEX_POINT('',#520814); #158609=VERTEX_POINT('',#520816); #158610=VERTEX_POINT('',#520820); #158611=VERTEX_POINT('',#520822); #158612=VERTEX_POINT('',#520826); #158613=VERTEX_POINT('',#520828); #158614=VERTEX_POINT('',#520832); #158615=VERTEX_POINT('',#520834); #158616=VERTEX_POINT('',#520838); #158617=VERTEX_POINT('',#520840); #158618=VERTEX_POINT('',#520844); #158619=VERTEX_POINT('',#520846); #158620=VERTEX_POINT('',#520850); #158621=VERTEX_POINT('',#520852); #158622=VERTEX_POINT('',#520856); #158623=VERTEX_POINT('',#520858); #158624=VERTEX_POINT('',#520862); #158625=VERTEX_POINT('',#520864); #158626=VERTEX_POINT('',#520868); #158627=VERTEX_POINT('',#520870); #158628=VERTEX_POINT('',#520874); #158629=VERTEX_POINT('',#520876); #158630=VERTEX_POINT('',#520880); #158631=VERTEX_POINT('',#520882); #158632=VERTEX_POINT('',#520886); #158633=VERTEX_POINT('',#520888); #158634=VERTEX_POINT('',#520892); #158635=VERTEX_POINT('',#520894); #158636=VERTEX_POINT('',#520898); #158637=VERTEX_POINT('',#520900); #158638=VERTEX_POINT('',#520904); #158639=VERTEX_POINT('',#520906); #158640=VERTEX_POINT('',#520910); #158641=VERTEX_POINT('',#520912); #158642=VERTEX_POINT('',#520916); #158643=VERTEX_POINT('',#520918); #158644=VERTEX_POINT('',#520922); #158645=VERTEX_POINT('',#520924); #158646=VERTEX_POINT('',#520928); #158647=VERTEX_POINT('',#520930); #158648=VERTEX_POINT('',#520934); #158649=VERTEX_POINT('',#520936); #158650=VERTEX_POINT('',#520940); #158651=VERTEX_POINT('',#520942); #158652=VERTEX_POINT('',#520946); #158653=VERTEX_POINT('',#520948); #158654=VERTEX_POINT('',#520952); #158655=VERTEX_POINT('',#520954); #158656=VERTEX_POINT('',#520958); #158657=VERTEX_POINT('',#520960); #158658=VERTEX_POINT('',#520964); #158659=VERTEX_POINT('',#520966); #158660=VERTEX_POINT('',#520970); #158661=VERTEX_POINT('',#520972); #158662=VERTEX_POINT('',#520976); #158663=VERTEX_POINT('',#520978); #158664=VERTEX_POINT('',#520982); #158665=VERTEX_POINT('',#520984); #158666=VERTEX_POINT('',#520988); #158667=VERTEX_POINT('',#520990); #158668=VERTEX_POINT('',#520994); #158669=VERTEX_POINT('',#520996); #158670=VERTEX_POINT('',#521000); #158671=VERTEX_POINT('',#521002); #158672=VERTEX_POINT('',#521006); #158673=VERTEX_POINT('',#521008); #158674=VERTEX_POINT('',#521012); #158675=VERTEX_POINT('',#521014); #158676=VERTEX_POINT('',#521018); #158677=VERTEX_POINT('',#521020); #158678=VERTEX_POINT('',#521024); #158679=VERTEX_POINT('',#521026); #158680=VERTEX_POINT('',#521030); #158681=VERTEX_POINT('',#521032); #158682=VERTEX_POINT('',#521036); #158683=VERTEX_POINT('',#521038); #158684=VERTEX_POINT('',#521042); #158685=VERTEX_POINT('',#521044); #158686=VERTEX_POINT('',#521048); #158687=VERTEX_POINT('',#521050); #158688=VERTEX_POINT('',#521054); #158689=VERTEX_POINT('',#521056); #158690=VERTEX_POINT('',#521060); #158691=VERTEX_POINT('',#521062); #158692=VERTEX_POINT('',#521066); #158693=VERTEX_POINT('',#521068); #158694=VERTEX_POINT('',#521072); #158695=VERTEX_POINT('',#521074); #158696=VERTEX_POINT('',#521078); #158697=VERTEX_POINT('',#521080); #158698=VERTEX_POINT('',#521084); #158699=VERTEX_POINT('',#521086); #158700=VERTEX_POINT('',#521090); #158701=VERTEX_POINT('',#521092); #158702=VERTEX_POINT('',#521096); #158703=VERTEX_POINT('',#521098); #158704=VERTEX_POINT('',#521102); #158705=VERTEX_POINT('',#521104); #158706=VERTEX_POINT('',#521108); #158707=VERTEX_POINT('',#521110); #158708=VERTEX_POINT('',#521114); #158709=VERTEX_POINT('',#521116); #158710=VERTEX_POINT('',#521120); #158711=VERTEX_POINT('',#521122); #158712=VERTEX_POINT('',#521126); #158713=VERTEX_POINT('',#521128); #158714=VERTEX_POINT('',#521132); #158715=VERTEX_POINT('',#521134); #158716=VERTEX_POINT('',#521138); #158717=VERTEX_POINT('',#521140); #158718=VERTEX_POINT('',#521144); #158719=VERTEX_POINT('',#521146); #158720=VERTEX_POINT('',#521150); #158721=VERTEX_POINT('',#521152); #158722=VERTEX_POINT('',#521156); #158723=VERTEX_POINT('',#521158); #158724=VERTEX_POINT('',#521162); #158725=VERTEX_POINT('',#521164); #158726=VERTEX_POINT('',#521168); #158727=VERTEX_POINT('',#521170); #158728=VERTEX_POINT('',#521174); #158729=VERTEX_POINT('',#521176); #158730=VERTEX_POINT('',#521180); #158731=VERTEX_POINT('',#521182); #158732=VERTEX_POINT('',#521186); #158733=VERTEX_POINT('',#521188); #158734=VERTEX_POINT('',#521192); #158735=VERTEX_POINT('',#521194); #158736=VERTEX_POINT('',#521198); #158737=VERTEX_POINT('',#521200); #158738=VERTEX_POINT('',#521204); #158739=VERTEX_POINT('',#521206); #158740=VERTEX_POINT('',#521210); #158741=VERTEX_POINT('',#521212); #158742=VERTEX_POINT('',#521216); #158743=VERTEX_POINT('',#521218); #158744=VERTEX_POINT('',#521222); #158745=VERTEX_POINT('',#521224); #158746=VERTEX_POINT('',#521228); #158747=VERTEX_POINT('',#521230); #158748=VERTEX_POINT('',#521234); #158749=VERTEX_POINT('',#521236); #158750=VERTEX_POINT('',#521240); #158751=VERTEX_POINT('',#521242); #158752=VERTEX_POINT('',#521246); #158753=VERTEX_POINT('',#521248); #158754=VERTEX_POINT('',#521252); #158755=VERTEX_POINT('',#521254); #158756=VERTEX_POINT('',#521258); #158757=VERTEX_POINT('',#521260); #158758=VERTEX_POINT('',#521264); #158759=VERTEX_POINT('',#521266); #158760=VERTEX_POINT('',#521270); #158761=VERTEX_POINT('',#521272); #158762=VERTEX_POINT('',#521276); #158763=VERTEX_POINT('',#521278); #158764=VERTEX_POINT('',#521282); #158765=VERTEX_POINT('',#521284); #158766=VERTEX_POINT('',#521288); #158767=VERTEX_POINT('',#521290); #158768=VERTEX_POINT('',#521294); #158769=VERTEX_POINT('',#521296); #158770=VERTEX_POINT('',#521300); #158771=VERTEX_POINT('',#521302); #158772=VERTEX_POINT('',#521306); #158773=VERTEX_POINT('',#521308); #158774=VERTEX_POINT('',#521312); #158775=VERTEX_POINT('',#521314); #158776=VERTEX_POINT('',#521318); #158777=VERTEX_POINT('',#521320); #158778=VERTEX_POINT('',#521324); #158779=VERTEX_POINT('',#521326); #158780=VERTEX_POINT('',#521330); #158781=VERTEX_POINT('',#521332); #158782=VERTEX_POINT('',#521336); #158783=VERTEX_POINT('',#521338); #158784=VERTEX_POINT('',#521342); #158785=VERTEX_POINT('',#521344); #158786=VERTEX_POINT('',#521348); #158787=VERTEX_POINT('',#521350); #158788=VERTEX_POINT('',#521354); #158789=VERTEX_POINT('',#521356); #158790=VERTEX_POINT('',#521360); #158791=VERTEX_POINT('',#521362); #158792=VERTEX_POINT('',#521366); #158793=VERTEX_POINT('',#521368); #158794=VERTEX_POINT('',#521372); #158795=VERTEX_POINT('',#521374); #158796=VERTEX_POINT('',#521378); #158797=VERTEX_POINT('',#521380); #158798=VERTEX_POINT('',#521384); #158799=VERTEX_POINT('',#521386); #158800=VERTEX_POINT('',#521390); #158801=VERTEX_POINT('',#521392); #158802=VERTEX_POINT('',#521396); #158803=VERTEX_POINT('',#521398); #158804=VERTEX_POINT('',#521402); #158805=VERTEX_POINT('',#521404); #158806=VERTEX_POINT('',#521408); #158807=VERTEX_POINT('',#521410); #158808=VERTEX_POINT('',#521414); #158809=VERTEX_POINT('',#521416); #158810=VERTEX_POINT('',#521420); #158811=VERTEX_POINT('',#521422); #158812=VERTEX_POINT('',#521426); #158813=VERTEX_POINT('',#521428); #158814=VERTEX_POINT('',#521432); #158815=VERTEX_POINT('',#521434); #158816=VERTEX_POINT('',#521438); #158817=VERTEX_POINT('',#521440); #158818=VERTEX_POINT('',#521444); #158819=VERTEX_POINT('',#521446); #158820=VERTEX_POINT('',#521450); #158821=VERTEX_POINT('',#521452); #158822=VERTEX_POINT('',#521456); #158823=VERTEX_POINT('',#521458); #158824=VERTEX_POINT('',#521462); #158825=VERTEX_POINT('',#521464); #158826=VERTEX_POINT('',#521468); #158827=VERTEX_POINT('',#521470); #158828=VERTEX_POINT('',#521474); #158829=VERTEX_POINT('',#521476); #158830=VERTEX_POINT('',#521480); #158831=VERTEX_POINT('',#521482); #158832=VERTEX_POINT('',#521486); #158833=VERTEX_POINT('',#521488); #158834=VERTEX_POINT('',#521492); #158835=VERTEX_POINT('',#521494); #158836=VERTEX_POINT('',#521498); #158837=VERTEX_POINT('',#521500); #158838=VERTEX_POINT('',#521504); #158839=VERTEX_POINT('',#521506); #158840=VERTEX_POINT('',#521510); #158841=VERTEX_POINT('',#521512); #158842=VERTEX_POINT('',#521516); #158843=VERTEX_POINT('',#521518); #158844=VERTEX_POINT('',#521522); #158845=VERTEX_POINT('',#521524); #158846=VERTEX_POINT('',#521528); #158847=VERTEX_POINT('',#521530); #158848=VERTEX_POINT('',#521534); #158849=VERTEX_POINT('',#521536); #158850=VERTEX_POINT('',#521540); #158851=VERTEX_POINT('',#521542); #158852=VERTEX_POINT('',#521546); #158853=VERTEX_POINT('',#521548); #158854=VERTEX_POINT('',#521552); #158855=VERTEX_POINT('',#521554); #158856=VERTEX_POINT('',#521558); #158857=VERTEX_POINT('',#521560); #158858=VERTEX_POINT('',#521564); #158859=VERTEX_POINT('',#521566); #158860=VERTEX_POINT('',#521570); #158861=VERTEX_POINT('',#521572); #158862=VERTEX_POINT('',#521576); #158863=VERTEX_POINT('',#521578); #158864=VERTEX_POINT('',#521582); #158865=VERTEX_POINT('',#521584); #158866=VERTEX_POINT('',#521588); #158867=VERTEX_POINT('',#521590); #158868=VERTEX_POINT('',#521594); #158869=VERTEX_POINT('',#521596); #158870=VERTEX_POINT('',#521600); #158871=VERTEX_POINT('',#521602); #158872=VERTEX_POINT('',#521606); #158873=VERTEX_POINT('',#521608); #158874=VERTEX_POINT('',#521612); #158875=VERTEX_POINT('',#521614); #158876=VERTEX_POINT('',#521618); #158877=VERTEX_POINT('',#521620); #158878=VERTEX_POINT('',#521624); #158879=VERTEX_POINT('',#521626); #158880=VERTEX_POINT('',#521630); #158881=VERTEX_POINT('',#521632); #158882=VERTEX_POINT('',#521636); #158883=VERTEX_POINT('',#521638); #158884=VERTEX_POINT('',#521642); #158885=VERTEX_POINT('',#521644); #158886=VERTEX_POINT('',#521648); #158887=VERTEX_POINT('',#521650); #158888=VERTEX_POINT('',#521654); #158889=VERTEX_POINT('',#521656); #158890=VERTEX_POINT('',#521660); #158891=VERTEX_POINT('',#521662); #158892=VERTEX_POINT('',#521666); #158893=VERTEX_POINT('',#521668); #158894=VERTEX_POINT('',#521672); #158895=VERTEX_POINT('',#521674); #158896=VERTEX_POINT('',#521678); #158897=VERTEX_POINT('',#521680); #158898=VERTEX_POINT('',#521684); #158899=VERTEX_POINT('',#521686); #158900=VERTEX_POINT('',#521690); #158901=VERTEX_POINT('',#521692); #158902=VERTEX_POINT('',#521696); #158903=VERTEX_POINT('',#521698); #158904=VERTEX_POINT('',#521702); #158905=VERTEX_POINT('',#521704); #158906=VERTEX_POINT('',#521708); #158907=VERTEX_POINT('',#521710); #158908=VERTEX_POINT('',#521714); #158909=VERTEX_POINT('',#521716); #158910=VERTEX_POINT('',#521720); #158911=VERTEX_POINT('',#521722); #158912=VERTEX_POINT('',#521726); #158913=VERTEX_POINT('',#521728); #158914=VERTEX_POINT('',#521732); #158915=VERTEX_POINT('',#521734); #158916=VERTEX_POINT('',#521738); #158917=VERTEX_POINT('',#521740); #158918=VERTEX_POINT('',#521744); #158919=VERTEX_POINT('',#521746); #158920=VERTEX_POINT('',#521750); #158921=VERTEX_POINT('',#521752); #158922=VERTEX_POINT('',#521756); #158923=VERTEX_POINT('',#521758); #158924=VERTEX_POINT('',#521762); #158925=VERTEX_POINT('',#521764); #158926=VERTEX_POINT('',#521768); #158927=VERTEX_POINT('',#521770); #158928=VERTEX_POINT('',#521774); #158929=VERTEX_POINT('',#521776); #158930=VERTEX_POINT('',#521780); #158931=VERTEX_POINT('',#521782); #158932=VERTEX_POINT('',#521786); #158933=VERTEX_POINT('',#521788); #158934=VERTEX_POINT('',#521792); #158935=VERTEX_POINT('',#521794); #158936=VERTEX_POINT('',#521798); #158937=VERTEX_POINT('',#521800); #158938=VERTEX_POINT('',#521804); #158939=VERTEX_POINT('',#521806); #158940=VERTEX_POINT('',#521810); #158941=VERTEX_POINT('',#521812); #158942=VERTEX_POINT('',#521816); #158943=VERTEX_POINT('',#521818); #158944=VERTEX_POINT('',#521822); #158945=VERTEX_POINT('',#521824); #158946=VERTEX_POINT('',#521828); #158947=VERTEX_POINT('',#521830); #158948=VERTEX_POINT('',#521834); #158949=VERTEX_POINT('',#521836); #158950=VERTEX_POINT('',#521840); #158951=VERTEX_POINT('',#521842); #158952=VERTEX_POINT('',#521846); #158953=VERTEX_POINT('',#521848); #158954=VERTEX_POINT('',#521852); #158955=VERTEX_POINT('',#521854); #158956=VERTEX_POINT('',#521858); #158957=VERTEX_POINT('',#521860); #158958=VERTEX_POINT('',#521864); #158959=VERTEX_POINT('',#521866); #158960=VERTEX_POINT('',#521870); #158961=VERTEX_POINT('',#521872); #158962=VERTEX_POINT('',#521876); #158963=VERTEX_POINT('',#521878); #158964=VERTEX_POINT('',#521882); #158965=VERTEX_POINT('',#521884); #158966=VERTEX_POINT('',#521888); #158967=VERTEX_POINT('',#521890); #158968=VERTEX_POINT('',#521894); #158969=VERTEX_POINT('',#521896); #158970=VERTEX_POINT('',#521900); #158971=VERTEX_POINT('',#521902); #158972=VERTEX_POINT('',#521906); #158973=VERTEX_POINT('',#521908); #158974=VERTEX_POINT('',#521912); #158975=VERTEX_POINT('',#521914); #158976=VERTEX_POINT('',#521918); #158977=VERTEX_POINT('',#521920); #158978=VERTEX_POINT('',#521924); #158979=VERTEX_POINT('',#521926); #158980=VERTEX_POINT('',#521930); #158981=VERTEX_POINT('',#521932); #158982=VERTEX_POINT('',#521936); #158983=VERTEX_POINT('',#521938); #158984=VERTEX_POINT('',#521942); #158985=VERTEX_POINT('',#521944); #158986=VERTEX_POINT('',#521948); #158987=VERTEX_POINT('',#521950); #158988=VERTEX_POINT('',#521954); #158989=VERTEX_POINT('',#521956); #158990=VERTEX_POINT('',#521960); #158991=VERTEX_POINT('',#521962); #158992=VERTEX_POINT('',#521966); #158993=VERTEX_POINT('',#521968); #158994=VERTEX_POINT('',#521972); #158995=VERTEX_POINT('',#521974); #158996=VERTEX_POINT('',#521978); #158997=VERTEX_POINT('',#521980); #158998=VERTEX_POINT('',#521984); #158999=VERTEX_POINT('',#521986); #159000=VERTEX_POINT('',#521990); #159001=VERTEX_POINT('',#521992); #159002=VERTEX_POINT('',#521996); #159003=VERTEX_POINT('',#521998); #159004=VERTEX_POINT('',#522002); #159005=VERTEX_POINT('',#522004); #159006=VERTEX_POINT('',#522008); #159007=VERTEX_POINT('',#522010); #159008=VERTEX_POINT('',#522014); #159009=VERTEX_POINT('',#522016); #159010=VERTEX_POINT('',#522020); #159011=VERTEX_POINT('',#522022); #159012=VERTEX_POINT('',#522026); #159013=VERTEX_POINT('',#522028); #159014=VERTEX_POINT('',#522032); #159015=VERTEX_POINT('',#522034); #159016=VERTEX_POINT('',#522038); #159017=VERTEX_POINT('',#522040); #159018=VERTEX_POINT('',#522044); #159019=VERTEX_POINT('',#522046); #159020=VERTEX_POINT('',#522050); #159021=VERTEX_POINT('',#522052); #159022=VERTEX_POINT('',#522056); #159023=VERTEX_POINT('',#522058); #159024=VERTEX_POINT('',#522062); #159025=VERTEX_POINT('',#522064); #159026=VERTEX_POINT('',#522068); #159027=VERTEX_POINT('',#522070); #159028=VERTEX_POINT('',#522074); #159029=VERTEX_POINT('',#522076); #159030=VERTEX_POINT('',#522080); #159031=VERTEX_POINT('',#522082); #159032=VERTEX_POINT('',#522086); #159033=VERTEX_POINT('',#522088); #159034=VERTEX_POINT('',#522092); #159035=VERTEX_POINT('',#522094); #159036=VERTEX_POINT('',#522098); #159037=VERTEX_POINT('',#522100); #159038=VERTEX_POINT('',#522104); #159039=VERTEX_POINT('',#522106); #159040=VERTEX_POINT('',#522110); #159041=VERTEX_POINT('',#522112); #159042=VERTEX_POINT('',#522116); #159043=VERTEX_POINT('',#522118); #159044=VERTEX_POINT('',#522122); #159045=VERTEX_POINT('',#522124); #159046=VERTEX_POINT('',#522128); #159047=VERTEX_POINT('',#522130); #159048=VERTEX_POINT('',#522134); #159049=VERTEX_POINT('',#522136); #159050=VERTEX_POINT('',#522140); #159051=VERTEX_POINT('',#522142); #159052=VERTEX_POINT('',#522146); #159053=VERTEX_POINT('',#522148); #159054=VERTEX_POINT('',#522152); #159055=VERTEX_POINT('',#522154); #159056=VERTEX_POINT('',#522158); #159057=VERTEX_POINT('',#522160); #159058=VERTEX_POINT('',#522164); #159059=VERTEX_POINT('',#522166); #159060=VERTEX_POINT('',#522170); #159061=VERTEX_POINT('',#522172); #159062=VERTEX_POINT('',#522176); #159063=VERTEX_POINT('',#522178); #159064=VERTEX_POINT('',#522182); #159065=VERTEX_POINT('',#522184); #159066=VERTEX_POINT('',#522188); #159067=VERTEX_POINT('',#522190); #159068=VERTEX_POINT('',#522194); #159069=VERTEX_POINT('',#522196); #159070=VERTEX_POINT('',#522200); #159071=VERTEX_POINT('',#522202); #159072=VERTEX_POINT('',#522206); #159073=VERTEX_POINT('',#522208); #159074=VERTEX_POINT('',#522212); #159075=VERTEX_POINT('',#522214); #159076=VERTEX_POINT('',#522218); #159077=VERTEX_POINT('',#522220); #159078=VERTEX_POINT('',#522224); #159079=VERTEX_POINT('',#522226); #159080=VERTEX_POINT('',#522230); #159081=VERTEX_POINT('',#522232); #159082=VERTEX_POINT('',#522236); #159083=VERTEX_POINT('',#522238); #159084=VERTEX_POINT('',#522242); #159085=VERTEX_POINT('',#522244); #159086=VERTEX_POINT('',#522248); #159087=VERTEX_POINT('',#522250); #159088=VERTEX_POINT('',#522254); #159089=VERTEX_POINT('',#522256); #159090=VERTEX_POINT('',#522260); #159091=VERTEX_POINT('',#522262); #159092=VERTEX_POINT('',#522266); #159093=VERTEX_POINT('',#522268); #159094=VERTEX_POINT('',#522272); #159095=VERTEX_POINT('',#522274); #159096=VERTEX_POINT('',#522278); #159097=VERTEX_POINT('',#522280); #159098=VERTEX_POINT('',#522284); #159099=VERTEX_POINT('',#522286); #159100=VERTEX_POINT('',#522290); #159101=VERTEX_POINT('',#522292); #159102=VERTEX_POINT('',#522296); #159103=VERTEX_POINT('',#522298); #159104=VERTEX_POINT('',#522302); #159105=VERTEX_POINT('',#522304); #159106=VERTEX_POINT('',#522308); #159107=VERTEX_POINT('',#522310); #159108=VERTEX_POINT('',#522314); #159109=VERTEX_POINT('',#522316); #159110=VERTEX_POINT('',#522320); #159111=VERTEX_POINT('',#522322); #159112=VERTEX_POINT('',#522326); #159113=VERTEX_POINT('',#522328); #159114=VERTEX_POINT('',#522332); #159115=VERTEX_POINT('',#522334); #159116=VERTEX_POINT('',#522338); #159117=VERTEX_POINT('',#522340); #159118=VERTEX_POINT('',#522344); #159119=VERTEX_POINT('',#522346); #159120=VERTEX_POINT('',#522350); #159121=VERTEX_POINT('',#522352); #159122=VERTEX_POINT('',#522356); #159123=VERTEX_POINT('',#522358); #159124=VERTEX_POINT('',#522362); #159125=VERTEX_POINT('',#522364); #159126=VERTEX_POINT('',#522368); #159127=VERTEX_POINT('',#522370); #159128=VERTEX_POINT('',#522374); #159129=VERTEX_POINT('',#522376); #159130=VERTEX_POINT('',#522380); #159131=VERTEX_POINT('',#522382); #159132=VERTEX_POINT('',#522386); #159133=VERTEX_POINT('',#522388); #159134=VERTEX_POINT('',#522392); #159135=VERTEX_POINT('',#522394); #159136=VERTEX_POINT('',#522398); #159137=VERTEX_POINT('',#522400); #159138=VERTEX_POINT('',#522404); #159139=VERTEX_POINT('',#522406); #159140=VERTEX_POINT('',#522410); #159141=VERTEX_POINT('',#522412); #159142=VERTEX_POINT('',#522416); #159143=VERTEX_POINT('',#522418); #159144=VERTEX_POINT('',#522422); #159145=VERTEX_POINT('',#522424); #159146=VERTEX_POINT('',#522428); #159147=VERTEX_POINT('',#522430); #159148=VERTEX_POINT('',#522434); #159149=VERTEX_POINT('',#522436); #159150=VERTEX_POINT('',#522440); #159151=VERTEX_POINT('',#522442); #159152=VERTEX_POINT('',#522446); #159153=VERTEX_POINT('',#522448); #159154=VERTEX_POINT('',#522452); #159155=VERTEX_POINT('',#522454); #159156=VERTEX_POINT('',#522458); #159157=VERTEX_POINT('',#522460); #159158=VERTEX_POINT('',#522464); #159159=VERTEX_POINT('',#522466); #159160=VERTEX_POINT('',#522470); #159161=VERTEX_POINT('',#522472); #159162=VERTEX_POINT('',#522476); #159163=VERTEX_POINT('',#522478); #159164=VERTEX_POINT('',#522482); #159165=VERTEX_POINT('',#522484); #159166=VERTEX_POINT('',#522488); #159167=VERTEX_POINT('',#522490); #159168=VERTEX_POINT('',#522494); #159169=VERTEX_POINT('',#522496); #159170=VERTEX_POINT('',#522500); #159171=VERTEX_POINT('',#522502); #159172=VERTEX_POINT('',#522506); #159173=VERTEX_POINT('',#522508); #159174=VERTEX_POINT('',#522512); #159175=VERTEX_POINT('',#522514); #159176=VERTEX_POINT('',#522518); #159177=VERTEX_POINT('',#522520); #159178=VERTEX_POINT('',#522524); #159179=VERTEX_POINT('',#522526); #159180=VERTEX_POINT('',#522530); #159181=VERTEX_POINT('',#522532); #159182=VERTEX_POINT('',#522536); #159183=VERTEX_POINT('',#522538); #159184=VERTEX_POINT('',#522542); #159185=VERTEX_POINT('',#522544); #159186=VERTEX_POINT('',#522548); #159187=VERTEX_POINT('',#522550); #159188=VERTEX_POINT('',#522554); #159189=VERTEX_POINT('',#522556); #159190=VERTEX_POINT('',#522560); #159191=VERTEX_POINT('',#522562); #159192=VERTEX_POINT('',#522566); #159193=VERTEX_POINT('',#522568); #159194=VERTEX_POINT('',#522572); #159195=VERTEX_POINT('',#522574); #159196=VERTEX_POINT('',#522578); #159197=VERTEX_POINT('',#522580); #159198=VERTEX_POINT('',#522584); #159199=VERTEX_POINT('',#522586); #159200=VERTEX_POINT('',#522590); #159201=VERTEX_POINT('',#522592); #159202=VERTEX_POINT('',#522596); #159203=VERTEX_POINT('',#522598); #159204=VERTEX_POINT('',#522602); #159205=VERTEX_POINT('',#522604); #159206=VERTEX_POINT('',#522608); #159207=VERTEX_POINT('',#522610); #159208=VERTEX_POINT('',#522614); #159209=VERTEX_POINT('',#522616); #159210=VERTEX_POINT('',#522620); #159211=VERTEX_POINT('',#522622); #159212=VERTEX_POINT('',#522626); #159213=VERTEX_POINT('',#522628); #159214=VERTEX_POINT('',#522632); #159215=VERTEX_POINT('',#522634); #159216=VERTEX_POINT('',#522638); #159217=VERTEX_POINT('',#522640); #159218=VERTEX_POINT('',#522644); #159219=VERTEX_POINT('',#522646); #159220=VERTEX_POINT('',#522650); #159221=VERTEX_POINT('',#522652); #159222=VERTEX_POINT('',#522656); #159223=VERTEX_POINT('',#522658); #159224=VERTEX_POINT('',#522662); #159225=VERTEX_POINT('',#522664); #159226=VERTEX_POINT('',#522668); #159227=VERTEX_POINT('',#522670); #159228=VERTEX_POINT('',#522674); #159229=VERTEX_POINT('',#522676); #159230=VERTEX_POINT('',#522680); #159231=VERTEX_POINT('',#522682); #159232=VERTEX_POINT('',#522686); #159233=VERTEX_POINT('',#522688); #159234=VERTEX_POINT('',#522692); #159235=VERTEX_POINT('',#522694); #159236=VERTEX_POINT('',#522698); #159237=VERTEX_POINT('',#522700); #159238=VERTEX_POINT('',#522704); #159239=VERTEX_POINT('',#522706); #159240=VERTEX_POINT('',#522710); #159241=VERTEX_POINT('',#522712); #159242=VERTEX_POINT('',#522716); #159243=VERTEX_POINT('',#522718); #159244=VERTEX_POINT('',#522722); #159245=VERTEX_POINT('',#522724); #159246=VERTEX_POINT('',#522728); #159247=VERTEX_POINT('',#522730); #159248=VERTEX_POINT('',#522734); #159249=VERTEX_POINT('',#522736); #159250=VERTEX_POINT('',#522740); #159251=VERTEX_POINT('',#522742); #159252=VERTEX_POINT('',#522746); #159253=VERTEX_POINT('',#522748); #159254=VERTEX_POINT('',#522752); #159255=VERTEX_POINT('',#522754); #159256=VERTEX_POINT('',#522758); #159257=VERTEX_POINT('',#522760); #159258=VERTEX_POINT('',#522767); #159259=VERTEX_POINT('',#522768); #159260=VERTEX_POINT('',#522770); #159261=VERTEX_POINT('',#522772); #159262=VERTEX_POINT('',#522776); #159263=VERTEX_POINT('',#522778); #159264=VERTEX_POINT('',#522782); #159265=VERTEX_POINT('',#522784); #159266=VERTEX_POINT('',#522788); #159267=VERTEX_POINT('',#522790); #159268=VERTEX_POINT('',#522794); #159269=VERTEX_POINT('',#522796); #159270=VERTEX_POINT('',#522800); #159271=VERTEX_POINT('',#522802); #159272=VERTEX_POINT('',#522806); #159273=VERTEX_POINT('',#522808); #159274=VERTEX_POINT('',#522812); #159275=VERTEX_POINT('',#522814); #159276=VERTEX_POINT('',#522818); #159277=VERTEX_POINT('',#522820); #159278=VERTEX_POINT('',#522824); #159279=VERTEX_POINT('',#522826); #159280=VERTEX_POINT('',#522830); #159281=VERTEX_POINT('',#522832); #159282=VERTEX_POINT('',#522836); #159283=VERTEX_POINT('',#522838); #159284=VERTEX_POINT('',#522842); #159285=VERTEX_POINT('',#522844); #159286=VERTEX_POINT('',#522848); #159287=VERTEX_POINT('',#522850); #159288=VERTEX_POINT('',#522854); #159289=VERTEX_POINT('',#522856); #159290=VERTEX_POINT('',#522860); #159291=VERTEX_POINT('',#522862); #159292=VERTEX_POINT('',#522866); #159293=VERTEX_POINT('',#522868); #159294=VERTEX_POINT('',#522872); #159295=VERTEX_POINT('',#522874); #159296=VERTEX_POINT('',#522878); #159297=VERTEX_POINT('',#522880); #159298=VERTEX_POINT('',#522884); #159299=VERTEX_POINT('',#522886); #159300=VERTEX_POINT('',#522890); #159301=VERTEX_POINT('',#522892); #159302=VERTEX_POINT('',#522896); #159303=VERTEX_POINT('',#522898); #159304=VERTEX_POINT('',#522902); #159305=VERTEX_POINT('',#522904); #159306=VERTEX_POINT('',#522908); #159307=VERTEX_POINT('',#522910); #159308=VERTEX_POINT('',#522914); #159309=VERTEX_POINT('',#522916); #159310=VERTEX_POINT('',#522920); #159311=VERTEX_POINT('',#522922); #159312=VERTEX_POINT('',#522926); #159313=VERTEX_POINT('',#522928); #159314=VERTEX_POINT('',#522932); #159315=VERTEX_POINT('',#522934); #159316=VERTEX_POINT('',#522938); #159317=VERTEX_POINT('',#522940); #159318=VERTEX_POINT('',#522944); #159319=VERTEX_POINT('',#522946); #159320=VERTEX_POINT('',#522950); #159321=VERTEX_POINT('',#522952); #159322=VERTEX_POINT('',#522956); #159323=VERTEX_POINT('',#522958); #159324=VERTEX_POINT('',#522962); #159325=VERTEX_POINT('',#522964); #159326=VERTEX_POINT('',#522968); #159327=VERTEX_POINT('',#522970); #159328=VERTEX_POINT('',#522974); #159329=VERTEX_POINT('',#522976); #159330=VERTEX_POINT('',#522980); #159331=VERTEX_POINT('',#522982); #159332=VERTEX_POINT('',#522986); #159333=VERTEX_POINT('',#522988); #159334=VERTEX_POINT('',#522992); #159335=VERTEX_POINT('',#522994); #159336=VERTEX_POINT('',#522998); #159337=VERTEX_POINT('',#523000); #159338=VERTEX_POINT('',#523004); #159339=VERTEX_POINT('',#523006); #159340=VERTEX_POINT('',#523010); #159341=VERTEX_POINT('',#523012); #159342=VERTEX_POINT('',#523016); #159343=VERTEX_POINT('',#523018); #159344=VERTEX_POINT('',#523022); #159345=VERTEX_POINT('',#523024); #159346=VERTEX_POINT('',#523028); #159347=VERTEX_POINT('',#523030); #159348=VERTEX_POINT('',#523034); #159349=VERTEX_POINT('',#523036); #159350=VERTEX_POINT('',#523040); #159351=VERTEX_POINT('',#523042); #159352=VERTEX_POINT('',#523046); #159353=VERTEX_POINT('',#523048); #159354=VERTEX_POINT('',#523052); #159355=VERTEX_POINT('',#523054); #159356=VERTEX_POINT('',#523058); #159357=VERTEX_POINT('',#523060); #159358=VERTEX_POINT('',#523064); #159359=VERTEX_POINT('',#523066); #159360=VERTEX_POINT('',#523070); #159361=VERTEX_POINT('',#523072); #159362=VERTEX_POINT('',#523076); #159363=VERTEX_POINT('',#523078); #159364=VERTEX_POINT('',#523082); #159365=VERTEX_POINT('',#523084); #159366=VERTEX_POINT('',#523088); #159367=VERTEX_POINT('',#523090); #159368=VERTEX_POINT('',#523094); #159369=VERTEX_POINT('',#523096); #159370=VERTEX_POINT('',#523100); #159371=VERTEX_POINT('',#523102); #159372=VERTEX_POINT('',#523106); #159373=VERTEX_POINT('',#523108); #159374=VERTEX_POINT('',#523112); #159375=VERTEX_POINT('',#523114); #159376=VERTEX_POINT('',#523118); #159377=VERTEX_POINT('',#523120); #159378=VERTEX_POINT('',#523124); #159379=VERTEX_POINT('',#523126); #159380=VERTEX_POINT('',#523130); #159381=VERTEX_POINT('',#523132); #159382=VERTEX_POINT('',#523136); #159383=VERTEX_POINT('',#523138); #159384=VERTEX_POINT('',#523142); #159385=VERTEX_POINT('',#523144); #159386=VERTEX_POINT('',#523148); #159387=VERTEX_POINT('',#523150); #159388=VERTEX_POINT('',#523154); #159389=VERTEX_POINT('',#523156); #159390=VERTEX_POINT('',#523160); #159391=VERTEX_POINT('',#523162); #159392=VERTEX_POINT('',#523166); #159393=VERTEX_POINT('',#523168); #159394=VERTEX_POINT('',#523172); #159395=VERTEX_POINT('',#523174); #159396=VERTEX_POINT('',#523178); #159397=VERTEX_POINT('',#523180); #159398=VERTEX_POINT('',#523184); #159399=VERTEX_POINT('',#523186); #159400=VERTEX_POINT('',#523190); #159401=VERTEX_POINT('',#523192); #159402=VERTEX_POINT('',#523196); #159403=VERTEX_POINT('',#523198); #159404=VERTEX_POINT('',#523202); #159405=VERTEX_POINT('',#523204); #159406=VERTEX_POINT('',#523208); #159407=VERTEX_POINT('',#523210); #159408=VERTEX_POINT('',#523214); #159409=VERTEX_POINT('',#523216); #159410=VERTEX_POINT('',#523220); #159411=VERTEX_POINT('',#523222); #159412=VERTEX_POINT('',#523226); #159413=VERTEX_POINT('',#523228); #159414=VERTEX_POINT('',#523232); #159415=VERTEX_POINT('',#523234); #159416=VERTEX_POINT('',#523238); #159417=VERTEX_POINT('',#523240); #159418=VERTEX_POINT('',#523244); #159419=VERTEX_POINT('',#523246); #159420=VERTEX_POINT('',#523250); #159421=VERTEX_POINT('',#523252); #159422=VERTEX_POINT('',#523256); #159423=VERTEX_POINT('',#523258); #159424=VERTEX_POINT('',#523262); #159425=VERTEX_POINT('',#523264); #159426=VERTEX_POINT('',#523268); #159427=VERTEX_POINT('',#523270); #159428=VERTEX_POINT('',#523274); #159429=VERTEX_POINT('',#523276); #159430=VERTEX_POINT('',#523280); #159431=VERTEX_POINT('',#523282); #159432=VERTEX_POINT('',#523286); #159433=VERTEX_POINT('',#523288); #159434=VERTEX_POINT('',#523292); #159435=VERTEX_POINT('',#523294); #159436=VERTEX_POINT('',#523298); #159437=VERTEX_POINT('',#523300); #159438=VERTEX_POINT('',#523304); #159439=VERTEX_POINT('',#523306); #159440=VERTEX_POINT('',#523310); #159441=VERTEX_POINT('',#523312); #159442=VERTEX_POINT('',#523316); #159443=VERTEX_POINT('',#523318); #159444=VERTEX_POINT('',#523322); #159445=VERTEX_POINT('',#523324); #159446=VERTEX_POINT('',#523328); #159447=VERTEX_POINT('',#523330); #159448=VERTEX_POINT('',#523334); #159449=VERTEX_POINT('',#523336); #159450=VERTEX_POINT('',#523340); #159451=VERTEX_POINT('',#523342); #159452=VERTEX_POINT('',#523346); #159453=VERTEX_POINT('',#523348); #159454=VERTEX_POINT('',#523352); #159455=VERTEX_POINT('',#523354); #159456=VERTEX_POINT('',#523358); #159457=VERTEX_POINT('',#523360); #159458=VERTEX_POINT('',#523364); #159459=VERTEX_POINT('',#523366); #159460=VERTEX_POINT('',#523370); #159461=VERTEX_POINT('',#523372); #159462=VERTEX_POINT('',#523376); #159463=VERTEX_POINT('',#523378); #159464=VERTEX_POINT('',#523382); #159465=VERTEX_POINT('',#523384); #159466=VERTEX_POINT('',#523388); #159467=VERTEX_POINT('',#523390); #159468=VERTEX_POINT('',#523394); #159469=VERTEX_POINT('',#523396); #159470=VERTEX_POINT('',#523400); #159471=VERTEX_POINT('',#523402); #159472=VERTEX_POINT('',#523406); #159473=VERTEX_POINT('',#523408); #159474=VERTEX_POINT('',#523412); #159475=VERTEX_POINT('',#523414); #159476=VERTEX_POINT('',#523418); #159477=VERTEX_POINT('',#523420); #159478=VERTEX_POINT('',#523424); #159479=VERTEX_POINT('',#523426); #159480=VERTEX_POINT('',#523430); #159481=VERTEX_POINT('',#523432); #159482=VERTEX_POINT('',#523436); #159483=VERTEX_POINT('',#523438); #159484=VERTEX_POINT('',#523442); #159485=VERTEX_POINT('',#523444); #159486=VERTEX_POINT('',#523448); #159487=VERTEX_POINT('',#523450); #159488=VERTEX_POINT('',#523454); #159489=VERTEX_POINT('',#523456); #159490=VERTEX_POINT('',#523460); #159491=VERTEX_POINT('',#523462); #159492=VERTEX_POINT('',#523466); #159493=VERTEX_POINT('',#523468); #159494=VERTEX_POINT('',#523472); #159495=VERTEX_POINT('',#523474); #159496=VERTEX_POINT('',#523481); #159497=VERTEX_POINT('',#523482); #159498=VERTEX_POINT('',#523484); #159499=VERTEX_POINT('',#523486); #159500=VERTEX_POINT('',#523490); #159501=VERTEX_POINT('',#523492); #159502=VERTEX_POINT('',#523496); #159503=VERTEX_POINT('',#523498); #159504=VERTEX_POINT('',#523502); #159505=VERTEX_POINT('',#523504); #159506=VERTEX_POINT('',#523508); #159507=VERTEX_POINT('',#523510); #159508=VERTEX_POINT('',#523514); #159509=VERTEX_POINT('',#523516); #159510=VERTEX_POINT('',#523520); #159511=VERTEX_POINT('',#523522); #159512=VERTEX_POINT('',#523529); #159513=VERTEX_POINT('',#523530); #159514=VERTEX_POINT('',#523532); #159515=VERTEX_POINT('',#523534); #159516=VERTEX_POINT('',#523538); #159517=VERTEX_POINT('',#523540); #159518=VERTEX_POINT('',#523544); #159519=VERTEX_POINT('',#523546); #159520=VERTEX_POINT('',#523550); #159521=VERTEX_POINT('',#523552); #159522=VERTEX_POINT('',#523556); #159523=VERTEX_POINT('',#523558); #159524=VERTEX_POINT('',#523562); #159525=VERTEX_POINT('',#523564); #159526=VERTEX_POINT('',#523568); #159527=VERTEX_POINT('',#523570); #159528=VERTEX_POINT('',#523574); #159529=VERTEX_POINT('',#523576); #159530=VERTEX_POINT('',#523580); #159531=VERTEX_POINT('',#523582); #159532=VERTEX_POINT('',#523586); #159533=VERTEX_POINT('',#523588); #159534=VERTEX_POINT('',#523592); #159535=VERTEX_POINT('',#523594); #159536=VERTEX_POINT('',#523598); #159537=VERTEX_POINT('',#523600); #159538=VERTEX_POINT('',#523604); #159539=VERTEX_POINT('',#523606); #159540=VERTEX_POINT('',#523610); #159541=VERTEX_POINT('',#523612); #159542=VERTEX_POINT('',#523616); #159543=VERTEX_POINT('',#523618); #159544=VERTEX_POINT('',#523622); #159545=VERTEX_POINT('',#523624); #159546=VERTEX_POINT('',#523628); #159547=VERTEX_POINT('',#523630); #159548=VERTEX_POINT('',#523634); #159549=VERTEX_POINT('',#523636); #159550=VERTEX_POINT('',#523640); #159551=VERTEX_POINT('',#523642); #159552=VERTEX_POINT('',#523646); #159553=VERTEX_POINT('',#523648); #159554=VERTEX_POINT('',#523652); #159555=VERTEX_POINT('',#523654); #159556=VERTEX_POINT('',#523658); #159557=VERTEX_POINT('',#523660); #159558=VERTEX_POINT('',#523664); #159559=VERTEX_POINT('',#523666); #159560=VERTEX_POINT('',#523670); #159561=VERTEX_POINT('',#523672); #159562=VERTEX_POINT('',#523676); #159563=VERTEX_POINT('',#523678); #159564=VERTEX_POINT('',#523682); #159565=VERTEX_POINT('',#523684); #159566=VERTEX_POINT('',#523688); #159567=VERTEX_POINT('',#523690); #159568=VERTEX_POINT('',#523694); #159569=VERTEX_POINT('',#523696); #159570=VERTEX_POINT('',#523700); #159571=VERTEX_POINT('',#523702); #159572=VERTEX_POINT('',#523706); #159573=VERTEX_POINT('',#523708); #159574=VERTEX_POINT('',#523712); #159575=VERTEX_POINT('',#523714); #159576=VERTEX_POINT('',#523718); #159577=VERTEX_POINT('',#523720); #159578=VERTEX_POINT('',#523724); #159579=VERTEX_POINT('',#523726); #159580=VERTEX_POINT('',#523730); #159581=VERTEX_POINT('',#523732); #159582=VERTEX_POINT('',#523736); #159583=VERTEX_POINT('',#523738); #159584=VERTEX_POINT('',#523742); #159585=VERTEX_POINT('',#523744); #159586=VERTEX_POINT('',#523748); #159587=VERTEX_POINT('',#523750); #159588=VERTEX_POINT('',#523754); #159589=VERTEX_POINT('',#523756); #159590=VERTEX_POINT('',#523760); #159591=VERTEX_POINT('',#523762); #159592=VERTEX_POINT('',#523766); #159593=VERTEX_POINT('',#523768); #159594=VERTEX_POINT('',#523772); #159595=VERTEX_POINT('',#523774); #159596=VERTEX_POINT('',#523778); #159597=VERTEX_POINT('',#523780); #159598=VERTEX_POINT('',#523784); #159599=VERTEX_POINT('',#523786); #159600=VERTEX_POINT('',#523790); #159601=VERTEX_POINT('',#523792); #159602=VERTEX_POINT('',#523796); #159603=VERTEX_POINT('',#523798); #159604=VERTEX_POINT('',#523802); #159605=VERTEX_POINT('',#523804); #159606=VERTEX_POINT('',#523808); #159607=VERTEX_POINT('',#523810); #159608=VERTEX_POINT('',#523814); #159609=VERTEX_POINT('',#523816); #159610=VERTEX_POINT('',#523820); #159611=VERTEX_POINT('',#523822); #159612=VERTEX_POINT('',#523826); #159613=VERTEX_POINT('',#523828); #159614=VERTEX_POINT('',#523832); #159615=VERTEX_POINT('',#523834); #159616=VERTEX_POINT('',#523838); #159617=VERTEX_POINT('',#523840); #159618=VERTEX_POINT('',#523844); #159619=VERTEX_POINT('',#523846); #159620=VERTEX_POINT('',#523850); #159621=VERTEX_POINT('',#523852); #159622=VERTEX_POINT('',#523856); #159623=VERTEX_POINT('',#523858); #159624=VERTEX_POINT('',#523862); #159625=VERTEX_POINT('',#523864); #159626=VERTEX_POINT('',#523868); #159627=VERTEX_POINT('',#523870); #159628=VERTEX_POINT('',#523874); #159629=VERTEX_POINT('',#523876); #159630=VERTEX_POINT('',#523880); #159631=VERTEX_POINT('',#523882); #159632=VERTEX_POINT('',#523886); #159633=VERTEX_POINT('',#523888); #159634=VERTEX_POINT('',#523892); #159635=VERTEX_POINT('',#523894); #159636=VERTEX_POINT('',#523898); #159637=VERTEX_POINT('',#523900); #159638=VERTEX_POINT('',#523904); #159639=VERTEX_POINT('',#523906); #159640=VERTEX_POINT('',#523910); #159641=VERTEX_POINT('',#523912); #159642=VERTEX_POINT('',#523916); #159643=VERTEX_POINT('',#523918); #159644=VERTEX_POINT('',#523922); #159645=VERTEX_POINT('',#523924); #159646=VERTEX_POINT('',#523928); #159647=VERTEX_POINT('',#523930); #159648=VERTEX_POINT('',#523934); #159649=VERTEX_POINT('',#523936); #159650=VERTEX_POINT('',#523940); #159651=VERTEX_POINT('',#523942); #159652=VERTEX_POINT('',#523946); #159653=VERTEX_POINT('',#523948); #159654=VERTEX_POINT('',#523952); #159655=VERTEX_POINT('',#523954); #159656=VERTEX_POINT('',#523958); #159657=VERTEX_POINT('',#523960); #159658=VERTEX_POINT('',#523964); #159659=VERTEX_POINT('',#523966); #159660=VERTEX_POINT('',#523970); #159661=VERTEX_POINT('',#523972); #159662=VERTEX_POINT('',#523976); #159663=VERTEX_POINT('',#523978); #159664=VERTEX_POINT('',#523982); #159665=VERTEX_POINT('',#523984); #159666=VERTEX_POINT('',#523988); #159667=VERTEX_POINT('',#523990); #159668=VERTEX_POINT('',#523994); #159669=VERTEX_POINT('',#523996); #159670=VERTEX_POINT('',#524000); #159671=VERTEX_POINT('',#524002); #159672=VERTEX_POINT('',#524006); #159673=VERTEX_POINT('',#524008); #159674=VERTEX_POINT('',#524012); #159675=VERTEX_POINT('',#524014); #159676=VERTEX_POINT('',#524018); #159677=VERTEX_POINT('',#524020); #159678=VERTEX_POINT('',#524024); #159679=VERTEX_POINT('',#524026); #159680=VERTEX_POINT('',#524030); #159681=VERTEX_POINT('',#524032); #159682=VERTEX_POINT('',#524036); #159683=VERTEX_POINT('',#524038); #159684=VERTEX_POINT('',#524042); #159685=VERTEX_POINT('',#524044); #159686=VERTEX_POINT('',#524048); #159687=VERTEX_POINT('',#524050); #159688=VERTEX_POINT('',#524054); #159689=VERTEX_POINT('',#524056); #159690=VERTEX_POINT('',#524060); #159691=VERTEX_POINT('',#524062); #159692=VERTEX_POINT('',#524066); #159693=VERTEX_POINT('',#524068); #159694=VERTEX_POINT('',#524072); #159695=VERTEX_POINT('',#524074); #159696=VERTEX_POINT('',#524078); #159697=VERTEX_POINT('',#524080); #159698=VERTEX_POINT('',#524084); #159699=VERTEX_POINT('',#524086); #159700=VERTEX_POINT('',#524090); #159701=VERTEX_POINT('',#524092); #159702=VERTEX_POINT('',#524096); #159703=VERTEX_POINT('',#524098); #159704=VERTEX_POINT('',#524102); #159705=VERTEX_POINT('',#524104); #159706=VERTEX_POINT('',#524108); #159707=VERTEX_POINT('',#524110); #159708=VERTEX_POINT('',#524114); #159709=VERTEX_POINT('',#524116); #159710=VERTEX_POINT('',#524120); #159711=VERTEX_POINT('',#524122); #159712=VERTEX_POINT('',#524126); #159713=VERTEX_POINT('',#524128); #159714=VERTEX_POINT('',#524132); #159715=VERTEX_POINT('',#524134); #159716=VERTEX_POINT('',#524138); #159717=VERTEX_POINT('',#524140); #159718=VERTEX_POINT('',#524144); #159719=VERTEX_POINT('',#524146); #159720=VERTEX_POINT('',#524150); #159721=VERTEX_POINT('',#524152); #159722=VERTEX_POINT('',#524156); #159723=VERTEX_POINT('',#524158); #159724=VERTEX_POINT('',#524162); #159725=VERTEX_POINT('',#524164); #159726=VERTEX_POINT('',#524168); #159727=VERTEX_POINT('',#524170); #159728=VERTEX_POINT('',#524174); #159729=VERTEX_POINT('',#524176); #159730=VERTEX_POINT('',#524180); #159731=VERTEX_POINT('',#524182); #159732=VERTEX_POINT('',#524186); #159733=VERTEX_POINT('',#524188); #159734=VERTEX_POINT('',#524192); #159735=VERTEX_POINT('',#524194); #159736=VERTEX_POINT('',#524198); #159737=VERTEX_POINT('',#524200); #159738=VERTEX_POINT('',#524204); #159739=VERTEX_POINT('',#524206); #159740=VERTEX_POINT('',#524210); #159741=VERTEX_POINT('',#524212); #159742=VERTEX_POINT('',#524216); #159743=VERTEX_POINT('',#524218); #159744=VERTEX_POINT('',#524222); #159745=VERTEX_POINT('',#524224); #159746=VERTEX_POINT('',#524228); #159747=VERTEX_POINT('',#524230); #159748=VERTEX_POINT('',#524234); #159749=VERTEX_POINT('',#524236); #159750=VERTEX_POINT('',#524240); #159751=VERTEX_POINT('',#524242); #159752=VERTEX_POINT('',#524251); #159753=VERTEX_POINT('',#524252); #159754=VERTEX_POINT('',#524254); #159755=VERTEX_POINT('',#524256); #159756=VERTEX_POINT('',#524260); #159757=VERTEX_POINT('',#524262); #159758=VERTEX_POINT('',#524266); #159759=VERTEX_POINT('',#524268); #159760=VERTEX_POINT('',#524272); #159761=VERTEX_POINT('',#524274); #159762=VERTEX_POINT('',#524278); #159763=VERTEX_POINT('',#524280); #159764=VERTEX_POINT('',#524284); #159765=VERTEX_POINT('',#524286); #159766=VERTEX_POINT('',#524290); #159767=VERTEX_POINT('',#524292); #159768=VERTEX_POINT('',#524296); #159769=VERTEX_POINT('',#524298); #159770=VERTEX_POINT('',#524302); #159771=VERTEX_POINT('',#524304); #159772=VERTEX_POINT('',#524308); #159773=VERTEX_POINT('',#524310); #159774=VERTEX_POINT('',#524314); #159775=VERTEX_POINT('',#524316); #159776=VERTEX_POINT('',#524320); #159777=VERTEX_POINT('',#524322); #159778=VERTEX_POINT('',#524326); #159779=VERTEX_POINT('',#524328); #159780=VERTEX_POINT('',#524332); #159781=VERTEX_POINT('',#524334); #159782=VERTEX_POINT('',#524338); #159783=VERTEX_POINT('',#524340); #159784=VERTEX_POINT('',#524344); #159785=VERTEX_POINT('',#524346); #159786=VERTEX_POINT('',#524350); #159787=VERTEX_POINT('',#524352); #159788=VERTEX_POINT('',#524356); #159789=VERTEX_POINT('',#524358); #159790=VERTEX_POINT('',#524362); #159791=VERTEX_POINT('',#524364); #159792=VERTEX_POINT('',#524368); #159793=VERTEX_POINT('',#524370); #159794=VERTEX_POINT('',#524379); #159795=VERTEX_POINT('',#524380); #159796=VERTEX_POINT('',#524382); #159797=VERTEX_POINT('',#524384); #159798=VERTEX_POINT('',#524388); #159799=VERTEX_POINT('',#524390); #159800=VERTEX_POINT('',#524394); #159801=VERTEX_POINT('',#524396); #159802=VERTEX_POINT('',#524400); #159803=VERTEX_POINT('',#524402); #159804=VERTEX_POINT('',#524406); #159805=VERTEX_POINT('',#524408); #159806=VERTEX_POINT('',#524412); #159807=VERTEX_POINT('',#524414); #159808=VERTEX_POINT('',#524418); #159809=VERTEX_POINT('',#524420); #159810=VERTEX_POINT('',#524424); #159811=VERTEX_POINT('',#524426); #159812=VERTEX_POINT('',#524430); #159813=VERTEX_POINT('',#524432); #159814=VERTEX_POINT('',#524436); #159815=VERTEX_POINT('',#524438); #159816=VERTEX_POINT('',#524442); #159817=VERTEX_POINT('',#524444); #159818=VERTEX_POINT('',#524448); #159819=VERTEX_POINT('',#524450); #159820=VERTEX_POINT('',#524454); #159821=VERTEX_POINT('',#524456); #159822=VERTEX_POINT('',#524460); #159823=VERTEX_POINT('',#524462); #159824=VERTEX_POINT('',#524466); #159825=VERTEX_POINT('',#524468); #159826=VERTEX_POINT('',#524472); #159827=VERTEX_POINT('',#524474); #159828=VERTEX_POINT('',#524478); #159829=VERTEX_POINT('',#524480); #159830=VERTEX_POINT('',#524484); #159831=VERTEX_POINT('',#524486); #159832=VERTEX_POINT('',#524490); #159833=VERTEX_POINT('',#524492); #159834=VERTEX_POINT('',#524496); #159835=VERTEX_POINT('',#524498); #159836=VERTEX_POINT('',#524502); #159837=VERTEX_POINT('',#524504); #159838=VERTEX_POINT('',#524508); #159839=VERTEX_POINT('',#524510); #159840=VERTEX_POINT('',#524514); #159841=VERTEX_POINT('',#524516); #159842=VERTEX_POINT('',#524520); #159843=VERTEX_POINT('',#524522); #159844=VERTEX_POINT('',#524526); #159845=VERTEX_POINT('',#524528); #159846=VERTEX_POINT('',#524537); #159847=VERTEX_POINT('',#524538); #159848=VERTEX_POINT('',#524540); #159849=VERTEX_POINT('',#524542); #159850=VERTEX_POINT('',#524546); #159851=VERTEX_POINT('',#524548); #159852=VERTEX_POINT('',#524552); #159853=VERTEX_POINT('',#524554); #159854=VERTEX_POINT('',#524558); #159855=VERTEX_POINT('',#524560); #159856=VERTEX_POINT('',#524564); #159857=VERTEX_POINT('',#524566); #159858=VERTEX_POINT('',#524570); #159859=VERTEX_POINT('',#524572); #159860=VERTEX_POINT('',#524576); #159861=VERTEX_POINT('',#524578); #159862=VERTEX_POINT('',#524582); #159863=VERTEX_POINT('',#524584); #159864=VERTEX_POINT('',#524588); #159865=VERTEX_POINT('',#524590); #159866=VERTEX_POINT('',#524594); #159867=VERTEX_POINT('',#524596); #159868=VERTEX_POINT('',#524600); #159869=VERTEX_POINT('',#524602); #159870=VERTEX_POINT('',#524606); #159871=VERTEX_POINT('',#524608); #159872=VERTEX_POINT('',#524612); #159873=VERTEX_POINT('',#524614); #159874=VERTEX_POINT('',#524618); #159875=VERTEX_POINT('',#524620); #159876=VERTEX_POINT('',#524624); #159877=VERTEX_POINT('',#524626); #159878=VERTEX_POINT('',#524630); #159879=VERTEX_POINT('',#524632); #159880=VERTEX_POINT('',#524636); #159881=VERTEX_POINT('',#524638); #159882=VERTEX_POINT('',#524642); #159883=VERTEX_POINT('',#524644); #159884=VERTEX_POINT('',#524648); #159885=VERTEX_POINT('',#524650); #159886=VERTEX_POINT('',#524659); #159887=VERTEX_POINT('',#524660); #159888=VERTEX_POINT('',#524662); #159889=VERTEX_POINT('',#524664); #159890=VERTEX_POINT('',#524668); #159891=VERTEX_POINT('',#524670); #159892=VERTEX_POINT('',#524674); #159893=VERTEX_POINT('',#524676); #159894=VERTEX_POINT('',#524680); #159895=VERTEX_POINT('',#524682); #159896=VERTEX_POINT('',#524686); #159897=VERTEX_POINT('',#524688); #159898=VERTEX_POINT('',#524692); #159899=VERTEX_POINT('',#524694); #159900=VERTEX_POINT('',#524698); #159901=VERTEX_POINT('',#524700); #159902=VERTEX_POINT('',#524704); #159903=VERTEX_POINT('',#524706); #159904=VERTEX_POINT('',#524710); #159905=VERTEX_POINT('',#524712); #159906=VERTEX_POINT('',#524716); #159907=VERTEX_POINT('',#524718); #159908=VERTEX_POINT('',#524722); #159909=VERTEX_POINT('',#524724); #159910=VERTEX_POINT('',#524728); #159911=VERTEX_POINT('',#524730); #159912=VERTEX_POINT('',#524734); #159913=VERTEX_POINT('',#524736); #159914=VERTEX_POINT('',#524740); #159915=VERTEX_POINT('',#524742); #159916=VERTEX_POINT('',#524746); #159917=VERTEX_POINT('',#524748); #159918=VERTEX_POINT('',#524752); #159919=VERTEX_POINT('',#524754); #159920=VERTEX_POINT('',#524758); #159921=VERTEX_POINT('',#524760); #159922=VERTEX_POINT('',#524764); #159923=VERTEX_POINT('',#524766); #159924=VERTEX_POINT('',#524770); #159925=VERTEX_POINT('',#524772); #159926=VERTEX_POINT('',#524776); #159927=VERTEX_POINT('',#524778); #159928=VERTEX_POINT('',#524782); #159929=VERTEX_POINT('',#524784); #159930=VERTEX_POINT('',#524788); #159931=VERTEX_POINT('',#524790); #159932=VERTEX_POINT('',#524799); #159933=VERTEX_POINT('',#524800); #159934=VERTEX_POINT('',#524802); #159935=VERTEX_POINT('',#524804); #159936=VERTEX_POINT('',#524808); #159937=VERTEX_POINT('',#524810); #159938=VERTEX_POINT('',#524814); #159939=VERTEX_POINT('',#524816); #159940=VERTEX_POINT('',#524820); #159941=VERTEX_POINT('',#524822); #159942=VERTEX_POINT('',#524826); #159943=VERTEX_POINT('',#524828); #159944=VERTEX_POINT('',#524832); #159945=VERTEX_POINT('',#524834); #159946=VERTEX_POINT('',#524838); #159947=VERTEX_POINT('',#524840); #159948=VERTEX_POINT('',#524844); #159949=VERTEX_POINT('',#524846); #159950=VERTEX_POINT('',#524850); #159951=VERTEX_POINT('',#524852); #159952=VERTEX_POINT('',#524856); #159953=VERTEX_POINT('',#524858); #159954=VERTEX_POINT('',#524862); #159955=VERTEX_POINT('',#524864); #159956=VERTEX_POINT('',#524868); #159957=VERTEX_POINT('',#524870); #159958=VERTEX_POINT('',#524874); #159959=VERTEX_POINT('',#524876); #159960=VERTEX_POINT('',#524880); #159961=VERTEX_POINT('',#524882); #159962=VERTEX_POINT('',#524886); #159963=VERTEX_POINT('',#524888); #159964=VERTEX_POINT('',#524892); #159965=VERTEX_POINT('',#524894); #159966=VERTEX_POINT('',#524898); #159967=VERTEX_POINT('',#524900); #159968=VERTEX_POINT('',#524904); #159969=VERTEX_POINT('',#524906); #159970=VERTEX_POINT('',#524910); #159971=VERTEX_POINT('',#524912); #159972=VERTEX_POINT('',#524916); #159973=VERTEX_POINT('',#524918); #159974=VERTEX_POINT('',#524927); #159975=VERTEX_POINT('',#524928); #159976=VERTEX_POINT('',#524930); #159977=VERTEX_POINT('',#524932); #159978=VERTEX_POINT('',#524936); #159979=VERTEX_POINT('',#524938); #159980=VERTEX_POINT('',#524942); #159981=VERTEX_POINT('',#524944); #159982=VERTEX_POINT('',#524948); #159983=VERTEX_POINT('',#524950); #159984=VERTEX_POINT('',#524954); #159985=VERTEX_POINT('',#524956); #159986=VERTEX_POINT('',#524960); #159987=VERTEX_POINT('',#524962); #159988=VERTEX_POINT('',#524966); #159989=VERTEX_POINT('',#524968); #159990=VERTEX_POINT('',#524972); #159991=VERTEX_POINT('',#524974); #159992=VERTEX_POINT('',#524978); #159993=VERTEX_POINT('',#524980); #159994=VERTEX_POINT('',#524984); #159995=VERTEX_POINT('',#524986); #159996=VERTEX_POINT('',#524990); #159997=VERTEX_POINT('',#524992); #159998=VERTEX_POINT('',#524996); #159999=VERTEX_POINT('',#524998); #160000=VERTEX_POINT('',#525002); #160001=VERTEX_POINT('',#525004); #160002=VERTEX_POINT('',#525008); #160003=VERTEX_POINT('',#525010); #160004=VERTEX_POINT('',#525014); #160005=VERTEX_POINT('',#525016); #160006=VERTEX_POINT('',#525020); #160007=VERTEX_POINT('',#525022); #160008=VERTEX_POINT('',#525026); #160009=VERTEX_POINT('',#525028); #160010=VERTEX_POINT('',#525032); #160011=VERTEX_POINT('',#525034); #160012=VERTEX_POINT('',#525038); #160013=VERTEX_POINT('',#525040); #160014=VERTEX_POINT('',#525044); #160015=VERTEX_POINT('',#525046); #160016=VERTEX_POINT('',#525050); #160017=VERTEX_POINT('',#525052); #160018=VERTEX_POINT('',#525056); #160019=VERTEX_POINT('',#525058); #160020=VERTEX_POINT('',#525062); #160021=VERTEX_POINT('',#525064); #160022=VERTEX_POINT('',#525068); #160023=VERTEX_POINT('',#525070); #160024=VERTEX_POINT('',#525074); #160025=VERTEX_POINT('',#525076); #160026=VERTEX_POINT('',#525080); #160027=VERTEX_POINT('',#525082); #160028=VERTEX_POINT('',#525086); #160029=VERTEX_POINT('',#525088); #160030=VERTEX_POINT('',#525092); #160031=VERTEX_POINT('',#525094); #160032=VERTEX_POINT('',#525098); #160033=VERTEX_POINT('',#525100); #160034=VERTEX_POINT('',#525109); #160035=VERTEX_POINT('',#525110); #160036=VERTEX_POINT('',#525112); #160037=VERTEX_POINT('',#525114); #160038=VERTEX_POINT('',#525118); #160039=VERTEX_POINT('',#525120); #160040=VERTEX_POINT('',#525124); #160041=VERTEX_POINT('',#525126); #160042=VERTEX_POINT('',#525130); #160043=VERTEX_POINT('',#525132); #160044=VERTEX_POINT('',#525136); #160045=VERTEX_POINT('',#525138); #160046=VERTEX_POINT('',#525142); #160047=VERTEX_POINT('',#525144); #160048=VERTEX_POINT('',#525148); #160049=VERTEX_POINT('',#525150); #160050=VERTEX_POINT('',#525154); #160051=VERTEX_POINT('',#525156); #160052=VERTEX_POINT('',#525160); #160053=VERTEX_POINT('',#525162); #160054=VERTEX_POINT('',#525166); #160055=VERTEX_POINT('',#525168); #160056=VERTEX_POINT('',#525172); #160057=VERTEX_POINT('',#525174); #160058=VERTEX_POINT('',#525178); #160059=VERTEX_POINT('',#525180); #160060=VERTEX_POINT('',#525184); #160061=VERTEX_POINT('',#525186); #160062=VERTEX_POINT('',#525190); #160063=VERTEX_POINT('',#525192); #160064=VERTEX_POINT('',#525196); #160065=VERTEX_POINT('',#525198); #160066=VERTEX_POINT('',#525202); #160067=VERTEX_POINT('',#525204); #160068=VERTEX_POINT('',#525208); #160069=VERTEX_POINT('',#525210); #160070=VERTEX_POINT('',#525214); #160071=VERTEX_POINT('',#525216); #160072=VERTEX_POINT('',#525220); #160073=VERTEX_POINT('',#525222); #160074=VERTEX_POINT('',#525231); #160075=VERTEX_POINT('',#525232); #160076=VERTEX_POINT('',#525234); #160077=VERTEX_POINT('',#525236); #160078=VERTEX_POINT('',#525240); #160079=VERTEX_POINT('',#525242); #160080=VERTEX_POINT('',#525246); #160081=VERTEX_POINT('',#525248); #160082=VERTEX_POINT('',#525252); #160083=VERTEX_POINT('',#525254); #160084=VERTEX_POINT('',#525258); #160085=VERTEX_POINT('',#525260); #160086=VERTEX_POINT('',#525264); #160087=VERTEX_POINT('',#525266); #160088=VERTEX_POINT('',#525270); #160089=VERTEX_POINT('',#525272); #160090=VERTEX_POINT('',#525276); #160091=VERTEX_POINT('',#525278); #160092=VERTEX_POINT('',#525282); #160093=VERTEX_POINT('',#525284); #160094=VERTEX_POINT('',#525288); #160095=VERTEX_POINT('',#525290); #160096=VERTEX_POINT('',#525294); #160097=VERTEX_POINT('',#525296); #160098=VERTEX_POINT('',#525300); #160099=VERTEX_POINT('',#525302); #160100=VERTEX_POINT('',#525306); #160101=VERTEX_POINT('',#525308); #160102=VERTEX_POINT('',#525312); #160103=VERTEX_POINT('',#525314); #160104=VERTEX_POINT('',#525318); #160105=VERTEX_POINT('',#525320); #160106=VERTEX_POINT('',#525324); #160107=VERTEX_POINT('',#525326); #160108=VERTEX_POINT('',#525330); #160109=VERTEX_POINT('',#525332); #160110=VERTEX_POINT('',#525336); #160111=VERTEX_POINT('',#525338); #160112=VERTEX_POINT('',#525342); #160113=VERTEX_POINT('',#525344); #160114=VERTEX_POINT('',#525348); #160115=VERTEX_POINT('',#525350); #160116=VERTEX_POINT('',#525354); #160117=VERTEX_POINT('',#525356); #160118=VERTEX_POINT('',#525360); #160119=VERTEX_POINT('',#525362); #160120=VERTEX_POINT('',#525366); #160121=VERTEX_POINT('',#525368); #160122=VERTEX_POINT('',#525372); #160123=VERTEX_POINT('',#525374); #160124=VERTEX_POINT('',#525378); #160125=VERTEX_POINT('',#525380); #160126=VERTEX_POINT('',#525384); #160127=VERTEX_POINT('',#525386); #160128=VERTEX_POINT('',#525390); #160129=VERTEX_POINT('',#525392); #160130=VERTEX_POINT('',#525396); #160131=VERTEX_POINT('',#525398); #160132=VERTEX_POINT('',#525402); #160133=VERTEX_POINT('',#525404); #160134=VERTEX_POINT('',#525408); #160135=VERTEX_POINT('',#525410); #160136=VERTEX_POINT('',#525414); #160137=VERTEX_POINT('',#525416); #160138=VERTEX_POINT('',#525420); #160139=VERTEX_POINT('',#525422); #160140=VERTEX_POINT('',#525431); #160141=VERTEX_POINT('',#525433); #160142=VERTEX_POINT('',#525437); #160143=VERTEX_POINT('',#525439); #160144=VERTEX_POINT('',#525443); #160145=VERTEX_POINT('',#525445); #160146=VERTEX_POINT('',#525449); #160147=VERTEX_POINT('',#525450); #160148=VERTEX_POINT('',#525452); #160149=VERTEX_POINT('',#525454); #160150=VERTEX_POINT('',#525458); #160151=VERTEX_POINT('',#525460); #160152=VERTEX_POINT('',#525464); #160153=VERTEX_POINT('',#525466); #160154=VERTEX_POINT('',#525470); #160155=VERTEX_POINT('',#525472); #160156=VERTEX_POINT('',#525476); #160157=VERTEX_POINT('',#525478); #160158=VERTEX_POINT('',#525482); #160159=VERTEX_POINT('',#525484); #160160=VERTEX_POINT('',#525488); #160161=VERTEX_POINT('',#525490); #160162=VERTEX_POINT('',#525494); #160163=VERTEX_POINT('',#525496); #160164=VERTEX_POINT('',#525500); #160165=VERTEX_POINT('',#525502); #160166=VERTEX_POINT('',#525506); #160167=VERTEX_POINT('',#525508); #160168=VERTEX_POINT('',#525512); #160169=VERTEX_POINT('',#525514); #160170=VERTEX_POINT('',#525518); #160171=VERTEX_POINT('',#525520); #160172=VERTEX_POINT('',#525524); #160173=VERTEX_POINT('',#525526); #160174=VERTEX_POINT('',#525530); #160175=VERTEX_POINT('',#525532); #160176=VERTEX_POINT('',#525536); #160177=VERTEX_POINT('',#525538); #160178=VERTEX_POINT('',#525542); #160179=VERTEX_POINT('',#525544); #160180=VERTEX_POINT('',#525548); #160181=VERTEX_POINT('',#525550); #160182=VERTEX_POINT('',#525554); #160183=VERTEX_POINT('',#525556); #160184=VERTEX_POINT('',#525560); #160185=VERTEX_POINT('',#525562); #160186=VERTEX_POINT('',#525566); #160187=VERTEX_POINT('',#525568); #160188=VERTEX_POINT('',#525572); #160189=VERTEX_POINT('',#525574); #160190=VERTEX_POINT('',#525578); #160191=VERTEX_POINT('',#525580); #160192=VERTEX_POINT('',#525584); #160193=VERTEX_POINT('',#525586); #160194=VERTEX_POINT('',#525590); #160195=VERTEX_POINT('',#525592); #160196=VERTEX_POINT('',#525596); #160197=VERTEX_POINT('',#525598); #160198=VERTEX_POINT('',#525602); #160199=VERTEX_POINT('',#525604); #160200=VERTEX_POINT('',#525608); #160201=VERTEX_POINT('',#525610); #160202=VERTEX_POINT('',#525614); #160203=VERTEX_POINT('',#525616); #160204=VERTEX_POINT('',#525620); #160205=VERTEX_POINT('',#525622); #160206=VERTEX_POINT('',#525626); #160207=VERTEX_POINT('',#525628); #160208=VERTEX_POINT('',#525632); #160209=VERTEX_POINT('',#525634); #160210=VERTEX_POINT('',#525638); #160211=VERTEX_POINT('',#525640); #160212=VERTEX_POINT('',#525644); #160213=VERTEX_POINT('',#525646); #160214=VERTEX_POINT('',#525650); #160215=VERTEX_POINT('',#525652); #160216=VERTEX_POINT('',#525656); #160217=VERTEX_POINT('',#525658); #160218=VERTEX_POINT('',#525662); #160219=VERTEX_POINT('',#525664); #160220=VERTEX_POINT('',#525668); #160221=VERTEX_POINT('',#525670); #160222=VERTEX_POINT('',#525674); #160223=VERTEX_POINT('',#525676); #160224=VERTEX_POINT('',#525680); #160225=VERTEX_POINT('',#525682); #160226=VERTEX_POINT('',#525686); #160227=VERTEX_POINT('',#525688); #160228=VERTEX_POINT('',#525692); #160229=VERTEX_POINT('',#525694); #160230=VERTEX_POINT('',#525698); #160231=VERTEX_POINT('',#525700); #160232=VERTEX_POINT('',#525704); #160233=VERTEX_POINT('',#525706); #160234=VERTEX_POINT('',#525710); #160235=VERTEX_POINT('',#525712); #160236=VERTEX_POINT('',#525716); #160237=VERTEX_POINT('',#525718); #160238=VERTEX_POINT('',#525722); #160239=VERTEX_POINT('',#525724); #160240=VERTEX_POINT('',#525728); #160241=VERTEX_POINT('',#525730); #160242=VERTEX_POINT('',#525734); #160243=VERTEX_POINT('',#525736); #160244=VERTEX_POINT('',#525740); #160245=VERTEX_POINT('',#525742); #160246=VERTEX_POINT('',#525746); #160247=VERTEX_POINT('',#525748); #160248=VERTEX_POINT('',#525752); #160249=VERTEX_POINT('',#525754); #160250=VERTEX_POINT('',#525758); #160251=VERTEX_POINT('',#525760); #160252=VERTEX_POINT('',#525764); #160253=VERTEX_POINT('',#525766); #160254=VERTEX_POINT('',#525770); #160255=VERTEX_POINT('',#525772); #160256=VERTEX_POINT('',#525776); #160257=VERTEX_POINT('',#525778); #160258=VERTEX_POINT('',#525782); #160259=VERTEX_POINT('',#525784); #160260=VERTEX_POINT('',#525788); #160261=VERTEX_POINT('',#525790); #160262=VERTEX_POINT('',#525794); #160263=VERTEX_POINT('',#525796); #160264=VERTEX_POINT('',#525800); #160265=VERTEX_POINT('',#525802); #160266=VERTEX_POINT('',#525806); #160267=VERTEX_POINT('',#525808); #160268=VERTEX_POINT('',#525812); #160269=VERTEX_POINT('',#525814); #160270=VERTEX_POINT('',#525818); #160271=VERTEX_POINT('',#525820); #160272=VERTEX_POINT('',#525824); #160273=VERTEX_POINT('',#525826); #160274=VERTEX_POINT('',#525830); #160275=VERTEX_POINT('',#525832); #160276=VERTEX_POINT('',#525836); #160277=VERTEX_POINT('',#525838); #160278=VERTEX_POINT('',#525842); #160279=VERTEX_POINT('',#525844); #160280=VERTEX_POINT('',#525848); #160281=VERTEX_POINT('',#525850); #160282=VERTEX_POINT('',#525854); #160283=VERTEX_POINT('',#525856); #160284=VERTEX_POINT('',#525860); #160285=VERTEX_POINT('',#525862); #160286=VERTEX_POINT('',#525866); #160287=VERTEX_POINT('',#525868); #160288=VERTEX_POINT('',#525872); #160289=VERTEX_POINT('',#525874); #160290=VERTEX_POINT('',#525878); #160291=VERTEX_POINT('',#525880); #160292=VERTEX_POINT('',#525884); #160293=VERTEX_POINT('',#525886); #160294=VERTEX_POINT('',#525890); #160295=VERTEX_POINT('',#525892); #160296=VERTEX_POINT('',#525896); #160297=VERTEX_POINT('',#525898); #160298=VERTEX_POINT('',#525902); #160299=VERTEX_POINT('',#525904); #160300=VERTEX_POINT('',#525908); #160301=VERTEX_POINT('',#525910); #160302=VERTEX_POINT('',#525914); #160303=VERTEX_POINT('',#525916); #160304=VERTEX_POINT('',#525920); #160305=VERTEX_POINT('',#525922); #160306=VERTEX_POINT('',#525926); #160307=VERTEX_POINT('',#525928); #160308=VERTEX_POINT('',#525932); #160309=VERTEX_POINT('',#525934); #160310=VERTEX_POINT('',#525938); #160311=VERTEX_POINT('',#525940); #160312=VERTEX_POINT('',#525944); #160313=VERTEX_POINT('',#525946); #160314=VERTEX_POINT('',#525950); #160315=VERTEX_POINT('',#525952); #160316=VERTEX_POINT('',#525956); #160317=VERTEX_POINT('',#525958); #160318=VERTEX_POINT('',#525962); #160319=VERTEX_POINT('',#525964); #160320=VERTEX_POINT('',#525973); #160321=VERTEX_POINT('',#525974); #160322=VERTEX_POINT('',#525976); #160323=VERTEX_POINT('',#525978); #160324=VERTEX_POINT('',#525982); #160325=VERTEX_POINT('',#525984); #160326=VERTEX_POINT('',#525988); #160327=VERTEX_POINT('',#525990); #160328=VERTEX_POINT('',#525994); #160329=VERTEX_POINT('',#525996); #160330=VERTEX_POINT('',#526000); #160331=VERTEX_POINT('',#526002); #160332=VERTEX_POINT('',#526006); #160333=VERTEX_POINT('',#526008); #160334=VERTEX_POINT('',#526012); #160335=VERTEX_POINT('',#526014); #160336=VERTEX_POINT('',#526018); #160337=VERTEX_POINT('',#526020); #160338=VERTEX_POINT('',#526024); #160339=VERTEX_POINT('',#526026); #160340=VERTEX_POINT('',#526030); #160341=VERTEX_POINT('',#526032); #160342=VERTEX_POINT('',#526036); #160343=VERTEX_POINT('',#526038); #160344=VERTEX_POINT('',#526042); #160345=VERTEX_POINT('',#526044); #160346=VERTEX_POINT('',#526048); #160347=VERTEX_POINT('',#526050); #160348=VERTEX_POINT('',#526054); #160349=VERTEX_POINT('',#526056); #160350=VERTEX_POINT('',#526060); #160351=VERTEX_POINT('',#526062); #160352=VERTEX_POINT('',#526066); #160353=VERTEX_POINT('',#526068); #160354=VERTEX_POINT('',#526072); #160355=VERTEX_POINT('',#526074); #160356=VERTEX_POINT('',#526078); #160357=VERTEX_POINT('',#526080); #160358=VERTEX_POINT('',#526084); #160359=VERTEX_POINT('',#526086); #160360=VERTEX_POINT('',#526090); #160361=VERTEX_POINT('',#526092); #160362=VERTEX_POINT('',#526096); #160363=VERTEX_POINT('',#526098); #160364=VERTEX_POINT('',#526102); #160365=VERTEX_POINT('',#526104); #160366=VERTEX_POINT('',#526108); #160367=VERTEX_POINT('',#526110); #160368=VERTEX_POINT('',#526114); #160369=VERTEX_POINT('',#526116); #160370=VERTEX_POINT('',#526120); #160371=VERTEX_POINT('',#526122); #160372=VERTEX_POINT('',#526126); #160373=VERTEX_POINT('',#526128); #160374=VERTEX_POINT('',#526132); #160375=VERTEX_POINT('',#526134); #160376=VERTEX_POINT('',#526138); #160377=VERTEX_POINT('',#526140); #160378=VERTEX_POINT('',#526149); #160379=VERTEX_POINT('',#526150); #160380=VERTEX_POINT('',#526152); #160381=VERTEX_POINT('',#526154); #160382=VERTEX_POINT('',#526158); #160383=VERTEX_POINT('',#526160); #160384=VERTEX_POINT('',#526164); #160385=VERTEX_POINT('',#526166); #160386=VERTEX_POINT('',#526170); #160387=VERTEX_POINT('',#526172); #160388=VERTEX_POINT('',#526176); #160389=VERTEX_POINT('',#526178); #160390=VERTEX_POINT('',#526182); #160391=VERTEX_POINT('',#526184); #160392=VERTEX_POINT('',#526188); #160393=VERTEX_POINT('',#526190); #160394=VERTEX_POINT('',#526194); #160395=VERTEX_POINT('',#526196); #160396=VERTEX_POINT('',#526200); #160397=VERTEX_POINT('',#526202); #160398=VERTEX_POINT('',#526206); #160399=VERTEX_POINT('',#526208); #160400=VERTEX_POINT('',#526212); #160401=VERTEX_POINT('',#526214); #160402=VERTEX_POINT('',#526218); #160403=VERTEX_POINT('',#526220); #160404=VERTEX_POINT('',#526224); #160405=VERTEX_POINT('',#526226); #160406=VERTEX_POINT('',#526230); #160407=VERTEX_POINT('',#526232); #160408=VERTEX_POINT('',#526236); #160409=VERTEX_POINT('',#526238); #160410=VERTEX_POINT('',#526242); #160411=VERTEX_POINT('',#526244); #160412=VERTEX_POINT('',#526248); #160413=VERTEX_POINT('',#526250); #160414=VERTEX_POINT('',#526254); #160415=VERTEX_POINT('',#526256); #160416=VERTEX_POINT('',#526265); #160417=VERTEX_POINT('',#526267); #160418=VERTEX_POINT('',#526271); #160419=VERTEX_POINT('',#526273); #160420=VERTEX_POINT('',#526277); #160421=VERTEX_POINT('',#526279); #160422=VERTEX_POINT('',#526283); #160423=VERTEX_POINT('',#526285); #160424=VERTEX_POINT('',#526289); #160425=VERTEX_POINT('',#526291); #160426=VERTEX_POINT('',#526295); #160427=VERTEX_POINT('',#526297); #160428=VERTEX_POINT('',#526301); #160429=VERTEX_POINT('',#526303); #160430=VERTEX_POINT('',#526307); #160431=VERTEX_POINT('',#526309); #160432=VERTEX_POINT('',#526313); #160433=VERTEX_POINT('',#526315); #160434=VERTEX_POINT('',#526319); #160435=VERTEX_POINT('',#526321); #160436=VERTEX_POINT('',#526325); #160437=VERTEX_POINT('',#526327); #160438=VERTEX_POINT('',#526331); #160439=VERTEX_POINT('',#526333); #160440=VERTEX_POINT('',#526337); #160441=VERTEX_POINT('',#526339); #160442=VERTEX_POINT('',#526343); #160443=VERTEX_POINT('',#526345); #160444=VERTEX_POINT('',#526349); #160445=VERTEX_POINT('',#526351); #160446=VERTEX_POINT('',#526355); #160447=VERTEX_POINT('',#526357); #160448=VERTEX_POINT('',#526361); #160449=VERTEX_POINT('',#526363); #160450=VERTEX_POINT('',#526367); #160451=VERTEX_POINT('',#526369); #160452=VERTEX_POINT('',#526373); #160453=VERTEX_POINT('',#526375); #160454=VERTEX_POINT('',#526379); #160455=VERTEX_POINT('',#526381); #160456=VERTEX_POINT('',#526385); #160457=VERTEX_POINT('',#526387); #160458=VERTEX_POINT('',#526391); #160459=VERTEX_POINT('',#526393); #160460=VERTEX_POINT('',#526397); #160461=VERTEX_POINT('',#526399); #160462=VERTEX_POINT('',#526403); #160463=VERTEX_POINT('',#526405); #160464=VERTEX_POINT('',#526409); #160465=VERTEX_POINT('',#526411); #160466=VERTEX_POINT('',#526415); #160467=VERTEX_POINT('',#526417); #160468=VERTEX_POINT('',#526421); #160469=VERTEX_POINT('',#526423); #160470=VERTEX_POINT('',#526427); #160471=VERTEX_POINT('',#526429); #160472=VERTEX_POINT('',#526433); #160473=VERTEX_POINT('',#526435); #160474=VERTEX_POINT('',#526439); #160475=VERTEX_POINT('',#526441); #160476=VERTEX_POINT('',#526445); #160477=VERTEX_POINT('',#526447); #160478=VERTEX_POINT('',#526451); #160479=VERTEX_POINT('',#526453); #160480=VERTEX_POINT('',#526457); #160481=VERTEX_POINT('',#526459); #160482=VERTEX_POINT('',#526463); #160483=VERTEX_POINT('',#526465); #160484=VERTEX_POINT('',#526469); #160485=VERTEX_POINT('',#526471); #160486=VERTEX_POINT('',#526475); #160487=VERTEX_POINT('',#526477); #160488=VERTEX_POINT('',#526481); #160489=VERTEX_POINT('',#526483); #160490=VERTEX_POINT('',#526487); #160491=VERTEX_POINT('',#526489); #160492=VERTEX_POINT('',#526493); #160493=VERTEX_POINT('',#526495); #160494=VERTEX_POINT('',#526499); #160495=VERTEX_POINT('',#526501); #160496=VERTEX_POINT('',#526505); #160497=VERTEX_POINT('',#526507); #160498=VERTEX_POINT('',#526511); #160499=VERTEX_POINT('',#526513); #160500=VERTEX_POINT('',#526517); #160501=VERTEX_POINT('',#526519); #160502=VERTEX_POINT('',#526523); #160503=VERTEX_POINT('',#526525); #160504=VERTEX_POINT('',#526529); #160505=VERTEX_POINT('',#526531); #160506=VERTEX_POINT('',#526535); #160507=VERTEX_POINT('',#526537); #160508=VERTEX_POINT('',#526541); #160509=VERTEX_POINT('',#526543); #160510=VERTEX_POINT('',#526547); #160511=VERTEX_POINT('',#526549); #160512=VERTEX_POINT('',#526553); #160513=VERTEX_POINT('',#526555); #160514=VERTEX_POINT('',#526559); #160515=VERTEX_POINT('',#526560); #160516=VERTEX_POINT('',#526562); #160517=VERTEX_POINT('',#526564); #160518=VERTEX_POINT('',#526568); #160519=VERTEX_POINT('',#526570); #160520=VERTEX_POINT('',#526574); #160521=VERTEX_POINT('',#526576); #160522=VERTEX_POINT('',#526580); #160523=VERTEX_POINT('',#526582); #160524=VERTEX_POINT('',#526586); #160525=VERTEX_POINT('',#526588); #160526=VERTEX_POINT('',#526592); #160527=VERTEX_POINT('',#526594); #160528=VERTEX_POINT('',#526598); #160529=VERTEX_POINT('',#526600); #160530=VERTEX_POINT('',#526604); #160531=VERTEX_POINT('',#526606); #160532=VERTEX_POINT('',#526610); #160533=VERTEX_POINT('',#526612); #160534=VERTEX_POINT('',#526616); #160535=VERTEX_POINT('',#526618); #160536=VERTEX_POINT('',#526622); #160537=VERTEX_POINT('',#526624); #160538=VERTEX_POINT('',#526628); #160539=VERTEX_POINT('',#526630); #160540=VERTEX_POINT('',#526634); #160541=VERTEX_POINT('',#526636); #160542=VERTEX_POINT('',#526640); #160543=VERTEX_POINT('',#526642); #160544=VERTEX_POINT('',#526646); #160545=VERTEX_POINT('',#526648); #160546=VERTEX_POINT('',#526652); #160547=VERTEX_POINT('',#526654); #160548=VERTEX_POINT('',#526658); #160549=VERTEX_POINT('',#526660); #160550=VERTEX_POINT('',#526664); #160551=VERTEX_POINT('',#526666); #160552=VERTEX_POINT('',#526670); #160553=VERTEX_POINT('',#526672); #160554=VERTEX_POINT('',#526676); #160555=VERTEX_POINT('',#526678); #160556=VERTEX_POINT('',#526682); #160557=VERTEX_POINT('',#526684); #160558=VERTEX_POINT('',#526688); #160559=VERTEX_POINT('',#526690); #160560=VERTEX_POINT('',#526694); #160561=VERTEX_POINT('',#526696); #160562=VERTEX_POINT('',#526700); #160563=VERTEX_POINT('',#526702); #160564=VERTEX_POINT('',#526706); #160565=VERTEX_POINT('',#526708); #160566=VERTEX_POINT('',#526712); #160567=VERTEX_POINT('',#526714); #160568=VERTEX_POINT('',#526718); #160569=VERTEX_POINT('',#526720); #160570=VERTEX_POINT('',#526724); #160571=VERTEX_POINT('',#526726); #160572=VERTEX_POINT('',#526730); #160573=VERTEX_POINT('',#526732); #160574=VERTEX_POINT('',#526736); #160575=VERTEX_POINT('',#526738); #160576=VERTEX_POINT('',#526742); #160577=VERTEX_POINT('',#526744); #160578=VERTEX_POINT('',#526748); #160579=VERTEX_POINT('',#526750); #160580=VERTEX_POINT('',#526754); #160581=VERTEX_POINT('',#526756); #160582=VERTEX_POINT('',#526760); #160583=VERTEX_POINT('',#526762); #160584=VERTEX_POINT('',#526766); #160585=VERTEX_POINT('',#526768); #160586=VERTEX_POINT('',#526772); #160587=VERTEX_POINT('',#526774); #160588=VERTEX_POINT('',#526778); #160589=VERTEX_POINT('',#526780); #160590=VERTEX_POINT('',#526784); #160591=VERTEX_POINT('',#526786); #160592=VERTEX_POINT('',#526790); #160593=VERTEX_POINT('',#526792); #160594=VERTEX_POINT('',#526796); #160595=VERTEX_POINT('',#526798); #160596=VERTEX_POINT('',#526802); #160597=VERTEX_POINT('',#526804); #160598=VERTEX_POINT('',#526808); #160599=VERTEX_POINT('',#526810); #160600=VERTEX_POINT('',#526814); #160601=VERTEX_POINT('',#526816); #160602=VERTEX_POINT('',#526820); #160603=VERTEX_POINT('',#526822); #160604=VERTEX_POINT('',#526826); #160605=VERTEX_POINT('',#526828); #160606=VERTEX_POINT('',#526832); #160607=VERTEX_POINT('',#526834); #160608=VERTEX_POINT('',#526838); #160609=VERTEX_POINT('',#526840); #160610=VERTEX_POINT('',#526844); #160611=VERTEX_POINT('',#526846); #160612=VERTEX_POINT('',#526850); #160613=VERTEX_POINT('',#526852); #160614=VERTEX_POINT('',#526856); #160615=VERTEX_POINT('',#526858); #160616=VERTEX_POINT('',#526862); #160617=VERTEX_POINT('',#526864); #160618=VERTEX_POINT('',#526868); #160619=VERTEX_POINT('',#526870); #160620=VERTEX_POINT('',#526874); #160621=VERTEX_POINT('',#526876); #160622=VERTEX_POINT('',#526880); #160623=VERTEX_POINT('',#526882); #160624=VERTEX_POINT('',#526886); #160625=VERTEX_POINT('',#526888); #160626=VERTEX_POINT('',#526892); #160627=VERTEX_POINT('',#526894); #160628=VERTEX_POINT('',#526898); #160629=VERTEX_POINT('',#526900); #160630=VERTEX_POINT('',#526904); #160631=VERTEX_POINT('',#526906); #160632=VERTEX_POINT('',#526910); #160633=VERTEX_POINT('',#526912); #160634=VERTEX_POINT('',#526916); #160635=VERTEX_POINT('',#526918); #160636=VERTEX_POINT('',#526922); #160637=VERTEX_POINT('',#526924); #160638=VERTEX_POINT('',#526928); #160639=VERTEX_POINT('',#526930); #160640=VERTEX_POINT('',#526934); #160641=VERTEX_POINT('',#526936); #160642=VERTEX_POINT('',#526940); #160643=VERTEX_POINT('',#526942); #160644=VERTEX_POINT('',#526946); #160645=VERTEX_POINT('',#526948); #160646=VERTEX_POINT('',#526952); #160647=VERTEX_POINT('',#526954); #160648=VERTEX_POINT('',#526958); #160649=VERTEX_POINT('',#526960); #160650=VERTEX_POINT('',#526964); #160651=VERTEX_POINT('',#526966); #160652=VERTEX_POINT('',#526970); #160653=VERTEX_POINT('',#526972); #160654=VERTEX_POINT('',#526976); #160655=VERTEX_POINT('',#526978); #160656=VERTEX_POINT('',#526982); #160657=VERTEX_POINT('',#526984); #160658=VERTEX_POINT('',#526988); #160659=VERTEX_POINT('',#526990); #160660=VERTEX_POINT('',#526994); #160661=VERTEX_POINT('',#526996); #160662=VERTEX_POINT('',#527000); #160663=VERTEX_POINT('',#527002); #160664=VERTEX_POINT('',#527006); #160665=VERTEX_POINT('',#527008); #160666=VERTEX_POINT('',#527012); #160667=VERTEX_POINT('',#527014); #160668=VERTEX_POINT('',#527018); #160669=VERTEX_POINT('',#527020); #160670=VERTEX_POINT('',#527024); #160671=VERTEX_POINT('',#527026); #160672=VERTEX_POINT('',#527030); #160673=VERTEX_POINT('',#527032); #160674=VERTEX_POINT('',#527036); #160675=VERTEX_POINT('',#527038); #160676=VERTEX_POINT('',#527042); #160677=VERTEX_POINT('',#527044); #160678=VERTEX_POINT('',#527048); #160679=VERTEX_POINT('',#527050); #160680=VERTEX_POINT('',#527054); #160681=VERTEX_POINT('',#527056); #160682=VERTEX_POINT('',#527060); #160683=VERTEX_POINT('',#527062); #160684=VERTEX_POINT('',#527066); #160685=VERTEX_POINT('',#527068); #160686=VERTEX_POINT('',#527072); #160687=VERTEX_POINT('',#527074); #160688=VERTEX_POINT('',#527078); #160689=VERTEX_POINT('',#527080); #160690=VERTEX_POINT('',#527084); #160691=VERTEX_POINT('',#527086); #160692=VERTEX_POINT('',#527090); #160693=VERTEX_POINT('',#527092); #160694=VERTEX_POINT('',#527096); #160695=VERTEX_POINT('',#527098); #160696=VERTEX_POINT('',#527102); #160697=VERTEX_POINT('',#527104); #160698=VERTEX_POINT('',#527108); #160699=VERTEX_POINT('',#527110); #160700=VERTEX_POINT('',#527114); #160701=VERTEX_POINT('',#527116); #160702=VERTEX_POINT('',#527120); #160703=VERTEX_POINT('',#527122); #160704=VERTEX_POINT('',#527126); #160705=VERTEX_POINT('',#527128); #160706=VERTEX_POINT('',#527132); #160707=VERTEX_POINT('',#527134); #160708=VERTEX_POINT('',#527138); #160709=VERTEX_POINT('',#527140); #160710=VERTEX_POINT('',#527144); #160711=VERTEX_POINT('',#527146); #160712=VERTEX_POINT('',#527150); #160713=VERTEX_POINT('',#527152); #160714=VERTEX_POINT('',#527156); #160715=VERTEX_POINT('',#527158); #160716=VERTEX_POINT('',#527162); #160717=VERTEX_POINT('',#527164); #160718=VERTEX_POINT('',#527168); #160719=VERTEX_POINT('',#527170); #160720=VERTEX_POINT('',#527174); #160721=VERTEX_POINT('',#527176); #160722=VERTEX_POINT('',#527180); #160723=VERTEX_POINT('',#527182); #160724=VERTEX_POINT('',#527186); #160725=VERTEX_POINT('',#527188); #160726=VERTEX_POINT('',#527192); #160727=VERTEX_POINT('',#527194); #160728=VERTEX_POINT('',#527198); #160729=VERTEX_POINT('',#527200); #160730=VERTEX_POINT('',#527204); #160731=VERTEX_POINT('',#527206); #160732=VERTEX_POINT('',#527210); #160733=VERTEX_POINT('',#527212); #160734=VERTEX_POINT('',#527216); #160735=VERTEX_POINT('',#527218); #160736=VERTEX_POINT('',#527222); #160737=VERTEX_POINT('',#527224); #160738=VERTEX_POINT('',#527228); #160739=VERTEX_POINT('',#527230); #160740=VERTEX_POINT('',#527234); #160741=VERTEX_POINT('',#527236); #160742=VERTEX_POINT('',#527240); #160743=VERTEX_POINT('',#527242); #160744=VERTEX_POINT('',#527246); #160745=VERTEX_POINT('',#527248); #160746=VERTEX_POINT('',#527252); #160747=VERTEX_POINT('',#527254); #160748=VERTEX_POINT('',#527258); #160749=VERTEX_POINT('',#527260); #160750=VERTEX_POINT('',#527264); #160751=VERTEX_POINT('',#527266); #160752=VERTEX_POINT('',#527270); #160753=VERTEX_POINT('',#527272); #160754=VERTEX_POINT('',#527276); #160755=VERTEX_POINT('',#527278); #160756=VERTEX_POINT('',#527282); #160757=VERTEX_POINT('',#527284); #160758=VERTEX_POINT('',#527288); #160759=VERTEX_POINT('',#527290); #160760=VERTEX_POINT('',#527294); #160761=VERTEX_POINT('',#527296); #160762=VERTEX_POINT('',#527300); #160763=VERTEX_POINT('',#527302); #160764=VERTEX_POINT('',#527306); #160765=VERTEX_POINT('',#527308); #160766=VERTEX_POINT('',#527312); #160767=VERTEX_POINT('',#527314); #160768=VERTEX_POINT('',#527318); #160769=VERTEX_POINT('',#527320); #160770=VERTEX_POINT('',#527324); #160771=VERTEX_POINT('',#527326); #160772=VERTEX_POINT('',#527330); #160773=VERTEX_POINT('',#527332); #160774=VERTEX_POINT('',#527336); #160775=VERTEX_POINT('',#527338); #160776=VERTEX_POINT('',#527342); #160777=VERTEX_POINT('',#527344); #160778=VERTEX_POINT('',#527348); #160779=VERTEX_POINT('',#527350); #160780=VERTEX_POINT('',#527354); #160781=VERTEX_POINT('',#527356); #160782=VERTEX_POINT('',#527360); #160783=VERTEX_POINT('',#527362); #160784=VERTEX_POINT('',#527366); #160785=VERTEX_POINT('',#527368); #160786=VERTEX_POINT('',#527372); #160787=VERTEX_POINT('',#527374); #160788=VERTEX_POINT('',#527378); #160789=VERTEX_POINT('',#527380); #160790=VERTEX_POINT('',#527384); #160791=VERTEX_POINT('',#527386); #160792=VERTEX_POINT('',#527390); #160793=VERTEX_POINT('',#527392); #160794=VERTEX_POINT('',#527396); #160795=VERTEX_POINT('',#527398); #160796=VERTEX_POINT('',#527402); #160797=VERTEX_POINT('',#527404); #160798=VERTEX_POINT('',#527408); #160799=VERTEX_POINT('',#527410); #160800=VERTEX_POINT('',#527414); #160801=VERTEX_POINT('',#527416); #160802=VERTEX_POINT('',#527420); #160803=VERTEX_POINT('',#527422); #160804=VERTEX_POINT('',#527426); #160805=VERTEX_POINT('',#527428); #160806=VERTEX_POINT('',#527432); #160807=VERTEX_POINT('',#527434); #160808=VERTEX_POINT('',#527438); #160809=VERTEX_POINT('',#527440); #160810=VERTEX_POINT('',#527444); #160811=VERTEX_POINT('',#527446); #160812=VERTEX_POINT('',#527450); #160813=VERTEX_POINT('',#527452); #160814=VERTEX_POINT('',#527456); #160815=VERTEX_POINT('',#527458); #160816=VERTEX_POINT('',#527462); #160817=VERTEX_POINT('',#527464); #160818=VERTEX_POINT('',#527468); #160819=VERTEX_POINT('',#527470); #160820=VERTEX_POINT('',#527474); #160821=VERTEX_POINT('',#527476); #160822=VERTEX_POINT('',#527480); #160823=VERTEX_POINT('',#527482); #160824=VERTEX_POINT('',#527486); #160825=VERTEX_POINT('',#527488); #160826=VERTEX_POINT('',#527492); #160827=VERTEX_POINT('',#527494); #160828=VERTEX_POINT('',#527498); #160829=VERTEX_POINT('',#527500); #160830=VERTEX_POINT('',#527504); #160831=VERTEX_POINT('',#527506); #160832=VERTEX_POINT('',#527510); #160833=VERTEX_POINT('',#527512); #160834=VERTEX_POINT('',#527516); #160835=VERTEX_POINT('',#527518); #160836=VERTEX_POINT('',#527522); #160837=VERTEX_POINT('',#527524); #160838=VERTEX_POINT('',#527528); #160839=VERTEX_POINT('',#527530); #160840=VERTEX_POINT('',#527534); #160841=VERTEX_POINT('',#527536); #160842=VERTEX_POINT('',#527540); #160843=VERTEX_POINT('',#527542); #160844=VERTEX_POINT('',#527546); #160845=VERTEX_POINT('',#527548); #160846=VERTEX_POINT('',#527552); #160847=VERTEX_POINT('',#527554); #160848=VERTEX_POINT('',#527558); #160849=VERTEX_POINT('',#527560); #160850=VERTEX_POINT('',#527564); #160851=VERTEX_POINT('',#527566); #160852=VERTEX_POINT('',#527570); #160853=VERTEX_POINT('',#527572); #160854=VERTEX_POINT('',#527576); #160855=VERTEX_POINT('',#527578); #160856=VERTEX_POINT('',#527582); #160857=VERTEX_POINT('',#527584); #160858=VERTEX_POINT('',#527588); #160859=VERTEX_POINT('',#527590); #160860=VERTEX_POINT('',#527594); #160861=VERTEX_POINT('',#527596); #160862=VERTEX_POINT('',#527600); #160863=VERTEX_POINT('',#527602); #160864=VERTEX_POINT('',#527606); #160865=VERTEX_POINT('',#527608); #160866=VERTEX_POINT('',#527612); #160867=VERTEX_POINT('',#527614); #160868=VERTEX_POINT('',#527618); #160869=VERTEX_POINT('',#527620); #160870=VERTEX_POINT('',#527624); #160871=VERTEX_POINT('',#527626); #160872=VERTEX_POINT('',#527630); #160873=VERTEX_POINT('',#527632); #160874=VERTEX_POINT('',#527636); #160875=VERTEX_POINT('',#527638); #160876=VERTEX_POINT('',#527642); #160877=VERTEX_POINT('',#527644); #160878=VERTEX_POINT('',#527648); #160879=VERTEX_POINT('',#527650); #160880=VERTEX_POINT('',#527654); #160881=VERTEX_POINT('',#527656); #160882=VERTEX_POINT('',#527660); #160883=VERTEX_POINT('',#527662); #160884=VERTEX_POINT('',#527666); #160885=VERTEX_POINT('',#527668); #160886=VERTEX_POINT('',#527672); #160887=VERTEX_POINT('',#527674); #160888=VERTEX_POINT('',#527678); #160889=VERTEX_POINT('',#527680); #160890=VERTEX_POINT('',#527684); #160891=VERTEX_POINT('',#527686); #160892=VERTEX_POINT('',#527690); #160893=VERTEX_POINT('',#527692); #160894=VERTEX_POINT('',#527696); #160895=VERTEX_POINT('',#527698); #160896=VERTEX_POINT('',#527702); #160897=VERTEX_POINT('',#527704); #160898=VERTEX_POINT('',#527708); #160899=VERTEX_POINT('',#527710); #160900=VERTEX_POINT('',#527714); #160901=VERTEX_POINT('',#527716); #160902=VERTEX_POINT('',#527720); #160903=VERTEX_POINT('',#527722); #160904=VERTEX_POINT('',#527726); #160905=VERTEX_POINT('',#527728); #160906=VERTEX_POINT('',#527732); #160907=VERTEX_POINT('',#527734); #160908=VERTEX_POINT('',#527738); #160909=VERTEX_POINT('',#527740); #160910=VERTEX_POINT('',#527744); #160911=VERTEX_POINT('',#527746); #160912=VERTEX_POINT('',#527750); #160913=VERTEX_POINT('',#527752); #160914=VERTEX_POINT('',#527756); #160915=VERTEX_POINT('',#527758); #160916=VERTEX_POINT('',#527762); #160917=VERTEX_POINT('',#527764); #160918=VERTEX_POINT('',#527768); #160919=VERTEX_POINT('',#527770); #160920=VERTEX_POINT('',#527774); #160921=VERTEX_POINT('',#527776); #160922=VERTEX_POINT('',#527780); #160923=VERTEX_POINT('',#527782); #160924=VERTEX_POINT('',#527786); #160925=VERTEX_POINT('',#527788); #160926=VERTEX_POINT('',#527792); #160927=VERTEX_POINT('',#527794); #160928=VERTEX_POINT('',#527798); #160929=VERTEX_POINT('',#527800); #160930=VERTEX_POINT('',#527804); #160931=VERTEX_POINT('',#527806); #160932=VERTEX_POINT('',#527810); #160933=VERTEX_POINT('',#527812); #160934=VERTEX_POINT('',#527816); #160935=VERTEX_POINT('',#527818); #160936=VERTEX_POINT('',#527822); #160937=VERTEX_POINT('',#527824); #160938=VERTEX_POINT('',#527828); #160939=VERTEX_POINT('',#527830); #160940=VERTEX_POINT('',#527834); #160941=VERTEX_POINT('',#527836); #160942=VERTEX_POINT('',#527840); #160943=VERTEX_POINT('',#527842); #160944=VERTEX_POINT('',#527846); #160945=VERTEX_POINT('',#527848); #160946=VERTEX_POINT('',#527852); #160947=VERTEX_POINT('',#527854); #160948=VERTEX_POINT('',#527858); #160949=VERTEX_POINT('',#527860); #160950=VERTEX_POINT('',#527864); #160951=VERTEX_POINT('',#527866); #160952=VERTEX_POINT('',#527870); #160953=VERTEX_POINT('',#527872); #160954=VERTEX_POINT('',#527876); #160955=VERTEX_POINT('',#527878); #160956=VERTEX_POINT('',#527882); #160957=VERTEX_POINT('',#527884); #160958=VERTEX_POINT('',#527888); #160959=VERTEX_POINT('',#527890); #160960=VERTEX_POINT('',#527894); #160961=VERTEX_POINT('',#527896); #160962=VERTEX_POINT('',#527900); #160963=VERTEX_POINT('',#527902); #160964=VERTEX_POINT('',#527906); #160965=VERTEX_POINT('',#527908); #160966=VERTEX_POINT('',#527912); #160967=VERTEX_POINT('',#527914); #160968=VERTEX_POINT('',#527918); #160969=VERTEX_POINT('',#527920); #160970=VERTEX_POINT('',#527924); #160971=VERTEX_POINT('',#527926); #160972=VERTEX_POINT('',#527930); #160973=VERTEX_POINT('',#527932); #160974=VERTEX_POINT('',#527936); #160975=VERTEX_POINT('',#527938); #160976=VERTEX_POINT('',#527942); #160977=VERTEX_POINT('',#527944); #160978=VERTEX_POINT('',#527948); #160979=VERTEX_POINT('',#527950); #160980=VERTEX_POINT('',#527954); #160981=VERTEX_POINT('',#527956); #160982=VERTEX_POINT('',#527960); #160983=VERTEX_POINT('',#527962); #160984=VERTEX_POINT('',#527966); #160985=VERTEX_POINT('',#527968); #160986=VERTEX_POINT('',#527972); #160987=VERTEX_POINT('',#527974); #160988=VERTEX_POINT('',#527978); #160989=VERTEX_POINT('',#527980); #160990=VERTEX_POINT('',#527984); #160991=VERTEX_POINT('',#527986); #160992=VERTEX_POINT('',#527990); #160993=VERTEX_POINT('',#527992); #160994=VERTEX_POINT('',#527996); #160995=VERTEX_POINT('',#527998); #160996=VERTEX_POINT('',#528002); #160997=VERTEX_POINT('',#528004); #160998=VERTEX_POINT('',#528008); #160999=VERTEX_POINT('',#528010); #161000=VERTEX_POINT('',#528014); #161001=VERTEX_POINT('',#528016); #161002=VERTEX_POINT('',#528020); #161003=VERTEX_POINT('',#528022); #161004=VERTEX_POINT('',#528026); #161005=VERTEX_POINT('',#528028); #161006=VERTEX_POINT('',#528032); #161007=VERTEX_POINT('',#528034); #161008=VERTEX_POINT('',#528038); #161009=VERTEX_POINT('',#528040); #161010=VERTEX_POINT('',#528044); #161011=VERTEX_POINT('',#528046); #161012=VERTEX_POINT('',#528050); #161013=VERTEX_POINT('',#528052); #161014=VERTEX_POINT('',#528056); #161015=VERTEX_POINT('',#528058); #161016=VERTEX_POINT('',#528062); #161017=VERTEX_POINT('',#528064); #161018=VERTEX_POINT('',#528068); #161019=VERTEX_POINT('',#528070); #161020=VERTEX_POINT('',#528074); #161021=VERTEX_POINT('',#528076); #161022=VERTEX_POINT('',#528080); #161023=VERTEX_POINT('',#528082); #161024=VERTEX_POINT('',#528086); #161025=VERTEX_POINT('',#528088); #161026=VERTEX_POINT('',#528092); #161027=VERTEX_POINT('',#528094); #161028=VERTEX_POINT('',#528098); #161029=VERTEX_POINT('',#528100); #161030=VERTEX_POINT('',#528104); #161031=VERTEX_POINT('',#528106); #161032=VERTEX_POINT('',#528110); #161033=VERTEX_POINT('',#528112); #161034=VERTEX_POINT('',#528116); #161035=VERTEX_POINT('',#528118); #161036=VERTEX_POINT('',#528122); #161037=VERTEX_POINT('',#528124); #161038=VERTEX_POINT('',#528128); #161039=VERTEX_POINT('',#528130); #161040=VERTEX_POINT('',#528134); #161041=VERTEX_POINT('',#528136); #161042=VERTEX_POINT('',#528140); #161043=VERTEX_POINT('',#528142); #161044=VERTEX_POINT('',#528146); #161045=VERTEX_POINT('',#528148); #161046=VERTEX_POINT('',#528152); #161047=VERTEX_POINT('',#528154); #161048=VERTEX_POINT('',#528158); #161049=VERTEX_POINT('',#528160); #161050=VERTEX_POINT('',#528164); #161051=VERTEX_POINT('',#528166); #161052=VERTEX_POINT('',#528170); #161053=VERTEX_POINT('',#528172); #161054=VERTEX_POINT('',#528176); #161055=VERTEX_POINT('',#528178); #161056=VERTEX_POINT('',#528182); #161057=VERTEX_POINT('',#528184); #161058=VERTEX_POINT('',#528188); #161059=VERTEX_POINT('',#528190); #161060=VERTEX_POINT('',#528194); #161061=VERTEX_POINT('',#528196); #161062=VERTEX_POINT('',#528200); #161063=VERTEX_POINT('',#528202); #161064=VERTEX_POINT('',#528206); #161065=VERTEX_POINT('',#528208); #161066=VERTEX_POINT('',#528212); #161067=VERTEX_POINT('',#528214); #161068=VERTEX_POINT('',#528218); #161069=VERTEX_POINT('',#528220); #161070=VERTEX_POINT('',#528224); #161071=VERTEX_POINT('',#528226); #161072=VERTEX_POINT('',#528230); #161073=VERTEX_POINT('',#528232); #161074=VERTEX_POINT('',#528236); #161075=VERTEX_POINT('',#528238); #161076=VERTEX_POINT('',#528242); #161077=VERTEX_POINT('',#528244); #161078=VERTEX_POINT('',#528248); #161079=VERTEX_POINT('',#528250); #161080=VERTEX_POINT('',#528254); #161081=VERTEX_POINT('',#528256); #161082=VERTEX_POINT('',#528260); #161083=VERTEX_POINT('',#528262); #161084=VERTEX_POINT('',#528266); #161085=VERTEX_POINT('',#528268); #161086=VERTEX_POINT('',#528272); #161087=VERTEX_POINT('',#528274); #161088=VERTEX_POINT('',#528278); #161089=VERTEX_POINT('',#528280); #161090=VERTEX_POINT('',#528284); #161091=VERTEX_POINT('',#528286); #161092=VERTEX_POINT('',#528290); #161093=VERTEX_POINT('',#528292); #161094=VERTEX_POINT('',#528296); #161095=VERTEX_POINT('',#528298); #161096=VERTEX_POINT('',#528302); #161097=VERTEX_POINT('',#528304); #161098=VERTEX_POINT('',#528308); #161099=VERTEX_POINT('',#528310); #161100=VERTEX_POINT('',#528314); #161101=VERTEX_POINT('',#528316); #161102=VERTEX_POINT('',#528320); #161103=VERTEX_POINT('',#528322); #161104=VERTEX_POINT('',#528326); #161105=VERTEX_POINT('',#528328); #161106=VERTEX_POINT('',#528337); #161107=VERTEX_POINT('',#528338); #161108=VERTEX_POINT('',#528340); #161109=VERTEX_POINT('',#528342); #161110=VERTEX_POINT('',#528346); #161111=VERTEX_POINT('',#528348); #161112=VERTEX_POINT('',#528352); #161113=VERTEX_POINT('',#528354); #161114=VERTEX_POINT('',#528358); #161115=VERTEX_POINT('',#528360); #161116=VERTEX_POINT('',#528364); #161117=VERTEX_POINT('',#528366); #161118=VERTEX_POINT('',#528370); #161119=VERTEX_POINT('',#528372); #161120=VERTEX_POINT('',#528376); #161121=VERTEX_POINT('',#528378); #161122=VERTEX_POINT('',#528382); #161123=VERTEX_POINT('',#528384); #161124=VERTEX_POINT('',#528388); #161125=VERTEX_POINT('',#528390); #161126=VERTEX_POINT('',#528394); #161127=VERTEX_POINT('',#528396); #161128=VERTEX_POINT('',#528400); #161129=VERTEX_POINT('',#528402); #161130=VERTEX_POINT('',#528406); #161131=VERTEX_POINT('',#528408); #161132=VERTEX_POINT('',#528412); #161133=VERTEX_POINT('',#528414); #161134=VERTEX_POINT('',#528418); #161135=VERTEX_POINT('',#528420); #161136=VERTEX_POINT('',#528424); #161137=VERTEX_POINT('',#528426); #161138=VERTEX_POINT('',#528430); #161139=VERTEX_POINT('',#528432); #161140=VERTEX_POINT('',#528436); #161141=VERTEX_POINT('',#528438); #161142=VERTEX_POINT('',#528442); #161143=VERTEX_POINT('',#528444); #161144=VERTEX_POINT('',#528448); #161145=VERTEX_POINT('',#528450); #161146=VERTEX_POINT('',#528454); #161147=VERTEX_POINT('',#528456); #161148=VERTEX_POINT('',#528465); #161149=VERTEX_POINT('',#528466); #161150=VERTEX_POINT('',#528468); #161151=VERTEX_POINT('',#528470); #161152=VERTEX_POINT('',#528474); #161153=VERTEX_POINT('',#528476); #161154=VERTEX_POINT('',#528480); #161155=VERTEX_POINT('',#528482); #161156=VERTEX_POINT('',#528486); #161157=VERTEX_POINT('',#528488); #161158=VERTEX_POINT('',#528492); #161159=VERTEX_POINT('',#528494); #161160=VERTEX_POINT('',#528498); #161161=VERTEX_POINT('',#528500); #161162=VERTEX_POINT('',#528504); #161163=VERTEX_POINT('',#528506); #161164=VERTEX_POINT('',#528510); #161165=VERTEX_POINT('',#528512); #161166=VERTEX_POINT('',#528516); #161167=VERTEX_POINT('',#528518); #161168=VERTEX_POINT('',#528522); #161169=VERTEX_POINT('',#528524); #161170=VERTEX_POINT('',#528528); #161171=VERTEX_POINT('',#528530); #161172=VERTEX_POINT('',#528534); #161173=VERTEX_POINT('',#528536); #161174=VERTEX_POINT('',#528540); #161175=VERTEX_POINT('',#528542); #161176=VERTEX_POINT('',#528546); #161177=VERTEX_POINT('',#528548); #161178=VERTEX_POINT('',#528552); #161179=VERTEX_POINT('',#528554); #161180=VERTEX_POINT('',#528558); #161181=VERTEX_POINT('',#528560); #161182=VERTEX_POINT('',#528564); #161183=VERTEX_POINT('',#528566); #161184=VERTEX_POINT('',#528570); #161185=VERTEX_POINT('',#528572); #161186=VERTEX_POINT('',#528576); #161187=VERTEX_POINT('',#528578); #161188=VERTEX_POINT('',#528582); #161189=VERTEX_POINT('',#528584); #161190=VERTEX_POINT('',#528593); #161191=VERTEX_POINT('',#528594); #161192=VERTEX_POINT('',#528596); #161193=VERTEX_POINT('',#528598); #161194=VERTEX_POINT('',#528602); #161195=VERTEX_POINT('',#528604); #161196=VERTEX_POINT('',#528608); #161197=VERTEX_POINT('',#528610); #161198=VERTEX_POINT('',#528614); #161199=VERTEX_POINT('',#528616); #161200=VERTEX_POINT('',#528620); #161201=VERTEX_POINT('',#528622); #161202=VERTEX_POINT('',#528626); #161203=VERTEX_POINT('',#528628); #161204=VERTEX_POINT('',#528632); #161205=VERTEX_POINT('',#528634); #161206=VERTEX_POINT('',#528638); #161207=VERTEX_POINT('',#528640); #161208=VERTEX_POINT('',#528644); #161209=VERTEX_POINT('',#528646); #161210=VERTEX_POINT('',#528650); #161211=VERTEX_POINT('',#528652); #161212=VERTEX_POINT('',#528656); #161213=VERTEX_POINT('',#528658); #161214=VERTEX_POINT('',#528662); #161215=VERTEX_POINT('',#528664); #161216=VERTEX_POINT('',#528668); #161217=VERTEX_POINT('',#528670); #161218=VERTEX_POINT('',#528674); #161219=VERTEX_POINT('',#528676); #161220=VERTEX_POINT('',#528680); #161221=VERTEX_POINT('',#528682); #161222=VERTEX_POINT('',#528686); #161223=VERTEX_POINT('',#528688); #161224=VERTEX_POINT('',#528692); #161225=VERTEX_POINT('',#528694); #161226=VERTEX_POINT('',#528698); #161227=VERTEX_POINT('',#528700); #161228=VERTEX_POINT('',#528709); #161229=VERTEX_POINT('',#528710); #161230=VERTEX_POINT('',#528712); #161231=VERTEX_POINT('',#528714); #161232=VERTEX_POINT('',#528718); #161233=VERTEX_POINT('',#528720); #161234=VERTEX_POINT('',#528724); #161235=VERTEX_POINT('',#528726); #161236=VERTEX_POINT('',#528730); #161237=VERTEX_POINT('',#528732); #161238=VERTEX_POINT('',#528736); #161239=VERTEX_POINT('',#528738); #161240=VERTEX_POINT('',#528742); #161241=VERTEX_POINT('',#528744); #161242=VERTEX_POINT('',#528748); #161243=VERTEX_POINT('',#528750); #161244=VERTEX_POINT('',#528754); #161245=VERTEX_POINT('',#528756); #161246=VERTEX_POINT('',#528760); #161247=VERTEX_POINT('',#528762); #161248=VERTEX_POINT('',#528766); #161249=VERTEX_POINT('',#528768); #161250=VERTEX_POINT('',#528772); #161251=VERTEX_POINT('',#528774); #161252=VERTEX_POINT('',#528778); #161253=VERTEX_POINT('',#528780); #161254=VERTEX_POINT('',#528784); #161255=VERTEX_POINT('',#528786); #161256=VERTEX_POINT('',#528790); #161257=VERTEX_POINT('',#528792); #161258=VERTEX_POINT('',#528796); #161259=VERTEX_POINT('',#528798); #161260=VERTEX_POINT('',#528802); #161261=VERTEX_POINT('',#528804); #161262=VERTEX_POINT('',#528808); #161263=VERTEX_POINT('',#528810); #161264=VERTEX_POINT('',#528814); #161265=VERTEX_POINT('',#528816); #161266=VERTEX_POINT('',#528825); #161267=VERTEX_POINT('',#528826); #161268=VERTEX_POINT('',#528828); #161269=VERTEX_POINT('',#528830); #161270=VERTEX_POINT('',#528834); #161271=VERTEX_POINT('',#528836); #161272=VERTEX_POINT('',#528840); #161273=VERTEX_POINT('',#528842); #161274=VERTEX_POINT('',#528846); #161275=VERTEX_POINT('',#528848); #161276=VERTEX_POINT('',#528852); #161277=VERTEX_POINT('',#528854); #161278=VERTEX_POINT('',#528858); #161279=VERTEX_POINT('',#528860); #161280=VERTEX_POINT('',#528864); #161281=VERTEX_POINT('',#528866); #161282=VERTEX_POINT('',#528870); #161283=VERTEX_POINT('',#528872); #161284=VERTEX_POINT('',#528876); #161285=VERTEX_POINT('',#528878); #161286=VERTEX_POINT('',#528882); #161287=VERTEX_POINT('',#528884); #161288=VERTEX_POINT('',#528888); #161289=VERTEX_POINT('',#528890); #161290=VERTEX_POINT('',#528894); #161291=VERTEX_POINT('',#528896); #161292=VERTEX_POINT('',#528900); #161293=VERTEX_POINT('',#528902); #161294=VERTEX_POINT('',#528906); #161295=VERTEX_POINT('',#528908); #161296=VERTEX_POINT('',#528912); #161297=VERTEX_POINT('',#528914); #161298=VERTEX_POINT('',#528918); #161299=VERTEX_POINT('',#528920); #161300=VERTEX_POINT('',#528924); #161301=VERTEX_POINT('',#528926); #161302=VERTEX_POINT('',#528930); #161303=VERTEX_POINT('',#528932); #161304=VERTEX_POINT('',#528941); #161305=VERTEX_POINT('',#528942); #161306=VERTEX_POINT('',#528944); #161307=VERTEX_POINT('',#528946); #161308=VERTEX_POINT('',#528950); #161309=VERTEX_POINT('',#528952); #161310=VERTEX_POINT('',#528956); #161311=VERTEX_POINT('',#528958); #161312=VERTEX_POINT('',#528962); #161313=VERTEX_POINT('',#528964); #161314=VERTEX_POINT('',#528968); #161315=VERTEX_POINT('',#528970); #161316=VERTEX_POINT('',#528974); #161317=VERTEX_POINT('',#528976); #161318=VERTEX_POINT('',#528980); #161319=VERTEX_POINT('',#528982); #161320=VERTEX_POINT('',#528986); #161321=VERTEX_POINT('',#528988); #161322=VERTEX_POINT('',#528992); #161323=VERTEX_POINT('',#528994); #161324=VERTEX_POINT('',#528998); #161325=VERTEX_POINT('',#529000); #161326=VERTEX_POINT('',#529004); #161327=VERTEX_POINT('',#529006); #161328=VERTEX_POINT('',#529010); #161329=VERTEX_POINT('',#529012); #161330=VERTEX_POINT('',#529016); #161331=VERTEX_POINT('',#529018); #161332=VERTEX_POINT('',#529022); #161333=VERTEX_POINT('',#529024); #161334=VERTEX_POINT('',#529028); #161335=VERTEX_POINT('',#529030); #161336=VERTEX_POINT('',#529034); #161337=VERTEX_POINT('',#529036); #161338=VERTEX_POINT('',#529040); #161339=VERTEX_POINT('',#529042); #161340=VERTEX_POINT('',#529046); #161341=VERTEX_POINT('',#529048); #161342=VERTEX_POINT('',#529052); #161343=VERTEX_POINT('',#529054); #161344=VERTEX_POINT('',#529058); #161345=VERTEX_POINT('',#529060); #161346=VERTEX_POINT('',#529064); #161347=VERTEX_POINT('',#529066); #161348=VERTEX_POINT('',#529070); #161349=VERTEX_POINT('',#529072); #161350=VERTEX_POINT('',#529076); #161351=VERTEX_POINT('',#529078); #161352=VERTEX_POINT('',#529082); #161353=VERTEX_POINT('',#529084); #161354=VERTEX_POINT('',#529088); #161355=VERTEX_POINT('',#529090); #161356=VERTEX_POINT('',#529094); #161357=VERTEX_POINT('',#529096); #161358=VERTEX_POINT('',#529100); #161359=VERTEX_POINT('',#529102); #161360=VERTEX_POINT('',#529106); #161361=VERTEX_POINT('',#529108); #161362=VERTEX_POINT('',#529112); #161363=VERTEX_POINT('',#529114); #161364=VERTEX_POINT('',#529118); #161365=VERTEX_POINT('',#529120); #161366=VERTEX_POINT('',#529124); #161367=VERTEX_POINT('',#529126); #161368=VERTEX_POINT('',#529130); #161369=VERTEX_POINT('',#529132); #161370=VERTEX_POINT('',#529136); #161371=VERTEX_POINT('',#529138); #161372=VERTEX_POINT('',#529142); #161373=VERTEX_POINT('',#529144); #161374=VERTEX_POINT('',#529148); #161375=VERTEX_POINT('',#529150); #161376=VERTEX_POINT('',#529154); #161377=VERTEX_POINT('',#529156); #161378=VERTEX_POINT('',#529165); #161379=VERTEX_POINT('',#529166); #161380=VERTEX_POINT('',#529168); #161381=VERTEX_POINT('',#529170); #161382=VERTEX_POINT('',#529174); #161383=VERTEX_POINT('',#529176); #161384=VERTEX_POINT('',#529180); #161385=VERTEX_POINT('',#529182); #161386=VERTEX_POINT('',#529186); #161387=VERTEX_POINT('',#529188); #161388=VERTEX_POINT('',#529192); #161389=VERTEX_POINT('',#529194); #161390=VERTEX_POINT('',#529198); #161391=VERTEX_POINT('',#529200); #161392=VERTEX_POINT('',#529204); #161393=VERTEX_POINT('',#529206); #161394=VERTEX_POINT('',#529210); #161395=VERTEX_POINT('',#529212); #161396=VERTEX_POINT('',#529216); #161397=VERTEX_POINT('',#529218); #161398=VERTEX_POINT('',#529222); #161399=VERTEX_POINT('',#529224); #161400=VERTEX_POINT('',#529228); #161401=VERTEX_POINT('',#529230); #161402=VERTEX_POINT('',#529234); #161403=VERTEX_POINT('',#529236); #161404=VERTEX_POINT('',#529240); #161405=VERTEX_POINT('',#529242); #161406=VERTEX_POINT('',#529246); #161407=VERTEX_POINT('',#529248); #161408=VERTEX_POINT('',#529252); #161409=VERTEX_POINT('',#529254); #161410=VERTEX_POINT('',#529258); #161411=VERTEX_POINT('',#529260); #161412=VERTEX_POINT('',#529264); #161413=VERTEX_POINT('',#529266); #161414=VERTEX_POINT('',#529270); #161415=VERTEX_POINT('',#529272); #161416=VERTEX_POINT('',#529276); #161417=VERTEX_POINT('',#529278); #161418=VERTEX_POINT('',#529287); #161419=VERTEX_POINT('',#529288); #161420=VERTEX_POINT('',#529290); #161421=VERTEX_POINT('',#529292); #161422=VERTEX_POINT('',#529296); #161423=VERTEX_POINT('',#529298); #161424=VERTEX_POINT('',#529302); #161425=VERTEX_POINT('',#529304); #161426=VERTEX_POINT('',#529308); #161427=VERTEX_POINT('',#529310); #161428=VERTEX_POINT('',#529314); #161429=VERTEX_POINT('',#529316); #161430=VERTEX_POINT('',#529320); #161431=VERTEX_POINT('',#529322); #161432=VERTEX_POINT('',#529326); #161433=VERTEX_POINT('',#529328); #161434=VERTEX_POINT('',#529332); #161435=VERTEX_POINT('',#529334); #161436=VERTEX_POINT('',#529338); #161437=VERTEX_POINT('',#529340); #161438=VERTEX_POINT('',#529344); #161439=VERTEX_POINT('',#529346); #161440=VERTEX_POINT('',#529350); #161441=VERTEX_POINT('',#529352); #161442=VERTEX_POINT('',#529356); #161443=VERTEX_POINT('',#529358); #161444=VERTEX_POINT('',#529362); #161445=VERTEX_POINT('',#529364); #161446=VERTEX_POINT('',#529368); #161447=VERTEX_POINT('',#529370); #161448=VERTEX_POINT('',#529374); #161449=VERTEX_POINT('',#529376); #161450=VERTEX_POINT('',#529380); #161451=VERTEX_POINT('',#529382); #161452=VERTEX_POINT('',#529386); #161453=VERTEX_POINT('',#529388); #161454=VERTEX_POINT('',#529392); #161455=VERTEX_POINT('',#529394); #161456=VERTEX_POINT('',#529398); #161457=VERTEX_POINT('',#529400); #161458=VERTEX_POINT('',#529404); #161459=VERTEX_POINT('',#529406); #161460=VERTEX_POINT('',#529410); #161461=VERTEX_POINT('',#529412); #161462=VERTEX_POINT('',#529416); #161463=VERTEX_POINT('',#529418); #161464=VERTEX_POINT('',#529422); #161465=VERTEX_POINT('',#529424); #161466=VERTEX_POINT('',#529428); #161467=VERTEX_POINT('',#529430); #161468=VERTEX_POINT('',#529434); #161469=VERTEX_POINT('',#529436); #161470=VERTEX_POINT('',#529440); #161471=VERTEX_POINT('',#529442); #161472=VERTEX_POINT('',#529446); #161473=VERTEX_POINT('',#529448); #161474=VERTEX_POINT('',#529452); #161475=VERTEX_POINT('',#529454); #161476=VERTEX_POINT('',#529458); #161477=VERTEX_POINT('',#529460); #161478=VERTEX_POINT('',#529464); #161479=VERTEX_POINT('',#529466); #161480=VERTEX_POINT('',#529470); #161481=VERTEX_POINT('',#529472); #161482=VERTEX_POINT('',#529476); #161483=VERTEX_POINT('',#529478); #161484=VERTEX_POINT('',#529482); #161485=VERTEX_POINT('',#529484); #161486=VERTEX_POINT('',#529488); #161487=VERTEX_POINT('',#529490); #161488=VERTEX_POINT('',#529494); #161489=VERTEX_POINT('',#529496); #161490=VERTEX_POINT('',#529500); #161491=VERTEX_POINT('',#529502); #161492=VERTEX_POINT('',#529506); #161493=VERTEX_POINT('',#529508); #161494=VERTEX_POINT('',#529512); #161495=VERTEX_POINT('',#529514); #161496=VERTEX_POINT('',#529518); #161497=VERTEX_POINT('',#529520); #161498=VERTEX_POINT('',#529524); #161499=VERTEX_POINT('',#529526); #161500=VERTEX_POINT('',#529530); #161501=VERTEX_POINT('',#529532); #161502=VERTEX_POINT('',#529536); #161503=VERTEX_POINT('',#529538); #161504=VERTEX_POINT('',#529542); #161505=VERTEX_POINT('',#529544); #161506=VERTEX_POINT('',#529548); #161507=VERTEX_POINT('',#529550); #161508=VERTEX_POINT('',#529554); #161509=VERTEX_POINT('',#529556); #161510=VERTEX_POINT('',#529560); #161511=VERTEX_POINT('',#529562); #161512=VERTEX_POINT('',#529566); #161513=VERTEX_POINT('',#529568); #161514=VERTEX_POINT('',#529577); #161515=VERTEX_POINT('',#529578); #161516=VERTEX_POINT('',#529580); #161517=VERTEX_POINT('',#529582); #161518=VERTEX_POINT('',#529586); #161519=VERTEX_POINT('',#529588); #161520=VERTEX_POINT('',#529592); #161521=VERTEX_POINT('',#529594); #161522=VERTEX_POINT('',#529598); #161523=VERTEX_POINT('',#529600); #161524=VERTEX_POINT('',#529604); #161525=VERTEX_POINT('',#529606); #161526=VERTEX_POINT('',#529610); #161527=VERTEX_POINT('',#529612); #161528=VERTEX_POINT('',#529616); #161529=VERTEX_POINT('',#529618); #161530=VERTEX_POINT('',#529622); #161531=VERTEX_POINT('',#529624); #161532=VERTEX_POINT('',#529628); #161533=VERTEX_POINT('',#529630); #161534=VERTEX_POINT('',#529634); #161535=VERTEX_POINT('',#529636); #161536=VERTEX_POINT('',#529640); #161537=VERTEX_POINT('',#529642); #161538=VERTEX_POINT('',#529646); #161539=VERTEX_POINT('',#529648); #161540=VERTEX_POINT('',#529652); #161541=VERTEX_POINT('',#529654); #161542=VERTEX_POINT('',#529658); #161543=VERTEX_POINT('',#529660); #161544=VERTEX_POINT('',#529664); #161545=VERTEX_POINT('',#529666); #161546=VERTEX_POINT('',#529670); #161547=VERTEX_POINT('',#529672); #161548=VERTEX_POINT('',#529676); #161549=VERTEX_POINT('',#529678); #161550=VERTEX_POINT('',#529682); #161551=VERTEX_POINT('',#529684); #161552=VERTEX_POINT('',#529688); #161553=VERTEX_POINT('',#529690); #161554=VERTEX_POINT('',#529699); #161555=VERTEX_POINT('',#529700); #161556=VERTEX_POINT('',#529702); #161557=VERTEX_POINT('',#529704); #161558=VERTEX_POINT('',#529708); #161559=VERTEX_POINT('',#529710); #161560=VERTEX_POINT('',#529714); #161561=VERTEX_POINT('',#529716); #161562=VERTEX_POINT('',#529720); #161563=VERTEX_POINT('',#529722); #161564=VERTEX_POINT('',#529726); #161565=VERTEX_POINT('',#529728); #161566=VERTEX_POINT('',#529732); #161567=VERTEX_POINT('',#529734); #161568=VERTEX_POINT('',#529738); #161569=VERTEX_POINT('',#529740); #161570=VERTEX_POINT('',#529744); #161571=VERTEX_POINT('',#529746); #161572=VERTEX_POINT('',#529750); #161573=VERTEX_POINT('',#529752); #161574=VERTEX_POINT('',#529756); #161575=VERTEX_POINT('',#529758); #161576=VERTEX_POINT('',#529762); #161577=VERTEX_POINT('',#529764); #161578=VERTEX_POINT('',#529768); #161579=VERTEX_POINT('',#529770); #161580=VERTEX_POINT('',#529774); #161581=VERTEX_POINT('',#529776); #161582=VERTEX_POINT('',#529780); #161583=VERTEX_POINT('',#529782); #161584=VERTEX_POINT('',#529786); #161585=VERTEX_POINT('',#529788); #161586=VERTEX_POINT('',#529792); #161587=VERTEX_POINT('',#529794); #161588=VERTEX_POINT('',#529798); #161589=VERTEX_POINT('',#529800); #161590=VERTEX_POINT('',#529804); #161591=VERTEX_POINT('',#529806); #161592=VERTEX_POINT('',#529810); #161593=VERTEX_POINT('',#529812); #161594=VERTEX_POINT('',#529816); #161595=VERTEX_POINT('',#529818); #161596=VERTEX_POINT('',#529822); #161597=VERTEX_POINT('',#529824); #161598=VERTEX_POINT('',#529828); #161599=VERTEX_POINT('',#529830); #161600=VERTEX_POINT('',#529834); #161601=VERTEX_POINT('',#529836); #161602=VERTEX_POINT('',#529840); #161603=VERTEX_POINT('',#529842); #161604=VERTEX_POINT('',#529846); #161605=VERTEX_POINT('',#529848); #161606=VERTEX_POINT('',#529852); #161607=VERTEX_POINT('',#529854); #161608=VERTEX_POINT('',#529858); #161609=VERTEX_POINT('',#529860); #161610=VERTEX_POINT('',#529864); #161611=VERTEX_POINT('',#529866); #161612=VERTEX_POINT('',#529870); #161613=VERTEX_POINT('',#529872); #161614=VERTEX_POINT('',#529876); #161615=VERTEX_POINT('',#529878); #161616=VERTEX_POINT('',#529887); #161617=VERTEX_POINT('',#529888); #161618=VERTEX_POINT('',#529890); #161619=VERTEX_POINT('',#529892); #161620=VERTEX_POINT('',#529896); #161621=VERTEX_POINT('',#529898); #161622=VERTEX_POINT('',#529902); #161623=VERTEX_POINT('',#529904); #161624=VERTEX_POINT('',#529908); #161625=VERTEX_POINT('',#529910); #161626=VERTEX_POINT('',#529914); #161627=VERTEX_POINT('',#529916); #161628=VERTEX_POINT('',#529920); #161629=VERTEX_POINT('',#529922); #161630=VERTEX_POINT('',#529926); #161631=VERTEX_POINT('',#529928); #161632=VERTEX_POINT('',#529932); #161633=VERTEX_POINT('',#529934); #161634=VERTEX_POINT('',#529938); #161635=VERTEX_POINT('',#529940); #161636=VERTEX_POINT('',#529944); #161637=VERTEX_POINT('',#529946); #161638=VERTEX_POINT('',#529950); #161639=VERTEX_POINT('',#529952); #161640=VERTEX_POINT('',#529956); #161641=VERTEX_POINT('',#529958); #161642=VERTEX_POINT('',#529962); #161643=VERTEX_POINT('',#529964); #161644=VERTEX_POINT('',#529968); #161645=VERTEX_POINT('',#529970); #161646=VERTEX_POINT('',#529974); #161647=VERTEX_POINT('',#529976); #161648=VERTEX_POINT('',#529980); #161649=VERTEX_POINT('',#529982); #161650=VERTEX_POINT('',#529986); #161651=VERTEX_POINT('',#529988); #161652=VERTEX_POINT('',#529992); #161653=VERTEX_POINT('',#529994); #161654=VERTEX_POINT('',#529998); #161655=VERTEX_POINT('',#530000); #161656=VERTEX_POINT('',#530009); #161657=VERTEX_POINT('',#530010); #161658=VERTEX_POINT('',#530012); #161659=VERTEX_POINT('',#530014); #161660=VERTEX_POINT('',#530018); #161661=VERTEX_POINT('',#530020); #161662=VERTEX_POINT('',#530024); #161663=VERTEX_POINT('',#530026); #161664=VERTEX_POINT('',#530030); #161665=VERTEX_POINT('',#530032); #161666=VERTEX_POINT('',#530036); #161667=VERTEX_POINT('',#530038); #161668=VERTEX_POINT('',#530042); #161669=VERTEX_POINT('',#530044); #161670=VERTEX_POINT('',#530048); #161671=VERTEX_POINT('',#530050); #161672=VERTEX_POINT('',#530054); #161673=VERTEX_POINT('',#530056); #161674=VERTEX_POINT('',#530060); #161675=VERTEX_POINT('',#530062); #161676=VERTEX_POINT('',#530066); #161677=VERTEX_POINT('',#530068); #161678=VERTEX_POINT('',#530072); #161679=VERTEX_POINT('',#530074); #161680=VERTEX_POINT('',#530078); #161681=VERTEX_POINT('',#530080); #161682=VERTEX_POINT('',#530084); #161683=VERTEX_POINT('',#530086); #161684=VERTEX_POINT('',#530090); #161685=VERTEX_POINT('',#530092); #161686=VERTEX_POINT('',#530096); #161687=VERTEX_POINT('',#530098); #161688=VERTEX_POINT('',#530102); #161689=VERTEX_POINT('',#530104); #161690=VERTEX_POINT('',#530108); #161691=VERTEX_POINT('',#530110); #161692=VERTEX_POINT('',#530114); #161693=VERTEX_POINT('',#530116); #161694=VERTEX_POINT('',#530120); #161695=VERTEX_POINT('',#530122); #161696=VERTEX_POINT('',#530126); #161697=VERTEX_POINT('',#530128); #161698=VERTEX_POINT('',#530132); #161699=VERTEX_POINT('',#530134); #161700=VERTEX_POINT('',#530138); #161701=VERTEX_POINT('',#530140); #161702=VERTEX_POINT('',#530144); #161703=VERTEX_POINT('',#530146); #161704=VERTEX_POINT('',#530150); #161705=VERTEX_POINT('',#530152); #161706=VERTEX_POINT('',#530156); #161707=VERTEX_POINT('',#530158); #161708=VERTEX_POINT('',#530162); #161709=VERTEX_POINT('',#530164); #161710=VERTEX_POINT('',#530168); #161711=VERTEX_POINT('',#530170); #161712=VERTEX_POINT('',#530174); #161713=VERTEX_POINT('',#530176); #161714=VERTEX_POINT('',#530180); #161715=VERTEX_POINT('',#530182); #161716=VERTEX_POINT('',#530186); #161717=VERTEX_POINT('',#530188); #161718=VERTEX_POINT('',#530197); #161719=VERTEX_POINT('',#530198); #161720=VERTEX_POINT('',#530200); #161721=VERTEX_POINT('',#530202); #161722=VERTEX_POINT('',#530206); #161723=VERTEX_POINT('',#530208); #161724=VERTEX_POINT('',#530212); #161725=VERTEX_POINT('',#530214); #161726=VERTEX_POINT('',#530218); #161727=VERTEX_POINT('',#530220); #161728=VERTEX_POINT('',#530224); #161729=VERTEX_POINT('',#530226); #161730=VERTEX_POINT('',#530230); #161731=VERTEX_POINT('',#530232); #161732=VERTEX_POINT('',#530236); #161733=VERTEX_POINT('',#530238); #161734=VERTEX_POINT('',#530242); #161735=VERTEX_POINT('',#530244); #161736=VERTEX_POINT('',#530248); #161737=VERTEX_POINT('',#530250); #161738=VERTEX_POINT('',#530254); #161739=VERTEX_POINT('',#530256); #161740=VERTEX_POINT('',#530260); #161741=VERTEX_POINT('',#530262); #161742=VERTEX_POINT('',#530266); #161743=VERTEX_POINT('',#530268); #161744=VERTEX_POINT('',#530272); #161745=VERTEX_POINT('',#530274); #161746=VERTEX_POINT('',#530278); #161747=VERTEX_POINT('',#530280); #161748=VERTEX_POINT('',#530284); #161749=VERTEX_POINT('',#530286); #161750=VERTEX_POINT('',#530290); #161751=VERTEX_POINT('',#530292); #161752=VERTEX_POINT('',#530296); #161753=VERTEX_POINT('',#530298); #161754=VERTEX_POINT('',#530302); #161755=VERTEX_POINT('',#530304); #161756=VERTEX_POINT('',#530308); #161757=VERTEX_POINT('',#530310); #161758=VERTEX_POINT('',#530314); #161759=VERTEX_POINT('',#530316); #161760=VERTEX_POINT('',#530325); #161761=VERTEX_POINT('',#530326); #161762=VERTEX_POINT('',#530328); #161763=VERTEX_POINT('',#530330); #161764=VERTEX_POINT('',#530334); #161765=VERTEX_POINT('',#530336); #161766=VERTEX_POINT('',#530340); #161767=VERTEX_POINT('',#530342); #161768=VERTEX_POINT('',#530346); #161769=VERTEX_POINT('',#530348); #161770=VERTEX_POINT('',#530352); #161771=VERTEX_POINT('',#530354); #161772=VERTEX_POINT('',#530358); #161773=VERTEX_POINT('',#530360); #161774=VERTEX_POINT('',#530364); #161775=VERTEX_POINT('',#530366); #161776=VERTEX_POINT('',#530370); #161777=VERTEX_POINT('',#530372); #161778=VERTEX_POINT('',#530376); #161779=VERTEX_POINT('',#530378); #161780=VERTEX_POINT('',#530382); #161781=VERTEX_POINT('',#530384); #161782=VERTEX_POINT('',#530388); #161783=VERTEX_POINT('',#530390); #161784=VERTEX_POINT('',#530394); #161785=VERTEX_POINT('',#530396); #161786=VERTEX_POINT('',#530400); #161787=VERTEX_POINT('',#530402); #161788=VERTEX_POINT('',#530406); #161789=VERTEX_POINT('',#530408); #161790=VERTEX_POINT('',#530412); #161791=VERTEX_POINT('',#530414); #161792=VERTEX_POINT('',#530418); #161793=VERTEX_POINT('',#530420); #161794=VERTEX_POINT('',#530424); #161795=VERTEX_POINT('',#530426); #161796=VERTEX_POINT('',#530430); #161797=VERTEX_POINT('',#530432); #161798=VERTEX_POINT('',#530436); #161799=VERTEX_POINT('',#530438); #161800=VERTEX_POINT('',#530442); #161801=VERTEX_POINT('',#530444); #161802=VERTEX_POINT('',#530448); #161803=VERTEX_POINT('',#530450); #161804=VERTEX_POINT('',#530454); #161805=VERTEX_POINT('',#530456); #161806=VERTEX_POINT('',#530460); #161807=VERTEX_POINT('',#530462); #161808=VERTEX_POINT('',#530466); #161809=VERTEX_POINT('',#530468); #161810=VERTEX_POINT('',#530472); #161811=VERTEX_POINT('',#530474); #161812=VERTEX_POINT('',#530478); #161813=VERTEX_POINT('',#530480); #161814=VERTEX_POINT('',#530484); #161815=VERTEX_POINT('',#530486); #161816=VERTEX_POINT('',#530490); #161817=VERTEX_POINT('',#530492); #161818=VERTEX_POINT('',#530496); #161819=VERTEX_POINT('',#530498); #161820=VERTEX_POINT('',#530502); #161821=VERTEX_POINT('',#530504); #161822=VERTEX_POINT('',#530508); #161823=VERTEX_POINT('',#530510); #161824=VERTEX_POINT('',#530514); #161825=VERTEX_POINT('',#530516); #161826=VERTEX_POINT('',#530520); #161827=VERTEX_POINT('',#530522); #161828=VERTEX_POINT('',#530526); #161829=VERTEX_POINT('',#530528); #161830=VERTEX_POINT('',#530532); #161831=VERTEX_POINT('',#530534); #161832=VERTEX_POINT('',#530538); #161833=VERTEX_POINT('',#530540); #161834=VERTEX_POINT('',#530544); #161835=VERTEX_POINT('',#530546); #161836=VERTEX_POINT('',#530550); #161837=VERTEX_POINT('',#530552); #161838=VERTEX_POINT('',#530556); #161839=VERTEX_POINT('',#530558); #161840=VERTEX_POINT('',#530567); #161841=VERTEX_POINT('',#530568); #161842=VERTEX_POINT('',#530570); #161843=VERTEX_POINT('',#530572); #161844=VERTEX_POINT('',#530576); #161845=VERTEX_POINT('',#530578); #161846=VERTEX_POINT('',#530582); #161847=VERTEX_POINT('',#530584); #161848=VERTEX_POINT('',#530588); #161849=VERTEX_POINT('',#530590); #161850=VERTEX_POINT('',#530594); #161851=VERTEX_POINT('',#530596); #161852=VERTEX_POINT('',#530600); #161853=VERTEX_POINT('',#530602); #161854=VERTEX_POINT('',#530606); #161855=VERTEX_POINT('',#530608); #161856=VERTEX_POINT('',#530612); #161857=VERTEX_POINT('',#530614); #161858=VERTEX_POINT('',#530618); #161859=VERTEX_POINT('',#530620); #161860=VERTEX_POINT('',#530624); #161861=VERTEX_POINT('',#530626); #161862=VERTEX_POINT('',#530630); #161863=VERTEX_POINT('',#530632); #161864=VERTEX_POINT('',#530636); #161865=VERTEX_POINT('',#530638); #161866=VERTEX_POINT('',#530642); #161867=VERTEX_POINT('',#530644); #161868=VERTEX_POINT('',#530648); #161869=VERTEX_POINT('',#530650); #161870=VERTEX_POINT('',#530654); #161871=VERTEX_POINT('',#530656); #161872=VERTEX_POINT('',#530660); #161873=VERTEX_POINT('',#530662); #161874=VERTEX_POINT('',#530666); #161875=VERTEX_POINT('',#530668); #161876=VERTEX_POINT('',#530672); #161877=VERTEX_POINT('',#530674); #161878=VERTEX_POINT('',#530678); #161879=VERTEX_POINT('',#530680); #161880=VERTEX_POINT('',#530689); #161881=VERTEX_POINT('',#530690); #161882=VERTEX_POINT('',#530692); #161883=VERTEX_POINT('',#530694); #161884=VERTEX_POINT('',#530698); #161885=VERTEX_POINT('',#530700); #161886=VERTEX_POINT('',#530704); #161887=VERTEX_POINT('',#530706); #161888=VERTEX_POINT('',#530710); #161889=VERTEX_POINT('',#530712); #161890=VERTEX_POINT('',#530716); #161891=VERTEX_POINT('',#530718); #161892=VERTEX_POINT('',#530722); #161893=VERTEX_POINT('',#530724); #161894=VERTEX_POINT('',#530728); #161895=VERTEX_POINT('',#530730); #161896=VERTEX_POINT('',#530734); #161897=VERTEX_POINT('',#530736); #161898=VERTEX_POINT('',#530740); #161899=VERTEX_POINT('',#530742); #161900=VERTEX_POINT('',#530746); #161901=VERTEX_POINT('',#530748); #161902=VERTEX_POINT('',#530752); #161903=VERTEX_POINT('',#530754); #161904=VERTEX_POINT('',#530758); #161905=VERTEX_POINT('',#530760); #161906=VERTEX_POINT('',#530764); #161907=VERTEX_POINT('',#530766); #161908=VERTEX_POINT('',#530770); #161909=VERTEX_POINT('',#530772); #161910=VERTEX_POINT('',#530776); #161911=VERTEX_POINT('',#530778); #161912=VERTEX_POINT('',#530782); #161913=VERTEX_POINT('',#530784); #161914=VERTEX_POINT('',#530788); #161915=VERTEX_POINT('',#530790); #161916=VERTEX_POINT('',#530794); #161917=VERTEX_POINT('',#530796); #161918=VERTEX_POINT('',#530800); #161919=VERTEX_POINT('',#530802); #161920=VERTEX_POINT('',#530811); #161921=VERTEX_POINT('',#530812); #161922=VERTEX_POINT('',#530814); #161923=VERTEX_POINT('',#530816); #161924=VERTEX_POINT('',#530820); #161925=VERTEX_POINT('',#530822); #161926=VERTEX_POINT('',#530826); #161927=VERTEX_POINT('',#530828); #161928=VERTEX_POINT('',#530832); #161929=VERTEX_POINT('',#530834); #161930=VERTEX_POINT('',#530838); #161931=VERTEX_POINT('',#530840); #161932=VERTEX_POINT('',#530844); #161933=VERTEX_POINT('',#530846); #161934=VERTEX_POINT('',#530850); #161935=VERTEX_POINT('',#530852); #161936=VERTEX_POINT('',#530856); #161937=VERTEX_POINT('',#530858); #161938=VERTEX_POINT('',#530862); #161939=VERTEX_POINT('',#530864); #161940=VERTEX_POINT('',#530868); #161941=VERTEX_POINT('',#530870); #161942=VERTEX_POINT('',#530874); #161943=VERTEX_POINT('',#530876); #161944=VERTEX_POINT('',#530880); #161945=VERTEX_POINT('',#530882); #161946=VERTEX_POINT('',#530886); #161947=VERTEX_POINT('',#530888); #161948=VERTEX_POINT('',#530892); #161949=VERTEX_POINT('',#530894); #161950=VERTEX_POINT('',#530898); #161951=VERTEX_POINT('',#530900); #161952=VERTEX_POINT('',#530904); #161953=VERTEX_POINT('',#530906); #161954=VERTEX_POINT('',#530910); #161955=VERTEX_POINT('',#530912); #161956=VERTEX_POINT('',#530916); #161957=VERTEX_POINT('',#530918); #161958=VERTEX_POINT('',#530922); #161959=VERTEX_POINT('',#530924); #161960=VERTEX_POINT('',#530933); #161961=VERTEX_POINT('',#530934); #161962=VERTEX_POINT('',#530936); #161963=VERTEX_POINT('',#530938); #161964=VERTEX_POINT('',#530942); #161965=VERTEX_POINT('',#530944); #161966=VERTEX_POINT('',#530948); #161967=VERTEX_POINT('',#530950); #161968=VERTEX_POINT('',#530954); #161969=VERTEX_POINT('',#530956); #161970=VERTEX_POINT('',#530960); #161971=VERTEX_POINT('',#530962); #161972=VERTEX_POINT('',#530966); #161973=VERTEX_POINT('',#530968); #161974=VERTEX_POINT('',#530972); #161975=VERTEX_POINT('',#530974); #161976=VERTEX_POINT('',#530978); #161977=VERTEX_POINT('',#530980); #161978=VERTEX_POINT('',#530984); #161979=VERTEX_POINT('',#530986); #161980=VERTEX_POINT('',#530990); #161981=VERTEX_POINT('',#530992); #161982=VERTEX_POINT('',#530996); #161983=VERTEX_POINT('',#530998); #161984=VERTEX_POINT('',#531002); #161985=VERTEX_POINT('',#531004); #161986=VERTEX_POINT('',#531008); #161987=VERTEX_POINT('',#531010); #161988=VERTEX_POINT('',#531014); #161989=VERTEX_POINT('',#531016); #161990=VERTEX_POINT('',#531020); #161991=VERTEX_POINT('',#531022); #161992=VERTEX_POINT('',#531026); #161993=VERTEX_POINT('',#531028); #161994=VERTEX_POINT('',#531032); #161995=VERTEX_POINT('',#531034); #161996=VERTEX_POINT('',#531038); #161997=VERTEX_POINT('',#531040); #161998=VERTEX_POINT('',#531044); #161999=VERTEX_POINT('',#531046); #162000=VERTEX_POINT('',#531050); #162001=VERTEX_POINT('',#531052); #162002=VERTEX_POINT('',#531056); #162003=VERTEX_POINT('',#531058); #162004=VERTEX_POINT('',#531062); #162005=VERTEX_POINT('',#531064); #162006=VERTEX_POINT('',#531068); #162007=VERTEX_POINT('',#531070); #162008=VERTEX_POINT('',#531074); #162009=VERTEX_POINT('',#531076); #162010=VERTEX_POINT('',#531080); #162011=VERTEX_POINT('',#531082); #162012=VERTEX_POINT('',#531086); #162013=VERTEX_POINT('',#531088); #162014=VERTEX_POINT('',#531092); #162015=VERTEX_POINT('',#531094); #162016=VERTEX_POINT('',#531098); #162017=VERTEX_POINT('',#531100); #162018=VERTEX_POINT('',#531104); #162019=VERTEX_POINT('',#531106); #162020=VERTEX_POINT('',#531110); #162021=VERTEX_POINT('',#531112); #162022=VERTEX_POINT('',#531116); #162023=VERTEX_POINT('',#531118); #162024=VERTEX_POINT('',#531122); #162025=VERTEX_POINT('',#531124); #162026=VERTEX_POINT('',#531128); #162027=VERTEX_POINT('',#531130); #162028=VERTEX_POINT('',#531134); #162029=VERTEX_POINT('',#531136); #162030=VERTEX_POINT('',#531140); #162031=VERTEX_POINT('',#531142); #162032=VERTEX_POINT('',#531146); #162033=VERTEX_POINT('',#531148); #162034=VERTEX_POINT('',#531152); #162035=VERTEX_POINT('',#531154); #162036=VERTEX_POINT('',#531158); #162037=VERTEX_POINT('',#531160); #162038=VERTEX_POINT('',#531164); #162039=VERTEX_POINT('',#531166); #162040=VERTEX_POINT('',#531175); #162041=VERTEX_POINT('',#531177); #162042=VERTEX_POINT('',#531181); #162043=VERTEX_POINT('',#531182); #162044=VERTEX_POINT('',#531184); #162045=VERTEX_POINT('',#531186); #162046=VERTEX_POINT('',#531190); #162047=VERTEX_POINT('',#531192); #162048=VERTEX_POINT('',#531196); #162049=VERTEX_POINT('',#531198); #162050=VERTEX_POINT('',#531205); #162051=VERTEX_POINT('',#531206); #162052=VERTEX_POINT('',#531208); #162053=VERTEX_POINT('',#531210); #162054=VERTEX_POINT('',#531214); #162055=VERTEX_POINT('',#531216); #162056=VERTEX_POINT('',#531220); #162057=VERTEX_POINT('',#531222); #162058=VERTEX_POINT('',#531226); #162059=VERTEX_POINT('',#531228); #162060=VERTEX_POINT('',#531232); #162061=VERTEX_POINT('',#531234); #162062=VERTEX_POINT('',#531238); #162063=VERTEX_POINT('',#531240); #162064=VERTEX_POINT('',#531244); #162065=VERTEX_POINT('',#531246); #162066=VERTEX_POINT('',#531250); #162067=VERTEX_POINT('',#531252); #162068=VERTEX_POINT('',#531256); #162069=VERTEX_POINT('',#531258); #162070=VERTEX_POINT('',#531262); #162071=VERTEX_POINT('',#531264); #162072=VERTEX_POINT('',#531268); #162073=VERTEX_POINT('',#531270); #162074=VERTEX_POINT('',#531274); #162075=VERTEX_POINT('',#531276); #162076=VERTEX_POINT('',#531280); #162077=VERTEX_POINT('',#531282); #162078=VERTEX_POINT('',#531286); #162079=VERTEX_POINT('',#531288); #162080=VERTEX_POINT('',#531292); #162081=VERTEX_POINT('',#531294); #162082=VERTEX_POINT('',#531298); #162083=VERTEX_POINT('',#531300); #162084=VERTEX_POINT('',#531304); #162085=VERTEX_POINT('',#531306); #162086=VERTEX_POINT('',#531310); #162087=VERTEX_POINT('',#531312); #162088=VERTEX_POINT('',#531316); #162089=VERTEX_POINT('',#531318); #162090=VERTEX_POINT('',#531322); #162091=VERTEX_POINT('',#531324); #162092=VERTEX_POINT('',#531328); #162093=VERTEX_POINT('',#531330); #162094=VERTEX_POINT('',#531334); #162095=VERTEX_POINT('',#531336); #162096=VERTEX_POINT('',#531340); #162097=VERTEX_POINT('',#531342); #162098=VERTEX_POINT('',#531346); #162099=VERTEX_POINT('',#531348); #162100=VERTEX_POINT('',#531352); #162101=VERTEX_POINT('',#531354); #162102=VERTEX_POINT('',#531358); #162103=VERTEX_POINT('',#531360); #162104=VERTEX_POINT('',#531364); #162105=VERTEX_POINT('',#531366); #162106=VERTEX_POINT('',#531370); #162107=VERTEX_POINT('',#531372); #162108=VERTEX_POINT('',#531376); #162109=VERTEX_POINT('',#531378); #162110=VERTEX_POINT('',#531382); #162111=VERTEX_POINT('',#531384); #162112=VERTEX_POINT('',#531388); #162113=VERTEX_POINT('',#531390); #162114=VERTEX_POINT('',#531394); #162115=VERTEX_POINT('',#531396); #162116=VERTEX_POINT('',#531400); #162117=VERTEX_POINT('',#531402); #162118=VERTEX_POINT('',#531406); #162119=VERTEX_POINT('',#531408); #162120=VERTEX_POINT('',#531412); #162121=VERTEX_POINT('',#531414); #162122=VERTEX_POINT('',#531418); #162123=VERTEX_POINT('',#531420); #162124=VERTEX_POINT('',#531424); #162125=VERTEX_POINT('',#531426); #162126=VERTEX_POINT('',#531430); #162127=VERTEX_POINT('',#531432); #162128=VERTEX_POINT('',#531436); #162129=VERTEX_POINT('',#531438); #162130=VERTEX_POINT('',#531442); #162131=VERTEX_POINT('',#531444); #162132=VERTEX_POINT('',#531448); #162133=VERTEX_POINT('',#531450); #162134=VERTEX_POINT('',#531454); #162135=VERTEX_POINT('',#531456); #162136=VERTEX_POINT('',#531460); #162137=VERTEX_POINT('',#531462); #162138=VERTEX_POINT('',#531466); #162139=VERTEX_POINT('',#531468); #162140=VERTEX_POINT('',#531472); #162141=VERTEX_POINT('',#531474); #162142=VERTEX_POINT('',#531478); #162143=VERTEX_POINT('',#531480); #162144=VERTEX_POINT('',#531484); #162145=VERTEX_POINT('',#531486); #162146=VERTEX_POINT('',#531490); #162147=VERTEX_POINT('',#531492); #162148=VERTEX_POINT('',#531496); #162149=VERTEX_POINT('',#531498); #162150=VERTEX_POINT('',#531502); #162151=VERTEX_POINT('',#531504); #162152=VERTEX_POINT('',#531508); #162153=VERTEX_POINT('',#531510); #162154=VERTEX_POINT('',#531514); #162155=VERTEX_POINT('',#531516); #162156=VERTEX_POINT('',#531520); #162157=VERTEX_POINT('',#531522); #162158=VERTEX_POINT('',#531526); #162159=VERTEX_POINT('',#531528); #162160=VERTEX_POINT('',#531532); #162161=VERTEX_POINT('',#531534); #162162=VERTEX_POINT('',#531538); #162163=VERTEX_POINT('',#531540); #162164=VERTEX_POINT('',#531544); #162165=VERTEX_POINT('',#531546); #162166=VERTEX_POINT('',#531550); #162167=VERTEX_POINT('',#531552); #162168=VERTEX_POINT('',#531556); #162169=VERTEX_POINT('',#531558); #162170=VERTEX_POINT('',#531562); #162171=VERTEX_POINT('',#531564); #162172=VERTEX_POINT('',#531568); #162173=VERTEX_POINT('',#531570); #162174=VERTEX_POINT('',#531574); #162175=VERTEX_POINT('',#531576); #162176=VERTEX_POINT('',#531580); #162177=VERTEX_POINT('',#531582); #162178=VERTEX_POINT('',#531586); #162179=VERTEX_POINT('',#531588); #162180=VERTEX_POINT('',#531592); #162181=VERTEX_POINT('',#531594); #162182=VERTEX_POINT('',#531598); #162183=VERTEX_POINT('',#531600); #162184=VERTEX_POINT('',#531604); #162185=VERTEX_POINT('',#531606); #162186=VERTEX_POINT('',#531610); #162187=VERTEX_POINT('',#531612); #162188=VERTEX_POINT('',#531616); #162189=VERTEX_POINT('',#531618); #162190=VERTEX_POINT('',#531622); #162191=VERTEX_POINT('',#531624); #162192=VERTEX_POINT('',#531628); #162193=VERTEX_POINT('',#531630); #162194=VERTEX_POINT('',#531634); #162195=VERTEX_POINT('',#531636); #162196=VERTEX_POINT('',#531640); #162197=VERTEX_POINT('',#531642); #162198=VERTEX_POINT('',#531646); #162199=VERTEX_POINT('',#531648); #162200=VERTEX_POINT('',#531652); #162201=VERTEX_POINT('',#531654); #162202=VERTEX_POINT('',#531658); #162203=VERTEX_POINT('',#531660); #162204=VERTEX_POINT('',#531664); #162205=VERTEX_POINT('',#531666); #162206=VERTEX_POINT('',#531670); #162207=VERTEX_POINT('',#531672); #162208=VERTEX_POINT('',#531676); #162209=VERTEX_POINT('',#531678); #162210=VERTEX_POINT('',#531682); #162211=VERTEX_POINT('',#531684); #162212=VERTEX_POINT('',#531688); #162213=VERTEX_POINT('',#531690); #162214=VERTEX_POINT('',#531694); #162215=VERTEX_POINT('',#531696); #162216=VERTEX_POINT('',#531700); #162217=VERTEX_POINT('',#531702); #162218=VERTEX_POINT('',#531706); #162219=VERTEX_POINT('',#531708); #162220=VERTEX_POINT('',#531712); #162221=VERTEX_POINT('',#531714); #162222=VERTEX_POINT('',#531718); #162223=VERTEX_POINT('',#531720); #162224=VERTEX_POINT('',#531724); #162225=VERTEX_POINT('',#531726); #162226=VERTEX_POINT('',#531730); #162227=VERTEX_POINT('',#531732); #162228=VERTEX_POINT('',#531736); #162229=VERTEX_POINT('',#531738); #162230=VERTEX_POINT('',#531742); #162231=VERTEX_POINT('',#531744); #162232=VERTEX_POINT('',#531748); #162233=VERTEX_POINT('',#531750); #162234=VERTEX_POINT('',#531754); #162235=VERTEX_POINT('',#531756); #162236=VERTEX_POINT('',#531765); #162237=VERTEX_POINT('',#531766); #162238=VERTEX_POINT('',#531768); #162239=VERTEX_POINT('',#531770); #162240=VERTEX_POINT('',#531774); #162241=VERTEX_POINT('',#531776); #162242=VERTEX_POINT('',#531780); #162243=VERTEX_POINT('',#531782); #162244=VERTEX_POINT('',#531786); #162245=VERTEX_POINT('',#531788); #162246=VERTEX_POINT('',#531792); #162247=VERTEX_POINT('',#531794); #162248=VERTEX_POINT('',#531798); #162249=VERTEX_POINT('',#531800); #162250=VERTEX_POINT('',#531804); #162251=VERTEX_POINT('',#531806); #162252=VERTEX_POINT('',#531810); #162253=VERTEX_POINT('',#531812); #162254=VERTEX_POINT('',#531816); #162255=VERTEX_POINT('',#531818); #162256=VERTEX_POINT('',#531822); #162257=VERTEX_POINT('',#531824); #162258=VERTEX_POINT('',#531828); #162259=VERTEX_POINT('',#531830); #162260=VERTEX_POINT('',#531834); #162261=VERTEX_POINT('',#531836); #162262=VERTEX_POINT('',#531840); #162263=VERTEX_POINT('',#531842); #162264=VERTEX_POINT('',#531846); #162265=VERTEX_POINT('',#531848); #162266=VERTEX_POINT('',#531852); #162267=VERTEX_POINT('',#531854); #162268=VERTEX_POINT('',#531858); #162269=VERTEX_POINT('',#531860); #162270=VERTEX_POINT('',#531864); #162271=VERTEX_POINT('',#531866); #162272=VERTEX_POINT('',#531870); #162273=VERTEX_POINT('',#531872); #162274=VERTEX_POINT('',#531876); #162275=VERTEX_POINT('',#531878); #162276=VERTEX_POINT('',#531887); #162277=VERTEX_POINT('',#531889); #162278=VERTEX_POINT('',#531893); #162279=VERTEX_POINT('',#531895); #162280=VERTEX_POINT('',#531899); #162281=VERTEX_POINT('',#531901); #162282=VERTEX_POINT('',#531905); #162283=VERTEX_POINT('',#531907); #162284=VERTEX_POINT('',#531911); #162285=VERTEX_POINT('',#531913); #162286=VERTEX_POINT('',#531917); #162287=VERTEX_POINT('',#531919); #162288=VERTEX_POINT('',#531923); #162289=VERTEX_POINT('',#531925); #162290=VERTEX_POINT('',#531929); #162291=VERTEX_POINT('',#531931); #162292=VERTEX_POINT('',#531935); #162293=VERTEX_POINT('',#531937); #162294=VERTEX_POINT('',#531941); #162295=VERTEX_POINT('',#531943); #162296=VERTEX_POINT('',#531947); #162297=VERTEX_POINT('',#531949); #162298=VERTEX_POINT('',#531953); #162299=VERTEX_POINT('',#531955); #162300=VERTEX_POINT('',#531959); #162301=VERTEX_POINT('',#531961); #162302=VERTEX_POINT('',#531965); #162303=VERTEX_POINT('',#531967); #162304=VERTEX_POINT('',#531971); #162305=VERTEX_POINT('',#531973); #162306=VERTEX_POINT('',#531977); #162307=VERTEX_POINT('',#531978); #162308=VERTEX_POINT('',#531980); #162309=VERTEX_POINT('',#531982); #162310=VERTEX_POINT('',#531986); #162311=VERTEX_POINT('',#531988); #162312=VERTEX_POINT('',#531992); #162313=VERTEX_POINT('',#531994); #162314=VERTEX_POINT('',#531998); #162315=VERTEX_POINT('',#532000); #162316=VERTEX_POINT('',#532004); #162317=VERTEX_POINT('',#532006); #162318=VERTEX_POINT('',#532010); #162319=VERTEX_POINT('',#532012); #162320=VERTEX_POINT('',#532016); #162321=VERTEX_POINT('',#532018); #162322=VERTEX_POINT('',#532022); #162323=VERTEX_POINT('',#532024); #162324=VERTEX_POINT('',#532028); #162325=VERTEX_POINT('',#532030); #162326=VERTEX_POINT('',#532034); #162327=VERTEX_POINT('',#532036); #162328=VERTEX_POINT('',#532040); #162329=VERTEX_POINT('',#532042); #162330=VERTEX_POINT('',#532046); #162331=VERTEX_POINT('',#532048); #162332=VERTEX_POINT('',#532052); #162333=VERTEX_POINT('',#532054); #162334=VERTEX_POINT('',#532058); #162335=VERTEX_POINT('',#532060); #162336=VERTEX_POINT('',#532064); #162337=VERTEX_POINT('',#532066); #162338=VERTEX_POINT('',#532070); #162339=VERTEX_POINT('',#532072); #162340=VERTEX_POINT('',#532076); #162341=VERTEX_POINT('',#532078); #162342=VERTEX_POINT('',#532082); #162343=VERTEX_POINT('',#532084); #162344=VERTEX_POINT('',#532088); #162345=VERTEX_POINT('',#532090); #162346=VERTEX_POINT('',#532094); #162347=VERTEX_POINT('',#532096); #162348=VERTEX_POINT('',#532100); #162349=VERTEX_POINT('',#532102); #162350=VERTEX_POINT('',#532106); #162351=VERTEX_POINT('',#532108); #162352=VERTEX_POINT('',#532112); #162353=VERTEX_POINT('',#532114); #162354=VERTEX_POINT('',#532118); #162355=VERTEX_POINT('',#532120); #162356=VERTEX_POINT('',#532124); #162357=VERTEX_POINT('',#532126); #162358=VERTEX_POINT('',#532130); #162359=VERTEX_POINT('',#532132); #162360=VERTEX_POINT('',#532136); #162361=VERTEX_POINT('',#532138); #162362=VERTEX_POINT('',#532142); #162363=VERTEX_POINT('',#532144); #162364=VERTEX_POINT('',#532148); #162365=VERTEX_POINT('',#532150); #162366=VERTEX_POINT('',#532154); #162367=VERTEX_POINT('',#532156); #162368=VERTEX_POINT('',#532160); #162369=VERTEX_POINT('',#532162); #162370=VERTEX_POINT('',#532166); #162371=VERTEX_POINT('',#532168); #162372=VERTEX_POINT('',#532172); #162373=VERTEX_POINT('',#532174); #162374=VERTEX_POINT('',#532178); #162375=VERTEX_POINT('',#532180); #162376=VERTEX_POINT('',#532184); #162377=VERTEX_POINT('',#532186); #162378=VERTEX_POINT('',#532190); #162379=VERTEX_POINT('',#532192); #162380=VERTEX_POINT('',#532196); #162381=VERTEX_POINT('',#532198); #162382=VERTEX_POINT('',#532202); #162383=VERTEX_POINT('',#532204); #162384=VERTEX_POINT('',#532208); #162385=VERTEX_POINT('',#532210); #162386=VERTEX_POINT('',#532214); #162387=VERTEX_POINT('',#532216); #162388=VERTEX_POINT('',#532225); #162389=VERTEX_POINT('',#532227); #162390=VERTEX_POINT('',#532231); #162391=VERTEX_POINT('',#532232); #162392=VERTEX_POINT('',#532234); #162393=VERTEX_POINT('',#532236); #162394=VERTEX_POINT('',#532240); #162395=VERTEX_POINT('',#532242); #162396=VERTEX_POINT('',#532246); #162397=VERTEX_POINT('',#532248); #162398=VERTEX_POINT('',#532252); #162399=VERTEX_POINT('',#532254); #162400=VERTEX_POINT('',#532258); #162401=VERTEX_POINT('',#532260); #162402=VERTEX_POINT('',#532264); #162403=VERTEX_POINT('',#532266); #162404=VERTEX_POINT('',#532270); #162405=VERTEX_POINT('',#532272); #162406=VERTEX_POINT('',#532276); #162407=VERTEX_POINT('',#532278); #162408=VERTEX_POINT('',#532282); #162409=VERTEX_POINT('',#532284); #162410=VERTEX_POINT('',#532288); #162411=VERTEX_POINT('',#532290); #162412=VERTEX_POINT('',#532294); #162413=VERTEX_POINT('',#532296); #162414=VERTEX_POINT('',#532300); #162415=VERTEX_POINT('',#532302); #162416=VERTEX_POINT('',#532306); #162417=VERTEX_POINT('',#532308); #162418=VERTEX_POINT('',#532312); #162419=VERTEX_POINT('',#532314); #162420=VERTEX_POINT('',#532318); #162421=VERTEX_POINT('',#532320); #162422=VERTEX_POINT('',#532324); #162423=VERTEX_POINT('',#532326); #162424=VERTEX_POINT('',#532330); #162425=VERTEX_POINT('',#532332); #162426=VERTEX_POINT('',#532336); #162427=VERTEX_POINT('',#532338); #162428=VERTEX_POINT('',#532342); #162429=VERTEX_POINT('',#532344); #162430=VERTEX_POINT('',#532348); #162431=VERTEX_POINT('',#532350); #162432=VERTEX_POINT('',#532354); #162433=VERTEX_POINT('',#532356); #162434=VERTEX_POINT('',#532360); #162435=VERTEX_POINT('',#532362); #162436=VERTEX_POINT('',#532366); #162437=VERTEX_POINT('',#532368); #162438=VERTEX_POINT('',#532372); #162439=VERTEX_POINT('',#532374); #162440=VERTEX_POINT('',#532378); #162441=VERTEX_POINT('',#532380); #162442=VERTEX_POINT('',#532384); #162443=VERTEX_POINT('',#532386); #162444=VERTEX_POINT('',#532390); #162445=VERTEX_POINT('',#532392); #162446=VERTEX_POINT('',#532396); #162447=VERTEX_POINT('',#532398); #162448=VERTEX_POINT('',#532402); #162449=VERTEX_POINT('',#532404); #162450=VERTEX_POINT('',#532408); #162451=VERTEX_POINT('',#532410); #162452=VERTEX_POINT('',#532414); #162453=VERTEX_POINT('',#532416); #162454=VERTEX_POINT('',#532420); #162455=VERTEX_POINT('',#532422); #162456=VERTEX_POINT('',#532426); #162457=VERTEX_POINT('',#532428); #162458=VERTEX_POINT('',#532432); #162459=VERTEX_POINT('',#532434); #162460=VERTEX_POINT('',#532438); #162461=VERTEX_POINT('',#532440); #162462=VERTEX_POINT('',#532444); #162463=VERTEX_POINT('',#532446); #162464=VERTEX_POINT('',#532450); #162465=VERTEX_POINT('',#532452); #162466=VERTEX_POINT('',#532456); #162467=VERTEX_POINT('',#532458); #162468=VERTEX_POINT('',#532462); #162469=VERTEX_POINT('',#532464); #162470=VERTEX_POINT('',#532468); #162471=VERTEX_POINT('',#532470); #162472=VERTEX_POINT('',#532474); #162473=VERTEX_POINT('',#532476); #162474=VERTEX_POINT('',#532485); #162475=VERTEX_POINT('',#532487); #162476=VERTEX_POINT('',#532491); #162477=VERTEX_POINT('',#532493); #162478=VERTEX_POINT('',#532497); #162479=VERTEX_POINT('',#532499); #162480=VERTEX_POINT('',#532503); #162481=VERTEX_POINT('',#532505); #162482=VERTEX_POINT('',#532509); #162483=VERTEX_POINT('',#532511); #162484=VERTEX_POINT('',#532515); #162485=VERTEX_POINT('',#532517); #162486=VERTEX_POINT('',#532521); #162487=VERTEX_POINT('',#532523); #162488=VERTEX_POINT('',#532527); #162489=VERTEX_POINT('',#532529); #162490=VERTEX_POINT('',#532533); #162491=VERTEX_POINT('',#532535); #162492=VERTEX_POINT('',#532539); #162493=VERTEX_POINT('',#532541); #162494=VERTEX_POINT('',#532545); #162495=VERTEX_POINT('',#532547); #162496=VERTEX_POINT('',#532551); #162497=VERTEX_POINT('',#532553); #162498=VERTEX_POINT('',#532557); #162499=VERTEX_POINT('',#532559); #162500=VERTEX_POINT('',#532563); #162501=VERTEX_POINT('',#532565); #162502=VERTEX_POINT('',#532569); #162503=VERTEX_POINT('',#532571); #162504=VERTEX_POINT('',#532575); #162505=VERTEX_POINT('',#532576); #162506=VERTEX_POINT('',#532578); #162507=VERTEX_POINT('',#532580); #162508=VERTEX_POINT('',#532584); #162509=VERTEX_POINT('',#532586); #162510=VERTEX_POINT('',#532590); #162511=VERTEX_POINT('',#532592); #162512=VERTEX_POINT('',#532596); #162513=VERTEX_POINT('',#532598); #162514=VERTEX_POINT('',#532602); #162515=VERTEX_POINT('',#532604); #162516=VERTEX_POINT('',#532608); #162517=VERTEX_POINT('',#532610); #162518=VERTEX_POINT('',#532614); #162519=VERTEX_POINT('',#532616); #162520=VERTEX_POINT('',#532620); #162521=VERTEX_POINT('',#532622); #162522=VERTEX_POINT('',#532626); #162523=VERTEX_POINT('',#532628); #162524=VERTEX_POINT('',#532632); #162525=VERTEX_POINT('',#532634); #162526=VERTEX_POINT('',#532638); #162527=VERTEX_POINT('',#532640); #162528=VERTEX_POINT('',#532644); #162529=VERTEX_POINT('',#532646); #162530=VERTEX_POINT('',#532650); #162531=VERTEX_POINT('',#532652); #162532=VERTEX_POINT('',#532656); #162533=VERTEX_POINT('',#532658); #162534=VERTEX_POINT('',#532662); #162535=VERTEX_POINT('',#532664); #162536=VERTEX_POINT('',#532668); #162537=VERTEX_POINT('',#532670); #162538=VERTEX_POINT('',#532674); #162539=VERTEX_POINT('',#532676); #162540=VERTEX_POINT('',#532680); #162541=VERTEX_POINT('',#532682); #162542=VERTEX_POINT('',#532686); #162543=VERTEX_POINT('',#532688); #162544=VERTEX_POINT('',#532692); #162545=VERTEX_POINT('',#532694); #162546=VERTEX_POINT('',#532698); #162547=VERTEX_POINT('',#532700); #162548=VERTEX_POINT('',#532704); #162549=VERTEX_POINT('',#532706); #162550=VERTEX_POINT('',#532710); #162551=VERTEX_POINT('',#532712); #162552=VERTEX_POINT('',#532716); #162553=VERTEX_POINT('',#532718); #162554=VERTEX_POINT('',#532722); #162555=VERTEX_POINT('',#532724); #162556=VERTEX_POINT('',#532728); #162557=VERTEX_POINT('',#532730); #162558=VERTEX_POINT('',#532734); #162559=VERTEX_POINT('',#532736); #162560=VERTEX_POINT('',#532740); #162561=VERTEX_POINT('',#532742); #162562=VERTEX_POINT('',#532746); #162563=VERTEX_POINT('',#532748); #162564=VERTEX_POINT('',#532752); #162565=VERTEX_POINT('',#532754); #162566=VERTEX_POINT('',#532758); #162567=VERTEX_POINT('',#532760); #162568=VERTEX_POINT('',#532764); #162569=VERTEX_POINT('',#532766); #162570=VERTEX_POINT('',#532770); #162571=VERTEX_POINT('',#532772); #162572=VERTEX_POINT('',#532776); #162573=VERTEX_POINT('',#532778); #162574=VERTEX_POINT('',#532782); #162575=VERTEX_POINT('',#532784); #162576=VERTEX_POINT('',#532788); #162577=VERTEX_POINT('',#532790); #162578=VERTEX_POINT('',#532794); #162579=VERTEX_POINT('',#532796); #162580=VERTEX_POINT('',#532800); #162581=VERTEX_POINT('',#532802); #162582=VERTEX_POINT('',#532806); #162583=VERTEX_POINT('',#532808); #162584=VERTEX_POINT('',#532812); #162585=VERTEX_POINT('',#532814); #162586=VERTEX_POINT('',#532818); #162587=VERTEX_POINT('',#532820); #162588=VERTEX_POINT('',#532824); #162589=VERTEX_POINT('',#532826); #162590=VERTEX_POINT('',#532830); #162591=VERTEX_POINT('',#532832); #162592=VERTEX_POINT('',#532836); #162593=VERTEX_POINT('',#532838); #162594=VERTEX_POINT('',#532842); #162595=VERTEX_POINT('',#532844); #162596=VERTEX_POINT('',#532848); #162597=VERTEX_POINT('',#532850); #162598=VERTEX_POINT('',#532854); #162599=VERTEX_POINT('',#532856); #162600=VERTEX_POINT('',#532860); #162601=VERTEX_POINT('',#532862); #162602=VERTEX_POINT('',#532871); #162603=VERTEX_POINT('',#532873); #162604=VERTEX_POINT('',#532877); #162605=VERTEX_POINT('',#532878); #162606=VERTEX_POINT('',#532880); #162607=VERTEX_POINT('',#532882); #162608=VERTEX_POINT('',#532886); #162609=VERTEX_POINT('',#532888); #162610=VERTEX_POINT('',#532892); #162611=VERTEX_POINT('',#532894); #162612=VERTEX_POINT('',#532898); #162613=VERTEX_POINT('',#532900); #162614=VERTEX_POINT('',#532904); #162615=VERTEX_POINT('',#532906); #162616=VERTEX_POINT('',#532910); #162617=VERTEX_POINT('',#532912); #162618=VERTEX_POINT('',#532916); #162619=VERTEX_POINT('',#532918); #162620=VERTEX_POINT('',#532922); #162621=VERTEX_POINT('',#532924); #162622=VERTEX_POINT('',#532928); #162623=VERTEX_POINT('',#532930); #162624=VERTEX_POINT('',#532934); #162625=VERTEX_POINT('',#532936); #162626=VERTEX_POINT('',#532940); #162627=VERTEX_POINT('',#532942); #162628=VERTEX_POINT('',#532946); #162629=VERTEX_POINT('',#532948); #162630=VERTEX_POINT('',#532952); #162631=VERTEX_POINT('',#532954); #162632=VERTEX_POINT('',#532958); #162633=VERTEX_POINT('',#532960); #162634=VERTEX_POINT('',#532964); #162635=VERTEX_POINT('',#532966); #162636=VERTEX_POINT('',#532970); #162637=VERTEX_POINT('',#532972); #162638=VERTEX_POINT('',#532976); #162639=VERTEX_POINT('',#532978); #162640=VERTEX_POINT('',#532982); #162641=VERTEX_POINT('',#532984); #162642=VERTEX_POINT('',#532988); #162643=VERTEX_POINT('',#532990); #162644=VERTEX_POINT('',#532994); #162645=VERTEX_POINT('',#532996); #162646=VERTEX_POINT('',#533000); #162647=VERTEX_POINT('',#533002); #162648=VERTEX_POINT('',#533006); #162649=VERTEX_POINT('',#533008); #162650=VERTEX_POINT('',#533012); #162651=VERTEX_POINT('',#533014); #162652=VERTEX_POINT('',#533018); #162653=VERTEX_POINT('',#533020); #162654=VERTEX_POINT('',#533024); #162655=VERTEX_POINT('',#533026); #162656=VERTEX_POINT('',#533030); #162657=VERTEX_POINT('',#533032); #162658=VERTEX_POINT('',#533036); #162659=VERTEX_POINT('',#533038); #162660=VERTEX_POINT('',#533042); #162661=VERTEX_POINT('',#533044); #162662=VERTEX_POINT('',#533048); #162663=VERTEX_POINT('',#533050); #162664=VERTEX_POINT('',#533054); #162665=VERTEX_POINT('',#533056); #162666=VERTEX_POINT('',#533060); #162667=VERTEX_POINT('',#533062); #162668=VERTEX_POINT('',#533066); #162669=VERTEX_POINT('',#533068); #162670=VERTEX_POINT('',#533072); #162671=VERTEX_POINT('',#533074); #162672=VERTEX_POINT('',#533078); #162673=VERTEX_POINT('',#533080); #162674=VERTEX_POINT('',#533084); #162675=VERTEX_POINT('',#533086); #162676=VERTEX_POINT('',#533090); #162677=VERTEX_POINT('',#533092); #162678=VERTEX_POINT('',#533096); #162679=VERTEX_POINT('',#533098); #162680=VERTEX_POINT('',#533102); #162681=VERTEX_POINT('',#533104); #162682=VERTEX_POINT('',#533108); #162683=VERTEX_POINT('',#533110); #162684=VERTEX_POINT('',#533114); #162685=VERTEX_POINT('',#533116); #162686=VERTEX_POINT('',#533120); #162687=VERTEX_POINT('',#533122); #162688=VERTEX_POINT('',#533131); #162689=VERTEX_POINT('',#533133); #162690=VERTEX_POINT('',#533137); #162691=VERTEX_POINT('',#533139); #162692=VERTEX_POINT('',#533143); #162693=VERTEX_POINT('',#533145); #162694=VERTEX_POINT('',#533149); #162695=VERTEX_POINT('',#533151); #162696=VERTEX_POINT('',#533155); #162697=VERTEX_POINT('',#533157); #162698=VERTEX_POINT('',#533161); #162699=VERTEX_POINT('',#533163); #162700=VERTEX_POINT('',#533167); #162701=VERTEX_POINT('',#533169); #162702=VERTEX_POINT('',#533173); #162703=VERTEX_POINT('',#533175); #162704=VERTEX_POINT('',#533179); #162705=VERTEX_POINT('',#533181); #162706=VERTEX_POINT('',#533185); #162707=VERTEX_POINT('',#533187); #162708=VERTEX_POINT('',#533191); #162709=VERTEX_POINT('',#533193); #162710=VERTEX_POINT('',#533197); #162711=VERTEX_POINT('',#533199); #162712=VERTEX_POINT('',#533203); #162713=VERTEX_POINT('',#533205); #162714=VERTEX_POINT('',#533209); #162715=VERTEX_POINT('',#533211); #162716=VERTEX_POINT('',#533215); #162717=VERTEX_POINT('',#533217); #162718=VERTEX_POINT('',#533221); #162719=VERTEX_POINT('',#533223); #162720=VERTEX_POINT('',#533227); #162721=VERTEX_POINT('',#533229); #162722=VERTEX_POINT('',#533233); #162723=VERTEX_POINT('',#533235); #162724=VERTEX_POINT('',#533239); #162725=VERTEX_POINT('',#533241); #162726=VERTEX_POINT('',#533245); #162727=VERTEX_POINT('',#533247); #162728=VERTEX_POINT('',#533251); #162729=VERTEX_POINT('',#533253); #162730=VERTEX_POINT('',#533257); #162731=VERTEX_POINT('',#533259); #162732=VERTEX_POINT('',#533263); #162733=VERTEX_POINT('',#533265); #162734=VERTEX_POINT('',#533269); #162735=VERTEX_POINT('',#533271); #162736=VERTEX_POINT('',#533275); #162737=VERTEX_POINT('',#533277); #162738=VERTEX_POINT('',#533281); #162739=VERTEX_POINT('',#533283); #162740=VERTEX_POINT('',#533287); #162741=VERTEX_POINT('',#533289); #162742=VERTEX_POINT('',#533293); #162743=VERTEX_POINT('',#533295); #162744=VERTEX_POINT('',#533299); #162745=VERTEX_POINT('',#533301); #162746=VERTEX_POINT('',#533305); #162747=VERTEX_POINT('',#533307); #162748=VERTEX_POINT('',#533311); #162749=VERTEX_POINT('',#533313); #162750=VERTEX_POINT('',#533317); #162751=VERTEX_POINT('',#533318); #162752=VERTEX_POINT('',#533320); #162753=VERTEX_POINT('',#533322); #162754=VERTEX_POINT('',#533326); #162755=VERTEX_POINT('',#533328); #162756=VERTEX_POINT('',#533332); #162757=VERTEX_POINT('',#533334); #162758=VERTEX_POINT('',#533338); #162759=VERTEX_POINT('',#533340); #162760=VERTEX_POINT('',#533344); #162761=VERTEX_POINT('',#533346); #162762=VERTEX_POINT('',#533350); #162763=VERTEX_POINT('',#533352); #162764=VERTEX_POINT('',#533356); #162765=VERTEX_POINT('',#533358); #162766=VERTEX_POINT('',#533362); #162767=VERTEX_POINT('',#533364); #162768=VERTEX_POINT('',#533368); #162769=VERTEX_POINT('',#533370); #162770=VERTEX_POINT('',#533374); #162771=VERTEX_POINT('',#533376); #162772=VERTEX_POINT('',#533380); #162773=VERTEX_POINT('',#533382); #162774=VERTEX_POINT('',#533386); #162775=VERTEX_POINT('',#533388); #162776=VERTEX_POINT('',#533392); #162777=VERTEX_POINT('',#533394); #162778=VERTEX_POINT('',#533398); #162779=VERTEX_POINT('',#533400); #162780=VERTEX_POINT('',#533404); #162781=VERTEX_POINT('',#533406); #162782=VERTEX_POINT('',#533410); #162783=VERTEX_POINT('',#533412); #162784=VERTEX_POINT('',#533416); #162785=VERTEX_POINT('',#533418); #162786=VERTEX_POINT('',#533422); #162787=VERTEX_POINT('',#533424); #162788=VERTEX_POINT('',#533428); #162789=VERTEX_POINT('',#533430); #162790=VERTEX_POINT('',#533434); #162791=VERTEX_POINT('',#533436); #162792=VERTEX_POINT('',#533440); #162793=VERTEX_POINT('',#533442); #162794=VERTEX_POINT('',#533446); #162795=VERTEX_POINT('',#533448); #162796=VERTEX_POINT('',#533452); #162797=VERTEX_POINT('',#533454); #162798=VERTEX_POINT('',#533458); #162799=VERTEX_POINT('',#533460); #162800=VERTEX_POINT('',#533464); #162801=VERTEX_POINT('',#533466); #162802=VERTEX_POINT('',#533470); #162803=VERTEX_POINT('',#533472); #162804=VERTEX_POINT('',#533476); #162805=VERTEX_POINT('',#533478); #162806=VERTEX_POINT('',#533482); #162807=VERTEX_POINT('',#533484); #162808=VERTEX_POINT('',#533488); #162809=VERTEX_POINT('',#533490); #162810=VERTEX_POINT('',#533494); #162811=VERTEX_POINT('',#533496); #162812=VERTEX_POINT('',#533500); #162813=VERTEX_POINT('',#533502); #162814=VERTEX_POINT('',#533506); #162815=VERTEX_POINT('',#533508); #162816=VERTEX_POINT('',#533512); #162817=VERTEX_POINT('',#533514); #162818=VERTEX_POINT('',#533518); #162819=VERTEX_POINT('',#533520); #162820=VERTEX_POINT('',#533524); #162821=VERTEX_POINT('',#533526); #162822=VERTEX_POINT('',#533530); #162823=VERTEX_POINT('',#533532); #162824=VERTEX_POINT('',#533536); #162825=VERTEX_POINT('',#533538); #162826=VERTEX_POINT('',#533542); #162827=VERTEX_POINT('',#533544); #162828=VERTEX_POINT('',#533548); #162829=VERTEX_POINT('',#533550); #162830=VERTEX_POINT('',#533554); #162831=VERTEX_POINT('',#533556); #162832=VERTEX_POINT('',#533560); #162833=VERTEX_POINT('',#533562); #162834=VERTEX_POINT('',#533566); #162835=VERTEX_POINT('',#533568); #162836=VERTEX_POINT('',#533572); #162837=VERTEX_POINT('',#533574); #162838=VERTEX_POINT('',#533578); #162839=VERTEX_POINT('',#533580); #162840=VERTEX_POINT('',#533584); #162841=VERTEX_POINT('',#533586); #162842=VERTEX_POINT('',#533590); #162843=VERTEX_POINT('',#533592); #162844=VERTEX_POINT('',#533596); #162845=VERTEX_POINT('',#533598); #162846=VERTEX_POINT('',#533602); #162847=VERTEX_POINT('',#533604); #162848=VERTEX_POINT('',#533608); #162849=VERTEX_POINT('',#533610); #162850=VERTEX_POINT('',#533614); #162851=VERTEX_POINT('',#533616); #162852=VERTEX_POINT('',#533620); #162853=VERTEX_POINT('',#533622); #162854=VERTEX_POINT('',#533626); #162855=VERTEX_POINT('',#533628); #162856=VERTEX_POINT('',#533632); #162857=VERTEX_POINT('',#533634); #162858=VERTEX_POINT('',#533638); #162859=VERTEX_POINT('',#533640); #162860=VERTEX_POINT('',#533644); #162861=VERTEX_POINT('',#533646); #162862=VERTEX_POINT('',#533650); #162863=VERTEX_POINT('',#533652); #162864=VERTEX_POINT('',#533656); #162865=VERTEX_POINT('',#533658); #162866=VERTEX_POINT('',#533662); #162867=VERTEX_POINT('',#533664); #162868=VERTEX_POINT('',#533668); #162869=VERTEX_POINT('',#533670); #162870=VERTEX_POINT('',#533674); #162871=VERTEX_POINT('',#533676); #162872=VERTEX_POINT('',#533680); #162873=VERTEX_POINT('',#533682); #162874=VERTEX_POINT('',#533686); #162875=VERTEX_POINT('',#533688); #162876=VERTEX_POINT('',#533692); #162877=VERTEX_POINT('',#533694); #162878=VERTEX_POINT('',#533698); #162879=VERTEX_POINT('',#533700); #162880=VERTEX_POINT('',#533704); #162881=VERTEX_POINT('',#533706); #162882=VERTEX_POINT('',#533710); #162883=VERTEX_POINT('',#533712); #162884=VERTEX_POINT('',#533716); #162885=VERTEX_POINT('',#533718); #162886=VERTEX_POINT('',#533722); #162887=VERTEX_POINT('',#533724); #162888=VERTEX_POINT('',#533728); #162889=VERTEX_POINT('',#533730); #162890=VERTEX_POINT('',#533734); #162891=VERTEX_POINT('',#533736); #162892=VERTEX_POINT('',#533740); #162893=VERTEX_POINT('',#533742); #162894=VERTEX_POINT('',#533746); #162895=VERTEX_POINT('',#533748); #162896=VERTEX_POINT('',#533752); #162897=VERTEX_POINT('',#533754); #162898=VERTEX_POINT('',#533758); #162899=VERTEX_POINT('',#533760); #162900=VERTEX_POINT('',#533764); #162901=VERTEX_POINT('',#533766); #162902=VERTEX_POINT('',#533770); #162903=VERTEX_POINT('',#533772); #162904=VERTEX_POINT('',#533776); #162905=VERTEX_POINT('',#533778); #162906=VERTEX_POINT('',#533782); #162907=VERTEX_POINT('',#533784); #162908=VERTEX_POINT('',#533788); #162909=VERTEX_POINT('',#533790); #162910=VERTEX_POINT('',#533794); #162911=VERTEX_POINT('',#533796); #162912=VERTEX_POINT('',#533800); #162913=VERTEX_POINT('',#533802); #162914=VERTEX_POINT('',#533806); #162915=VERTEX_POINT('',#533808); #162916=VERTEX_POINT('',#533812); #162917=VERTEX_POINT('',#533814); #162918=VERTEX_POINT('',#533818); #162919=VERTEX_POINT('',#533820); #162920=VERTEX_POINT('',#533829); #162921=VERTEX_POINT('',#533831); #162922=VERTEX_POINT('',#533835); #162923=VERTEX_POINT('',#533836); #162924=VERTEX_POINT('',#533838); #162925=VERTEX_POINT('',#533840); #162926=VERTEX_POINT('',#533844); #162927=VERTEX_POINT('',#533846); #162928=VERTEX_POINT('',#533850); #162929=VERTEX_POINT('',#533852); #162930=VERTEX_POINT('',#533856); #162931=VERTEX_POINT('',#533858); #162932=VERTEX_POINT('',#533862); #162933=VERTEX_POINT('',#533864); #162934=VERTEX_POINT('',#533868); #162935=VERTEX_POINT('',#533870); #162936=VERTEX_POINT('',#533874); #162937=VERTEX_POINT('',#533876); #162938=VERTEX_POINT('',#533880); #162939=VERTEX_POINT('',#533882); #162940=VERTEX_POINT('',#533886); #162941=VERTEX_POINT('',#533888); #162942=VERTEX_POINT('',#533892); #162943=VERTEX_POINT('',#533894); #162944=VERTEX_POINT('',#533898); #162945=VERTEX_POINT('',#533900); #162946=VERTEX_POINT('',#533904); #162947=VERTEX_POINT('',#533906); #162948=VERTEX_POINT('',#533910); #162949=VERTEX_POINT('',#533912); #162950=VERTEX_POINT('',#533916); #162951=VERTEX_POINT('',#533918); #162952=VERTEX_POINT('',#533922); #162953=VERTEX_POINT('',#533924); #162954=VERTEX_POINT('',#533928); #162955=VERTEX_POINT('',#533930); #162956=VERTEX_POINT('',#533934); #162957=VERTEX_POINT('',#533936); #162958=VERTEX_POINT('',#533940); #162959=VERTEX_POINT('',#533942); #162960=VERTEX_POINT('',#533946); #162961=VERTEX_POINT('',#533948); #162962=VERTEX_POINT('',#533952); #162963=VERTEX_POINT('',#533954); #162964=VERTEX_POINT('',#533963); #162965=VERTEX_POINT('',#533965); #162966=VERTEX_POINT('',#533969); #162967=VERTEX_POINT('',#533971); #162968=VERTEX_POINT('',#533975); #162969=VERTEX_POINT('',#533977); #162970=VERTEX_POINT('',#533981); #162971=VERTEX_POINT('',#533983); #162972=VERTEX_POINT('',#533987); #162973=VERTEX_POINT('',#533989); #162974=VERTEX_POINT('',#533993); #162975=VERTEX_POINT('',#533995); #162976=VERTEX_POINT('',#533999); #162977=VERTEX_POINT('',#534001); #162978=VERTEX_POINT('',#534005); #162979=VERTEX_POINT('',#534007); #162980=VERTEX_POINT('',#534011); #162981=VERTEX_POINT('',#534013); #162982=VERTEX_POINT('',#534017); #162983=VERTEX_POINT('',#534019); #162984=VERTEX_POINT('',#534023); #162985=VERTEX_POINT('',#534025); #162986=VERTEX_POINT('',#534029); #162987=VERTEX_POINT('',#534030); #162988=VERTEX_POINT('',#534032); #162989=VERTEX_POINT('',#534034); #162990=VERTEX_POINT('',#534038); #162991=VERTEX_POINT('',#534040); #162992=VERTEX_POINT('',#534044); #162993=VERTEX_POINT('',#534046); #162994=VERTEX_POINT('',#534050); #162995=VERTEX_POINT('',#534052); #162996=VERTEX_POINT('',#534056); #162997=VERTEX_POINT('',#534058); #162998=VERTEX_POINT('',#534062); #162999=VERTEX_POINT('',#534064); #163000=VERTEX_POINT('',#534068); #163001=VERTEX_POINT('',#534070); #163002=VERTEX_POINT('',#534074); #163003=VERTEX_POINT('',#534076); #163004=VERTEX_POINT('',#534080); #163005=VERTEX_POINT('',#534082); #163006=VERTEX_POINT('',#534086); #163007=VERTEX_POINT('',#534088); #163008=VERTEX_POINT('',#534092); #163009=VERTEX_POINT('',#534094); #163010=VERTEX_POINT('',#534098); #163011=VERTEX_POINT('',#534100); #163012=VERTEX_POINT('',#534104); #163013=VERTEX_POINT('',#534106); #163014=VERTEX_POINT('',#534110); #163015=VERTEX_POINT('',#534112); #163016=VERTEX_POINT('',#534116); #163017=VERTEX_POINT('',#534118); #163018=VERTEX_POINT('',#534122); #163019=VERTEX_POINT('',#534124); #163020=VERTEX_POINT('',#534128); #163021=VERTEX_POINT('',#534130); #163022=VERTEX_POINT('',#534134); #163023=VERTEX_POINT('',#534136); #163024=VERTEX_POINT('',#534140); #163025=VERTEX_POINT('',#534142); #163026=VERTEX_POINT('',#534146); #163027=VERTEX_POINT('',#534148); #163028=VERTEX_POINT('',#534152); #163029=VERTEX_POINT('',#534154); #163030=VERTEX_POINT('',#534158); #163031=VERTEX_POINT('',#534160); #163032=VERTEX_POINT('',#534164); #163033=VERTEX_POINT('',#534166); #163034=VERTEX_POINT('',#534170); #163035=VERTEX_POINT('',#534172); #163036=VERTEX_POINT('',#534176); #163037=VERTEX_POINT('',#534178); #163038=VERTEX_POINT('',#534182); #163039=VERTEX_POINT('',#534184); #163040=VERTEX_POINT('',#534188); #163041=VERTEX_POINT('',#534190); #163042=VERTEX_POINT('',#534194); #163043=VERTEX_POINT('',#534196); #163044=VERTEX_POINT('',#534200); #163045=VERTEX_POINT('',#534202); #163046=VERTEX_POINT('',#534206); #163047=VERTEX_POINT('',#534208); #163048=VERTEX_POINT('',#534212); #163049=VERTEX_POINT('',#534214); #163050=VERTEX_POINT('',#534218); #163051=VERTEX_POINT('',#534220); #163052=VERTEX_POINT('',#534224); #163053=VERTEX_POINT('',#534226); #163054=VERTEX_POINT('',#534230); #163055=VERTEX_POINT('',#534232); #163056=VERTEX_POINT('',#534236); #163057=VERTEX_POINT('',#534238); #163058=VERTEX_POINT('',#534242); #163059=VERTEX_POINT('',#534244); #163060=VERTEX_POINT('',#534248); #163061=VERTEX_POINT('',#534250); #163062=VERTEX_POINT('',#534254); #163063=VERTEX_POINT('',#534256); #163064=VERTEX_POINT('',#534260); #163065=VERTEX_POINT('',#534262); #163066=VERTEX_POINT('',#534266); #163067=VERTEX_POINT('',#534268); #163068=VERTEX_POINT('',#534272); #163069=VERTEX_POINT('',#534274); #163070=VERTEX_POINT('',#534278); #163071=VERTEX_POINT('',#534280); #163072=VERTEX_POINT('',#534284); #163073=VERTEX_POINT('',#534286); #163074=VERTEX_POINT('',#534290); #163075=VERTEX_POINT('',#534292); #163076=VERTEX_POINT('',#534296); #163077=VERTEX_POINT('',#534298); #163078=VERTEX_POINT('',#534302); #163079=VERTEX_POINT('',#534304); #163080=VERTEX_POINT('',#534308); #163081=VERTEX_POINT('',#534310); #163082=VERTEX_POINT('',#534314); #163083=VERTEX_POINT('',#534316); #163084=VERTEX_POINT('',#534320); #163085=VERTEX_POINT('',#534322); #163086=VERTEX_POINT('',#534326); #163087=VERTEX_POINT('',#534328); #163088=VERTEX_POINT('',#534332); #163089=VERTEX_POINT('',#534334); #163090=VERTEX_POINT('',#534338); #163091=VERTEX_POINT('',#534340); #163092=VERTEX_POINT('',#534344); #163093=VERTEX_POINT('',#534346); #163094=VERTEX_POINT('',#534350); #163095=VERTEX_POINT('',#534352); #163096=VERTEX_POINT('',#534356); #163097=VERTEX_POINT('',#534358); #163098=VERTEX_POINT('',#534362); #163099=VERTEX_POINT('',#534364); #163100=VERTEX_POINT('',#534368); #163101=VERTEX_POINT('',#534370); #163102=VERTEX_POINT('',#534374); #163103=VERTEX_POINT('',#534376); #163104=VERTEX_POINT('',#534380); #163105=VERTEX_POINT('',#534382); #163106=VERTEX_POINT('',#534386); #163107=VERTEX_POINT('',#534388); #163108=VERTEX_POINT('',#534392); #163109=VERTEX_POINT('',#534394); #163110=VERTEX_POINT('',#534398); #163111=VERTEX_POINT('',#534400); #163112=VERTEX_POINT('',#534404); #163113=VERTEX_POINT('',#534406); #163114=VERTEX_POINT('',#534410); #163115=VERTEX_POINT('',#534412); #163116=VERTEX_POINT('',#534416); #163117=VERTEX_POINT('',#534418); #163118=VERTEX_POINT('',#534422); #163119=VERTEX_POINT('',#534424); #163120=VERTEX_POINT('',#534428); #163121=VERTEX_POINT('',#534430); #163122=VERTEX_POINT('',#534434); #163123=VERTEX_POINT('',#534436); #163124=VERTEX_POINT('',#534440); #163125=VERTEX_POINT('',#534442); #163126=VERTEX_POINT('',#534446); #163127=VERTEX_POINT('',#534448); #163128=VERTEX_POINT('',#534452); #163129=VERTEX_POINT('',#534454); #163130=VERTEX_POINT('',#534458); #163131=VERTEX_POINT('',#534460); #163132=VERTEX_POINT('',#534464); #163133=VERTEX_POINT('',#534466); #163134=VERTEX_POINT('',#534470); #163135=VERTEX_POINT('',#534472); #163136=VERTEX_POINT('',#534476); #163137=VERTEX_POINT('',#534478); #163138=VERTEX_POINT('',#534482); #163139=VERTEX_POINT('',#534484); #163140=VERTEX_POINT('',#534488); #163141=VERTEX_POINT('',#534490); #163142=VERTEX_POINT('',#534494); #163143=VERTEX_POINT('',#534496); #163144=VERTEX_POINT('',#534500); #163145=VERTEX_POINT('',#534502); #163146=VERTEX_POINT('',#534506); #163147=VERTEX_POINT('',#534508); #163148=VERTEX_POINT('',#534512); #163149=VERTEX_POINT('',#534514); #163150=VERTEX_POINT('',#534518); #163151=VERTEX_POINT('',#534520); #163152=VERTEX_POINT('',#534524); #163153=VERTEX_POINT('',#534526); #163154=VERTEX_POINT('',#534530); #163155=VERTEX_POINT('',#534532); #163156=VERTEX_POINT('',#534536); #163157=VERTEX_POINT('',#534538); #163158=VERTEX_POINT('',#534542); #163159=VERTEX_POINT('',#534544); #163160=VERTEX_POINT('',#534548); #163161=VERTEX_POINT('',#534550); #163162=VERTEX_POINT('',#534554); #163163=VERTEX_POINT('',#534556); #163164=VERTEX_POINT('',#534560); #163165=VERTEX_POINT('',#534562); #163166=VERTEX_POINT('',#534566); #163167=VERTEX_POINT('',#534568); #163168=VERTEX_POINT('',#534572); #163169=VERTEX_POINT('',#534574); #163170=VERTEX_POINT('',#534578); #163171=VERTEX_POINT('',#534580); #163172=VERTEX_POINT('',#534584); #163173=VERTEX_POINT('',#534586); #163174=VERTEX_POINT('',#534590); #163175=VERTEX_POINT('',#534592); #163176=VERTEX_POINT('',#534596); #163177=VERTEX_POINT('',#534598); #163178=VERTEX_POINT('',#534602); #163179=VERTEX_POINT('',#534604); #163180=VERTEX_POINT('',#534608); #163181=VERTEX_POINT('',#534610); #163182=VERTEX_POINT('',#534614); #163183=VERTEX_POINT('',#534616); #163184=VERTEX_POINT('',#534620); #163185=VERTEX_POINT('',#534622); #163186=VERTEX_POINT('',#534626); #163187=VERTEX_POINT('',#534628); #163188=VERTEX_POINT('',#534632); #163189=VERTEX_POINT('',#534634); #163190=VERTEX_POINT('',#534638); #163191=VERTEX_POINT('',#534640); #163192=VERTEX_POINT('',#534644); #163193=VERTEX_POINT('',#534646); #163194=VERTEX_POINT('',#534650); #163195=VERTEX_POINT('',#534652); #163196=VERTEX_POINT('',#534656); #163197=VERTEX_POINT('',#534658); #163198=VERTEX_POINT('',#534662); #163199=VERTEX_POINT('',#534664); #163200=VERTEX_POINT('',#534668); #163201=VERTEX_POINT('',#534670); #163202=VERTEX_POINT('',#534674); #163203=VERTEX_POINT('',#534676); #163204=VERTEX_POINT('',#534680); #163205=VERTEX_POINT('',#534682); #163206=VERTEX_POINT('',#534686); #163207=VERTEX_POINT('',#534688); #163208=VERTEX_POINT('',#534692); #163209=VERTEX_POINT('',#534694); #163210=VERTEX_POINT('',#534698); #163211=VERTEX_POINT('',#534700); #163212=VERTEX_POINT('',#534704); #163213=VERTEX_POINT('',#534706); #163214=VERTEX_POINT('',#534710); #163215=VERTEX_POINT('',#534712); #163216=VERTEX_POINT('',#534716); #163217=VERTEX_POINT('',#534718); #163218=VERTEX_POINT('',#534722); #163219=VERTEX_POINT('',#534724); #163220=VERTEX_POINT('',#534728); #163221=VERTEX_POINT('',#534730); #163222=VERTEX_POINT('',#534734); #163223=VERTEX_POINT('',#534736); #163224=VERTEX_POINT('',#534740); #163225=VERTEX_POINT('',#534742); #163226=VERTEX_POINT('',#534746); #163227=VERTEX_POINT('',#534748); #163228=VERTEX_POINT('',#534752); #163229=VERTEX_POINT('',#534754); #163230=VERTEX_POINT('',#534758); #163231=VERTEX_POINT('',#534760); #163232=VERTEX_POINT('',#534764); #163233=VERTEX_POINT('',#534766); #163234=VERTEX_POINT('',#534775); #163235=VERTEX_POINT('',#534777); #163236=VERTEX_POINT('',#534781); #163237=VERTEX_POINT('',#534783); #163238=VERTEX_POINT('',#534787); #163239=VERTEX_POINT('',#534789); #163240=VERTEX_POINT('',#534793); #163241=VERTEX_POINT('',#534795); #163242=VERTEX_POINT('',#534799); #163243=VERTEX_POINT('',#534801); #163244=VERTEX_POINT('',#534805); #163245=VERTEX_POINT('',#534807); #163246=VERTEX_POINT('',#534811); #163247=VERTEX_POINT('',#534813); #163248=VERTEX_POINT('',#534817); #163249=VERTEX_POINT('',#534819); #163250=VERTEX_POINT('',#534823); #163251=VERTEX_POINT('',#534825); #163252=VERTEX_POINT('',#534829); #163253=VERTEX_POINT('',#534831); #163254=VERTEX_POINT('',#534835); #163255=VERTEX_POINT('',#534837); #163256=VERTEX_POINT('',#534841); #163257=VERTEX_POINT('',#534843); #163258=VERTEX_POINT('',#534847); #163259=VERTEX_POINT('',#534849); #163260=VERTEX_POINT('',#534853); #163261=VERTEX_POINT('',#534855); #163262=VERTEX_POINT('',#534859); #163263=VERTEX_POINT('',#534861); #163264=VERTEX_POINT('',#534865); #163265=VERTEX_POINT('',#534867); #163266=VERTEX_POINT('',#534871); #163267=VERTEX_POINT('',#534873); #163268=VERTEX_POINT('',#534877); #163269=VERTEX_POINT('',#534879); #163270=VERTEX_POINT('',#534883); #163271=VERTEX_POINT('',#534885); #163272=VERTEX_POINT('',#534889); #163273=VERTEX_POINT('',#534891); #163274=VERTEX_POINT('',#534895); #163275=VERTEX_POINT('',#534897); #163276=VERTEX_POINT('',#534901); #163277=VERTEX_POINT('',#534903); #163278=VERTEX_POINT('',#534907); #163279=VERTEX_POINT('',#534909); #163280=VERTEX_POINT('',#534913); #163281=VERTEX_POINT('',#534915); #163282=VERTEX_POINT('',#534919); #163283=VERTEX_POINT('',#534921); #163284=VERTEX_POINT('',#534925); #163285=VERTEX_POINT('',#534927); #163286=VERTEX_POINT('',#534931); #163287=VERTEX_POINT('',#534933); #163288=VERTEX_POINT('',#534937); #163289=VERTEX_POINT('',#534939); #163290=VERTEX_POINT('',#534943); #163291=VERTEX_POINT('',#534945); #163292=VERTEX_POINT('',#534949); #163293=VERTEX_POINT('',#534951); #163294=VERTEX_POINT('',#534955); #163295=VERTEX_POINT('',#534957); #163296=VERTEX_POINT('',#534961); #163297=VERTEX_POINT('',#534962); #163298=VERTEX_POINT('',#534964); #163299=VERTEX_POINT('',#534966); #163300=VERTEX_POINT('',#534970); #163301=VERTEX_POINT('',#534972); #163302=VERTEX_POINT('',#534976); #163303=VERTEX_POINT('',#534978); #163304=VERTEX_POINT('',#534982); #163305=VERTEX_POINT('',#534984); #163306=VERTEX_POINT('',#534988); #163307=VERTEX_POINT('',#534990); #163308=VERTEX_POINT('',#534994); #163309=VERTEX_POINT('',#534996); #163310=VERTEX_POINT('',#535000); #163311=VERTEX_POINT('',#535002); #163312=VERTEX_POINT('',#535006); #163313=VERTEX_POINT('',#535008); #163314=VERTEX_POINT('',#535012); #163315=VERTEX_POINT('',#535014); #163316=VERTEX_POINT('',#535018); #163317=VERTEX_POINT('',#535020); #163318=VERTEX_POINT('',#535024); #163319=VERTEX_POINT('',#535026); #163320=VERTEX_POINT('',#535030); #163321=VERTEX_POINT('',#535032); #163322=VERTEX_POINT('',#535036); #163323=VERTEX_POINT('',#535038); #163324=VERTEX_POINT('',#535042); #163325=VERTEX_POINT('',#535044); #163326=VERTEX_POINT('',#535048); #163327=VERTEX_POINT('',#535050); #163328=VERTEX_POINT('',#535054); #163329=VERTEX_POINT('',#535056); #163330=VERTEX_POINT('',#535060); #163331=VERTEX_POINT('',#535062); #163332=VERTEX_POINT('',#535066); #163333=VERTEX_POINT('',#535068); #163334=VERTEX_POINT('',#535072); #163335=VERTEX_POINT('',#535074); #163336=VERTEX_POINT('',#535078); #163337=VERTEX_POINT('',#535080); #163338=VERTEX_POINT('',#535084); #163339=VERTEX_POINT('',#535086); #163340=VERTEX_POINT('',#535090); #163341=VERTEX_POINT('',#535092); #163342=VERTEX_POINT('',#535096); #163343=VERTEX_POINT('',#535098); #163344=VERTEX_POINT('',#535102); #163345=VERTEX_POINT('',#535104); #163346=VERTEX_POINT('',#535108); #163347=VERTEX_POINT('',#535110); #163348=VERTEX_POINT('',#535114); #163349=VERTEX_POINT('',#535116); #163350=VERTEX_POINT('',#535120); #163351=VERTEX_POINT('',#535122); #163352=VERTEX_POINT('',#535126); #163353=VERTEX_POINT('',#535128); #163354=VERTEX_POINT('',#535132); #163355=VERTEX_POINT('',#535134); #163356=VERTEX_POINT('',#535138); #163357=VERTEX_POINT('',#535140); #163358=VERTEX_POINT('',#535144); #163359=VERTEX_POINT('',#535146); #163360=VERTEX_POINT('',#535150); #163361=VERTEX_POINT('',#535152); #163362=VERTEX_POINT('',#535156); #163363=VERTEX_POINT('',#535158); #163364=VERTEX_POINT('',#535162); #163365=VERTEX_POINT('',#535164); #163366=VERTEX_POINT('',#535168); #163367=VERTEX_POINT('',#535170); #163368=VERTEX_POINT('',#535174); #163369=VERTEX_POINT('',#535176); #163370=VERTEX_POINT('',#535180); #163371=VERTEX_POINT('',#535182); #163372=VERTEX_POINT('',#535186); #163373=VERTEX_POINT('',#535188); #163374=VERTEX_POINT('',#535192); #163375=VERTEX_POINT('',#535194); #163376=VERTEX_POINT('',#535198); #163377=VERTEX_POINT('',#535200); #163378=VERTEX_POINT('',#535204); #163379=VERTEX_POINT('',#535206); #163380=VERTEX_POINT('',#535210); #163381=VERTEX_POINT('',#535212); #163382=VERTEX_POINT('',#535216); #163383=VERTEX_POINT('',#535218); #163384=VERTEX_POINT('',#535222); #163385=VERTEX_POINT('',#535224); #163386=VERTEX_POINT('',#535228); #163387=VERTEX_POINT('',#535230); #163388=VERTEX_POINT('',#535234); #163389=VERTEX_POINT('',#535236); #163390=VERTEX_POINT('',#535240); #163391=VERTEX_POINT('',#535242); #163392=VERTEX_POINT('',#535246); #163393=VERTEX_POINT('',#535248); #163394=VERTEX_POINT('',#535252); #163395=VERTEX_POINT('',#535254); #163396=VERTEX_POINT('',#535258); #163397=VERTEX_POINT('',#535260); #163398=VERTEX_POINT('',#535264); #163399=VERTEX_POINT('',#535266); #163400=VERTEX_POINT('',#535270); #163401=VERTEX_POINT('',#535272); #163402=VERTEX_POINT('',#535276); #163403=VERTEX_POINT('',#535278); #163404=VERTEX_POINT('',#535282); #163405=VERTEX_POINT('',#535284); #163406=VERTEX_POINT('',#535288); #163407=VERTEX_POINT('',#535290); #163408=VERTEX_POINT('',#535294); #163409=VERTEX_POINT('',#535296); #163410=VERTEX_POINT('',#535300); #163411=VERTEX_POINT('',#535302); #163412=VERTEX_POINT('',#535306); #163413=VERTEX_POINT('',#535308); #163414=VERTEX_POINT('',#535312); #163415=VERTEX_POINT('',#535314); #163416=VERTEX_POINT('',#535318); #163417=VERTEX_POINT('',#535320); #163418=VERTEX_POINT('',#535324); #163419=VERTEX_POINT('',#535326); #163420=VERTEX_POINT('',#535330); #163421=VERTEX_POINT('',#535332); #163422=VERTEX_POINT('',#535336); #163423=VERTEX_POINT('',#535338); #163424=VERTEX_POINT('',#535342); #163425=VERTEX_POINT('',#535344); #163426=VERTEX_POINT('',#535348); #163427=VERTEX_POINT('',#535350); #163428=VERTEX_POINT('',#535354); #163429=VERTEX_POINT('',#535356); #163430=VERTEX_POINT('',#535360); #163431=VERTEX_POINT('',#535362); #163432=VERTEX_POINT('',#535366); #163433=VERTEX_POINT('',#535368); #163434=VERTEX_POINT('',#535372); #163435=VERTEX_POINT('',#535374); #163436=VERTEX_POINT('',#535378); #163437=VERTEX_POINT('',#535380); #163438=VERTEX_POINT('',#535384); #163439=VERTEX_POINT('',#535386); #163440=VERTEX_POINT('',#535390); #163441=VERTEX_POINT('',#535392); #163442=VERTEX_POINT('',#535396); #163443=VERTEX_POINT('',#535398); #163444=VERTEX_POINT('',#535402); #163445=VERTEX_POINT('',#535404); #163446=VERTEX_POINT('',#535408); #163447=VERTEX_POINT('',#535410); #163448=VERTEX_POINT('',#535414); #163449=VERTEX_POINT('',#535416); #163450=VERTEX_POINT('',#535420); #163451=VERTEX_POINT('',#535422); #163452=VERTEX_POINT('',#535426); #163453=VERTEX_POINT('',#535428); #163454=VERTEX_POINT('',#535432); #163455=VERTEX_POINT('',#535434); #163456=VERTEX_POINT('',#535443); #163457=VERTEX_POINT('',#535445); #163458=VERTEX_POINT('',#535449); #163459=VERTEX_POINT('',#535450); #163460=VERTEX_POINT('',#535452); #163461=VERTEX_POINT('',#535454); #163462=VERTEX_POINT('',#535458); #163463=VERTEX_POINT('',#535460); #163464=VERTEX_POINT('',#535464); #163465=VERTEX_POINT('',#535466); #163466=VERTEX_POINT('',#535470); #163467=VERTEX_POINT('',#535472); #163468=VERTEX_POINT('',#535476); #163469=VERTEX_POINT('',#535478); #163470=VERTEX_POINT('',#535482); #163471=VERTEX_POINT('',#535484); #163472=VERTEX_POINT('',#535488); #163473=VERTEX_POINT('',#535490); #163474=VERTEX_POINT('',#535494); #163475=VERTEX_POINT('',#535496); #163476=VERTEX_POINT('',#535500); #163477=VERTEX_POINT('',#535502); #163478=VERTEX_POINT('',#535506); #163479=VERTEX_POINT('',#535508); #163480=VERTEX_POINT('',#535512); #163481=VERTEX_POINT('',#535514); #163482=VERTEX_POINT('',#535518); #163483=VERTEX_POINT('',#535520); #163484=VERTEX_POINT('',#535524); #163485=VERTEX_POINT('',#535526); #163486=VERTEX_POINT('',#535530); #163487=VERTEX_POINT('',#535532); #163488=VERTEX_POINT('',#535536); #163489=VERTEX_POINT('',#535538); #163490=VERTEX_POINT('',#535542); #163491=VERTEX_POINT('',#535544); #163492=VERTEX_POINT('',#535548); #163493=VERTEX_POINT('',#535550); #163494=VERTEX_POINT('',#535554); #163495=VERTEX_POINT('',#535556); #163496=VERTEX_POINT('',#535560); #163497=VERTEX_POINT('',#535562); #163498=VERTEX_POINT('',#535566); #163499=VERTEX_POINT('',#535568); #163500=VERTEX_POINT('',#535577); #163501=VERTEX_POINT('',#535579); #163502=VERTEX_POINT('',#535583); #163503=VERTEX_POINT('',#535585); #163504=VERTEX_POINT('',#535589); #163505=VERTEX_POINT('',#535591); #163506=VERTEX_POINT('',#535595); #163507=VERTEX_POINT('',#535597); #163508=VERTEX_POINT('',#535601); #163509=VERTEX_POINT('',#535603); #163510=VERTEX_POINT('',#535607); #163511=VERTEX_POINT('',#535609); #163512=VERTEX_POINT('',#535613); #163513=VERTEX_POINT('',#535615); #163514=VERTEX_POINT('',#535619); #163515=VERTEX_POINT('',#535621); #163516=VERTEX_POINT('',#535625); #163517=VERTEX_POINT('',#535627); #163518=VERTEX_POINT('',#535631); #163519=VERTEX_POINT('',#535633); #163520=VERTEX_POINT('',#535637); #163521=VERTEX_POINT('',#535639); #163522=VERTEX_POINT('',#535643); #163523=VERTEX_POINT('',#535645); #163524=VERTEX_POINT('',#535649); #163525=VERTEX_POINT('',#535651); #163526=VERTEX_POINT('',#535655); #163527=VERTEX_POINT('',#535657); #163528=VERTEX_POINT('',#535661); #163529=VERTEX_POINT('',#535663); #163530=VERTEX_POINT('',#535667); #163531=VERTEX_POINT('',#535669); #163532=VERTEX_POINT('',#535673); #163533=VERTEX_POINT('',#535674); #163534=VERTEX_POINT('',#535676); #163535=VERTEX_POINT('',#535678); #163536=VERTEX_POINT('',#535682); #163537=VERTEX_POINT('',#535684); #163538=VERTEX_POINT('',#535688); #163539=VERTEX_POINT('',#535690); #163540=VERTEX_POINT('',#535694); #163541=VERTEX_POINT('',#535696); #163542=VERTEX_POINT('',#535700); #163543=VERTEX_POINT('',#535702); #163544=VERTEX_POINT('',#535706); #163545=VERTEX_POINT('',#535708); #163546=VERTEX_POINT('',#535712); #163547=VERTEX_POINT('',#535714); #163548=VERTEX_POINT('',#535718); #163549=VERTEX_POINT('',#535720); #163550=VERTEX_POINT('',#535724); #163551=VERTEX_POINT('',#535726); #163552=VERTEX_POINT('',#535730); #163553=VERTEX_POINT('',#535732); #163554=VERTEX_POINT('',#535736); #163555=VERTEX_POINT('',#535738); #163556=VERTEX_POINT('',#535742); #163557=VERTEX_POINT('',#535744); #163558=VERTEX_POINT('',#535748); #163559=VERTEX_POINT('',#535750); #163560=VERTEX_POINT('',#535754); #163561=VERTEX_POINT('',#535756); #163562=VERTEX_POINT('',#535760); #163563=VERTEX_POINT('',#535762); #163564=VERTEX_POINT('',#535766); #163565=VERTEX_POINT('',#535768); #163566=VERTEX_POINT('',#535772); #163567=VERTEX_POINT('',#535774); #163568=VERTEX_POINT('',#535778); #163569=VERTEX_POINT('',#535780); #163570=VERTEX_POINT('',#535784); #163571=VERTEX_POINT('',#535786); #163572=VERTEX_POINT('',#535790); #163573=VERTEX_POINT('',#535792); #163574=VERTEX_POINT('',#535796); #163575=VERTEX_POINT('',#535798); #163576=VERTEX_POINT('',#535802); #163577=VERTEX_POINT('',#535804); #163578=VERTEX_POINT('',#535808); #163579=VERTEX_POINT('',#535810); #163580=VERTEX_POINT('',#535817); #163581=VERTEX_POINT('',#535818); #163582=VERTEX_POINT('',#535820); #163583=VERTEX_POINT('',#535822); #163584=VERTEX_POINT('',#535826); #163585=VERTEX_POINT('',#535828); #163586=VERTEX_POINT('',#535832); #163587=VERTEX_POINT('',#535834); #163588=VERTEX_POINT('',#535838); #163589=VERTEX_POINT('',#535840); #163590=VERTEX_POINT('',#535844); #163591=VERTEX_POINT('',#535846); #163592=VERTEX_POINT('',#535850); #163593=VERTEX_POINT('',#535852); #163594=VERTEX_POINT('',#535856); #163595=VERTEX_POINT('',#535858); #163596=VERTEX_POINT('',#535862); #163597=VERTEX_POINT('',#535864); #163598=VERTEX_POINT('',#535868); #163599=VERTEX_POINT('',#535870); #163600=VERTEX_POINT('',#535874); #163601=VERTEX_POINT('',#535876); #163602=VERTEX_POINT('',#535880); #163603=VERTEX_POINT('',#535882); #163604=VERTEX_POINT('',#535886); #163605=VERTEX_POINT('',#535888); #163606=VERTEX_POINT('',#535892); #163607=VERTEX_POINT('',#535894); #163608=VERTEX_POINT('',#535898); #163609=VERTEX_POINT('',#535900); #163610=VERTEX_POINT('',#535904); #163611=VERTEX_POINT('',#535906); #163612=VERTEX_POINT('',#535910); #163613=VERTEX_POINT('',#535912); #163614=VERTEX_POINT('',#535916); #163615=VERTEX_POINT('',#535918); #163616=VERTEX_POINT('',#535922); #163617=VERTEX_POINT('',#535924); #163618=VERTEX_POINT('',#535928); #163619=VERTEX_POINT('',#535930); #163620=VERTEX_POINT('',#535934); #163621=VERTEX_POINT('',#535936); #163622=VERTEX_POINT('',#535940); #163623=VERTEX_POINT('',#535942); #163624=VERTEX_POINT('',#535946); #163625=VERTEX_POINT('',#535948); #163626=VERTEX_POINT('',#535952); #163627=VERTEX_POINT('',#535954); #163628=VERTEX_POINT('',#535958); #163629=VERTEX_POINT('',#535960); #163630=VERTEX_POINT('',#535964); #163631=VERTEX_POINT('',#535966); #163632=VERTEX_POINT('',#535970); #163633=VERTEX_POINT('',#535972); #163634=VERTEX_POINT('',#535976); #163635=VERTEX_POINT('',#535978); #163636=VERTEX_POINT('',#535982); #163637=VERTEX_POINT('',#535984); #163638=VERTEX_POINT('',#535988); #163639=VERTEX_POINT('',#535990); #163640=VERTEX_POINT('',#535994); #163641=VERTEX_POINT('',#535996); #163642=VERTEX_POINT('',#536000); #163643=VERTEX_POINT('',#536002); #163644=VERTEX_POINT('',#536006); #163645=VERTEX_POINT('',#536008); #163646=VERTEX_POINT('',#536012); #163647=VERTEX_POINT('',#536014); #163648=VERTEX_POINT('',#536018); #163649=VERTEX_POINT('',#536020); #163650=VERTEX_POINT('',#536024); #163651=VERTEX_POINT('',#536026); #163652=VERTEX_POINT('',#536030); #163653=VERTEX_POINT('',#536032); #163654=VERTEX_POINT('',#536036); #163655=VERTEX_POINT('',#536038); #163656=VERTEX_POINT('',#536042); #163657=VERTEX_POINT('',#536044); #163658=VERTEX_POINT('',#536048); #163659=VERTEX_POINT('',#536050); #163660=VERTEX_POINT('',#536054); #163661=VERTEX_POINT('',#536056); #163662=VERTEX_POINT('',#536060); #163663=VERTEX_POINT('',#536062); #163664=VERTEX_POINT('',#536066); #163665=VERTEX_POINT('',#536068); #163666=VERTEX_POINT('',#536072); #163667=VERTEX_POINT('',#536074); #163668=VERTEX_POINT('',#536078); #163669=VERTEX_POINT('',#536080); #163670=VERTEX_POINT('',#536084); #163671=VERTEX_POINT('',#536086); #163672=VERTEX_POINT('',#536090); #163673=VERTEX_POINT('',#536092); #163674=VERTEX_POINT('',#536096); #163675=VERTEX_POINT('',#536098); #163676=VERTEX_POINT('',#536102); #163677=VERTEX_POINT('',#536104); #163678=VERTEX_POINT('',#536108); #163679=VERTEX_POINT('',#536110); #163680=VERTEX_POINT('',#536114); #163681=VERTEX_POINT('',#536116); #163682=VERTEX_POINT('',#536120); #163683=VERTEX_POINT('',#536122); #163684=VERTEX_POINT('',#536126); #163685=VERTEX_POINT('',#536128); #163686=VERTEX_POINT('',#536132); #163687=VERTEX_POINT('',#536134); #163688=VERTEX_POINT('',#536138); #163689=VERTEX_POINT('',#536140); #163690=VERTEX_POINT('',#536144); #163691=VERTEX_POINT('',#536146); #163692=VERTEX_POINT('',#536150); #163693=VERTEX_POINT('',#536152); #163694=VERTEX_POINT('',#536156); #163695=VERTEX_POINT('',#536158); #163696=VERTEX_POINT('',#536162); #163697=VERTEX_POINT('',#536164); #163698=VERTEX_POINT('',#536168); #163699=VERTEX_POINT('',#536170); #163700=VERTEX_POINT('',#536174); #163701=VERTEX_POINT('',#536176); #163702=VERTEX_POINT('',#536180); #163703=VERTEX_POINT('',#536182); #163704=VERTEX_POINT('',#536186); #163705=VERTEX_POINT('',#536188); #163706=VERTEX_POINT('',#536192); #163707=VERTEX_POINT('',#536194); #163708=VERTEX_POINT('',#536198); #163709=VERTEX_POINT('',#536200); #163710=VERTEX_POINT('',#536204); #163711=VERTEX_POINT('',#536206); #163712=VERTEX_POINT('',#536210); #163713=VERTEX_POINT('',#536212); #163714=VERTEX_POINT('',#536216); #163715=VERTEX_POINT('',#536218); #163716=VERTEX_POINT('',#536222); #163717=VERTEX_POINT('',#536224); #163718=VERTEX_POINT('',#536228); #163719=VERTEX_POINT('',#536230); #163720=VERTEX_POINT('',#536234); #163721=VERTEX_POINT('',#536236); #163722=VERTEX_POINT('',#536240); #163723=VERTEX_POINT('',#536242); #163724=VERTEX_POINT('',#536246); #163725=VERTEX_POINT('',#536248); #163726=VERTEX_POINT('',#536252); #163727=VERTEX_POINT('',#536254); #163728=VERTEX_POINT('',#536258); #163729=VERTEX_POINT('',#536260); #163730=VERTEX_POINT('',#536264); #163731=VERTEX_POINT('',#536266); #163732=VERTEX_POINT('',#536270); #163733=VERTEX_POINT('',#536272); #163734=VERTEX_POINT('',#536276); #163735=VERTEX_POINT('',#536278); #163736=VERTEX_POINT('',#536282); #163737=VERTEX_POINT('',#536284); #163738=VERTEX_POINT('',#536288); #163739=VERTEX_POINT('',#536290); #163740=VERTEX_POINT('',#536294); #163741=VERTEX_POINT('',#536296); #163742=VERTEX_POINT('',#536300); #163743=VERTEX_POINT('',#536302); #163744=VERTEX_POINT('',#536306); #163745=VERTEX_POINT('',#536308); #163746=VERTEX_POINT('',#536312); #163747=VERTEX_POINT('',#536314); #163748=VERTEX_POINT('',#536318); #163749=VERTEX_POINT('',#536320); #163750=VERTEX_POINT('',#536324); #163751=VERTEX_POINT('',#536326); #163752=VERTEX_POINT('',#536330); #163753=VERTEX_POINT('',#536332); #163754=VERTEX_POINT('',#536336); #163755=VERTEX_POINT('',#536338); #163756=VERTEX_POINT('',#536342); #163757=VERTEX_POINT('',#536344); #163758=VERTEX_POINT('',#536348); #163759=VERTEX_POINT('',#536350); #163760=VERTEX_POINT('',#536354); #163761=VERTEX_POINT('',#536356); #163762=VERTEX_POINT('',#536360); #163763=VERTEX_POINT('',#536362); #163764=VERTEX_POINT('',#536366); #163765=VERTEX_POINT('',#536368); #163766=VERTEX_POINT('',#536372); #163767=VERTEX_POINT('',#536374); #163768=VERTEX_POINT('',#536378); #163769=VERTEX_POINT('',#536380); #163770=VERTEX_POINT('',#536384); #163771=VERTEX_POINT('',#536386); #163772=VERTEX_POINT('',#536390); #163773=VERTEX_POINT('',#536392); #163774=VERTEX_POINT('',#536396); #163775=VERTEX_POINT('',#536398); #163776=VERTEX_POINT('',#536402); #163777=VERTEX_POINT('',#536404); #163778=VERTEX_POINT('',#536408); #163779=VERTEX_POINT('',#536410); #163780=VERTEX_POINT('',#536414); #163781=VERTEX_POINT('',#536416); #163782=VERTEX_POINT('',#536420); #163783=VERTEX_POINT('',#536422); #163784=VERTEX_POINT('',#536426); #163785=VERTEX_POINT('',#536428); #163786=VERTEX_POINT('',#536432); #163787=VERTEX_POINT('',#536434); #163788=VERTEX_POINT('',#536438); #163789=VERTEX_POINT('',#536440); #163790=VERTEX_POINT('',#536444); #163791=VERTEX_POINT('',#536446); #163792=VERTEX_POINT('',#536450); #163793=VERTEX_POINT('',#536452); #163794=VERTEX_POINT('',#536456); #163795=VERTEX_POINT('',#536458); #163796=VERTEX_POINT('',#536462); #163797=VERTEX_POINT('',#536464); #163798=VERTEX_POINT('',#536468); #163799=VERTEX_POINT('',#536470); #163800=VERTEX_POINT('',#536474); #163801=VERTEX_POINT('',#536476); #163802=VERTEX_POINT('',#536480); #163803=VERTEX_POINT('',#536482); #163804=VERTEX_POINT('',#536486); #163805=VERTEX_POINT('',#536488); #163806=VERTEX_POINT('',#536492); #163807=VERTEX_POINT('',#536494); #163808=VERTEX_POINT('',#536498); #163809=VERTEX_POINT('',#536500); #163810=VERTEX_POINT('',#536504); #163811=VERTEX_POINT('',#536506); #163812=VERTEX_POINT('',#536510); #163813=VERTEX_POINT('',#536512); #163814=VERTEX_POINT('',#536516); #163815=VERTEX_POINT('',#536518); #163816=VERTEX_POINT('',#536522); #163817=VERTEX_POINT('',#536524); #163818=VERTEX_POINT('',#536528); #163819=VERTEX_POINT('',#536530); #163820=VERTEX_POINT('',#536534); #163821=VERTEX_POINT('',#536536); #163822=VERTEX_POINT('',#536540); #163823=VERTEX_POINT('',#536542); #163824=VERTEX_POINT('',#536546); #163825=VERTEX_POINT('',#536548); #163826=VERTEX_POINT('',#536552); #163827=VERTEX_POINT('',#536554); #163828=VERTEX_POINT('',#536558); #163829=VERTEX_POINT('',#536560); #163830=VERTEX_POINT('',#536564); #163831=VERTEX_POINT('',#536566); #163832=VERTEX_POINT('',#536570); #163833=VERTEX_POINT('',#536572); #163834=VERTEX_POINT('',#536576); #163835=VERTEX_POINT('',#536578); #163836=VERTEX_POINT('',#536582); #163837=VERTEX_POINT('',#536584); #163838=VERTEX_POINT('',#536588); #163839=VERTEX_POINT('',#536590); #163840=VERTEX_POINT('',#536594); #163841=VERTEX_POINT('',#536596); #163842=VERTEX_POINT('',#536600); #163843=VERTEX_POINT('',#536602); #163844=VERTEX_POINT('',#536606); #163845=VERTEX_POINT('',#536608); #163846=VERTEX_POINT('',#536612); #163847=VERTEX_POINT('',#536614); #163848=VERTEX_POINT('',#536618); #163849=VERTEX_POINT('',#536620); #163850=VERTEX_POINT('',#536624); #163851=VERTEX_POINT('',#536626); #163852=VERTEX_POINT('',#536630); #163853=VERTEX_POINT('',#536632); #163854=VERTEX_POINT('',#536636); #163855=VERTEX_POINT('',#536638); #163856=VERTEX_POINT('',#536642); #163857=VERTEX_POINT('',#536644); #163858=VERTEX_POINT('',#536648); #163859=VERTEX_POINT('',#536650); #163860=VERTEX_POINT('',#536654); #163861=VERTEX_POINT('',#536656); #163862=VERTEX_POINT('',#536660); #163863=VERTEX_POINT('',#536662); #163864=VERTEX_POINT('',#536666); #163865=VERTEX_POINT('',#536668); #163866=VERTEX_POINT('',#536672); #163867=VERTEX_POINT('',#536674); #163868=VERTEX_POINT('',#536678); #163869=VERTEX_POINT('',#536680); #163870=VERTEX_POINT('',#536684); #163871=VERTEX_POINT('',#536686); #163872=VERTEX_POINT('',#536690); #163873=VERTEX_POINT('',#536692); #163874=VERTEX_POINT('',#536696); #163875=VERTEX_POINT('',#536698); #163876=VERTEX_POINT('',#536702); #163877=VERTEX_POINT('',#536704); #163878=VERTEX_POINT('',#536708); #163879=VERTEX_POINT('',#536710); #163880=VERTEX_POINT('',#536714); #163881=VERTEX_POINT('',#536716); #163882=VERTEX_POINT('',#536720); #163883=VERTEX_POINT('',#536722); #163884=VERTEX_POINT('',#536726); #163885=VERTEX_POINT('',#536728); #163886=VERTEX_POINT('',#536732); #163887=VERTEX_POINT('',#536734); #163888=VERTEX_POINT('',#536738); #163889=VERTEX_POINT('',#536740); #163890=VERTEX_POINT('',#536744); #163891=VERTEX_POINT('',#536746); #163892=VERTEX_POINT('',#536750); #163893=VERTEX_POINT('',#536752); #163894=VERTEX_POINT('',#536756); #163895=VERTEX_POINT('',#536758); #163896=VERTEX_POINT('',#536762); #163897=VERTEX_POINT('',#536764); #163898=VERTEX_POINT('',#536768); #163899=VERTEX_POINT('',#536770); #163900=VERTEX_POINT('',#536774); #163901=VERTEX_POINT('',#536776); #163902=VERTEX_POINT('',#536780); #163903=VERTEX_POINT('',#536782); #163904=VERTEX_POINT('',#536786); #163905=VERTEX_POINT('',#536788); #163906=VERTEX_POINT('',#536792); #163907=VERTEX_POINT('',#536794); #163908=VERTEX_POINT('',#536798); #163909=VERTEX_POINT('',#536800); #163910=VERTEX_POINT('',#536804); #163911=VERTEX_POINT('',#536806); #163912=VERTEX_POINT('',#536810); #163913=VERTEX_POINT('',#536812); #163914=VERTEX_POINT('',#536816); #163915=VERTEX_POINT('',#536818); #163916=VERTEX_POINT('',#536822); #163917=VERTEX_POINT('',#536824); #163918=VERTEX_POINT('',#536828); #163919=VERTEX_POINT('',#536830); #163920=VERTEX_POINT('',#536834); #163921=VERTEX_POINT('',#536836); #163922=VERTEX_POINT('',#536840); #163923=VERTEX_POINT('',#536842); #163924=VERTEX_POINT('',#536846); #163925=VERTEX_POINT('',#536848); #163926=VERTEX_POINT('',#536852); #163927=VERTEX_POINT('',#536854); #163928=VERTEX_POINT('',#536858); #163929=VERTEX_POINT('',#536860); #163930=VERTEX_POINT('',#536864); #163931=VERTEX_POINT('',#536866); #163932=VERTEX_POINT('',#536870); #163933=VERTEX_POINT('',#536872); #163934=VERTEX_POINT('',#536876); #163935=VERTEX_POINT('',#536878); #163936=VERTEX_POINT('',#536882); #163937=VERTEX_POINT('',#536884); #163938=VERTEX_POINT('',#536888); #163939=VERTEX_POINT('',#536890); #163940=VERTEX_POINT('',#536894); #163941=VERTEX_POINT('',#536896); #163942=VERTEX_POINT('',#536900); #163943=VERTEX_POINT('',#536902); #163944=VERTEX_POINT('',#536906); #163945=VERTEX_POINT('',#536908); #163946=VERTEX_POINT('',#536912); #163947=VERTEX_POINT('',#536914); #163948=VERTEX_POINT('',#536918); #163949=VERTEX_POINT('',#536920); #163950=VERTEX_POINT('',#536924); #163951=VERTEX_POINT('',#536926); #163952=VERTEX_POINT('',#536930); #163953=VERTEX_POINT('',#536932); #163954=VERTEX_POINT('',#536936); #163955=VERTEX_POINT('',#536938); #163956=VERTEX_POINT('',#536942); #163957=VERTEX_POINT('',#536944); #163958=VERTEX_POINT('',#536948); #163959=VERTEX_POINT('',#536950); #163960=VERTEX_POINT('',#536954); #163961=VERTEX_POINT('',#536956); #163962=VERTEX_POINT('',#536960); #163963=VERTEX_POINT('',#536962); #163964=VERTEX_POINT('',#536966); #163965=VERTEX_POINT('',#536968); #163966=VERTEX_POINT('',#536972); #163967=VERTEX_POINT('',#536974); #163968=VERTEX_POINT('',#536978); #163969=VERTEX_POINT('',#536980); #163970=VERTEX_POINT('',#536984); #163971=VERTEX_POINT('',#536986); #163972=VERTEX_POINT('',#536990); #163973=VERTEX_POINT('',#536992); #163974=VERTEX_POINT('',#536996); #163975=VERTEX_POINT('',#536998); #163976=VERTEX_POINT('',#537002); #163977=VERTEX_POINT('',#537004); #163978=VERTEX_POINT('',#537008); #163979=VERTEX_POINT('',#537010); #163980=VERTEX_POINT('',#537014); #163981=VERTEX_POINT('',#537016); #163982=VERTEX_POINT('',#537020); #163983=VERTEX_POINT('',#537022); #163984=VERTEX_POINT('',#537026); #163985=VERTEX_POINT('',#537028); #163986=VERTEX_POINT('',#537032); #163987=VERTEX_POINT('',#537034); #163988=VERTEX_POINT('',#537038); #163989=VERTEX_POINT('',#537040); #163990=VERTEX_POINT('',#537044); #163991=VERTEX_POINT('',#537046); #163992=VERTEX_POINT('',#537050); #163993=VERTEX_POINT('',#537052); #163994=VERTEX_POINT('',#537056); #163995=VERTEX_POINT('',#537058); #163996=VERTEX_POINT('',#537062); #163997=VERTEX_POINT('',#537064); #163998=VERTEX_POINT('',#537068); #163999=VERTEX_POINT('',#537070); #164000=VERTEX_POINT('',#537074); #164001=VERTEX_POINT('',#537076); #164002=VERTEX_POINT('',#537080); #164003=VERTEX_POINT('',#537082); #164004=VERTEX_POINT('',#537086); #164005=VERTEX_POINT('',#537088); #164006=VERTEX_POINT('',#537092); #164007=VERTEX_POINT('',#537094); #164008=VERTEX_POINT('',#537098); #164009=VERTEX_POINT('',#537100); #164010=VERTEX_POINT('',#537104); #164011=VERTEX_POINT('',#537106); #164012=VERTEX_POINT('',#537110); #164013=VERTEX_POINT('',#537112); #164014=VERTEX_POINT('',#537116); #164015=VERTEX_POINT('',#537118); #164016=VERTEX_POINT('',#537122); #164017=VERTEX_POINT('',#537124); #164018=VERTEX_POINT('',#537128); #164019=VERTEX_POINT('',#537130); #164020=VERTEX_POINT('',#537134); #164021=VERTEX_POINT('',#537136); #164022=VERTEX_POINT('',#537140); #164023=VERTEX_POINT('',#537142); #164024=VERTEX_POINT('',#537146); #164025=VERTEX_POINT('',#537148); #164026=VERTEX_POINT('',#537152); #164027=VERTEX_POINT('',#537154); #164028=VERTEX_POINT('',#537158); #164029=VERTEX_POINT('',#537160); #164030=VERTEX_POINT('',#537164); #164031=VERTEX_POINT('',#537166); #164032=VERTEX_POINT('',#537170); #164033=VERTEX_POINT('',#537172); #164034=VERTEX_POINT('',#537176); #164035=VERTEX_POINT('',#537178); #164036=VERTEX_POINT('',#537182); #164037=VERTEX_POINT('',#537184); #164038=VERTEX_POINT('',#537188); #164039=VERTEX_POINT('',#537190); #164040=VERTEX_POINT('',#537194); #164041=VERTEX_POINT('',#537196); #164042=VERTEX_POINT('',#537200); #164043=VERTEX_POINT('',#537202); #164044=VERTEX_POINT('',#537206); #164045=VERTEX_POINT('',#537208); #164046=VERTEX_POINT('',#537212); #164047=VERTEX_POINT('',#537214); #164048=VERTEX_POINT('',#537218); #164049=VERTEX_POINT('',#537220); #164050=VERTEX_POINT('',#537224); #164051=VERTEX_POINT('',#537226); #164052=VERTEX_POINT('',#537230); #164053=VERTEX_POINT('',#537232); #164054=VERTEX_POINT('',#537236); #164055=VERTEX_POINT('',#537238); #164056=VERTEX_POINT('',#537242); #164057=VERTEX_POINT('',#537244); #164058=VERTEX_POINT('',#537248); #164059=VERTEX_POINT('',#537250); #164060=VERTEX_POINT('',#537254); #164061=VERTEX_POINT('',#537256); #164062=VERTEX_POINT('',#537260); #164063=VERTEX_POINT('',#537262); #164064=VERTEX_POINT('',#537266); #164065=VERTEX_POINT('',#537268); #164066=VERTEX_POINT('',#537272); #164067=VERTEX_POINT('',#537274); #164068=VERTEX_POINT('',#537278); #164069=VERTEX_POINT('',#537280); #164070=VERTEX_POINT('',#537284); #164071=VERTEX_POINT('',#537286); #164072=VERTEX_POINT('',#537290); #164073=VERTEX_POINT('',#537292); #164074=VERTEX_POINT('',#537296); #164075=VERTEX_POINT('',#537298); #164076=VERTEX_POINT('',#537302); #164077=VERTEX_POINT('',#537304); #164078=VERTEX_POINT('',#537308); #164079=VERTEX_POINT('',#537310); #164080=VERTEX_POINT('',#537314); #164081=VERTEX_POINT('',#537316); #164082=VERTEX_POINT('',#537320); #164083=VERTEX_POINT('',#537322); #164084=VERTEX_POINT('',#537326); #164085=VERTEX_POINT('',#537328); #164086=VERTEX_POINT('',#537332); #164087=VERTEX_POINT('',#537334); #164088=VERTEX_POINT('',#537338); #164089=VERTEX_POINT('',#537340); #164090=VERTEX_POINT('',#537344); #164091=VERTEX_POINT('',#537346); #164092=VERTEX_POINT('',#537350); #164093=VERTEX_POINT('',#537352); #164094=VERTEX_POINT('',#537356); #164095=VERTEX_POINT('',#537358); #164096=VERTEX_POINT('',#537362); #164097=VERTEX_POINT('',#537364); #164098=VERTEX_POINT('',#537368); #164099=VERTEX_POINT('',#537370); #164100=VERTEX_POINT('',#537374); #164101=VERTEX_POINT('',#537376); #164102=VERTEX_POINT('',#537380); #164103=VERTEX_POINT('',#537382); #164104=VERTEX_POINT('',#537386); #164105=VERTEX_POINT('',#537388); #164106=VERTEX_POINT('',#537392); #164107=VERTEX_POINT('',#537394); #164108=VERTEX_POINT('',#537398); #164109=VERTEX_POINT('',#537400); #164110=VERTEX_POINT('',#537404); #164111=VERTEX_POINT('',#537406); #164112=VERTEX_POINT('',#537410); #164113=VERTEX_POINT('',#537412); #164114=VERTEX_POINT('',#537416); #164115=VERTEX_POINT('',#537418); #164116=VERTEX_POINT('',#537422); #164117=VERTEX_POINT('',#537424); #164118=VERTEX_POINT('',#537428); #164119=VERTEX_POINT('',#537430); #164120=VERTEX_POINT('',#537434); #164121=VERTEX_POINT('',#537436); #164122=VERTEX_POINT('',#537440); #164123=VERTEX_POINT('',#537442); #164124=VERTEX_POINT('',#537446); #164125=VERTEX_POINT('',#537448); #164126=VERTEX_POINT('',#537452); #164127=VERTEX_POINT('',#537454); #164128=VERTEX_POINT('',#537458); #164129=VERTEX_POINT('',#537460); #164130=VERTEX_POINT('',#537464); #164131=VERTEX_POINT('',#537466); #164132=VERTEX_POINT('',#537470); #164133=VERTEX_POINT('',#537472); #164134=VERTEX_POINT('',#537476); #164135=VERTEX_POINT('',#537478); #164136=VERTEX_POINT('',#537482); #164137=VERTEX_POINT('',#537484); #164138=VERTEX_POINT('',#537488); #164139=VERTEX_POINT('',#537490); #164140=VERTEX_POINT('',#537494); #164141=VERTEX_POINT('',#537496); #164142=VERTEX_POINT('',#537500); #164143=VERTEX_POINT('',#537502); #164144=VERTEX_POINT('',#537506); #164145=VERTEX_POINT('',#537508); #164146=VERTEX_POINT('',#537512); #164147=VERTEX_POINT('',#537514); #164148=VERTEX_POINT('',#537518); #164149=VERTEX_POINT('',#537520); #164150=VERTEX_POINT('',#537524); #164151=VERTEX_POINT('',#537526); #164152=VERTEX_POINT('',#537530); #164153=VERTEX_POINT('',#537532); #164154=VERTEX_POINT('',#537536); #164155=VERTEX_POINT('',#537538); #164156=VERTEX_POINT('',#537542); #164157=VERTEX_POINT('',#537544); #164158=VERTEX_POINT('',#537548); #164159=VERTEX_POINT('',#537550); #164160=VERTEX_POINT('',#537554); #164161=VERTEX_POINT('',#537556); #164162=VERTEX_POINT('',#537560); #164163=VERTEX_POINT('',#537562); #164164=VERTEX_POINT('',#537566); #164165=VERTEX_POINT('',#537568); #164166=VERTEX_POINT('',#537572); #164167=VERTEX_POINT('',#537574); #164168=VERTEX_POINT('',#537578); #164169=VERTEX_POINT('',#537580); #164170=VERTEX_POINT('',#537584); #164171=VERTEX_POINT('',#537586); #164172=VERTEX_POINT('',#537590); #164173=VERTEX_POINT('',#537592); #164174=VERTEX_POINT('',#537596); #164175=VERTEX_POINT('',#537598); #164176=VERTEX_POINT('',#537607); #164177=VERTEX_POINT('',#537608); #164178=VERTEX_POINT('',#537610); #164179=VERTEX_POINT('',#537612); #164180=VERTEX_POINT('',#537616); #164181=VERTEX_POINT('',#537618); #164182=VERTEX_POINT('',#537622); #164183=VERTEX_POINT('',#537624); #164184=VERTEX_POINT('',#537628); #164185=VERTEX_POINT('',#537630); #164186=VERTEX_POINT('',#537634); #164187=VERTEX_POINT('',#537636); #164188=VERTEX_POINT('',#537640); #164189=VERTEX_POINT('',#537642); #164190=VERTEX_POINT('',#537646); #164191=VERTEX_POINT('',#537648); #164192=VERTEX_POINT('',#537652); #164193=VERTEX_POINT('',#537654); #164194=VERTEX_POINT('',#537658); #164195=VERTEX_POINT('',#537660); #164196=VERTEX_POINT('',#537664); #164197=VERTEX_POINT('',#537666); #164198=VERTEX_POINT('',#537670); #164199=VERTEX_POINT('',#537672); #164200=VERTEX_POINT('',#537676); #164201=VERTEX_POINT('',#537678); #164202=VERTEX_POINT('',#537682); #164203=VERTEX_POINT('',#537684); #164204=VERTEX_POINT('',#537688); #164205=VERTEX_POINT('',#537690); #164206=VERTEX_POINT('',#537694); #164207=VERTEX_POINT('',#537696); #164208=VERTEX_POINT('',#537700); #164209=VERTEX_POINT('',#537702); #164210=VERTEX_POINT('',#537706); #164211=VERTEX_POINT('',#537708); #164212=VERTEX_POINT('',#537712); #164213=VERTEX_POINT('',#537714); #164214=VERTEX_POINT('',#537718); #164215=VERTEX_POINT('',#537720); #164216=VERTEX_POINT('',#537729); #164217=VERTEX_POINT('',#537730); #164218=VERTEX_POINT('',#537732); #164219=VERTEX_POINT('',#537734); #164220=VERTEX_POINT('',#537738); #164221=VERTEX_POINT('',#537740); #164222=VERTEX_POINT('',#537744); #164223=VERTEX_POINT('',#537746); #164224=VERTEX_POINT('',#537750); #164225=VERTEX_POINT('',#537752); #164226=VERTEX_POINT('',#537756); #164227=VERTEX_POINT('',#537758); #164228=VERTEX_POINT('',#537762); #164229=VERTEX_POINT('',#537764); #164230=VERTEX_POINT('',#537768); #164231=VERTEX_POINT('',#537770); #164232=VERTEX_POINT('',#537774); #164233=VERTEX_POINT('',#537776); #164234=VERTEX_POINT('',#537780); #164235=VERTEX_POINT('',#537782); #164236=VERTEX_POINT('',#537786); #164237=VERTEX_POINT('',#537788); #164238=VERTEX_POINT('',#537792); #164239=VERTEX_POINT('',#537794); #164240=VERTEX_POINT('',#537798); #164241=VERTEX_POINT('',#537800); #164242=VERTEX_POINT('',#537804); #164243=VERTEX_POINT('',#537806); #164244=VERTEX_POINT('',#537810); #164245=VERTEX_POINT('',#537812); #164246=VERTEX_POINT('',#537816); #164247=VERTEX_POINT('',#537818); #164248=VERTEX_POINT('',#537822); #164249=VERTEX_POINT('',#537824); #164250=VERTEX_POINT('',#537828); #164251=VERTEX_POINT('',#537830); #164252=VERTEX_POINT('',#537834); #164253=VERTEX_POINT('',#537836); #164254=VERTEX_POINT('',#537840); #164255=VERTEX_POINT('',#537842); #164256=VERTEX_POINT('',#537851); #164257=VERTEX_POINT('',#537852); #164258=VERTEX_POINT('',#537854); #164259=VERTEX_POINT('',#537856); #164260=VERTEX_POINT('',#537860); #164261=VERTEX_POINT('',#537862); #164262=VERTEX_POINT('',#537866); #164263=VERTEX_POINT('',#537868); #164264=VERTEX_POINT('',#537872); #164265=VERTEX_POINT('',#537874); #164266=VERTEX_POINT('',#537878); #164267=VERTEX_POINT('',#537880); #164268=VERTEX_POINT('',#537884); #164269=VERTEX_POINT('',#537886); #164270=VERTEX_POINT('',#537890); #164271=VERTEX_POINT('',#537892); #164272=VERTEX_POINT('',#537896); #164273=VERTEX_POINT('',#537898); #164274=VERTEX_POINT('',#537902); #164275=VERTEX_POINT('',#537904); #164276=VERTEX_POINT('',#537908); #164277=VERTEX_POINT('',#537910); #164278=VERTEX_POINT('',#537914); #164279=VERTEX_POINT('',#537916); #164280=VERTEX_POINT('',#537920); #164281=VERTEX_POINT('',#537922); #164282=VERTEX_POINT('',#537926); #164283=VERTEX_POINT('',#537928); #164284=VERTEX_POINT('',#537932); #164285=VERTEX_POINT('',#537934); #164286=VERTEX_POINT('',#537938); #164287=VERTEX_POINT('',#537940); #164288=VERTEX_POINT('',#537944); #164289=VERTEX_POINT('',#537946); #164290=VERTEX_POINT('',#537950); #164291=VERTEX_POINT('',#537952); #164292=VERTEX_POINT('',#537956); #164293=VERTEX_POINT('',#537958); #164294=VERTEX_POINT('',#537962); #164295=VERTEX_POINT('',#537964); #164296=VERTEX_POINT('',#537973); #164297=VERTEX_POINT('',#537974); #164298=VERTEX_POINT('',#537976); #164299=VERTEX_POINT('',#537978); #164300=VERTEX_POINT('',#537982); #164301=VERTEX_POINT('',#537984); #164302=VERTEX_POINT('',#537988); #164303=VERTEX_POINT('',#537990); #164304=VERTEX_POINT('',#537994); #164305=VERTEX_POINT('',#537996); #164306=VERTEX_POINT('',#538000); #164307=VERTEX_POINT('',#538002); #164308=VERTEX_POINT('',#538006); #164309=VERTEX_POINT('',#538008); #164310=VERTEX_POINT('',#538012); #164311=VERTEX_POINT('',#538014); #164312=VERTEX_POINT('',#538018); #164313=VERTEX_POINT('',#538020); #164314=VERTEX_POINT('',#538024); #164315=VERTEX_POINT('',#538026); #164316=VERTEX_POINT('',#538030); #164317=VERTEX_POINT('',#538032); #164318=VERTEX_POINT('',#538036); #164319=VERTEX_POINT('',#538038); #164320=VERTEX_POINT('',#538042); #164321=VERTEX_POINT('',#538044); #164322=VERTEX_POINT('',#538048); #164323=VERTEX_POINT('',#538050); #164324=VERTEX_POINT('',#538054); #164325=VERTEX_POINT('',#538056); #164326=VERTEX_POINT('',#538060); #164327=VERTEX_POINT('',#538062); #164328=VERTEX_POINT('',#538066); #164329=VERTEX_POINT('',#538068); #164330=VERTEX_POINT('',#538072); #164331=VERTEX_POINT('',#538074); #164332=VERTEX_POINT('',#538078); #164333=VERTEX_POINT('',#538080); #164334=VERTEX_POINT('',#538084); #164335=VERTEX_POINT('',#538086); #164336=VERTEX_POINT('',#538090); #164337=VERTEX_POINT('',#538092); #164338=VERTEX_POINT('',#538096); #164339=VERTEX_POINT('',#538098); #164340=VERTEX_POINT('',#538102); #164341=VERTEX_POINT('',#538104); #164342=VERTEX_POINT('',#538113); #164343=VERTEX_POINT('',#538114); #164344=VERTEX_POINT('',#538116); #164345=VERTEX_POINT('',#538118); #164346=VERTEX_POINT('',#538122); #164347=VERTEX_POINT('',#538124); #164348=VERTEX_POINT('',#538128); #164349=VERTEX_POINT('',#538130); #164350=VERTEX_POINT('',#538134); #164351=VERTEX_POINT('',#538136); #164352=VERTEX_POINT('',#538140); #164353=VERTEX_POINT('',#538142); #164354=VERTEX_POINT('',#538146); #164355=VERTEX_POINT('',#538148); #164356=VERTEX_POINT('',#538152); #164357=VERTEX_POINT('',#538154); #164358=VERTEX_POINT('',#538158); #164359=VERTEX_POINT('',#538160); #164360=VERTEX_POINT('',#538164); #164361=VERTEX_POINT('',#538166); #164362=VERTEX_POINT('',#538170); #164363=VERTEX_POINT('',#538172); #164364=VERTEX_POINT('',#538176); #164365=VERTEX_POINT('',#538178); #164366=VERTEX_POINT('',#538182); #164367=VERTEX_POINT('',#538184); #164368=VERTEX_POINT('',#538188); #164369=VERTEX_POINT('',#538190); #164370=VERTEX_POINT('',#538194); #164371=VERTEX_POINT('',#538196); #164372=VERTEX_POINT('',#538200); #164373=VERTEX_POINT('',#538202); #164374=VERTEX_POINT('',#538206); #164375=VERTEX_POINT('',#538208); #164376=VERTEX_POINT('',#538212); #164377=VERTEX_POINT('',#538214); #164378=VERTEX_POINT('',#538218); #164379=VERTEX_POINT('',#538220); #164380=VERTEX_POINT('',#538224); #164381=VERTEX_POINT('',#538226); #164382=VERTEX_POINT('',#538235); #164383=VERTEX_POINT('',#538236); #164384=VERTEX_POINT('',#538238); #164385=VERTEX_POINT('',#538240); #164386=VERTEX_POINT('',#538244); #164387=VERTEX_POINT('',#538246); #164388=VERTEX_POINT('',#538250); #164389=VERTEX_POINT('',#538252); #164390=VERTEX_POINT('',#538256); #164391=VERTEX_POINT('',#538258); #164392=VERTEX_POINT('',#538262); #164393=VERTEX_POINT('',#538264); #164394=VERTEX_POINT('',#538268); #164395=VERTEX_POINT('',#538270); #164396=VERTEX_POINT('',#538274); #164397=VERTEX_POINT('',#538276); #164398=VERTEX_POINT('',#538280); #164399=VERTEX_POINT('',#538282); #164400=VERTEX_POINT('',#538286); #164401=VERTEX_POINT('',#538288); #164402=VERTEX_POINT('',#538292); #164403=VERTEX_POINT('',#538294); #164404=VERTEX_POINT('',#538298); #164405=VERTEX_POINT('',#538300); #164406=VERTEX_POINT('',#538304); #164407=VERTEX_POINT('',#538306); #164408=VERTEX_POINT('',#538310); #164409=VERTEX_POINT('',#538312); #164410=VERTEX_POINT('',#538316); #164411=VERTEX_POINT('',#538318); #164412=VERTEX_POINT('',#538322); #164413=VERTEX_POINT('',#538324); #164414=VERTEX_POINT('',#538328); #164415=VERTEX_POINT('',#538330); #164416=VERTEX_POINT('',#538334); #164417=VERTEX_POINT('',#538336); #164418=VERTEX_POINT('',#538340); #164419=VERTEX_POINT('',#538342); #164420=VERTEX_POINT('',#538346); #164421=VERTEX_POINT('',#538348); #164422=VERTEX_POINT('',#538352); #164423=VERTEX_POINT('',#538354); #164424=VERTEX_POINT('',#538363); #164425=VERTEX_POINT('',#538365); #164426=VERTEX_POINT('',#538369); #164427=VERTEX_POINT('',#538370); #164428=VERTEX_POINT('',#538372); #164429=VERTEX_POINT('',#538374); #164430=VERTEX_POINT('',#538378); #164431=VERTEX_POINT('',#538380); #164432=VERTEX_POINT('',#538389); #164433=VERTEX_POINT('',#538391); #164434=VERTEX_POINT('',#538395); #164435=VERTEX_POINT('',#538396); #164436=VERTEX_POINT('',#538398); #164437=VERTEX_POINT('',#538400); #164438=VERTEX_POINT('',#538404); #164439=VERTEX_POINT('',#538406); #164440=VERTEX_POINT('',#538415); #164441=VERTEX_POINT('',#538417); #164442=VERTEX_POINT('',#538421); #164443=VERTEX_POINT('',#538422); #164444=VERTEX_POINT('',#538424); #164445=VERTEX_POINT('',#538426); #164446=VERTEX_POINT('',#538430); #164447=VERTEX_POINT('',#538432); #164448=VERTEX_POINT('',#538441); #164449=VERTEX_POINT('',#538443); #164450=VERTEX_POINT('',#538447); #164451=VERTEX_POINT('',#538448); #164452=VERTEX_POINT('',#538450); #164453=VERTEX_POINT('',#538452); #164454=VERTEX_POINT('',#538456); #164455=VERTEX_POINT('',#538458); #164456=VERTEX_POINT('',#538467); #164457=VERTEX_POINT('',#538469); #164458=VERTEX_POINT('',#538473); #164459=VERTEX_POINT('',#538474); #164460=VERTEX_POINT('',#538476); #164461=VERTEX_POINT('',#538478); #164462=VERTEX_POINT('',#538482); #164463=VERTEX_POINT('',#538484); #164464=VERTEX_POINT('',#538488); #164465=VERTEX_POINT('',#538490); #164466=VERTEX_POINT('',#538499); #164467=VERTEX_POINT('',#538501); #164468=VERTEX_POINT('',#538505); #164469=VERTEX_POINT('',#538506); #164470=VERTEX_POINT('',#538508); #164471=VERTEX_POINT('',#538510); #164472=VERTEX_POINT('',#538514); #164473=VERTEX_POINT('',#538516); #164474=VERTEX_POINT('',#538525); #164475=VERTEX_POINT('',#538527); #164476=VERTEX_POINT('',#538531); #164477=VERTEX_POINT('',#538532); #164478=VERTEX_POINT('',#538534); #164479=VERTEX_POINT('',#538536); #164480=VERTEX_POINT('',#538540); #164481=VERTEX_POINT('',#538542); #164482=VERTEX_POINT('',#538551); #164483=VERTEX_POINT('',#538553); #164484=VERTEX_POINT('',#538557); #164485=VERTEX_POINT('',#538558); #164486=VERTEX_POINT('',#538560); #164487=VERTEX_POINT('',#538562); #164488=VERTEX_POINT('',#538566); #164489=VERTEX_POINT('',#538568); #164490=VERTEX_POINT('',#538577); #164491=VERTEX_POINT('',#538579); #164492=VERTEX_POINT('',#538583); #164493=VERTEX_POINT('',#538584); #164494=VERTEX_POINT('',#538586); #164495=VERTEX_POINT('',#538588); #164496=VERTEX_POINT('',#538592); #164497=VERTEX_POINT('',#538594); #164498=VERTEX_POINT('',#538603); #164499=VERTEX_POINT('',#538604); #164500=VERTEX_POINT('',#538606); #164501=VERTEX_POINT('',#538608); #164502=VERTEX_POINT('',#538612); #164503=VERTEX_POINT('',#538614); #164504=VERTEX_POINT('',#538618); #164505=VERTEX_POINT('',#538620); #164506=VERTEX_POINT('',#538627); #164507=VERTEX_POINT('',#538628); #164508=VERTEX_POINT('',#538630); #164509=VERTEX_POINT('',#538632); #164510=VERTEX_POINT('',#538636); #164511=VERTEX_POINT('',#538638); #164512=VERTEX_POINT('',#538642); #164513=VERTEX_POINT('',#538644); #164514=VERTEX_POINT('',#538648); #164515=VERTEX_POINT('',#538650); #164516=VERTEX_POINT('',#538654); #164517=VERTEX_POINT('',#538656); #164518=VERTEX_POINT('',#538660); #164519=VERTEX_POINT('',#538662); #164520=VERTEX_POINT('',#538666); #164521=VERTEX_POINT('',#538668); #164522=VERTEX_POINT('',#538672); #164523=VERTEX_POINT('',#538674); #164524=VERTEX_POINT('',#538678); #164525=VERTEX_POINT('',#538680); #164526=VERTEX_POINT('',#538684); #164527=VERTEX_POINT('',#538686); #164528=VERTEX_POINT('',#538690); #164529=VERTEX_POINT('',#538692); #164530=VERTEX_POINT('',#538696); #164531=VERTEX_POINT('',#538698); #164532=VERTEX_POINT('',#538702); #164533=VERTEX_POINT('',#538704); #164534=VERTEX_POINT('',#538708); #164535=VERTEX_POINT('',#538710); #164536=VERTEX_POINT('',#538714); #164537=VERTEX_POINT('',#538716); #164538=VERTEX_POINT('',#538720); #164539=VERTEX_POINT('',#538722); #164540=VERTEX_POINT('',#538726); #164541=VERTEX_POINT('',#538728); #164542=VERTEX_POINT('',#538732); #164543=VERTEX_POINT('',#538734); #164544=VERTEX_POINT('',#538738); #164545=VERTEX_POINT('',#538740); #164546=VERTEX_POINT('',#538744); #164547=VERTEX_POINT('',#538746); #164548=VERTEX_POINT('',#538750); #164549=VERTEX_POINT('',#538752); #164550=VERTEX_POINT('',#538756); #164551=VERTEX_POINT('',#538758); #164552=VERTEX_POINT('',#538762); #164553=VERTEX_POINT('',#538764); #164554=VERTEX_POINT('',#538768); #164555=VERTEX_POINT('',#538770); #164556=VERTEX_POINT('',#538774); #164557=VERTEX_POINT('',#538776); #164558=VERTEX_POINT('',#538780); #164559=VERTEX_POINT('',#538782); #164560=VERTEX_POINT('',#538786); #164561=VERTEX_POINT('',#538788); #164562=VERTEX_POINT('',#538792); #164563=VERTEX_POINT('',#538794); #164564=VERTEX_POINT('',#538798); #164565=VERTEX_POINT('',#538800); #164566=VERTEX_POINT('',#538804); #164567=VERTEX_POINT('',#538806); #164568=VERTEX_POINT('',#538810); #164569=VERTEX_POINT('',#538812); #164570=VERTEX_POINT('',#538816); #164571=VERTEX_POINT('',#538818); #164572=VERTEX_POINT('',#538822); #164573=VERTEX_POINT('',#538824); #164574=VERTEX_POINT('',#538828); #164575=VERTEX_POINT('',#538830); #164576=VERTEX_POINT('',#538834); #164577=VERTEX_POINT('',#538836); #164578=VERTEX_POINT('',#538840); #164579=VERTEX_POINT('',#538842); #164580=VERTEX_POINT('',#538846); #164581=VERTEX_POINT('',#538848); #164582=VERTEX_POINT('',#538852); #164583=VERTEX_POINT('',#538854); #164584=VERTEX_POINT('',#538858); #164585=VERTEX_POINT('',#538860); #164586=VERTEX_POINT('',#538864); #164587=VERTEX_POINT('',#538866); #164588=VERTEX_POINT('',#538870); #164589=VERTEX_POINT('',#538872); #164590=VERTEX_POINT('',#538876); #164591=VERTEX_POINT('',#538878); #164592=VERTEX_POINT('',#538882); #164593=VERTEX_POINT('',#538884); #164594=VERTEX_POINT('',#538888); #164595=VERTEX_POINT('',#538890); #164596=VERTEX_POINT('',#538894); #164597=VERTEX_POINT('',#538896); #164598=VERTEX_POINT('',#538900); #164599=VERTEX_POINT('',#538902); #164600=VERTEX_POINT('',#538906); #164601=VERTEX_POINT('',#538908); #164602=VERTEX_POINT('',#538912); #164603=VERTEX_POINT('',#538914); #164604=VERTEX_POINT('',#538918); #164605=VERTEX_POINT('',#538920); #164606=VERTEX_POINT('',#538924); #164607=VERTEX_POINT('',#538926); #164608=VERTEX_POINT('',#538930); #164609=VERTEX_POINT('',#538932); #164610=VERTEX_POINT('',#538936); #164611=VERTEX_POINT('',#538938); #164612=VERTEX_POINT('',#538942); #164613=VERTEX_POINT('',#538944); #164614=VERTEX_POINT('',#538948); #164615=VERTEX_POINT('',#538950); #164616=VERTEX_POINT('',#538954); #164617=VERTEX_POINT('',#538956); #164618=VERTEX_POINT('',#538965); #164619=VERTEX_POINT('',#538966); #164620=VERTEX_POINT('',#538968); #164621=VERTEX_POINT('',#538970); #164622=VERTEX_POINT('',#538974); #164623=VERTEX_POINT('',#538976); #164624=VERTEX_POINT('',#538980); #164625=VERTEX_POINT('',#538982); #164626=VERTEX_POINT('',#538989); #164627=VERTEX_POINT('',#538990); #164628=VERTEX_POINT('',#538992); #164629=VERTEX_POINT('',#538994); #164630=VERTEX_POINT('',#538998); #164631=VERTEX_POINT('',#539000); #164632=VERTEX_POINT('',#539004); #164633=VERTEX_POINT('',#539006); #164634=VERTEX_POINT('',#539010); #164635=VERTEX_POINT('',#539012); #164636=VERTEX_POINT('',#539016); #164637=VERTEX_POINT('',#539018); #164638=VERTEX_POINT('',#539022); #164639=VERTEX_POINT('',#539024); #164640=VERTEX_POINT('',#539028); #164641=VERTEX_POINT('',#539030); #164642=VERTEX_POINT('',#539034); #164643=VERTEX_POINT('',#539036); #164644=VERTEX_POINT('',#539040); #164645=VERTEX_POINT('',#539042); #164646=VERTEX_POINT('',#539046); #164647=VERTEX_POINT('',#539048); #164648=VERTEX_POINT('',#539052); #164649=VERTEX_POINT('',#539054); #164650=VERTEX_POINT('',#539058); #164651=VERTEX_POINT('',#539060); #164652=VERTEX_POINT('',#539064); #164653=VERTEX_POINT('',#539066); #164654=VERTEX_POINT('',#539070); #164655=VERTEX_POINT('',#539072); #164656=VERTEX_POINT('',#539076); #164657=VERTEX_POINT('',#539078); #164658=VERTEX_POINT('',#539082); #164659=VERTEX_POINT('',#539084); #164660=VERTEX_POINT('',#539088); #164661=VERTEX_POINT('',#539090); #164662=VERTEX_POINT('',#539094); #164663=VERTEX_POINT('',#539096); #164664=VERTEX_POINT('',#539100); #164665=VERTEX_POINT('',#539102); #164666=VERTEX_POINT('',#539106); #164667=VERTEX_POINT('',#539108); #164668=VERTEX_POINT('',#539112); #164669=VERTEX_POINT('',#539114); #164670=VERTEX_POINT('',#539118); #164671=VERTEX_POINT('',#539120); #164672=VERTEX_POINT('',#539124); #164673=VERTEX_POINT('',#539126); #164674=VERTEX_POINT('',#539130); #164675=VERTEX_POINT('',#539132); #164676=VERTEX_POINT('',#539136); #164677=VERTEX_POINT('',#539138); #164678=VERTEX_POINT('',#539142); #164679=VERTEX_POINT('',#539144); #164680=VERTEX_POINT('',#539148); #164681=VERTEX_POINT('',#539150); #164682=VERTEX_POINT('',#539154); #164683=VERTEX_POINT('',#539156); #164684=VERTEX_POINT('',#539160); #164685=VERTEX_POINT('',#539162); #164686=VERTEX_POINT('',#539166); #164687=VERTEX_POINT('',#539168); #164688=VERTEX_POINT('',#539172); #164689=VERTEX_POINT('',#539174); #164690=VERTEX_POINT('',#539178); #164691=VERTEX_POINT('',#539180); #164692=VERTEX_POINT('',#539184); #164693=VERTEX_POINT('',#539186); #164694=VERTEX_POINT('',#539190); #164695=VERTEX_POINT('',#539192); #164696=VERTEX_POINT('',#539196); #164697=VERTEX_POINT('',#539198); #164698=VERTEX_POINT('',#539202); #164699=VERTEX_POINT('',#539204); #164700=VERTEX_POINT('',#539208); #164701=VERTEX_POINT('',#539210); #164702=VERTEX_POINT('',#539214); #164703=VERTEX_POINT('',#539216); #164704=VERTEX_POINT('',#539220); #164705=VERTEX_POINT('',#539222); #164706=VERTEX_POINT('',#539226); #164707=VERTEX_POINT('',#539228); #164708=VERTEX_POINT('',#539232); #164709=VERTEX_POINT('',#539234); #164710=VERTEX_POINT('',#539238); #164711=VERTEX_POINT('',#539240); #164712=VERTEX_POINT('',#539244); #164713=VERTEX_POINT('',#539246); #164714=VERTEX_POINT('',#539250); #164715=VERTEX_POINT('',#539252); #164716=VERTEX_POINT('',#539256); #164717=VERTEX_POINT('',#539258); #164718=VERTEX_POINT('',#539262); #164719=VERTEX_POINT('',#539264); #164720=VERTEX_POINT('',#539268); #164721=VERTEX_POINT('',#539270); #164722=VERTEX_POINT('',#539274); #164723=VERTEX_POINT('',#539276); #164724=VERTEX_POINT('',#539280); #164725=VERTEX_POINT('',#539282); #164726=VERTEX_POINT('',#539286); #164727=VERTEX_POINT('',#539288); #164728=VERTEX_POINT('',#539292); #164729=VERTEX_POINT('',#539294); #164730=VERTEX_POINT('',#539298); #164731=VERTEX_POINT('',#539300); #164732=VERTEX_POINT('',#539304); #164733=VERTEX_POINT('',#539306); #164734=VERTEX_POINT('',#539310); #164735=VERTEX_POINT('',#539312); #164736=VERTEX_POINT('',#539316); #164737=VERTEX_POINT('',#539318); #164738=VERTEX_POINT('',#539322); #164739=VERTEX_POINT('',#539324); #164740=VERTEX_POINT('',#539328); #164741=VERTEX_POINT('',#539330); #164742=VERTEX_POINT('',#539334); #164743=VERTEX_POINT('',#539336); #164744=VERTEX_POINT('',#539340); #164745=VERTEX_POINT('',#539342); #164746=VERTEX_POINT('',#539346); #164747=VERTEX_POINT('',#539348); #164748=VERTEX_POINT('',#539352); #164749=VERTEX_POINT('',#539354); #164750=VERTEX_POINT('',#539358); #164751=VERTEX_POINT('',#539360); #164752=VERTEX_POINT('',#539364); #164753=VERTEX_POINT('',#539366); #164754=VERTEX_POINT('',#539370); #164755=VERTEX_POINT('',#539372); #164756=VERTEX_POINT('',#539376); #164757=VERTEX_POINT('',#539378); #164758=VERTEX_POINT('',#539382); #164759=VERTEX_POINT('',#539384); #164760=VERTEX_POINT('',#539388); #164761=VERTEX_POINT('',#539390); #164762=VERTEX_POINT('',#539394); #164763=VERTEX_POINT('',#539396); #164764=VERTEX_POINT('',#539400); #164765=VERTEX_POINT('',#539402); #164766=VERTEX_POINT('',#539406); #164767=VERTEX_POINT('',#539408); #164768=VERTEX_POINT('',#539412); #164769=VERTEX_POINT('',#539414); #164770=VERTEX_POINT('',#539423); #164771=VERTEX_POINT('',#539424); #164772=VERTEX_POINT('',#539426); #164773=VERTEX_POINT('',#539428); #164774=VERTEX_POINT('',#539432); #164775=VERTEX_POINT('',#539434); #164776=VERTEX_POINT('',#539438); #164777=VERTEX_POINT('',#539440); #164778=VERTEX_POINT('',#539447); #164779=VERTEX_POINT('',#539448); #164780=VERTEX_POINT('',#539450); #164781=VERTEX_POINT('',#539452); #164782=VERTEX_POINT('',#539456); #164783=VERTEX_POINT('',#539458); #164784=VERTEX_POINT('',#539462); #164785=VERTEX_POINT('',#539464); #164786=VERTEX_POINT('',#539468); #164787=VERTEX_POINT('',#539470); #164788=VERTEX_POINT('',#539474); #164789=VERTEX_POINT('',#539476); #164790=VERTEX_POINT('',#539480); #164791=VERTEX_POINT('',#539482); #164792=VERTEX_POINT('',#539486); #164793=VERTEX_POINT('',#539488); #164794=VERTEX_POINT('',#539492); #164795=VERTEX_POINT('',#539494); #164796=VERTEX_POINT('',#539498); #164797=VERTEX_POINT('',#539500); #164798=VERTEX_POINT('',#539504); #164799=VERTEX_POINT('',#539506); #164800=VERTEX_POINT('',#539510); #164801=VERTEX_POINT('',#539512); #164802=VERTEX_POINT('',#539516); #164803=VERTEX_POINT('',#539518); #164804=VERTEX_POINT('',#539522); #164805=VERTEX_POINT('',#539524); #164806=VERTEX_POINT('',#539528); #164807=VERTEX_POINT('',#539530); #164808=VERTEX_POINT('',#539534); #164809=VERTEX_POINT('',#539536); #164810=VERTEX_POINT('',#539540); #164811=VERTEX_POINT('',#539542); #164812=VERTEX_POINT('',#539546); #164813=VERTEX_POINT('',#539548); #164814=VERTEX_POINT('',#539552); #164815=VERTEX_POINT('',#539554); #164816=VERTEX_POINT('',#539558); #164817=VERTEX_POINT('',#539560); #164818=VERTEX_POINT('',#539564); #164819=VERTEX_POINT('',#539566); #164820=VERTEX_POINT('',#539570); #164821=VERTEX_POINT('',#539572); #164822=VERTEX_POINT('',#539576); #164823=VERTEX_POINT('',#539578); #164824=VERTEX_POINT('',#539582); #164825=VERTEX_POINT('',#539584); #164826=VERTEX_POINT('',#539588); #164827=VERTEX_POINT('',#539590); #164828=VERTEX_POINT('',#539594); #164829=VERTEX_POINT('',#539596); #164830=VERTEX_POINT('',#539600); #164831=VERTEX_POINT('',#539602); #164832=VERTEX_POINT('',#539606); #164833=VERTEX_POINT('',#539608); #164834=VERTEX_POINT('',#539612); #164835=VERTEX_POINT('',#539614); #164836=VERTEX_POINT('',#539618); #164837=VERTEX_POINT('',#539620); #164838=VERTEX_POINT('',#539624); #164839=VERTEX_POINT('',#539626); #164840=VERTEX_POINT('',#539630); #164841=VERTEX_POINT('',#539632); #164842=VERTEX_POINT('',#539636); #164843=VERTEX_POINT('',#539638); #164844=VERTEX_POINT('',#539642); #164845=VERTEX_POINT('',#539644); #164846=VERTEX_POINT('',#539648); #164847=VERTEX_POINT('',#539650); #164848=VERTEX_POINT('',#539654); #164849=VERTEX_POINT('',#539656); #164850=VERTEX_POINT('',#539660); #164851=VERTEX_POINT('',#539662); #164852=VERTEX_POINT('',#539666); #164853=VERTEX_POINT('',#539668); #164854=VERTEX_POINT('',#539672); #164855=VERTEX_POINT('',#539674); #164856=VERTEX_POINT('',#539678); #164857=VERTEX_POINT('',#539680); #164858=VERTEX_POINT('',#539684); #164859=VERTEX_POINT('',#539686); #164860=VERTEX_POINT('',#539690); #164861=VERTEX_POINT('',#539692); #164862=VERTEX_POINT('',#539696); #164863=VERTEX_POINT('',#539698); #164864=VERTEX_POINT('',#539702); #164865=VERTEX_POINT('',#539704); #164866=VERTEX_POINT('',#539708); #164867=VERTEX_POINT('',#539710); #164868=VERTEX_POINT('',#539714); #164869=VERTEX_POINT('',#539716); #164870=VERTEX_POINT('',#539720); #164871=VERTEX_POINT('',#539722); #164872=VERTEX_POINT('',#539726); #164873=VERTEX_POINT('',#539728); #164874=VERTEX_POINT('',#539732); #164875=VERTEX_POINT('',#539734); #164876=VERTEX_POINT('',#539738); #164877=VERTEX_POINT('',#539740); #164878=VERTEX_POINT('',#539744); #164879=VERTEX_POINT('',#539746); #164880=VERTEX_POINT('',#539750); #164881=VERTEX_POINT('',#539752); #164882=VERTEX_POINT('',#539756); #164883=VERTEX_POINT('',#539758); #164884=VERTEX_POINT('',#539762); #164885=VERTEX_POINT('',#539764); #164886=VERTEX_POINT('',#539768); #164887=VERTEX_POINT('',#539770); #164888=VERTEX_POINT('',#539774); #164889=VERTEX_POINT('',#539776); #164890=VERTEX_POINT('',#539785); #164891=VERTEX_POINT('',#539787); #164892=VERTEX_POINT('',#539791); #164893=VERTEX_POINT('',#539792); #164894=VERTEX_POINT('',#539794); #164895=VERTEX_POINT('',#539796); #164896=VERTEX_POINT('',#539800); #164897=VERTEX_POINT('',#539802); #164898=VERTEX_POINT('',#539806); #164899=VERTEX_POINT('',#539808); #164900=VERTEX_POINT('',#539817); #164901=VERTEX_POINT('',#539818); #164902=VERTEX_POINT('',#539820); #164903=VERTEX_POINT('',#539822); #164904=VERTEX_POINT('',#539826); #164905=VERTEX_POINT('',#539828); #164906=VERTEX_POINT('',#539832); #164907=VERTEX_POINT('',#539834); #164908=VERTEX_POINT('',#539841); #164909=VERTEX_POINT('',#539842); #164910=VERTEX_POINT('',#539844); #164911=VERTEX_POINT('',#539846); #164912=VERTEX_POINT('',#539850); #164913=VERTEX_POINT('',#539852); #164914=VERTEX_POINT('',#539856); #164915=VERTEX_POINT('',#539858); #164916=VERTEX_POINT('',#539862); #164917=VERTEX_POINT('',#539864); #164918=VERTEX_POINT('',#539868); #164919=VERTEX_POINT('',#539870); #164920=VERTEX_POINT('',#539874); #164921=VERTEX_POINT('',#539876); #164922=VERTEX_POINT('',#539880); #164923=VERTEX_POINT('',#539882); #164924=VERTEX_POINT('',#539886); #164925=VERTEX_POINT('',#539888); #164926=VERTEX_POINT('',#539892); #164927=VERTEX_POINT('',#539894); #164928=VERTEX_POINT('',#539898); #164929=VERTEX_POINT('',#539900); #164930=VERTEX_POINT('',#539904); #164931=VERTEX_POINT('',#539906); #164932=VERTEX_POINT('',#539910); #164933=VERTEX_POINT('',#539912); #164934=VERTEX_POINT('',#539916); #164935=VERTEX_POINT('',#539918); #164936=VERTEX_POINT('',#539922); #164937=VERTEX_POINT('',#539924); #164938=VERTEX_POINT('',#539928); #164939=VERTEX_POINT('',#539930); #164940=VERTEX_POINT('',#539934); #164941=VERTEX_POINT('',#539936); #164942=VERTEX_POINT('',#539940); #164943=VERTEX_POINT('',#539942); #164944=VERTEX_POINT('',#539946); #164945=VERTEX_POINT('',#539948); #164946=VERTEX_POINT('',#539952); #164947=VERTEX_POINT('',#539954); #164948=VERTEX_POINT('',#539958); #164949=VERTEX_POINT('',#539960); #164950=VERTEX_POINT('',#539964); #164951=VERTEX_POINT('',#539966); #164952=VERTEX_POINT('',#539970); #164953=VERTEX_POINT('',#539972); #164954=VERTEX_POINT('',#539976); #164955=VERTEX_POINT('',#539978); #164956=VERTEX_POINT('',#539982); #164957=VERTEX_POINT('',#539984); #164958=VERTEX_POINT('',#539988); #164959=VERTEX_POINT('',#539990); #164960=VERTEX_POINT('',#539994); #164961=VERTEX_POINT('',#539996); #164962=VERTEX_POINT('',#540000); #164963=VERTEX_POINT('',#540002); #164964=VERTEX_POINT('',#540006); #164965=VERTEX_POINT('',#540008); #164966=VERTEX_POINT('',#540012); #164967=VERTEX_POINT('',#540014); #164968=VERTEX_POINT('',#540018); #164969=VERTEX_POINT('',#540020); #164970=VERTEX_POINT('',#540024); #164971=VERTEX_POINT('',#540026); #164972=VERTEX_POINT('',#540030); #164973=VERTEX_POINT('',#540032); #164974=VERTEX_POINT('',#540036); #164975=VERTEX_POINT('',#540038); #164976=VERTEX_POINT('',#540042); #164977=VERTEX_POINT('',#540044); #164978=VERTEX_POINT('',#540048); #164979=VERTEX_POINT('',#540050); #164980=VERTEX_POINT('',#540054); #164981=VERTEX_POINT('',#540056); #164982=VERTEX_POINT('',#540060); #164983=VERTEX_POINT('',#540062); #164984=VERTEX_POINT('',#540066); #164985=VERTEX_POINT('',#540068); #164986=VERTEX_POINT('',#540072); #164987=VERTEX_POINT('',#540074); #164988=VERTEX_POINT('',#540078); #164989=VERTEX_POINT('',#540080); #164990=VERTEX_POINT('',#540084); #164991=VERTEX_POINT('',#540086); #164992=VERTEX_POINT('',#540090); #164993=VERTEX_POINT('',#540092); #164994=VERTEX_POINT('',#540096); #164995=VERTEX_POINT('',#540098); #164996=VERTEX_POINT('',#540102); #164997=VERTEX_POINT('',#540104); #164998=VERTEX_POINT('',#540108); #164999=VERTEX_POINT('',#540110); #165000=VERTEX_POINT('',#540114); #165001=VERTEX_POINT('',#540116); #165002=VERTEX_POINT('',#540120); #165003=VERTEX_POINT('',#540122); #165004=VERTEX_POINT('',#540126); #165005=VERTEX_POINT('',#540128); #165006=VERTEX_POINT('',#540132); #165007=VERTEX_POINT('',#540134); #165008=VERTEX_POINT('',#540138); #165009=VERTEX_POINT('',#540140); #165010=VERTEX_POINT('',#540144); #165011=VERTEX_POINT('',#540146); #165012=VERTEX_POINT('',#540150); #165013=VERTEX_POINT('',#540152); #165014=VERTEX_POINT('',#540156); #165015=VERTEX_POINT('',#540158); #165016=VERTEX_POINT('',#540162); #165017=VERTEX_POINT('',#540164); #165018=VERTEX_POINT('',#540168); #165019=VERTEX_POINT('',#540170); #165020=VERTEX_POINT('',#540179); #165021=VERTEX_POINT('',#540180); #165022=VERTEX_POINT('',#540182); #165023=VERTEX_POINT('',#540184); #165024=VERTEX_POINT('',#540188); #165025=VERTEX_POINT('',#540190); #165026=VERTEX_POINT('',#540194); #165027=VERTEX_POINT('',#540196); #165028=VERTEX_POINT('',#540203); #165029=VERTEX_POINT('',#540204); #165030=VERTEX_POINT('',#540206); #165031=VERTEX_POINT('',#540208); #165032=VERTEX_POINT('',#540212); #165033=VERTEX_POINT('',#540214); #165034=VERTEX_POINT('',#540218); #165035=VERTEX_POINT('',#540220); #165036=VERTEX_POINT('',#540224); #165037=VERTEX_POINT('',#540226); #165038=VERTEX_POINT('',#540230); #165039=VERTEX_POINT('',#540232); #165040=VERTEX_POINT('',#540236); #165041=VERTEX_POINT('',#540238); #165042=VERTEX_POINT('',#540242); #165043=VERTEX_POINT('',#540244); #165044=VERTEX_POINT('',#540248); #165045=VERTEX_POINT('',#540250); #165046=VERTEX_POINT('',#540254); #165047=VERTEX_POINT('',#540256); #165048=VERTEX_POINT('',#540260); #165049=VERTEX_POINT('',#540262); #165050=VERTEX_POINT('',#540266); #165051=VERTEX_POINT('',#540268); #165052=VERTEX_POINT('',#540272); #165053=VERTEX_POINT('',#540274); #165054=VERTEX_POINT('',#540278); #165055=VERTEX_POINT('',#540280); #165056=VERTEX_POINT('',#540284); #165057=VERTEX_POINT('',#540286); #165058=VERTEX_POINT('',#540290); #165059=VERTEX_POINT('',#540292); #165060=VERTEX_POINT('',#540296); #165061=VERTEX_POINT('',#540298); #165062=VERTEX_POINT('',#540302); #165063=VERTEX_POINT('',#540304); #165064=VERTEX_POINT('',#540308); #165065=VERTEX_POINT('',#540310); #165066=VERTEX_POINT('',#540314); #165067=VERTEX_POINT('',#540316); #165068=VERTEX_POINT('',#540320); #165069=VERTEX_POINT('',#540322); #165070=VERTEX_POINT('',#540326); #165071=VERTEX_POINT('',#540328); #165072=VERTEX_POINT('',#540332); #165073=VERTEX_POINT('',#540334); #165074=VERTEX_POINT('',#540338); #165075=VERTEX_POINT('',#540340); #165076=VERTEX_POINT('',#540344); #165077=VERTEX_POINT('',#540346); #165078=VERTEX_POINT('',#540350); #165079=VERTEX_POINT('',#540352); #165080=VERTEX_POINT('',#540356); #165081=VERTEX_POINT('',#540358); #165082=VERTEX_POINT('',#540362); #165083=VERTEX_POINT('',#540364); #165084=VERTEX_POINT('',#540368); #165085=VERTEX_POINT('',#540370); #165086=VERTEX_POINT('',#540374); #165087=VERTEX_POINT('',#540376); #165088=VERTEX_POINT('',#540380); #165089=VERTEX_POINT('',#540382); #165090=VERTEX_POINT('',#540386); #165091=VERTEX_POINT('',#540388); #165092=VERTEX_POINT('',#540392); #165093=VERTEX_POINT('',#540394); #165094=VERTEX_POINT('',#540398); #165095=VERTEX_POINT('',#540400); #165096=VERTEX_POINT('',#540404); #165097=VERTEX_POINT('',#540406); #165098=VERTEX_POINT('',#540410); #165099=VERTEX_POINT('',#540412); #165100=VERTEX_POINT('',#540416); #165101=VERTEX_POINT('',#540418); #165102=VERTEX_POINT('',#540422); #165103=VERTEX_POINT('',#540424); #165104=VERTEX_POINT('',#540428); #165105=VERTEX_POINT('',#540430); #165106=VERTEX_POINT('',#540434); #165107=VERTEX_POINT('',#540436); #165108=VERTEX_POINT('',#540440); #165109=VERTEX_POINT('',#540442); #165110=VERTEX_POINT('',#540446); #165111=VERTEX_POINT('',#540448); #165112=VERTEX_POINT('',#540452); #165113=VERTEX_POINT('',#540454); #165114=VERTEX_POINT('',#540458); #165115=VERTEX_POINT('',#540460); #165116=VERTEX_POINT('',#540464); #165117=VERTEX_POINT('',#540466); #165118=VERTEX_POINT('',#540470); #165119=VERTEX_POINT('',#540472); #165120=VERTEX_POINT('',#540476); #165121=VERTEX_POINT('',#540478); #165122=VERTEX_POINT('',#540482); #165123=VERTEX_POINT('',#540484); #165124=VERTEX_POINT('',#540488); #165125=VERTEX_POINT('',#540490); #165126=VERTEX_POINT('',#540494); #165127=VERTEX_POINT('',#540496); #165128=VERTEX_POINT('',#540500); #165129=VERTEX_POINT('',#540502); #165130=VERTEX_POINT('',#540506); #165131=VERTEX_POINT('',#540508); #165132=VERTEX_POINT('',#540512); #165133=VERTEX_POINT('',#540514); #165134=VERTEX_POINT('',#540518); #165135=VERTEX_POINT('',#540520); #165136=VERTEX_POINT('',#540524); #165137=VERTEX_POINT('',#540526); #165138=VERTEX_POINT('',#540530); #165139=VERTEX_POINT('',#540532); #165140=VERTEX_POINT('',#540536); #165141=VERTEX_POINT('',#540538); #165142=VERTEX_POINT('',#540542); #165143=VERTEX_POINT('',#540544); #165144=VERTEX_POINT('',#540548); #165145=VERTEX_POINT('',#540550); #165146=VERTEX_POINT('',#540554); #165147=VERTEX_POINT('',#540556); #165148=VERTEX_POINT('',#540560); #165149=VERTEX_POINT('',#540562); #165150=VERTEX_POINT('',#540566); #165151=VERTEX_POINT('',#540568); #165152=VERTEX_POINT('',#540572); #165153=VERTEX_POINT('',#540574); #165154=VERTEX_POINT('',#540578); #165155=VERTEX_POINT('',#540580); #165156=VERTEX_POINT('',#540584); #165157=VERTEX_POINT('',#540586); #165158=VERTEX_POINT('',#540590); #165159=VERTEX_POINT('',#540592); #165160=VERTEX_POINT('',#540596); #165161=VERTEX_POINT('',#540598); #165162=VERTEX_POINT('',#540602); #165163=VERTEX_POINT('',#540604); #165164=VERTEX_POINT('',#540608); #165165=VERTEX_POINT('',#540610); #165166=VERTEX_POINT('',#540614); #165167=VERTEX_POINT('',#540616); #165168=VERTEX_POINT('',#540620); #165169=VERTEX_POINT('',#540622); #165170=VERTEX_POINT('',#540626); #165171=VERTEX_POINT('',#540628); #165172=VERTEX_POINT('',#540637); #165173=VERTEX_POINT('',#540639); #165174=VERTEX_POINT('',#540643); #165175=VERTEX_POINT('',#540644); #165176=VERTEX_POINT('',#540646); #165177=VERTEX_POINT('',#540648); #165178=VERTEX_POINT('',#540652); #165179=VERTEX_POINT('',#540654); #165180=VERTEX_POINT('',#540663); #165181=VERTEX_POINT('',#540665); #165182=VERTEX_POINT('',#540669); #165183=VERTEX_POINT('',#540670); #165184=VERTEX_POINT('',#540672); #165185=VERTEX_POINT('',#540674); #165186=VERTEX_POINT('',#540678); #165187=VERTEX_POINT('',#540680); #165188=VERTEX_POINT('',#540689); #165189=VERTEX_POINT('',#540690); #165190=VERTEX_POINT('',#540692); #165191=VERTEX_POINT('',#540694); #165192=VERTEX_POINT('',#540698); #165193=VERTEX_POINT('',#540700); #165194=VERTEX_POINT('',#540704); #165195=VERTEX_POINT('',#540706); #165196=VERTEX_POINT('',#540713); #165197=VERTEX_POINT('',#540714); #165198=VERTEX_POINT('',#540716); #165199=VERTEX_POINT('',#540718); #165200=VERTEX_POINT('',#540722); #165201=VERTEX_POINT('',#540724); #165202=VERTEX_POINT('',#540728); #165203=VERTEX_POINT('',#540730); #165204=VERTEX_POINT('',#540734); #165205=VERTEX_POINT('',#540736); #165206=VERTEX_POINT('',#540740); #165207=VERTEX_POINT('',#540742); #165208=VERTEX_POINT('',#540746); #165209=VERTEX_POINT('',#540748); #165210=VERTEX_POINT('',#540752); #165211=VERTEX_POINT('',#540754); #165212=VERTEX_POINT('',#540758); #165213=VERTEX_POINT('',#540760); #165214=VERTEX_POINT('',#540764); #165215=VERTEX_POINT('',#540766); #165216=VERTEX_POINT('',#540770); #165217=VERTEX_POINT('',#540772); #165218=VERTEX_POINT('',#540776); #165219=VERTEX_POINT('',#540778); #165220=VERTEX_POINT('',#540782); #165221=VERTEX_POINT('',#540784); #165222=VERTEX_POINT('',#540788); #165223=VERTEX_POINT('',#540790); #165224=VERTEX_POINT('',#540794); #165225=VERTEX_POINT('',#540796); #165226=VERTEX_POINT('',#540800); #165227=VERTEX_POINT('',#540802); #165228=VERTEX_POINT('',#540806); #165229=VERTEX_POINT('',#540808); #165230=VERTEX_POINT('',#540812); #165231=VERTEX_POINT('',#540814); #165232=VERTEX_POINT('',#540818); #165233=VERTEX_POINT('',#540820); #165234=VERTEX_POINT('',#540824); #165235=VERTEX_POINT('',#540826); #165236=VERTEX_POINT('',#540830); #165237=VERTEX_POINT('',#540832); #165238=VERTEX_POINT('',#540836); #165239=VERTEX_POINT('',#540838); #165240=VERTEX_POINT('',#540842); #165241=VERTEX_POINT('',#540844); #165242=VERTEX_POINT('',#540848); #165243=VERTEX_POINT('',#540850); #165244=VERTEX_POINT('',#540854); #165245=VERTEX_POINT('',#540856); #165246=VERTEX_POINT('',#540860); #165247=VERTEX_POINT('',#540862); #165248=VERTEX_POINT('',#540866); #165249=VERTEX_POINT('',#540868); #165250=VERTEX_POINT('',#540872); #165251=VERTEX_POINT('',#540874); #165252=VERTEX_POINT('',#540878); #165253=VERTEX_POINT('',#540880); #165254=VERTEX_POINT('',#540884); #165255=VERTEX_POINT('',#540886); #165256=VERTEX_POINT('',#540890); #165257=VERTEX_POINT('',#540892); #165258=VERTEX_POINT('',#540896); #165259=VERTEX_POINT('',#540898); #165260=VERTEX_POINT('',#540902); #165261=VERTEX_POINT('',#540904); #165262=VERTEX_POINT('',#540908); #165263=VERTEX_POINT('',#540910); #165264=VERTEX_POINT('',#540914); #165265=VERTEX_POINT('',#540916); #165266=VERTEX_POINT('',#540920); #165267=VERTEX_POINT('',#540922); #165268=VERTEX_POINT('',#540926); #165269=VERTEX_POINT('',#540928); #165270=VERTEX_POINT('',#540932); #165271=VERTEX_POINT('',#540934); #165272=VERTEX_POINT('',#540938); #165273=VERTEX_POINT('',#540940); #165274=VERTEX_POINT('',#540944); #165275=VERTEX_POINT('',#540946); #165276=VERTEX_POINT('',#540950); #165277=VERTEX_POINT('',#540952); #165278=VERTEX_POINT('',#540956); #165279=VERTEX_POINT('',#540958); #165280=VERTEX_POINT('',#540962); #165281=VERTEX_POINT('',#540964); #165282=VERTEX_POINT('',#540968); #165283=VERTEX_POINT('',#540970); #165284=VERTEX_POINT('',#540974); #165285=VERTEX_POINT('',#540976); #165286=VERTEX_POINT('',#540980); #165287=VERTEX_POINT('',#540982); #165288=VERTEX_POINT('',#540986); #165289=VERTEX_POINT('',#540988); #165290=VERTEX_POINT('',#540992); #165291=VERTEX_POINT('',#540994); #165292=VERTEX_POINT('',#540998); #165293=VERTEX_POINT('',#541000); #165294=VERTEX_POINT('',#541004); #165295=VERTEX_POINT('',#541006); #165296=VERTEX_POINT('',#541010); #165297=VERTEX_POINT('',#541012); #165298=VERTEX_POINT('',#541016); #165299=VERTEX_POINT('',#541018); #165300=VERTEX_POINT('',#541022); #165301=VERTEX_POINT('',#541024); #165302=VERTEX_POINT('',#541028); #165303=VERTEX_POINT('',#541030); #165304=VERTEX_POINT('',#541034); #165305=VERTEX_POINT('',#541036); #165306=VERTEX_POINT('',#541040); #165307=VERTEX_POINT('',#541042); #165308=VERTEX_POINT('',#541051); #165309=VERTEX_POINT('',#541053); #165310=VERTEX_POINT('',#541057); #165311=VERTEX_POINT('',#541058); #165312=VERTEX_POINT('',#541060); #165313=VERTEX_POINT('',#541062); #165314=VERTEX_POINT('',#541066); #165315=VERTEX_POINT('',#541068); #165316=VERTEX_POINT('',#541077); #165317=VERTEX_POINT('',#541079); #165318=VERTEX_POINT('',#541083); #165319=VERTEX_POINT('',#541084); #165320=VERTEX_POINT('',#541086); #165321=VERTEX_POINT('',#541088); #165322=VERTEX_POINT('',#541092); #165323=VERTEX_POINT('',#541094); #165324=VERTEX_POINT('',#541103); #165325=VERTEX_POINT('',#541105); #165326=VERTEX_POINT('',#541109); #165327=VERTEX_POINT('',#541110); #165328=VERTEX_POINT('',#541112); #165329=VERTEX_POINT('',#541114); #165330=VERTEX_POINT('',#541118); #165331=VERTEX_POINT('',#541120); #165332=VERTEX_POINT('',#541129); #165333=VERTEX_POINT('',#541131); #165334=VERTEX_POINT('',#541135); #165335=VERTEX_POINT('',#541136); #165336=VERTEX_POINT('',#541138); #165337=VERTEX_POINT('',#541140); #165338=VERTEX_POINT('',#541144); #165339=VERTEX_POINT('',#541146); #165340=VERTEX_POINT('',#541150); #165341=VERTEX_POINT('',#541152); #165342=VERTEX_POINT('',#541161); #165343=VERTEX_POINT('',#541163); #165344=VERTEX_POINT('',#541167); #165345=VERTEX_POINT('',#541168); #165346=VERTEX_POINT('',#541170); #165347=VERTEX_POINT('',#541172); #165348=VERTEX_POINT('',#541176); #165349=VERTEX_POINT('',#541178); #165350=VERTEX_POINT('',#541187); #165351=VERTEX_POINT('',#541188); #165352=VERTEX_POINT('',#541190); #165353=VERTEX_POINT('',#541192); #165354=VERTEX_POINT('',#541196); #165355=VERTEX_POINT('',#541198); #165356=VERTEX_POINT('',#541202); #165357=VERTEX_POINT('',#541204); #165358=VERTEX_POINT('',#541213); #165359=VERTEX_POINT('',#541214); #165360=VERTEX_POINT('',#541216); #165361=VERTEX_POINT('',#541218); #165362=VERTEX_POINT('',#541222); #165363=VERTEX_POINT('',#541224); #165364=VERTEX_POINT('',#541228); #165365=VERTEX_POINT('',#541230); #165366=VERTEX_POINT('',#541239); #165367=VERTEX_POINT('',#541240); #165368=VERTEX_POINT('',#541242); #165369=VERTEX_POINT('',#541244); #165370=VERTEX_POINT('',#541248); #165371=VERTEX_POINT('',#541250); #165372=VERTEX_POINT('',#541254); #165373=VERTEX_POINT('',#541256); #165374=VERTEX_POINT('',#541265); #165375=VERTEX_POINT('',#541266); #165376=VERTEX_POINT('',#541268); #165377=VERTEX_POINT('',#541270); #165378=VERTEX_POINT('',#541274); #165379=VERTEX_POINT('',#541276); #165380=VERTEX_POINT('',#541280); #165381=VERTEX_POINT('',#541282); #165382=VERTEX_POINT('',#541291); #165383=VERTEX_POINT('',#541292); #165384=VERTEX_POINT('',#541294); #165385=VERTEX_POINT('',#541296); #165386=VERTEX_POINT('',#541300); #165387=VERTEX_POINT('',#541302); #165388=VERTEX_POINT('',#541306); #165389=VERTEX_POINT('',#541308); #165390=VERTEX_POINT('',#541317); #165391=VERTEX_POINT('',#541318); #165392=VERTEX_POINT('',#541320); #165393=VERTEX_POINT('',#541322); #165394=VERTEX_POINT('',#541326); #165395=VERTEX_POINT('',#541328); #165396=VERTEX_POINT('',#541332); #165397=VERTEX_POINT('',#541334); #165398=VERTEX_POINT('',#541343); #165399=VERTEX_POINT('',#541344); #165400=VERTEX_POINT('',#541346); #165401=VERTEX_POINT('',#541348); #165402=VERTEX_POINT('',#541352); #165403=VERTEX_POINT('',#541354); #165404=VERTEX_POINT('',#541358); #165405=VERTEX_POINT('',#541360); #165406=VERTEX_POINT('',#541369); #165407=VERTEX_POINT('',#541370); #165408=VERTEX_POINT('',#541372); #165409=VERTEX_POINT('',#541374); #165410=VERTEX_POINT('',#541378); #165411=VERTEX_POINT('',#541380); #165412=VERTEX_POINT('',#541384); #165413=VERTEX_POINT('',#541386); #165414=VERTEX_POINT('',#541395); #165415=VERTEX_POINT('',#541396); #165416=VERTEX_POINT('',#541398); #165417=VERTEX_POINT('',#541400); #165418=VERTEX_POINT('',#541404); #165419=VERTEX_POINT('',#541406); #165420=VERTEX_POINT('',#541410); #165421=VERTEX_POINT('',#541412); #165422=VERTEX_POINT('',#541421); #165423=VERTEX_POINT('',#541422); #165424=VERTEX_POINT('',#541424); #165425=VERTEX_POINT('',#541426); #165426=VERTEX_POINT('',#541430); #165427=VERTEX_POINT('',#541432); #165428=VERTEX_POINT('',#541436); #165429=VERTEX_POINT('',#541438); #165430=VERTEX_POINT('',#541447); #165431=VERTEX_POINT('',#541448); #165432=VERTEX_POINT('',#541450); #165433=VERTEX_POINT('',#541452); #165434=VERTEX_POINT('',#541456); #165435=VERTEX_POINT('',#541458); #165436=VERTEX_POINT('',#541462); #165437=VERTEX_POINT('',#541464); #165438=VERTEX_POINT('',#541473); #165439=VERTEX_POINT('',#541474); #165440=VERTEX_POINT('',#541476); #165441=VERTEX_POINT('',#541478); #165442=VERTEX_POINT('',#541482); #165443=VERTEX_POINT('',#541484); #165444=VERTEX_POINT('',#541488); #165445=VERTEX_POINT('',#541490); #165446=VERTEX_POINT('',#541499); #165447=VERTEX_POINT('',#541500); #165448=VERTEX_POINT('',#541502); #165449=VERTEX_POINT('',#541504); #165450=VERTEX_POINT('',#541508); #165451=VERTEX_POINT('',#541510); #165452=VERTEX_POINT('',#541514); #165453=VERTEX_POINT('',#541516); #165454=VERTEX_POINT('',#541525); #165455=VERTEX_POINT('',#541527); #165456=VERTEX_POINT('',#541531); #165457=VERTEX_POINT('',#541533); #165458=VERTEX_POINT('',#541537); #165459=VERTEX_POINT('',#541539); #165460=VERTEX_POINT('',#541543); #165461=VERTEX_POINT('',#541545); #165462=VERTEX_POINT('',#541549); #165463=VERTEX_POINT('',#541551); #165464=VERTEX_POINT('',#541555); #165465=VERTEX_POINT('',#541557); #165466=VERTEX_POINT('',#541561); #165467=VERTEX_POINT('',#541562); #165468=VERTEX_POINT('',#541564); #165469=VERTEX_POINT('',#541566); #165470=VERTEX_POINT('',#541570); #165471=VERTEX_POINT('',#541572); #165472=VERTEX_POINT('',#541576); #165473=VERTEX_POINT('',#541578); #165474=VERTEX_POINT('',#541587); #165475=VERTEX_POINT('',#541588); #165476=VERTEX_POINT('',#541590); #165477=VERTEX_POINT('',#541592); #165478=VERTEX_POINT('',#541596); #165479=VERTEX_POINT('',#541598); #165480=VERTEX_POINT('',#541602); #165481=VERTEX_POINT('',#541604); #165482=VERTEX_POINT('',#541613); #165483=VERTEX_POINT('',#541614); #165484=VERTEX_POINT('',#541616); #165485=VERTEX_POINT('',#541618); #165486=VERTEX_POINT('',#541622); #165487=VERTEX_POINT('',#541624); #165488=VERTEX_POINT('',#541628); #165489=VERTEX_POINT('',#541630); #165490=VERTEX_POINT('',#541639); #165491=VERTEX_POINT('',#541640); #165492=VERTEX_POINT('',#541642); #165493=VERTEX_POINT('',#541644); #165494=VERTEX_POINT('',#541648); #165495=VERTEX_POINT('',#541650); #165496=VERTEX_POINT('',#541654); #165497=VERTEX_POINT('',#541656); #165498=VERTEX_POINT('',#541665); #165499=VERTEX_POINT('',#541666); #165500=VERTEX_POINT('',#541668); #165501=VERTEX_POINT('',#541670); #165502=VERTEX_POINT('',#541674); #165503=VERTEX_POINT('',#541676); #165504=VERTEX_POINT('',#541680); #165505=VERTEX_POINT('',#541682); #165506=VERTEX_POINT('',#541691); #165507=VERTEX_POINT('',#541692); #165508=VERTEX_POINT('',#541694); #165509=VERTEX_POINT('',#541696); #165510=VERTEX_POINT('',#541700); #165511=VERTEX_POINT('',#541702); #165512=VERTEX_POINT('',#541706); #165513=VERTEX_POINT('',#541708); #165514=VERTEX_POINT('',#541717); #165515=VERTEX_POINT('',#541718); #165516=VERTEX_POINT('',#541720); #165517=VERTEX_POINT('',#541722); #165518=VERTEX_POINT('',#541726); #165519=VERTEX_POINT('',#541728); #165520=VERTEX_POINT('',#541732); #165521=VERTEX_POINT('',#541734); #165522=VERTEX_POINT('',#541743); #165523=VERTEX_POINT('',#541744); #165524=VERTEX_POINT('',#541746); #165525=VERTEX_POINT('',#541748); #165526=VERTEX_POINT('',#541752); #165527=VERTEX_POINT('',#541754); #165528=VERTEX_POINT('',#541758); #165529=VERTEX_POINT('',#541760); #165530=VERTEX_POINT('',#541769); #165531=VERTEX_POINT('',#541770); #165532=VERTEX_POINT('',#541772); #165533=VERTEX_POINT('',#541774); #165534=VERTEX_POINT('',#541778); #165535=VERTEX_POINT('',#541780); #165536=VERTEX_POINT('',#541784); #165537=VERTEX_POINT('',#541786); #165538=VERTEX_POINT('',#541795); #165539=VERTEX_POINT('',#541796); #165540=VERTEX_POINT('',#541798); #165541=VERTEX_POINT('',#541800); #165542=VERTEX_POINT('',#541804); #165543=VERTEX_POINT('',#541806); #165544=VERTEX_POINT('',#541810); #165545=VERTEX_POINT('',#541812); #165546=VERTEX_POINT('',#541821); #165547=VERTEX_POINT('',#541822); #165548=VERTEX_POINT('',#541824); #165549=VERTEX_POINT('',#541826); #165550=VERTEX_POINT('',#541830); #165551=VERTEX_POINT('',#541832); #165552=VERTEX_POINT('',#541836); #165553=VERTEX_POINT('',#541838); #165554=VERTEX_POINT('',#541847); #165555=VERTEX_POINT('',#541848); #165556=VERTEX_POINT('',#541850); #165557=VERTEX_POINT('',#541852); #165558=VERTEX_POINT('',#541856); #165559=VERTEX_POINT('',#541858); #165560=VERTEX_POINT('',#541862); #165561=VERTEX_POINT('',#541864); #165562=VERTEX_POINT('',#541873); #165563=VERTEX_POINT('',#541874); #165564=VERTEX_POINT('',#541876); #165565=VERTEX_POINT('',#541878); #165566=VERTEX_POINT('',#541882); #165567=VERTEX_POINT('',#541884); #165568=VERTEX_POINT('',#541888); #165569=VERTEX_POINT('',#541890); #165570=VERTEX_POINT('',#541899); #165571=VERTEX_POINT('',#541900); #165572=VERTEX_POINT('',#541902); #165573=VERTEX_POINT('',#541904); #165574=VERTEX_POINT('',#541908); #165575=VERTEX_POINT('',#541910); #165576=VERTEX_POINT('',#541914); #165577=VERTEX_POINT('',#541916); #165578=VERTEX_POINT('',#541925); #165579=VERTEX_POINT('',#541926); #165580=VERTEX_POINT('',#541928); #165581=VERTEX_POINT('',#541930); #165582=VERTEX_POINT('',#541934); #165583=VERTEX_POINT('',#541936); #165584=VERTEX_POINT('',#541940); #165585=VERTEX_POINT('',#541942); #165586=VERTEX_POINT('',#541951); #165587=VERTEX_POINT('',#541952); #165588=VERTEX_POINT('',#541954); #165589=VERTEX_POINT('',#541956); #165590=VERTEX_POINT('',#541960); #165591=VERTEX_POINT('',#541962); #165592=VERTEX_POINT('',#541966); #165593=VERTEX_POINT('',#541968); #165594=VERTEX_POINT('',#541977); #165595=VERTEX_POINT('',#541978); #165596=VERTEX_POINT('',#541980); #165597=VERTEX_POINT('',#541982); #165598=VERTEX_POINT('',#541986); #165599=VERTEX_POINT('',#541988); #165600=VERTEX_POINT('',#541992); #165601=VERTEX_POINT('',#541994); #165602=VERTEX_POINT('',#542003); #165603=VERTEX_POINT('',#542004); #165604=VERTEX_POINT('',#542006); #165605=VERTEX_POINT('',#542008); #165606=VERTEX_POINT('',#542012); #165607=VERTEX_POINT('',#542014); #165608=VERTEX_POINT('',#542018); #165609=VERTEX_POINT('',#542020); #165610=VERTEX_POINT('',#542029); #165611=VERTEX_POINT('',#542030); #165612=VERTEX_POINT('',#542032); #165613=VERTEX_POINT('',#542034); #165614=VERTEX_POINT('',#542038); #165615=VERTEX_POINT('',#542040); #165616=VERTEX_POINT('',#542044); #165617=VERTEX_POINT('',#542046); #165618=VERTEX_POINT('',#542055); #165619=VERTEX_POINT('',#542056); #165620=VERTEX_POINT('',#542058); #165621=VERTEX_POINT('',#542060); #165622=VERTEX_POINT('',#542064); #165623=VERTEX_POINT('',#542066); #165624=VERTEX_POINT('',#542070); #165625=VERTEX_POINT('',#542072); #165626=VERTEX_POINT('',#542081); #165627=VERTEX_POINT('',#542082); #165628=VERTEX_POINT('',#542084); #165629=VERTEX_POINT('',#542086); #165630=VERTEX_POINT('',#542090); #165631=VERTEX_POINT('',#542092); #165632=VERTEX_POINT('',#542096); #165633=VERTEX_POINT('',#542098); #165634=VERTEX_POINT('',#542107); #165635=VERTEX_POINT('',#542108); #165636=VERTEX_POINT('',#542110); #165637=VERTEX_POINT('',#542112); #165638=VERTEX_POINT('',#542116); #165639=VERTEX_POINT('',#542118); #165640=VERTEX_POINT('',#542122); #165641=VERTEX_POINT('',#542124); #165642=VERTEX_POINT('',#542133); #165643=VERTEX_POINT('',#542134); #165644=VERTEX_POINT('',#542136); #165645=VERTEX_POINT('',#542138); #165646=VERTEX_POINT('',#542142); #165647=VERTEX_POINT('',#542144); #165648=VERTEX_POINT('',#542148); #165649=VERTEX_POINT('',#542150); #165650=VERTEX_POINT('',#542159); #165651=VERTEX_POINT('',#542160); #165652=VERTEX_POINT('',#542162); #165653=VERTEX_POINT('',#542164); #165654=VERTEX_POINT('',#542168); #165655=VERTEX_POINT('',#542170); #165656=VERTEX_POINT('',#542174); #165657=VERTEX_POINT('',#542176); #165658=VERTEX_POINT('',#542185); #165659=VERTEX_POINT('',#542186); #165660=VERTEX_POINT('',#542188); #165661=VERTEX_POINT('',#542190); #165662=VERTEX_POINT('',#542194); #165663=VERTEX_POINT('',#542196); #165664=VERTEX_POINT('',#542200); #165665=VERTEX_POINT('',#542202); #165666=VERTEX_POINT('',#542211); #165667=VERTEX_POINT('',#542212); #165668=VERTEX_POINT('',#542214); #165669=VERTEX_POINT('',#542216); #165670=VERTEX_POINT('',#542220); #165671=VERTEX_POINT('',#542222); #165672=VERTEX_POINT('',#542226); #165673=VERTEX_POINT('',#542228); #165674=VERTEX_POINT('',#542237); #165675=VERTEX_POINT('',#542238); #165676=VERTEX_POINT('',#542240); #165677=VERTEX_POINT('',#542242); #165678=VERTEX_POINT('',#542246); #165679=VERTEX_POINT('',#542248); #165680=VERTEX_POINT('',#542252); #165681=VERTEX_POINT('',#542254); #165682=VERTEX_POINT('',#542263); #165683=VERTEX_POINT('',#542265); #165684=VERTEX_POINT('',#542269); #165685=VERTEX_POINT('',#542271); #165686=VERTEX_POINT('',#542275); #165687=VERTEX_POINT('',#542277); #165688=VERTEX_POINT('',#542281); #165689=VERTEX_POINT('',#542283); #165690=VERTEX_POINT('',#542287); #165691=VERTEX_POINT('',#542289); #165692=VERTEX_POINT('',#542293); #165693=VERTEX_POINT('',#542295); #165694=VERTEX_POINT('',#542299); #165695=VERTEX_POINT('',#542300); #165696=VERTEX_POINT('',#542302); #165697=VERTEX_POINT('',#542304); #165698=VERTEX_POINT('',#542308); #165699=VERTEX_POINT('',#542310); #165700=VERTEX_POINT('',#542314); #165701=VERTEX_POINT('',#542316); #165702=VERTEX_POINT('',#542325); #165703=VERTEX_POINT('',#542326); #165704=VERTEX_POINT('',#542328); #165705=VERTEX_POINT('',#542330); #165706=VERTEX_POINT('',#542334); #165707=VERTEX_POINT('',#542336); #165708=VERTEX_POINT('',#542340); #165709=VERTEX_POINT('',#542342); #165710=VERTEX_POINT('',#542351); #165711=VERTEX_POINT('',#542352); #165712=VERTEX_POINT('',#542354); #165713=VERTEX_POINT('',#542356); #165714=VERTEX_POINT('',#542360); #165715=VERTEX_POINT('',#542362); #165716=VERTEX_POINT('',#542366); #165717=VERTEX_POINT('',#542368); #165718=VERTEX_POINT('',#542377); #165719=VERTEX_POINT('',#542378); #165720=VERTEX_POINT('',#542380); #165721=VERTEX_POINT('',#542382); #165722=VERTEX_POINT('',#542386); #165723=VERTEX_POINT('',#542388); #165724=VERTEX_POINT('',#542392); #165725=VERTEX_POINT('',#542394); #165726=VERTEX_POINT('',#542403); #165727=VERTEX_POINT('',#542404); #165728=VERTEX_POINT('',#542406); #165729=VERTEX_POINT('',#542408); #165730=VERTEX_POINT('',#542412); #165731=VERTEX_POINT('',#542414); #165732=VERTEX_POINT('',#542418); #165733=VERTEX_POINT('',#542420); #165734=VERTEX_POINT('',#542429); #165735=VERTEX_POINT('',#542430); #165736=VERTEX_POINT('',#542432); #165737=VERTEX_POINT('',#542434); #165738=VERTEX_POINT('',#542438); #165739=VERTEX_POINT('',#542440); #165740=VERTEX_POINT('',#542444); #165741=VERTEX_POINT('',#542446); #165742=VERTEX_POINT('',#542455); #165743=VERTEX_POINT('',#542456); #165744=VERTEX_POINT('',#542458); #165745=VERTEX_POINT('',#542460); #165746=VERTEX_POINT('',#542464); #165747=VERTEX_POINT('',#542466); #165748=VERTEX_POINT('',#542470); #165749=VERTEX_POINT('',#542472); #165750=VERTEX_POINT('',#542481); #165751=VERTEX_POINT('',#542483); #165752=VERTEX_POINT('',#542487); #165753=VERTEX_POINT('',#542489); #165754=VERTEX_POINT('',#542493); #165755=VERTEX_POINT('',#542495); #165756=VERTEX_POINT('',#542499); #165757=VERTEX_POINT('',#542501); #165758=VERTEX_POINT('',#542505); #165759=VERTEX_POINT('',#542507); #165760=VERTEX_POINT('',#542511); #165761=VERTEX_POINT('',#542513); #165762=VERTEX_POINT('',#542517); #165763=VERTEX_POINT('',#542518); #165764=VERTEX_POINT('',#542520); #165765=VERTEX_POINT('',#542522); #165766=VERTEX_POINT('',#542526); #165767=VERTEX_POINT('',#542528); #165768=VERTEX_POINT('',#542532); #165769=VERTEX_POINT('',#542534); #165770=VERTEX_POINT('',#542543); #165771=VERTEX_POINT('',#542544); #165772=VERTEX_POINT('',#542546); #165773=VERTEX_POINT('',#542548); #165774=VERTEX_POINT('',#542552); #165775=VERTEX_POINT('',#542554); #165776=VERTEX_POINT('',#542558); #165777=VERTEX_POINT('',#542560); #165778=VERTEX_POINT('',#542569); #165779=VERTEX_POINT('',#542570); #165780=VERTEX_POINT('',#542572); #165781=VERTEX_POINT('',#542574); #165782=VERTEX_POINT('',#542578); #165783=VERTEX_POINT('',#542580); #165784=VERTEX_POINT('',#542584); #165785=VERTEX_POINT('',#542586); #165786=VERTEX_POINT('',#542595); #165787=VERTEX_POINT('',#542597); #165788=VERTEX_POINT('',#542601); #165789=VERTEX_POINT('',#542603); #165790=VERTEX_POINT('',#542607); #165791=VERTEX_POINT('',#542609); #165792=VERTEX_POINT('',#542613); #165793=VERTEX_POINT('',#542615); #165794=VERTEX_POINT('',#542619); #165795=VERTEX_POINT('',#542621); #165796=VERTEX_POINT('',#542625); #165797=VERTEX_POINT('',#542627); #165798=VERTEX_POINT('',#542631); #165799=VERTEX_POINT('',#542633); #165800=VERTEX_POINT('',#542637); #165801=VERTEX_POINT('',#542639); #165802=VERTEX_POINT('',#542643); #165803=VERTEX_POINT('',#542645); #165804=VERTEX_POINT('',#542649); #165805=VERTEX_POINT('',#542651); #165806=VERTEX_POINT('',#542655); #165807=VERTEX_POINT('',#542657); #165808=VERTEX_POINT('',#542661); #165809=VERTEX_POINT('',#542663); #165810=VERTEX_POINT('',#542667); #165811=VERTEX_POINT('',#542669); #165812=VERTEX_POINT('',#542673); #165813=VERTEX_POINT('',#542675); #165814=VERTEX_POINT('',#542679); #165815=VERTEX_POINT('',#542681); #165816=VERTEX_POINT('',#542685); #165817=VERTEX_POINT('',#542687); #165818=VERTEX_POINT('',#542691); #165819=VERTEX_POINT('',#542693); #165820=VERTEX_POINT('',#542697); #165821=VERTEX_POINT('',#542699); #165822=VERTEX_POINT('',#542703); #165823=VERTEX_POINT('',#542705); #165824=VERTEX_POINT('',#542709); #165825=VERTEX_POINT('',#542711); #165826=VERTEX_POINT('',#542715); #165827=VERTEX_POINT('',#542717); #165828=VERTEX_POINT('',#542721); #165829=VERTEX_POINT('',#542723); #165830=VERTEX_POINT('',#542727); #165831=VERTEX_POINT('',#542729); #165832=VERTEX_POINT('',#542733); #165833=VERTEX_POINT('',#542735); #165834=VERTEX_POINT('',#542739); #165835=VERTEX_POINT('',#542741); #165836=VERTEX_POINT('',#542745); #165837=VERTEX_POINT('',#542747); #165838=VERTEX_POINT('',#542751); #165839=VERTEX_POINT('',#542753); #165840=VERTEX_POINT('',#542757); #165841=VERTEX_POINT('',#542759); #165842=VERTEX_POINT('',#542763); #165843=VERTEX_POINT('',#542765); #165844=VERTEX_POINT('',#542769); #165845=VERTEX_POINT('',#542771); #165846=VERTEX_POINT('',#542775); #165847=VERTEX_POINT('',#542777); #165848=VERTEX_POINT('',#542781); #165849=VERTEX_POINT('',#542783); #165850=VERTEX_POINT('',#542787); #165851=VERTEX_POINT('',#542789); #165852=VERTEX_POINT('',#542793); #165853=VERTEX_POINT('',#542795); #165854=VERTEX_POINT('',#542799); #165855=VERTEX_POINT('',#542801); #165856=VERTEX_POINT('',#542805); #165857=VERTEX_POINT('',#542807); #165858=VERTEX_POINT('',#542811); #165859=VERTEX_POINT('',#542813); #165860=VERTEX_POINT('',#542817); #165861=VERTEX_POINT('',#542819); #165862=VERTEX_POINT('',#542823); #165863=VERTEX_POINT('',#542825); #165864=VERTEX_POINT('',#542829); #165865=VERTEX_POINT('',#542831); #165866=VERTEX_POINT('',#542835); #165867=VERTEX_POINT('',#542837); #165868=VERTEX_POINT('',#542841); #165869=VERTEX_POINT('',#542843); #165870=VERTEX_POINT('',#542847); #165871=VERTEX_POINT('',#542849); #165872=VERTEX_POINT('',#542853); #165873=VERTEX_POINT('',#542855); #165874=VERTEX_POINT('',#542859); #165875=VERTEX_POINT('',#542861); #165876=VERTEX_POINT('',#542865); #165877=VERTEX_POINT('',#542867); #165878=VERTEX_POINT('',#542871); #165879=VERTEX_POINT('',#542873); #165880=VERTEX_POINT('',#542877); #165881=VERTEX_POINT('',#542879); #165882=VERTEX_POINT('',#542883); #165883=VERTEX_POINT('',#542885); #165884=VERTEX_POINT('',#542889); #165885=VERTEX_POINT('',#542890); #165886=VERTEX_POINT('',#542892); #165887=VERTEX_POINT('',#542894); #165888=VERTEX_POINT('',#542898); #165889=VERTEX_POINT('',#542900); #165890=VERTEX_POINT('',#542904); #165891=VERTEX_POINT('',#542906); #165892=VERTEX_POINT('',#542915); #165893=VERTEX_POINT('',#542916); #165894=VERTEX_POINT('',#542918); #165895=VERTEX_POINT('',#542920); #165896=VERTEX_POINT('',#542924); #165897=VERTEX_POINT('',#542926); #165898=VERTEX_POINT('',#542930); #165899=VERTEX_POINT('',#542932); #165900=VERTEX_POINT('',#542941); #165901=VERTEX_POINT('',#542942); #165902=VERTEX_POINT('',#542944); #165903=VERTEX_POINT('',#542946); #165904=VERTEX_POINT('',#542950); #165905=VERTEX_POINT('',#542952); #165906=VERTEX_POINT('',#542956); #165907=VERTEX_POINT('',#542958); #165908=VERTEX_POINT('',#542967); #165909=VERTEX_POINT('',#542968); #165910=VERTEX_POINT('',#542970); #165911=VERTEX_POINT('',#542972); #165912=VERTEX_POINT('',#542976); #165913=VERTEX_POINT('',#542978); #165914=VERTEX_POINT('',#542982); #165915=VERTEX_POINT('',#542984); #165916=VERTEX_POINT('',#542993); #165917=VERTEX_POINT('',#542994); #165918=VERTEX_POINT('',#542996); #165919=VERTEX_POINT('',#542998); #165920=VERTEX_POINT('',#543002); #165921=VERTEX_POINT('',#543004); #165922=VERTEX_POINT('',#543008); #165923=VERTEX_POINT('',#543010); #165924=VERTEX_POINT('',#543019); #165925=VERTEX_POINT('',#543020); #165926=VERTEX_POINT('',#543022); #165927=VERTEX_POINT('',#543024); #165928=VERTEX_POINT('',#543028); #165929=VERTEX_POINT('',#543030); #165930=VERTEX_POINT('',#543034); #165931=VERTEX_POINT('',#543036); #165932=VERTEX_POINT('',#543045); #165933=VERTEX_POINT('',#543046); #165934=VERTEX_POINT('',#543048); #165935=VERTEX_POINT('',#543050); #165936=VERTEX_POINT('',#543054); #165937=VERTEX_POINT('',#543056); #165938=VERTEX_POINT('',#543060); #165939=VERTEX_POINT('',#543062); #165940=VERTEX_POINT('',#543071); #165941=VERTEX_POINT('',#543072); #165942=VERTEX_POINT('',#543074); #165943=VERTEX_POINT('',#543076); #165944=VERTEX_POINT('',#543080); #165945=VERTEX_POINT('',#543082); #165946=VERTEX_POINT('',#543086); #165947=VERTEX_POINT('',#543088); #165948=VERTEX_POINT('',#543097); #165949=VERTEX_POINT('',#543098); #165950=VERTEX_POINT('',#543100); #165951=VERTEX_POINT('',#543102); #165952=VERTEX_POINT('',#543106); #165953=VERTEX_POINT('',#543108); #165954=VERTEX_POINT('',#543112); #165955=VERTEX_POINT('',#543114); #165956=VERTEX_POINT('',#543123); #165957=VERTEX_POINT('',#543124); #165958=VERTEX_POINT('',#543126); #165959=VERTEX_POINT('',#543128); #165960=VERTEX_POINT('',#543132); #165961=VERTEX_POINT('',#543134); #165962=VERTEX_POINT('',#543138); #165963=VERTEX_POINT('',#543140); #165964=VERTEX_POINT('',#543149); #165965=VERTEX_POINT('',#543150); #165966=VERTEX_POINT('',#543152); #165967=VERTEX_POINT('',#543154); #165968=VERTEX_POINT('',#543158); #165969=VERTEX_POINT('',#543160); #165970=VERTEX_POINT('',#543164); #165971=VERTEX_POINT('',#543166); #165972=VERTEX_POINT('',#543175); #165973=VERTEX_POINT('',#543176); #165974=VERTEX_POINT('',#543178); #165975=VERTEX_POINT('',#543180); #165976=VERTEX_POINT('',#543184); #165977=VERTEX_POINT('',#543186); #165978=VERTEX_POINT('',#543190); #165979=VERTEX_POINT('',#543192); #165980=VERTEX_POINT('',#543201); #165981=VERTEX_POINT('',#543202); #165982=VERTEX_POINT('',#543204); #165983=VERTEX_POINT('',#543206); #165984=VERTEX_POINT('',#543210); #165985=VERTEX_POINT('',#543212); #165986=VERTEX_POINT('',#543216); #165987=VERTEX_POINT('',#543218); #165988=VERTEX_POINT('',#543227); #165989=VERTEX_POINT('',#543228); #165990=VERTEX_POINT('',#543230); #165991=VERTEX_POINT('',#543232); #165992=VERTEX_POINT('',#543236); #165993=VERTEX_POINT('',#543238); #165994=VERTEX_POINT('',#543242); #165995=VERTEX_POINT('',#543244); #165996=VERTEX_POINT('',#543253); #165997=VERTEX_POINT('',#543254); #165998=VERTEX_POINT('',#543256); #165999=VERTEX_POINT('',#543258); #166000=VERTEX_POINT('',#543262); #166001=VERTEX_POINT('',#543264); #166002=VERTEX_POINT('',#543268); #166003=VERTEX_POINT('',#543270); #166004=VERTEX_POINT('',#543279); #166005=VERTEX_POINT('',#543280); #166006=VERTEX_POINT('',#543282); #166007=VERTEX_POINT('',#543284); #166008=VERTEX_POINT('',#543288); #166009=VERTEX_POINT('',#543290); #166010=VERTEX_POINT('',#543294); #166011=VERTEX_POINT('',#543296); #166012=VERTEX_POINT('',#543305); #166013=VERTEX_POINT('',#543306); #166014=VERTEX_POINT('',#543308); #166015=VERTEX_POINT('',#543310); #166016=VERTEX_POINT('',#543314); #166017=VERTEX_POINT('',#543316); #166018=VERTEX_POINT('',#543320); #166019=VERTEX_POINT('',#543322); #166020=VERTEX_POINT('',#543331); #166021=VERTEX_POINT('',#543332); #166022=VERTEX_POINT('',#543334); #166023=VERTEX_POINT('',#543336); #166024=VERTEX_POINT('',#543340); #166025=VERTEX_POINT('',#543342); #166026=VERTEX_POINT('',#543346); #166027=VERTEX_POINT('',#543348); #166028=VERTEX_POINT('',#543357); #166029=VERTEX_POINT('',#543358); #166030=VERTEX_POINT('',#543360); #166031=VERTEX_POINT('',#543362); #166032=VERTEX_POINT('',#543366); #166033=VERTEX_POINT('',#543368); #166034=VERTEX_POINT('',#543372); #166035=VERTEX_POINT('',#543374); #166036=VERTEX_POINT('',#543383); #166037=VERTEX_POINT('',#543385); #166038=VERTEX_POINT('',#543389); #166039=VERTEX_POINT('',#543390); #166040=VERTEX_POINT('',#543392); #166041=VERTEX_POINT('',#543394); #166042=VERTEX_POINT('',#543398); #166043=VERTEX_POINT('',#543400); #166044=VERTEX_POINT('',#543404); #166045=VERTEX_POINT('',#543406); #166046=VERTEX_POINT('',#543415); #166047=VERTEX_POINT('',#543416); #166048=VERTEX_POINT('',#543418); #166049=VERTEX_POINT('',#543420); #166050=VERTEX_POINT('',#543424); #166051=VERTEX_POINT('',#543426); #166052=VERTEX_POINT('',#543430); #166053=VERTEX_POINT('',#543432); #166054=VERTEX_POINT('',#543441); #166055=VERTEX_POINT('',#543442); #166056=VERTEX_POINT('',#543444); #166057=VERTEX_POINT('',#543446); #166058=VERTEX_POINT('',#543450); #166059=VERTEX_POINT('',#543452); #166060=VERTEX_POINT('',#543456); #166061=VERTEX_POINT('',#543458); #166062=VERTEX_POINT('',#543467); #166063=VERTEX_POINT('',#543469); #166064=VERTEX_POINT('',#543473); #166065=VERTEX_POINT('',#543474); #166066=VERTEX_POINT('',#543476); #166067=VERTEX_POINT('',#543478); #166068=VERTEX_POINT('',#543482); #166069=VERTEX_POINT('',#543484); #166070=VERTEX_POINT('',#543488); #166071=VERTEX_POINT('',#543490); #166072=VERTEX_POINT('',#543499); #166073=VERTEX_POINT('',#543500); #166074=VERTEX_POINT('',#543502); #166075=VERTEX_POINT('',#543504); #166076=VERTEX_POINT('',#543508); #166077=VERTEX_POINT('',#543510); #166078=VERTEX_POINT('',#543514); #166079=VERTEX_POINT('',#543516); #166080=VERTEX_POINT('',#543525); #166081=VERTEX_POINT('',#543526); #166082=VERTEX_POINT('',#543528); #166083=VERTEX_POINT('',#543530); #166084=VERTEX_POINT('',#543534); #166085=VERTEX_POINT('',#543536); #166086=VERTEX_POINT('',#543540); #166087=VERTEX_POINT('',#543542); #166088=VERTEX_POINT('',#543551); #166089=VERTEX_POINT('',#543552); #166090=VERTEX_POINT('',#543554); #166091=VERTEX_POINT('',#543556); #166092=VERTEX_POINT('',#543560); #166093=VERTEX_POINT('',#543562); #166094=VERTEX_POINT('',#543566); #166095=VERTEX_POINT('',#543568); #166096=VERTEX_POINT('',#543577); #166097=VERTEX_POINT('',#543578); #166098=VERTEX_POINT('',#543580); #166099=VERTEX_POINT('',#543582); #166100=VERTEX_POINT('',#543586); #166101=VERTEX_POINT('',#543588); #166102=VERTEX_POINT('',#543592); #166103=VERTEX_POINT('',#543594); #166104=VERTEX_POINT('',#543603); #166105=VERTEX_POINT('',#543604); #166106=VERTEX_POINT('',#543606); #166107=VERTEX_POINT('',#543608); #166108=VERTEX_POINT('',#543612); #166109=VERTEX_POINT('',#543614); #166110=VERTEX_POINT('',#543618); #166111=VERTEX_POINT('',#543620); #166112=VERTEX_POINT('',#543629); #166113=VERTEX_POINT('',#543630); #166114=VERTEX_POINT('',#543632); #166115=VERTEX_POINT('',#543634); #166116=VERTEX_POINT('',#543638); #166117=VERTEX_POINT('',#543640); #166118=VERTEX_POINT('',#543644); #166119=VERTEX_POINT('',#543646); #166120=VERTEX_POINT('',#543655); #166121=VERTEX_POINT('',#543656); #166122=VERTEX_POINT('',#543658); #166123=VERTEX_POINT('',#543660); #166124=VERTEX_POINT('',#543664); #166125=VERTEX_POINT('',#543666); #166126=VERTEX_POINT('',#543670); #166127=VERTEX_POINT('',#543672); #166128=VERTEX_POINT('',#543681); #166129=VERTEX_POINT('',#543682); #166130=VERTEX_POINT('',#543684); #166131=VERTEX_POINT('',#543686); #166132=VERTEX_POINT('',#543690); #166133=VERTEX_POINT('',#543692); #166134=VERTEX_POINT('',#543696); #166135=VERTEX_POINT('',#543698); #166136=VERTEX_POINT('',#543707); #166137=VERTEX_POINT('',#543708); #166138=VERTEX_POINT('',#543710); #166139=VERTEX_POINT('',#543712); #166140=VERTEX_POINT('',#543716); #166141=VERTEX_POINT('',#543718); #166142=VERTEX_POINT('',#543722); #166143=VERTEX_POINT('',#543724); #166144=VERTEX_POINT('',#543733); #166145=VERTEX_POINT('',#543734); #166146=VERTEX_POINT('',#543736); #166147=VERTEX_POINT('',#543738); #166148=VERTEX_POINT('',#543742); #166149=VERTEX_POINT('',#543744); #166150=VERTEX_POINT('',#543748); #166151=VERTEX_POINT('',#543750); #166152=VERTEX_POINT('',#543759); #166153=VERTEX_POINT('',#543760); #166154=VERTEX_POINT('',#543762); #166155=VERTEX_POINT('',#543764); #166156=VERTEX_POINT('',#543768); #166157=VERTEX_POINT('',#543770); #166158=VERTEX_POINT('',#543774); #166159=VERTEX_POINT('',#543776); #166160=VERTEX_POINT('',#543785); #166161=VERTEX_POINT('',#543786); #166162=VERTEX_POINT('',#543788); #166163=VERTEX_POINT('',#543790); #166164=VERTEX_POINT('',#543794); #166165=VERTEX_POINT('',#543795); #166166=VERTEX_POINT('',#543797); #166167=VERTEX_POINT('',#543799); #166168=VERTEX_POINT('',#543803); #166169=VERTEX_POINT('',#543805); #166170=VERTEX_POINT('',#543809); #166171=VERTEX_POINT('',#543810); #166172=VERTEX_POINT('',#543812); #166173=VERTEX_POINT('',#543814); #166174=VERTEX_POINT('',#543818); #166175=VERTEX_POINT('',#543819); #166176=VERTEX_POINT('',#543821); #166177=VERTEX_POINT('',#543823); #166178=VERTEX_POINT('',#543827); #166179=VERTEX_POINT('',#543828); #166180=VERTEX_POINT('',#543830); #166181=VERTEX_POINT('',#543832); #166182=VERTEX_POINT('',#543836); #166183=VERTEX_POINT('',#543837); #166184=VERTEX_POINT('',#543839); #166185=VERTEX_POINT('',#543841); #166186=VERTEX_POINT('',#543845); #166187=VERTEX_POINT('',#543846); #166188=VERTEX_POINT('',#543848); #166189=VERTEX_POINT('',#543850); #166190=VERTEX_POINT('',#543854); #166191=VERTEX_POINT('',#543855); #166192=VERTEX_POINT('',#543857); #166193=VERTEX_POINT('',#543859); #166194=VERTEX_POINT('',#543863); #166195=VERTEX_POINT('',#543865); #166196=VERTEX_POINT('',#543872); #166197=VERTEX_POINT('',#543874); #166198=VERTEX_POINT('',#543901); #166199=VERTEX_POINT('',#543903); #166200=VERTEX_POINT('',#543907); #166201=VERTEX_POINT('',#543908); #166202=VERTEX_POINT('',#543910); #166203=VERTEX_POINT('',#543912); #166204=VERTEX_POINT('',#543916); #166205=VERTEX_POINT('',#543918); #166206=VERTEX_POINT('',#543922); #166207=VERTEX_POINT('',#543924); #166208=VERTEX_POINT('',#543933); #166209=VERTEX_POINT('',#543934); #166210=VERTEX_POINT('',#543936); #166211=VERTEX_POINT('',#543938); #166212=VERTEX_POINT('',#543942); #166213=VERTEX_POINT('',#543944); #166214=VERTEX_POINT('',#543948); #166215=VERTEX_POINT('',#543950); #166216=VERTEX_POINT('',#543959); #166217=VERTEX_POINT('',#543960); #166218=VERTEX_POINT('',#543962); #166219=VERTEX_POINT('',#543964); #166220=VERTEX_POINT('',#543968); #166221=VERTEX_POINT('',#543970); #166222=VERTEX_POINT('',#543974); #166223=VERTEX_POINT('',#543976); #166224=VERTEX_POINT('',#543985); #166225=VERTEX_POINT('',#543986); #166226=VERTEX_POINT('',#543988); #166227=VERTEX_POINT('',#543990); #166228=VERTEX_POINT('',#543994); #166229=VERTEX_POINT('',#543996); #166230=VERTEX_POINT('',#544000); #166231=VERTEX_POINT('',#544002); #166232=VERTEX_POINT('',#544011); #166233=VERTEX_POINT('',#544012); #166234=VERTEX_POINT('',#544014); #166235=VERTEX_POINT('',#544016); #166236=VERTEX_POINT('',#544020); #166237=VERTEX_POINT('',#544022); #166238=VERTEX_POINT('',#544026); #166239=VERTEX_POINT('',#544028); #166240=VERTEX_POINT('',#544037); #166241=VERTEX_POINT('',#544038); #166242=VERTEX_POINT('',#544040); #166243=VERTEX_POINT('',#544042); #166244=VERTEX_POINT('',#544046); #166245=VERTEX_POINT('',#544048); #166246=VERTEX_POINT('',#544052); #166247=VERTEX_POINT('',#544054); #166248=VERTEX_POINT('',#544063); #166249=VERTEX_POINT('',#544065); #166250=VERTEX_POINT('',#544069); #166251=VERTEX_POINT('',#544070); #166252=VERTEX_POINT('',#544072); #166253=VERTEX_POINT('',#544074); #166254=VERTEX_POINT('',#544078); #166255=VERTEX_POINT('',#544080); #166256=VERTEX_POINT('',#544084); #166257=VERTEX_POINT('',#544086); #166258=VERTEX_POINT('',#544095); #166259=VERTEX_POINT('',#544097); #166260=VERTEX_POINT('',#544101); #166261=VERTEX_POINT('',#544102); #166262=VERTEX_POINT('',#544104); #166263=VERTEX_POINT('',#544106); #166264=VERTEX_POINT('',#544110); #166265=VERTEX_POINT('',#544112); #166266=VERTEX_POINT('',#544116); #166267=VERTEX_POINT('',#544118); #166268=VERTEX_POINT('',#544127); #166269=VERTEX_POINT('',#544128); #166270=VERTEX_POINT('',#544130); #166271=VERTEX_POINT('',#544132); #166272=VERTEX_POINT('',#544136); #166273=VERTEX_POINT('',#544138); #166274=VERTEX_POINT('',#544142); #166275=VERTEX_POINT('',#544144); #166276=VERTEX_POINT('',#544153); #166277=VERTEX_POINT('',#544154); #166278=VERTEX_POINT('',#544156); #166279=VERTEX_POINT('',#544158); #166280=VERTEX_POINT('',#544162); #166281=VERTEX_POINT('',#544164); #166282=VERTEX_POINT('',#544168); #166283=VERTEX_POINT('',#544170); #166284=VERTEX_POINT('',#544179); #166285=VERTEX_POINT('',#544180); #166286=VERTEX_POINT('',#544182); #166287=VERTEX_POINT('',#544184); #166288=VERTEX_POINT('',#544188); #166289=VERTEX_POINT('',#544190); #166290=VERTEX_POINT('',#544194); #166291=VERTEX_POINT('',#544196); #166292=VERTEX_POINT('',#544205); #166293=VERTEX_POINT('',#544206); #166294=VERTEX_POINT('',#544208); #166295=VERTEX_POINT('',#544210); #166296=VERTEX_POINT('',#544214); #166297=VERTEX_POINT('',#544216); #166298=VERTEX_POINT('',#544220); #166299=VERTEX_POINT('',#544222); #166300=VERTEX_POINT('',#544231); #166301=VERTEX_POINT('',#544232); #166302=VERTEX_POINT('',#544234); #166303=VERTEX_POINT('',#544236); #166304=VERTEX_POINT('',#544240); #166305=VERTEX_POINT('',#544242); #166306=VERTEX_POINT('',#544246); #166307=VERTEX_POINT('',#544248); #166308=VERTEX_POINT('',#544257); #166309=VERTEX_POINT('',#544258); #166310=VERTEX_POINT('',#544260); #166311=VERTEX_POINT('',#544262); #166312=VERTEX_POINT('',#544266); #166313=VERTEX_POINT('',#544268); #166314=VERTEX_POINT('',#544272); #166315=VERTEX_POINT('',#544274); #166316=VERTEX_POINT('',#544283); #166317=VERTEX_POINT('',#544284); #166318=VERTEX_POINT('',#544286); #166319=VERTEX_POINT('',#544288); #166320=VERTEX_POINT('',#544292); #166321=VERTEX_POINT('',#544294); #166322=VERTEX_POINT('',#544298); #166323=VERTEX_POINT('',#544300); #166324=VERTEX_POINT('',#544309); #166325=VERTEX_POINT('',#544310); #166326=VERTEX_POINT('',#544312); #166327=VERTEX_POINT('',#544314); #166328=VERTEX_POINT('',#544318); #166329=VERTEX_POINT('',#544320); #166330=VERTEX_POINT('',#544324); #166331=VERTEX_POINT('',#544326); #166332=VERTEX_POINT('',#544335); #166333=VERTEX_POINT('',#544336); #166334=VERTEX_POINT('',#544338); #166335=VERTEX_POINT('',#544340); #166336=VERTEX_POINT('',#544344); #166337=VERTEX_POINT('',#544346); #166338=VERTEX_POINT('',#544350); #166339=VERTEX_POINT('',#544352); #166340=VERTEX_POINT('',#544361); #166341=VERTEX_POINT('',#544362); #166342=VERTEX_POINT('',#544364); #166343=VERTEX_POINT('',#544366); #166344=VERTEX_POINT('',#544370); #166345=VERTEX_POINT('',#544372); #166346=VERTEX_POINT('',#544376); #166347=VERTEX_POINT('',#544378); #166348=VERTEX_POINT('',#544387); #166349=VERTEX_POINT('',#544388); #166350=VERTEX_POINT('',#544390); #166351=VERTEX_POINT('',#544392); #166352=VERTEX_POINT('',#544396); #166353=VERTEX_POINT('',#544398); #166354=VERTEX_POINT('',#544402); #166355=VERTEX_POINT('',#544404); #166356=VERTEX_POINT('',#544413); #166357=VERTEX_POINT('',#544415); #166358=VERTEX_POINT('',#544419); #166359=VERTEX_POINT('',#544421); #166360=VERTEX_POINT('',#544425); #166361=VERTEX_POINT('',#544427); #166362=VERTEX_POINT('',#544431); #166363=VERTEX_POINT('',#544433); #166364=VERTEX_POINT('',#544437); #166365=VERTEX_POINT('',#544439); #166366=VERTEX_POINT('',#544443); #166367=VERTEX_POINT('',#544445); #166368=VERTEX_POINT('',#544449); #166369=VERTEX_POINT('',#544450); #166370=VERTEX_POINT('',#544452); #166371=VERTEX_POINT('',#544454); #166372=VERTEX_POINT('',#544458); #166373=VERTEX_POINT('',#544460); #166374=VERTEX_POINT('',#544464); #166375=VERTEX_POINT('',#544466); #166376=VERTEX_POINT('',#544475); #166377=VERTEX_POINT('',#544476); #166378=VERTEX_POINT('',#544478); #166379=VERTEX_POINT('',#544480); #166380=VERTEX_POINT('',#544484); #166381=VERTEX_POINT('',#544486); #166382=VERTEX_POINT('',#544490); #166383=VERTEX_POINT('',#544492); #166384=VERTEX_POINT('',#544501); #166385=VERTEX_POINT('',#544502); #166386=VERTEX_POINT('',#544504); #166387=VERTEX_POINT('',#544506); #166388=VERTEX_POINT('',#544510); #166389=VERTEX_POINT('',#544512); #166390=VERTEX_POINT('',#544516); #166391=VERTEX_POINT('',#544518); #166392=VERTEX_POINT('',#544527); #166393=VERTEX_POINT('',#544528); #166394=VERTEX_POINT('',#544530); #166395=VERTEX_POINT('',#544532); #166396=VERTEX_POINT('',#544536); #166397=VERTEX_POINT('',#544538); #166398=VERTEX_POINT('',#544542); #166399=VERTEX_POINT('',#544544); #166400=VERTEX_POINT('',#544553); #166401=VERTEX_POINT('',#544554); #166402=VERTEX_POINT('',#544556); #166403=VERTEX_POINT('',#544558); #166404=VERTEX_POINT('',#544562); #166405=VERTEX_POINT('',#544564); #166406=VERTEX_POINT('',#544568); #166407=VERTEX_POINT('',#544569); #166408=VERTEX_POINT('',#544571); #166409=VERTEX_POINT('',#544573); #166410=VERTEX_POINT('',#544577); #166411=VERTEX_POINT('',#544578); #166412=VERTEX_POINT('',#544580); #166413=VERTEX_POINT('',#544582); #166414=VERTEX_POINT('',#544586); #166415=VERTEX_POINT('',#544587); #166416=VERTEX_POINT('',#544589); #166417=VERTEX_POINT('',#544591); #166418=VERTEX_POINT('',#544595); #166419=VERTEX_POINT('',#544597); #166420=VERTEX_POINT('',#544601); #166421=VERTEX_POINT('',#544603); #166422=VERTEX_POINT('',#544607); #166423=VERTEX_POINT('',#544609); #166424=VERTEX_POINT('',#544616); #166425=VERTEX_POINT('',#544618); #166426=VERTEX_POINT('',#544622); #166427=VERTEX_POINT('',#544624); #166428=VERTEX_POINT('',#544639); #166429=VERTEX_POINT('',#544640); #166430=VERTEX_POINT('',#544642); #166431=VERTEX_POINT('',#544644); #166432=VERTEX_POINT('',#544648); #166433=VERTEX_POINT('',#544650); #166434=VERTEX_POINT('',#544654); #166435=VERTEX_POINT('',#544656); #166436=VERTEX_POINT('',#544665); #166437=VERTEX_POINT('',#544666); #166438=VERTEX_POINT('',#544668); #166439=VERTEX_POINT('',#544670); #166440=VERTEX_POINT('',#544674); #166441=VERTEX_POINT('',#544676); #166442=VERTEX_POINT('',#544680); #166443=VERTEX_POINT('',#544682); #166444=VERTEX_POINT('',#544691); #166445=VERTEX_POINT('',#544692); #166446=VERTEX_POINT('',#544694); #166447=VERTEX_POINT('',#544696); #166448=VERTEX_POINT('',#544700); #166449=VERTEX_POINT('',#544702); #166450=VERTEX_POINT('',#544706); #166451=VERTEX_POINT('',#544708); #166452=VERTEX_POINT('',#544717); #166453=VERTEX_POINT('',#544718); #166454=VERTEX_POINT('',#544720); #166455=VERTEX_POINT('',#544722); #166456=VERTEX_POINT('',#544726); #166457=VERTEX_POINT('',#544728); #166458=VERTEX_POINT('',#544732); #166459=VERTEX_POINT('',#544734); #166460=VERTEX_POINT('',#544743); #166461=VERTEX_POINT('',#544744); #166462=VERTEX_POINT('',#544746); #166463=VERTEX_POINT('',#544748); #166464=VERTEX_POINT('',#544752); #166465=VERTEX_POINT('',#544754); #166466=VERTEX_POINT('',#544758); #166467=VERTEX_POINT('',#544760); #166468=VERTEX_POINT('',#544769); #166469=VERTEX_POINT('',#544770); #166470=VERTEX_POINT('',#544772); #166471=VERTEX_POINT('',#544774); #166472=VERTEX_POINT('',#544778); #166473=VERTEX_POINT('',#544780); #166474=VERTEX_POINT('',#544784); #166475=VERTEX_POINT('',#544786); #166476=VERTEX_POINT('',#544795); #166477=VERTEX_POINT('',#544796); #166478=VERTEX_POINT('',#544798); #166479=VERTEX_POINT('',#544800); #166480=VERTEX_POINT('',#544804); #166481=VERTEX_POINT('',#544806); #166482=VERTEX_POINT('',#544810); #166483=VERTEX_POINT('',#544812); #166484=VERTEX_POINT('',#544821); #166485=VERTEX_POINT('',#544822); #166486=VERTEX_POINT('',#544824); #166487=VERTEX_POINT('',#544826); #166488=VERTEX_POINT('',#544830); #166489=VERTEX_POINT('',#544832); #166490=VERTEX_POINT('',#544836); #166491=VERTEX_POINT('',#544838); #166492=VERTEX_POINT('',#544847); #166493=VERTEX_POINT('',#544848); #166494=VERTEX_POINT('',#544850); #166495=VERTEX_POINT('',#544852); #166496=VERTEX_POINT('',#544856); #166497=VERTEX_POINT('',#544858); #166498=VERTEX_POINT('',#544862); #166499=VERTEX_POINT('',#544864); #166500=VERTEX_POINT('',#544873); #166501=VERTEX_POINT('',#544874); #166502=VERTEX_POINT('',#544876); #166503=VERTEX_POINT('',#544878); #166504=VERTEX_POINT('',#544882); #166505=VERTEX_POINT('',#544884); #166506=VERTEX_POINT('',#544888); #166507=VERTEX_POINT('',#544890); #166508=VERTEX_POINT('',#544899); #166509=VERTEX_POINT('',#544900); #166510=VERTEX_POINT('',#544902); #166511=VERTEX_POINT('',#544904); #166512=VERTEX_POINT('',#544908); #166513=VERTEX_POINT('',#544910); #166514=VERTEX_POINT('',#544914); #166515=VERTEX_POINT('',#544916); #166516=VERTEX_POINT('',#544925); #166517=VERTEX_POINT('',#544926); #166518=VERTEX_POINT('',#544928); #166519=VERTEX_POINT('',#544930); #166520=VERTEX_POINT('',#544934); #166521=VERTEX_POINT('',#544936); #166522=VERTEX_POINT('',#544940); #166523=VERTEX_POINT('',#544942); #166524=VERTEX_POINT('',#544951); #166525=VERTEX_POINT('',#544952); #166526=VERTEX_POINT('',#544954); #166527=VERTEX_POINT('',#544956); #166528=VERTEX_POINT('',#544960); #166529=VERTEX_POINT('',#544962); #166530=VERTEX_POINT('',#544966); #166531=VERTEX_POINT('',#544968); #166532=VERTEX_POINT('',#544977); #166533=VERTEX_POINT('',#544978); #166534=VERTEX_POINT('',#544980); #166535=VERTEX_POINT('',#544982); #166536=VERTEX_POINT('',#544986); #166537=VERTEX_POINT('',#544988); #166538=VERTEX_POINT('',#544992); #166539=VERTEX_POINT('',#544994); #166540=VERTEX_POINT('',#545003); #166541=VERTEX_POINT('',#545004); #166542=VERTEX_POINT('',#545006); #166543=VERTEX_POINT('',#545008); #166544=VERTEX_POINT('',#545012); #166545=VERTEX_POINT('',#545014); #166546=VERTEX_POINT('',#545018); #166547=VERTEX_POINT('',#545020); #166548=VERTEX_POINT('',#545029); #166549=VERTEX_POINT('',#545030); #166550=VERTEX_POINT('',#545032); #166551=VERTEX_POINT('',#545034); #166552=VERTEX_POINT('',#545038); #166553=VERTEX_POINT('',#545040); #166554=VERTEX_POINT('',#545044); #166555=VERTEX_POINT('',#545046); #166556=VERTEX_POINT('',#545055); #166557=VERTEX_POINT('',#545056); #166558=VERTEX_POINT('',#545058); #166559=VERTEX_POINT('',#545060); #166560=VERTEX_POINT('',#545064); #166561=VERTEX_POINT('',#545066); #166562=VERTEX_POINT('',#545070); #166563=VERTEX_POINT('',#545072); #166564=VERTEX_POINT('',#545081); #166565=VERTEX_POINT('',#545082); #166566=VERTEX_POINT('',#545084); #166567=VERTEX_POINT('',#545086); #166568=VERTEX_POINT('',#545090); #166569=VERTEX_POINT('',#545092); #166570=VERTEX_POINT('',#545096); #166571=VERTEX_POINT('',#545098); #166572=VERTEX_POINT('',#545107); #166573=VERTEX_POINT('',#545108); #166574=VERTEX_POINT('',#545110); #166575=VERTEX_POINT('',#545112); #166576=VERTEX_POINT('',#545116); #166577=VERTEX_POINT('',#545118); #166578=VERTEX_POINT('',#545122); #166579=VERTEX_POINT('',#545124); #166580=VERTEX_POINT('',#545133); #166581=VERTEX_POINT('',#545134); #166582=VERTEX_POINT('',#545136); #166583=VERTEX_POINT('',#545138); #166584=VERTEX_POINT('',#545142); #166585=VERTEX_POINT('',#545144); #166586=VERTEX_POINT('',#545148); #166587=VERTEX_POINT('',#545150); #166588=VERTEX_POINT('',#545159); #166589=VERTEX_POINT('',#545160); #166590=VERTEX_POINT('',#545162); #166591=VERTEX_POINT('',#545164); #166592=VERTEX_POINT('',#545168); #166593=VERTEX_POINT('',#545170); #166594=VERTEX_POINT('',#545174); #166595=VERTEX_POINT('',#545176); #166596=VERTEX_POINT('',#545186); #166597=VERTEX_POINT('',#545188); #166598=VERTEX_POINT('',#545192); #166599=VERTEX_POINT('',#545193); #166600=VERTEX_POINT('',#545195); #166601=VERTEX_POINT('',#545197); #166602=VERTEX_POINT('',#545201); #166603=VERTEX_POINT('',#545203); #166604=VERTEX_POINT('',#545212); #166605=VERTEX_POINT('',#545213); #166606=VERTEX_POINT('',#545215); #166607=VERTEX_POINT('',#545217); #166608=VERTEX_POINT('',#545221); #166609=VERTEX_POINT('',#545223); #166610=VERTEX_POINT('',#545227); #166611=VERTEX_POINT('',#545229); #166612=VERTEX_POINT('',#545238); #166613=VERTEX_POINT('',#545239); #166614=VERTEX_POINT('',#545241); #166615=VERTEX_POINT('',#545243); #166616=VERTEX_POINT('',#545247); #166617=VERTEX_POINT('',#545249); #166618=VERTEX_POINT('',#545253); #166619=VERTEX_POINT('',#545255); #166620=VERTEX_POINT('',#545264); #166621=VERTEX_POINT('',#545266); #166622=VERTEX_POINT('',#545270); #166623=VERTEX_POINT('',#545272); #166624=VERTEX_POINT('',#545276); #166625=VERTEX_POINT('',#545277); #166626=VERTEX_POINT('',#545279); #166627=VERTEX_POINT('',#545281); #166628=VERTEX_POINT('',#545285); #166629=VERTEX_POINT('',#545287); #166630=VERTEX_POINT('',#545291); #166631=VERTEX_POINT('',#545293); #166632=VERTEX_POINT('',#545302); #166633=VERTEX_POINT('',#545303); #166634=VERTEX_POINT('',#545305); #166635=VERTEX_POINT('',#545307); #166636=VERTEX_POINT('',#545311); #166637=VERTEX_POINT('',#545313); #166638=VERTEX_POINT('',#545317); #166639=VERTEX_POINT('',#545319); #166640=VERTEX_POINT('',#545328); #166641=VERTEX_POINT('',#545330); #166642=VERTEX_POINT('',#545334); #166643=VERTEX_POINT('',#545336); #166644=VERTEX_POINT('',#545340); #166645=VERTEX_POINT('',#545341); #166646=VERTEX_POINT('',#545343); #166647=VERTEX_POINT('',#545345); #166648=VERTEX_POINT('',#545349); #166649=VERTEX_POINT('',#545351); #166650=VERTEX_POINT('',#545355); #166651=VERTEX_POINT('',#545357); #166652=VERTEX_POINT('',#545366); #166653=VERTEX_POINT('',#545367); #166654=VERTEX_POINT('',#545369); #166655=VERTEX_POINT('',#545371); #166656=VERTEX_POINT('',#545375); #166657=VERTEX_POINT('',#545377); #166658=VERTEX_POINT('',#545381); #166659=VERTEX_POINT('',#545383); #166660=VERTEX_POINT('',#545392); #166661=VERTEX_POINT('',#545394); #166662=VERTEX_POINT('',#545398); #166663=VERTEX_POINT('',#545400); #166664=VERTEX_POINT('',#545404); #166665=VERTEX_POINT('',#545405); #166666=VERTEX_POINT('',#545407); #166667=VERTEX_POINT('',#545409); #166668=VERTEX_POINT('',#545413); #166669=VERTEX_POINT('',#545415); #166670=VERTEX_POINT('',#545419); #166671=VERTEX_POINT('',#545421); #166672=VERTEX_POINT('',#545430); #166673=VERTEX_POINT('',#545431); #166674=VERTEX_POINT('',#545433); #166675=VERTEX_POINT('',#545435); #166676=VERTEX_POINT('',#545439); #166677=VERTEX_POINT('',#545441); #166678=VERTEX_POINT('',#545445); #166679=VERTEX_POINT('',#545447); #166680=VERTEX_POINT('',#545456); #166681=VERTEX_POINT('',#545458); #166682=VERTEX_POINT('',#545462); #166683=VERTEX_POINT('',#545464); #166684=VERTEX_POINT('',#545468); #166685=VERTEX_POINT('',#545469); #166686=VERTEX_POINT('',#545471); #166687=VERTEX_POINT('',#545473); #166688=VERTEX_POINT('',#545477); #166689=VERTEX_POINT('',#545479); #166690=VERTEX_POINT('',#545483); #166691=VERTEX_POINT('',#545485); #166692=VERTEX_POINT('',#545494); #166693=VERTEX_POINT('',#545495); #166694=VERTEX_POINT('',#545497); #166695=VERTEX_POINT('',#545499); #166696=VERTEX_POINT('',#545503); #166697=VERTEX_POINT('',#545505); #166698=VERTEX_POINT('',#545509); #166699=VERTEX_POINT('',#545511); #166700=VERTEX_POINT('',#545520); #166701=VERTEX_POINT('',#545521); #166702=VERTEX_POINT('',#545523); #166703=VERTEX_POINT('',#545525); #166704=VERTEX_POINT('',#545529); #166705=VERTEX_POINT('',#545531); #166706=VERTEX_POINT('',#545535); #166707=VERTEX_POINT('',#545537); #166708=VERTEX_POINT('',#545541); #166709=VERTEX_POINT('',#545543); #166710=VERTEX_POINT('',#545547); #166711=VERTEX_POINT('',#545549); #166712=VERTEX_POINT('',#545553); #166713=VERTEX_POINT('',#545555); #166714=VERTEX_POINT('',#545559); #166715=VERTEX_POINT('',#545561); #166716=VERTEX_POINT('',#545565); #166717=VERTEX_POINT('',#545567); #166718=VERTEX_POINT('',#545571); #166719=VERTEX_POINT('',#545573); #166720=VERTEX_POINT('',#545577); #166721=VERTEX_POINT('',#545579); #166722=VERTEX_POINT('',#545583); #166723=VERTEX_POINT('',#545585); #166724=VERTEX_POINT('',#545589); #166725=VERTEX_POINT('',#545591); #166726=VERTEX_POINT('',#545595); #166727=VERTEX_POINT('',#545597); #166728=VERTEX_POINT('',#545601); #166729=VERTEX_POINT('',#545603); #166730=VERTEX_POINT('',#545607); #166731=VERTEX_POINT('',#545609); #166732=VERTEX_POINT('',#545613); #166733=VERTEX_POINT('',#545615); #166734=VERTEX_POINT('',#545619); #166735=VERTEX_POINT('',#545621); #166736=VERTEX_POINT('',#545625); #166737=VERTEX_POINT('',#545627); #166738=VERTEX_POINT('',#545631); #166739=VERTEX_POINT('',#545633); #166740=VERTEX_POINT('',#545637); #166741=VERTEX_POINT('',#545639); #166742=VERTEX_POINT('',#545643); #166743=VERTEX_POINT('',#545645); #166744=VERTEX_POINT('',#545649); #166745=VERTEX_POINT('',#545651); #166746=VERTEX_POINT('',#545655); #166747=VERTEX_POINT('',#545657); #166748=VERTEX_POINT('',#545661); #166749=VERTEX_POINT('',#545663); #166750=VERTEX_POINT('',#545667); #166751=VERTEX_POINT('',#545669); #166752=VERTEX_POINT('',#545673); #166753=VERTEX_POINT('',#545675); #166754=VERTEX_POINT('',#545679); #166755=VERTEX_POINT('',#545681); #166756=VERTEX_POINT('',#545685); #166757=VERTEX_POINT('',#545687); #166758=VERTEX_POINT('',#545691); #166759=VERTEX_POINT('',#545693); #166760=VERTEX_POINT('',#545697); #166761=VERTEX_POINT('',#545699); #166762=VERTEX_POINT('',#545703); #166763=VERTEX_POINT('',#545705); #166764=VERTEX_POINT('',#545709); #166765=VERTEX_POINT('',#545711); #166766=VERTEX_POINT('',#545715); #166767=VERTEX_POINT('',#545717); #166768=VERTEX_POINT('',#545721); #166769=VERTEX_POINT('',#545723); #166770=VERTEX_POINT('',#545727); #166771=VERTEX_POINT('',#545729); #166772=VERTEX_POINT('',#545733); #166773=VERTEX_POINT('',#545735); #166774=VERTEX_POINT('',#545739); #166775=VERTEX_POINT('',#545741); #166776=VERTEX_POINT('',#545745); #166777=VERTEX_POINT('',#545747); #166778=VERTEX_POINT('',#545751); #166779=VERTEX_POINT('',#545753); #166780=VERTEX_POINT('',#545757); #166781=VERTEX_POINT('',#545759); #166782=VERTEX_POINT('',#545763); #166783=VERTEX_POINT('',#545765); #166784=VERTEX_POINT('',#545769); #166785=VERTEX_POINT('',#545771); #166786=VERTEX_POINT('',#545775); #166787=VERTEX_POINT('',#545777); #166788=VERTEX_POINT('',#545781); #166789=VERTEX_POINT('',#545783); #166790=VERTEX_POINT('',#545787); #166791=VERTEX_POINT('',#545789); #166792=VERTEX_POINT('',#545793); #166793=VERTEX_POINT('',#545795); #166794=VERTEX_POINT('',#545799); #166795=VERTEX_POINT('',#545801); #166796=VERTEX_POINT('',#545805); #166797=VERTEX_POINT('',#545807); #166798=VERTEX_POINT('',#545811); #166799=VERTEX_POINT('',#545813); #166800=VERTEX_POINT('',#545817); #166801=VERTEX_POINT('',#545819); #166802=VERTEX_POINT('',#545823); #166803=VERTEX_POINT('',#545825); #166804=VERTEX_POINT('',#545829); #166805=VERTEX_POINT('',#545831); #166806=VERTEX_POINT('',#545835); #166807=VERTEX_POINT('',#545837); #166808=VERTEX_POINT('',#545841); #166809=VERTEX_POINT('',#545843); #166810=VERTEX_POINT('',#545847); #166811=VERTEX_POINT('',#545849); #166812=VERTEX_POINT('',#545853); #166813=VERTEX_POINT('',#545855); #166814=VERTEX_POINT('',#545859); #166815=VERTEX_POINT('',#545861); #166816=VERTEX_POINT('',#545870); #166817=VERTEX_POINT('',#545872); #166818=VERTEX_POINT('',#545876); #166819=VERTEX_POINT('',#545878); #166820=VERTEX_POINT('',#545882); #166821=VERTEX_POINT('',#545883); #166822=VERTEX_POINT('',#545885); #166823=VERTEX_POINT('',#545887); #166824=VERTEX_POINT('',#545891); #166825=VERTEX_POINT('',#545893); #166826=VERTEX_POINT('',#545897); #166827=VERTEX_POINT('',#545899); #166828=VERTEX_POINT('',#545903); #166829=VERTEX_POINT('',#545905); #166830=VERTEX_POINT('',#545909); #166831=VERTEX_POINT('',#545911); #166832=VERTEX_POINT('',#545915); #166833=VERTEX_POINT('',#545917); #166834=VERTEX_POINT('',#545921); #166835=VERTEX_POINT('',#545923); #166836=VERTEX_POINT('',#545932); #166837=VERTEX_POINT('',#545934); #166838=VERTEX_POINT('',#545938); #166839=VERTEX_POINT('',#545939); #166840=VERTEX_POINT('',#545941); #166841=VERTEX_POINT('',#545943); #166842=VERTEX_POINT('',#545947); #166843=VERTEX_POINT('',#545949); #166844=VERTEX_POINT('',#545953); #166845=VERTEX_POINT('',#545955); #166846=VERTEX_POINT('',#545959); #166847=VERTEX_POINT('',#545961); #166848=VERTEX_POINT('',#545965); #166849=VERTEX_POINT('',#545967); #166850=VERTEX_POINT('',#545971); #166851=VERTEX_POINT('',#545973); #166852=VERTEX_POINT('',#545977); #166853=VERTEX_POINT('',#545979); #166854=VERTEX_POINT('',#545983); #166855=VERTEX_POINT('',#545985); #166856=VERTEX_POINT('',#545989); #166857=VERTEX_POINT('',#545991); #166858=VERTEX_POINT('',#546000); #166859=VERTEX_POINT('',#546002); #166860=VERTEX_POINT('',#546006); #166861=VERTEX_POINT('',#546007); #166862=VERTEX_POINT('',#546009); #166863=VERTEX_POINT('',#546011); #166864=VERTEX_POINT('',#546015); #166865=VERTEX_POINT('',#546017); #166866=VERTEX_POINT('',#546021); #166867=VERTEX_POINT('',#546023); #166868=VERTEX_POINT('',#546027); #166869=VERTEX_POINT('',#546029); #166870=VERTEX_POINT('',#546033); #166871=VERTEX_POINT('',#546035); #166872=VERTEX_POINT('',#546039); #166873=VERTEX_POINT('',#546041); #166874=VERTEX_POINT('',#546045); #166875=VERTEX_POINT('',#546047); #166876=VERTEX_POINT('',#546051); #166877=VERTEX_POINT('',#546053); #166878=VERTEX_POINT('',#546057); #166879=VERTEX_POINT('',#546059); #166880=VERTEX_POINT('',#546063); #166881=VERTEX_POINT('',#546065); #166882=VERTEX_POINT('',#546069); #166883=VERTEX_POINT('',#546071); #166884=VERTEX_POINT('',#546075); #166885=VERTEX_POINT('',#546077); #166886=VERTEX_POINT('',#546086); #166887=VERTEX_POINT('',#546088); #166888=VERTEX_POINT('',#546092); #166889=VERTEX_POINT('',#546094); #166890=VERTEX_POINT('',#546098); #166891=VERTEX_POINT('',#546099); #166892=VERTEX_POINT('',#546101); #166893=VERTEX_POINT('',#546103); #166894=VERTEX_POINT('',#546107); #166895=VERTEX_POINT('',#546109); #166896=VERTEX_POINT('',#546113); #166897=VERTEX_POINT('',#546115); #166898=VERTEX_POINT('',#546119); #166899=VERTEX_POINT('',#546121); #166900=VERTEX_POINT('',#546125); #166901=VERTEX_POINT('',#546127); #166902=VERTEX_POINT('',#546131); #166903=VERTEX_POINT('',#546133); #166904=VERTEX_POINT('',#546137); #166905=VERTEX_POINT('',#546139); #166906=VERTEX_POINT('',#546143); #166907=VERTEX_POINT('',#546145); #166908=VERTEX_POINT('',#546149); #166909=VERTEX_POINT('',#546151); #166910=VERTEX_POINT('',#546155); #166911=VERTEX_POINT('',#546157); #166912=VERTEX_POINT('',#546161); #166913=VERTEX_POINT('',#546163); #166914=VERTEX_POINT('',#546167); #166915=VERTEX_POINT('',#546169); #166916=VERTEX_POINT('',#546173); #166917=VERTEX_POINT('',#546175); #166918=VERTEX_POINT('',#546179); #166919=VERTEX_POINT('',#546181); #166920=VERTEX_POINT('',#546185); #166921=VERTEX_POINT('',#546187); #166922=VERTEX_POINT('',#546191); #166923=VERTEX_POINT('',#546193); #166924=VERTEX_POINT('',#546197); #166925=VERTEX_POINT('',#546199); #166926=VERTEX_POINT('',#546203); #166927=VERTEX_POINT('',#546205); #166928=VERTEX_POINT('',#546209); #166929=VERTEX_POINT('',#546211); #166930=VERTEX_POINT('',#546215); #166931=VERTEX_POINT('',#546217); #166932=VERTEX_POINT('',#546221); #166933=VERTEX_POINT('',#546223); #166934=VERTEX_POINT('',#546227); #166935=VERTEX_POINT('',#546229); #166936=VERTEX_POINT('',#546233); #166937=VERTEX_POINT('',#546235); #166938=VERTEX_POINT('',#546239); #166939=VERTEX_POINT('',#546241); #166940=VERTEX_POINT('',#546245); #166941=VERTEX_POINT('',#546247); #166942=VERTEX_POINT('',#546251); #166943=VERTEX_POINT('',#546253); #166944=VERTEX_POINT('',#546257); #166945=VERTEX_POINT('',#546259); #166946=VERTEX_POINT('',#546263); #166947=VERTEX_POINT('',#546265); #166948=VERTEX_POINT('',#546269); #166949=VERTEX_POINT('',#546271); #166950=VERTEX_POINT('',#546275); #166951=VERTEX_POINT('',#546277); #166952=VERTEX_POINT('',#546281); #166953=VERTEX_POINT('',#546283); #166954=VERTEX_POINT('',#546287); #166955=VERTEX_POINT('',#546289); #166956=VERTEX_POINT('',#546293); #166957=VERTEX_POINT('',#546295); #166958=VERTEX_POINT('',#546299); #166959=VERTEX_POINT('',#546301); #166960=VERTEX_POINT('',#546305); #166961=VERTEX_POINT('',#546307); #166962=VERTEX_POINT('',#546311); #166963=VERTEX_POINT('',#546313); #166964=VERTEX_POINT('',#546317); #166965=VERTEX_POINT('',#546319); #166966=VERTEX_POINT('',#546323); #166967=VERTEX_POINT('',#546325); #166968=VERTEX_POINT('',#546329); #166969=VERTEX_POINT('',#546331); #166970=VERTEX_POINT('',#546335); #166971=VERTEX_POINT('',#546337); #166972=VERTEX_POINT('',#546341); #166973=VERTEX_POINT('',#546343); #166974=VERTEX_POINT('',#546347); #166975=VERTEX_POINT('',#546349); #166976=VERTEX_POINT('',#546353); #166977=VERTEX_POINT('',#546355); #166978=VERTEX_POINT('',#546364); #166979=VERTEX_POINT('',#546366); #166980=VERTEX_POINT('',#546370); #166981=VERTEX_POINT('',#546372); #166982=VERTEX_POINT('',#546376); #166983=VERTEX_POINT('',#546377); #166984=VERTEX_POINT('',#546379); #166985=VERTEX_POINT('',#546381); #166986=VERTEX_POINT('',#546385); #166987=VERTEX_POINT('',#546387); #166988=VERTEX_POINT('',#546391); #166989=VERTEX_POINT('',#546393); #166990=VERTEX_POINT('',#546397); #166991=VERTEX_POINT('',#546399); #166992=VERTEX_POINT('',#546403); #166993=VERTEX_POINT('',#546405); #166994=VERTEX_POINT('',#546409); #166995=VERTEX_POINT('',#546411); #166996=VERTEX_POINT('',#546415); #166997=VERTEX_POINT('',#546417); #166998=VERTEX_POINT('',#546421); #166999=VERTEX_POINT('',#546423); #167000=VERTEX_POINT('',#546427); #167001=VERTEX_POINT('',#546429); #167002=VERTEX_POINT('',#546433); #167003=VERTEX_POINT('',#546435); #167004=VERTEX_POINT('',#546444); #167005=VERTEX_POINT('',#546446); #167006=VERTEX_POINT('',#546450); #167007=VERTEX_POINT('',#546451); #167008=VERTEX_POINT('',#546453); #167009=VERTEX_POINT('',#546455); #167010=VERTEX_POINT('',#546459); #167011=VERTEX_POINT('',#546461); #167012=VERTEX_POINT('',#546465); #167013=VERTEX_POINT('',#546467); #167014=VERTEX_POINT('',#546471); #167015=VERTEX_POINT('',#546473); #167016=VERTEX_POINT('',#546477); #167017=VERTEX_POINT('',#546479); #167018=VERTEX_POINT('',#546483); #167019=VERTEX_POINT('',#546485); #167020=VERTEX_POINT('',#546489); #167021=VERTEX_POINT('',#546491); #167022=VERTEX_POINT('',#546495); #167023=VERTEX_POINT('',#546497); #167024=VERTEX_POINT('',#546501); #167025=VERTEX_POINT('',#546503); #167026=VERTEX_POINT('',#546507); #167027=VERTEX_POINT('',#546509); #167028=VERTEX_POINT('',#546513); #167029=VERTEX_POINT('',#546515); #167030=VERTEX_POINT('',#546519); #167031=VERTEX_POINT('',#546521); #167032=VERTEX_POINT('',#546525); #167033=VERTEX_POINT('',#546527); #167034=VERTEX_POINT('',#546531); #167035=VERTEX_POINT('',#546533); #167036=VERTEX_POINT('',#546537); #167037=VERTEX_POINT('',#546539); #167038=VERTEX_POINT('',#546543); #167039=VERTEX_POINT('',#546545); #167040=VERTEX_POINT('',#546549); #167041=VERTEX_POINT('',#546551); #167042=VERTEX_POINT('',#546555); #167043=VERTEX_POINT('',#546557); #167044=VERTEX_POINT('',#546561); #167045=VERTEX_POINT('',#546563); #167046=VERTEX_POINT('',#546567); #167047=VERTEX_POINT('',#546569); #167048=VERTEX_POINT('',#546573); #167049=VERTEX_POINT('',#546575); #167050=VERTEX_POINT('',#546579); #167051=VERTEX_POINT('',#546581); #167052=VERTEX_POINT('',#546585); #167053=VERTEX_POINT('',#546587); #167054=VERTEX_POINT('',#546596); #167055=VERTEX_POINT('',#546598); #167056=VERTEX_POINT('',#546602); #167057=VERTEX_POINT('',#546603); #167058=VERTEX_POINT('',#546605); #167059=VERTEX_POINT('',#546607); #167060=VERTEX_POINT('',#546611); #167061=VERTEX_POINT('',#546613); #167062=VERTEX_POINT('',#546617); #167063=VERTEX_POINT('',#546619); #167064=VERTEX_POINT('',#546623); #167065=VERTEX_POINT('',#546625); #167066=VERTEX_POINT('',#546629); #167067=VERTEX_POINT('',#546631); #167068=VERTEX_POINT('',#546635); #167069=VERTEX_POINT('',#546637); #167070=VERTEX_POINT('',#546641); #167071=VERTEX_POINT('',#546643); #167072=VERTEX_POINT('',#546647); #167073=VERTEX_POINT('',#546649); #167074=VERTEX_POINT('',#546653); #167075=VERTEX_POINT('',#546655); #167076=VERTEX_POINT('',#546659); #167077=VERTEX_POINT('',#546661); #167078=VERTEX_POINT('',#546665); #167079=VERTEX_POINT('',#546667); #167080=VERTEX_POINT('',#546671); #167081=VERTEX_POINT('',#546673); #167082=VERTEX_POINT('',#546677); #167083=VERTEX_POINT('',#546679); #167084=VERTEX_POINT('',#546683); #167085=VERTEX_POINT('',#546685); #167086=VERTEX_POINT('',#546689); #167087=VERTEX_POINT('',#546691); #167088=VERTEX_POINT('',#546695); #167089=VERTEX_POINT('',#546697); #167090=VERTEX_POINT('',#546701); #167091=VERTEX_POINT('',#546703); #167092=VERTEX_POINT('',#546707); #167093=VERTEX_POINT('',#546709); #167094=VERTEX_POINT('',#546713); #167095=VERTEX_POINT('',#546715); #167096=VERTEX_POINT('',#546719); #167097=VERTEX_POINT('',#546721); #167098=VERTEX_POINT('',#546725); #167099=VERTEX_POINT('',#546727); #167100=VERTEX_POINT('',#546731); #167101=VERTEX_POINT('',#546733); #167102=VERTEX_POINT('',#546737); #167103=VERTEX_POINT('',#546739); #167104=VERTEX_POINT('',#546743); #167105=VERTEX_POINT('',#546745); #167106=VERTEX_POINT('',#546749); #167107=VERTEX_POINT('',#546751); #167108=VERTEX_POINT('',#546760); #167109=VERTEX_POINT('',#546762); #167110=VERTEX_POINT('',#546766); #167111=VERTEX_POINT('',#546768); #167112=VERTEX_POINT('',#546772); #167113=VERTEX_POINT('',#546773); #167114=VERTEX_POINT('',#546775); #167115=VERTEX_POINT('',#546777); #167116=VERTEX_POINT('',#546781); #167117=VERTEX_POINT('',#546783); #167118=VERTEX_POINT('',#546787); #167119=VERTEX_POINT('',#546789); #167120=VERTEX_POINT('',#546793); #167121=VERTEX_POINT('',#546795); #167122=VERTEX_POINT('',#546799); #167123=VERTEX_POINT('',#546801); #167124=VERTEX_POINT('',#546805); #167125=VERTEX_POINT('',#546807); #167126=VERTEX_POINT('',#546811); #167127=VERTEX_POINT('',#546813); #167128=VERTEX_POINT('',#546817); #167129=VERTEX_POINT('',#546819); #167130=VERTEX_POINT('',#546823); #167131=VERTEX_POINT('',#546825); #167132=VERTEX_POINT('',#546829); #167133=VERTEX_POINT('',#546831); #167134=VERTEX_POINT('',#546835); #167135=VERTEX_POINT('',#546837); #167136=VERTEX_POINT('',#546841); #167137=VERTEX_POINT('',#546843); #167138=VERTEX_POINT('',#546847); #167139=VERTEX_POINT('',#546849); #167140=VERTEX_POINT('',#546853); #167141=VERTEX_POINT('',#546855); #167142=VERTEX_POINT('',#546859); #167143=VERTEX_POINT('',#546861); #167144=VERTEX_POINT('',#546865); #167145=VERTEX_POINT('',#546867); #167146=VERTEX_POINT('',#546871); #167147=VERTEX_POINT('',#546873); #167148=VERTEX_POINT('',#546877); #167149=VERTEX_POINT('',#546879); #167150=VERTEX_POINT('',#546883); #167151=VERTEX_POINT('',#546885); #167152=VERTEX_POINT('',#546889); #167153=VERTEX_POINT('',#546891); #167154=VERTEX_POINT('',#546895); #167155=VERTEX_POINT('',#546897); #167156=VERTEX_POINT('',#546901); #167157=VERTEX_POINT('',#546903); #167158=VERTEX_POINT('',#546907); #167159=VERTEX_POINT('',#546909); #167160=VERTEX_POINT('',#546913); #167161=VERTEX_POINT('',#546915); #167162=VERTEX_POINT('',#546919); #167163=VERTEX_POINT('',#546921); #167164=VERTEX_POINT('',#546925); #167165=VERTEX_POINT('',#546927); #167166=VERTEX_POINT('',#546931); #167167=VERTEX_POINT('',#546933); #167168=VERTEX_POINT('',#546937); #167169=VERTEX_POINT('',#546939); #167170=VERTEX_POINT('',#546943); #167171=VERTEX_POINT('',#546945); #167172=VERTEX_POINT('',#546949); #167173=VERTEX_POINT('',#546951); #167174=VERTEX_POINT('',#546955); #167175=VERTEX_POINT('',#546957); #167176=VERTEX_POINT('',#546961); #167177=VERTEX_POINT('',#546963); #167178=VERTEX_POINT('',#546967); #167179=VERTEX_POINT('',#546969); #167180=VERTEX_POINT('',#546973); #167181=VERTEX_POINT('',#546975); #167182=VERTEX_POINT('',#546979); #167183=VERTEX_POINT('',#546981); #167184=VERTEX_POINT('',#546985); #167185=VERTEX_POINT('',#546987); #167186=VERTEX_POINT('',#546991); #167187=VERTEX_POINT('',#546993); #167188=VERTEX_POINT('',#546997); #167189=VERTEX_POINT('',#546999); #167190=VERTEX_POINT('',#547003); #167191=VERTEX_POINT('',#547005); #167192=VERTEX_POINT('',#547009); #167193=VERTEX_POINT('',#547011); #167194=VERTEX_POINT('',#547015); #167195=VERTEX_POINT('',#547017); #167196=VERTEX_POINT('',#547021); #167197=VERTEX_POINT('',#547023); #167198=VERTEX_POINT('',#547027); #167199=VERTEX_POINT('',#547029); #167200=VERTEX_POINT('',#547033); #167201=VERTEX_POINT('',#547035); #167202=VERTEX_POINT('',#547039); #167203=VERTEX_POINT('',#547041); #167204=VERTEX_POINT('',#547045); #167205=VERTEX_POINT('',#547047); #167206=VERTEX_POINT('',#547051); #167207=VERTEX_POINT('',#547053); #167208=VERTEX_POINT('',#547057); #167209=VERTEX_POINT('',#547059); #167210=VERTEX_POINT('',#547063); #167211=VERTEX_POINT('',#547065); #167212=VERTEX_POINT('',#547069); #167213=VERTEX_POINT('',#547071); #167214=VERTEX_POINT('',#547075); #167215=VERTEX_POINT('',#547077); #167216=VERTEX_POINT('',#547081); #167217=VERTEX_POINT('',#547083); #167218=VERTEX_POINT('',#547087); #167219=VERTEX_POINT('',#547089); #167220=VERTEX_POINT('',#547093); #167221=VERTEX_POINT('',#547095); #167222=VERTEX_POINT('',#547099); #167223=VERTEX_POINT('',#547101); #167224=VERTEX_POINT('',#547105); #167225=VERTEX_POINT('',#547107); #167226=VERTEX_POINT('',#547111); #167227=VERTEX_POINT('',#547113); #167228=VERTEX_POINT('',#547117); #167229=VERTEX_POINT('',#547119); #167230=VERTEX_POINT('',#547123); #167231=VERTEX_POINT('',#547125); #167232=VERTEX_POINT('',#547129); #167233=VERTEX_POINT('',#547131); #167234=VERTEX_POINT('',#547140); #167235=VERTEX_POINT('',#547142); #167236=VERTEX_POINT('',#547146); #167237=VERTEX_POINT('',#547148); #167238=VERTEX_POINT('',#547152); #167239=VERTEX_POINT('',#547153); #167240=VERTEX_POINT('',#547155); #167241=VERTEX_POINT('',#547157); #167242=VERTEX_POINT('',#547161); #167243=VERTEX_POINT('',#547163); #167244=VERTEX_POINT('',#547167); #167245=VERTEX_POINT('',#547169); #167246=VERTEX_POINT('',#547173); #167247=VERTEX_POINT('',#547175); #167248=VERTEX_POINT('',#547179); #167249=VERTEX_POINT('',#547181); #167250=VERTEX_POINT('',#547185); #167251=VERTEX_POINT('',#547187); #167252=VERTEX_POINT('',#547191); #167253=VERTEX_POINT('',#547193); #167254=VERTEX_POINT('',#547202); #167255=VERTEX_POINT('',#547204); #167256=VERTEX_POINT('',#547208); #167257=VERTEX_POINT('',#547210); #167258=VERTEX_POINT('',#547214); #167259=VERTEX_POINT('',#547215); #167260=VERTEX_POINT('',#547217); #167261=VERTEX_POINT('',#547219); #167262=VERTEX_POINT('',#547223); #167263=VERTEX_POINT('',#547225); #167264=VERTEX_POINT('',#547229); #167265=VERTEX_POINT('',#547231); #167266=VERTEX_POINT('',#547235); #167267=VERTEX_POINT('',#547237); #167268=VERTEX_POINT('',#547241); #167269=VERTEX_POINT('',#547243); #167270=VERTEX_POINT('',#547247); #167271=VERTEX_POINT('',#547249); #167272=VERTEX_POINT('',#547253); #167273=VERTEX_POINT('',#547255); #167274=VERTEX_POINT('',#547264); #167275=VERTEX_POINT('',#547266); #167276=VERTEX_POINT('',#547270); #167277=VERTEX_POINT('',#547272); #167278=VERTEX_POINT('',#547276); #167279=VERTEX_POINT('',#547277); #167280=VERTEX_POINT('',#547279); #167281=VERTEX_POINT('',#547281); #167282=VERTEX_POINT('',#547285); #167283=VERTEX_POINT('',#547287); #167284=VERTEX_POINT('',#547291); #167285=VERTEX_POINT('',#547293); #167286=VERTEX_POINT('',#547297); #167287=VERTEX_POINT('',#547299); #167288=VERTEX_POINT('',#547303); #167289=VERTEX_POINT('',#547305); #167290=VERTEX_POINT('',#547309); #167291=VERTEX_POINT('',#547311); #167292=VERTEX_POINT('',#547315); #167293=VERTEX_POINT('',#547317); #167294=VERTEX_POINT('',#547326); #167295=VERTEX_POINT('',#547328); #167296=VERTEX_POINT('',#547332); #167297=VERTEX_POINT('',#547334); #167298=VERTEX_POINT('',#547338); #167299=VERTEX_POINT('',#547339); #167300=VERTEX_POINT('',#547341); #167301=VERTEX_POINT('',#547343); #167302=VERTEX_POINT('',#547347); #167303=VERTEX_POINT('',#547349); #167304=VERTEX_POINT('',#547353); #167305=VERTEX_POINT('',#547355); #167306=VERTEX_POINT('',#547359); #167307=VERTEX_POINT('',#547361); #167308=VERTEX_POINT('',#547365); #167309=VERTEX_POINT('',#547367); #167310=VERTEX_POINT('',#547371); #167311=VERTEX_POINT('',#547373); #167312=VERTEX_POINT('',#547377); #167313=VERTEX_POINT('',#547379); #167314=VERTEX_POINT('',#547383); #167315=VERTEX_POINT('',#547385); #167316=VERTEX_POINT('',#547389); #167317=VERTEX_POINT('',#547391); #167318=VERTEX_POINT('',#547395); #167319=VERTEX_POINT('',#547397); #167320=VERTEX_POINT('',#547401); #167321=VERTEX_POINT('',#547403); #167322=VERTEX_POINT('',#547407); #167323=VERTEX_POINT('',#547409); #167324=VERTEX_POINT('',#547418); #167325=VERTEX_POINT('',#547420); #167326=VERTEX_POINT('',#547424); #167327=VERTEX_POINT('',#547425); #167328=VERTEX_POINT('',#547427); #167329=VERTEX_POINT('',#547429); #167330=VERTEX_POINT('',#547433); #167331=VERTEX_POINT('',#547435); #167332=VERTEX_POINT('',#547439); #167333=VERTEX_POINT('',#547441); #167334=VERTEX_POINT('',#547450); #167335=VERTEX_POINT('',#547452); #167336=VERTEX_POINT('',#547456); #167337=VERTEX_POINT('',#547457); #167338=VERTEX_POINT('',#547459); #167339=VERTEX_POINT('',#547461); #167340=VERTEX_POINT('',#547465); #167341=VERTEX_POINT('',#547467); #167342=VERTEX_POINT('',#547471); #167343=VERTEX_POINT('',#547473); #167344=VERTEX_POINT('',#547482); #167345=VERTEX_POINT('',#547484); #167346=VERTEX_POINT('',#547488); #167347=VERTEX_POINT('',#547489); #167348=VERTEX_POINT('',#547491); #167349=VERTEX_POINT('',#547493); #167350=VERTEX_POINT('',#547497); #167351=VERTEX_POINT('',#547499); #167352=VERTEX_POINT('',#547503); #167353=VERTEX_POINT('',#547505); #167354=VERTEX_POINT('',#547514); #167355=VERTEX_POINT('',#547516); #167356=VERTEX_POINT('',#547520); #167357=VERTEX_POINT('',#547521); #167358=VERTEX_POINT('',#547523); #167359=VERTEX_POINT('',#547525); #167360=VERTEX_POINT('',#547529); #167361=VERTEX_POINT('',#547531); #167362=VERTEX_POINT('',#547535); #167363=VERTEX_POINT('',#547537); #167364=VERTEX_POINT('',#547541); #167365=VERTEX_POINT('',#547543); #167366=VERTEX_POINT('',#547547); #167367=VERTEX_POINT('',#547549); #167368=VERTEX_POINT('',#547553); #167369=VERTEX_POINT('',#547555); #167370=VERTEX_POINT('',#547559); #167371=VERTEX_POINT('',#547561); #167372=VERTEX_POINT('',#547565); #167373=VERTEX_POINT('',#547567); #167374=VERTEX_POINT('',#547571); #167375=VERTEX_POINT('',#547573); #167376=VERTEX_POINT('',#547582); #167377=VERTEX_POINT('',#547584); #167378=VERTEX_POINT('',#547588); #167379=VERTEX_POINT('',#547590); #167380=VERTEX_POINT('',#547594); #167381=VERTEX_POINT('',#547595); #167382=VERTEX_POINT('',#547597); #167383=VERTEX_POINT('',#547599); #167384=VERTEX_POINT('',#547603); #167385=VERTEX_POINT('',#547605); #167386=VERTEX_POINT('',#547609); #167387=VERTEX_POINT('',#547611); #167388=VERTEX_POINT('',#547615); #167389=VERTEX_POINT('',#547617); #167390=VERTEX_POINT('',#547621); #167391=VERTEX_POINT('',#547623); #167392=VERTEX_POINT('',#547627); #167393=VERTEX_POINT('',#547629); #167394=VERTEX_POINT('',#547633); #167395=VERTEX_POINT('',#547635); #167396=VERTEX_POINT('',#547639); #167397=VERTEX_POINT('',#547641); #167398=VERTEX_POINT('',#547645); #167399=VERTEX_POINT('',#547647); #167400=VERTEX_POINT('',#547651); #167401=VERTEX_POINT('',#547653); #167402=VERTEX_POINT('',#547657); #167403=VERTEX_POINT('',#547659); #167404=VERTEX_POINT('',#547663); #167405=VERTEX_POINT('',#547665); #167406=VERTEX_POINT('',#547669); #167407=VERTEX_POINT('',#547671); #167408=VERTEX_POINT('',#547675); #167409=VERTEX_POINT('',#547677); #167410=VERTEX_POINT('',#547681); #167411=VERTEX_POINT('',#547683); #167412=VERTEX_POINT('',#547687); #167413=VERTEX_POINT('',#547689); #167414=VERTEX_POINT('',#547693); #167415=VERTEX_POINT('',#547695); #167416=VERTEX_POINT('',#547699); #167417=VERTEX_POINT('',#547701); #167418=VERTEX_POINT('',#547705); #167419=VERTEX_POINT('',#547707); #167420=VERTEX_POINT('',#547711); #167421=VERTEX_POINT('',#547713); #167422=VERTEX_POINT('',#547717); #167423=VERTEX_POINT('',#547719); #167424=VERTEX_POINT('',#547723); #167425=VERTEX_POINT('',#547725); #167426=VERTEX_POINT('',#547729); #167427=VERTEX_POINT('',#547731); #167428=VERTEX_POINT('',#547735); #167429=VERTEX_POINT('',#547737); #167430=VERTEX_POINT('',#547741); #167431=VERTEX_POINT('',#547743); #167432=VERTEX_POINT('',#547747); #167433=VERTEX_POINT('',#547749); #167434=VERTEX_POINT('',#547753); #167435=VERTEX_POINT('',#547755); #167436=VERTEX_POINT('',#547759); #167437=VERTEX_POINT('',#547761); #167438=VERTEX_POINT('',#547765); #167439=VERTEX_POINT('',#547767); #167440=VERTEX_POINT('',#547771); #167441=VERTEX_POINT('',#547773); #167442=VERTEX_POINT('',#547777); #167443=VERTEX_POINT('',#547779); #167444=VERTEX_POINT('',#547783); #167445=VERTEX_POINT('',#547785); #167446=VERTEX_POINT('',#547789); #167447=VERTEX_POINT('',#547791); #167448=VERTEX_POINT('',#547795); #167449=VERTEX_POINT('',#547797); #167450=VERTEX_POINT('',#547801); #167451=VERTEX_POINT('',#547803); #167452=VERTEX_POINT('',#547807); #167453=VERTEX_POINT('',#547809); #167454=VERTEX_POINT('',#547813); #167455=VERTEX_POINT('',#547815); #167456=VERTEX_POINT('',#547819); #167457=VERTEX_POINT('',#547821); #167458=VERTEX_POINT('',#547825); #167459=VERTEX_POINT('',#547827); #167460=VERTEX_POINT('',#547831); #167461=VERTEX_POINT('',#547833); #167462=VERTEX_POINT('',#547837); #167463=VERTEX_POINT('',#547839); #167464=VERTEX_POINT('',#547843); #167465=VERTEX_POINT('',#547845); #167466=VERTEX_POINT('',#547849); #167467=VERTEX_POINT('',#547851); #167468=VERTEX_POINT('',#547855); #167469=VERTEX_POINT('',#547857); #167470=VERTEX_POINT('',#547861); #167471=VERTEX_POINT('',#547863); #167472=VERTEX_POINT('',#547867); #167473=VERTEX_POINT('',#547869); #167474=VERTEX_POINT('',#547873); #167475=VERTEX_POINT('',#547875); #167476=VERTEX_POINT('',#547879); #167477=VERTEX_POINT('',#547881); #167478=VERTEX_POINT('',#547885); #167479=VERTEX_POINT('',#547887); #167480=VERTEX_POINT('',#547891); #167481=VERTEX_POINT('',#547893); #167482=VERTEX_POINT('',#547897); #167483=VERTEX_POINT('',#547899); #167484=VERTEX_POINT('',#547903); #167485=VERTEX_POINT('',#547905); #167486=VERTEX_POINT('',#547909); #167487=VERTEX_POINT('',#547911); #167488=VERTEX_POINT('',#547915); #167489=VERTEX_POINT('',#547917); #167490=VERTEX_POINT('',#547921); #167491=VERTEX_POINT('',#547923); #167492=VERTEX_POINT('',#547927); #167493=VERTEX_POINT('',#547929); #167494=VERTEX_POINT('',#547933); #167495=VERTEX_POINT('',#547935); #167496=VERTEX_POINT('',#547939); #167497=VERTEX_POINT('',#547941); #167498=VERTEX_POINT('',#547945); #167499=VERTEX_POINT('',#547947); #167500=VERTEX_POINT('',#547951); #167501=VERTEX_POINT('',#547953); #167502=VERTEX_POINT('',#547962); #167503=VERTEX_POINT('',#547964); #167504=VERTEX_POINT('',#547968); #167505=VERTEX_POINT('',#547970); #167506=VERTEX_POINT('',#547974); #167507=VERTEX_POINT('',#547975); #167508=VERTEX_POINT('',#547977); #167509=VERTEX_POINT('',#547979); #167510=VERTEX_POINT('',#547983); #167511=VERTEX_POINT('',#547985); #167512=VERTEX_POINT('',#547989); #167513=VERTEX_POINT('',#547991); #167514=VERTEX_POINT('',#547995); #167515=VERTEX_POINT('',#547997); #167516=VERTEX_POINT('',#548001); #167517=VERTEX_POINT('',#548003); #167518=VERTEX_POINT('',#548007); #167519=VERTEX_POINT('',#548009); #167520=VERTEX_POINT('',#548018); #167521=VERTEX_POINT('',#548020); #167522=VERTEX_POINT('',#548024); #167523=VERTEX_POINT('',#548025); #167524=VERTEX_POINT('',#548027); #167525=VERTEX_POINT('',#548029); #167526=VERTEX_POINT('',#548033); #167527=VERTEX_POINT('',#548035); #167528=VERTEX_POINT('',#548039); #167529=VERTEX_POINT('',#548041); #167530=VERTEX_POINT('',#548045); #167531=VERTEX_POINT('',#548047); #167532=VERTEX_POINT('',#548051); #167533=VERTEX_POINT('',#548053); #167534=VERTEX_POINT('',#548057); #167535=VERTEX_POINT('',#548059); #167536=VERTEX_POINT('',#548063); #167537=VERTEX_POINT('',#548065); #167538=VERTEX_POINT('',#548069); #167539=VERTEX_POINT('',#548071); #167540=VERTEX_POINT('',#548075); #167541=VERTEX_POINT('',#548077); #167542=VERTEX_POINT('',#548086); #167543=VERTEX_POINT('',#548088); #167544=VERTEX_POINT('',#548092); #167545=VERTEX_POINT('',#548093); #167546=VERTEX_POINT('',#548095); #167547=VERTEX_POINT('',#548097); #167548=VERTEX_POINT('',#548101); #167549=VERTEX_POINT('',#548103); #167550=VERTEX_POINT('',#548107); #167551=VERTEX_POINT('',#548109); #167552=VERTEX_POINT('',#548113); #167553=VERTEX_POINT('',#548115); #167554=VERTEX_POINT('',#548119); #167555=VERTEX_POINT('',#548121); #167556=VERTEX_POINT('',#548125); #167557=VERTEX_POINT('',#548127); #167558=VERTEX_POINT('',#548131); #167559=VERTEX_POINT('',#548133); #167560=VERTEX_POINT('',#548137); #167561=VERTEX_POINT('',#548139); #167562=VERTEX_POINT('',#548143); #167563=VERTEX_POINT('',#548145); #167564=VERTEX_POINT('',#548154); #167565=VERTEX_POINT('',#548156); #167566=VERTEX_POINT('',#548160); #167567=VERTEX_POINT('',#548161); #167568=VERTEX_POINT('',#548163); #167569=VERTEX_POINT('',#548165); #167570=VERTEX_POINT('',#548169); #167571=VERTEX_POINT('',#548171); #167572=VERTEX_POINT('',#548175); #167573=VERTEX_POINT('',#548177); #167574=VERTEX_POINT('',#548181); #167575=VERTEX_POINT('',#548183); #167576=VERTEX_POINT('',#548187); #167577=VERTEX_POINT('',#548189); #167578=VERTEX_POINT('',#548193); #167579=VERTEX_POINT('',#548195); #167580=VERTEX_POINT('',#548199); #167581=VERTEX_POINT('',#548201); #167582=VERTEX_POINT('',#548205); #167583=VERTEX_POINT('',#548207); #167584=VERTEX_POINT('',#548211); #167585=VERTEX_POINT('',#548213); #167586=VERTEX_POINT('',#548217); #167587=VERTEX_POINT('',#548219); #167588=VERTEX_POINT('',#548223); #167589=VERTEX_POINT('',#548225); #167590=VERTEX_POINT('',#548229); #167591=VERTEX_POINT('',#548231); #167592=VERTEX_POINT('',#548235); #167593=VERTEX_POINT('',#548237); #167594=VERTEX_POINT('',#548241); #167595=VERTEX_POINT('',#548243); #167596=VERTEX_POINT('',#548247); #167597=VERTEX_POINT('',#548249); #167598=VERTEX_POINT('',#548253); #167599=VERTEX_POINT('',#548255); #167600=VERTEX_POINT('',#548264); #167601=VERTEX_POINT('',#548266); #167602=VERTEX_POINT('',#548270); #167603=VERTEX_POINT('',#548271); #167604=VERTEX_POINT('',#548273); #167605=VERTEX_POINT('',#548275); #167606=VERTEX_POINT('',#548279); #167607=VERTEX_POINT('',#548281); #167608=VERTEX_POINT('',#548285); #167609=VERTEX_POINT('',#548287); #167610=VERTEX_POINT('',#548291); #167611=VERTEX_POINT('',#548293); #167612=VERTEX_POINT('',#548297); #167613=VERTEX_POINT('',#548299); #167614=VERTEX_POINT('',#548303); #167615=VERTEX_POINT('',#548305); #167616=VERTEX_POINT('',#548309); #167617=VERTEX_POINT('',#548311); #167618=VERTEX_POINT('',#548315); #167619=VERTEX_POINT('',#548317); #167620=VERTEX_POINT('',#548321); #167621=VERTEX_POINT('',#548323); #167622=VERTEX_POINT('',#548327); #167623=VERTEX_POINT('',#548329); #167624=VERTEX_POINT('',#548333); #167625=VERTEX_POINT('',#548335); #167626=VERTEX_POINT('',#548339); #167627=VERTEX_POINT('',#548341); #167628=VERTEX_POINT('',#548345); #167629=VERTEX_POINT('',#548347); #167630=VERTEX_POINT('',#548351); #167631=VERTEX_POINT('',#548353); #167632=VERTEX_POINT('',#548362); #167633=VERTEX_POINT('',#548364); #167634=VERTEX_POINT('',#548368); #167635=VERTEX_POINT('',#548369); #167636=VERTEX_POINT('',#548371); #167637=VERTEX_POINT('',#548373); #167638=VERTEX_POINT('',#548377); #167639=VERTEX_POINT('',#548379); #167640=VERTEX_POINT('',#548388); #167641=VERTEX_POINT('',#548390); #167642=VERTEX_POINT('',#548394); #167643=VERTEX_POINT('',#548395); #167644=VERTEX_POINT('',#548397); #167645=VERTEX_POINT('',#548399); #167646=VERTEX_POINT('',#548403); #167647=VERTEX_POINT('',#548405); #167648=VERTEX_POINT('',#548409); #167649=VERTEX_POINT('',#548411); #167650=VERTEX_POINT('',#548415); #167651=VERTEX_POINT('',#548417); #167652=VERTEX_POINT('',#548421); #167653=VERTEX_POINT('',#548423); #167654=VERTEX_POINT('',#548427); #167655=VERTEX_POINT('',#548429); #167656=VERTEX_POINT('',#548433); #167657=VERTEX_POINT('',#548435); #167658=VERTEX_POINT('',#548439); #167659=VERTEX_POINT('',#548441); #167660=VERTEX_POINT('',#548445); #167661=VERTEX_POINT('',#548447); #167662=VERTEX_POINT('',#548451); #167663=VERTEX_POINT('',#548453); #167664=VERTEX_POINT('',#548457); #167665=VERTEX_POINT('',#548459); #167666=VERTEX_POINT('',#548463); #167667=VERTEX_POINT('',#548465); #167668=VERTEX_POINT('',#548469); #167669=VERTEX_POINT('',#548471); #167670=VERTEX_POINT('',#548475); #167671=VERTEX_POINT('',#548477); #167672=VERTEX_POINT('',#548481); #167673=VERTEX_POINT('',#548483); #167674=VERTEX_POINT('',#548487); #167675=VERTEX_POINT('',#548489); #167676=VERTEX_POINT('',#548493); #167677=VERTEX_POINT('',#548495); #167678=VERTEX_POINT('',#548499); #167679=VERTEX_POINT('',#548501); #167680=VERTEX_POINT('',#548505); #167681=VERTEX_POINT('',#548507); #167682=VERTEX_POINT('',#548511); #167683=VERTEX_POINT('',#548513); #167684=VERTEX_POINT('',#548522); #167685=VERTEX_POINT('',#548524); #167686=VERTEX_POINT('',#548528); #167687=VERTEX_POINT('',#548529); #167688=VERTEX_POINT('',#548531); #167689=VERTEX_POINT('',#548533); #167690=VERTEX_POINT('',#548537); #167691=VERTEX_POINT('',#548539); #167692=VERTEX_POINT('',#548543); #167693=VERTEX_POINT('',#548545); #167694=VERTEX_POINT('',#548549); #167695=VERTEX_POINT('',#548551); #167696=VERTEX_POINT('',#548555); #167697=VERTEX_POINT('',#548557); #167698=VERTEX_POINT('',#548561); #167699=VERTEX_POINT('',#548563); #167700=VERTEX_POINT('',#548567); #167701=VERTEX_POINT('',#548569); #167702=VERTEX_POINT('',#548573); #167703=VERTEX_POINT('',#548575); #167704=VERTEX_POINT('',#548579); #167705=VERTEX_POINT('',#548581); #167706=VERTEX_POINT('',#548585); #167707=VERTEX_POINT('',#548587); #167708=VERTEX_POINT('',#548591); #167709=VERTEX_POINT('',#548593); #167710=VERTEX_POINT('',#548597); #167711=VERTEX_POINT('',#548599); #167712=VERTEX_POINT('',#548603); #167713=VERTEX_POINT('',#548605); #167714=VERTEX_POINT('',#548609); #167715=VERTEX_POINT('',#548611); #167716=VERTEX_POINT('',#548620); #167717=VERTEX_POINT('',#548621); #167718=VERTEX_POINT('',#548623); #167719=VERTEX_POINT('',#548625); #167720=VERTEX_POINT('',#548629); #167721=VERTEX_POINT('',#548631); #167722=VERTEX_POINT('',#548635); #167723=VERTEX_POINT('',#548637); #167724=VERTEX_POINT('',#548641); #167725=VERTEX_POINT('',#548643); #167726=VERTEX_POINT('',#548647); #167727=VERTEX_POINT('',#548649); #167728=VERTEX_POINT('',#548653); #167729=VERTEX_POINT('',#548655); #167730=VERTEX_POINT('',#548659); #167731=VERTEX_POINT('',#548661); #167732=VERTEX_POINT('',#548665); #167733=VERTEX_POINT('',#548667); #167734=VERTEX_POINT('',#548671); #167735=VERTEX_POINT('',#548673); #167736=VERTEX_POINT('',#548677); #167737=VERTEX_POINT('',#548679); #167738=VERTEX_POINT('',#548683); #167739=VERTEX_POINT('',#548685); #167740=VERTEX_POINT('',#548689); #167741=VERTEX_POINT('',#548691); #167742=VERTEX_POINT('',#548695); #167743=VERTEX_POINT('',#548697); #167744=VERTEX_POINT('',#548706); #167745=VERTEX_POINT('',#548707); #167746=VERTEX_POINT('',#548709); #167747=VERTEX_POINT('',#548711); #167748=VERTEX_POINT('',#548715); #167749=VERTEX_POINT('',#548717); #167750=VERTEX_POINT('',#548721); #167751=VERTEX_POINT('',#548723); #167752=VERTEX_POINT('',#548727); #167753=VERTEX_POINT('',#548729); #167754=VERTEX_POINT('',#548733); #167755=VERTEX_POINT('',#548735); #167756=VERTEX_POINT('',#548739); #167757=VERTEX_POINT('',#548741); #167758=VERTEX_POINT('',#548745); #167759=VERTEX_POINT('',#548747); #167760=VERTEX_POINT('',#548751); #167761=VERTEX_POINT('',#548753); #167762=VERTEX_POINT('',#548757); #167763=VERTEX_POINT('',#548759); #167764=VERTEX_POINT('',#548763); #167765=VERTEX_POINT('',#548765); #167766=VERTEX_POINT('',#548769); #167767=VERTEX_POINT('',#548771); #167768=VERTEX_POINT('',#548775); #167769=VERTEX_POINT('',#548777); #167770=VERTEX_POINT('',#548781); #167771=VERTEX_POINT('',#548783); #167772=VERTEX_POINT('',#548792); #167773=VERTEX_POINT('',#548794); #167774=VERTEX_POINT('',#548798); #167775=VERTEX_POINT('',#548799); #167776=VERTEX_POINT('',#548801); #167777=VERTEX_POINT('',#548803); #167778=VERTEX_POINT('',#548807); #167779=VERTEX_POINT('',#548809); #167780=VERTEX_POINT('',#548813); #167781=VERTEX_POINT('',#548815); #167782=VERTEX_POINT('',#548819); #167783=VERTEX_POINT('',#548821); #167784=VERTEX_POINT('',#548825); #167785=VERTEX_POINT('',#548827); #167786=VERTEX_POINT('',#548831); #167787=VERTEX_POINT('',#548833); #167788=VERTEX_POINT('',#548837); #167789=VERTEX_POINT('',#548839); #167790=VERTEX_POINT('',#548843); #167791=VERTEX_POINT('',#548845); #167792=VERTEX_POINT('',#548849); #167793=VERTEX_POINT('',#548851); #167794=VERTEX_POINT('',#548855); #167795=VERTEX_POINT('',#548857); #167796=VERTEX_POINT('',#548861); #167797=VERTEX_POINT('',#548863); #167798=VERTEX_POINT('',#548867); #167799=VERTEX_POINT('',#548869); #167800=VERTEX_POINT('',#548873); #167801=VERTEX_POINT('',#548875); #167802=VERTEX_POINT('',#548879); #167803=VERTEX_POINT('',#548881); #167804=VERTEX_POINT('',#548885); #167805=VERTEX_POINT('',#548887); #167806=VERTEX_POINT('',#548891); #167807=VERTEX_POINT('',#548893); #167808=VERTEX_POINT('',#548897); #167809=VERTEX_POINT('',#548899); #167810=VERTEX_POINT('',#548903); #167811=VERTEX_POINT('',#548905); #167812=VERTEX_POINT('',#548909); #167813=VERTEX_POINT('',#548911); #167814=VERTEX_POINT('',#548915); #167815=VERTEX_POINT('',#548917); #167816=VERTEX_POINT('',#548921); #167817=VERTEX_POINT('',#548923); #167818=VERTEX_POINT('',#548927); #167819=VERTEX_POINT('',#548929); #167820=VERTEX_POINT('',#548933); #167821=VERTEX_POINT('',#548935); #167822=VERTEX_POINT('',#548939); #167823=VERTEX_POINT('',#548941); #167824=VERTEX_POINT('',#548945); #167825=VERTEX_POINT('',#548947); #167826=VERTEX_POINT('',#548951); #167827=VERTEX_POINT('',#548953); #167828=VERTEX_POINT('',#548957); #167829=VERTEX_POINT('',#548959); #167830=VERTEX_POINT('',#548963); #167831=VERTEX_POINT('',#548965); #167832=VERTEX_POINT('',#548969); #167833=VERTEX_POINT('',#548971); #167834=VERTEX_POINT('',#548975); #167835=VERTEX_POINT('',#548977); #167836=VERTEX_POINT('',#548981); #167837=VERTEX_POINT('',#548983); #167838=VERTEX_POINT('',#548987); #167839=VERTEX_POINT('',#548989); #167840=VERTEX_POINT('',#548993); #167841=VERTEX_POINT('',#548995); #167842=VERTEX_POINT('',#548999); #167843=VERTEX_POINT('',#549001); #167844=VERTEX_POINT('',#549005); #167845=VERTEX_POINT('',#549007); #167846=VERTEX_POINT('',#549011); #167847=VERTEX_POINT('',#549013); #167848=VERTEX_POINT('',#549017); #167849=VERTEX_POINT('',#549019); #167850=VERTEX_POINT('',#549023); #167851=VERTEX_POINT('',#549025); #167852=VERTEX_POINT('',#549034); #167853=VERTEX_POINT('',#549036); #167854=VERTEX_POINT('',#549040); #167855=VERTEX_POINT('',#549042); #167856=VERTEX_POINT('',#549046); #167857=VERTEX_POINT('',#549047); #167858=VERTEX_POINT('',#549049); #167859=VERTEX_POINT('',#549051); #167860=VERTEX_POINT('',#549055); #167861=VERTEX_POINT('',#549057); #167862=VERTEX_POINT('',#549061); #167863=VERTEX_POINT('',#549063); #167864=VERTEX_POINT('',#549067); #167865=VERTEX_POINT('',#549069); #167866=VERTEX_POINT('',#549073); #167867=VERTEX_POINT('',#549075); #167868=VERTEX_POINT('',#549079); #167869=VERTEX_POINT('',#549081); #167870=VERTEX_POINT('',#549090); #167871=VERTEX_POINT('',#549092); #167872=VERTEX_POINT('',#549096); #167873=VERTEX_POINT('',#549097); #167874=VERTEX_POINT('',#549099); #167875=VERTEX_POINT('',#549101); #167876=VERTEX_POINT('',#549105); #167877=VERTEX_POINT('',#549107); #167878=VERTEX_POINT('',#549111); #167879=VERTEX_POINT('',#549113); #167880=VERTEX_POINT('',#549122); #167881=VERTEX_POINT('',#549124); #167882=VERTEX_POINT('',#549128); #167883=VERTEX_POINT('',#549130); #167884=VERTEX_POINT('',#549134); #167885=VERTEX_POINT('',#549135); #167886=VERTEX_POINT('',#549137); #167887=VERTEX_POINT('',#549139); #167888=VERTEX_POINT('',#549143); #167889=VERTEX_POINT('',#549145); #167890=VERTEX_POINT('',#549149); #167891=VERTEX_POINT('',#549151); #167892=VERTEX_POINT('',#549155); #167893=VERTEX_POINT('',#549157); #167894=VERTEX_POINT('',#549161); #167895=VERTEX_POINT('',#549163); #167896=VERTEX_POINT('',#549167); #167897=VERTEX_POINT('',#549169); #167898=VERTEX_POINT('',#549173); #167899=VERTEX_POINT('',#549175); #167900=VERTEX_POINT('',#549179); #167901=VERTEX_POINT('',#549181); #167902=VERTEX_POINT('',#549185); #167903=VERTEX_POINT('',#549187); #167904=VERTEX_POINT('',#549191); #167905=VERTEX_POINT('',#549193); #167906=VERTEX_POINT('',#549197); #167907=VERTEX_POINT('',#549199); #167908=VERTEX_POINT('',#549203); #167909=VERTEX_POINT('',#549205); #167910=VERTEX_POINT('',#549209); #167911=VERTEX_POINT('',#549211); #167912=VERTEX_POINT('',#549215); #167913=VERTEX_POINT('',#549217); #167914=VERTEX_POINT('',#549221); #167915=VERTEX_POINT('',#549223); #167916=VERTEX_POINT('',#549227); #167917=VERTEX_POINT('',#549229); #167918=VERTEX_POINT('',#549233); #167919=VERTEX_POINT('',#549235); #167920=VERTEX_POINT('',#549239); #167921=VERTEX_POINT('',#549241); #167922=VERTEX_POINT('',#549245); #167923=VERTEX_POINT('',#549247); #167924=VERTEX_POINT('',#549251); #167925=VERTEX_POINT('',#549253); #167926=VERTEX_POINT('',#549257); #167927=VERTEX_POINT('',#549259); #167928=VERTEX_POINT('',#549263); #167929=VERTEX_POINT('',#549265); #167930=VERTEX_POINT('',#549274); #167931=VERTEX_POINT('',#549276); #167932=VERTEX_POINT('',#549280); #167933=VERTEX_POINT('',#549282); #167934=VERTEX_POINT('',#549286); #167935=VERTEX_POINT('',#549287); #167936=VERTEX_POINT('',#549289); #167937=VERTEX_POINT('',#549291); #167938=VERTEX_POINT('',#549295); #167939=VERTEX_POINT('',#549297); #167940=VERTEX_POINT('',#549301); #167941=VERTEX_POINT('',#549303); #167942=VERTEX_POINT('',#549307); #167943=VERTEX_POINT('',#549309); #167944=VERTEX_POINT('',#549313); #167945=VERTEX_POINT('',#549315); #167946=VERTEX_POINT('',#549319); #167947=VERTEX_POINT('',#549321); #167948=VERTEX_POINT('',#549325); #167949=VERTEX_POINT('',#549327); #167950=VERTEX_POINT('',#549336); #167951=VERTEX_POINT('',#549338); #167952=VERTEX_POINT('',#549342); #167953=VERTEX_POINT('',#549343); #167954=VERTEX_POINT('',#549345); #167955=VERTEX_POINT('',#549347); #167956=VERTEX_POINT('',#549351); #167957=VERTEX_POINT('',#549353); #167958=VERTEX_POINT('',#549357); #167959=VERTEX_POINT('',#549359); #167960=VERTEX_POINT('',#549368); #167961=VERTEX_POINT('',#549370); #167962=VERTEX_POINT('',#549374); #167963=VERTEX_POINT('',#549376); #167964=VERTEX_POINT('',#549380); #167965=VERTEX_POINT('',#549382); #167966=VERTEX_POINT('',#549386); #167967=VERTEX_POINT('',#549388); #167968=VERTEX_POINT('',#549392); #167969=VERTEX_POINT('',#549393); #167970=VERTEX_POINT('',#549395); #167971=VERTEX_POINT('',#549397); #167972=VERTEX_POINT('',#549401); #167973=VERTEX_POINT('',#549403); #167974=VERTEX_POINT('',#549407); #167975=VERTEX_POINT('',#549409); #167976=VERTEX_POINT('',#549413); #167977=VERTEX_POINT('',#549415); #167978=VERTEX_POINT('',#549419); #167979=VERTEX_POINT('',#549421); #167980=VERTEX_POINT('',#549425); #167981=VERTEX_POINT('',#549427); #167982=VERTEX_POINT('',#549431); #167983=VERTEX_POINT('',#549433); #167984=VERTEX_POINT('',#549437); #167985=VERTEX_POINT('',#549439); #167986=VERTEX_POINT('',#549443); #167987=VERTEX_POINT('',#549445); #167988=VERTEX_POINT('',#549449); #167989=VERTEX_POINT('',#549451); #167990=VERTEX_POINT('',#549455); #167991=VERTEX_POINT('',#549457); #167992=VERTEX_POINT('',#549461); #167993=VERTEX_POINT('',#549463); #167994=VERTEX_POINT('',#549467); #167995=VERTEX_POINT('',#549469); #167996=VERTEX_POINT('',#549473); #167997=VERTEX_POINT('',#549475); #167998=VERTEX_POINT('',#549479); #167999=VERTEX_POINT('',#549481); #168000=VERTEX_POINT('',#549488); #168001=VERTEX_POINT('',#549489); #168002=VERTEX_POINT('',#549491); #168003=VERTEX_POINT('',#549493); #168004=VERTEX_POINT('',#549497); #168005=VERTEX_POINT('',#549499); #168006=VERTEX_POINT('',#549503); #168007=VERTEX_POINT('',#549505); #168008=VERTEX_POINT('',#549509); #168009=VERTEX_POINT('',#549511); #168010=VERTEX_POINT('',#549515); #168011=VERTEX_POINT('',#549517); #168012=VERTEX_POINT('',#549521); #168013=VERTEX_POINT('',#549523); #168014=VERTEX_POINT('',#549527); #168015=VERTEX_POINT('',#549529); #168016=VERTEX_POINT('',#549533); #168017=VERTEX_POINT('',#549535); #168018=VERTEX_POINT('',#549539); #168019=VERTEX_POINT('',#549541); #168020=VERTEX_POINT('',#549545); #168021=VERTEX_POINT('',#549547); #168022=VERTEX_POINT('',#549551); #168023=VERTEX_POINT('',#549553); #168024=VERTEX_POINT('',#549557); #168025=VERTEX_POINT('',#549559); #168026=VERTEX_POINT('',#549563); #168027=VERTEX_POINT('',#549565); #168028=VERTEX_POINT('',#549569); #168029=VERTEX_POINT('',#549571); #168030=VERTEX_POINT('',#549575); #168031=VERTEX_POINT('',#549577); #168032=VERTEX_POINT('',#549581); #168033=VERTEX_POINT('',#549583); #168034=VERTEX_POINT('',#549587); #168035=VERTEX_POINT('',#549589); #168036=VERTEX_POINT('',#549593); #168037=VERTEX_POINT('',#549595); #168038=VERTEX_POINT('',#549599); #168039=VERTEX_POINT('',#549601); #168040=VERTEX_POINT('',#549605); #168041=VERTEX_POINT('',#549607); #168042=VERTEX_POINT('',#549611); #168043=VERTEX_POINT('',#549613); #168044=VERTEX_POINT('',#549617); #168045=VERTEX_POINT('',#549619); #168046=VERTEX_POINT('',#549623); #168047=VERTEX_POINT('',#549625); #168048=VERTEX_POINT('',#549629); #168049=VERTEX_POINT('',#549631); #168050=VERTEX_POINT('',#549635); #168051=VERTEX_POINT('',#549637); #168052=VERTEX_POINT('',#549641); #168053=VERTEX_POINT('',#549643); #168054=VERTEX_POINT('',#549647); #168055=VERTEX_POINT('',#549649); #168056=VERTEX_POINT('',#549653); #168057=VERTEX_POINT('',#549655); #168058=VERTEX_POINT('',#549659); #168059=VERTEX_POINT('',#549661); #168060=VERTEX_POINT('',#549665); #168061=VERTEX_POINT('',#549667); #168062=VERTEX_POINT('',#549671); #168063=VERTEX_POINT('',#549673); #168064=VERTEX_POINT('',#549677); #168065=VERTEX_POINT('',#549679); #168066=VERTEX_POINT('',#549683); #168067=VERTEX_POINT('',#549685); #168068=VERTEX_POINT('',#549689); #168069=VERTEX_POINT('',#549691); #168070=VERTEX_POINT('',#549695); #168071=VERTEX_POINT('',#549697); #168072=VERTEX_POINT('',#549701); #168073=VERTEX_POINT('',#549703); #168074=VERTEX_POINT('',#549707); #168075=VERTEX_POINT('',#549709); #168076=VERTEX_POINT('',#549713); #168077=VERTEX_POINT('',#549715); #168078=VERTEX_POINT('',#549719); #168079=VERTEX_POINT('',#549721); #168080=VERTEX_POINT('',#549725); #168081=VERTEX_POINT('',#549727); #168082=VERTEX_POINT('',#549731); #168083=VERTEX_POINT('',#549733); #168084=VERTEX_POINT('',#549737); #168085=VERTEX_POINT('',#549739); #168086=VERTEX_POINT('',#549743); #168087=VERTEX_POINT('',#549745); #168088=VERTEX_POINT('',#549749); #168089=VERTEX_POINT('',#549751); #168090=VERTEX_POINT('',#549755); #168091=VERTEX_POINT('',#549757); #168092=VERTEX_POINT('',#549761); #168093=VERTEX_POINT('',#549763); #168094=VERTEX_POINT('',#549767); #168095=VERTEX_POINT('',#549769); #168096=VERTEX_POINT('',#549773); #168097=VERTEX_POINT('',#549775); #168098=VERTEX_POINT('',#549779); #168099=VERTEX_POINT('',#549781); #168100=VERTEX_POINT('',#549785); #168101=VERTEX_POINT('',#549787); #168102=VERTEX_POINT('',#549791); #168103=VERTEX_POINT('',#549793); #168104=VERTEX_POINT('',#549797); #168105=VERTEX_POINT('',#549799); #168106=VERTEX_POINT('',#549803); #168107=VERTEX_POINT('',#549805); #168108=VERTEX_POINT('',#549809); #168109=VERTEX_POINT('',#549811); #168110=VERTEX_POINT('',#549815); #168111=VERTEX_POINT('',#549817); #168112=VERTEX_POINT('',#549821); #168113=VERTEX_POINT('',#549823); #168114=VERTEX_POINT('',#549827); #168115=VERTEX_POINT('',#549829); #168116=VERTEX_POINT('',#549833); #168117=VERTEX_POINT('',#549835); #168118=VERTEX_POINT('',#549839); #168119=VERTEX_POINT('',#549841); #168120=VERTEX_POINT('',#549845); #168121=VERTEX_POINT('',#549847); #168122=VERTEX_POINT('',#549851); #168123=VERTEX_POINT('',#549853); #168124=VERTEX_POINT('',#549857); #168125=VERTEX_POINT('',#549859); #168126=VERTEX_POINT('',#549863); #168127=VERTEX_POINT('',#549865); #168128=VERTEX_POINT('',#549869); #168129=VERTEX_POINT('',#549871); #168130=VERTEX_POINT('',#549875); #168131=VERTEX_POINT('',#549877); #168132=VERTEX_POINT('',#549881); #168133=VERTEX_POINT('',#549883); #168134=VERTEX_POINT('',#549887); #168135=VERTEX_POINT('',#549889); #168136=VERTEX_POINT('',#549893); #168137=VERTEX_POINT('',#549895); #168138=VERTEX_POINT('',#549899); #168139=VERTEX_POINT('',#549901); #168140=VERTEX_POINT('',#549905); #168141=VERTEX_POINT('',#549907); #168142=VERTEX_POINT('',#549911); #168143=VERTEX_POINT('',#549913); #168144=VERTEX_POINT('',#549917); #168145=VERTEX_POINT('',#549919); #168146=VERTEX_POINT('',#549923); #168147=VERTEX_POINT('',#549925); #168148=VERTEX_POINT('',#549934); #168149=VERTEX_POINT('',#549936); #168150=VERTEX_POINT('',#549940); #168151=VERTEX_POINT('',#549941); #168152=VERTEX_POINT('',#549943); #168153=VERTEX_POINT('',#549945); #168154=VERTEX_POINT('',#549949); #168155=VERTEX_POINT('',#549951); #168156=VERTEX_POINT('',#549955); #168157=VERTEX_POINT('',#549957); #168158=VERTEX_POINT('',#549961); #168159=VERTEX_POINT('',#549963); #168160=VERTEX_POINT('',#549967); #168161=VERTEX_POINT('',#549969); #168162=VERTEX_POINT('',#549973); #168163=VERTEX_POINT('',#549975); #168164=VERTEX_POINT('',#549979); #168165=VERTEX_POINT('',#549981); #168166=VERTEX_POINT('',#549985); #168167=VERTEX_POINT('',#549987); #168168=VERTEX_POINT('',#549991); #168169=VERTEX_POINT('',#549993); #168170=VERTEX_POINT('',#549997); #168171=VERTEX_POINT('',#549999); #168172=VERTEX_POINT('',#550003); #168173=VERTEX_POINT('',#550005); #168174=VERTEX_POINT('',#550009); #168175=VERTEX_POINT('',#550011); #168176=VERTEX_POINT('',#550015); #168177=VERTEX_POINT('',#550017); #168178=VERTEX_POINT('',#550021); #168179=VERTEX_POINT('',#550023); #168180=VERTEX_POINT('',#550027); #168181=VERTEX_POINT('',#550029); #168182=VERTEX_POINT('',#550033); #168183=VERTEX_POINT('',#550035); #168184=VERTEX_POINT('',#550039); #168185=VERTEX_POINT('',#550041); #168186=VERTEX_POINT('',#550045); #168187=VERTEX_POINT('',#550047); #168188=VERTEX_POINT('',#550051); #168189=VERTEX_POINT('',#550053); #168190=VERTEX_POINT('',#550057); #168191=VERTEX_POINT('',#550059); #168192=VERTEX_POINT('',#550063); #168193=VERTEX_POINT('',#550065); #168194=VERTEX_POINT('',#550069); #168195=VERTEX_POINT('',#550071); #168196=VERTEX_POINT('',#550075); #168197=VERTEX_POINT('',#550077); #168198=VERTEX_POINT('',#550081); #168199=VERTEX_POINT('',#550083); #168200=VERTEX_POINT('',#550087); #168201=VERTEX_POINT('',#550089); #168202=VERTEX_POINT('',#550093); #168203=VERTEX_POINT('',#550095); #168204=VERTEX_POINT('',#550099); #168205=VERTEX_POINT('',#550101); #168206=VERTEX_POINT('',#550105); #168207=VERTEX_POINT('',#550107); #168208=VERTEX_POINT('',#550111); #168209=VERTEX_POINT('',#550113); #168210=VERTEX_POINT('',#550117); #168211=VERTEX_POINT('',#550119); #168212=VERTEX_POINT('',#550123); #168213=VERTEX_POINT('',#550125); #168214=VERTEX_POINT('',#550129); #168215=VERTEX_POINT('',#550131); #168216=VERTEX_POINT('',#550135); #168217=VERTEX_POINT('',#550137); #168218=VERTEX_POINT('',#550141); #168219=VERTEX_POINT('',#550143); #168220=VERTEX_POINT('',#550147); #168221=VERTEX_POINT('',#550149); #168222=VERTEX_POINT('',#550153); #168223=VERTEX_POINT('',#550155); #168224=VERTEX_POINT('',#550159); #168225=VERTEX_POINT('',#550161); #168226=VERTEX_POINT('',#550165); #168227=VERTEX_POINT('',#550167); #168228=VERTEX_POINT('',#550171); #168229=VERTEX_POINT('',#550173); #168230=VERTEX_POINT('',#550177); #168231=VERTEX_POINT('',#550179); #168232=VERTEX_POINT('',#550183); #168233=VERTEX_POINT('',#550185); #168234=VERTEX_POINT('',#550189); #168235=VERTEX_POINT('',#550191); #168236=VERTEX_POINT('',#550195); #168237=VERTEX_POINT('',#550197); #168238=VERTEX_POINT('',#550201); #168239=VERTEX_POINT('',#550203); #168240=VERTEX_POINT('',#550207); #168241=VERTEX_POINT('',#550209); #168242=VERTEX_POINT('',#550213); #168243=VERTEX_POINT('',#550215); #168244=VERTEX_POINT('',#550219); #168245=VERTEX_POINT('',#550221); #168246=VERTEX_POINT('',#550230); #168247=VERTEX_POINT('',#550232); #168248=VERTEX_POINT('',#550236); #168249=VERTEX_POINT('',#550238); #168250=VERTEX_POINT('',#550242); #168251=VERTEX_POINT('',#550244); #168252=VERTEX_POINT('',#550248); #168253=VERTEX_POINT('',#550250); #168254=VERTEX_POINT('',#550254); #168255=VERTEX_POINT('',#550256); #168256=VERTEX_POINT('',#550260); #168257=VERTEX_POINT('',#550262); #168258=VERTEX_POINT('',#550266); #168259=VERTEX_POINT('',#550268); #168260=VERTEX_POINT('',#550272); #168261=VERTEX_POINT('',#550274); #168262=VERTEX_POINT('',#550278); #168263=VERTEX_POINT('',#550280); #168264=VERTEX_POINT('',#550284); #168265=VERTEX_POINT('',#550286); #168266=VERTEX_POINT('',#550290); #168267=VERTEX_POINT('',#550292); #168268=VERTEX_POINT('',#550296); #168269=VERTEX_POINT('',#550298); #168270=VERTEX_POINT('',#550302); #168271=VERTEX_POINT('',#550303); #168272=VERTEX_POINT('',#550305); #168273=VERTEX_POINT('',#550307); #168274=VERTEX_POINT('',#550311); #168275=VERTEX_POINT('',#550313); #168276=VERTEX_POINT('',#550317); #168277=VERTEX_POINT('',#550319); #168278=VERTEX_POINT('',#550323); #168279=VERTEX_POINT('',#550325); #168280=VERTEX_POINT('',#550329); #168281=VERTEX_POINT('',#550331); #168282=VERTEX_POINT('',#550335); #168283=VERTEX_POINT('',#550337); #168284=VERTEX_POINT('',#550341); #168285=VERTEX_POINT('',#550343); #168286=VERTEX_POINT('',#550347); #168287=VERTEX_POINT('',#550349); #168288=VERTEX_POINT('',#550353); #168289=VERTEX_POINT('',#550355); #168290=VERTEX_POINT('',#550359); #168291=VERTEX_POINT('',#550361); #168292=VERTEX_POINT('',#550365); #168293=VERTEX_POINT('',#550367); #168294=VERTEX_POINT('',#550371); #168295=VERTEX_POINT('',#550373); #168296=VERTEX_POINT('',#550377); #168297=VERTEX_POINT('',#550379); #168298=VERTEX_POINT('',#550383); #168299=VERTEX_POINT('',#550385); #168300=VERTEX_POINT('',#550389); #168301=VERTEX_POINT('',#550391); #168302=VERTEX_POINT('',#550395); #168303=VERTEX_POINT('',#550397); #168304=VERTEX_POINT('',#550401); #168305=VERTEX_POINT('',#550403); #168306=VERTEX_POINT('',#550407); #168307=VERTEX_POINT('',#550409); #168308=VERTEX_POINT('',#550413); #168309=VERTEX_POINT('',#550415); #168310=VERTEX_POINT('',#550419); #168311=VERTEX_POINT('',#550421); #168312=VERTEX_POINT('',#550425); #168313=VERTEX_POINT('',#550427); #168314=VERTEX_POINT('',#550431); #168315=VERTEX_POINT('',#550433); #168316=VERTEX_POINT('',#550437); #168317=VERTEX_POINT('',#550439); #168318=VERTEX_POINT('',#550443); #168319=VERTEX_POINT('',#550445); #168320=VERTEX_POINT('',#550449); #168321=VERTEX_POINT('',#550451); #168322=VERTEX_POINT('',#550455); #168323=VERTEX_POINT('',#550457); #168324=VERTEX_POINT('',#550461); #168325=VERTEX_POINT('',#550463); #168326=VERTEX_POINT('',#550467); #168327=VERTEX_POINT('',#550469); #168328=VERTEX_POINT('',#550473); #168329=VERTEX_POINT('',#550475); #168330=VERTEX_POINT('',#550479); #168331=VERTEX_POINT('',#550481); #168332=VERTEX_POINT('',#550485); #168333=VERTEX_POINT('',#550487); #168334=VERTEX_POINT('',#550491); #168335=VERTEX_POINT('',#550493); #168336=VERTEX_POINT('',#550497); #168337=VERTEX_POINT('',#550499); #168338=VERTEX_POINT('',#550503); #168339=VERTEX_POINT('',#550505); #168340=VERTEX_POINT('',#550509); #168341=VERTEX_POINT('',#550511); #168342=VERTEX_POINT('',#550515); #168343=VERTEX_POINT('',#550517); #168344=VERTEX_POINT('',#550521); #168345=VERTEX_POINT('',#550523); #168346=VERTEX_POINT('',#550527); #168347=VERTEX_POINT('',#550529); #168348=VERTEX_POINT('',#550533); #168349=VERTEX_POINT('',#550535); #168350=VERTEX_POINT('',#550539); #168351=VERTEX_POINT('',#550541); #168352=VERTEX_POINT('',#550545); #168353=VERTEX_POINT('',#550547); #168354=VERTEX_POINT('',#550551); #168355=VERTEX_POINT('',#550553); #168356=VERTEX_POINT('',#550557); #168357=VERTEX_POINT('',#550559); #168358=VERTEX_POINT('',#550563); #168359=VERTEX_POINT('',#550565); #168360=VERTEX_POINT('',#550569); #168361=VERTEX_POINT('',#550571); #168362=VERTEX_POINT('',#550575); #168363=VERTEX_POINT('',#550577); #168364=VERTEX_POINT('',#550581); #168365=VERTEX_POINT('',#550583); #168366=VERTEX_POINT('',#550587); #168367=VERTEX_POINT('',#550589); #168368=VERTEX_POINT('',#550593); #168369=VERTEX_POINT('',#550595); #168370=VERTEX_POINT('',#550599); #168371=VERTEX_POINT('',#550601); #168372=VERTEX_POINT('',#550605); #168373=VERTEX_POINT('',#550607); #168374=VERTEX_POINT('',#550611); #168375=VERTEX_POINT('',#550613); #168376=VERTEX_POINT('',#550617); #168377=VERTEX_POINT('',#550619); #168378=VERTEX_POINT('',#550623); #168379=VERTEX_POINT('',#550625); #168380=VERTEX_POINT('',#550629); #168381=VERTEX_POINT('',#550631); #168382=VERTEX_POINT('',#550635); #168383=VERTEX_POINT('',#550637); #168384=VERTEX_POINT('',#550641); #168385=VERTEX_POINT('',#550643); #168386=VERTEX_POINT('',#550647); #168387=VERTEX_POINT('',#550649); #168388=VERTEX_POINT('',#550653); #168389=VERTEX_POINT('',#550655); #168390=VERTEX_POINT('',#550659); #168391=VERTEX_POINT('',#550661); #168392=VERTEX_POINT('',#550665); #168393=VERTEX_POINT('',#550667); #168394=VERTEX_POINT('',#550671); #168395=VERTEX_POINT('',#550673); #168396=VERTEX_POINT('',#550677); #168397=VERTEX_POINT('',#550679); #168398=VERTEX_POINT('',#550683); #168399=VERTEX_POINT('',#550685); #168400=VERTEX_POINT('',#550689); #168401=VERTEX_POINT('',#550691); #168402=VERTEX_POINT('',#550695); #168403=VERTEX_POINT('',#550697); #168404=VERTEX_POINT('',#550701); #168405=VERTEX_POINT('',#550703); #168406=VERTEX_POINT('',#550707); #168407=VERTEX_POINT('',#550709); #168408=VERTEX_POINT('',#550713); #168409=VERTEX_POINT('',#550715); #168410=VERTEX_POINT('',#550719); #168411=VERTEX_POINT('',#550721); #168412=VERTEX_POINT('',#550725); #168413=VERTEX_POINT('',#550727); #168414=VERTEX_POINT('',#550731); #168415=VERTEX_POINT('',#550733); #168416=VERTEX_POINT('',#550737); #168417=VERTEX_POINT('',#550739); #168418=VERTEX_POINT('',#550743); #168419=VERTEX_POINT('',#550745); #168420=VERTEX_POINT('',#550749); #168421=VERTEX_POINT('',#550751); #168422=VERTEX_POINT('',#550755); #168423=VERTEX_POINT('',#550757); #168424=VERTEX_POINT('',#550761); #168425=VERTEX_POINT('',#550763); #168426=VERTEX_POINT('',#550767); #168427=VERTEX_POINT('',#550769); #168428=VERTEX_POINT('',#550773); #168429=VERTEX_POINT('',#550775); #168430=VERTEX_POINT('',#550779); #168431=VERTEX_POINT('',#550781); #168432=VERTEX_POINT('',#550785); #168433=VERTEX_POINT('',#550787); #168434=VERTEX_POINT('',#550791); #168435=VERTEX_POINT('',#550793); #168436=VERTEX_POINT('',#550797); #168437=VERTEX_POINT('',#550799); #168438=VERTEX_POINT('',#550803); #168439=VERTEX_POINT('',#550805); #168440=VERTEX_POINT('',#550809); #168441=VERTEX_POINT('',#550811); #168442=VERTEX_POINT('',#550815); #168443=VERTEX_POINT('',#550817); #168444=VERTEX_POINT('',#550821); #168445=VERTEX_POINT('',#550823); #168446=VERTEX_POINT('',#550827); #168447=VERTEX_POINT('',#550829); #168448=VERTEX_POINT('',#550833); #168449=VERTEX_POINT('',#550835); #168450=VERTEX_POINT('',#550839); #168451=VERTEX_POINT('',#550841); #168452=VERTEX_POINT('',#550845); #168453=VERTEX_POINT('',#550847); #168454=VERTEX_POINT('',#550851); #168455=VERTEX_POINT('',#550853); #168456=VERTEX_POINT('',#550857); #168457=VERTEX_POINT('',#550859); #168458=VERTEX_POINT('',#550863); #168459=VERTEX_POINT('',#550865); #168460=VERTEX_POINT('',#550869); #168461=VERTEX_POINT('',#550871); #168462=VERTEX_POINT('',#550875); #168463=VERTEX_POINT('',#550877); #168464=VERTEX_POINT('',#550886); #168465=VERTEX_POINT('',#550887); #168466=VERTEX_POINT('',#550889); #168467=VERTEX_POINT('',#550891); #168468=VERTEX_POINT('',#550895); #168469=VERTEX_POINT('',#550897); #168470=VERTEX_POINT('',#550901); #168471=VERTEX_POINT('',#550903); #168472=VERTEX_POINT('',#550907); #168473=VERTEX_POINT('',#550909); #168474=VERTEX_POINT('',#550913); #168475=VERTEX_POINT('',#550915); #168476=VERTEX_POINT('',#550919); #168477=VERTEX_POINT('',#550921); #168478=VERTEX_POINT('',#550925); #168479=VERTEX_POINT('',#550927); #168480=VERTEX_POINT('',#550931); #168481=VERTEX_POINT('',#550933); #168482=VERTEX_POINT('',#550937); #168483=VERTEX_POINT('',#550939); #168484=VERTEX_POINT('',#550943); #168485=VERTEX_POINT('',#550945); #168486=VERTEX_POINT('',#550949); #168487=VERTEX_POINT('',#550951); #168488=VERTEX_POINT('',#550955); #168489=VERTEX_POINT('',#550957); #168490=VERTEX_POINT('',#550961); #168491=VERTEX_POINT('',#550963); #168492=VERTEX_POINT('',#550967); #168493=VERTEX_POINT('',#550969); #168494=VERTEX_POINT('',#550973); #168495=VERTEX_POINT('',#550975); #168496=VERTEX_POINT('',#550979); #168497=VERTEX_POINT('',#550981); #168498=VERTEX_POINT('',#550985); #168499=VERTEX_POINT('',#550987); #168500=VERTEX_POINT('',#550991); #168501=VERTEX_POINT('',#550993); #168502=VERTEX_POINT('',#550997); #168503=VERTEX_POINT('',#550999); #168504=VERTEX_POINT('',#551003); #168505=VERTEX_POINT('',#551005); #168506=VERTEX_POINT('',#551014); #168507=VERTEX_POINT('',#551015); #168508=VERTEX_POINT('',#551017); #168509=VERTEX_POINT('',#551019); #168510=VERTEX_POINT('',#551023); #168511=VERTEX_POINT('',#551025); #168512=VERTEX_POINT('',#551029); #168513=VERTEX_POINT('',#551031); #168514=VERTEX_POINT('',#551035); #168515=VERTEX_POINT('',#551037); #168516=VERTEX_POINT('',#551041); #168517=VERTEX_POINT('',#551043); #168518=VERTEX_POINT('',#551047); #168519=VERTEX_POINT('',#551049); #168520=VERTEX_POINT('',#551053); #168521=VERTEX_POINT('',#551055); #168522=VERTEX_POINT('',#551059); #168523=VERTEX_POINT('',#551061); #168524=VERTEX_POINT('',#551065); #168525=VERTEX_POINT('',#551067); #168526=VERTEX_POINT('',#551071); #168527=VERTEX_POINT('',#551073); #168528=VERTEX_POINT('',#551077); #168529=VERTEX_POINT('',#551079); #168530=VERTEX_POINT('',#551083); #168531=VERTEX_POINT('',#551085); #168532=VERTEX_POINT('',#551089); #168533=VERTEX_POINT('',#551091); #168534=VERTEX_POINT('',#551095); #168535=VERTEX_POINT('',#551097); #168536=VERTEX_POINT('',#551101); #168537=VERTEX_POINT('',#551103); #168538=VERTEX_POINT('',#551107); #168539=VERTEX_POINT('',#551109); #168540=VERTEX_POINT('',#551113); #168541=VERTEX_POINT('',#551115); #168542=VERTEX_POINT('',#551119); #168543=VERTEX_POINT('',#551121); #168544=VERTEX_POINT('',#551125); #168545=VERTEX_POINT('',#551127); #168546=VERTEX_POINT('',#551136); #168547=VERTEX_POINT('',#551137); #168548=VERTEX_POINT('',#551139); #168549=VERTEX_POINT('',#551141); #168550=VERTEX_POINT('',#551145); #168551=VERTEX_POINT('',#551147); #168552=VERTEX_POINT('',#551151); #168553=VERTEX_POINT('',#551153); #168554=VERTEX_POINT('',#551157); #168555=VERTEX_POINT('',#551159); #168556=VERTEX_POINT('',#551163); #168557=VERTEX_POINT('',#551165); #168558=VERTEX_POINT('',#551169); #168559=VERTEX_POINT('',#551171); #168560=VERTEX_POINT('',#551175); #168561=VERTEX_POINT('',#551177); #168562=VERTEX_POINT('',#551181); #168563=VERTEX_POINT('',#551183); #168564=VERTEX_POINT('',#551187); #168565=VERTEX_POINT('',#551189); #168566=VERTEX_POINT('',#551193); #168567=VERTEX_POINT('',#551195); #168568=VERTEX_POINT('',#551199); #168569=VERTEX_POINT('',#551201); #168570=VERTEX_POINT('',#551205); #168571=VERTEX_POINT('',#551207); #168572=VERTEX_POINT('',#551211); #168573=VERTEX_POINT('',#551213); #168574=VERTEX_POINT('',#551217); #168575=VERTEX_POINT('',#551219); #168576=VERTEX_POINT('',#551223); #168577=VERTEX_POINT('',#551225); #168578=VERTEX_POINT('',#551229); #168579=VERTEX_POINT('',#551231); #168580=VERTEX_POINT('',#551235); #168581=VERTEX_POINT('',#551237); #168582=VERTEX_POINT('',#551241); #168583=VERTEX_POINT('',#551243); #168584=VERTEX_POINT('',#551247); #168585=VERTEX_POINT('',#551249); #168586=VERTEX_POINT('',#551253); #168587=VERTEX_POINT('',#551255); #168588=VERTEX_POINT('',#551259); #168589=VERTEX_POINT('',#551261); #168590=VERTEX_POINT('',#551265); #168591=VERTEX_POINT('',#551267); #168592=VERTEX_POINT('',#551271); #168593=VERTEX_POINT('',#551273); #168594=VERTEX_POINT('',#551282); #168595=VERTEX_POINT('',#551283); #168596=VERTEX_POINT('',#551285); #168597=VERTEX_POINT('',#551287); #168598=VERTEX_POINT('',#551291); #168599=VERTEX_POINT('',#551293); #168600=VERTEX_POINT('',#551297); #168601=VERTEX_POINT('',#551299); #168602=VERTEX_POINT('',#551303); #168603=VERTEX_POINT('',#551305); #168604=VERTEX_POINT('',#551309); #168605=VERTEX_POINT('',#551311); #168606=VERTEX_POINT('',#551315); #168607=VERTEX_POINT('',#551317); #168608=VERTEX_POINT('',#551321); #168609=VERTEX_POINT('',#551323); #168610=VERTEX_POINT('',#551327); #168611=VERTEX_POINT('',#551329); #168612=VERTEX_POINT('',#551333); #168613=VERTEX_POINT('',#551335); #168614=VERTEX_POINT('',#551339); #168615=VERTEX_POINT('',#551341); #168616=VERTEX_POINT('',#551345); #168617=VERTEX_POINT('',#551347); #168618=VERTEX_POINT('',#551351); #168619=VERTEX_POINT('',#551353); #168620=VERTEX_POINT('',#551357); #168621=VERTEX_POINT('',#551359); #168622=VERTEX_POINT('',#551363); #168623=VERTEX_POINT('',#551365); #168624=VERTEX_POINT('',#551369); #168625=VERTEX_POINT('',#551371); #168626=VERTEX_POINT('',#551375); #168627=VERTEX_POINT('',#551377); #168628=VERTEX_POINT('',#551381); #168629=VERTEX_POINT('',#551383); #168630=VERTEX_POINT('',#551387); #168631=VERTEX_POINT('',#551389); #168632=VERTEX_POINT('',#551393); #168633=VERTEX_POINT('',#551395); #168634=VERTEX_POINT('',#551399); #168635=VERTEX_POINT('',#551401); #168636=VERTEX_POINT('',#551410); #168637=VERTEX_POINT('',#551411); #168638=VERTEX_POINT('',#551413); #168639=VERTEX_POINT('',#551415); #168640=VERTEX_POINT('',#551419); #168641=VERTEX_POINT('',#551421); #168642=VERTEX_POINT('',#551425); #168643=VERTEX_POINT('',#551427); #168644=VERTEX_POINT('',#551431); #168645=VERTEX_POINT('',#551433); #168646=VERTEX_POINT('',#551437); #168647=VERTEX_POINT('',#551439); #168648=VERTEX_POINT('',#551443); #168649=VERTEX_POINT('',#551445); #168650=VERTEX_POINT('',#551449); #168651=VERTEX_POINT('',#551451); #168652=VERTEX_POINT('',#551455); #168653=VERTEX_POINT('',#551457); #168654=VERTEX_POINT('',#551461); #168655=VERTEX_POINT('',#551463); #168656=VERTEX_POINT('',#551467); #168657=VERTEX_POINT('',#551469); #168658=VERTEX_POINT('',#551473); #168659=VERTEX_POINT('',#551475); #168660=VERTEX_POINT('',#551479); #168661=VERTEX_POINT('',#551481); #168662=VERTEX_POINT('',#551485); #168663=VERTEX_POINT('',#551487); #168664=VERTEX_POINT('',#551491); #168665=VERTEX_POINT('',#551493); #168666=VERTEX_POINT('',#551497); #168667=VERTEX_POINT('',#551499); #168668=VERTEX_POINT('',#551503); #168669=VERTEX_POINT('',#551505); #168670=VERTEX_POINT('',#551509); #168671=VERTEX_POINT('',#551511); #168672=VERTEX_POINT('',#551515); #168673=VERTEX_POINT('',#551517); #168674=VERTEX_POINT('',#551521); #168675=VERTEX_POINT('',#551523); #168676=VERTEX_POINT('',#551527); #168677=VERTEX_POINT('',#551529); #168678=VERTEX_POINT('',#551533); #168679=VERTEX_POINT('',#551535); #168680=VERTEX_POINT('',#551539); #168681=VERTEX_POINT('',#551541); #168682=VERTEX_POINT('',#551545); #168683=VERTEX_POINT('',#551547); #168684=VERTEX_POINT('',#551551); #168685=VERTEX_POINT('',#551553); #168686=VERTEX_POINT('',#551557); #168687=VERTEX_POINT('',#551559); #168688=VERTEX_POINT('',#551563); #168689=VERTEX_POINT('',#551565); #168690=VERTEX_POINT('',#551569); #168691=VERTEX_POINT('',#551571); #168692=VERTEX_POINT('',#551575); #168693=VERTEX_POINT('',#551577); #168694=VERTEX_POINT('',#551581); #168695=VERTEX_POINT('',#551583); #168696=VERTEX_POINT('',#551587); #168697=VERTEX_POINT('',#551589); #168698=VERTEX_POINT('',#551593); #168699=VERTEX_POINT('',#551595); #168700=VERTEX_POINT('',#551599); #168701=VERTEX_POINT('',#551601); #168702=VERTEX_POINT('',#551605); #168703=VERTEX_POINT('',#551607); #168704=VERTEX_POINT('',#551611); #168705=VERTEX_POINT('',#551613); #168706=VERTEX_POINT('',#551622); #168707=VERTEX_POINT('',#551623); #168708=VERTEX_POINT('',#551625); #168709=VERTEX_POINT('',#551627); #168710=VERTEX_POINT('',#551631); #168711=VERTEX_POINT('',#551633); #168712=VERTEX_POINT('',#551637); #168713=VERTEX_POINT('',#551639); #168714=VERTEX_POINT('',#551643); #168715=VERTEX_POINT('',#551645); #168716=VERTEX_POINT('',#551649); #168717=VERTEX_POINT('',#551651); #168718=VERTEX_POINT('',#551655); #168719=VERTEX_POINT('',#551657); #168720=VERTEX_POINT('',#551661); #168721=VERTEX_POINT('',#551663); #168722=VERTEX_POINT('',#551667); #168723=VERTEX_POINT('',#551669); #168724=VERTEX_POINT('',#551673); #168725=VERTEX_POINT('',#551675); #168726=VERTEX_POINT('',#551679); #168727=VERTEX_POINT('',#551681); #168728=VERTEX_POINT('',#551685); #168729=VERTEX_POINT('',#551687); #168730=VERTEX_POINT('',#551691); #168731=VERTEX_POINT('',#551693); #168732=VERTEX_POINT('',#551697); #168733=VERTEX_POINT('',#551699); #168734=VERTEX_POINT('',#551703); #168735=VERTEX_POINT('',#551705); #168736=VERTEX_POINT('',#551709); #168737=VERTEX_POINT('',#551711); #168738=VERTEX_POINT('',#551715); #168739=VERTEX_POINT('',#551717); #168740=VERTEX_POINT('',#551721); #168741=VERTEX_POINT('',#551723); #168742=VERTEX_POINT('',#551727); #168743=VERTEX_POINT('',#551729); #168744=VERTEX_POINT('',#551733); #168745=VERTEX_POINT('',#551735); #168746=VERTEX_POINT('',#551739); #168747=VERTEX_POINT('',#551741); #168748=VERTEX_POINT('',#551750); #168749=VERTEX_POINT('',#551751); #168750=VERTEX_POINT('',#551753); #168751=VERTEX_POINT('',#551755); #168752=VERTEX_POINT('',#551759); #168753=VERTEX_POINT('',#551761); #168754=VERTEX_POINT('',#551765); #168755=VERTEX_POINT('',#551767); #168756=VERTEX_POINT('',#551771); #168757=VERTEX_POINT('',#551773); #168758=VERTEX_POINT('',#551777); #168759=VERTEX_POINT('',#551779); #168760=VERTEX_POINT('',#551783); #168761=VERTEX_POINT('',#551785); #168762=VERTEX_POINT('',#551789); #168763=VERTEX_POINT('',#551791); #168764=VERTEX_POINT('',#551795); #168765=VERTEX_POINT('',#551797); #168766=VERTEX_POINT('',#551801); #168767=VERTEX_POINT('',#551803); #168768=VERTEX_POINT('',#551807); #168769=VERTEX_POINT('',#551809); #168770=VERTEX_POINT('',#551813); #168771=VERTEX_POINT('',#551815); #168772=VERTEX_POINT('',#551819); #168773=VERTEX_POINT('',#551821); #168774=VERTEX_POINT('',#551825); #168775=VERTEX_POINT('',#551827); #168776=VERTEX_POINT('',#551831); #168777=VERTEX_POINT('',#551833); #168778=VERTEX_POINT('',#551837); #168779=VERTEX_POINT('',#551839); #168780=VERTEX_POINT('',#551843); #168781=VERTEX_POINT('',#551845); #168782=VERTEX_POINT('',#551849); #168783=VERTEX_POINT('',#551851); #168784=VERTEX_POINT('',#551855); #168785=VERTEX_POINT('',#551857); #168786=VERTEX_POINT('',#551861); #168787=VERTEX_POINT('',#551863); #168788=VERTEX_POINT('',#551867); #168789=VERTEX_POINT('',#551869); #168790=VERTEX_POINT('',#551873); #168791=VERTEX_POINT('',#551875); #168792=VERTEX_POINT('',#551879); #168793=VERTEX_POINT('',#551881); #168794=VERTEX_POINT('',#551885); #168795=VERTEX_POINT('',#551887); #168796=VERTEX_POINT('',#551891); #168797=VERTEX_POINT('',#551893); #168798=VERTEX_POINT('',#551897); #168799=VERTEX_POINT('',#551899); #168800=VERTEX_POINT('',#551903); #168801=VERTEX_POINT('',#551905); #168802=VERTEX_POINT('',#551909); #168803=VERTEX_POINT('',#551911); #168804=VERTEX_POINT('',#551915); #168805=VERTEX_POINT('',#551917); #168806=VERTEX_POINT('',#551921); #168807=VERTEX_POINT('',#551923); #168808=VERTEX_POINT('',#551927); #168809=VERTEX_POINT('',#551929); #168810=VERTEX_POINT('',#551938); #168811=VERTEX_POINT('',#551939); #168812=VERTEX_POINT('',#551941); #168813=VERTEX_POINT('',#551943); #168814=VERTEX_POINT('',#551947); #168815=VERTEX_POINT('',#551949); #168816=VERTEX_POINT('',#551953); #168817=VERTEX_POINT('',#551955); #168818=VERTEX_POINT('',#551959); #168819=VERTEX_POINT('',#551961); #168820=VERTEX_POINT('',#551965); #168821=VERTEX_POINT('',#551967); #168822=VERTEX_POINT('',#551971); #168823=VERTEX_POINT('',#551973); #168824=VERTEX_POINT('',#551977); #168825=VERTEX_POINT('',#551979); #168826=VERTEX_POINT('',#551983); #168827=VERTEX_POINT('',#551985); #168828=VERTEX_POINT('',#551989); #168829=VERTEX_POINT('',#551991); #168830=VERTEX_POINT('',#551995); #168831=VERTEX_POINT('',#551997); #168832=VERTEX_POINT('',#552001); #168833=VERTEX_POINT('',#552003); #168834=VERTEX_POINT('',#552007); #168835=VERTEX_POINT('',#552009); #168836=VERTEX_POINT('',#552013); #168837=VERTEX_POINT('',#552015); #168838=VERTEX_POINT('',#552019); #168839=VERTEX_POINT('',#552021); #168840=VERTEX_POINT('',#552025); #168841=VERTEX_POINT('',#552027); #168842=VERTEX_POINT('',#552031); #168843=VERTEX_POINT('',#552033); #168844=VERTEX_POINT('',#552037); #168845=VERTEX_POINT('',#552039); #168846=VERTEX_POINT('',#552043); #168847=VERTEX_POINT('',#552045); #168848=VERTEX_POINT('',#552049); #168849=VERTEX_POINT('',#552051); #168850=VERTEX_POINT('',#552055); #168851=VERTEX_POINT('',#552057); #168852=VERTEX_POINT('',#552061); #168853=VERTEX_POINT('',#552063); #168854=VERTEX_POINT('',#552072); #168855=VERTEX_POINT('',#552073); #168856=VERTEX_POINT('',#552075); #168857=VERTEX_POINT('',#552077); #168858=VERTEX_POINT('',#552081); #168859=VERTEX_POINT('',#552083); #168860=VERTEX_POINT('',#552087); #168861=VERTEX_POINT('',#552089); #168862=VERTEX_POINT('',#552093); #168863=VERTEX_POINT('',#552095); #168864=VERTEX_POINT('',#552099); #168865=VERTEX_POINT('',#552101); #168866=VERTEX_POINT('',#552105); #168867=VERTEX_POINT('',#552107); #168868=VERTEX_POINT('',#552111); #168869=VERTEX_POINT('',#552113); #168870=VERTEX_POINT('',#552117); #168871=VERTEX_POINT('',#552119); #168872=VERTEX_POINT('',#552123); #168873=VERTEX_POINT('',#552125); #168874=VERTEX_POINT('',#552129); #168875=VERTEX_POINT('',#552131); #168876=VERTEX_POINT('',#552135); #168877=VERTEX_POINT('',#552137); #168878=VERTEX_POINT('',#552141); #168879=VERTEX_POINT('',#552143); #168880=VERTEX_POINT('',#552147); #168881=VERTEX_POINT('',#552149); #168882=VERTEX_POINT('',#552153); #168883=VERTEX_POINT('',#552155); #168884=VERTEX_POINT('',#552159); #168885=VERTEX_POINT('',#552161); #168886=VERTEX_POINT('',#552165); #168887=VERTEX_POINT('',#552167); #168888=VERTEX_POINT('',#552171); #168889=VERTEX_POINT('',#552173); #168890=VERTEX_POINT('',#552177); #168891=VERTEX_POINT('',#552179); #168892=VERTEX_POINT('',#552183); #168893=VERTEX_POINT('',#552185); #168894=VERTEX_POINT('',#552194); #168895=VERTEX_POINT('',#552196); #168896=VERTEX_POINT('',#552200); #168897=VERTEX_POINT('',#552202); #168898=VERTEX_POINT('',#552206); #168899=VERTEX_POINT('',#552208); #168900=VERTEX_POINT('',#552212); #168901=VERTEX_POINT('',#552214); #168902=VERTEX_POINT('',#552218); #168903=VERTEX_POINT('',#552220); #168904=VERTEX_POINT('',#552224); #168905=VERTEX_POINT('',#552226); #168906=VERTEX_POINT('',#552230); #168907=VERTEX_POINT('',#552232); #168908=VERTEX_POINT('',#552236); #168909=VERTEX_POINT('',#552238); #168910=VERTEX_POINT('',#552242); #168911=VERTEX_POINT('',#552244); #168912=VERTEX_POINT('',#552248); #168913=VERTEX_POINT('',#552250); #168914=VERTEX_POINT('',#552254); #168915=VERTEX_POINT('',#552256); #168916=VERTEX_POINT('',#552260); #168917=VERTEX_POINT('',#552262); #168918=VERTEX_POINT('',#552266); #168919=VERTEX_POINT('',#552268); #168920=VERTEX_POINT('',#552272); #168921=VERTEX_POINT('',#552274); #168922=VERTEX_POINT('',#552278); #168923=VERTEX_POINT('',#552280); #168924=VERTEX_POINT('',#552284); #168925=VERTEX_POINT('',#552286); #168926=VERTEX_POINT('',#552290); #168927=VERTEX_POINT('',#552292); #168928=VERTEX_POINT('',#552296); #168929=VERTEX_POINT('',#552298); #168930=VERTEX_POINT('',#552302); #168931=VERTEX_POINT('',#552304); #168932=VERTEX_POINT('',#552308); #168933=VERTEX_POINT('',#552310); #168934=VERTEX_POINT('',#552314); #168935=VERTEX_POINT('',#552316); #168936=VERTEX_POINT('',#552320); #168937=VERTEX_POINT('',#552322); #168938=VERTEX_POINT('',#552326); #168939=VERTEX_POINT('',#552328); #168940=VERTEX_POINT('',#552332); #168941=VERTEX_POINT('',#552334); #168942=VERTEX_POINT('',#552338); #168943=VERTEX_POINT('',#552340); #168944=VERTEX_POINT('',#552344); #168945=VERTEX_POINT('',#552346); #168946=VERTEX_POINT('',#552350); #168947=VERTEX_POINT('',#552352); #168948=VERTEX_POINT('',#552356); #168949=VERTEX_POINT('',#552358); #168950=VERTEX_POINT('',#552362); #168951=VERTEX_POINT('',#552364); #168952=VERTEX_POINT('',#552368); #168953=VERTEX_POINT('',#552370); #168954=VERTEX_POINT('',#552374); #168955=VERTEX_POINT('',#552376); #168956=VERTEX_POINT('',#552380); #168957=VERTEX_POINT('',#552382); #168958=VERTEX_POINT('',#552386); #168959=VERTEX_POINT('',#552388); #168960=VERTEX_POINT('',#552392); #168961=VERTEX_POINT('',#552394); #168962=VERTEX_POINT('',#552398); #168963=VERTEX_POINT('',#552400); #168964=VERTEX_POINT('',#552404); #168965=VERTEX_POINT('',#552406); #168966=VERTEX_POINT('',#552410); #168967=VERTEX_POINT('',#552412); #168968=VERTEX_POINT('',#552416); #168969=VERTEX_POINT('',#552418); #168970=VERTEX_POINT('',#552422); #168971=VERTEX_POINT('',#552424); #168972=VERTEX_POINT('',#552428); #168973=VERTEX_POINT('',#552430); #168974=VERTEX_POINT('',#552434); #168975=VERTEX_POINT('',#552436); #168976=VERTEX_POINT('',#552440); #168977=VERTEX_POINT('',#552442); #168978=VERTEX_POINT('',#552446); #168979=VERTEX_POINT('',#552448); #168980=VERTEX_POINT('',#552452); #168981=VERTEX_POINT('',#552454); #168982=VERTEX_POINT('',#552458); #168983=VERTEX_POINT('',#552460); #168984=VERTEX_POINT('',#552464); #168985=VERTEX_POINT('',#552466); #168986=VERTEX_POINT('',#552470); #168987=VERTEX_POINT('',#552472); #168988=VERTEX_POINT('',#552476); #168989=VERTEX_POINT('',#552478); #168990=VERTEX_POINT('',#552482); #168991=VERTEX_POINT('',#552484); #168992=VERTEX_POINT('',#552488); #168993=VERTEX_POINT('',#552490); #168994=VERTEX_POINT('',#552494); #168995=VERTEX_POINT('',#552496); #168996=VERTEX_POINT('',#552500); #168997=VERTEX_POINT('',#552502); #168998=VERTEX_POINT('',#552506); #168999=VERTEX_POINT('',#552508); #169000=VERTEX_POINT('',#552512); #169001=VERTEX_POINT('',#552514); #169002=VERTEX_POINT('',#552518); #169003=VERTEX_POINT('',#552520); #169004=VERTEX_POINT('',#552524); #169005=VERTEX_POINT('',#552526); #169006=VERTEX_POINT('',#552530); #169007=VERTEX_POINT('',#552532); #169008=VERTEX_POINT('',#552536); #169009=VERTEX_POINT('',#552538); #169010=VERTEX_POINT('',#552542); #169011=VERTEX_POINT('',#552544); #169012=VERTEX_POINT('',#552548); #169013=VERTEX_POINT('',#552550); #169014=VERTEX_POINT('',#552554); #169015=VERTEX_POINT('',#552556); #169016=VERTEX_POINT('',#552560); #169017=VERTEX_POINT('',#552562); #169018=VERTEX_POINT('',#552566); #169019=VERTEX_POINT('',#552568); #169020=VERTEX_POINT('',#552572); #169021=VERTEX_POINT('',#552574); #169022=VERTEX_POINT('',#552578); #169023=VERTEX_POINT('',#552579); #169024=VERTEX_POINT('',#552581); #169025=VERTEX_POINT('',#552583); #169026=VERTEX_POINT('',#552587); #169027=VERTEX_POINT('',#552589); #169028=VERTEX_POINT('',#552593); #169029=VERTEX_POINT('',#552595); #169030=VERTEX_POINT('',#552602); #169031=VERTEX_POINT('',#552603); #169032=VERTEX_POINT('',#552605); #169033=VERTEX_POINT('',#552607); #169034=VERTEX_POINT('',#552611); #169035=VERTEX_POINT('',#552613); #169036=VERTEX_POINT('',#552617); #169037=VERTEX_POINT('',#552619); #169038=VERTEX_POINT('',#552626); #169039=VERTEX_POINT('',#552628); #169040=VERTEX_POINT('',#552632); #169041=VERTEX_POINT('',#552634); #169042=VERTEX_POINT('',#552638); #169043=VERTEX_POINT('',#552639); #169044=VERTEX_POINT('',#552641); #169045=VERTEX_POINT('',#552643); #169046=VERTEX_POINT('',#552647); #169047=VERTEX_POINT('',#552649); #169048=VERTEX_POINT('',#552653); #169049=VERTEX_POINT('',#552655); #169050=VERTEX_POINT('',#552662); #169051=VERTEX_POINT('',#552664); #169052=VERTEX_POINT('',#552668); #169053=VERTEX_POINT('',#552670); #169054=VERTEX_POINT('',#552674); #169055=VERTEX_POINT('',#552676); #169056=VERTEX_POINT('',#552680); #169057=VERTEX_POINT('',#552682); #169058=VERTEX_POINT('',#552686); #169059=VERTEX_POINT('',#552688); #169060=VERTEX_POINT('',#552692); #169061=VERTEX_POINT('',#552693); #169062=VERTEX_POINT('',#552695); #169063=VERTEX_POINT('',#552697); #169064=VERTEX_POINT('',#552701); #169065=VERTEX_POINT('',#552703); #169066=VERTEX_POINT('',#552707); #169067=VERTEX_POINT('',#552709); #169068=VERTEX_POINT('',#552716); #169069=VERTEX_POINT('',#552718); #169070=VERTEX_POINT('',#552722); #169071=VERTEX_POINT('',#552723); #169072=VERTEX_POINT('',#552725); #169073=VERTEX_POINT('',#552727); #169074=VERTEX_POINT('',#552731); #169075=VERTEX_POINT('',#552733); #169076=VERTEX_POINT('',#552737); #169077=VERTEX_POINT('',#552739); #169078=VERTEX_POINT('',#552746); #169079=VERTEX_POINT('',#552747); #169080=VERTEX_POINT('',#552749); #169081=VERTEX_POINT('',#552751); #169082=VERTEX_POINT('',#552755); #169083=VERTEX_POINT('',#552757); #169084=VERTEX_POINT('',#552761); #169085=VERTEX_POINT('',#552763); #169086=VERTEX_POINT('',#552770); #169087=VERTEX_POINT('',#552772); #169088=VERTEX_POINT('',#552776); #169089=VERTEX_POINT('',#552778); #169090=VERTEX_POINT('',#552782); #169091=VERTEX_POINT('',#552784); #169092=VERTEX_POINT('',#552788); #169093=VERTEX_POINT('',#552790); #169094=VERTEX_POINT('',#552794); #169095=VERTEX_POINT('',#552796); #169096=VERTEX_POINT('',#552800); #169097=VERTEX_POINT('',#552802); #169098=VERTEX_POINT('',#552806); #169099=VERTEX_POINT('',#552808); #169100=VERTEX_POINT('',#552812); #169101=VERTEX_POINT('',#552814); #169102=VERTEX_POINT('',#552818); #169103=VERTEX_POINT('',#552820); #169104=VERTEX_POINT('',#552824); #169105=VERTEX_POINT('',#552826); #169106=VERTEX_POINT('',#552830); #169107=VERTEX_POINT('',#552832); #169108=VERTEX_POINT('',#552836); #169109=VERTEX_POINT('',#552838); #169110=VERTEX_POINT('',#552842); #169111=VERTEX_POINT('',#552844); #169112=VERTEX_POINT('',#552848); #169113=VERTEX_POINT('',#552850); #169114=VERTEX_POINT('',#552854); #169115=VERTEX_POINT('',#552856); #169116=VERTEX_POINT('',#552860); #169117=VERTEX_POINT('',#552862); #169118=VERTEX_POINT('',#552866); #169119=VERTEX_POINT('',#552868); #169120=VERTEX_POINT('',#552872); #169121=VERTEX_POINT('',#552874); #169122=VERTEX_POINT('',#552878); #169123=VERTEX_POINT('',#552880); #169124=VERTEX_POINT('',#552884); #169125=VERTEX_POINT('',#552886); #169126=VERTEX_POINT('',#552890); #169127=VERTEX_POINT('',#552892); #169128=VERTEX_POINT('',#552896); #169129=VERTEX_POINT('',#552898); #169130=VERTEX_POINT('',#552902); #169131=VERTEX_POINT('',#552904); #169132=VERTEX_POINT('',#552908); #169133=VERTEX_POINT('',#552910); #169134=VERTEX_POINT('',#552914); #169135=VERTEX_POINT('',#552916); #169136=VERTEX_POINT('',#552920); #169137=VERTEX_POINT('',#552922); #169138=VERTEX_POINT('',#552926); #169139=VERTEX_POINT('',#552928); #169140=VERTEX_POINT('',#552932); #169141=VERTEX_POINT('',#552934); #169142=VERTEX_POINT('',#552938); #169143=VERTEX_POINT('',#552940); #169144=VERTEX_POINT('',#552944); #169145=VERTEX_POINT('',#552946); #169146=VERTEX_POINT('',#552950); #169147=VERTEX_POINT('',#552952); #169148=VERTEX_POINT('',#552956); #169149=VERTEX_POINT('',#552958); #169150=VERTEX_POINT('',#552962); #169151=VERTEX_POINT('',#552964); #169152=VERTEX_POINT('',#552968); #169153=VERTEX_POINT('',#552970); #169154=VERTEX_POINT('',#552974); #169155=VERTEX_POINT('',#552976); #169156=VERTEX_POINT('',#552980); #169157=VERTEX_POINT('',#552982); #169158=VERTEX_POINT('',#552986); #169159=VERTEX_POINT('',#552988); #169160=VERTEX_POINT('',#552992); #169161=VERTEX_POINT('',#552994); #169162=VERTEX_POINT('',#552998); #169163=VERTEX_POINT('',#553000); #169164=VERTEX_POINT('',#553004); #169165=VERTEX_POINT('',#553006); #169166=VERTEX_POINT('',#553010); #169167=VERTEX_POINT('',#553012); #169168=VERTEX_POINT('',#553016); #169169=VERTEX_POINT('',#553018); #169170=VERTEX_POINT('',#553022); #169171=VERTEX_POINT('',#553024); #169172=VERTEX_POINT('',#553028); #169173=VERTEX_POINT('',#553030); #169174=VERTEX_POINT('',#553034); #169175=VERTEX_POINT('',#553036); #169176=VERTEX_POINT('',#553040); #169177=VERTEX_POINT('',#553042); #169178=VERTEX_POINT('',#553046); #169179=VERTEX_POINT('',#553048); #169180=VERTEX_POINT('',#553052); #169181=VERTEX_POINT('',#553054); #169182=VERTEX_POINT('',#553058); #169183=VERTEX_POINT('',#553060); #169184=VERTEX_POINT('',#553064); #169185=VERTEX_POINT('',#553066); #169186=VERTEX_POINT('',#553070); #169187=VERTEX_POINT('',#553072); #169188=VERTEX_POINT('',#553076); #169189=VERTEX_POINT('',#553078); #169190=VERTEX_POINT('',#553082); #169191=VERTEX_POINT('',#553083); #169192=VERTEX_POINT('',#553085); #169193=VERTEX_POINT('',#553087); #169194=VERTEX_POINT('',#553091); #169195=VERTEX_POINT('',#553093); #169196=VERTEX_POINT('',#553097); #169197=VERTEX_POINT('',#553099); #169198=VERTEX_POINT('',#553103); #169199=VERTEX_POINT('',#553105); #169200=VERTEX_POINT('',#553109); #169201=VERTEX_POINT('',#553111); #169202=VERTEX_POINT('',#553115); #169203=VERTEX_POINT('',#553117); #169204=VERTEX_POINT('',#553121); #169205=VERTEX_POINT('',#553123); #169206=VERTEX_POINT('',#553127); #169207=VERTEX_POINT('',#553129); #169208=VERTEX_POINT('',#553133); #169209=VERTEX_POINT('',#553135); #169210=VERTEX_POINT('',#553139); #169211=VERTEX_POINT('',#553141); #169212=VERTEX_POINT('',#553145); #169213=VERTEX_POINT('',#553147); #169214=VERTEX_POINT('',#553151); #169215=VERTEX_POINT('',#553153); #169216=VERTEX_POINT('',#553157); #169217=VERTEX_POINT('',#553159); #169218=VERTEX_POINT('',#553163); #169219=VERTEX_POINT('',#553165); #169220=VERTEX_POINT('',#553169); #169221=VERTEX_POINT('',#553171); #169222=VERTEX_POINT('',#553175); #169223=VERTEX_POINT('',#553177); #169224=VERTEX_POINT('',#553181); #169225=VERTEX_POINT('',#553183); #169226=VERTEX_POINT('',#553187); #169227=VERTEX_POINT('',#553189); #169228=VERTEX_POINT('',#553193); #169229=VERTEX_POINT('',#553195); #169230=VERTEX_POINT('',#553199); #169231=VERTEX_POINT('',#553201); #169232=VERTEX_POINT('',#553205); #169233=VERTEX_POINT('',#553207); #169234=VERTEX_POINT('',#553211); #169235=VERTEX_POINT('',#553213); #169236=VERTEX_POINT('',#553217); #169237=VERTEX_POINT('',#553219); #169238=VERTEX_POINT('',#553223); #169239=VERTEX_POINT('',#553225); #169240=VERTEX_POINT('',#553229); #169241=VERTEX_POINT('',#553231); #169242=VERTEX_POINT('',#553235); #169243=VERTEX_POINT('',#553237); #169244=VERTEX_POINT('',#553241); #169245=VERTEX_POINT('',#553243); #169246=VERTEX_POINT('',#553247); #169247=VERTEX_POINT('',#553249); #169248=VERTEX_POINT('',#553253); #169249=VERTEX_POINT('',#553255); #169250=VERTEX_POINT('',#553259); #169251=VERTEX_POINT('',#553261); #169252=VERTEX_POINT('',#553265); #169253=VERTEX_POINT('',#553267); #169254=VERTEX_POINT('',#553271); #169255=VERTEX_POINT('',#553273); #169256=VERTEX_POINT('',#553277); #169257=VERTEX_POINT('',#553279); #169258=VERTEX_POINT('',#553283); #169259=VERTEX_POINT('',#553285); #169260=VERTEX_POINT('',#553289); #169261=VERTEX_POINT('',#553291); #169262=VERTEX_POINT('',#553295); #169263=VERTEX_POINT('',#553297); #169264=VERTEX_POINT('',#553301); #169265=VERTEX_POINT('',#553303); #169266=VERTEX_POINT('',#553307); #169267=VERTEX_POINT('',#553309); #169268=VERTEX_POINT('',#553313); #169269=VERTEX_POINT('',#553315); #169270=VERTEX_POINT('',#553319); #169271=VERTEX_POINT('',#553321); #169272=VERTEX_POINT('',#553325); #169273=VERTEX_POINT('',#553327); #169274=VERTEX_POINT('',#553331); #169275=VERTEX_POINT('',#553333); #169276=VERTEX_POINT('',#553337); #169277=VERTEX_POINT('',#553339); #169278=VERTEX_POINT('',#553343); #169279=VERTEX_POINT('',#553345); #169280=VERTEX_POINT('',#553349); #169281=VERTEX_POINT('',#553351); #169282=VERTEX_POINT('',#553355); #169283=VERTEX_POINT('',#553357); #169284=VERTEX_POINT('',#553361); #169285=VERTEX_POINT('',#553363); #169286=VERTEX_POINT('',#553367); #169287=VERTEX_POINT('',#553369); #169288=VERTEX_POINT('',#553373); #169289=VERTEX_POINT('',#553375); #169290=VERTEX_POINT('',#553379); #169291=VERTEX_POINT('',#553381); #169292=VERTEX_POINT('',#553385); #169293=VERTEX_POINT('',#553387); #169294=VERTEX_POINT('',#553391); #169295=VERTEX_POINT('',#553393); #169296=VERTEX_POINT('',#553397); #169297=VERTEX_POINT('',#553399); #169298=VERTEX_POINT('',#553403); #169299=VERTEX_POINT('',#553405); #169300=VERTEX_POINT('',#553409); #169301=VERTEX_POINT('',#553411); #169302=VERTEX_POINT('',#553415); #169303=VERTEX_POINT('',#553417); #169304=VERTEX_POINT('',#553421); #169305=VERTEX_POINT('',#553423); #169306=VERTEX_POINT('',#553427); #169307=VERTEX_POINT('',#553429); #169308=VERTEX_POINT('',#553433); #169309=VERTEX_POINT('',#553435); #169310=VERTEX_POINT('',#553439); #169311=VERTEX_POINT('',#553441); #169312=VERTEX_POINT('',#553445); #169313=VERTEX_POINT('',#553447); #169314=VERTEX_POINT('',#553451); #169315=VERTEX_POINT('',#553453); #169316=VERTEX_POINT('',#553457); #169317=VERTEX_POINT('',#553459); #169318=VERTEX_POINT('',#553463); #169319=VERTEX_POINT('',#553465); #169320=VERTEX_POINT('',#553469); #169321=VERTEX_POINT('',#553471); #169322=VERTEX_POINT('',#553475); #169323=VERTEX_POINT('',#553477); #169324=VERTEX_POINT('',#553481); #169325=VERTEX_POINT('',#553483); #169326=VERTEX_POINT('',#553487); #169327=VERTEX_POINT('',#553489); #169328=VERTEX_POINT('',#553493); #169329=VERTEX_POINT('',#553495); #169330=VERTEX_POINT('',#553499); #169331=VERTEX_POINT('',#553501); #169332=VERTEX_POINT('',#553505); #169333=VERTEX_POINT('',#553507); #169334=VERTEX_POINT('',#553511); #169335=VERTEX_POINT('',#553513); #169336=VERTEX_POINT('',#553517); #169337=VERTEX_POINT('',#553519); #169338=VERTEX_POINT('',#553523); #169339=VERTEX_POINT('',#553525); #169340=VERTEX_POINT('',#553529); #169341=VERTEX_POINT('',#553531); #169342=VERTEX_POINT('',#553535); #169343=VERTEX_POINT('',#553537); #169344=VERTEX_POINT('',#553541); #169345=VERTEX_POINT('',#553543); #169346=VERTEX_POINT('',#553547); #169347=VERTEX_POINT('',#553549); #169348=VERTEX_POINT('',#553553); #169349=VERTEX_POINT('',#553555); #169350=VERTEX_POINT('',#553559); #169351=VERTEX_POINT('',#553561); #169352=VERTEX_POINT('',#553565); #169353=VERTEX_POINT('',#553567); #169354=VERTEX_POINT('',#553571); #169355=VERTEX_POINT('',#553573); #169356=VERTEX_POINT('',#553577); #169357=VERTEX_POINT('',#553579); #169358=VERTEX_POINT('',#553583); #169359=VERTEX_POINT('',#553585); #169360=VERTEX_POINT('',#553589); #169361=VERTEX_POINT('',#553591); #169362=VERTEX_POINT('',#553595); #169363=VERTEX_POINT('',#553597); #169364=VERTEX_POINT('',#553601); #169365=VERTEX_POINT('',#553603); #169366=VERTEX_POINT('',#553607); #169367=VERTEX_POINT('',#553609); #169368=VERTEX_POINT('',#553613); #169369=VERTEX_POINT('',#553615); #169370=VERTEX_POINT('',#553619); #169371=VERTEX_POINT('',#553621); #169372=VERTEX_POINT('',#553625); #169373=VERTEX_POINT('',#553627); #169374=VERTEX_POINT('',#553631); #169375=VERTEX_POINT('',#553633); #169376=VERTEX_POINT('',#553637); #169377=VERTEX_POINT('',#553639); #169378=VERTEX_POINT('',#553643); #169379=VERTEX_POINT('',#553645); #169380=VERTEX_POINT('',#553649); #169381=VERTEX_POINT('',#553651); #169382=VERTEX_POINT('',#553655); #169383=VERTEX_POINT('',#553657); #169384=VERTEX_POINT('',#553661); #169385=VERTEX_POINT('',#553663); #169386=VERTEX_POINT('',#553667); #169387=VERTEX_POINT('',#553669); #169388=VERTEX_POINT('',#553673); #169389=VERTEX_POINT('',#553675); #169390=VERTEX_POINT('',#553679); #169391=VERTEX_POINT('',#553681); #169392=VERTEX_POINT('',#553685); #169393=VERTEX_POINT('',#553687); #169394=VERTEX_POINT('',#553691); #169395=VERTEX_POINT('',#553693); #169396=VERTEX_POINT('',#553697); #169397=VERTEX_POINT('',#553699); #169398=VERTEX_POINT('',#553703); #169399=VERTEX_POINT('',#553705); #169400=VERTEX_POINT('',#553709); #169401=VERTEX_POINT('',#553711); #169402=VERTEX_POINT('',#553715); #169403=VERTEX_POINT('',#553717); #169404=VERTEX_POINT('',#553721); #169405=VERTEX_POINT('',#553723); #169406=VERTEX_POINT('',#553727); #169407=VERTEX_POINT('',#553729); #169408=VERTEX_POINT('',#553733); #169409=VERTEX_POINT('',#553735); #169410=VERTEX_POINT('',#553739); #169411=VERTEX_POINT('',#553741); #169412=VERTEX_POINT('',#553745); #169413=VERTEX_POINT('',#553747); #169414=VERTEX_POINT('',#553751); #169415=VERTEX_POINT('',#553753); #169416=VERTEX_POINT('',#553757); #169417=VERTEX_POINT('',#553759); #169418=VERTEX_POINT('',#553763); #169419=VERTEX_POINT('',#553765); #169420=VERTEX_POINT('',#553769); #169421=VERTEX_POINT('',#553771); #169422=VERTEX_POINT('',#553775); #169423=VERTEX_POINT('',#553777); #169424=VERTEX_POINT('',#553781); #169425=VERTEX_POINT('',#553783); #169426=VERTEX_POINT('',#553787); #169427=VERTEX_POINT('',#553789); #169428=VERTEX_POINT('',#553793); #169429=VERTEX_POINT('',#553795); #169430=VERTEX_POINT('',#553799); #169431=VERTEX_POINT('',#553801); #169432=VERTEX_POINT('',#553805); #169433=VERTEX_POINT('',#553807); #169434=VERTEX_POINT('',#553811); #169435=VERTEX_POINT('',#553813); #169436=VERTEX_POINT('',#553817); #169437=VERTEX_POINT('',#553819); #169438=VERTEX_POINT('',#553823); #169439=VERTEX_POINT('',#553825); #169440=VERTEX_POINT('',#553829); #169441=VERTEX_POINT('',#553831); #169442=VERTEX_POINT('',#553835); #169443=VERTEX_POINT('',#553837); #169444=VERTEX_POINT('',#553841); #169445=VERTEX_POINT('',#553843); #169446=VERTEX_POINT('',#553847); #169447=VERTEX_POINT('',#553849); #169448=VERTEX_POINT('',#553853); #169449=VERTEX_POINT('',#553855); #169450=VERTEX_POINT('',#553859); #169451=VERTEX_POINT('',#553861); #169452=VERTEX_POINT('',#553865); #169453=VERTEX_POINT('',#553867); #169454=VERTEX_POINT('',#553874); #169455=VERTEX_POINT('',#553875); #169456=VERTEX_POINT('',#553877); #169457=VERTEX_POINT('',#553879); #169458=VERTEX_POINT('',#553883); #169459=VERTEX_POINT('',#553885); #169460=VERTEX_POINT('',#553889); #169461=VERTEX_POINT('',#553891); #169462=VERTEX_POINT('',#553895); #169463=VERTEX_POINT('',#553897); #169464=VERTEX_POINT('',#553901); #169465=VERTEX_POINT('',#553903); #169466=VERTEX_POINT('',#553907); #169467=VERTEX_POINT('',#553909); #169468=VERTEX_POINT('',#553913); #169469=VERTEX_POINT('',#553915); #169470=VERTEX_POINT('',#553922); #169471=VERTEX_POINT('',#553923); #169472=VERTEX_POINT('',#553925); #169473=VERTEX_POINT('',#553927); #169474=VERTEX_POINT('',#553931); #169475=VERTEX_POINT('',#553933); #169476=VERTEX_POINT('',#553937); #169477=VERTEX_POINT('',#553939); #169478=VERTEX_POINT('',#553943); #169479=VERTEX_POINT('',#553945); #169480=VERTEX_POINT('',#553949); #169481=VERTEX_POINT('',#553951); #169482=VERTEX_POINT('',#553955); #169483=VERTEX_POINT('',#553957); #169484=VERTEX_POINT('',#553961); #169485=VERTEX_POINT('',#553963); #169486=VERTEX_POINT('',#553970); #169487=VERTEX_POINT('',#553971); #169488=VERTEX_POINT('',#553973); #169489=VERTEX_POINT('',#553975); #169490=VERTEX_POINT('',#553979); #169491=VERTEX_POINT('',#553981); #169492=VERTEX_POINT('',#553985); #169493=VERTEX_POINT('',#553987); #169494=VERTEX_POINT('',#553991); #169495=VERTEX_POINT('',#553993); #169496=VERTEX_POINT('',#553997); #169497=VERTEX_POINT('',#553999); #169498=VERTEX_POINT('',#554003); #169499=VERTEX_POINT('',#554005); #169500=VERTEX_POINT('',#554009); #169501=VERTEX_POINT('',#554011); #169502=VERTEX_POINT('',#554018); #169503=VERTEX_POINT('',#554019); #169504=VERTEX_POINT('',#554021); #169505=VERTEX_POINT('',#554023); #169506=VERTEX_POINT('',#554027); #169507=VERTEX_POINT('',#554029); #169508=VERTEX_POINT('',#554033); #169509=VERTEX_POINT('',#554035); #169510=VERTEX_POINT('',#554039); #169511=VERTEX_POINT('',#554041); #169512=VERTEX_POINT('',#554045); #169513=VERTEX_POINT('',#554047); #169514=VERTEX_POINT('',#554051); #169515=VERTEX_POINT('',#554053); #169516=VERTEX_POINT('',#554057); #169517=VERTEX_POINT('',#554059); #169518=VERTEX_POINT('',#554063); #169519=VERTEX_POINT('',#554065); #169520=VERTEX_POINT('',#554069); #169521=VERTEX_POINT('',#554071); #169522=VERTEX_POINT('',#554075); #169523=VERTEX_POINT('',#554077); #169524=VERTEX_POINT('',#554081); #169525=VERTEX_POINT('',#554083); #169526=VERTEX_POINT('',#554087); #169527=VERTEX_POINT('',#554089); #169528=VERTEX_POINT('',#554093); #169529=VERTEX_POINT('',#554095); #169530=VERTEX_POINT('',#554099); #169531=VERTEX_POINT('',#554101); #169532=VERTEX_POINT('',#554105); #169533=VERTEX_POINT('',#554107); #169534=VERTEX_POINT('',#554111); #169535=VERTEX_POINT('',#554113); #169536=VERTEX_POINT('',#554117); #169537=VERTEX_POINT('',#554119); #169538=VERTEX_POINT('',#554123); #169539=VERTEX_POINT('',#554125); #169540=VERTEX_POINT('',#554129); #169541=VERTEX_POINT('',#554131); #169542=VERTEX_POINT('',#554135); #169543=VERTEX_POINT('',#554137); #169544=VERTEX_POINT('',#554141); #169545=VERTEX_POINT('',#554143); #169546=VERTEX_POINT('',#554147); #169547=VERTEX_POINT('',#554149); #169548=VERTEX_POINT('',#554153); #169549=VERTEX_POINT('',#554155); #169550=VERTEX_POINT('',#554159); #169551=VERTEX_POINT('',#554161); #169552=VERTEX_POINT('',#554165); #169553=VERTEX_POINT('',#554167); #169554=VERTEX_POINT('',#554171); #169555=VERTEX_POINT('',#554173); #169556=VERTEX_POINT('',#554177); #169557=VERTEX_POINT('',#554179); #169558=VERTEX_POINT('',#554183); #169559=VERTEX_POINT('',#554185); #169560=VERTEX_POINT('',#554189); #169561=VERTEX_POINT('',#554191); #169562=VERTEX_POINT('',#554195); #169563=VERTEX_POINT('',#554197); #169564=VERTEX_POINT('',#554201); #169565=VERTEX_POINT('',#554203); #169566=VERTEX_POINT('',#554207); #169567=VERTEX_POINT('',#554209); #169568=VERTEX_POINT('',#554213); #169569=VERTEX_POINT('',#554215); #169570=VERTEX_POINT('',#554222); #169571=VERTEX_POINT('',#554223); #169572=VERTEX_POINT('',#554225); #169573=VERTEX_POINT('',#554227); #169574=VERTEX_POINT('',#554231); #169575=VERTEX_POINT('',#554233); #169576=VERTEX_POINT('',#554237); #169577=VERTEX_POINT('',#554239); #169578=VERTEX_POINT('',#554243); #169579=VERTEX_POINT('',#554245); #169580=VERTEX_POINT('',#554249); #169581=VERTEX_POINT('',#554251); #169582=VERTEX_POINT('',#554255); #169583=VERTEX_POINT('',#554257); #169584=VERTEX_POINT('',#554261); #169585=VERTEX_POINT('',#554263); #169586=VERTEX_POINT('',#554267); #169587=VERTEX_POINT('',#554269); #169588=VERTEX_POINT('',#554273); #169589=VERTEX_POINT('',#554275); #169590=VERTEX_POINT('',#554279); #169591=VERTEX_POINT('',#554281); #169592=VERTEX_POINT('',#554285); #169593=VERTEX_POINT('',#554287); #169594=VERTEX_POINT('',#554291); #169595=VERTEX_POINT('',#554293); #169596=VERTEX_POINT('',#554297); #169597=VERTEX_POINT('',#554299); #169598=VERTEX_POINT('',#554303); #169599=VERTEX_POINT('',#554305); #169600=VERTEX_POINT('',#554309); #169601=VERTEX_POINT('',#554311); #169602=VERTEX_POINT('',#554315); #169603=VERTEX_POINT('',#554317); #169604=VERTEX_POINT('',#554321); #169605=VERTEX_POINT('',#554323); #169606=VERTEX_POINT('',#554327); #169607=VERTEX_POINT('',#554329); #169608=VERTEX_POINT('',#554333); #169609=VERTEX_POINT('',#554335); #169610=VERTEX_POINT('',#554339); #169611=VERTEX_POINT('',#554341); #169612=VERTEX_POINT('',#554345); #169613=VERTEX_POINT('',#554347); #169614=VERTEX_POINT('',#554351); #169615=VERTEX_POINT('',#554353); #169616=VERTEX_POINT('',#554357); #169617=VERTEX_POINT('',#554359); #169618=VERTEX_POINT('',#554363); #169619=VERTEX_POINT('',#554365); #169620=VERTEX_POINT('',#554369); #169621=VERTEX_POINT('',#554371); #169622=VERTEX_POINT('',#554375); #169623=VERTEX_POINT('',#554377); #169624=VERTEX_POINT('',#554381); #169625=VERTEX_POINT('',#554383); #169626=VERTEX_POINT('',#554387); #169627=VERTEX_POINT('',#554389); #169628=VERTEX_POINT('',#554393); #169629=VERTEX_POINT('',#554395); #169630=VERTEX_POINT('',#554399); #169631=VERTEX_POINT('',#554401); #169632=VERTEX_POINT('',#554405); #169633=VERTEX_POINT('',#554407); #169634=VERTEX_POINT('',#554411); #169635=VERTEX_POINT('',#554413); #169636=VERTEX_POINT('',#554417); #169637=VERTEX_POINT('',#554419); #169638=VERTEX_POINT('',#554426); #169639=VERTEX_POINT('',#554427); #169640=VERTEX_POINT('',#554429); #169641=VERTEX_POINT('',#554431); #169642=VERTEX_POINT('',#554435); #169643=VERTEX_POINT('',#554437); #169644=VERTEX_POINT('',#554441); #169645=VERTEX_POINT('',#554443); #169646=VERTEX_POINT('',#554447); #169647=VERTEX_POINT('',#554449); #169648=VERTEX_POINT('',#554453); #169649=VERTEX_POINT('',#554455); #169650=VERTEX_POINT('',#554459); #169651=VERTEX_POINT('',#554461); #169652=VERTEX_POINT('',#554465); #169653=VERTEX_POINT('',#554467); #169654=VERTEX_POINT('',#554471); #169655=VERTEX_POINT('',#554473); #169656=VERTEX_POINT('',#554477); #169657=VERTEX_POINT('',#554479); #169658=VERTEX_POINT('',#554483); #169659=VERTEX_POINT('',#554485); #169660=VERTEX_POINT('',#554489); #169661=VERTEX_POINT('',#554491); #169662=VERTEX_POINT('',#554495); #169663=VERTEX_POINT('',#554497); #169664=VERTEX_POINT('',#554501); #169665=VERTEX_POINT('',#554503); #169666=VERTEX_POINT('',#554507); #169667=VERTEX_POINT('',#554509); #169668=VERTEX_POINT('',#554513); #169669=VERTEX_POINT('',#554515); #169670=VERTEX_POINT('',#554519); #169671=VERTEX_POINT('',#554521); #169672=VERTEX_POINT('',#554525); #169673=VERTEX_POINT('',#554527); #169674=VERTEX_POINT('',#554531); #169675=VERTEX_POINT('',#554533); #169676=VERTEX_POINT('',#554537); #169677=VERTEX_POINT('',#554539); #169678=VERTEX_POINT('',#554543); #169679=VERTEX_POINT('',#554545); #169680=VERTEX_POINT('',#554549); #169681=VERTEX_POINT('',#554551); #169682=VERTEX_POINT('',#554555); #169683=VERTEX_POINT('',#554557); #169684=VERTEX_POINT('',#554561); #169685=VERTEX_POINT('',#554563); #169686=VERTEX_POINT('',#554567); #169687=VERTEX_POINT('',#554569); #169688=VERTEX_POINT('',#554573); #169689=VERTEX_POINT('',#554575); #169690=VERTEX_POINT('',#554579); #169691=VERTEX_POINT('',#554581); #169692=VERTEX_POINT('',#554585); #169693=VERTEX_POINT('',#554587); #169694=VERTEX_POINT('',#554591); #169695=VERTEX_POINT('',#554593); #169696=VERTEX_POINT('',#554597); #169697=VERTEX_POINT('',#554599); #169698=VERTEX_POINT('',#554603); #169699=VERTEX_POINT('',#554605); #169700=VERTEX_POINT('',#554609); #169701=VERTEX_POINT('',#554611); #169702=VERTEX_POINT('',#554615); #169703=VERTEX_POINT('',#554617); #169704=VERTEX_POINT('',#554621); #169705=VERTEX_POINT('',#554623); #169706=VERTEX_POINT('',#554627); #169707=VERTEX_POINT('',#554629); #169708=VERTEX_POINT('',#554633); #169709=VERTEX_POINT('',#554635); #169710=VERTEX_POINT('',#554639); #169711=VERTEX_POINT('',#554641); #169712=VERTEX_POINT('',#554645); #169713=VERTEX_POINT('',#554647); #169714=VERTEX_POINT('',#554651); #169715=VERTEX_POINT('',#554653); #169716=VERTEX_POINT('',#554657); #169717=VERTEX_POINT('',#554659); #169718=VERTEX_POINT('',#554666); #169719=VERTEX_POINT('',#554667); #169720=VERTEX_POINT('',#554669); #169721=VERTEX_POINT('',#554671); #169722=VERTEX_POINT('',#554675); #169723=VERTEX_POINT('',#554677); #169724=VERTEX_POINT('',#554681); #169725=VERTEX_POINT('',#554683); #169726=VERTEX_POINT('',#554687); #169727=VERTEX_POINT('',#554689); #169728=VERTEX_POINT('',#554693); #169729=VERTEX_POINT('',#554695); #169730=VERTEX_POINT('',#554699); #169731=VERTEX_POINT('',#554701); #169732=VERTEX_POINT('',#554705); #169733=VERTEX_POINT('',#554707); #169734=VERTEX_POINT('',#554711); #169735=VERTEX_POINT('',#554713); #169736=VERTEX_POINT('',#554717); #169737=VERTEX_POINT('',#554719); #169738=VERTEX_POINT('',#554723); #169739=VERTEX_POINT('',#554725); #169740=VERTEX_POINT('',#554729); #169741=VERTEX_POINT('',#554731); #169742=VERTEX_POINT('',#554735); #169743=VERTEX_POINT('',#554737); #169744=VERTEX_POINT('',#554741); #169745=VERTEX_POINT('',#554743); #169746=VERTEX_POINT('',#554747); #169747=VERTEX_POINT('',#554749); #169748=VERTEX_POINT('',#554753); #169749=VERTEX_POINT('',#554755); #169750=VERTEX_POINT('',#554759); #169751=VERTEX_POINT('',#554761); #169752=VERTEX_POINT('',#554765); #169753=VERTEX_POINT('',#554767); #169754=VERTEX_POINT('',#554771); #169755=VERTEX_POINT('',#554773); #169756=VERTEX_POINT('',#554777); #169757=VERTEX_POINT('',#554779); #169758=VERTEX_POINT('',#554783); #169759=VERTEX_POINT('',#554785); #169760=VERTEX_POINT('',#554789); #169761=VERTEX_POINT('',#554791); #169762=VERTEX_POINT('',#554795); #169763=VERTEX_POINT('',#554797); #169764=VERTEX_POINT('',#554801); #169765=VERTEX_POINT('',#554803); #169766=VERTEX_POINT('',#554807); #169767=VERTEX_POINT('',#554809); #169768=VERTEX_POINT('',#554813); #169769=VERTEX_POINT('',#554815); #169770=VERTEX_POINT('',#554819); #169771=VERTEX_POINT('',#554821); #169772=VERTEX_POINT('',#554825); #169773=VERTEX_POINT('',#554827); #169774=VERTEX_POINT('',#554831); #169775=VERTEX_POINT('',#554833); #169776=VERTEX_POINT('',#554837); #169777=VERTEX_POINT('',#554839); #169778=VERTEX_POINT('',#554843); #169779=VERTEX_POINT('',#554845); #169780=VERTEX_POINT('',#554849); #169781=VERTEX_POINT('',#554851); #169782=VERTEX_POINT('',#554855); #169783=VERTEX_POINT('',#554857); #169784=VERTEX_POINT('',#554861); #169785=VERTEX_POINT('',#554863); #169786=VERTEX_POINT('',#554867); #169787=VERTEX_POINT('',#554869); #169788=VERTEX_POINT('',#554873); #169789=VERTEX_POINT('',#554875); #169790=VERTEX_POINT('',#554879); #169791=VERTEX_POINT('',#554881); #169792=VERTEX_POINT('',#554885); #169793=VERTEX_POINT('',#554887); #169794=VERTEX_POINT('',#554894); #169795=VERTEX_POINT('',#554895); #169796=VERTEX_POINT('',#554897); #169797=VERTEX_POINT('',#554899); #169798=VERTEX_POINT('',#554903); #169799=VERTEX_POINT('',#554905); #169800=VERTEX_POINT('',#554909); #169801=VERTEX_POINT('',#554911); #169802=VERTEX_POINT('',#554915); #169803=VERTEX_POINT('',#554917); #169804=VERTEX_POINT('',#554921); #169805=VERTEX_POINT('',#554923); #169806=VERTEX_POINT('',#554927); #169807=VERTEX_POINT('',#554929); #169808=VERTEX_POINT('',#554933); #169809=VERTEX_POINT('',#554935); #169810=VERTEX_POINT('',#554939); #169811=VERTEX_POINT('',#554941); #169812=VERTEX_POINT('',#554945); #169813=VERTEX_POINT('',#554947); #169814=VERTEX_POINT('',#554951); #169815=VERTEX_POINT('',#554953); #169816=VERTEX_POINT('',#554957); #169817=VERTEX_POINT('',#554959); #169818=VERTEX_POINT('',#554963); #169819=VERTEX_POINT('',#554965); #169820=VERTEX_POINT('',#554969); #169821=VERTEX_POINT('',#554971); #169822=VERTEX_POINT('',#554975); #169823=VERTEX_POINT('',#554977); #169824=VERTEX_POINT('',#554981); #169825=VERTEX_POINT('',#554983); #169826=VERTEX_POINT('',#554987); #169827=VERTEX_POINT('',#554989); #169828=VERTEX_POINT('',#554993); #169829=VERTEX_POINT('',#554995); #169830=VERTEX_POINT('',#554999); #169831=VERTEX_POINT('',#555001); #169832=VERTEX_POINT('',#555005); #169833=VERTEX_POINT('',#555007); #169834=VERTEX_POINT('',#555011); #169835=VERTEX_POINT('',#555013); #169836=VERTEX_POINT('',#555017); #169837=VERTEX_POINT('',#555019); #169838=VERTEX_POINT('',#555023); #169839=VERTEX_POINT('',#555025); #169840=VERTEX_POINT('',#555029); #169841=VERTEX_POINT('',#555031); #169842=VERTEX_POINT('',#555038); #169843=VERTEX_POINT('',#555039); #169844=VERTEX_POINT('',#555041); #169845=VERTEX_POINT('',#555043); #169846=VERTEX_POINT('',#555047); #169847=VERTEX_POINT('',#555049); #169848=VERTEX_POINT('',#555053); #169849=VERTEX_POINT('',#555055); #169850=VERTEX_POINT('',#555059); #169851=VERTEX_POINT('',#555061); #169852=VERTEX_POINT('',#555065); #169853=VERTEX_POINT('',#555067); #169854=VERTEX_POINT('',#555071); #169855=VERTEX_POINT('',#555073); #169856=VERTEX_POINT('',#555077); #169857=VERTEX_POINT('',#555079); #169858=VERTEX_POINT('',#555083); #169859=VERTEX_POINT('',#555085); #169860=VERTEX_POINT('',#555089); #169861=VERTEX_POINT('',#555091); #169862=VERTEX_POINT('',#555095); #169863=VERTEX_POINT('',#555097); #169864=VERTEX_POINT('',#555101); #169865=VERTEX_POINT('',#555103); #169866=VERTEX_POINT('',#555107); #169867=VERTEX_POINT('',#555109); #169868=VERTEX_POINT('',#555113); #169869=VERTEX_POINT('',#555115); #169870=VERTEX_POINT('',#555119); #169871=VERTEX_POINT('',#555121); #169872=VERTEX_POINT('',#555125); #169873=VERTEX_POINT('',#555127); #169874=VERTEX_POINT('',#555131); #169875=VERTEX_POINT('',#555133); #169876=VERTEX_POINT('',#555137); #169877=VERTEX_POINT('',#555139); #169878=VERTEX_POINT('',#555143); #169879=VERTEX_POINT('',#555145); #169880=VERTEX_POINT('',#555149); #169881=VERTEX_POINT('',#555151); #169882=VERTEX_POINT('',#555155); #169883=VERTEX_POINT('',#555157); #169884=VERTEX_POINT('',#555161); #169885=VERTEX_POINT('',#555163); #169886=VERTEX_POINT('',#555167); #169887=VERTEX_POINT('',#555169); #169888=VERTEX_POINT('',#555173); #169889=VERTEX_POINT('',#555175); #169890=VERTEX_POINT('',#555179); #169891=VERTEX_POINT('',#555181); #169892=VERTEX_POINT('',#555185); #169893=VERTEX_POINT('',#555187); #169894=VERTEX_POINT('',#555191); #169895=VERTEX_POINT('',#555193); #169896=VERTEX_POINT('',#555197); #169897=VERTEX_POINT('',#555199); #169898=VERTEX_POINT('',#555203); #169899=VERTEX_POINT('',#555205); #169900=VERTEX_POINT('',#555209); #169901=VERTEX_POINT('',#555211); #169902=VERTEX_POINT('',#555215); #169903=VERTEX_POINT('',#555217); #169904=VERTEX_POINT('',#555221); #169905=VERTEX_POINT('',#555223); #169906=VERTEX_POINT('',#555227); #169907=VERTEX_POINT('',#555229); #169908=VERTEX_POINT('',#555233); #169909=VERTEX_POINT('',#555235); #169910=VERTEX_POINT('',#555239); #169911=VERTEX_POINT('',#555241); #169912=VERTEX_POINT('',#555245); #169913=VERTEX_POINT('',#555247); #169914=VERTEX_POINT('',#555251); #169915=VERTEX_POINT('',#555253); #169916=VERTEX_POINT('',#555257); #169917=VERTEX_POINT('',#555259); #169918=VERTEX_POINT('',#555263); #169919=VERTEX_POINT('',#555265); #169920=VERTEX_POINT('',#555269); #169921=VERTEX_POINT('',#555271); #169922=VERTEX_POINT('',#555275); #169923=VERTEX_POINT('',#555277); #169924=VERTEX_POINT('',#555281); #169925=VERTEX_POINT('',#555283); #169926=VERTEX_POINT('',#555287); #169927=VERTEX_POINT('',#555289); #169928=VERTEX_POINT('',#555293); #169929=VERTEX_POINT('',#555295); #169930=VERTEX_POINT('',#555299); #169931=VERTEX_POINT('',#555301); #169932=VERTEX_POINT('',#555305); #169933=VERTEX_POINT('',#555307); #169934=VERTEX_POINT('',#555311); #169935=VERTEX_POINT('',#555313); #169936=VERTEX_POINT('',#555317); #169937=VERTEX_POINT('',#555319); #169938=VERTEX_POINT('',#555323); #169939=VERTEX_POINT('',#555325); #169940=VERTEX_POINT('',#555329); #169941=VERTEX_POINT('',#555331); #169942=VERTEX_POINT('',#555335); #169943=VERTEX_POINT('',#555337); #169944=VERTEX_POINT('',#555341); #169945=VERTEX_POINT('',#555343); #169946=VERTEX_POINT('',#555347); #169947=VERTEX_POINT('',#555349); #169948=VERTEX_POINT('',#555353); #169949=VERTEX_POINT('',#555355); #169950=VERTEX_POINT('',#555359); #169951=VERTEX_POINT('',#555361); #169952=VERTEX_POINT('',#555365); #169953=VERTEX_POINT('',#555367); #169954=VERTEX_POINT('',#555371); #169955=VERTEX_POINT('',#555373); #169956=VERTEX_POINT('',#555377); #169957=VERTEX_POINT('',#555379); #169958=VERTEX_POINT('',#555383); #169959=VERTEX_POINT('',#555385); #169960=VERTEX_POINT('',#555389); #169961=VERTEX_POINT('',#555391); #169962=VERTEX_POINT('',#555395); #169963=VERTEX_POINT('',#555397); #169964=VERTEX_POINT('',#555401); #169965=VERTEX_POINT('',#555403); #169966=VERTEX_POINT('',#555407); #169967=VERTEX_POINT('',#555409); #169968=VERTEX_POINT('',#555413); #169969=VERTEX_POINT('',#555415); #169970=VERTEX_POINT('',#555419); #169971=VERTEX_POINT('',#555421); #169972=VERTEX_POINT('',#555425); #169973=VERTEX_POINT('',#555427); #169974=VERTEX_POINT('',#555431); #169975=VERTEX_POINT('',#555433); #169976=VERTEX_POINT('',#555437); #169977=VERTEX_POINT('',#555439); #169978=VERTEX_POINT('',#555443); #169979=VERTEX_POINT('',#555445); #169980=VERTEX_POINT('',#555449); #169981=VERTEX_POINT('',#555451); #169982=VERTEX_POINT('',#555455); #169983=VERTEX_POINT('',#555457); #169984=VERTEX_POINT('',#555461); #169985=VERTEX_POINT('',#555463); #169986=VERTEX_POINT('',#555467); #169987=VERTEX_POINT('',#555469); #169988=VERTEX_POINT('',#555473); #169989=VERTEX_POINT('',#555475); #169990=VERTEX_POINT('',#555479); #169991=VERTEX_POINT('',#555481); #169992=VERTEX_POINT('',#555485); #169993=VERTEX_POINT('',#555487); #169994=VERTEX_POINT('',#555491); #169995=VERTEX_POINT('',#555493); #169996=VERTEX_POINT('',#555497); #169997=VERTEX_POINT('',#555499); #169998=VERTEX_POINT('',#555503); #169999=VERTEX_POINT('',#555505); #170000=VERTEX_POINT('',#555509); #170001=VERTEX_POINT('',#555511); #170002=VERTEX_POINT('',#555515); #170003=VERTEX_POINT('',#555517); #170004=VERTEX_POINT('',#555521); #170005=VERTEX_POINT('',#555523); #170006=VERTEX_POINT('',#555527); #170007=VERTEX_POINT('',#555529); #170008=VERTEX_POINT('',#555533); #170009=VERTEX_POINT('',#555535); #170010=VERTEX_POINT('',#555539); #170011=VERTEX_POINT('',#555541); #170012=VERTEX_POINT('',#555545); #170013=VERTEX_POINT('',#555547); #170014=VERTEX_POINT('',#555551); #170015=VERTEX_POINT('',#555553); #170016=VERTEX_POINT('',#555557); #170017=VERTEX_POINT('',#555559); #170018=VERTEX_POINT('',#555563); #170019=VERTEX_POINT('',#555565); #170020=VERTEX_POINT('',#555569); #170021=VERTEX_POINT('',#555571); #170022=VERTEX_POINT('',#555575); #170023=VERTEX_POINT('',#555577); #170024=VERTEX_POINT('',#555581); #170025=VERTEX_POINT('',#555583); #170026=VERTEX_POINT('',#555587); #170027=VERTEX_POINT('',#555589); #170028=VERTEX_POINT('',#555593); #170029=VERTEX_POINT('',#555595); #170030=VERTEX_POINT('',#555599); #170031=VERTEX_POINT('',#555601); #170032=VERTEX_POINT('',#555605); #170033=VERTEX_POINT('',#555607); #170034=VERTEX_POINT('',#555611); #170035=VERTEX_POINT('',#555613); #170036=VERTEX_POINT('',#555617); #170037=VERTEX_POINT('',#555619); #170038=VERTEX_POINT('',#555623); #170039=VERTEX_POINT('',#555625); #170040=VERTEX_POINT('',#555629); #170041=VERTEX_POINT('',#555631); #170042=VERTEX_POINT('',#555635); #170043=VERTEX_POINT('',#555637); #170044=VERTEX_POINT('',#555641); #170045=VERTEX_POINT('',#555643); #170046=VERTEX_POINT('',#555647); #170047=VERTEX_POINT('',#555649); #170048=VERTEX_POINT('',#555653); #170049=VERTEX_POINT('',#555655); #170050=VERTEX_POINT('',#555659); #170051=VERTEX_POINT('',#555661); #170052=VERTEX_POINT('',#555665); #170053=VERTEX_POINT('',#555667); #170054=VERTEX_POINT('',#555671); #170055=VERTEX_POINT('',#555673); #170056=VERTEX_POINT('',#555677); #170057=VERTEX_POINT('',#555679); #170058=VERTEX_POINT('',#555683); #170059=VERTEX_POINT('',#555685); #170060=VERTEX_POINT('',#555689); #170061=VERTEX_POINT('',#555691); #170062=VERTEX_POINT('',#555695); #170063=VERTEX_POINT('',#555697); #170064=VERTEX_POINT('',#555701); #170065=VERTEX_POINT('',#555703); #170066=VERTEX_POINT('',#555707); #170067=VERTEX_POINT('',#555709); #170068=VERTEX_POINT('',#555713); #170069=VERTEX_POINT('',#555715); #170070=VERTEX_POINT('',#555719); #170071=VERTEX_POINT('',#555721); #170072=VERTEX_POINT('',#555725); #170073=VERTEX_POINT('',#555727); #170074=VERTEX_POINT('',#555731); #170075=VERTEX_POINT('',#555733); #170076=VERTEX_POINT('',#555737); #170077=VERTEX_POINT('',#555739); #170078=VERTEX_POINT('',#555743); #170079=VERTEX_POINT('',#555745); #170080=VERTEX_POINT('',#555749); #170081=VERTEX_POINT('',#555751); #170082=VERTEX_POINT('',#555755); #170083=VERTEX_POINT('',#555757); #170084=VERTEX_POINT('',#555761); #170085=VERTEX_POINT('',#555763); #170086=VERTEX_POINT('',#555767); #170087=VERTEX_POINT('',#555769); #170088=VERTEX_POINT('',#555773); #170089=VERTEX_POINT('',#555775); #170090=VERTEX_POINT('',#555779); #170091=VERTEX_POINT('',#555781); #170092=VERTEX_POINT('',#555785); #170093=VERTEX_POINT('',#555787); #170094=VERTEX_POINT('',#555791); #170095=VERTEX_POINT('',#555793); #170096=VERTEX_POINT('',#555797); #170097=VERTEX_POINT('',#555799); #170098=VERTEX_POINT('',#555803); #170099=VERTEX_POINT('',#555805); #170100=VERTEX_POINT('',#555809); #170101=VERTEX_POINT('',#555811); #170102=VERTEX_POINT('',#555815); #170103=VERTEX_POINT('',#555817); #170104=VERTEX_POINT('',#555821); #170105=VERTEX_POINT('',#555823); #170106=VERTEX_POINT('',#555827); #170107=VERTEX_POINT('',#555829); #170108=VERTEX_POINT('',#555833); #170109=VERTEX_POINT('',#555835); #170110=VERTEX_POINT('',#555839); #170111=VERTEX_POINT('',#555841); #170112=VERTEX_POINT('',#555845); #170113=VERTEX_POINT('',#555847); #170114=VERTEX_POINT('',#555851); #170115=VERTEX_POINT('',#555853); #170116=VERTEX_POINT('',#555857); #170117=VERTEX_POINT('',#555859); #170118=VERTEX_POINT('',#555863); #170119=VERTEX_POINT('',#555865); #170120=VERTEX_POINT('',#555869); #170121=VERTEX_POINT('',#555871); #170122=VERTEX_POINT('',#555875); #170123=VERTEX_POINT('',#555877); #170124=VERTEX_POINT('',#555881); #170125=VERTEX_POINT('',#555883); #170126=VERTEX_POINT('',#555887); #170127=VERTEX_POINT('',#555889); #170128=VERTEX_POINT('',#555893); #170129=VERTEX_POINT('',#555895); #170130=VERTEX_POINT('',#555899); #170131=VERTEX_POINT('',#555901); #170132=VERTEX_POINT('',#555905); #170133=VERTEX_POINT('',#555907); #170134=VERTEX_POINT('',#555911); #170135=VERTEX_POINT('',#555913); #170136=VERTEX_POINT('',#555917); #170137=VERTEX_POINT('',#555919); #170138=VERTEX_POINT('',#555923); #170139=VERTEX_POINT('',#555925); #170140=VERTEX_POINT('',#555929); #170141=VERTEX_POINT('',#555931); #170142=VERTEX_POINT('',#555935); #170143=VERTEX_POINT('',#555937); #170144=VERTEX_POINT('',#555941); #170145=VERTEX_POINT('',#555943); #170146=VERTEX_POINT('',#555947); #170147=VERTEX_POINT('',#555949); #170148=VERTEX_POINT('',#555953); #170149=VERTEX_POINT('',#555955); #170150=VERTEX_POINT('',#555959); #170151=VERTEX_POINT('',#555961); #170152=VERTEX_POINT('',#555965); #170153=VERTEX_POINT('',#555967); #170154=VERTEX_POINT('',#555971); #170155=VERTEX_POINT('',#555973); #170156=VERTEX_POINT('',#555977); #170157=VERTEX_POINT('',#555979); #170158=VERTEX_POINT('',#555983); #170159=VERTEX_POINT('',#555985); #170160=VERTEX_POINT('',#555989); #170161=VERTEX_POINT('',#555991); #170162=VERTEX_POINT('',#555995); #170163=VERTEX_POINT('',#555997); #170164=VERTEX_POINT('',#556001); #170165=VERTEX_POINT('',#556003); #170166=VERTEX_POINT('',#556007); #170167=VERTEX_POINT('',#556009); #170168=VERTEX_POINT('',#556013); #170169=VERTEX_POINT('',#556015); #170170=VERTEX_POINT('',#556019); #170171=VERTEX_POINT('',#556021); #170172=VERTEX_POINT('',#556025); #170173=VERTEX_POINT('',#556027); #170174=VERTEX_POINT('',#556031); #170175=VERTEX_POINT('',#556033); #170176=VERTEX_POINT('',#556037); #170177=VERTEX_POINT('',#556039); #170178=VERTEX_POINT('',#556043); #170179=VERTEX_POINT('',#556045); #170180=VERTEX_POINT('',#556049); #170181=VERTEX_POINT('',#556051); #170182=VERTEX_POINT('',#556055); #170183=VERTEX_POINT('',#556057); #170184=VERTEX_POINT('',#556061); #170185=VERTEX_POINT('',#556063); #170186=VERTEX_POINT('',#556067); #170187=VERTEX_POINT('',#556069); #170188=VERTEX_POINT('',#556073); #170189=VERTEX_POINT('',#556075); #170190=VERTEX_POINT('',#556079); #170191=VERTEX_POINT('',#556081); #170192=VERTEX_POINT('',#556085); #170193=VERTEX_POINT('',#556087); #170194=VERTEX_POINT('',#556091); #170195=VERTEX_POINT('',#556093); #170196=VERTEX_POINT('',#556097); #170197=VERTEX_POINT('',#556099); #170198=VERTEX_POINT('',#556103); #170199=VERTEX_POINT('',#556105); #170200=VERTEX_POINT('',#556109); #170201=VERTEX_POINT('',#556111); #170202=VERTEX_POINT('',#556115); #170203=VERTEX_POINT('',#556117); #170204=VERTEX_POINT('',#556121); #170205=VERTEX_POINT('',#556123); #170206=VERTEX_POINT('',#556127); #170207=VERTEX_POINT('',#556129); #170208=VERTEX_POINT('',#556133); #170209=VERTEX_POINT('',#556135); #170210=VERTEX_POINT('',#556139); #170211=VERTEX_POINT('',#556141); #170212=VERTEX_POINT('',#556145); #170213=VERTEX_POINT('',#556147); #170214=VERTEX_POINT('',#556151); #170215=VERTEX_POINT('',#556153); #170216=VERTEX_POINT('',#556157); #170217=VERTEX_POINT('',#556159); #170218=VERTEX_POINT('',#556163); #170219=VERTEX_POINT('',#556165); #170220=VERTEX_POINT('',#556169); #170221=VERTEX_POINT('',#556171); #170222=VERTEX_POINT('',#556175); #170223=VERTEX_POINT('',#556177); #170224=VERTEX_POINT('',#556181); #170225=VERTEX_POINT('',#556183); #170226=VERTEX_POINT('',#556187); #170227=VERTEX_POINT('',#556189); #170228=VERTEX_POINT('',#556193); #170229=VERTEX_POINT('',#556195); #170230=VERTEX_POINT('',#556199); #170231=VERTEX_POINT('',#556201); #170232=VERTEX_POINT('',#556205); #170233=VERTEX_POINT('',#556207); #170234=VERTEX_POINT('',#556211); #170235=VERTEX_POINT('',#556213); #170236=VERTEX_POINT('',#556217); #170237=VERTEX_POINT('',#556219); #170238=VERTEX_POINT('',#556223); #170239=VERTEX_POINT('',#556225); #170240=VERTEX_POINT('',#556229); #170241=VERTEX_POINT('',#556231); #170242=VERTEX_POINT('',#556235); #170243=VERTEX_POINT('',#556237); #170244=VERTEX_POINT('',#556241); #170245=VERTEX_POINT('',#556243); #170246=VERTEX_POINT('',#556247); #170247=VERTEX_POINT('',#556249); #170248=VERTEX_POINT('',#556253); #170249=VERTEX_POINT('',#556255); #170250=VERTEX_POINT('',#556259); #170251=VERTEX_POINT('',#556261); #170252=VERTEX_POINT('',#556265); #170253=VERTEX_POINT('',#556267); #170254=VERTEX_POINT('',#556271); #170255=VERTEX_POINT('',#556273); #170256=VERTEX_POINT('',#556277); #170257=VERTEX_POINT('',#556279); #170258=VERTEX_POINT('',#556283); #170259=VERTEX_POINT('',#556285); #170260=VERTEX_POINT('',#556289); #170261=VERTEX_POINT('',#556291); #170262=VERTEX_POINT('',#556295); #170263=VERTEX_POINT('',#556297); #170264=VERTEX_POINT('',#556301); #170265=VERTEX_POINT('',#556303); #170266=VERTEX_POINT('',#556307); #170267=VERTEX_POINT('',#556309); #170268=VERTEX_POINT('',#556313); #170269=VERTEX_POINT('',#556315); #170270=VERTEX_POINT('',#556319); #170271=VERTEX_POINT('',#556321); #170272=VERTEX_POINT('',#556325); #170273=VERTEX_POINT('',#556327); #170274=VERTEX_POINT('',#556331); #170275=VERTEX_POINT('',#556333); #170276=VERTEX_POINT('',#556337); #170277=VERTEX_POINT('',#556339); #170278=VERTEX_POINT('',#556343); #170279=VERTEX_POINT('',#556345); #170280=VERTEX_POINT('',#556349); #170281=VERTEX_POINT('',#556351); #170282=VERTEX_POINT('',#556355); #170283=VERTEX_POINT('',#556357); #170284=VERTEX_POINT('',#556361); #170285=VERTEX_POINT('',#556363); #170286=VERTEX_POINT('',#556367); #170287=VERTEX_POINT('',#556369); #170288=VERTEX_POINT('',#556373); #170289=VERTEX_POINT('',#556375); #170290=VERTEX_POINT('',#556379); #170291=VERTEX_POINT('',#556381); #170292=VERTEX_POINT('',#556385); #170293=VERTEX_POINT('',#556387); #170294=VERTEX_POINT('',#556391); #170295=VERTEX_POINT('',#556393); #170296=VERTEX_POINT('',#556397); #170297=VERTEX_POINT('',#556399); #170298=VERTEX_POINT('',#556403); #170299=VERTEX_POINT('',#556405); #170300=VERTEX_POINT('',#556409); #170301=VERTEX_POINT('',#556411); #170302=VERTEX_POINT('',#556415); #170303=VERTEX_POINT('',#556417); #170304=VERTEX_POINT('',#556421); #170305=VERTEX_POINT('',#556423); #170306=VERTEX_POINT('',#556427); #170307=VERTEX_POINT('',#556429); #170308=VERTEX_POINT('',#556433); #170309=VERTEX_POINT('',#556435); #170310=VERTEX_POINT('',#556439); #170311=VERTEX_POINT('',#556441); #170312=VERTEX_POINT('',#556445); #170313=VERTEX_POINT('',#556447); #170314=VERTEX_POINT('',#556451); #170315=VERTEX_POINT('',#556453); #170316=VERTEX_POINT('',#556457); #170317=VERTEX_POINT('',#556459); #170318=VERTEX_POINT('',#556463); #170319=VERTEX_POINT('',#556465); #170320=VERTEX_POINT('',#556469); #170321=VERTEX_POINT('',#556471); #170322=VERTEX_POINT('',#556475); #170323=VERTEX_POINT('',#556477); #170324=VERTEX_POINT('',#556481); #170325=VERTEX_POINT('',#556483); #170326=VERTEX_POINT('',#556487); #170327=VERTEX_POINT('',#556489); #170328=VERTEX_POINT('',#556493); #170329=VERTEX_POINT('',#556495); #170330=VERTEX_POINT('',#556499); #170331=VERTEX_POINT('',#556501); #170332=VERTEX_POINT('',#556505); #170333=VERTEX_POINT('',#556507); #170334=VERTEX_POINT('',#556511); #170335=VERTEX_POINT('',#556513); #170336=VERTEX_POINT('',#556517); #170337=VERTEX_POINT('',#556519); #170338=VERTEX_POINT('',#556523); #170339=VERTEX_POINT('',#556525); #170340=VERTEX_POINT('',#556529); #170341=VERTEX_POINT('',#556531); #170342=VERTEX_POINT('',#556535); #170343=VERTEX_POINT('',#556537); #170344=VERTEX_POINT('',#556541); #170345=VERTEX_POINT('',#556543); #170346=VERTEX_POINT('',#556547); #170347=VERTEX_POINT('',#556549); #170348=VERTEX_POINT('',#556553); #170349=VERTEX_POINT('',#556555); #170350=VERTEX_POINT('',#556559); #170351=VERTEX_POINT('',#556561); #170352=VERTEX_POINT('',#556565); #170353=VERTEX_POINT('',#556567); #170354=VERTEX_POINT('',#556571); #170355=VERTEX_POINT('',#556573); #170356=VERTEX_POINT('',#556577); #170357=VERTEX_POINT('',#556579); #170358=VERTEX_POINT('',#556583); #170359=VERTEX_POINT('',#556585); #170360=VERTEX_POINT('',#556589); #170361=VERTEX_POINT('',#556591); #170362=VERTEX_POINT('',#556595); #170363=VERTEX_POINT('',#556597); #170364=VERTEX_POINT('',#556601); #170365=VERTEX_POINT('',#556603); #170366=VERTEX_POINT('',#556607); #170367=VERTEX_POINT('',#556609); #170368=VERTEX_POINT('',#556613); #170369=VERTEX_POINT('',#556615); #170370=VERTEX_POINT('',#556619); #170371=VERTEX_POINT('',#556621); #170372=VERTEX_POINT('',#556625); #170373=VERTEX_POINT('',#556627); #170374=VERTEX_POINT('',#556631); #170375=VERTEX_POINT('',#556633); #170376=VERTEX_POINT('',#556637); #170377=VERTEX_POINT('',#556639); #170378=VERTEX_POINT('',#556643); #170379=VERTEX_POINT('',#556645); #170380=VERTEX_POINT('',#556649); #170381=VERTEX_POINT('',#556651); #170382=VERTEX_POINT('',#556655); #170383=VERTEX_POINT('',#556657); #170384=VERTEX_POINT('',#556661); #170385=VERTEX_POINT('',#556663); #170386=VERTEX_POINT('',#556667); #170387=VERTEX_POINT('',#556669); #170388=VERTEX_POINT('',#556673); #170389=VERTEX_POINT('',#556675); #170390=VERTEX_POINT('',#556679); #170391=VERTEX_POINT('',#556681); #170392=VERTEX_POINT('',#556685); #170393=VERTEX_POINT('',#556687); #170394=VERTEX_POINT('',#556691); #170395=VERTEX_POINT('',#556693); #170396=VERTEX_POINT('',#556697); #170397=VERTEX_POINT('',#556699); #170398=VERTEX_POINT('',#556703); #170399=VERTEX_POINT('',#556705); #170400=VERTEX_POINT('',#556709); #170401=VERTEX_POINT('',#556711); #170402=VERTEX_POINT('',#556715); #170403=VERTEX_POINT('',#556717); #170404=VERTEX_POINT('',#556721); #170405=VERTEX_POINT('',#556723); #170406=VERTEX_POINT('',#556727); #170407=VERTEX_POINT('',#556729); #170408=VERTEX_POINT('',#556733); #170409=VERTEX_POINT('',#556735); #170410=VERTEX_POINT('',#556739); #170411=VERTEX_POINT('',#556741); #170412=VERTEX_POINT('',#556745); #170413=VERTEX_POINT('',#556747); #170414=VERTEX_POINT('',#556751); #170415=VERTEX_POINT('',#556753); #170416=VERTEX_POINT('',#556757); #170417=VERTEX_POINT('',#556759); #170418=VERTEX_POINT('',#556763); #170419=VERTEX_POINT('',#556765); #170420=VERTEX_POINT('',#556769); #170421=VERTEX_POINT('',#556771); #170422=VERTEX_POINT('',#556775); #170423=VERTEX_POINT('',#556777); #170424=VERTEX_POINT('',#556781); #170425=VERTEX_POINT('',#556783); #170426=VERTEX_POINT('',#556787); #170427=VERTEX_POINT('',#556789); #170428=VERTEX_POINT('',#556793); #170429=VERTEX_POINT('',#556795); #170430=VERTEX_POINT('',#556799); #170431=VERTEX_POINT('',#556801); #170432=VERTEX_POINT('',#556805); #170433=VERTEX_POINT('',#556807); #170434=VERTEX_POINT('',#556811); #170435=VERTEX_POINT('',#556813); #170436=VERTEX_POINT('',#556817); #170437=VERTEX_POINT('',#556819); #170438=VERTEX_POINT('',#556823); #170439=VERTEX_POINT('',#556825); #170440=VERTEX_POINT('',#556829); #170441=VERTEX_POINT('',#556831); #170442=VERTEX_POINT('',#556835); #170443=VERTEX_POINT('',#556837); #170444=VERTEX_POINT('',#556841); #170445=VERTEX_POINT('',#556843); #170446=VERTEX_POINT('',#556847); #170447=VERTEX_POINT('',#556849); #170448=VERTEX_POINT('',#556853); #170449=VERTEX_POINT('',#556855); #170450=VERTEX_POINT('',#556859); #170451=VERTEX_POINT('',#556861); #170452=VERTEX_POINT('',#556865); #170453=VERTEX_POINT('',#556867); #170454=VERTEX_POINT('',#556871); #170455=VERTEX_POINT('',#556873); #170456=VERTEX_POINT('',#556877); #170457=VERTEX_POINT('',#556879); #170458=VERTEX_POINT('',#556883); #170459=VERTEX_POINT('',#556885); #170460=VERTEX_POINT('',#556889); #170461=VERTEX_POINT('',#556891); #170462=VERTEX_POINT('',#556895); #170463=VERTEX_POINT('',#556897); #170464=VERTEX_POINT('',#556901); #170465=VERTEX_POINT('',#556903); #170466=VERTEX_POINT('',#556907); #170467=VERTEX_POINT('',#556909); #170468=VERTEX_POINT('',#556913); #170469=VERTEX_POINT('',#556915); #170470=VERTEX_POINT('',#556919); #170471=VERTEX_POINT('',#556921); #170472=VERTEX_POINT('',#556925); #170473=VERTEX_POINT('',#556927); #170474=VERTEX_POINT('',#556931); #170475=VERTEX_POINT('',#556933); #170476=VERTEX_POINT('',#556937); #170477=VERTEX_POINT('',#556939); #170478=VERTEX_POINT('',#556943); #170479=VERTEX_POINT('',#556945); #170480=VERTEX_POINT('',#556949); #170481=VERTEX_POINT('',#556951); #170482=VERTEX_POINT('',#556955); #170483=VERTEX_POINT('',#556957); #170484=VERTEX_POINT('',#556961); #170485=VERTEX_POINT('',#556963); #170486=VERTEX_POINT('',#556967); #170487=VERTEX_POINT('',#556969); #170488=VERTEX_POINT('',#556973); #170489=VERTEX_POINT('',#556975); #170490=VERTEX_POINT('',#556979); #170491=VERTEX_POINT('',#556981); #170492=VERTEX_POINT('',#556985); #170493=VERTEX_POINT('',#556987); #170494=VERTEX_POINT('',#556991); #170495=VERTEX_POINT('',#556993); #170496=VERTEX_POINT('',#556997); #170497=VERTEX_POINT('',#556999); #170498=VERTEX_POINT('',#557003); #170499=VERTEX_POINT('',#557005); #170500=VERTEX_POINT('',#557009); #170501=VERTEX_POINT('',#557011); #170502=VERTEX_POINT('',#557015); #170503=VERTEX_POINT('',#557017); #170504=VERTEX_POINT('',#557021); #170505=VERTEX_POINT('',#557023); #170506=VERTEX_POINT('',#557027); #170507=VERTEX_POINT('',#557029); #170508=VERTEX_POINT('',#557033); #170509=VERTEX_POINT('',#557035); #170510=VERTEX_POINT('',#557039); #170511=VERTEX_POINT('',#557041); #170512=VERTEX_POINT('',#557045); #170513=VERTEX_POINT('',#557047); #170514=VERTEX_POINT('',#557051); #170515=VERTEX_POINT('',#557053); #170516=VERTEX_POINT('',#557057); #170517=VERTEX_POINT('',#557059); #170518=VERTEX_POINT('',#557063); #170519=VERTEX_POINT('',#557065); #170520=VERTEX_POINT('',#557069); #170521=VERTEX_POINT('',#557071); #170522=VERTEX_POINT('',#557075); #170523=VERTEX_POINT('',#557077); #170524=VERTEX_POINT('',#557081); #170525=VERTEX_POINT('',#557083); #170526=VERTEX_POINT('',#557087); #170527=VERTEX_POINT('',#557089); #170528=VERTEX_POINT('',#557093); #170529=VERTEX_POINT('',#557095); #170530=VERTEX_POINT('',#557099); #170531=VERTEX_POINT('',#557101); #170532=VERTEX_POINT('',#557105); #170533=VERTEX_POINT('',#557107); #170534=VERTEX_POINT('',#557111); #170535=VERTEX_POINT('',#557113); #170536=VERTEX_POINT('',#557117); #170537=VERTEX_POINT('',#557119); #170538=VERTEX_POINT('',#557123); #170539=VERTEX_POINT('',#557125); #170540=VERTEX_POINT('',#557129); #170541=VERTEX_POINT('',#557131); #170542=VERTEX_POINT('',#557135); #170543=VERTEX_POINT('',#557137); #170544=VERTEX_POINT('',#557141); #170545=VERTEX_POINT('',#557143); #170546=VERTEX_POINT('',#557147); #170547=VERTEX_POINT('',#557149); #170548=VERTEX_POINT('',#557153); #170549=VERTEX_POINT('',#557155); #170550=VERTEX_POINT('',#557159); #170551=VERTEX_POINT('',#557161); #170552=VERTEX_POINT('',#557165); #170553=VERTEX_POINT('',#557167); #170554=VERTEX_POINT('',#557171); #170555=VERTEX_POINT('',#557173); #170556=VERTEX_POINT('',#557177); #170557=VERTEX_POINT('',#557179); #170558=VERTEX_POINT('',#557183); #170559=VERTEX_POINT('',#557185); #170560=VERTEX_POINT('',#557189); #170561=VERTEX_POINT('',#557191); #170562=VERTEX_POINT('',#557195); #170563=VERTEX_POINT('',#557197); #170564=VERTEX_POINT('',#557201); #170565=VERTEX_POINT('',#557203); #170566=VERTEX_POINT('',#557207); #170567=VERTEX_POINT('',#557209); #170568=VERTEX_POINT('',#557213); #170569=VERTEX_POINT('',#557215); #170570=VERTEX_POINT('',#557219); #170571=VERTEX_POINT('',#557221); #170572=VERTEX_POINT('',#557225); #170573=VERTEX_POINT('',#557227); #170574=VERTEX_POINT('',#557231); #170575=VERTEX_POINT('',#557233); #170576=VERTEX_POINT('',#557237); #170577=VERTEX_POINT('',#557239); #170578=VERTEX_POINT('',#557243); #170579=VERTEX_POINT('',#557245); #170580=VERTEX_POINT('',#557249); #170581=VERTEX_POINT('',#557251); #170582=VERTEX_POINT('',#557255); #170583=VERTEX_POINT('',#557257); #170584=VERTEX_POINT('',#557261); #170585=VERTEX_POINT('',#557263); #170586=VERTEX_POINT('',#557267); #170587=VERTEX_POINT('',#557269); #170588=VERTEX_POINT('',#557273); #170589=VERTEX_POINT('',#557275); #170590=VERTEX_POINT('',#557279); #170591=VERTEX_POINT('',#557281); #170592=VERTEX_POINT('',#557285); #170593=VERTEX_POINT('',#557287); #170594=VERTEX_POINT('',#557291); #170595=VERTEX_POINT('',#557293); #170596=VERTEX_POINT('',#557297); #170597=VERTEX_POINT('',#557299); #170598=VERTEX_POINT('',#557303); #170599=VERTEX_POINT('',#557305); #170600=VERTEX_POINT('',#557309); #170601=VERTEX_POINT('',#557311); #170602=VERTEX_POINT('',#557315); #170603=VERTEX_POINT('',#557317); #170604=VERTEX_POINT('',#557321); #170605=VERTEX_POINT('',#557323); #170606=VERTEX_POINT('',#557327); #170607=VERTEX_POINT('',#557329); #170608=VERTEX_POINT('',#557333); #170609=VERTEX_POINT('',#557335); #170610=VERTEX_POINT('',#557339); #170611=VERTEX_POINT('',#557341); #170612=VERTEX_POINT('',#557345); #170613=VERTEX_POINT('',#557347); #170614=VERTEX_POINT('',#557351); #170615=VERTEX_POINT('',#557353); #170616=VERTEX_POINT('',#557357); #170617=VERTEX_POINT('',#557359); #170618=VERTEX_POINT('',#557363); #170619=VERTEX_POINT('',#557365); #170620=VERTEX_POINT('',#557369); #170621=VERTEX_POINT('',#557371); #170622=VERTEX_POINT('',#557375); #170623=VERTEX_POINT('',#557377); #170624=VERTEX_POINT('',#557381); #170625=VERTEX_POINT('',#557383); #170626=VERTEX_POINT('',#557387); #170627=VERTEX_POINT('',#557389); #170628=VERTEX_POINT('',#557393); #170629=VERTEX_POINT('',#557395); #170630=VERTEX_POINT('',#557399); #170631=VERTEX_POINT('',#557401); #170632=VERTEX_POINT('',#557405); #170633=VERTEX_POINT('',#557407); #170634=VERTEX_POINT('',#557411); #170635=VERTEX_POINT('',#557413); #170636=VERTEX_POINT('',#557417); #170637=VERTEX_POINT('',#557419); #170638=VERTEX_POINT('',#557423); #170639=VERTEX_POINT('',#557425); #170640=VERTEX_POINT('',#557429); #170641=VERTEX_POINT('',#557431); #170642=VERTEX_POINT('',#557435); #170643=VERTEX_POINT('',#557437); #170644=VERTEX_POINT('',#557441); #170645=VERTEX_POINT('',#557443); #170646=VERTEX_POINT('',#557447); #170647=VERTEX_POINT('',#557449); #170648=VERTEX_POINT('',#557453); #170649=VERTEX_POINT('',#557455); #170650=VERTEX_POINT('',#557459); #170651=VERTEX_POINT('',#557461); #170652=VERTEX_POINT('',#557465); #170653=VERTEX_POINT('',#557467); #170654=VERTEX_POINT('',#557471); #170655=VERTEX_POINT('',#557473); #170656=VERTEX_POINT('',#557477); #170657=VERTEX_POINT('',#557479); #170658=VERTEX_POINT('',#557483); #170659=VERTEX_POINT('',#557485); #170660=VERTEX_POINT('',#557489); #170661=VERTEX_POINT('',#557491); #170662=VERTEX_POINT('',#557495); #170663=VERTEX_POINT('',#557497); #170664=VERTEX_POINT('',#557501); #170665=VERTEX_POINT('',#557503); #170666=VERTEX_POINT('',#557507); #170667=VERTEX_POINT('',#557509); #170668=VERTEX_POINT('',#557513); #170669=VERTEX_POINT('',#557515); #170670=VERTEX_POINT('',#557519); #170671=VERTEX_POINT('',#557521); #170672=VERTEX_POINT('',#557525); #170673=VERTEX_POINT('',#557527); #170674=VERTEX_POINT('',#557531); #170675=VERTEX_POINT('',#557533); #170676=VERTEX_POINT('',#557537); #170677=VERTEX_POINT('',#557539); #170678=VERTEX_POINT('',#557543); #170679=VERTEX_POINT('',#557545); #170680=VERTEX_POINT('',#557549); #170681=VERTEX_POINT('',#557551); #170682=VERTEX_POINT('',#557555); #170683=VERTEX_POINT('',#557557); #170684=VERTEX_POINT('',#557561); #170685=VERTEX_POINT('',#557563); #170686=VERTEX_POINT('',#557567); #170687=VERTEX_POINT('',#557569); #170688=VERTEX_POINT('',#557573); #170689=VERTEX_POINT('',#557575); #170690=VERTEX_POINT('',#557579); #170691=VERTEX_POINT('',#557581); #170692=VERTEX_POINT('',#557585); #170693=VERTEX_POINT('',#557587); #170694=VERTEX_POINT('',#557591); #170695=VERTEX_POINT('',#557593); #170696=VERTEX_POINT('',#557597); #170697=VERTEX_POINT('',#557599); #170698=VERTEX_POINT('',#557603); #170699=VERTEX_POINT('',#557605); #170700=VERTEX_POINT('',#557609); #170701=VERTEX_POINT('',#557611); #170702=VERTEX_POINT('',#557615); #170703=VERTEX_POINT('',#557617); #170704=VERTEX_POINT('',#557621); #170705=VERTEX_POINT('',#557623); #170706=VERTEX_POINT('',#557627); #170707=VERTEX_POINT('',#557629); #170708=VERTEX_POINT('',#557633); #170709=VERTEX_POINT('',#557635); #170710=VERTEX_POINT('',#557639); #170711=VERTEX_POINT('',#557641); #170712=VERTEX_POINT('',#557645); #170713=VERTEX_POINT('',#557647); #170714=VERTEX_POINT('',#557651); #170715=VERTEX_POINT('',#557653); #170716=VERTEX_POINT('',#557657); #170717=VERTEX_POINT('',#557659); #170718=VERTEX_POINT('',#557663); #170719=VERTEX_POINT('',#557665); #170720=VERTEX_POINT('',#557669); #170721=VERTEX_POINT('',#557671); #170722=VERTEX_POINT('',#557675); #170723=VERTEX_POINT('',#557677); #170724=VERTEX_POINT('',#557681); #170725=VERTEX_POINT('',#557683); #170726=VERTEX_POINT('',#557687); #170727=VERTEX_POINT('',#557689); #170728=VERTEX_POINT('',#557693); #170729=VERTEX_POINT('',#557695); #170730=VERTEX_POINT('',#557699); #170731=VERTEX_POINT('',#557701); #170732=VERTEX_POINT('',#557705); #170733=VERTEX_POINT('',#557707); #170734=VERTEX_POINT('',#557711); #170735=VERTEX_POINT('',#557713); #170736=VERTEX_POINT('',#557717); #170737=VERTEX_POINT('',#557719); #170738=VERTEX_POINT('',#557723); #170739=VERTEX_POINT('',#557725); #170740=VERTEX_POINT('',#557729); #170741=VERTEX_POINT('',#557731); #170742=VERTEX_POINT('',#557735); #170743=VERTEX_POINT('',#557737); #170744=VERTEX_POINT('',#557741); #170745=VERTEX_POINT('',#557743); #170746=VERTEX_POINT('',#557747); #170747=VERTEX_POINT('',#557749); #170748=VERTEX_POINT('',#557753); #170749=VERTEX_POINT('',#557755); #170750=VERTEX_POINT('',#557759); #170751=VERTEX_POINT('',#557761); #170752=VERTEX_POINT('',#557765); #170753=VERTEX_POINT('',#557767); #170754=VERTEX_POINT('',#557771); #170755=VERTEX_POINT('',#557773); #170756=VERTEX_POINT('',#557777); #170757=VERTEX_POINT('',#557779); #170758=VERTEX_POINT('',#557783); #170759=VERTEX_POINT('',#557785); #170760=VERTEX_POINT('',#557789); #170761=VERTEX_POINT('',#557791); #170762=VERTEX_POINT('',#557795); #170763=VERTEX_POINT('',#557797); #170764=VERTEX_POINT('',#557801); #170765=VERTEX_POINT('',#557803); #170766=VERTEX_POINT('',#557807); #170767=VERTEX_POINT('',#557809); #170768=VERTEX_POINT('',#557813); #170769=VERTEX_POINT('',#557815); #170770=VERTEX_POINT('',#557819); #170771=VERTEX_POINT('',#557821); #170772=VERTEX_POINT('',#557825); #170773=VERTEX_POINT('',#557827); #170774=VERTEX_POINT('',#557831); #170775=VERTEX_POINT('',#557833); #170776=VERTEX_POINT('',#557837); #170777=VERTEX_POINT('',#557839); #170778=VERTEX_POINT('',#557843); #170779=VERTEX_POINT('',#557845); #170780=VERTEX_POINT('',#557849); #170781=VERTEX_POINT('',#557851); #170782=VERTEX_POINT('',#557855); #170783=VERTEX_POINT('',#557857); #170784=VERTEX_POINT('',#557861); #170785=VERTEX_POINT('',#557863); #170786=VERTEX_POINT('',#557867); #170787=VERTEX_POINT('',#557869); #170788=VERTEX_POINT('',#557873); #170789=VERTEX_POINT('',#557875); #170790=VERTEX_POINT('',#557879); #170791=VERTEX_POINT('',#557881); #170792=VERTEX_POINT('',#557885); #170793=VERTEX_POINT('',#557887); #170794=VERTEX_POINT('',#557891); #170795=VERTEX_POINT('',#557893); #170796=VERTEX_POINT('',#557897); #170797=VERTEX_POINT('',#557899); #170798=VERTEX_POINT('',#557903); #170799=VERTEX_POINT('',#557905); #170800=VERTEX_POINT('',#557909); #170801=VERTEX_POINT('',#557911); #170802=VERTEX_POINT('',#557915); #170803=VERTEX_POINT('',#557917); #170804=VERTEX_POINT('',#557921); #170805=VERTEX_POINT('',#557923); #170806=VERTEX_POINT('',#557927); #170807=VERTEX_POINT('',#557929); #170808=VERTEX_POINT('',#557933); #170809=VERTEX_POINT('',#557935); #170810=VERTEX_POINT('',#557939); #170811=VERTEX_POINT('',#557941); #170812=VERTEX_POINT('',#557945); #170813=VERTEX_POINT('',#557947); #170814=VERTEX_POINT('',#557951); #170815=VERTEX_POINT('',#557953); #170816=VERTEX_POINT('',#557957); #170817=VERTEX_POINT('',#557959); #170818=VERTEX_POINT('',#557963); #170819=VERTEX_POINT('',#557965); #170820=VERTEX_POINT('',#557969); #170821=VERTEX_POINT('',#557971); #170822=VERTEX_POINT('',#557975); #170823=VERTEX_POINT('',#557977); #170824=VERTEX_POINT('',#557981); #170825=VERTEX_POINT('',#557983); #170826=VERTEX_POINT('',#557987); #170827=VERTEX_POINT('',#557989); #170828=VERTEX_POINT('',#557993); #170829=VERTEX_POINT('',#557995); #170830=VERTEX_POINT('',#557999); #170831=VERTEX_POINT('',#558001); #170832=VERTEX_POINT('',#558005); #170833=VERTEX_POINT('',#558007); #170834=VERTEX_POINT('',#558011); #170835=VERTEX_POINT('',#558013); #170836=VERTEX_POINT('',#558017); #170837=VERTEX_POINT('',#558019); #170838=VERTEX_POINT('',#558023); #170839=VERTEX_POINT('',#558025); #170840=VERTEX_POINT('',#558029); #170841=VERTEX_POINT('',#558031); #170842=VERTEX_POINT('',#558035); #170843=VERTEX_POINT('',#558037); #170844=VERTEX_POINT('',#558041); #170845=VERTEX_POINT('',#558043); #170846=VERTEX_POINT('',#558047); #170847=VERTEX_POINT('',#558049); #170848=VERTEX_POINT('',#558053); #170849=VERTEX_POINT('',#558055); #170850=VERTEX_POINT('',#558059); #170851=VERTEX_POINT('',#558061); #170852=VERTEX_POINT('',#558065); #170853=VERTEX_POINT('',#558067); #170854=VERTEX_POINT('',#558071); #170855=VERTEX_POINT('',#558073); #170856=VERTEX_POINT('',#558077); #170857=VERTEX_POINT('',#558079); #170858=VERTEX_POINT('',#558083); #170859=VERTEX_POINT('',#558085); #170860=VERTEX_POINT('',#558089); #170861=VERTEX_POINT('',#558091); #170862=VERTEX_POINT('',#558095); #170863=VERTEX_POINT('',#558097); #170864=VERTEX_POINT('',#558101); #170865=VERTEX_POINT('',#558103); #170866=VERTEX_POINT('',#558107); #170867=VERTEX_POINT('',#558109); #170868=VERTEX_POINT('',#558113); #170869=VERTEX_POINT('',#558115); #170870=VERTEX_POINT('',#558119); #170871=VERTEX_POINT('',#558121); #170872=VERTEX_POINT('',#558125); #170873=VERTEX_POINT('',#558127); #170874=VERTEX_POINT('',#558131); #170875=VERTEX_POINT('',#558133); #170876=VERTEX_POINT('',#558137); #170877=VERTEX_POINT('',#558139); #170878=VERTEX_POINT('',#558143); #170879=VERTEX_POINT('',#558145); #170880=VERTEX_POINT('',#558149); #170881=VERTEX_POINT('',#558151); #170882=VERTEX_POINT('',#558155); #170883=VERTEX_POINT('',#558157); #170884=VERTEX_POINT('',#558161); #170885=VERTEX_POINT('',#558163); #170886=VERTEX_POINT('',#558167); #170887=VERTEX_POINT('',#558169); #170888=VERTEX_POINT('',#558173); #170889=VERTEX_POINT('',#558175); #170890=VERTEX_POINT('',#558179); #170891=VERTEX_POINT('',#558181); #170892=VERTEX_POINT('',#558185); #170893=VERTEX_POINT('',#558187); #170894=VERTEX_POINT('',#558191); #170895=VERTEX_POINT('',#558193); #170896=VERTEX_POINT('',#558197); #170897=VERTEX_POINT('',#558199); #170898=VERTEX_POINT('',#558203); #170899=VERTEX_POINT('',#558205); #170900=VERTEX_POINT('',#558209); #170901=VERTEX_POINT('',#558211); #170902=VERTEX_POINT('',#558215); #170903=VERTEX_POINT('',#558217); #170904=VERTEX_POINT('',#558221); #170905=VERTEX_POINT('',#558223); #170906=VERTEX_POINT('',#558227); #170907=VERTEX_POINT('',#558229); #170908=VERTEX_POINT('',#558233); #170909=VERTEX_POINT('',#558235); #170910=VERTEX_POINT('',#558239); #170911=VERTEX_POINT('',#558241); #170912=VERTEX_POINT('',#558245); #170913=VERTEX_POINT('',#558247); #170914=VERTEX_POINT('',#558251); #170915=VERTEX_POINT('',#558253); #170916=VERTEX_POINT('',#558257); #170917=VERTEX_POINT('',#558259); #170918=VERTEX_POINT('',#558263); #170919=VERTEX_POINT('',#558265); #170920=VERTEX_POINT('',#558269); #170921=VERTEX_POINT('',#558271); #170922=VERTEX_POINT('',#558275); #170923=VERTEX_POINT('',#558277); #170924=VERTEX_POINT('',#558281); #170925=VERTEX_POINT('',#558283); #170926=VERTEX_POINT('',#558287); #170927=VERTEX_POINT('',#558289); #170928=VERTEX_POINT('',#558293); #170929=VERTEX_POINT('',#558295); #170930=VERTEX_POINT('',#558299); #170931=VERTEX_POINT('',#558301); #170932=VERTEX_POINT('',#558305); #170933=VERTEX_POINT('',#558307); #170934=VERTEX_POINT('',#558311); #170935=VERTEX_POINT('',#558313); #170936=VERTEX_POINT('',#558317); #170937=VERTEX_POINT('',#558319); #170938=VERTEX_POINT('',#558323); #170939=VERTEX_POINT('',#558325); #170940=VERTEX_POINT('',#558329); #170941=VERTEX_POINT('',#558331); #170942=VERTEX_POINT('',#558335); #170943=VERTEX_POINT('',#558337); #170944=VERTEX_POINT('',#558341); #170945=VERTEX_POINT('',#558343); #170946=VERTEX_POINT('',#558347); #170947=VERTEX_POINT('',#558349); #170948=VERTEX_POINT('',#558353); #170949=VERTEX_POINT('',#558355); #170950=VERTEX_POINT('',#558359); #170951=VERTEX_POINT('',#558361); #170952=VERTEX_POINT('',#558365); #170953=VERTEX_POINT('',#558367); #170954=VERTEX_POINT('',#558371); #170955=VERTEX_POINT('',#558373); #170956=VERTEX_POINT('',#558377); #170957=VERTEX_POINT('',#558379); #170958=VERTEX_POINT('',#558383); #170959=VERTEX_POINT('',#558385); #170960=VERTEX_POINT('',#558389); #170961=VERTEX_POINT('',#558391); #170962=VERTEX_POINT('',#558395); #170963=VERTEX_POINT('',#558397); #170964=VERTEX_POINT('',#558401); #170965=VERTEX_POINT('',#558403); #170966=VERTEX_POINT('',#558407); #170967=VERTEX_POINT('',#558409); #170968=VERTEX_POINT('',#558413); #170969=VERTEX_POINT('',#558415); #170970=VERTEX_POINT('',#558419); #170971=VERTEX_POINT('',#558421); #170972=VERTEX_POINT('',#558425); #170973=VERTEX_POINT('',#558427); #170974=VERTEX_POINT('',#558431); #170975=VERTEX_POINT('',#558433); #170976=VERTEX_POINT('',#558437); #170977=VERTEX_POINT('',#558439); #170978=VERTEX_POINT('',#558443); #170979=VERTEX_POINT('',#558445); #170980=VERTEX_POINT('',#558449); #170981=VERTEX_POINT('',#558451); #170982=VERTEX_POINT('',#558455); #170983=VERTEX_POINT('',#558457); #170984=VERTEX_POINT('',#558461); #170985=VERTEX_POINT('',#558463); #170986=VERTEX_POINT('',#558467); #170987=VERTEX_POINT('',#558469); #170988=VERTEX_POINT('',#558473); #170989=VERTEX_POINT('',#558475); #170990=VERTEX_POINT('',#558479); #170991=VERTEX_POINT('',#558481); #170992=VERTEX_POINT('',#558485); #170993=VERTEX_POINT('',#558487); #170994=VERTEX_POINT('',#558491); #170995=VERTEX_POINT('',#558493); #170996=VERTEX_POINT('',#558497); #170997=VERTEX_POINT('',#558499); #170998=VERTEX_POINT('',#558503); #170999=VERTEX_POINT('',#558505); #171000=VERTEX_POINT('',#558509); #171001=VERTEX_POINT('',#558511); #171002=VERTEX_POINT('',#558515); #171003=VERTEX_POINT('',#558517); #171004=VERTEX_POINT('',#558521); #171005=VERTEX_POINT('',#558523); #171006=VERTEX_POINT('',#558527); #171007=VERTEX_POINT('',#558529); #171008=VERTEX_POINT('',#558533); #171009=VERTEX_POINT('',#558535); #171010=VERTEX_POINT('',#558539); #171011=VERTEX_POINT('',#558541); #171012=VERTEX_POINT('',#558545); #171013=VERTEX_POINT('',#558547); #171014=VERTEX_POINT('',#558551); #171015=VERTEX_POINT('',#558553); #171016=VERTEX_POINT('',#558557); #171017=VERTEX_POINT('',#558559); #171018=VERTEX_POINT('',#558563); #171019=VERTEX_POINT('',#558565); #171020=VERTEX_POINT('',#558569); #171021=VERTEX_POINT('',#558571); #171022=VERTEX_POINT('',#558575); #171023=VERTEX_POINT('',#558577); #171024=VERTEX_POINT('',#558581); #171025=VERTEX_POINT('',#558583); #171026=VERTEX_POINT('',#558587); #171027=VERTEX_POINT('',#558589); #171028=VERTEX_POINT('',#558593); #171029=VERTEX_POINT('',#558595); #171030=VERTEX_POINT('',#558599); #171031=VERTEX_POINT('',#558601); #171032=VERTEX_POINT('',#558605); #171033=VERTEX_POINT('',#558607); #171034=VERTEX_POINT('',#558611); #171035=VERTEX_POINT('',#558613); #171036=VERTEX_POINT('',#558617); #171037=VERTEX_POINT('',#558619); #171038=VERTEX_POINT('',#558623); #171039=VERTEX_POINT('',#558625); #171040=VERTEX_POINT('',#558629); #171041=VERTEX_POINT('',#558631); #171042=VERTEX_POINT('',#558635); #171043=VERTEX_POINT('',#558637); #171044=VERTEX_POINT('',#558641); #171045=VERTEX_POINT('',#558643); #171046=VERTEX_POINT('',#558647); #171047=VERTEX_POINT('',#558649); #171048=VERTEX_POINT('',#558653); #171049=VERTEX_POINT('',#558655); #171050=VERTEX_POINT('',#558659); #171051=VERTEX_POINT('',#558661); #171052=VERTEX_POINT('',#558665); #171053=VERTEX_POINT('',#558667); #171054=VERTEX_POINT('',#558671); #171055=VERTEX_POINT('',#558673); #171056=VERTEX_POINT('',#558677); #171057=VERTEX_POINT('',#558679); #171058=VERTEX_POINT('',#558683); #171059=VERTEX_POINT('',#558685); #171060=VERTEX_POINT('',#558689); #171061=VERTEX_POINT('',#558691); #171062=VERTEX_POINT('',#558695); #171063=VERTEX_POINT('',#558697); #171064=VERTEX_POINT('',#558701); #171065=VERTEX_POINT('',#558703); #171066=VERTEX_POINT('',#558707); #171067=VERTEX_POINT('',#558709); #171068=VERTEX_POINT('',#558713); #171069=VERTEX_POINT('',#558715); #171070=VERTEX_POINT('',#558719); #171071=VERTEX_POINT('',#558721); #171072=VERTEX_POINT('',#558725); #171073=VERTEX_POINT('',#558727); #171074=VERTEX_POINT('',#558731); #171075=VERTEX_POINT('',#558733); #171076=VERTEX_POINT('',#558737); #171077=VERTEX_POINT('',#558739); #171078=VERTEX_POINT('',#558743); #171079=VERTEX_POINT('',#558745); #171080=VERTEX_POINT('',#558749); #171081=VERTEX_POINT('',#558751); #171082=VERTEX_POINT('',#558755); #171083=VERTEX_POINT('',#558757); #171084=VERTEX_POINT('',#558761); #171085=VERTEX_POINT('',#558763); #171086=VERTEX_POINT('',#558767); #171087=VERTEX_POINT('',#558769); #171088=VERTEX_POINT('',#558773); #171089=VERTEX_POINT('',#558775); #171090=VERTEX_POINT('',#558779); #171091=VERTEX_POINT('',#558781); #171092=VERTEX_POINT('',#558785); #171093=VERTEX_POINT('',#558787); #171094=VERTEX_POINT('',#558791); #171095=VERTEX_POINT('',#558793); #171096=VERTEX_POINT('',#558797); #171097=VERTEX_POINT('',#558799); #171098=VERTEX_POINT('',#558803); #171099=VERTEX_POINT('',#558805); #171100=VERTEX_POINT('',#558809); #171101=VERTEX_POINT('',#558811); #171102=VERTEX_POINT('',#558815); #171103=VERTEX_POINT('',#558817); #171104=VERTEX_POINT('',#558821); #171105=VERTEX_POINT('',#558823); #171106=VERTEX_POINT('',#558827); #171107=VERTEX_POINT('',#558829); #171108=VERTEX_POINT('',#558833); #171109=VERTEX_POINT('',#558835); #171110=VERTEX_POINT('',#558839); #171111=VERTEX_POINT('',#558841); #171112=VERTEX_POINT('',#558845); #171113=VERTEX_POINT('',#558847); #171114=VERTEX_POINT('',#558851); #171115=VERTEX_POINT('',#558853); #171116=VERTEX_POINT('',#558857); #171117=VERTEX_POINT('',#558859); #171118=VERTEX_POINT('',#558863); #171119=VERTEX_POINT('',#558865); #171120=VERTEX_POINT('',#558869); #171121=VERTEX_POINT('',#558871); #171122=VERTEX_POINT('',#558875); #171123=VERTEX_POINT('',#558877); #171124=VERTEX_POINT('',#558881); #171125=VERTEX_POINT('',#558883); #171126=VERTEX_POINT('',#558887); #171127=VERTEX_POINT('',#558889); #171128=VERTEX_POINT('',#558893); #171129=VERTEX_POINT('',#558895); #171130=VERTEX_POINT('',#558899); #171131=VERTEX_POINT('',#558901); #171132=VERTEX_POINT('',#558905); #171133=VERTEX_POINT('',#558907); #171134=VERTEX_POINT('',#558914); #171135=VERTEX_POINT('',#558915); #171136=VERTEX_POINT('',#558917); #171137=VERTEX_POINT('',#558919); #171138=VERTEX_POINT('',#558923); #171139=VERTEX_POINT('',#558925); #171140=VERTEX_POINT('',#558929); #171141=VERTEX_POINT('',#558931); #171142=VERTEX_POINT('',#558935); #171143=VERTEX_POINT('',#558937); #171144=VERTEX_POINT('',#558941); #171145=VERTEX_POINT('',#558943); #171146=VERTEX_POINT('',#558947); #171147=VERTEX_POINT('',#558949); #171148=VERTEX_POINT('',#558953); #171149=VERTEX_POINT('',#558955); #171150=VERTEX_POINT('',#558959); #171151=VERTEX_POINT('',#558961); #171152=VERTEX_POINT('',#558965); #171153=VERTEX_POINT('',#558967); #171154=VERTEX_POINT('',#558971); #171155=VERTEX_POINT('',#558973); #171156=VERTEX_POINT('',#558977); #171157=VERTEX_POINT('',#558979); #171158=VERTEX_POINT('',#558983); #171159=VERTEX_POINT('',#558985); #171160=VERTEX_POINT('',#558989); #171161=VERTEX_POINT('',#558991); #171162=VERTEX_POINT('',#558995); #171163=VERTEX_POINT('',#558997); #171164=VERTEX_POINT('',#559001); #171165=VERTEX_POINT('',#559003); #171166=VERTEX_POINT('',#559007); #171167=VERTEX_POINT('',#559009); #171168=VERTEX_POINT('',#559013); #171169=VERTEX_POINT('',#559015); #171170=VERTEX_POINT('',#559019); #171171=VERTEX_POINT('',#559021); #171172=VERTEX_POINT('',#559025); #171173=VERTEX_POINT('',#559027); #171174=VERTEX_POINT('',#559031); #171175=VERTEX_POINT('',#559033); #171176=VERTEX_POINT('',#559037); #171177=VERTEX_POINT('',#559039); #171178=VERTEX_POINT('',#559043); #171179=VERTEX_POINT('',#559045); #171180=VERTEX_POINT('',#559049); #171181=VERTEX_POINT('',#559051); #171182=VERTEX_POINT('',#559055); #171183=VERTEX_POINT('',#559057); #171184=VERTEX_POINT('',#559061); #171185=VERTEX_POINT('',#559063); #171186=VERTEX_POINT('',#559067); #171187=VERTEX_POINT('',#559069); #171188=VERTEX_POINT('',#559073); #171189=VERTEX_POINT('',#559075); #171190=VERTEX_POINT('',#559079); #171191=VERTEX_POINT('',#559081); #171192=VERTEX_POINT('',#559085); #171193=VERTEX_POINT('',#559087); #171194=VERTEX_POINT('',#559091); #171195=VERTEX_POINT('',#559093); #171196=VERTEX_POINT('',#559097); #171197=VERTEX_POINT('',#559099); #171198=VERTEX_POINT('',#559103); #171199=VERTEX_POINT('',#559105); #171200=VERTEX_POINT('',#559109); #171201=VERTEX_POINT('',#559111); #171202=VERTEX_POINT('',#559115); #171203=VERTEX_POINT('',#559117); #171204=VERTEX_POINT('',#559121); #171205=VERTEX_POINT('',#559123); #171206=VERTEX_POINT('',#559127); #171207=VERTEX_POINT('',#559129); #171208=VERTEX_POINT('',#559133); #171209=VERTEX_POINT('',#559135); #171210=VERTEX_POINT('',#559139); #171211=VERTEX_POINT('',#559141); #171212=VERTEX_POINT('',#559145); #171213=VERTEX_POINT('',#559147); #171214=VERTEX_POINT('',#559151); #171215=VERTEX_POINT('',#559153); #171216=VERTEX_POINT('',#559157); #171217=VERTEX_POINT('',#559159); #171218=VERTEX_POINT('',#559163); #171219=VERTEX_POINT('',#559165); #171220=VERTEX_POINT('',#559169); #171221=VERTEX_POINT('',#559171); #171222=VERTEX_POINT('',#559175); #171223=VERTEX_POINT('',#559177); #171224=VERTEX_POINT('',#559181); #171225=VERTEX_POINT('',#559183); #171226=VERTEX_POINT('',#559187); #171227=VERTEX_POINT('',#559189); #171228=VERTEX_POINT('',#559193); #171229=VERTEX_POINT('',#559195); #171230=VERTEX_POINT('',#559199); #171231=VERTEX_POINT('',#559201); #171232=VERTEX_POINT('',#559205); #171233=VERTEX_POINT('',#559207); #171234=VERTEX_POINT('',#559211); #171235=VERTEX_POINT('',#559213); #171236=VERTEX_POINT('',#559217); #171237=VERTEX_POINT('',#559219); #171238=VERTEX_POINT('',#559223); #171239=VERTEX_POINT('',#559225); #171240=VERTEX_POINT('',#559229); #171241=VERTEX_POINT('',#559231); #171242=VERTEX_POINT('',#559235); #171243=VERTEX_POINT('',#559237); #171244=VERTEX_POINT('',#559241); #171245=VERTEX_POINT('',#559243); #171246=VERTEX_POINT('',#559247); #171247=VERTEX_POINT('',#559249); #171248=VERTEX_POINT('',#559253); #171249=VERTEX_POINT('',#559255); #171250=VERTEX_POINT('',#559259); #171251=VERTEX_POINT('',#559261); #171252=VERTEX_POINT('',#559265); #171253=VERTEX_POINT('',#559267); #171254=VERTEX_POINT('',#559271); #171255=VERTEX_POINT('',#559273); #171256=VERTEX_POINT('',#559277); #171257=VERTEX_POINT('',#559279); #171258=VERTEX_POINT('',#559283); #171259=VERTEX_POINT('',#559285); #171260=VERTEX_POINT('',#559289); #171261=VERTEX_POINT('',#559291); #171262=VERTEX_POINT('',#559295); #171263=VERTEX_POINT('',#559297); #171264=VERTEX_POINT('',#559301); #171265=VERTEX_POINT('',#559303); #171266=VERTEX_POINT('',#559307); #171267=VERTEX_POINT('',#559309); #171268=VERTEX_POINT('',#559313); #171269=VERTEX_POINT('',#559315); #171270=VERTEX_POINT('',#559319); #171271=VERTEX_POINT('',#559321); #171272=VERTEX_POINT('',#559325); #171273=VERTEX_POINT('',#559327); #171274=VERTEX_POINT('',#559331); #171275=VERTEX_POINT('',#559333); #171276=VERTEX_POINT('',#559337); #171277=VERTEX_POINT('',#559339); #171278=VERTEX_POINT('',#559343); #171279=VERTEX_POINT('',#559345); #171280=VERTEX_POINT('',#559349); #171281=VERTEX_POINT('',#559351); #171282=VERTEX_POINT('',#559355); #171283=VERTEX_POINT('',#559357); #171284=VERTEX_POINT('',#559361); #171285=VERTEX_POINT('',#559363); #171286=VERTEX_POINT('',#559367); #171287=VERTEX_POINT('',#559369); #171288=VERTEX_POINT('',#559373); #171289=VERTEX_POINT('',#559375); #171290=VERTEX_POINT('',#559379); #171291=VERTEX_POINT('',#559381); #171292=VERTEX_POINT('',#559385); #171293=VERTEX_POINT('',#559387); #171294=VERTEX_POINT('',#559391); #171295=VERTEX_POINT('',#559393); #171296=VERTEX_POINT('',#559397); #171297=VERTEX_POINT('',#559399); #171298=VERTEX_POINT('',#559403); #171299=VERTEX_POINT('',#559405); #171300=VERTEX_POINT('',#559409); #171301=VERTEX_POINT('',#559411); #171302=VERTEX_POINT('',#559415); #171303=VERTEX_POINT('',#559417); #171304=VERTEX_POINT('',#559421); #171305=VERTEX_POINT('',#559423); #171306=VERTEX_POINT('',#559427); #171307=VERTEX_POINT('',#559429); #171308=VERTEX_POINT('',#559433); #171309=VERTEX_POINT('',#559435); #171310=VERTEX_POINT('',#559439); #171311=VERTEX_POINT('',#559441); #171312=VERTEX_POINT('',#559445); #171313=VERTEX_POINT('',#559447); #171314=VERTEX_POINT('',#559451); #171315=VERTEX_POINT('',#559453); #171316=VERTEX_POINT('',#559457); #171317=VERTEX_POINT('',#559459); #171318=VERTEX_POINT('',#559463); #171319=VERTEX_POINT('',#559465); #171320=VERTEX_POINT('',#559469); #171321=VERTEX_POINT('',#559471); #171322=VERTEX_POINT('',#559475); #171323=VERTEX_POINT('',#559477); #171324=VERTEX_POINT('',#559481); #171325=VERTEX_POINT('',#559483); #171326=VERTEX_POINT('',#559487); #171327=VERTEX_POINT('',#559489); #171328=VERTEX_POINT('',#559493); #171329=VERTEX_POINT('',#559495); #171330=VERTEX_POINT('',#559499); #171331=VERTEX_POINT('',#559501); #171332=VERTEX_POINT('',#559505); #171333=VERTEX_POINT('',#559507); #171334=VERTEX_POINT('',#559514); #171335=VERTEX_POINT('',#559515); #171336=VERTEX_POINT('',#559517); #171337=VERTEX_POINT('',#559519); #171338=VERTEX_POINT('',#559523); #171339=VERTEX_POINT('',#559525); #171340=VERTEX_POINT('',#559529); #171341=VERTEX_POINT('',#559531); #171342=VERTEX_POINT('',#559535); #171343=VERTEX_POINT('',#559537); #171344=VERTEX_POINT('',#559541); #171345=VERTEX_POINT('',#559543); #171346=VERTEX_POINT('',#559547); #171347=VERTEX_POINT('',#559549); #171348=VERTEX_POINT('',#559553); #171349=VERTEX_POINT('',#559555); #171350=VERTEX_POINT('',#559559); #171351=VERTEX_POINT('',#559561); #171352=VERTEX_POINT('',#559565); #171353=VERTEX_POINT('',#559567); #171354=VERTEX_POINT('',#559571); #171355=VERTEX_POINT('',#559573); #171356=VERTEX_POINT('',#559577); #171357=VERTEX_POINT('',#559579); #171358=VERTEX_POINT('',#559583); #171359=VERTEX_POINT('',#559585); #171360=VERTEX_POINT('',#559589); #171361=VERTEX_POINT('',#559591); #171362=VERTEX_POINT('',#559595); #171363=VERTEX_POINT('',#559597); #171364=VERTEX_POINT('',#559601); #171365=VERTEX_POINT('',#559603); #171366=VERTEX_POINT('',#559607); #171367=VERTEX_POINT('',#559609); #171368=VERTEX_POINT('',#559613); #171369=VERTEX_POINT('',#559615); #171370=VERTEX_POINT('',#559619); #171371=VERTEX_POINT('',#559621); #171372=VERTEX_POINT('',#559625); #171373=VERTEX_POINT('',#559627); #171374=VERTEX_POINT('',#559631); #171375=VERTEX_POINT('',#559633); #171376=VERTEX_POINT('',#559637); #171377=VERTEX_POINT('',#559639); #171378=VERTEX_POINT('',#559643); #171379=VERTEX_POINT('',#559645); #171380=VERTEX_POINT('',#559649); #171381=VERTEX_POINT('',#559651); #171382=VERTEX_POINT('',#559655); #171383=VERTEX_POINT('',#559657); #171384=VERTEX_POINT('',#559661); #171385=VERTEX_POINT('',#559663); #171386=VERTEX_POINT('',#559667); #171387=VERTEX_POINT('',#559669); #171388=VERTEX_POINT('',#559673); #171389=VERTEX_POINT('',#559675); #171390=VERTEX_POINT('',#559679); #171391=VERTEX_POINT('',#559681); #171392=VERTEX_POINT('',#559685); #171393=VERTEX_POINT('',#559687); #171394=VERTEX_POINT('',#559691); #171395=VERTEX_POINT('',#559693); #171396=VERTEX_POINT('',#559697); #171397=VERTEX_POINT('',#559699); #171398=VERTEX_POINT('',#559703); #171399=VERTEX_POINT('',#559705); #171400=VERTEX_POINT('',#559709); #171401=VERTEX_POINT('',#559711); #171402=VERTEX_POINT('',#559715); #171403=VERTEX_POINT('',#559717); #171404=VERTEX_POINT('',#559721); #171405=VERTEX_POINT('',#559723); #171406=VERTEX_POINT('',#559727); #171407=VERTEX_POINT('',#559729); #171408=VERTEX_POINT('',#559733); #171409=VERTEX_POINT('',#559735); #171410=VERTEX_POINT('',#559739); #171411=VERTEX_POINT('',#559741); #171412=VERTEX_POINT('',#559745); #171413=VERTEX_POINT('',#559747); #171414=VERTEX_POINT('',#559751); #171415=VERTEX_POINT('',#559753); #171416=VERTEX_POINT('',#559757); #171417=VERTEX_POINT('',#559759); #171418=VERTEX_POINT('',#559763); #171419=VERTEX_POINT('',#559765); #171420=VERTEX_POINT('',#559769); #171421=VERTEX_POINT('',#559771); #171422=VERTEX_POINT('',#559775); #171423=VERTEX_POINT('',#559777); #171424=VERTEX_POINT('',#559781); #171425=VERTEX_POINT('',#559783); #171426=VERTEX_POINT('',#559787); #171427=VERTEX_POINT('',#559789); #171428=VERTEX_POINT('',#559793); #171429=VERTEX_POINT('',#559795); #171430=VERTEX_POINT('',#559799); #171431=VERTEX_POINT('',#559801); #171432=VERTEX_POINT('',#559805); #171433=VERTEX_POINT('',#559807); #171434=VERTEX_POINT('',#559811); #171435=VERTEX_POINT('',#559813); #171436=VERTEX_POINT('',#559817); #171437=VERTEX_POINT('',#559819); #171438=VERTEX_POINT('',#559823); #171439=VERTEX_POINT('',#559825); #171440=VERTEX_POINT('',#559829); #171441=VERTEX_POINT('',#559831); #171442=VERTEX_POINT('',#559835); #171443=VERTEX_POINT('',#559837); #171444=VERTEX_POINT('',#559841); #171445=VERTEX_POINT('',#559843); #171446=VERTEX_POINT('',#559847); #171447=VERTEX_POINT('',#559849); #171448=VERTEX_POINT('',#559853); #171449=VERTEX_POINT('',#559855); #171450=VERTEX_POINT('',#559859); #171451=VERTEX_POINT('',#559861); #171452=VERTEX_POINT('',#559865); #171453=VERTEX_POINT('',#559867); #171454=VERTEX_POINT('',#559871); #171455=VERTEX_POINT('',#559873); #171456=VERTEX_POINT('',#559877); #171457=VERTEX_POINT('',#559879); #171458=VERTEX_POINT('',#559883); #171459=VERTEX_POINT('',#559885); #171460=VERTEX_POINT('',#559889); #171461=VERTEX_POINT('',#559891); #171462=VERTEX_POINT('',#559895); #171463=VERTEX_POINT('',#559897); #171464=VERTEX_POINT('',#559901); #171465=VERTEX_POINT('',#559903); #171466=VERTEX_POINT('',#559907); #171467=VERTEX_POINT('',#559909); #171468=VERTEX_POINT('',#559913); #171469=VERTEX_POINT('',#559915); #171470=VERTEX_POINT('',#559919); #171471=VERTEX_POINT('',#559921); #171472=VERTEX_POINT('',#559925); #171473=VERTEX_POINT('',#559927); #171474=VERTEX_POINT('',#559931); #171475=VERTEX_POINT('',#559933); #171476=VERTEX_POINT('',#559937); #171477=VERTEX_POINT('',#559939); #171478=VERTEX_POINT('',#559943); #171479=VERTEX_POINT('',#559945); #171480=VERTEX_POINT('',#559949); #171481=VERTEX_POINT('',#559951); #171482=VERTEX_POINT('',#559955); #171483=VERTEX_POINT('',#559957); #171484=VERTEX_POINT('',#559961); #171485=VERTEX_POINT('',#559963); #171486=VERTEX_POINT('',#559967); #171487=VERTEX_POINT('',#559969); #171488=VERTEX_POINT('',#559973); #171489=VERTEX_POINT('',#559975); #171490=VERTEX_POINT('',#559979); #171491=VERTEX_POINT('',#559981); #171492=VERTEX_POINT('',#559985); #171493=VERTEX_POINT('',#559987); #171494=VERTEX_POINT('',#559991); #171495=VERTEX_POINT('',#559993); #171496=VERTEX_POINT('',#559997); #171497=VERTEX_POINT('',#559999); #171498=VERTEX_POINT('',#560003); #171499=VERTEX_POINT('',#560005); #171500=VERTEX_POINT('',#560009); #171501=VERTEX_POINT('',#560011); #171502=VERTEX_POINT('',#560015); #171503=VERTEX_POINT('',#560017); #171504=VERTEX_POINT('',#560021); #171505=VERTEX_POINT('',#560023); #171506=VERTEX_POINT('',#560027); #171507=VERTEX_POINT('',#560029); #171508=VERTEX_POINT('',#560033); #171509=VERTEX_POINT('',#560035); #171510=VERTEX_POINT('',#560039); #171511=VERTEX_POINT('',#560041); #171512=VERTEX_POINT('',#560045); #171513=VERTEX_POINT('',#560047); #171514=VERTEX_POINT('',#560051); #171515=VERTEX_POINT('',#560053); #171516=VERTEX_POINT('',#560057); #171517=VERTEX_POINT('',#560059); #171518=VERTEX_POINT('',#560063); #171519=VERTEX_POINT('',#560065); #171520=VERTEX_POINT('',#560069); #171521=VERTEX_POINT('',#560071); #171522=VERTEX_POINT('',#560075); #171523=VERTEX_POINT('',#560077); #171524=VERTEX_POINT('',#560081); #171525=VERTEX_POINT('',#560083); #171526=VERTEX_POINT('',#560087); #171527=VERTEX_POINT('',#560089); #171528=VERTEX_POINT('',#560093); #171529=VERTEX_POINT('',#560095); #171530=VERTEX_POINT('',#560099); #171531=VERTEX_POINT('',#560101); #171532=VERTEX_POINT('',#560105); #171533=VERTEX_POINT('',#560107); #171534=VERTEX_POINT('',#560111); #171535=VERTEX_POINT('',#560113); #171536=VERTEX_POINT('',#560117); #171537=VERTEX_POINT('',#560119); #171538=VERTEX_POINT('',#560123); #171539=VERTEX_POINT('',#560125); #171540=VERTEX_POINT('',#560129); #171541=VERTEX_POINT('',#560131); #171542=VERTEX_POINT('',#560135); #171543=VERTEX_POINT('',#560137); #171544=VERTEX_POINT('',#560141); #171545=VERTEX_POINT('',#560143); #171546=VERTEX_POINT('',#560147); #171547=VERTEX_POINT('',#560149); #171548=VERTEX_POINT('',#560153); #171549=VERTEX_POINT('',#560155); #171550=VERTEX_POINT('',#560159); #171551=VERTEX_POINT('',#560161); #171552=VERTEX_POINT('',#560165); #171553=VERTEX_POINT('',#560167); #171554=VERTEX_POINT('',#560171); #171555=VERTEX_POINT('',#560173); #171556=VERTEX_POINT('',#560177); #171557=VERTEX_POINT('',#560179); #171558=VERTEX_POINT('',#560183); #171559=VERTEX_POINT('',#560185); #171560=VERTEX_POINT('',#560189); #171561=VERTEX_POINT('',#560191); #171562=VERTEX_POINT('',#560195); #171563=VERTEX_POINT('',#560197); #171564=VERTEX_POINT('',#560201); #171565=VERTEX_POINT('',#560203); #171566=VERTEX_POINT('',#560207); #171567=VERTEX_POINT('',#560209); #171568=VERTEX_POINT('',#560213); #171569=VERTEX_POINT('',#560215); #171570=VERTEX_POINT('',#560219); #171571=VERTEX_POINT('',#560221); #171572=VERTEX_POINT('',#560225); #171573=VERTEX_POINT('',#560227); #171574=VERTEX_POINT('',#560231); #171575=VERTEX_POINT('',#560233); #171576=VERTEX_POINT('',#560237); #171577=VERTEX_POINT('',#560239); #171578=VERTEX_POINT('',#560243); #171579=VERTEX_POINT('',#560245); #171580=VERTEX_POINT('',#560249); #171581=VERTEX_POINT('',#560251); #171582=VERTEX_POINT('',#560255); #171583=VERTEX_POINT('',#560257); #171584=VERTEX_POINT('',#560261); #171585=VERTEX_POINT('',#560263); #171586=VERTEX_POINT('',#560267); #171587=VERTEX_POINT('',#560269); #171588=VERTEX_POINT('',#560273); #171589=VERTEX_POINT('',#560275); #171590=VERTEX_POINT('',#560279); #171591=VERTEX_POINT('',#560281); #171592=VERTEX_POINT('',#560285); #171593=VERTEX_POINT('',#560287); #171594=VERTEX_POINT('',#560291); #171595=VERTEX_POINT('',#560293); #171596=VERTEX_POINT('',#560297); #171597=VERTEX_POINT('',#560299); #171598=VERTEX_POINT('',#560303); #171599=VERTEX_POINT('',#560305); #171600=VERTEX_POINT('',#560309); #171601=VERTEX_POINT('',#560311); #171602=VERTEX_POINT('',#560315); #171603=VERTEX_POINT('',#560317); #171604=VERTEX_POINT('',#560321); #171605=VERTEX_POINT('',#560323); #171606=VERTEX_POINT('',#560327); #171607=VERTEX_POINT('',#560329); #171608=VERTEX_POINT('',#560333); #171609=VERTEX_POINT('',#560335); #171610=VERTEX_POINT('',#560339); #171611=VERTEX_POINT('',#560341); #171612=VERTEX_POINT('',#560345); #171613=VERTEX_POINT('',#560347); #171614=VERTEX_POINT('',#560351); #171615=VERTEX_POINT('',#560353); #171616=VERTEX_POINT('',#560357); #171617=VERTEX_POINT('',#560359); #171618=VERTEX_POINT('',#560363); #171619=VERTEX_POINT('',#560365); #171620=VERTEX_POINT('',#560369); #171621=VERTEX_POINT('',#560371); #171622=VERTEX_POINT('',#560375); #171623=VERTEX_POINT('',#560377); #171624=VERTEX_POINT('',#560381); #171625=VERTEX_POINT('',#560383); #171626=VERTEX_POINT('',#560387); #171627=VERTEX_POINT('',#560389); #171628=VERTEX_POINT('',#560393); #171629=VERTEX_POINT('',#560395); #171630=VERTEX_POINT('',#560399); #171631=VERTEX_POINT('',#560401); #171632=VERTEX_POINT('',#560405); #171633=VERTEX_POINT('',#560407); #171634=VERTEX_POINT('',#560411); #171635=VERTEX_POINT('',#560413); #171636=VERTEX_POINT('',#560417); #171637=VERTEX_POINT('',#560419); #171638=VERTEX_POINT('',#560423); #171639=VERTEX_POINT('',#560425); #171640=VERTEX_POINT('',#560429); #171641=VERTEX_POINT('',#560431); #171642=VERTEX_POINT('',#560435); #171643=VERTEX_POINT('',#560437); #171644=VERTEX_POINT('',#560441); #171645=VERTEX_POINT('',#560443); #171646=VERTEX_POINT('',#560447); #171647=VERTEX_POINT('',#560449); #171648=VERTEX_POINT('',#560453); #171649=VERTEX_POINT('',#560455); #171650=VERTEX_POINT('',#560459); #171651=VERTEX_POINT('',#560461); #171652=VERTEX_POINT('',#560465); #171653=VERTEX_POINT('',#560467); #171654=VERTEX_POINT('',#560471); #171655=VERTEX_POINT('',#560473); #171656=VERTEX_POINT('',#560477); #171657=VERTEX_POINT('',#560479); #171658=VERTEX_POINT('',#560483); #171659=VERTEX_POINT('',#560485); #171660=VERTEX_POINT('',#560489); #171661=VERTEX_POINT('',#560491); #171662=VERTEX_POINT('',#560495); #171663=VERTEX_POINT('',#560497); #171664=VERTEX_POINT('',#560501); #171665=VERTEX_POINT('',#560503); #171666=VERTEX_POINT('',#560507); #171667=VERTEX_POINT('',#560509); #171668=VERTEX_POINT('',#560513); #171669=VERTEX_POINT('',#560515); #171670=VERTEX_POINT('',#560519); #171671=VERTEX_POINT('',#560521); #171672=VERTEX_POINT('',#560525); #171673=VERTEX_POINT('',#560527); #171674=VERTEX_POINT('',#560531); #171675=VERTEX_POINT('',#560533); #171676=VERTEX_POINT('',#560537); #171677=VERTEX_POINT('',#560539); #171678=VERTEX_POINT('',#560543); #171679=VERTEX_POINT('',#560545); #171680=VERTEX_POINT('',#560549); #171681=VERTEX_POINT('',#560551); #171682=VERTEX_POINT('',#560555); #171683=VERTEX_POINT('',#560557); #171684=VERTEX_POINT('',#560561); #171685=VERTEX_POINT('',#560563); #171686=VERTEX_POINT('',#560567); #171687=VERTEX_POINT('',#560569); #171688=VERTEX_POINT('',#560573); #171689=VERTEX_POINT('',#560575); #171690=VERTEX_POINT('',#560579); #171691=VERTEX_POINT('',#560581); #171692=VERTEX_POINT('',#560585); #171693=VERTEX_POINT('',#560587); #171694=VERTEX_POINT('',#560591); #171695=VERTEX_POINT('',#560593); #171696=VERTEX_POINT('',#560597); #171697=VERTEX_POINT('',#560599); #171698=VERTEX_POINT('',#560603); #171699=VERTEX_POINT('',#560605); #171700=VERTEX_POINT('',#560609); #171701=VERTEX_POINT('',#560611); #171702=VERTEX_POINT('',#560615); #171703=VERTEX_POINT('',#560617); #171704=VERTEX_POINT('',#560621); #171705=VERTEX_POINT('',#560623); #171706=VERTEX_POINT('',#560627); #171707=VERTEX_POINT('',#560629); #171708=VERTEX_POINT('',#560633); #171709=VERTEX_POINT('',#560635); #171710=VERTEX_POINT('',#560639); #171711=VERTEX_POINT('',#560641); #171712=VERTEX_POINT('',#560645); #171713=VERTEX_POINT('',#560647); #171714=VERTEX_POINT('',#560651); #171715=VERTEX_POINT('',#560653); #171716=VERTEX_POINT('',#560657); #171717=VERTEX_POINT('',#560659); #171718=VERTEX_POINT('',#560663); #171719=VERTEX_POINT('',#560665); #171720=VERTEX_POINT('',#560669); #171721=VERTEX_POINT('',#560671); #171722=VERTEX_POINT('',#560675); #171723=VERTEX_POINT('',#560677); #171724=VERTEX_POINT('',#560681); #171725=VERTEX_POINT('',#560683); #171726=VERTEX_POINT('',#560687); #171727=VERTEX_POINT('',#560689); #171728=VERTEX_POINT('',#560693); #171729=VERTEX_POINT('',#560695); #171730=VERTEX_POINT('',#560699); #171731=VERTEX_POINT('',#560701); #171732=VERTEX_POINT('',#560705); #171733=VERTEX_POINT('',#560707); #171734=VERTEX_POINT('',#560711); #171735=VERTEX_POINT('',#560713); #171736=VERTEX_POINT('',#560717); #171737=VERTEX_POINT('',#560719); #171738=VERTEX_POINT('',#560723); #171739=VERTEX_POINT('',#560725); #171740=VERTEX_POINT('',#560729); #171741=VERTEX_POINT('',#560731); #171742=VERTEX_POINT('',#560735); #171743=VERTEX_POINT('',#560737); #171744=VERTEX_POINT('',#560741); #171745=VERTEX_POINT('',#560743); #171746=VERTEX_POINT('',#560747); #171747=VERTEX_POINT('',#560749); #171748=VERTEX_POINT('',#560753); #171749=VERTEX_POINT('',#560755); #171750=VERTEX_POINT('',#560759); #171751=VERTEX_POINT('',#560761); #171752=VERTEX_POINT('',#560765); #171753=VERTEX_POINT('',#560767); #171754=VERTEX_POINT('',#560771); #171755=VERTEX_POINT('',#560773); #171756=VERTEX_POINT('',#560777); #171757=VERTEX_POINT('',#560779); #171758=VERTEX_POINT('',#560783); #171759=VERTEX_POINT('',#560785); #171760=VERTEX_POINT('',#560789); #171761=VERTEX_POINT('',#560791); #171762=VERTEX_POINT('',#560795); #171763=VERTEX_POINT('',#560797); #171764=VERTEX_POINT('',#560801); #171765=VERTEX_POINT('',#560803); #171766=VERTEX_POINT('',#560807); #171767=VERTEX_POINT('',#560809); #171768=VERTEX_POINT('',#560813); #171769=VERTEX_POINT('',#560815); #171770=VERTEX_POINT('',#560819); #171771=VERTEX_POINT('',#560821); #171772=VERTEX_POINT('',#560825); #171773=VERTEX_POINT('',#560827); #171774=VERTEX_POINT('',#560831); #171775=VERTEX_POINT('',#560833); #171776=VERTEX_POINT('',#560837); #171777=VERTEX_POINT('',#560839); #171778=VERTEX_POINT('',#560843); #171779=VERTEX_POINT('',#560845); #171780=VERTEX_POINT('',#560849); #171781=VERTEX_POINT('',#560851); #171782=VERTEX_POINT('',#560855); #171783=VERTEX_POINT('',#560857); #171784=VERTEX_POINT('',#560861); #171785=VERTEX_POINT('',#560863); #171786=VERTEX_POINT('',#560867); #171787=VERTEX_POINT('',#560869); #171788=VERTEX_POINT('',#560873); #171789=VERTEX_POINT('',#560875); #171790=VERTEX_POINT('',#560879); #171791=VERTEX_POINT('',#560881); #171792=VERTEX_POINT('',#560885); #171793=VERTEX_POINT('',#560887); #171794=VERTEX_POINT('',#560891); #171795=VERTEX_POINT('',#560893); #171796=VERTEX_POINT('',#560897); #171797=VERTEX_POINT('',#560899); #171798=VERTEX_POINT('',#560903); #171799=VERTEX_POINT('',#560905); #171800=VERTEX_POINT('',#560909); #171801=VERTEX_POINT('',#560911); #171802=VERTEX_POINT('',#560915); #171803=VERTEX_POINT('',#560917); #171804=VERTEX_POINT('',#560921); #171805=VERTEX_POINT('',#560923); #171806=VERTEX_POINT('',#560927); #171807=VERTEX_POINT('',#560929); #171808=VERTEX_POINT('',#560933); #171809=VERTEX_POINT('',#560935); #171810=VERTEX_POINT('',#560939); #171811=VERTEX_POINT('',#560941); #171812=VERTEX_POINT('',#560945); #171813=VERTEX_POINT('',#560947); #171814=VERTEX_POINT('',#560951); #171815=VERTEX_POINT('',#560953); #171816=VERTEX_POINT('',#560957); #171817=VERTEX_POINT('',#560959); #171818=VERTEX_POINT('',#560963); #171819=VERTEX_POINT('',#560965); #171820=VERTEX_POINT('',#560969); #171821=VERTEX_POINT('',#560971); #171822=VERTEX_POINT('',#560975); #171823=VERTEX_POINT('',#560977); #171824=VERTEX_POINT('',#560981); #171825=VERTEX_POINT('',#560983); #171826=VERTEX_POINT('',#560987); #171827=VERTEX_POINT('',#560989); #171828=VERTEX_POINT('',#560993); #171829=VERTEX_POINT('',#560995); #171830=VERTEX_POINT('',#560999); #171831=VERTEX_POINT('',#561001); #171832=VERTEX_POINT('',#561005); #171833=VERTEX_POINT('',#561007); #171834=VERTEX_POINT('',#561011); #171835=VERTEX_POINT('',#561013); #171836=VERTEX_POINT('',#561020); #171837=VERTEX_POINT('',#561021); #171838=VERTEX_POINT('',#561023); #171839=VERTEX_POINT('',#561025); #171840=VERTEX_POINT('',#561029); #171841=VERTEX_POINT('',#561031); #171842=VERTEX_POINT('',#561035); #171843=VERTEX_POINT('',#561037); #171844=VERTEX_POINT('',#561041); #171845=VERTEX_POINT('',#561043); #171846=VERTEX_POINT('',#561047); #171847=VERTEX_POINT('',#561049); #171848=VERTEX_POINT('',#561053); #171849=VERTEX_POINT('',#561055); #171850=VERTEX_POINT('',#561059); #171851=VERTEX_POINT('',#561061); #171852=VERTEX_POINT('',#561065); #171853=VERTEX_POINT('',#561067); #171854=VERTEX_POINT('',#561071); #171855=VERTEX_POINT('',#561073); #171856=VERTEX_POINT('',#561077); #171857=VERTEX_POINT('',#561079); #171858=VERTEX_POINT('',#561083); #171859=VERTEX_POINT('',#561085); #171860=VERTEX_POINT('',#561089); #171861=VERTEX_POINT('',#561091); #171862=VERTEX_POINT('',#561095); #171863=VERTEX_POINT('',#561097); #171864=VERTEX_POINT('',#561101); #171865=VERTEX_POINT('',#561103); #171866=VERTEX_POINT('',#561107); #171867=VERTEX_POINT('',#561109); #171868=VERTEX_POINT('',#561113); #171869=VERTEX_POINT('',#561115); #171870=VERTEX_POINT('',#561119); #171871=VERTEX_POINT('',#561121); #171872=VERTEX_POINT('',#561125); #171873=VERTEX_POINT('',#561127); #171874=VERTEX_POINT('',#561131); #171875=VERTEX_POINT('',#561133); #171876=VERTEX_POINT('',#561137); #171877=VERTEX_POINT('',#561139); #171878=VERTEX_POINT('',#561143); #171879=VERTEX_POINT('',#561145); #171880=VERTEX_POINT('',#561149); #171881=VERTEX_POINT('',#561151); #171882=VERTEX_POINT('',#561155); #171883=VERTEX_POINT('',#561157); #171884=VERTEX_POINT('',#561161); #171885=VERTEX_POINT('',#561163); #171886=VERTEX_POINT('',#561167); #171887=VERTEX_POINT('',#561169); #171888=VERTEX_POINT('',#561173); #171889=VERTEX_POINT('',#561175); #171890=VERTEX_POINT('',#561179); #171891=VERTEX_POINT('',#561181); #171892=VERTEX_POINT('',#561185); #171893=VERTEX_POINT('',#561187); #171894=VERTEX_POINT('',#561191); #171895=VERTEX_POINT('',#561193); #171896=VERTEX_POINT('',#561200); #171897=VERTEX_POINT('',#561201); #171898=VERTEX_POINT('',#561203); #171899=VERTEX_POINT('',#561205); #171900=VERTEX_POINT('',#561209); #171901=VERTEX_POINT('',#561211); #171902=VERTEX_POINT('',#561215); #171903=VERTEX_POINT('',#561217); #171904=VERTEX_POINT('',#561221); #171905=VERTEX_POINT('',#561223); #171906=VERTEX_POINT('',#561227); #171907=VERTEX_POINT('',#561229); #171908=VERTEX_POINT('',#561233); #171909=VERTEX_POINT('',#561235); #171910=VERTEX_POINT('',#561239); #171911=VERTEX_POINT('',#561241); #171912=VERTEX_POINT('',#561245); #171913=VERTEX_POINT('',#561247); #171914=VERTEX_POINT('',#561251); #171915=VERTEX_POINT('',#561253); #171916=VERTEX_POINT('',#561257); #171917=VERTEX_POINT('',#561259); #171918=VERTEX_POINT('',#561263); #171919=VERTEX_POINT('',#561265); #171920=VERTEX_POINT('',#561269); #171921=VERTEX_POINT('',#561271); #171922=VERTEX_POINT('',#561275); #171923=VERTEX_POINT('',#561277); #171924=VERTEX_POINT('',#561281); #171925=VERTEX_POINT('',#561283); #171926=VERTEX_POINT('',#561287); #171927=VERTEX_POINT('',#561289); #171928=VERTEX_POINT('',#561293); #171929=VERTEX_POINT('',#561295); #171930=VERTEX_POINT('',#561299); #171931=VERTEX_POINT('',#561301); #171932=VERTEX_POINT('',#561305); #171933=VERTEX_POINT('',#561307); #171934=VERTEX_POINT('',#561311); #171935=VERTEX_POINT('',#561313); #171936=VERTEX_POINT('',#561317); #171937=VERTEX_POINT('',#561319); #171938=VERTEX_POINT('',#561323); #171939=VERTEX_POINT('',#561325); #171940=VERTEX_POINT('',#561329); #171941=VERTEX_POINT('',#561331); #171942=VERTEX_POINT('',#561335); #171943=VERTEX_POINT('',#561337); #171944=VERTEX_POINT('',#561341); #171945=VERTEX_POINT('',#561343); #171946=VERTEX_POINT('',#561347); #171947=VERTEX_POINT('',#561349); #171948=VERTEX_POINT('',#561353); #171949=VERTEX_POINT('',#561355); #171950=VERTEX_POINT('',#561359); #171951=VERTEX_POINT('',#561361); #171952=VERTEX_POINT('',#561365); #171953=VERTEX_POINT('',#561367); #171954=VERTEX_POINT('',#561371); #171955=VERTEX_POINT('',#561373); #171956=VERTEX_POINT('',#561380); #171957=VERTEX_POINT('',#561381); #171958=VERTEX_POINT('',#561383); #171959=VERTEX_POINT('',#561385); #171960=VERTEX_POINT('',#561389); #171961=VERTEX_POINT('',#561391); #171962=VERTEX_POINT('',#561395); #171963=VERTEX_POINT('',#561397); #171964=VERTEX_POINT('',#561401); #171965=VERTEX_POINT('',#561403); #171966=VERTEX_POINT('',#561407); #171967=VERTEX_POINT('',#561409); #171968=VERTEX_POINT('',#561413); #171969=VERTEX_POINT('',#561415); #171970=VERTEX_POINT('',#561419); #171971=VERTEX_POINT('',#561421); #171972=VERTEX_POINT('',#561425); #171973=VERTEX_POINT('',#561427); #171974=VERTEX_POINT('',#561431); #171975=VERTEX_POINT('',#561433); #171976=VERTEX_POINT('',#561437); #171977=VERTEX_POINT('',#561439); #171978=VERTEX_POINT('',#561443); #171979=VERTEX_POINT('',#561445); #171980=VERTEX_POINT('',#561449); #171981=VERTEX_POINT('',#561451); #171982=VERTEX_POINT('',#561455); #171983=VERTEX_POINT('',#561457); #171984=VERTEX_POINT('',#561461); #171985=VERTEX_POINT('',#561463); #171986=VERTEX_POINT('',#561467); #171987=VERTEX_POINT('',#561469); #171988=VERTEX_POINT('',#561473); #171989=VERTEX_POINT('',#561475); #171990=VERTEX_POINT('',#561479); #171991=VERTEX_POINT('',#561481); #171992=VERTEX_POINT('',#561485); #171993=VERTEX_POINT('',#561487); #171994=VERTEX_POINT('',#561491); #171995=VERTEX_POINT('',#561493); #171996=VERTEX_POINT('',#561497); #171997=VERTEX_POINT('',#561499); #171998=VERTEX_POINT('',#561503); #171999=VERTEX_POINT('',#561505); #172000=VERTEX_POINT('',#561509); #172001=VERTEX_POINT('',#561511); #172002=VERTEX_POINT('',#561515); #172003=VERTEX_POINT('',#561517); #172004=VERTEX_POINT('',#561521); #172005=VERTEX_POINT('',#561523); #172006=VERTEX_POINT('',#561527); #172007=VERTEX_POINT('',#561529); #172008=VERTEX_POINT('',#561533); #172009=VERTEX_POINT('',#561535); #172010=VERTEX_POINT('',#561539); #172011=VERTEX_POINT('',#561541); #172012=VERTEX_POINT('',#561545); #172013=VERTEX_POINT('',#561547); #172014=VERTEX_POINT('',#561551); #172015=VERTEX_POINT('',#561553); #172016=VERTEX_POINT('',#561560); #172017=VERTEX_POINT('',#561561); #172018=VERTEX_POINT('',#561563); #172019=VERTEX_POINT('',#561565); #172020=VERTEX_POINT('',#561569); #172021=VERTEX_POINT('',#561571); #172022=VERTEX_POINT('',#561575); #172023=VERTEX_POINT('',#561577); #172024=VERTEX_POINT('',#561581); #172025=VERTEX_POINT('',#561583); #172026=VERTEX_POINT('',#561587); #172027=VERTEX_POINT('',#561589); #172028=VERTEX_POINT('',#561593); #172029=VERTEX_POINT('',#561595); #172030=VERTEX_POINT('',#561599); #172031=VERTEX_POINT('',#561601); #172032=VERTEX_POINT('',#561605); #172033=VERTEX_POINT('',#561607); #172034=VERTEX_POINT('',#561611); #172035=VERTEX_POINT('',#561613); #172036=VERTEX_POINT('',#561617); #172037=VERTEX_POINT('',#561619); #172038=VERTEX_POINT('',#561623); #172039=VERTEX_POINT('',#561625); #172040=VERTEX_POINT('',#561629); #172041=VERTEX_POINT('',#561631); #172042=VERTEX_POINT('',#561635); #172043=VERTEX_POINT('',#561637); #172044=VERTEX_POINT('',#561641); #172045=VERTEX_POINT('',#561643); #172046=VERTEX_POINT('',#561647); #172047=VERTEX_POINT('',#561649); #172048=VERTEX_POINT('',#561653); #172049=VERTEX_POINT('',#561655); #172050=VERTEX_POINT('',#561659); #172051=VERTEX_POINT('',#561661); #172052=VERTEX_POINT('',#561665); #172053=VERTEX_POINT('',#561667); #172054=VERTEX_POINT('',#561671); #172055=VERTEX_POINT('',#561673); #172056=VERTEX_POINT('',#561677); #172057=VERTEX_POINT('',#561679); #172058=VERTEX_POINT('',#561683); #172059=VERTEX_POINT('',#561685); #172060=VERTEX_POINT('',#561689); #172061=VERTEX_POINT('',#561691); #172062=VERTEX_POINT('',#561695); #172063=VERTEX_POINT('',#561697); #172064=VERTEX_POINT('',#561701); #172065=VERTEX_POINT('',#561703); #172066=VERTEX_POINT('',#561707); #172067=VERTEX_POINT('',#561709); #172068=VERTEX_POINT('',#561713); #172069=VERTEX_POINT('',#561715); #172070=VERTEX_POINT('',#561719); #172071=VERTEX_POINT('',#561721); #172072=VERTEX_POINT('',#561725); #172073=VERTEX_POINT('',#561727); #172074=VERTEX_POINT('',#561731); #172075=VERTEX_POINT('',#561733); #172076=VERTEX_POINT('',#561737); #172077=VERTEX_POINT('',#561739); #172078=VERTEX_POINT('',#561743); #172079=VERTEX_POINT('',#561745); #172080=VERTEX_POINT('',#561749); #172081=VERTEX_POINT('',#561751); #172082=VERTEX_POINT('',#561755); #172083=VERTEX_POINT('',#561757); #172084=VERTEX_POINT('',#561761); #172085=VERTEX_POINT('',#561763); #172086=VERTEX_POINT('',#561767); #172087=VERTEX_POINT('',#561769); #172088=VERTEX_POINT('',#561773); #172089=VERTEX_POINT('',#561775); #172090=VERTEX_POINT('',#561779); #172091=VERTEX_POINT('',#561781); #172092=VERTEX_POINT('',#561785); #172093=VERTEX_POINT('',#561787); #172094=VERTEX_POINT('',#561791); #172095=VERTEX_POINT('',#561793); #172096=VERTEX_POINT('',#561797); #172097=VERTEX_POINT('',#561799); #172098=VERTEX_POINT('',#561803); #172099=VERTEX_POINT('',#561805); #172100=VERTEX_POINT('',#561809); #172101=VERTEX_POINT('',#561811); #172102=VERTEX_POINT('',#561815); #172103=VERTEX_POINT('',#561817); #172104=VERTEX_POINT('',#561821); #172105=VERTEX_POINT('',#561823); #172106=VERTEX_POINT('',#561827); #172107=VERTEX_POINT('',#561829); #172108=VERTEX_POINT('',#561833); #172109=VERTEX_POINT('',#561835); #172110=VERTEX_POINT('',#561839); #172111=VERTEX_POINT('',#561841); #172112=VERTEX_POINT('',#561845); #172113=VERTEX_POINT('',#561847); #172114=VERTEX_POINT('',#561851); #172115=VERTEX_POINT('',#561853); #172116=VERTEX_POINT('',#561857); #172117=VERTEX_POINT('',#561859); #172118=VERTEX_POINT('',#561863); #172119=VERTEX_POINT('',#561865); #172120=VERTEX_POINT('',#561869); #172121=VERTEX_POINT('',#561871); #172122=VERTEX_POINT('',#561875); #172123=VERTEX_POINT('',#561877); #172124=VERTEX_POINT('',#561881); #172125=VERTEX_POINT('',#561883); #172126=VERTEX_POINT('',#561887); #172127=VERTEX_POINT('',#561889); #172128=VERTEX_POINT('',#561893); #172129=VERTEX_POINT('',#561895); #172130=VERTEX_POINT('',#561899); #172131=VERTEX_POINT('',#561901); #172132=VERTEX_POINT('',#561905); #172133=VERTEX_POINT('',#561907); #172134=VERTEX_POINT('',#561911); #172135=VERTEX_POINT('',#561913); #172136=VERTEX_POINT('',#561917); #172137=VERTEX_POINT('',#561919); #172138=VERTEX_POINT('',#561923); #172139=VERTEX_POINT('',#561925); #172140=VERTEX_POINT('',#561929); #172141=VERTEX_POINT('',#561931); #172142=VERTEX_POINT('',#561935); #172143=VERTEX_POINT('',#561937); #172144=VERTEX_POINT('',#561941); #172145=VERTEX_POINT('',#561943); #172146=VERTEX_POINT('',#561947); #172147=VERTEX_POINT('',#561949); #172148=VERTEX_POINT('',#561953); #172149=VERTEX_POINT('',#561955); #172150=VERTEX_POINT('',#561959); #172151=VERTEX_POINT('',#561961); #172152=VERTEX_POINT('',#561965); #172153=VERTEX_POINT('',#561967); #172154=VERTEX_POINT('',#561971); #172155=VERTEX_POINT('',#561973); #172156=VERTEX_POINT('',#561977); #172157=VERTEX_POINT('',#561979); #172158=VERTEX_POINT('',#561983); #172159=VERTEX_POINT('',#561985); #172160=VERTEX_POINT('',#561989); #172161=VERTEX_POINT('',#561991); #172162=VERTEX_POINT('',#561995); #172163=VERTEX_POINT('',#561997); #172164=VERTEX_POINT('',#562001); #172165=VERTEX_POINT('',#562003); #172166=VERTEX_POINT('',#562007); #172167=VERTEX_POINT('',#562009); #172168=VERTEX_POINT('',#562013); #172169=VERTEX_POINT('',#562015); #172170=VERTEX_POINT('',#562019); #172171=VERTEX_POINT('',#562021); #172172=VERTEX_POINT('',#562025); #172173=VERTEX_POINT('',#562027); #172174=VERTEX_POINT('',#562031); #172175=VERTEX_POINT('',#562033); #172176=VERTEX_POINT('',#562037); #172177=VERTEX_POINT('',#562039); #172178=VERTEX_POINT('',#562043); #172179=VERTEX_POINT('',#562045); #172180=VERTEX_POINT('',#562049); #172181=VERTEX_POINT('',#562051); #172182=VERTEX_POINT('',#562055); #172183=VERTEX_POINT('',#562057); #172184=VERTEX_POINT('',#562061); #172185=VERTEX_POINT('',#562063); #172186=VERTEX_POINT('',#562067); #172187=VERTEX_POINT('',#562069); #172188=VERTEX_POINT('',#562073); #172189=VERTEX_POINT('',#562075); #172190=VERTEX_POINT('',#562079); #172191=VERTEX_POINT('',#562081); #172192=VERTEX_POINT('',#562085); #172193=VERTEX_POINT('',#562087); #172194=VERTEX_POINT('',#562091); #172195=VERTEX_POINT('',#562093); #172196=VERTEX_POINT('',#562097); #172197=VERTEX_POINT('',#562099); #172198=VERTEX_POINT('',#562103); #172199=VERTEX_POINT('',#562105); #172200=VERTEX_POINT('',#562109); #172201=VERTEX_POINT('',#562111); #172202=VERTEX_POINT('',#562115); #172203=VERTEX_POINT('',#562117); #172204=VERTEX_POINT('',#562121); #172205=VERTEX_POINT('',#562123); #172206=VERTEX_POINT('',#562127); #172207=VERTEX_POINT('',#562129); #172208=VERTEX_POINT('',#562133); #172209=VERTEX_POINT('',#562135); #172210=VERTEX_POINT('',#562139); #172211=VERTEX_POINT('',#562141); #172212=VERTEX_POINT('',#562145); #172213=VERTEX_POINT('',#562147); #172214=VERTEX_POINT('',#562151); #172215=VERTEX_POINT('',#562153); #172216=VERTEX_POINT('',#562157); #172217=VERTEX_POINT('',#562159); #172218=VERTEX_POINT('',#562163); #172219=VERTEX_POINT('',#562165); #172220=VERTEX_POINT('',#562169); #172221=VERTEX_POINT('',#562171); #172222=VERTEX_POINT('',#562175); #172223=VERTEX_POINT('',#562177); #172224=VERTEX_POINT('',#562181); #172225=VERTEX_POINT('',#562183); #172226=VERTEX_POINT('',#562187); #172227=VERTEX_POINT('',#562189); #172228=VERTEX_POINT('',#562193); #172229=VERTEX_POINT('',#562195); #172230=VERTEX_POINT('',#562199); #172231=VERTEX_POINT('',#562201); #172232=VERTEX_POINT('',#562205); #172233=VERTEX_POINT('',#562207); #172234=VERTEX_POINT('',#562211); #172235=VERTEX_POINT('',#562213); #172236=VERTEX_POINT('',#562217); #172237=VERTEX_POINT('',#562219); #172238=VERTEX_POINT('',#562223); #172239=VERTEX_POINT('',#562225); #172240=VERTEX_POINT('',#562229); #172241=VERTEX_POINT('',#562231); #172242=VERTEX_POINT('',#562235); #172243=VERTEX_POINT('',#562237); #172244=VERTEX_POINT('',#562241); #172245=VERTEX_POINT('',#562243); #172246=VERTEX_POINT('',#562247); #172247=VERTEX_POINT('',#562249); #172248=VERTEX_POINT('',#562253); #172249=VERTEX_POINT('',#562255); #172250=VERTEX_POINT('',#562259); #172251=VERTEX_POINT('',#562261); #172252=VERTEX_POINT('',#562265); #172253=VERTEX_POINT('',#562267); #172254=VERTEX_POINT('',#562271); #172255=VERTEX_POINT('',#562273); #172256=VERTEX_POINT('',#562282); #172257=VERTEX_POINT('',#562283); #172258=VERTEX_POINT('',#562285); #172259=VERTEX_POINT('',#562287); #172260=VERTEX_POINT('',#562291); #172261=VERTEX_POINT('',#562293); #172262=VERTEX_POINT('',#562297); #172263=VERTEX_POINT('',#562299); #172264=VERTEX_POINT('',#562303); #172265=VERTEX_POINT('',#562305); #172266=VERTEX_POINT('',#562309); #172267=VERTEX_POINT('',#562311); #172268=VERTEX_POINT('',#562315); #172269=VERTEX_POINT('',#562317); #172270=VERTEX_POINT('',#562321); #172271=VERTEX_POINT('',#562323); #172272=VERTEX_POINT('',#562327); #172273=VERTEX_POINT('',#562329); #172274=VERTEX_POINT('',#562333); #172275=VERTEX_POINT('',#562335); #172276=VERTEX_POINT('',#562339); #172277=VERTEX_POINT('',#562341); #172278=VERTEX_POINT('',#562345); #172279=VERTEX_POINT('',#562347); #172280=VERTEX_POINT('',#562351); #172281=VERTEX_POINT('',#562353); #172282=VERTEX_POINT('',#562357); #172283=VERTEX_POINT('',#562359); #172284=VERTEX_POINT('',#562363); #172285=VERTEX_POINT('',#562365); #172286=VERTEX_POINT('',#562369); #172287=VERTEX_POINT('',#562371); #172288=VERTEX_POINT('',#562375); #172289=VERTEX_POINT('',#562377); #172290=VERTEX_POINT('',#562381); #172291=VERTEX_POINT('',#562383); #172292=VERTEX_POINT('',#562387); #172293=VERTEX_POINT('',#562389); #172294=VERTEX_POINT('',#562393); #172295=VERTEX_POINT('',#562395); #172296=VERTEX_POINT('',#562399); #172297=VERTEX_POINT('',#562401); #172298=VERTEX_POINT('',#562405); #172299=VERTEX_POINT('',#562407); #172300=VERTEX_POINT('',#562411); #172301=VERTEX_POINT('',#562413); #172302=VERTEX_POINT('',#562417); #172303=VERTEX_POINT('',#562419); #172304=VERTEX_POINT('',#562423); #172305=VERTEX_POINT('',#562425); #172306=VERTEX_POINT('',#562429); #172307=VERTEX_POINT('',#562431); #172308=VERTEX_POINT('',#562435); #172309=VERTEX_POINT('',#562437); #172310=VERTEX_POINT('',#562446); #172311=VERTEX_POINT('',#562447); #172312=VERTEX_POINT('',#562449); #172313=VERTEX_POINT('',#562451); #172314=VERTEX_POINT('',#562455); #172315=VERTEX_POINT('',#562457); #172316=VERTEX_POINT('',#562461); #172317=VERTEX_POINT('',#562463); #172318=VERTEX_POINT('',#562467); #172319=VERTEX_POINT('',#562469); #172320=VERTEX_POINT('',#562473); #172321=VERTEX_POINT('',#562475); #172322=VERTEX_POINT('',#562479); #172323=VERTEX_POINT('',#562481); #172324=VERTEX_POINT('',#562485); #172325=VERTEX_POINT('',#562487); #172326=VERTEX_POINT('',#562491); #172327=VERTEX_POINT('',#562493); #172328=VERTEX_POINT('',#562497); #172329=VERTEX_POINT('',#562499); #172330=VERTEX_POINT('',#562503); #172331=VERTEX_POINT('',#562505); #172332=VERTEX_POINT('',#562509); #172333=VERTEX_POINT('',#562511); #172334=VERTEX_POINT('',#562515); #172335=VERTEX_POINT('',#562517); #172336=VERTEX_POINT('',#562521); #172337=VERTEX_POINT('',#562523); #172338=VERTEX_POINT('',#562527); #172339=VERTEX_POINT('',#562529); #172340=VERTEX_POINT('',#562533); #172341=VERTEX_POINT('',#562535); #172342=VERTEX_POINT('',#562539); #172343=VERTEX_POINT('',#562541); #172344=VERTEX_POINT('',#562545); #172345=VERTEX_POINT('',#562547); #172346=VERTEX_POINT('',#562551); #172347=VERTEX_POINT('',#562553); #172348=VERTEX_POINT('',#562557); #172349=VERTEX_POINT('',#562559); #172350=VERTEX_POINT('',#562563); #172351=VERTEX_POINT('',#562565); #172352=VERTEX_POINT('',#562574); #172353=VERTEX_POINT('',#562575); #172354=VERTEX_POINT('',#562577); #172355=VERTEX_POINT('',#562579); #172356=VERTEX_POINT('',#562583); #172357=VERTEX_POINT('',#562585); #172358=VERTEX_POINT('',#562589); #172359=VERTEX_POINT('',#562591); #172360=VERTEX_POINT('',#562595); #172361=VERTEX_POINT('',#562597); #172362=VERTEX_POINT('',#562601); #172363=VERTEX_POINT('',#562603); #172364=VERTEX_POINT('',#562607); #172365=VERTEX_POINT('',#562609); #172366=VERTEX_POINT('',#562613); #172367=VERTEX_POINT('',#562615); #172368=VERTEX_POINT('',#562619); #172369=VERTEX_POINT('',#562621); #172370=VERTEX_POINT('',#562625); #172371=VERTEX_POINT('',#562627); #172372=VERTEX_POINT('',#562631); #172373=VERTEX_POINT('',#562633); #172374=VERTEX_POINT('',#562637); #172375=VERTEX_POINT('',#562639); #172376=VERTEX_POINT('',#562643); #172377=VERTEX_POINT('',#562645); #172378=VERTEX_POINT('',#562649); #172379=VERTEX_POINT('',#562651); #172380=VERTEX_POINT('',#562655); #172381=VERTEX_POINT('',#562657); #172382=VERTEX_POINT('',#562661); #172383=VERTEX_POINT('',#562663); #172384=VERTEX_POINT('',#562667); #172385=VERTEX_POINT('',#562669); #172386=VERTEX_POINT('',#562673); #172387=VERTEX_POINT('',#562675); #172388=VERTEX_POINT('',#562679); #172389=VERTEX_POINT('',#562681); #172390=VERTEX_POINT('',#562685); #172391=VERTEX_POINT('',#562687); #172392=VERTEX_POINT('',#562696); #172393=VERTEX_POINT('',#562697); #172394=VERTEX_POINT('',#562699); #172395=VERTEX_POINT('',#562701); #172396=VERTEX_POINT('',#562705); #172397=VERTEX_POINT('',#562707); #172398=VERTEX_POINT('',#562711); #172399=VERTEX_POINT('',#562713); #172400=VERTEX_POINT('',#562717); #172401=VERTEX_POINT('',#562719); #172402=VERTEX_POINT('',#562723); #172403=VERTEX_POINT('',#562725); #172404=VERTEX_POINT('',#562729); #172405=VERTEX_POINT('',#562731); #172406=VERTEX_POINT('',#562735); #172407=VERTEX_POINT('',#562737); #172408=VERTEX_POINT('',#562741); #172409=VERTEX_POINT('',#562743); #172410=VERTEX_POINT('',#562747); #172411=VERTEX_POINT('',#562749); #172412=VERTEX_POINT('',#562753); #172413=VERTEX_POINT('',#562755); #172414=VERTEX_POINT('',#562759); #172415=VERTEX_POINT('',#562761); #172416=VERTEX_POINT('',#562765); #172417=VERTEX_POINT('',#562767); #172418=VERTEX_POINT('',#562771); #172419=VERTEX_POINT('',#562773); #172420=VERTEX_POINT('',#562777); #172421=VERTEX_POINT('',#562779); #172422=VERTEX_POINT('',#562783); #172423=VERTEX_POINT('',#562785); #172424=VERTEX_POINT('',#562789); #172425=VERTEX_POINT('',#562791); #172426=VERTEX_POINT('',#562795); #172427=VERTEX_POINT('',#562797); #172428=VERTEX_POINT('',#562801); #172429=VERTEX_POINT('',#562803); #172430=VERTEX_POINT('',#562807); #172431=VERTEX_POINT('',#562809); #172432=VERTEX_POINT('',#562813); #172433=VERTEX_POINT('',#562815); #172434=VERTEX_POINT('',#562819); #172435=VERTEX_POINT('',#562821); #172436=VERTEX_POINT('',#562825); #172437=VERTEX_POINT('',#562827); #172438=VERTEX_POINT('',#562831); #172439=VERTEX_POINT('',#562833); #172440=VERTEX_POINT('',#562837); #172441=VERTEX_POINT('',#562839); #172442=VERTEX_POINT('',#562843); #172443=VERTEX_POINT('',#562845); #172444=VERTEX_POINT('',#562849); #172445=VERTEX_POINT('',#562851); #172446=VERTEX_POINT('',#562855); #172447=VERTEX_POINT('',#562857); #172448=VERTEX_POINT('',#562861); #172449=VERTEX_POINT('',#562863); #172450=VERTEX_POINT('',#562867); #172451=VERTEX_POINT('',#562869); #172452=VERTEX_POINT('',#562873); #172453=VERTEX_POINT('',#562875); #172454=VERTEX_POINT('',#562879); #172455=VERTEX_POINT('',#562881); #172456=VERTEX_POINT('',#562885); #172457=VERTEX_POINT('',#562887); #172458=VERTEX_POINT('',#562891); #172459=VERTEX_POINT('',#562893); #172460=VERTEX_POINT('',#562897); #172461=VERTEX_POINT('',#562899); #172462=VERTEX_POINT('',#562903); #172463=VERTEX_POINT('',#562905); #172464=VERTEX_POINT('',#562909); #172465=VERTEX_POINT('',#562911); #172466=VERTEX_POINT('',#562915); #172467=VERTEX_POINT('',#562917); #172468=VERTEX_POINT('',#562921); #172469=VERTEX_POINT('',#562923); #172470=VERTEX_POINT('',#562927); #172471=VERTEX_POINT('',#562929); #172472=VERTEX_POINT('',#562933); #172473=VERTEX_POINT('',#562935); #172474=VERTEX_POINT('',#562939); #172475=VERTEX_POINT('',#562941); #172476=VERTEX_POINT('',#562945); #172477=VERTEX_POINT('',#562947); #172478=VERTEX_POINT('',#562951); #172479=VERTEX_POINT('',#562953); #172480=VERTEX_POINT('',#562957); #172481=VERTEX_POINT('',#562959); #172482=VERTEX_POINT('',#562963); #172483=VERTEX_POINT('',#562965); #172484=VERTEX_POINT('',#562969); #172485=VERTEX_POINT('',#562971); #172486=VERTEX_POINT('',#562980); #172487=VERTEX_POINT('',#562981); #172488=VERTEX_POINT('',#562983); #172489=VERTEX_POINT('',#562985); #172490=VERTEX_POINT('',#562989); #172491=VERTEX_POINT('',#562991); #172492=VERTEX_POINT('',#562995); #172493=VERTEX_POINT('',#562997); #172494=VERTEX_POINT('',#563001); #172495=VERTEX_POINT('',#563003); #172496=VERTEX_POINT('',#563007); #172497=VERTEX_POINT('',#563009); #172498=VERTEX_POINT('',#563013); #172499=VERTEX_POINT('',#563015); #172500=VERTEX_POINT('',#563019); #172501=VERTEX_POINT('',#563021); #172502=VERTEX_POINT('',#563025); #172503=VERTEX_POINT('',#563027); #172504=VERTEX_POINT('',#563031); #172505=VERTEX_POINT('',#563033); #172506=VERTEX_POINT('',#563037); #172507=VERTEX_POINT('',#563039); #172508=VERTEX_POINT('',#563043); #172509=VERTEX_POINT('',#563045); #172510=VERTEX_POINT('',#563049); #172511=VERTEX_POINT('',#563051); #172512=VERTEX_POINT('',#563055); #172513=VERTEX_POINT('',#563057); #172514=VERTEX_POINT('',#563061); #172515=VERTEX_POINT('',#563063); #172516=VERTEX_POINT('',#563067); #172517=VERTEX_POINT('',#563069); #172518=VERTEX_POINT('',#563073); #172519=VERTEX_POINT('',#563075); #172520=VERTEX_POINT('',#563079); #172521=VERTEX_POINT('',#563081); #172522=VERTEX_POINT('',#563085); #172523=VERTEX_POINT('',#563087); #172524=VERTEX_POINT('',#563091); #172525=VERTEX_POINT('',#563093); #172526=VERTEX_POINT('',#563097); #172527=VERTEX_POINT('',#563099); #172528=VERTEX_POINT('',#563103); #172529=VERTEX_POINT('',#563105); #172530=VERTEX_POINT('',#563109); #172531=VERTEX_POINT('',#563111); #172532=VERTEX_POINT('',#563115); #172533=VERTEX_POINT('',#563117); #172534=VERTEX_POINT('',#563121); #172535=VERTEX_POINT('',#563123); #172536=VERTEX_POINT('',#563127); #172537=VERTEX_POINT('',#563129); #172538=VERTEX_POINT('',#563133); #172539=VERTEX_POINT('',#563135); #172540=VERTEX_POINT('',#563139); #172541=VERTEX_POINT('',#563141); #172542=VERTEX_POINT('',#563145); #172543=VERTEX_POINT('',#563147); #172544=VERTEX_POINT('',#563151); #172545=VERTEX_POINT('',#563153); #172546=VERTEX_POINT('',#563157); #172547=VERTEX_POINT('',#563159); #172548=VERTEX_POINT('',#563163); #172549=VERTEX_POINT('',#563165); #172550=VERTEX_POINT('',#563169); #172551=VERTEX_POINT('',#563171); #172552=VERTEX_POINT('',#563175); #172553=VERTEX_POINT('',#563177); #172554=VERTEX_POINT('',#563186); #172555=VERTEX_POINT('',#563187); #172556=VERTEX_POINT('',#563189); #172557=VERTEX_POINT('',#563191); #172558=VERTEX_POINT('',#563195); #172559=VERTEX_POINT('',#563197); #172560=VERTEX_POINT('',#563201); #172561=VERTEX_POINT('',#563203); #172562=VERTEX_POINT('',#563207); #172563=VERTEX_POINT('',#563209); #172564=VERTEX_POINT('',#563213); #172565=VERTEX_POINT('',#563215); #172566=VERTEX_POINT('',#563219); #172567=VERTEX_POINT('',#563221); #172568=VERTEX_POINT('',#563225); #172569=VERTEX_POINT('',#563227); #172570=VERTEX_POINT('',#563231); #172571=VERTEX_POINT('',#563233); #172572=VERTEX_POINT('',#563237); #172573=VERTEX_POINT('',#563239); #172574=VERTEX_POINT('',#563243); #172575=VERTEX_POINT('',#563245); #172576=VERTEX_POINT('',#563249); #172577=VERTEX_POINT('',#563251); #172578=VERTEX_POINT('',#563255); #172579=VERTEX_POINT('',#563257); #172580=VERTEX_POINT('',#563261); #172581=VERTEX_POINT('',#563263); #172582=VERTEX_POINT('',#563267); #172583=VERTEX_POINT('',#563269); #172584=VERTEX_POINT('',#563273); #172585=VERTEX_POINT('',#563275); #172586=VERTEX_POINT('',#563279); #172587=VERTEX_POINT('',#563281); #172588=VERTEX_POINT('',#563285); #172589=VERTEX_POINT('',#563287); #172590=VERTEX_POINT('',#563291); #172591=VERTEX_POINT('',#563293); #172592=VERTEX_POINT('',#563297); #172593=VERTEX_POINT('',#563299); #172594=VERTEX_POINT('',#563303); #172595=VERTEX_POINT('',#563305); #172596=VERTEX_POINT('',#563309); #172597=VERTEX_POINT('',#563311); #172598=VERTEX_POINT('',#563320); #172599=VERTEX_POINT('',#563321); #172600=VERTEX_POINT('',#563323); #172601=VERTEX_POINT('',#563325); #172602=VERTEX_POINT('',#563329); #172603=VERTEX_POINT('',#563331); #172604=VERTEX_POINT('',#563335); #172605=VERTEX_POINT('',#563337); #172606=VERTEX_POINT('',#563341); #172607=VERTEX_POINT('',#563343); #172608=VERTEX_POINT('',#563347); #172609=VERTEX_POINT('',#563349); #172610=VERTEX_POINT('',#563353); #172611=VERTEX_POINT('',#563355); #172612=VERTEX_POINT('',#563359); #172613=VERTEX_POINT('',#563361); #172614=VERTEX_POINT('',#563365); #172615=VERTEX_POINT('',#563367); #172616=VERTEX_POINT('',#563371); #172617=VERTEX_POINT('',#563373); #172618=VERTEX_POINT('',#563377); #172619=VERTEX_POINT('',#563379); #172620=VERTEX_POINT('',#563383); #172621=VERTEX_POINT('',#563385); #172622=VERTEX_POINT('',#563389); #172623=VERTEX_POINT('',#563391); #172624=VERTEX_POINT('',#563395); #172625=VERTEX_POINT('',#563397); #172626=VERTEX_POINT('',#563401); #172627=VERTEX_POINT('',#563403); #172628=VERTEX_POINT('',#563407); #172629=VERTEX_POINT('',#563409); #172630=VERTEX_POINT('',#563413); #172631=VERTEX_POINT('',#563415); #172632=VERTEX_POINT('',#563419); #172633=VERTEX_POINT('',#563421); #172634=VERTEX_POINT('',#563425); #172635=VERTEX_POINT('',#563427); #172636=VERTEX_POINT('',#563431); #172637=VERTEX_POINT('',#563433); #172638=VERTEX_POINT('',#563442); #172639=VERTEX_POINT('',#563443); #172640=VERTEX_POINT('',#563445); #172641=VERTEX_POINT('',#563447); #172642=VERTEX_POINT('',#563451); #172643=VERTEX_POINT('',#563453); #172644=VERTEX_POINT('',#563457); #172645=VERTEX_POINT('',#563459); #172646=VERTEX_POINT('',#563463); #172647=VERTEX_POINT('',#563465); #172648=VERTEX_POINT('',#563469); #172649=VERTEX_POINT('',#563471); #172650=VERTEX_POINT('',#563475); #172651=VERTEX_POINT('',#563477); #172652=VERTEX_POINT('',#563481); #172653=VERTEX_POINT('',#563483); #172654=VERTEX_POINT('',#563487); #172655=VERTEX_POINT('',#563489); #172656=VERTEX_POINT('',#563493); #172657=VERTEX_POINT('',#563495); #172658=VERTEX_POINT('',#563499); #172659=VERTEX_POINT('',#563501); #172660=VERTEX_POINT('',#563505); #172661=VERTEX_POINT('',#563507); #172662=VERTEX_POINT('',#563511); #172663=VERTEX_POINT('',#563513); #172664=VERTEX_POINT('',#563517); #172665=VERTEX_POINT('',#563519); #172666=VERTEX_POINT('',#563523); #172667=VERTEX_POINT('',#563525); #172668=VERTEX_POINT('',#563529); #172669=VERTEX_POINT('',#563531); #172670=VERTEX_POINT('',#563535); #172671=VERTEX_POINT('',#563537); #172672=VERTEX_POINT('',#563541); #172673=VERTEX_POINT('',#563543); #172674=VERTEX_POINT('',#563547); #172675=VERTEX_POINT('',#563549); #172676=VERTEX_POINT('',#563553); #172677=VERTEX_POINT('',#563555); #172678=VERTEX_POINT('',#563559); #172679=VERTEX_POINT('',#563561); #172680=VERTEX_POINT('',#563565); #172681=VERTEX_POINT('',#563567); #172682=VERTEX_POINT('',#563571); #172683=VERTEX_POINT('',#563573); #172684=VERTEX_POINT('',#563577); #172685=VERTEX_POINT('',#563579); #172686=VERTEX_POINT('',#563583); #172687=VERTEX_POINT('',#563585); #172688=VERTEX_POINT('',#563589); #172689=VERTEX_POINT('',#563591); #172690=VERTEX_POINT('',#563595); #172691=VERTEX_POINT('',#563597); #172692=VERTEX_POINT('',#563601); #172693=VERTEX_POINT('',#563603); #172694=VERTEX_POINT('',#563607); #172695=VERTEX_POINT('',#563609); #172696=VERTEX_POINT('',#563613); #172697=VERTEX_POINT('',#563615); #172698=VERTEX_POINT('',#563619); #172699=VERTEX_POINT('',#563621); #172700=VERTEX_POINT('',#563625); #172701=VERTEX_POINT('',#563627); #172702=VERTEX_POINT('',#563631); #172703=VERTEX_POINT('',#563633); #172704=VERTEX_POINT('',#563637); #172705=VERTEX_POINT('',#563639); #172706=VERTEX_POINT('',#563643); #172707=VERTEX_POINT('',#563645); #172708=VERTEX_POINT('',#563649); #172709=VERTEX_POINT('',#563651); #172710=VERTEX_POINT('',#563655); #172711=VERTEX_POINT('',#563657); #172712=VERTEX_POINT('',#563661); #172713=VERTEX_POINT('',#563663); #172714=VERTEX_POINT('',#563667); #172715=VERTEX_POINT('',#563669); #172716=VERTEX_POINT('',#563673); #172717=VERTEX_POINT('',#563675); #172718=VERTEX_POINT('',#563679); #172719=VERTEX_POINT('',#563681); #172720=VERTEX_POINT('',#563685); #172721=VERTEX_POINT('',#563687); #172722=VERTEX_POINT('',#563691); #172723=VERTEX_POINT('',#563693); #172724=VERTEX_POINT('',#563697); #172725=VERTEX_POINT('',#563699); #172726=VERTEX_POINT('',#563703); #172727=VERTEX_POINT('',#563705); #172728=VERTEX_POINT('',#563709); #172729=VERTEX_POINT('',#563711); #172730=VERTEX_POINT('',#563715); #172731=VERTEX_POINT('',#563717); #172732=VERTEX_POINT('',#563721); #172733=VERTEX_POINT('',#563723); #172734=VERTEX_POINT('',#563727); #172735=VERTEX_POINT('',#563729); #172736=VERTEX_POINT('',#563733); #172737=VERTEX_POINT('',#563735); #172738=VERTEX_POINT('',#563739); #172739=VERTEX_POINT('',#563741); #172740=VERTEX_POINT('',#563745); #172741=VERTEX_POINT('',#563747); #172742=VERTEX_POINT('',#563751); #172743=VERTEX_POINT('',#563753); #172744=VERTEX_POINT('',#563757); #172745=VERTEX_POINT('',#563759); #172746=VERTEX_POINT('',#563763); #172747=VERTEX_POINT('',#563765); #172748=VERTEX_POINT('',#563769); #172749=VERTEX_POINT('',#563771); #172750=VERTEX_POINT('',#563775); #172751=VERTEX_POINT('',#563777); #172752=VERTEX_POINT('',#563781); #172753=VERTEX_POINT('',#563783); #172754=VERTEX_POINT('',#563787); #172755=VERTEX_POINT('',#563789); #172756=VERTEX_POINT('',#563793); #172757=VERTEX_POINT('',#563795); #172758=VERTEX_POINT('',#563799); #172759=VERTEX_POINT('',#563801); #172760=VERTEX_POINT('',#563805); #172761=VERTEX_POINT('',#563807); #172762=VERTEX_POINT('',#563811); #172763=VERTEX_POINT('',#563813); #172764=VERTEX_POINT('',#563817); #172765=VERTEX_POINT('',#563819); #172766=VERTEX_POINT('',#563823); #172767=VERTEX_POINT('',#563825); #172768=VERTEX_POINT('',#563829); #172769=VERTEX_POINT('',#563831); #172770=VERTEX_POINT('',#563835); #172771=VERTEX_POINT('',#563837); #172772=VERTEX_POINT('',#563841); #172773=VERTEX_POINT('',#563843); #172774=VERTEX_POINT('',#563847); #172775=VERTEX_POINT('',#563849); #172776=VERTEX_POINT('',#563853); #172777=VERTEX_POINT('',#563855); #172778=VERTEX_POINT('',#563859); #172779=VERTEX_POINT('',#563861); #172780=VERTEX_POINT('',#563865); #172781=VERTEX_POINT('',#563867); #172782=VERTEX_POINT('',#563876); #172783=VERTEX_POINT('',#563877); #172784=VERTEX_POINT('',#563879); #172785=VERTEX_POINT('',#563881); #172786=VERTEX_POINT('',#563885); #172787=VERTEX_POINT('',#563887); #172788=VERTEX_POINT('',#563891); #172789=VERTEX_POINT('',#563893); #172790=VERTEX_POINT('',#563897); #172791=VERTEX_POINT('',#563899); #172792=VERTEX_POINT('',#563903); #172793=VERTEX_POINT('',#563905); #172794=VERTEX_POINT('',#563909); #172795=VERTEX_POINT('',#563911); #172796=VERTEX_POINT('',#563915); #172797=VERTEX_POINT('',#563917); #172798=VERTEX_POINT('',#563921); #172799=VERTEX_POINT('',#563923); #172800=VERTEX_POINT('',#563927); #172801=VERTEX_POINT('',#563929); #172802=VERTEX_POINT('',#563933); #172803=VERTEX_POINT('',#563935); #172804=VERTEX_POINT('',#563939); #172805=VERTEX_POINT('',#563941); #172806=VERTEX_POINT('',#563945); #172807=VERTEX_POINT('',#563947); #172808=VERTEX_POINT('',#563951); #172809=VERTEX_POINT('',#563953); #172810=VERTEX_POINT('',#563957); #172811=VERTEX_POINT('',#563959); #172812=VERTEX_POINT('',#563963); #172813=VERTEX_POINT('',#563965); #172814=VERTEX_POINT('',#563969); #172815=VERTEX_POINT('',#563971); #172816=VERTEX_POINT('',#563975); #172817=VERTEX_POINT('',#563977); #172818=VERTEX_POINT('',#563981); #172819=VERTEX_POINT('',#563983); #172820=VERTEX_POINT('',#563987); #172821=VERTEX_POINT('',#563989); #172822=VERTEX_POINT('',#563993); #172823=VERTEX_POINT('',#563995); #172824=VERTEX_POINT('',#563999); #172825=VERTEX_POINT('',#564001); #172826=VERTEX_POINT('',#564005); #172827=VERTEX_POINT('',#564007); #172828=VERTEX_POINT('',#564011); #172829=VERTEX_POINT('',#564013); #172830=VERTEX_POINT('',#564017); #172831=VERTEX_POINT('',#564019); #172832=VERTEX_POINT('',#564023); #172833=VERTEX_POINT('',#564025); #172834=VERTEX_POINT('',#564029); #172835=VERTEX_POINT('',#564031); #172836=VERTEX_POINT('',#564035); #172837=VERTEX_POINT('',#564037); #172838=VERTEX_POINT('',#564046); #172839=VERTEX_POINT('',#564048); #172840=VERTEX_POINT('',#564052); #172841=VERTEX_POINT('',#564054); #172842=VERTEX_POINT('',#564058); #172843=VERTEX_POINT('',#564060); #172844=VERTEX_POINT('',#564064); #172845=VERTEX_POINT('',#564066); #172846=VERTEX_POINT('',#564070); #172847=VERTEX_POINT('',#564072); #172848=VERTEX_POINT('',#564076); #172849=VERTEX_POINT('',#564078); #172850=VERTEX_POINT('',#564082); #172851=VERTEX_POINT('',#564084); #172852=VERTEX_POINT('',#564088); #172853=VERTEX_POINT('',#564090); #172854=VERTEX_POINT('',#564094); #172855=VERTEX_POINT('',#564096); #172856=VERTEX_POINT('',#564100); #172857=VERTEX_POINT('',#564102); #172858=VERTEX_POINT('',#564106); #172859=VERTEX_POINT('',#564108); #172860=VERTEX_POINT('',#564112); #172861=VERTEX_POINT('',#564114); #172862=VERTEX_POINT('',#564118); #172863=VERTEX_POINT('',#564120); #172864=VERTEX_POINT('',#564124); #172865=VERTEX_POINT('',#564126); #172866=VERTEX_POINT('',#564130); #172867=VERTEX_POINT('',#564132); #172868=VERTEX_POINT('',#564136); #172869=VERTEX_POINT('',#564138); #172870=VERTEX_POINT('',#564142); #172871=VERTEX_POINT('',#564143); #172872=VERTEX_POINT('',#564145); #172873=VERTEX_POINT('',#564147); #172874=VERTEX_POINT('',#564151); #172875=VERTEX_POINT('',#564153); #172876=VERTEX_POINT('',#564157); #172877=VERTEX_POINT('',#564159); #172878=VERTEX_POINT('',#564163); #172879=VERTEX_POINT('',#564165); #172880=VERTEX_POINT('',#564169); #172881=VERTEX_POINT('',#564171); #172882=VERTEX_POINT('',#564175); #172883=VERTEX_POINT('',#564177); #172884=VERTEX_POINT('',#564181); #172885=VERTEX_POINT('',#564183); #172886=VERTEX_POINT('',#564187); #172887=VERTEX_POINT('',#564189); #172888=VERTEX_POINT('',#564193); #172889=VERTEX_POINT('',#564195); #172890=VERTEX_POINT('',#564199); #172891=VERTEX_POINT('',#564201); #172892=VERTEX_POINT('',#564205); #172893=VERTEX_POINT('',#564207); #172894=VERTEX_POINT('',#564211); #172895=VERTEX_POINT('',#564213); #172896=VERTEX_POINT('',#564217); #172897=VERTEX_POINT('',#564219); #172898=VERTEX_POINT('',#564223); #172899=VERTEX_POINT('',#564225); #172900=VERTEX_POINT('',#564229); #172901=VERTEX_POINT('',#564231); #172902=VERTEX_POINT('',#564235); #172903=VERTEX_POINT('',#564237); #172904=VERTEX_POINT('',#564241); #172905=VERTEX_POINT('',#564243); #172906=VERTEX_POINT('',#564247); #172907=VERTEX_POINT('',#564249); #172908=VERTEX_POINT('',#564253); #172909=VERTEX_POINT('',#564255); #172910=VERTEX_POINT('',#564259); #172911=VERTEX_POINT('',#564261); #172912=VERTEX_POINT('',#564265); #172913=VERTEX_POINT('',#564267); #172914=VERTEX_POINT('',#564271); #172915=VERTEX_POINT('',#564273); #172916=VERTEX_POINT('',#564277); #172917=VERTEX_POINT('',#564279); #172918=VERTEX_POINT('',#564283); #172919=VERTEX_POINT('',#564285); #172920=VERTEX_POINT('',#564289); #172921=VERTEX_POINT('',#564291); #172922=VERTEX_POINT('',#564295); #172923=VERTEX_POINT('',#564297); #172924=VERTEX_POINT('',#564301); #172925=VERTEX_POINT('',#564303); #172926=VERTEX_POINT('',#564307); #172927=VERTEX_POINT('',#564309); #172928=VERTEX_POINT('',#564313); #172929=VERTEX_POINT('',#564315); #172930=VERTEX_POINT('',#564319); #172931=VERTEX_POINT('',#564321); #172932=VERTEX_POINT('',#564325); #172933=VERTEX_POINT('',#564327); #172934=VERTEX_POINT('',#564331); #172935=VERTEX_POINT('',#564333); #172936=VERTEX_POINT('',#564337); #172937=VERTEX_POINT('',#564339); #172938=VERTEX_POINT('',#564343); #172939=VERTEX_POINT('',#564345); #172940=VERTEX_POINT('',#564349); #172941=VERTEX_POINT('',#564351); #172942=VERTEX_POINT('',#564355); #172943=VERTEX_POINT('',#564357); #172944=VERTEX_POINT('',#564361); #172945=VERTEX_POINT('',#564363); #172946=VERTEX_POINT('',#564367); #172947=VERTEX_POINT('',#564369); #172948=VERTEX_POINT('',#564373); #172949=VERTEX_POINT('',#564375); #172950=VERTEX_POINT('',#564379); #172951=VERTEX_POINT('',#564381); #172952=VERTEX_POINT('',#564385); #172953=VERTEX_POINT('',#564387); #172954=VERTEX_POINT('',#564391); #172955=VERTEX_POINT('',#564393); #172956=VERTEX_POINT('',#564397); #172957=VERTEX_POINT('',#564399); #172958=VERTEX_POINT('',#564403); #172959=VERTEX_POINT('',#564405); #172960=VERTEX_POINT('',#564409); #172961=VERTEX_POINT('',#564411); #172962=VERTEX_POINT('',#564415); #172963=VERTEX_POINT('',#564417); #172964=VERTEX_POINT('',#564421); #172965=VERTEX_POINT('',#564423); #172966=VERTEX_POINT('',#564427); #172967=VERTEX_POINT('',#564429); #172968=VERTEX_POINT('',#564433); #172969=VERTEX_POINT('',#564435); #172970=VERTEX_POINT('',#564439); #172971=VERTEX_POINT('',#564441); #172972=VERTEX_POINT('',#564445); #172973=VERTEX_POINT('',#564447); #172974=VERTEX_POINT('',#564451); #172975=VERTEX_POINT('',#564453); #172976=VERTEX_POINT('',#564457); #172977=VERTEX_POINT('',#564459); #172978=VERTEX_POINT('',#564463); #172979=VERTEX_POINT('',#564465); #172980=VERTEX_POINT('',#564469); #172981=VERTEX_POINT('',#564471); #172982=VERTEX_POINT('',#564475); #172983=VERTEX_POINT('',#564477); #172984=VERTEX_POINT('',#564481); #172985=VERTEX_POINT('',#564483); #172986=VERTEX_POINT('',#564487); #172987=VERTEX_POINT('',#564489); #172988=VERTEX_POINT('',#564493); #172989=VERTEX_POINT('',#564495); #172990=VERTEX_POINT('',#564499); #172991=VERTEX_POINT('',#564501); #172992=VERTEX_POINT('',#564505); #172993=VERTEX_POINT('',#564507); #172994=VERTEX_POINT('',#564511); #172995=VERTEX_POINT('',#564513); #172996=VERTEX_POINT('',#564517); #172997=VERTEX_POINT('',#564519); #172998=VERTEX_POINT('',#564523); #172999=VERTEX_POINT('',#564525); #173000=VERTEX_POINT('',#564529); #173001=VERTEX_POINT('',#564531); #173002=VERTEX_POINT('',#564535); #173003=VERTEX_POINT('',#564537); #173004=VERTEX_POINT('',#564541); #173005=VERTEX_POINT('',#564543); #173006=VERTEX_POINT('',#564547); #173007=VERTEX_POINT('',#564549); #173008=VERTEX_POINT('',#564553); #173009=VERTEX_POINT('',#564555); #173010=VERTEX_POINT('',#564559); #173011=VERTEX_POINT('',#564561); #173012=VERTEX_POINT('',#564565); #173013=VERTEX_POINT('',#564567); #173014=VERTEX_POINT('',#564571); #173015=VERTEX_POINT('',#564573); #173016=VERTEX_POINT('',#564577); #173017=VERTEX_POINT('',#564579); #173018=VERTEX_POINT('',#564588); #173019=VERTEX_POINT('',#564590); #173020=VERTEX_POINT('',#564594); #173021=VERTEX_POINT('',#564596); #173022=VERTEX_POINT('',#564600); #173023=VERTEX_POINT('',#564602); #173024=VERTEX_POINT('',#564606); #173025=VERTEX_POINT('',#564608); #173026=VERTEX_POINT('',#564612); #173027=VERTEX_POINT('',#564614); #173028=VERTEX_POINT('',#564618); #173029=VERTEX_POINT('',#564620); #173030=VERTEX_POINT('',#564624); #173031=VERTEX_POINT('',#564626); #173032=VERTEX_POINT('',#564630); #173033=VERTEX_POINT('',#564632); #173034=VERTEX_POINT('',#564636); #173035=VERTEX_POINT('',#564638); #173036=VERTEX_POINT('',#564642); #173037=VERTEX_POINT('',#564644); #173038=VERTEX_POINT('',#564648); #173039=VERTEX_POINT('',#564650); #173040=VERTEX_POINT('',#564654); #173041=VERTEX_POINT('',#564656); #173042=VERTEX_POINT('',#564660); #173043=VERTEX_POINT('',#564662); #173044=VERTEX_POINT('',#564666); #173045=VERTEX_POINT('',#564668); #173046=VERTEX_POINT('',#564672); #173047=VERTEX_POINT('',#564674); #173048=VERTEX_POINT('',#564678); #173049=VERTEX_POINT('',#564680); #173050=VERTEX_POINT('',#564684); #173051=VERTEX_POINT('',#564685); #173052=VERTEX_POINT('',#564687); #173053=VERTEX_POINT('',#564689); #173054=VERTEX_POINT('',#564693); #173055=VERTEX_POINT('',#564695); #173056=VERTEX_POINT('',#564699); #173057=VERTEX_POINT('',#564701); #173058=VERTEX_POINT('',#564705); #173059=VERTEX_POINT('',#564707); #173060=VERTEX_POINT('',#564711); #173061=VERTEX_POINT('',#564713); #173062=VERTEX_POINT('',#564717); #173063=VERTEX_POINT('',#564719); #173064=VERTEX_POINT('',#564723); #173065=VERTEX_POINT('',#564725); #173066=VERTEX_POINT('',#564729); #173067=VERTEX_POINT('',#564731); #173068=VERTEX_POINT('',#564735); #173069=VERTEX_POINT('',#564737); #173070=VERTEX_POINT('',#564741); #173071=VERTEX_POINT('',#564743); #173072=VERTEX_POINT('',#564747); #173073=VERTEX_POINT('',#564749); #173074=VERTEX_POINT('',#564753); #173075=VERTEX_POINT('',#564755); #173076=VERTEX_POINT('',#564759); #173077=VERTEX_POINT('',#564761); #173078=VERTEX_POINT('',#564765); #173079=VERTEX_POINT('',#564767); #173080=VERTEX_POINT('',#564771); #173081=VERTEX_POINT('',#564773); #173082=VERTEX_POINT('',#564777); #173083=VERTEX_POINT('',#564779); #173084=VERTEX_POINT('',#564783); #173085=VERTEX_POINT('',#564785); #173086=VERTEX_POINT('',#564789); #173087=VERTEX_POINT('',#564791); #173088=VERTEX_POINT('',#564795); #173089=VERTEX_POINT('',#564797); #173090=VERTEX_POINT('',#564801); #173091=VERTEX_POINT('',#564803); #173092=VERTEX_POINT('',#564807); #173093=VERTEX_POINT('',#564809); #173094=VERTEX_POINT('',#564813); #173095=VERTEX_POINT('',#564815); #173096=VERTEX_POINT('',#564819); #173097=VERTEX_POINT('',#564821); #173098=VERTEX_POINT('',#564825); #173099=VERTEX_POINT('',#564827); #173100=VERTEX_POINT('',#564831); #173101=VERTEX_POINT('',#564833); #173102=VERTEX_POINT('',#564837); #173103=VERTEX_POINT('',#564839); #173104=VERTEX_POINT('',#564843); #173105=VERTEX_POINT('',#564845); #173106=VERTEX_POINT('',#564849); #173107=VERTEX_POINT('',#564851); #173108=VERTEX_POINT('',#564855); #173109=VERTEX_POINT('',#564857); #173110=VERTEX_POINT('',#564861); #173111=VERTEX_POINT('',#564863); #173112=VERTEX_POINT('',#564867); #173113=VERTEX_POINT('',#564869); #173114=VERTEX_POINT('',#564873); #173115=VERTEX_POINT('',#564875); #173116=VERTEX_POINT('',#564879); #173117=VERTEX_POINT('',#564881); #173118=VERTEX_POINT('',#564885); #173119=VERTEX_POINT('',#564887); #173120=VERTEX_POINT('',#564891); #173121=VERTEX_POINT('',#564893); #173122=VERTEX_POINT('',#564897); #173123=VERTEX_POINT('',#564899); #173124=VERTEX_POINT('',#564903); #173125=VERTEX_POINT('',#564905); #173126=VERTEX_POINT('',#564909); #173127=VERTEX_POINT('',#564911); #173128=VERTEX_POINT('',#564915); #173129=VERTEX_POINT('',#564917); #173130=VERTEX_POINT('',#564921); #173131=VERTEX_POINT('',#564923); #173132=VERTEX_POINT('',#564927); #173133=VERTEX_POINT('',#564929); #173134=VERTEX_POINT('',#564933); #173135=VERTEX_POINT('',#564935); #173136=VERTEX_POINT('',#564939); #173137=VERTEX_POINT('',#564941); #173138=VERTEX_POINT('',#564945); #173139=VERTEX_POINT('',#564947); #173140=VERTEX_POINT('',#564951); #173141=VERTEX_POINT('',#564953); #173142=VERTEX_POINT('',#564957); #173143=VERTEX_POINT('',#564959); #173144=VERTEX_POINT('',#564963); #173145=VERTEX_POINT('',#564965); #173146=VERTEX_POINT('',#564969); #173147=VERTEX_POINT('',#564971); #173148=VERTEX_POINT('',#564975); #173149=VERTEX_POINT('',#564977); #173150=VERTEX_POINT('',#564981); #173151=VERTEX_POINT('',#564983); #173152=VERTEX_POINT('',#564987); #173153=VERTEX_POINT('',#564989); #173154=VERTEX_POINT('',#564993); #173155=VERTEX_POINT('',#564995); #173156=VERTEX_POINT('',#564999); #173157=VERTEX_POINT('',#565001); #173158=VERTEX_POINT('',#565005); #173159=VERTEX_POINT('',#565007); #173160=VERTEX_POINT('',#565011); #173161=VERTEX_POINT('',#565013); #173162=VERTEX_POINT('',#565017); #173163=VERTEX_POINT('',#565019); #173164=VERTEX_POINT('',#565023); #173165=VERTEX_POINT('',#565025); #173166=VERTEX_POINT('',#565029); #173167=VERTEX_POINT('',#565031); #173168=VERTEX_POINT('',#565035); #173169=VERTEX_POINT('',#565037); #173170=VERTEX_POINT('',#565041); #173171=VERTEX_POINT('',#565043); #173172=VERTEX_POINT('',#565047); #173173=VERTEX_POINT('',#565049); #173174=VERTEX_POINT('',#565053); #173175=VERTEX_POINT('',#565055); #173176=VERTEX_POINT('',#565059); #173177=VERTEX_POINT('',#565061); #173178=VERTEX_POINT('',#565065); #173179=VERTEX_POINT('',#565067); #173180=VERTEX_POINT('',#565071); #173181=VERTEX_POINT('',#565073); #173182=VERTEX_POINT('',#565077); #173183=VERTEX_POINT('',#565079); #173184=VERTEX_POINT('',#565083); #173185=VERTEX_POINT('',#565085); #173186=VERTEX_POINT('',#565089); #173187=VERTEX_POINT('',#565091); #173188=VERTEX_POINT('',#565095); #173189=VERTEX_POINT('',#565097); #173190=VERTEX_POINT('',#565106); #173191=VERTEX_POINT('',#565108); #173192=VERTEX_POINT('',#565112); #173193=VERTEX_POINT('',#565114); #173194=VERTEX_POINT('',#565118); #173195=VERTEX_POINT('',#565120); #173196=VERTEX_POINT('',#565124); #173197=VERTEX_POINT('',#565126); #173198=VERTEX_POINT('',#565130); #173199=VERTEX_POINT('',#565132); #173200=VERTEX_POINT('',#565136); #173201=VERTEX_POINT('',#565138); #173202=VERTEX_POINT('',#565142); #173203=VERTEX_POINT('',#565144); #173204=VERTEX_POINT('',#565148); #173205=VERTEX_POINT('',#565150); #173206=VERTEX_POINT('',#565154); #173207=VERTEX_POINT('',#565156); #173208=VERTEX_POINT('',#565160); #173209=VERTEX_POINT('',#565162); #173210=VERTEX_POINT('',#565166); #173211=VERTEX_POINT('',#565168); #173212=VERTEX_POINT('',#565172); #173213=VERTEX_POINT('',#565174); #173214=VERTEX_POINT('',#565178); #173215=VERTEX_POINT('',#565180); #173216=VERTEX_POINT('',#565184); #173217=VERTEX_POINT('',#565186); #173218=VERTEX_POINT('',#565190); #173219=VERTEX_POINT('',#565192); #173220=VERTEX_POINT('',#565196); #173221=VERTEX_POINT('',#565198); #173222=VERTEX_POINT('',#565202); #173223=VERTEX_POINT('',#565204); #173224=VERTEX_POINT('',#565208); #173225=VERTEX_POINT('',#565210); #173226=VERTEX_POINT('',#565214); #173227=VERTEX_POINT('',#565216); #173228=VERTEX_POINT('',#565220); #173229=VERTEX_POINT('',#565222); #173230=VERTEX_POINT('',#565226); #173231=VERTEX_POINT('',#565228); #173232=VERTEX_POINT('',#565232); #173233=VERTEX_POINT('',#565234); #173234=VERTEX_POINT('',#565238); #173235=VERTEX_POINT('',#565240); #173236=VERTEX_POINT('',#565244); #173237=VERTEX_POINT('',#565246); #173238=VERTEX_POINT('',#565250); #173239=VERTEX_POINT('',#565252); #173240=VERTEX_POINT('',#565256); #173241=VERTEX_POINT('',#565258); #173242=VERTEX_POINT('',#565262); #173243=VERTEX_POINT('',#565264); #173244=VERTEX_POINT('',#565268); #173245=VERTEX_POINT('',#565270); #173246=VERTEX_POINT('',#565274); #173247=VERTEX_POINT('',#565276); #173248=VERTEX_POINT('',#565280); #173249=VERTEX_POINT('',#565282); #173250=VERTEX_POINT('',#565286); #173251=VERTEX_POINT('',#565287); #173252=VERTEX_POINT('',#565289); #173253=VERTEX_POINT('',#565291); #173254=VERTEX_POINT('',#565295); #173255=VERTEX_POINT('',#565297); #173256=VERTEX_POINT('',#565301); #173257=VERTEX_POINT('',#565303); #173258=VERTEX_POINT('',#565307); #173259=VERTEX_POINT('',#565309); #173260=VERTEX_POINT('',#565313); #173261=VERTEX_POINT('',#565315); #173262=VERTEX_POINT('',#565319); #173263=VERTEX_POINT('',#565321); #173264=VERTEX_POINT('',#565325); #173265=VERTEX_POINT('',#565327); #173266=VERTEX_POINT('',#565331); #173267=VERTEX_POINT('',#565333); #173268=VERTEX_POINT('',#565337); #173269=VERTEX_POINT('',#565339); #173270=VERTEX_POINT('',#565343); #173271=VERTEX_POINT('',#565345); #173272=VERTEX_POINT('',#565349); #173273=VERTEX_POINT('',#565351); #173274=VERTEX_POINT('',#565355); #173275=VERTEX_POINT('',#565357); #173276=VERTEX_POINT('',#565361); #173277=VERTEX_POINT('',#565363); #173278=VERTEX_POINT('',#565367); #173279=VERTEX_POINT('',#565369); #173280=VERTEX_POINT('',#565373); #173281=VERTEX_POINT('',#565375); #173282=VERTEX_POINT('',#565379); #173283=VERTEX_POINT('',#565381); #173284=VERTEX_POINT('',#565385); #173285=VERTEX_POINT('',#565387); #173286=VERTEX_POINT('',#565391); #173287=VERTEX_POINT('',#565393); #173288=VERTEX_POINT('',#565397); #173289=VERTEX_POINT('',#565399); #173290=VERTEX_POINT('',#565403); #173291=VERTEX_POINT('',#565405); #173292=VERTEX_POINT('',#565409); #173293=VERTEX_POINT('',#565411); #173294=VERTEX_POINT('',#565415); #173295=VERTEX_POINT('',#565417); #173296=VERTEX_POINT('',#565421); #173297=VERTEX_POINT('',#565423); #173298=VERTEX_POINT('',#565427); #173299=VERTEX_POINT('',#565429); #173300=VERTEX_POINT('',#565433); #173301=VERTEX_POINT('',#565435); #173302=VERTEX_POINT('',#565439); #173303=VERTEX_POINT('',#565441); #173304=VERTEX_POINT('',#565445); #173305=VERTEX_POINT('',#565447); #173306=VERTEX_POINT('',#565451); #173307=VERTEX_POINT('',#565453); #173308=VERTEX_POINT('',#565457); #173309=VERTEX_POINT('',#565459); #173310=VERTEX_POINT('',#565463); #173311=VERTEX_POINT('',#565465); #173312=VERTEX_POINT('',#565469); #173313=VERTEX_POINT('',#565471); #173314=VERTEX_POINT('',#565475); #173315=VERTEX_POINT('',#565477); #173316=VERTEX_POINT('',#565481); #173317=VERTEX_POINT('',#565483); #173318=VERTEX_POINT('',#565487); #173319=VERTEX_POINT('',#565489); #173320=VERTEX_POINT('',#565493); #173321=VERTEX_POINT('',#565495); #173322=VERTEX_POINT('',#565499); #173323=VERTEX_POINT('',#565501); #173324=VERTEX_POINT('',#565505); #173325=VERTEX_POINT('',#565507); #173326=VERTEX_POINT('',#565511); #173327=VERTEX_POINT('',#565513); #173328=VERTEX_POINT('',#565517); #173329=VERTEX_POINT('',#565519); #173330=VERTEX_POINT('',#565523); #173331=VERTEX_POINT('',#565525); #173332=VERTEX_POINT('',#565529); #173333=VERTEX_POINT('',#565531); #173334=VERTEX_POINT('',#565535); #173335=VERTEX_POINT('',#565537); #173336=VERTEX_POINT('',#565541); #173337=VERTEX_POINT('',#565543); #173338=VERTEX_POINT('',#565547); #173339=VERTEX_POINT('',#565549); #173340=VERTEX_POINT('',#565558); #173341=VERTEX_POINT('',#565560); #173342=VERTEX_POINT('',#565564); #173343=VERTEX_POINT('',#565566); #173344=VERTEX_POINT('',#565570); #173345=VERTEX_POINT('',#565571); #173346=VERTEX_POINT('',#565573); #173347=VERTEX_POINT('',#565575); #173348=VERTEX_POINT('',#565579); #173349=VERTEX_POINT('',#565581); #173350=VERTEX_POINT('',#565585); #173351=VERTEX_POINT('',#565587); #173352=VERTEX_POINT('',#565591); #173353=VERTEX_POINT('',#565593); #173354=VERTEX_POINT('',#565597); #173355=VERTEX_POINT('',#565599); #173356=VERTEX_POINT('',#565603); #173357=VERTEX_POINT('',#565605); #173358=VERTEX_POINT('',#565614); #173359=VERTEX_POINT('',#565616); #173360=VERTEX_POINT('',#565620); #173361=VERTEX_POINT('',#565622); #173362=VERTEX_POINT('',#565626); #173363=VERTEX_POINT('',#565628); #173364=VERTEX_POINT('',#565632); #173365=VERTEX_POINT('',#565634); #173366=VERTEX_POINT('',#565638); #173367=VERTEX_POINT('',#565640); #173368=VERTEX_POINT('',#565644); #173369=VERTEX_POINT('',#565646); #173370=VERTEX_POINT('',#565650); #173371=VERTEX_POINT('',#565652); #173372=VERTEX_POINT('',#565656); #173373=VERTEX_POINT('',#565658); #173374=VERTEX_POINT('',#565662); #173375=VERTEX_POINT('',#565664); #173376=VERTEX_POINT('',#565668); #173377=VERTEX_POINT('',#565670); #173378=VERTEX_POINT('',#565674); #173379=VERTEX_POINT('',#565675); #173380=VERTEX_POINT('',#565677); #173381=VERTEX_POINT('',#565679); #173382=VERTEX_POINT('',#565683); #173383=VERTEX_POINT('',#565685); #173384=VERTEX_POINT('',#565689); #173385=VERTEX_POINT('',#565691); #173386=VERTEX_POINT('',#565695); #173387=VERTEX_POINT('',#565697); #173388=VERTEX_POINT('',#565701); #173389=VERTEX_POINT('',#565703); #173390=VERTEX_POINT('',#565707); #173391=VERTEX_POINT('',#565709); #173392=VERTEX_POINT('',#565713); #173393=VERTEX_POINT('',#565715); #173394=VERTEX_POINT('',#565719); #173395=VERTEX_POINT('',#565721); #173396=VERTEX_POINT('',#565725); #173397=VERTEX_POINT('',#565727); #173398=VERTEX_POINT('',#565731); #173399=VERTEX_POINT('',#565733); #173400=VERTEX_POINT('',#565737); #173401=VERTEX_POINT('',#565739); #173402=VERTEX_POINT('',#565743); #173403=VERTEX_POINT('',#565745); #173404=VERTEX_POINT('',#565749); #173405=VERTEX_POINT('',#565751); #173406=VERTEX_POINT('',#565755); #173407=VERTEX_POINT('',#565757); #173408=VERTEX_POINT('',#565761); #173409=VERTEX_POINT('',#565763); #173410=VERTEX_POINT('',#565767); #173411=VERTEX_POINT('',#565769); #173412=VERTEX_POINT('',#565773); #173413=VERTEX_POINT('',#565775); #173414=VERTEX_POINT('',#565779); #173415=VERTEX_POINT('',#565781); #173416=VERTEX_POINT('',#565785); #173417=VERTEX_POINT('',#565787); #173418=VERTEX_POINT('',#565791); #173419=VERTEX_POINT('',#565793); #173420=VERTEX_POINT('',#565797); #173421=VERTEX_POINT('',#565799); #173422=VERTEX_POINT('',#565803); #173423=VERTEX_POINT('',#565805); #173424=VERTEX_POINT('',#565809); #173425=VERTEX_POINT('',#565811); #173426=VERTEX_POINT('',#565820); #173427=VERTEX_POINT('',#565822); #173428=VERTEX_POINT('',#565826); #173429=VERTEX_POINT('',#565827); #173430=VERTEX_POINT('',#565829); #173431=VERTEX_POINT('',#565831); #173432=VERTEX_POINT('',#565835); #173433=VERTEX_POINT('',#565837); #173434=VERTEX_POINT('',#565846); #173435=VERTEX_POINT('',#565848); #173436=VERTEX_POINT('',#565852); #173437=VERTEX_POINT('',#565854); #173438=VERTEX_POINT('',#565858); #173439=VERTEX_POINT('',#565860); #173440=VERTEX_POINT('',#565864); #173441=VERTEX_POINT('',#565866); #173442=VERTEX_POINT('',#565870); #173443=VERTEX_POINT('',#565872); #173444=VERTEX_POINT('',#565876); #173445=VERTEX_POINT('',#565878); #173446=VERTEX_POINT('',#565882); #173447=VERTEX_POINT('',#565884); #173448=VERTEX_POINT('',#565888); #173449=VERTEX_POINT('',#565890); #173450=VERTEX_POINT('',#565894); #173451=VERTEX_POINT('',#565896); #173452=VERTEX_POINT('',#565900); #173453=VERTEX_POINT('',#565902); #173454=VERTEX_POINT('',#565906); #173455=VERTEX_POINT('',#565908); #173456=VERTEX_POINT('',#565912); #173457=VERTEX_POINT('',#565914); #173458=VERTEX_POINT('',#565918); #173459=VERTEX_POINT('',#565920); #173460=VERTEX_POINT('',#565924); #173461=VERTEX_POINT('',#565926); #173462=VERTEX_POINT('',#565930); #173463=VERTEX_POINT('',#565932); #173464=VERTEX_POINT('',#565936); #173465=VERTEX_POINT('',#565938); #173466=VERTEX_POINT('',#565942); #173467=VERTEX_POINT('',#565944); #173468=VERTEX_POINT('',#565948); #173469=VERTEX_POINT('',#565950); #173470=VERTEX_POINT('',#565954); #173471=VERTEX_POINT('',#565956); #173472=VERTEX_POINT('',#565960); #173473=VERTEX_POINT('',#565962); #173474=VERTEX_POINT('',#565966); #173475=VERTEX_POINT('',#565968); #173476=VERTEX_POINT('',#565972); #173477=VERTEX_POINT('',#565974); #173478=VERTEX_POINT('',#565978); #173479=VERTEX_POINT('',#565980); #173480=VERTEX_POINT('',#565984); #173481=VERTEX_POINT('',#565986); #173482=VERTEX_POINT('',#565990); #173483=VERTEX_POINT('',#565992); #173484=VERTEX_POINT('',#565996); #173485=VERTEX_POINT('',#565998); #173486=VERTEX_POINT('',#566002); #173487=VERTEX_POINT('',#566004); #173488=VERTEX_POINT('',#566008); #173489=VERTEX_POINT('',#566010); #173490=VERTEX_POINT('',#566014); #173491=VERTEX_POINT('',#566016); #173492=VERTEX_POINT('',#566020); #173493=VERTEX_POINT('',#566022); #173494=VERTEX_POINT('',#566026); #173495=VERTEX_POINT('',#566027); #173496=VERTEX_POINT('',#566029); #173497=VERTEX_POINT('',#566031); #173498=VERTEX_POINT('',#566035); #173499=VERTEX_POINT('',#566037); #173500=VERTEX_POINT('',#566041); #173501=VERTEX_POINT('',#566043); #173502=VERTEX_POINT('',#566047); #173503=VERTEX_POINT('',#566049); #173504=VERTEX_POINT('',#566053); #173505=VERTEX_POINT('',#566055); #173506=VERTEX_POINT('',#566059); #173507=VERTEX_POINT('',#566061); #173508=VERTEX_POINT('',#566065); #173509=VERTEX_POINT('',#566067); #173510=VERTEX_POINT('',#566071); #173511=VERTEX_POINT('',#566073); #173512=VERTEX_POINT('',#566077); #173513=VERTEX_POINT('',#566079); #173514=VERTEX_POINT('',#566083); #173515=VERTEX_POINT('',#566085); #173516=VERTEX_POINT('',#566089); #173517=VERTEX_POINT('',#566091); #173518=VERTEX_POINT('',#566095); #173519=VERTEX_POINT('',#566097); #173520=VERTEX_POINT('',#566101); #173521=VERTEX_POINT('',#566103); #173522=VERTEX_POINT('',#566107); #173523=VERTEX_POINT('',#566109); #173524=VERTEX_POINT('',#566113); #173525=VERTEX_POINT('',#566115); #173526=VERTEX_POINT('',#566119); #173527=VERTEX_POINT('',#566121); #173528=VERTEX_POINT('',#566125); #173529=VERTEX_POINT('',#566127); #173530=VERTEX_POINT('',#566131); #173531=VERTEX_POINT('',#566133); #173532=VERTEX_POINT('',#566137); #173533=VERTEX_POINT('',#566139); #173534=VERTEX_POINT('',#566143); #173535=VERTEX_POINT('',#566145); #173536=VERTEX_POINT('',#566149); #173537=VERTEX_POINT('',#566151); #173538=VERTEX_POINT('',#566155); #173539=VERTEX_POINT('',#566157); #173540=VERTEX_POINT('',#566161); #173541=VERTEX_POINT('',#566163); #173542=VERTEX_POINT('',#566167); #173543=VERTEX_POINT('',#566169); #173544=VERTEX_POINT('',#566173); #173545=VERTEX_POINT('',#566175); #173546=VERTEX_POINT('',#566179); #173547=VERTEX_POINT('',#566181); #173548=VERTEX_POINT('',#566185); #173549=VERTEX_POINT('',#566187); #173550=VERTEX_POINT('',#566191); #173551=VERTEX_POINT('',#566193); #173552=VERTEX_POINT('',#566197); #173553=VERTEX_POINT('',#566199); #173554=VERTEX_POINT('',#566203); #173555=VERTEX_POINT('',#566205); #173556=VERTEX_POINT('',#566209); #173557=VERTEX_POINT('',#566211); #173558=VERTEX_POINT('',#566215); #173559=VERTEX_POINT('',#566217); #173560=VERTEX_POINT('',#566221); #173561=VERTEX_POINT('',#566223); #173562=VERTEX_POINT('',#566227); #173563=VERTEX_POINT('',#566229); #173564=VERTEX_POINT('',#566233); #173565=VERTEX_POINT('',#566235); #173566=VERTEX_POINT('',#566239); #173567=VERTEX_POINT('',#566241); #173568=VERTEX_POINT('',#566245); #173569=VERTEX_POINT('',#566247); #173570=VERTEX_POINT('',#566251); #173571=VERTEX_POINT('',#566253); #173572=VERTEX_POINT('',#566257); #173573=VERTEX_POINT('',#566259); #173574=VERTEX_POINT('',#566263); #173575=VERTEX_POINT('',#566265); #173576=VERTEX_POINT('',#566269); #173577=VERTEX_POINT('',#566271); #173578=VERTEX_POINT('',#566275); #173579=VERTEX_POINT('',#566277); #173580=VERTEX_POINT('',#566281); #173581=VERTEX_POINT('',#566283); #173582=VERTEX_POINT('',#566287); #173583=VERTEX_POINT('',#566289); #173584=VERTEX_POINT('',#566298); #173585=VERTEX_POINT('',#566300); #173586=VERTEX_POINT('',#566304); #173587=VERTEX_POINT('',#566306); #173588=VERTEX_POINT('',#566310); #173589=VERTEX_POINT('',#566311); #173590=VERTEX_POINT('',#566313); #173591=VERTEX_POINT('',#566315); #173592=VERTEX_POINT('',#566319); #173593=VERTEX_POINT('',#566321); #173594=VERTEX_POINT('',#566325); #173595=VERTEX_POINT('',#566327); #173596=VERTEX_POINT('',#566331); #173597=VERTEX_POINT('',#566333); #173598=VERTEX_POINT('',#566337); #173599=VERTEX_POINT('',#566339); #173600=VERTEX_POINT('',#566343); #173601=VERTEX_POINT('',#566345); #173602=VERTEX_POINT('',#566354); #173603=VERTEX_POINT('',#566356); #173604=VERTEX_POINT('',#566360); #173605=VERTEX_POINT('',#566361); #173606=VERTEX_POINT('',#566363); #173607=VERTEX_POINT('',#566365); #173608=VERTEX_POINT('',#566369); #173609=VERTEX_POINT('',#566371); #173610=VERTEX_POINT('',#566380); #173611=VERTEX_POINT('',#566382); #173612=VERTEX_POINT('',#566386); #173613=VERTEX_POINT('',#566388); #173614=VERTEX_POINT('',#566392); #173615=VERTEX_POINT('',#566394); #173616=VERTEX_POINT('',#566398); #173617=VERTEX_POINT('',#566400); #173618=VERTEX_POINT('',#566404); #173619=VERTEX_POINT('',#566406); #173620=VERTEX_POINT('',#566410); #173621=VERTEX_POINT('',#566412); #173622=VERTEX_POINT('',#566416); #173623=VERTEX_POINT('',#566418); #173624=VERTEX_POINT('',#566422); #173625=VERTEX_POINT('',#566424); #173626=VERTEX_POINT('',#566428); #173627=VERTEX_POINT('',#566430); #173628=VERTEX_POINT('',#566434); #173629=VERTEX_POINT('',#566436); #173630=VERTEX_POINT('',#566440); #173631=VERTEX_POINT('',#566442); #173632=VERTEX_POINT('',#566446); #173633=VERTEX_POINT('',#566448); #173634=VERTEX_POINT('',#566452); #173635=VERTEX_POINT('',#566454); #173636=VERTEX_POINT('',#566458); #173637=VERTEX_POINT('',#566460); #173638=VERTEX_POINT('',#566464); #173639=VERTEX_POINT('',#566466); #173640=VERTEX_POINT('',#566470); #173641=VERTEX_POINT('',#566471); #173642=VERTEX_POINT('',#566473); #173643=VERTEX_POINT('',#566475); #173644=VERTEX_POINT('',#566479); #173645=VERTEX_POINT('',#566481); #173646=VERTEX_POINT('',#566485); #173647=VERTEX_POINT('',#566487); #173648=VERTEX_POINT('',#566491); #173649=VERTEX_POINT('',#566493); #173650=VERTEX_POINT('',#566497); #173651=VERTEX_POINT('',#566499); #173652=VERTEX_POINT('',#566503); #173653=VERTEX_POINT('',#566505); #173654=VERTEX_POINT('',#566509); #173655=VERTEX_POINT('',#566511); #173656=VERTEX_POINT('',#566515); #173657=VERTEX_POINT('',#566517); #173658=VERTEX_POINT('',#566521); #173659=VERTEX_POINT('',#566523); #173660=VERTEX_POINT('',#566527); #173661=VERTEX_POINT('',#566529); #173662=VERTEX_POINT('',#566533); #173663=VERTEX_POINT('',#566535); #173664=VERTEX_POINT('',#566539); #173665=VERTEX_POINT('',#566541); #173666=VERTEX_POINT('',#566545); #173667=VERTEX_POINT('',#566547); #173668=VERTEX_POINT('',#566551); #173669=VERTEX_POINT('',#566553); #173670=VERTEX_POINT('',#566557); #173671=VERTEX_POINT('',#566559); #173672=VERTEX_POINT('',#566563); #173673=VERTEX_POINT('',#566565); #173674=VERTEX_POINT('',#566569); #173675=VERTEX_POINT('',#566571); #173676=VERTEX_POINT('',#566575); #173677=VERTEX_POINT('',#566577); #173678=VERTEX_POINT('',#566581); #173679=VERTEX_POINT('',#566583); #173680=VERTEX_POINT('',#566587); #173681=VERTEX_POINT('',#566589); #173682=VERTEX_POINT('',#566593); #173683=VERTEX_POINT('',#566595); #173684=VERTEX_POINT('',#566599); #173685=VERTEX_POINT('',#566601); #173686=VERTEX_POINT('',#566605); #173687=VERTEX_POINT('',#566607); #173688=VERTEX_POINT('',#566611); #173689=VERTEX_POINT('',#566613); #173690=VERTEX_POINT('',#566617); #173691=VERTEX_POINT('',#566619); #173692=VERTEX_POINT('',#566623); #173693=VERTEX_POINT('',#566625); #173694=VERTEX_POINT('',#566629); #173695=VERTEX_POINT('',#566631); #173696=VERTEX_POINT('',#566635); #173697=VERTEX_POINT('',#566637); #173698=VERTEX_POINT('',#566641); #173699=VERTEX_POINT('',#566643); #173700=VERTEX_POINT('',#566647); #173701=VERTEX_POINT('',#566649); #173702=VERTEX_POINT('',#566653); #173703=VERTEX_POINT('',#566655); #173704=VERTEX_POINT('',#566659); #173705=VERTEX_POINT('',#566661); #173706=VERTEX_POINT('',#566665); #173707=VERTEX_POINT('',#566667); #173708=VERTEX_POINT('',#566671); #173709=VERTEX_POINT('',#566673); #173710=VERTEX_POINT('',#566677); #173711=VERTEX_POINT('',#566679); #173712=VERTEX_POINT('',#566683); #173713=VERTEX_POINT('',#566685); #173714=VERTEX_POINT('',#566689); #173715=VERTEX_POINT('',#566691); #173716=VERTEX_POINT('',#566695); #173717=VERTEX_POINT('',#566697); #173718=VERTEX_POINT('',#566701); #173719=VERTEX_POINT('',#566703); #173720=VERTEX_POINT('',#566707); #173721=VERTEX_POINT('',#566709); #173722=VERTEX_POINT('',#566713); #173723=VERTEX_POINT('',#566715); #173724=VERTEX_POINT('',#566719); #173725=VERTEX_POINT('',#566721); #173726=VERTEX_POINT('',#566725); #173727=VERTEX_POINT('',#566727); #173728=VERTEX_POINT('',#566731); #173729=VERTEX_POINT('',#566733); #173730=VERTEX_POINT('',#566737); #173731=VERTEX_POINT('',#566739); #173732=VERTEX_POINT('',#566743); #173733=VERTEX_POINT('',#566745); #173734=VERTEX_POINT('',#566749); #173735=VERTEX_POINT('',#566751); #173736=VERTEX_POINT('',#566755); #173737=VERTEX_POINT('',#566757); #173738=VERTEX_POINT('',#566761); #173739=VERTEX_POINT('',#566763); #173740=VERTEX_POINT('',#566767); #173741=VERTEX_POINT('',#566769); #173742=VERTEX_POINT('',#566773); #173743=VERTEX_POINT('',#566775); #173744=VERTEX_POINT('',#566779); #173745=VERTEX_POINT('',#566781); #173746=VERTEX_POINT('',#566785); #173747=VERTEX_POINT('',#566787); #173748=VERTEX_POINT('',#566791); #173749=VERTEX_POINT('',#566793); #173750=VERTEX_POINT('',#566797); #173751=VERTEX_POINT('',#566799); #173752=VERTEX_POINT('',#566803); #173753=VERTEX_POINT('',#566805); #173754=VERTEX_POINT('',#566809); #173755=VERTEX_POINT('',#566811); #173756=VERTEX_POINT('',#566815); #173757=VERTEX_POINT('',#566817); #173758=VERTEX_POINT('',#566821); #173759=VERTEX_POINT('',#566823); #173760=VERTEX_POINT('',#566827); #173761=VERTEX_POINT('',#566829); #173762=VERTEX_POINT('',#566833); #173763=VERTEX_POINT('',#566835); #173764=VERTEX_POINT('',#566839); #173765=VERTEX_POINT('',#566841); #173766=VERTEX_POINT('',#566845); #173767=VERTEX_POINT('',#566847); #173768=VERTEX_POINT('',#566851); #173769=VERTEX_POINT('',#566853); #173770=VERTEX_POINT('',#566857); #173771=VERTEX_POINT('',#566859); #173772=VERTEX_POINT('',#566863); #173773=VERTEX_POINT('',#566865); #173774=VERTEX_POINT('',#566869); #173775=VERTEX_POINT('',#566871); #173776=VERTEX_POINT('',#566875); #173777=VERTEX_POINT('',#566877); #173778=VERTEX_POINT('',#566881); #173779=VERTEX_POINT('',#566883); #173780=VERTEX_POINT('',#566887); #173781=VERTEX_POINT('',#566889); #173782=VERTEX_POINT('',#566893); #173783=VERTEX_POINT('',#566895); #173784=VERTEX_POINT('',#566902); #173785=VERTEX_POINT('',#566903); #173786=VERTEX_POINT('',#566905); #173787=VERTEX_POINT('',#566907); #173788=VERTEX_POINT('',#566911); #173789=VERTEX_POINT('',#566913); #173790=VERTEX_POINT('',#566917); #173791=VERTEX_POINT('',#566919); #173792=VERTEX_POINT('',#566923); #173793=VERTEX_POINT('',#566925); #173794=VERTEX_POINT('',#566929); #173795=VERTEX_POINT('',#566931); #173796=VERTEX_POINT('',#566935); #173797=VERTEX_POINT('',#566937); #173798=VERTEX_POINT('',#566941); #173799=VERTEX_POINT('',#566943); #173800=VERTEX_POINT('',#566947); #173801=VERTEX_POINT('',#566949); #173802=VERTEX_POINT('',#566953); #173803=VERTEX_POINT('',#566955); #173804=VERTEX_POINT('',#566959); #173805=VERTEX_POINT('',#566961); #173806=VERTEX_POINT('',#566965); #173807=VERTEX_POINT('',#566967); #173808=VERTEX_POINT('',#566971); #173809=VERTEX_POINT('',#566973); #173810=VERTEX_POINT('',#566977); #173811=VERTEX_POINT('',#566979); #173812=VERTEX_POINT('',#566983); #173813=VERTEX_POINT('',#566985); #173814=VERTEX_POINT('',#566989); #173815=VERTEX_POINT('',#566991); #173816=VERTEX_POINT('',#566995); #173817=VERTEX_POINT('',#566997); #173818=VERTEX_POINT('',#567001); #173819=VERTEX_POINT('',#567003); #173820=VERTEX_POINT('',#567007); #173821=VERTEX_POINT('',#567009); #173822=VERTEX_POINT('',#567013); #173823=VERTEX_POINT('',#567015); #173824=VERTEX_POINT('',#567019); #173825=VERTEX_POINT('',#567021); #173826=VERTEX_POINT('',#567025); #173827=VERTEX_POINT('',#567027); #173828=VERTEX_POINT('',#567031); #173829=VERTEX_POINT('',#567033); #173830=VERTEX_POINT('',#567037); #173831=VERTEX_POINT('',#567039); #173832=VERTEX_POINT('',#567043); #173833=VERTEX_POINT('',#567045); #173834=VERTEX_POINT('',#567049); #173835=VERTEX_POINT('',#567051); #173836=VERTEX_POINT('',#567055); #173837=VERTEX_POINT('',#567057); #173838=VERTEX_POINT('',#567061); #173839=VERTEX_POINT('',#567063); #173840=VERTEX_POINT('',#567067); #173841=VERTEX_POINT('',#567069); #173842=VERTEX_POINT('',#567073); #173843=VERTEX_POINT('',#567075); #173844=VERTEX_POINT('',#567079); #173845=VERTEX_POINT('',#567081); #173846=VERTEX_POINT('',#567085); #173847=VERTEX_POINT('',#567087); #173848=VERTEX_POINT('',#567091); #173849=VERTEX_POINT('',#567093); #173850=VERTEX_POINT('',#567097); #173851=VERTEX_POINT('',#567099); #173852=VERTEX_POINT('',#567103); #173853=VERTEX_POINT('',#567105); #173854=VERTEX_POINT('',#567109); #173855=VERTEX_POINT('',#567111); #173856=VERTEX_POINT('',#567115); #173857=VERTEX_POINT('',#567117); #173858=VERTEX_POINT('',#567121); #173859=VERTEX_POINT('',#567123); #173860=VERTEX_POINT('',#567127); #173861=VERTEX_POINT('',#567129); #173862=VERTEX_POINT('',#567133); #173863=VERTEX_POINT('',#567135); #173864=VERTEX_POINT('',#567139); #173865=VERTEX_POINT('',#567141); #173866=VERTEX_POINT('',#567145); #173867=VERTEX_POINT('',#567147); #173868=VERTEX_POINT('',#567151); #173869=VERTEX_POINT('',#567153); #173870=VERTEX_POINT('',#567157); #173871=VERTEX_POINT('',#567159); #173872=VERTEX_POINT('',#567163); #173873=VERTEX_POINT('',#567165); #173874=VERTEX_POINT('',#567169); #173875=VERTEX_POINT('',#567171); #173876=VERTEX_POINT('',#567175); #173877=VERTEX_POINT('',#567177); #173878=VERTEX_POINT('',#567181); #173879=VERTEX_POINT('',#567183); #173880=VERTEX_POINT('',#567187); #173881=VERTEX_POINT('',#567189); #173882=VERTEX_POINT('',#567193); #173883=VERTEX_POINT('',#567195); #173884=VERTEX_POINT('',#567199); #173885=VERTEX_POINT('',#567201); #173886=VERTEX_POINT('',#567205); #173887=VERTEX_POINT('',#567207); #173888=VERTEX_POINT('',#567211); #173889=VERTEX_POINT('',#567213); #173890=VERTEX_POINT('',#567217); #173891=VERTEX_POINT('',#567219); #173892=VERTEX_POINT('',#567223); #173893=VERTEX_POINT('',#567225); #173894=VERTEX_POINT('',#567229); #173895=VERTEX_POINT('',#567231); #173896=VERTEX_POINT('',#567235); #173897=VERTEX_POINT('',#567237); #173898=VERTEX_POINT('',#567241); #173899=VERTEX_POINT('',#567243); #173900=VERTEX_POINT('',#567247); #173901=VERTEX_POINT('',#567249); #173902=VERTEX_POINT('',#567253); #173903=VERTEX_POINT('',#567255); #173904=VERTEX_POINT('',#567259); #173905=VERTEX_POINT('',#567261); #173906=VERTEX_POINT('',#567265); #173907=VERTEX_POINT('',#567267); #173908=VERTEX_POINT('',#567271); #173909=VERTEX_POINT('',#567273); #173910=VERTEX_POINT('',#567277); #173911=VERTEX_POINT('',#567279); #173912=VERTEX_POINT('',#567283); #173913=VERTEX_POINT('',#567285); #173914=VERTEX_POINT('',#567289); #173915=VERTEX_POINT('',#567291); #173916=VERTEX_POINT('',#567295); #173917=VERTEX_POINT('',#567297); #173918=VERTEX_POINT('',#567301); #173919=VERTEX_POINT('',#567303); #173920=VERTEX_POINT('',#567307); #173921=VERTEX_POINT('',#567309); #173922=VERTEX_POINT('',#567313); #173923=VERTEX_POINT('',#567315); #173924=VERTEX_POINT('',#567319); #173925=VERTEX_POINT('',#567321); #173926=VERTEX_POINT('',#567325); #173927=VERTEX_POINT('',#567327); #173928=VERTEX_POINT('',#567331); #173929=VERTEX_POINT('',#567333); #173930=VERTEX_POINT('',#567337); #173931=VERTEX_POINT('',#567339); #173932=VERTEX_POINT('',#567343); #173933=VERTEX_POINT('',#567345); #173934=VERTEX_POINT('',#567349); #173935=VERTEX_POINT('',#567351); #173936=VERTEX_POINT('',#567355); #173937=VERTEX_POINT('',#567357); #173938=VERTEX_POINT('',#567361); #173939=VERTEX_POINT('',#567363); #173940=VERTEX_POINT('',#567367); #173941=VERTEX_POINT('',#567369); #173942=VERTEX_POINT('',#567373); #173943=VERTEX_POINT('',#567375); #173944=VERTEX_POINT('',#567379); #173945=VERTEX_POINT('',#567381); #173946=VERTEX_POINT('',#567385); #173947=VERTEX_POINT('',#567387); #173948=VERTEX_POINT('',#567391); #173949=VERTEX_POINT('',#567393); #173950=VERTEX_POINT('',#567397); #173951=VERTEX_POINT('',#567399); #173952=VERTEX_POINT('',#567403); #173953=VERTEX_POINT('',#567405); #173954=VERTEX_POINT('',#567409); #173955=VERTEX_POINT('',#567411); #173956=VERTEX_POINT('',#567415); #173957=VERTEX_POINT('',#567417); #173958=VERTEX_POINT('',#567421); #173959=VERTEX_POINT('',#567423); #173960=VERTEX_POINT('',#567427); #173961=VERTEX_POINT('',#567429); #173962=VERTEX_POINT('',#567433); #173963=VERTEX_POINT('',#567435); #173964=VERTEX_POINT('',#567439); #173965=VERTEX_POINT('',#567441); #173966=VERTEX_POINT('',#567445); #173967=VERTEX_POINT('',#567447); #173968=VERTEX_POINT('',#567451); #173969=VERTEX_POINT('',#567453); #173970=VERTEX_POINT('',#567457); #173971=VERTEX_POINT('',#567459); #173972=VERTEX_POINT('',#567463); #173973=VERTEX_POINT('',#567465); #173974=VERTEX_POINT('',#567474); #173975=VERTEX_POINT('',#567476); #173976=VERTEX_POINT('',#567480); #173977=VERTEX_POINT('',#567481); #173978=VERTEX_POINT('',#567483); #173979=VERTEX_POINT('',#567485); #173980=VERTEX_POINT('',#567489); #173981=VERTEX_POINT('',#567491); #173982=VERTEX_POINT('',#567500); #173983=VERTEX_POINT('',#567502); #173984=VERTEX_POINT('',#567506); #173985=VERTEX_POINT('',#567507); #173986=VERTEX_POINT('',#567509); #173987=VERTEX_POINT('',#567511); #173988=VERTEX_POINT('',#567515); #173989=VERTEX_POINT('',#567517); #173990=VERTEX_POINT('',#567526); #173991=VERTEX_POINT('',#567528); #173992=VERTEX_POINT('',#567532); #173993=VERTEX_POINT('',#567533); #173994=VERTEX_POINT('',#567535); #173995=VERTEX_POINT('',#567537); #173996=VERTEX_POINT('',#567541); #173997=VERTEX_POINT('',#567543); #173998=VERTEX_POINT('',#567552); #173999=VERTEX_POINT('',#567554); #174000=VERTEX_POINT('',#567558); #174001=VERTEX_POINT('',#567559); #174002=VERTEX_POINT('',#567561); #174003=VERTEX_POINT('',#567563); #174004=VERTEX_POINT('',#567567); #174005=VERTEX_POINT('',#567569); #174006=VERTEX_POINT('',#567578); #174007=VERTEX_POINT('',#567580); #174008=VERTEX_POINT('',#567584); #174009=VERTEX_POINT('',#567585); #174010=VERTEX_POINT('',#567587); #174011=VERTEX_POINT('',#567589); #174012=VERTEX_POINT('',#567593); #174013=VERTEX_POINT('',#567595); #174014=VERTEX_POINT('',#567599); #174015=VERTEX_POINT('',#567601); #174016=VERTEX_POINT('',#567610); #174017=VERTEX_POINT('',#567612); #174018=VERTEX_POINT('',#567616); #174019=VERTEX_POINT('',#567617); #174020=VERTEX_POINT('',#567619); #174021=VERTEX_POINT('',#567621); #174022=VERTEX_POINT('',#567625); #174023=VERTEX_POINT('',#567627); #174024=VERTEX_POINT('',#567636); #174025=VERTEX_POINT('',#567638); #174026=VERTEX_POINT('',#567642); #174027=VERTEX_POINT('',#567643); #174028=VERTEX_POINT('',#567645); #174029=VERTEX_POINT('',#567647); #174030=VERTEX_POINT('',#567651); #174031=VERTEX_POINT('',#567653); #174032=VERTEX_POINT('',#567662); #174033=VERTEX_POINT('',#567664); #174034=VERTEX_POINT('',#567668); #174035=VERTEX_POINT('',#567669); #174036=VERTEX_POINT('',#567671); #174037=VERTEX_POINT('',#567673); #174038=VERTEX_POINT('',#567677); #174039=VERTEX_POINT('',#567679); #174040=VERTEX_POINT('',#567688); #174041=VERTEX_POINT('',#567690); #174042=VERTEX_POINT('',#567694); #174043=VERTEX_POINT('',#567695); #174044=VERTEX_POINT('',#567697); #174045=VERTEX_POINT('',#567699); #174046=VERTEX_POINT('',#567703); #174047=VERTEX_POINT('',#567705); #174048=VERTEX_POINT('',#567714); #174049=VERTEX_POINT('',#567715); #174050=VERTEX_POINT('',#567717); #174051=VERTEX_POINT('',#567719); #174052=VERTEX_POINT('',#567723); #174053=VERTEX_POINT('',#567725); #174054=VERTEX_POINT('',#567729); #174055=VERTEX_POINT('',#567731); #174056=VERTEX_POINT('',#567738); #174057=VERTEX_POINT('',#567739); #174058=VERTEX_POINT('',#567741); #174059=VERTEX_POINT('',#567743); #174060=VERTEX_POINT('',#567747); #174061=VERTEX_POINT('',#567749); #174062=VERTEX_POINT('',#567753); #174063=VERTEX_POINT('',#567755); #174064=VERTEX_POINT('',#567759); #174065=VERTEX_POINT('',#567761); #174066=VERTEX_POINT('',#567765); #174067=VERTEX_POINT('',#567767); #174068=VERTEX_POINT('',#567771); #174069=VERTEX_POINT('',#567773); #174070=VERTEX_POINT('',#567777); #174071=VERTEX_POINT('',#567779); #174072=VERTEX_POINT('',#567783); #174073=VERTEX_POINT('',#567785); #174074=VERTEX_POINT('',#567789); #174075=VERTEX_POINT('',#567791); #174076=VERTEX_POINT('',#567795); #174077=VERTEX_POINT('',#567797); #174078=VERTEX_POINT('',#567801); #174079=VERTEX_POINT('',#567803); #174080=VERTEX_POINT('',#567807); #174081=VERTEX_POINT('',#567809); #174082=VERTEX_POINT('',#567813); #174083=VERTEX_POINT('',#567815); #174084=VERTEX_POINT('',#567819); #174085=VERTEX_POINT('',#567821); #174086=VERTEX_POINT('',#567825); #174087=VERTEX_POINT('',#567827); #174088=VERTEX_POINT('',#567831); #174089=VERTEX_POINT('',#567833); #174090=VERTEX_POINT('',#567837); #174091=VERTEX_POINT('',#567839); #174092=VERTEX_POINT('',#567843); #174093=VERTEX_POINT('',#567845); #174094=VERTEX_POINT('',#567849); #174095=VERTEX_POINT('',#567851); #174096=VERTEX_POINT('',#567855); #174097=VERTEX_POINT('',#567857); #174098=VERTEX_POINT('',#567861); #174099=VERTEX_POINT('',#567863); #174100=VERTEX_POINT('',#567867); #174101=VERTEX_POINT('',#567869); #174102=VERTEX_POINT('',#567873); #174103=VERTEX_POINT('',#567875); #174104=VERTEX_POINT('',#567879); #174105=VERTEX_POINT('',#567881); #174106=VERTEX_POINT('',#567885); #174107=VERTEX_POINT('',#567887); #174108=VERTEX_POINT('',#567891); #174109=VERTEX_POINT('',#567893); #174110=VERTEX_POINT('',#567897); #174111=VERTEX_POINT('',#567899); #174112=VERTEX_POINT('',#567903); #174113=VERTEX_POINT('',#567905); #174114=VERTEX_POINT('',#567909); #174115=VERTEX_POINT('',#567911); #174116=VERTEX_POINT('',#567915); #174117=VERTEX_POINT('',#567917); #174118=VERTEX_POINT('',#567921); #174119=VERTEX_POINT('',#567923); #174120=VERTEX_POINT('',#567927); #174121=VERTEX_POINT('',#567929); #174122=VERTEX_POINT('',#567933); #174123=VERTEX_POINT('',#567935); #174124=VERTEX_POINT('',#567939); #174125=VERTEX_POINT('',#567941); #174126=VERTEX_POINT('',#567945); #174127=VERTEX_POINT('',#567947); #174128=VERTEX_POINT('',#567951); #174129=VERTEX_POINT('',#567953); #174130=VERTEX_POINT('',#567957); #174131=VERTEX_POINT('',#567959); #174132=VERTEX_POINT('',#567963); #174133=VERTEX_POINT('',#567965); #174134=VERTEX_POINT('',#567969); #174135=VERTEX_POINT('',#567971); #174136=VERTEX_POINT('',#567975); #174137=VERTEX_POINT('',#567977); #174138=VERTEX_POINT('',#567981); #174139=VERTEX_POINT('',#567983); #174140=VERTEX_POINT('',#567987); #174141=VERTEX_POINT('',#567989); #174142=VERTEX_POINT('',#567993); #174143=VERTEX_POINT('',#567995); #174144=VERTEX_POINT('',#567999); #174145=VERTEX_POINT('',#568001); #174146=VERTEX_POINT('',#568005); #174147=VERTEX_POINT('',#568007); #174148=VERTEX_POINT('',#568011); #174149=VERTEX_POINT('',#568013); #174150=VERTEX_POINT('',#568017); #174151=VERTEX_POINT('',#568019); #174152=VERTEX_POINT('',#568023); #174153=VERTEX_POINT('',#568025); #174154=VERTEX_POINT('',#568029); #174155=VERTEX_POINT('',#568031); #174156=VERTEX_POINT('',#568035); #174157=VERTEX_POINT('',#568037); #174158=VERTEX_POINT('',#568041); #174159=VERTEX_POINT('',#568043); #174160=VERTEX_POINT('',#568047); #174161=VERTEX_POINT('',#568049); #174162=VERTEX_POINT('',#568053); #174163=VERTEX_POINT('',#568055); #174164=VERTEX_POINT('',#568059); #174165=VERTEX_POINT('',#568061); #174166=VERTEX_POINT('',#568065); #174167=VERTEX_POINT('',#568067); #174168=VERTEX_POINT('',#568076); #174169=VERTEX_POINT('',#568077); #174170=VERTEX_POINT('',#568079); #174171=VERTEX_POINT('',#568081); #174172=VERTEX_POINT('',#568085); #174173=VERTEX_POINT('',#568087); #174174=VERTEX_POINT('',#568091); #174175=VERTEX_POINT('',#568093); #174176=VERTEX_POINT('',#568100); #174177=VERTEX_POINT('',#568101); #174178=VERTEX_POINT('',#568103); #174179=VERTEX_POINT('',#568105); #174180=VERTEX_POINT('',#568109); #174181=VERTEX_POINT('',#568111); #174182=VERTEX_POINT('',#568115); #174183=VERTEX_POINT('',#568117); #174184=VERTEX_POINT('',#568121); #174185=VERTEX_POINT('',#568123); #174186=VERTEX_POINT('',#568127); #174187=VERTEX_POINT('',#568129); #174188=VERTEX_POINT('',#568133); #174189=VERTEX_POINT('',#568135); #174190=VERTEX_POINT('',#568139); #174191=VERTEX_POINT('',#568141); #174192=VERTEX_POINT('',#568145); #174193=VERTEX_POINT('',#568147); #174194=VERTEX_POINT('',#568151); #174195=VERTEX_POINT('',#568153); #174196=VERTEX_POINT('',#568157); #174197=VERTEX_POINT('',#568159); #174198=VERTEX_POINT('',#568163); #174199=VERTEX_POINT('',#568165); #174200=VERTEX_POINT('',#568169); #174201=VERTEX_POINT('',#568171); #174202=VERTEX_POINT('',#568175); #174203=VERTEX_POINT('',#568177); #174204=VERTEX_POINT('',#568181); #174205=VERTEX_POINT('',#568183); #174206=VERTEX_POINT('',#568187); #174207=VERTEX_POINT('',#568189); #174208=VERTEX_POINT('',#568193); #174209=VERTEX_POINT('',#568195); #174210=VERTEX_POINT('',#568199); #174211=VERTEX_POINT('',#568201); #174212=VERTEX_POINT('',#568205); #174213=VERTEX_POINT('',#568207); #174214=VERTEX_POINT('',#568211); #174215=VERTEX_POINT('',#568213); #174216=VERTEX_POINT('',#568217); #174217=VERTEX_POINT('',#568219); #174218=VERTEX_POINT('',#568223); #174219=VERTEX_POINT('',#568225); #174220=VERTEX_POINT('',#568229); #174221=VERTEX_POINT('',#568231); #174222=VERTEX_POINT('',#568235); #174223=VERTEX_POINT('',#568237); #174224=VERTEX_POINT('',#568241); #174225=VERTEX_POINT('',#568243); #174226=VERTEX_POINT('',#568247); #174227=VERTEX_POINT('',#568249); #174228=VERTEX_POINT('',#568253); #174229=VERTEX_POINT('',#568255); #174230=VERTEX_POINT('',#568259); #174231=VERTEX_POINT('',#568261); #174232=VERTEX_POINT('',#568265); #174233=VERTEX_POINT('',#568267); #174234=VERTEX_POINT('',#568271); #174235=VERTEX_POINT('',#568273); #174236=VERTEX_POINT('',#568277); #174237=VERTEX_POINT('',#568279); #174238=VERTEX_POINT('',#568283); #174239=VERTEX_POINT('',#568285); #174240=VERTEX_POINT('',#568289); #174241=VERTEX_POINT('',#568291); #174242=VERTEX_POINT('',#568295); #174243=VERTEX_POINT('',#568297); #174244=VERTEX_POINT('',#568301); #174245=VERTEX_POINT('',#568303); #174246=VERTEX_POINT('',#568307); #174247=VERTEX_POINT('',#568309); #174248=VERTEX_POINT('',#568313); #174249=VERTEX_POINT('',#568315); #174250=VERTEX_POINT('',#568319); #174251=VERTEX_POINT('',#568321); #174252=VERTEX_POINT('',#568325); #174253=VERTEX_POINT('',#568327); #174254=VERTEX_POINT('',#568331); #174255=VERTEX_POINT('',#568333); #174256=VERTEX_POINT('',#568337); #174257=VERTEX_POINT('',#568339); #174258=VERTEX_POINT('',#568343); #174259=VERTEX_POINT('',#568345); #174260=VERTEX_POINT('',#568349); #174261=VERTEX_POINT('',#568351); #174262=VERTEX_POINT('',#568355); #174263=VERTEX_POINT('',#568357); #174264=VERTEX_POINT('',#568361); #174265=VERTEX_POINT('',#568363); #174266=VERTEX_POINT('',#568367); #174267=VERTEX_POINT('',#568369); #174268=VERTEX_POINT('',#568373); #174269=VERTEX_POINT('',#568375); #174270=VERTEX_POINT('',#568379); #174271=VERTEX_POINT('',#568381); #174272=VERTEX_POINT('',#568385); #174273=VERTEX_POINT('',#568387); #174274=VERTEX_POINT('',#568391); #174275=VERTEX_POINT('',#568393); #174276=VERTEX_POINT('',#568397); #174277=VERTEX_POINT('',#568399); #174278=VERTEX_POINT('',#568403); #174279=VERTEX_POINT('',#568405); #174280=VERTEX_POINT('',#568409); #174281=VERTEX_POINT('',#568411); #174282=VERTEX_POINT('',#568415); #174283=VERTEX_POINT('',#568417); #174284=VERTEX_POINT('',#568421); #174285=VERTEX_POINT('',#568423); #174286=VERTEX_POINT('',#568427); #174287=VERTEX_POINT('',#568429); #174288=VERTEX_POINT('',#568433); #174289=VERTEX_POINT('',#568435); #174290=VERTEX_POINT('',#568439); #174291=VERTEX_POINT('',#568441); #174292=VERTEX_POINT('',#568445); #174293=VERTEX_POINT('',#568447); #174294=VERTEX_POINT('',#568451); #174295=VERTEX_POINT('',#568453); #174296=VERTEX_POINT('',#568457); #174297=VERTEX_POINT('',#568459); #174298=VERTEX_POINT('',#568463); #174299=VERTEX_POINT('',#568465); #174300=VERTEX_POINT('',#568469); #174301=VERTEX_POINT('',#568471); #174302=VERTEX_POINT('',#568475); #174303=VERTEX_POINT('',#568477); #174304=VERTEX_POINT('',#568481); #174305=VERTEX_POINT('',#568483); #174306=VERTEX_POINT('',#568487); #174307=VERTEX_POINT('',#568489); #174308=VERTEX_POINT('',#568493); #174309=VERTEX_POINT('',#568495); #174310=VERTEX_POINT('',#568499); #174311=VERTEX_POINT('',#568501); #174312=VERTEX_POINT('',#568505); #174313=VERTEX_POINT('',#568507); #174314=VERTEX_POINT('',#568511); #174315=VERTEX_POINT('',#568513); #174316=VERTEX_POINT('',#568517); #174317=VERTEX_POINT('',#568519); #174318=VERTEX_POINT('',#568523); #174319=VERTEX_POINT('',#568525); #174320=VERTEX_POINT('',#568534); #174321=VERTEX_POINT('',#568535); #174322=VERTEX_POINT('',#568537); #174323=VERTEX_POINT('',#568539); #174324=VERTEX_POINT('',#568543); #174325=VERTEX_POINT('',#568545); #174326=VERTEX_POINT('',#568549); #174327=VERTEX_POINT('',#568551); #174328=VERTEX_POINT('',#568558); #174329=VERTEX_POINT('',#568559); #174330=VERTEX_POINT('',#568561); #174331=VERTEX_POINT('',#568563); #174332=VERTEX_POINT('',#568567); #174333=VERTEX_POINT('',#568569); #174334=VERTEX_POINT('',#568573); #174335=VERTEX_POINT('',#568575); #174336=VERTEX_POINT('',#568579); #174337=VERTEX_POINT('',#568581); #174338=VERTEX_POINT('',#568585); #174339=VERTEX_POINT('',#568587); #174340=VERTEX_POINT('',#568591); #174341=VERTEX_POINT('',#568593); #174342=VERTEX_POINT('',#568597); #174343=VERTEX_POINT('',#568599); #174344=VERTEX_POINT('',#568603); #174345=VERTEX_POINT('',#568605); #174346=VERTEX_POINT('',#568609); #174347=VERTEX_POINT('',#568611); #174348=VERTEX_POINT('',#568615); #174349=VERTEX_POINT('',#568617); #174350=VERTEX_POINT('',#568621); #174351=VERTEX_POINT('',#568623); #174352=VERTEX_POINT('',#568627); #174353=VERTEX_POINT('',#568629); #174354=VERTEX_POINT('',#568633); #174355=VERTEX_POINT('',#568635); #174356=VERTEX_POINT('',#568639); #174357=VERTEX_POINT('',#568641); #174358=VERTEX_POINT('',#568645); #174359=VERTEX_POINT('',#568647); #174360=VERTEX_POINT('',#568651); #174361=VERTEX_POINT('',#568653); #174362=VERTEX_POINT('',#568657); #174363=VERTEX_POINT('',#568659); #174364=VERTEX_POINT('',#568663); #174365=VERTEX_POINT('',#568665); #174366=VERTEX_POINT('',#568669); #174367=VERTEX_POINT('',#568671); #174368=VERTEX_POINT('',#568675); #174369=VERTEX_POINT('',#568677); #174370=VERTEX_POINT('',#568681); #174371=VERTEX_POINT('',#568683); #174372=VERTEX_POINT('',#568687); #174373=VERTEX_POINT('',#568689); #174374=VERTEX_POINT('',#568693); #174375=VERTEX_POINT('',#568695); #174376=VERTEX_POINT('',#568699); #174377=VERTEX_POINT('',#568701); #174378=VERTEX_POINT('',#568705); #174379=VERTEX_POINT('',#568707); #174380=VERTEX_POINT('',#568711); #174381=VERTEX_POINT('',#568713); #174382=VERTEX_POINT('',#568717); #174383=VERTEX_POINT('',#568719); #174384=VERTEX_POINT('',#568723); #174385=VERTEX_POINT('',#568725); #174386=VERTEX_POINT('',#568729); #174387=VERTEX_POINT('',#568731); #174388=VERTEX_POINT('',#568735); #174389=VERTEX_POINT('',#568737); #174390=VERTEX_POINT('',#568741); #174391=VERTEX_POINT('',#568743); #174392=VERTEX_POINT('',#568747); #174393=VERTEX_POINT('',#568749); #174394=VERTEX_POINT('',#568753); #174395=VERTEX_POINT('',#568755); #174396=VERTEX_POINT('',#568759); #174397=VERTEX_POINT('',#568761); #174398=VERTEX_POINT('',#568765); #174399=VERTEX_POINT('',#568767); #174400=VERTEX_POINT('',#568771); #174401=VERTEX_POINT('',#568773); #174402=VERTEX_POINT('',#568777); #174403=VERTEX_POINT('',#568779); #174404=VERTEX_POINT('',#568783); #174405=VERTEX_POINT('',#568785); #174406=VERTEX_POINT('',#568789); #174407=VERTEX_POINT('',#568791); #174408=VERTEX_POINT('',#568795); #174409=VERTEX_POINT('',#568797); #174410=VERTEX_POINT('',#568801); #174411=VERTEX_POINT('',#568803); #174412=VERTEX_POINT('',#568807); #174413=VERTEX_POINT('',#568809); #174414=VERTEX_POINT('',#568813); #174415=VERTEX_POINT('',#568815); #174416=VERTEX_POINT('',#568819); #174417=VERTEX_POINT('',#568821); #174418=VERTEX_POINT('',#568825); #174419=VERTEX_POINT('',#568827); #174420=VERTEX_POINT('',#568831); #174421=VERTEX_POINT('',#568833); #174422=VERTEX_POINT('',#568837); #174423=VERTEX_POINT('',#568839); #174424=VERTEX_POINT('',#568843); #174425=VERTEX_POINT('',#568845); #174426=VERTEX_POINT('',#568849); #174427=VERTEX_POINT('',#568851); #174428=VERTEX_POINT('',#568855); #174429=VERTEX_POINT('',#568857); #174430=VERTEX_POINT('',#568861); #174431=VERTEX_POINT('',#568863); #174432=VERTEX_POINT('',#568867); #174433=VERTEX_POINT('',#568869); #174434=VERTEX_POINT('',#568873); #174435=VERTEX_POINT('',#568875); #174436=VERTEX_POINT('',#568879); #174437=VERTEX_POINT('',#568881); #174438=VERTEX_POINT('',#568885); #174439=VERTEX_POINT('',#568887); #174440=VERTEX_POINT('',#568896); #174441=VERTEX_POINT('',#568898); #174442=VERTEX_POINT('',#568902); #174443=VERTEX_POINT('',#568903); #174444=VERTEX_POINT('',#568905); #174445=VERTEX_POINT('',#568907); #174446=VERTEX_POINT('',#568911); #174447=VERTEX_POINT('',#568913); #174448=VERTEX_POINT('',#568917); #174449=VERTEX_POINT('',#568919); #174450=VERTEX_POINT('',#568928); #174451=VERTEX_POINT('',#568929); #174452=VERTEX_POINT('',#568931); #174453=VERTEX_POINT('',#568933); #174454=VERTEX_POINT('',#568937); #174455=VERTEX_POINT('',#568939); #174456=VERTEX_POINT('',#568943); #174457=VERTEX_POINT('',#568945); #174458=VERTEX_POINT('',#568952); #174459=VERTEX_POINT('',#568953); #174460=VERTEX_POINT('',#568955); #174461=VERTEX_POINT('',#568957); #174462=VERTEX_POINT('',#568961); #174463=VERTEX_POINT('',#568963); #174464=VERTEX_POINT('',#568967); #174465=VERTEX_POINT('',#568969); #174466=VERTEX_POINT('',#568973); #174467=VERTEX_POINT('',#568975); #174468=VERTEX_POINT('',#568979); #174469=VERTEX_POINT('',#568981); #174470=VERTEX_POINT('',#568985); #174471=VERTEX_POINT('',#568987); #174472=VERTEX_POINT('',#568991); #174473=VERTEX_POINT('',#568993); #174474=VERTEX_POINT('',#568997); #174475=VERTEX_POINT('',#568999); #174476=VERTEX_POINT('',#569003); #174477=VERTEX_POINT('',#569005); #174478=VERTEX_POINT('',#569009); #174479=VERTEX_POINT('',#569011); #174480=VERTEX_POINT('',#569015); #174481=VERTEX_POINT('',#569017); #174482=VERTEX_POINT('',#569021); #174483=VERTEX_POINT('',#569023); #174484=VERTEX_POINT('',#569027); #174485=VERTEX_POINT('',#569029); #174486=VERTEX_POINT('',#569033); #174487=VERTEX_POINT('',#569035); #174488=VERTEX_POINT('',#569039); #174489=VERTEX_POINT('',#569041); #174490=VERTEX_POINT('',#569045); #174491=VERTEX_POINT('',#569047); #174492=VERTEX_POINT('',#569051); #174493=VERTEX_POINT('',#569053); #174494=VERTEX_POINT('',#569057); #174495=VERTEX_POINT('',#569059); #174496=VERTEX_POINT('',#569063); #174497=VERTEX_POINT('',#569065); #174498=VERTEX_POINT('',#569069); #174499=VERTEX_POINT('',#569071); #174500=VERTEX_POINT('',#569075); #174501=VERTEX_POINT('',#569077); #174502=VERTEX_POINT('',#569081); #174503=VERTEX_POINT('',#569083); #174504=VERTEX_POINT('',#569087); #174505=VERTEX_POINT('',#569089); #174506=VERTEX_POINT('',#569093); #174507=VERTEX_POINT('',#569095); #174508=VERTEX_POINT('',#569099); #174509=VERTEX_POINT('',#569101); #174510=VERTEX_POINT('',#569105); #174511=VERTEX_POINT('',#569107); #174512=VERTEX_POINT('',#569111); #174513=VERTEX_POINT('',#569113); #174514=VERTEX_POINT('',#569117); #174515=VERTEX_POINT('',#569119); #174516=VERTEX_POINT('',#569123); #174517=VERTEX_POINT('',#569125); #174518=VERTEX_POINT('',#569129); #174519=VERTEX_POINT('',#569131); #174520=VERTEX_POINT('',#569135); #174521=VERTEX_POINT('',#569137); #174522=VERTEX_POINT('',#569141); #174523=VERTEX_POINT('',#569143); #174524=VERTEX_POINT('',#569147); #174525=VERTEX_POINT('',#569149); #174526=VERTEX_POINT('',#569153); #174527=VERTEX_POINT('',#569155); #174528=VERTEX_POINT('',#569159); #174529=VERTEX_POINT('',#569161); #174530=VERTEX_POINT('',#569165); #174531=VERTEX_POINT('',#569167); #174532=VERTEX_POINT('',#569171); #174533=VERTEX_POINT('',#569173); #174534=VERTEX_POINT('',#569177); #174535=VERTEX_POINT('',#569179); #174536=VERTEX_POINT('',#569183); #174537=VERTEX_POINT('',#569185); #174538=VERTEX_POINT('',#569189); #174539=VERTEX_POINT('',#569191); #174540=VERTEX_POINT('',#569195); #174541=VERTEX_POINT('',#569197); #174542=VERTEX_POINT('',#569201); #174543=VERTEX_POINT('',#569203); #174544=VERTEX_POINT('',#569207); #174545=VERTEX_POINT('',#569209); #174546=VERTEX_POINT('',#569213); #174547=VERTEX_POINT('',#569215); #174548=VERTEX_POINT('',#569219); #174549=VERTEX_POINT('',#569221); #174550=VERTEX_POINT('',#569225); #174551=VERTEX_POINT('',#569227); #174552=VERTEX_POINT('',#569231); #174553=VERTEX_POINT('',#569233); #174554=VERTEX_POINT('',#569237); #174555=VERTEX_POINT('',#569239); #174556=VERTEX_POINT('',#569243); #174557=VERTEX_POINT('',#569245); #174558=VERTEX_POINT('',#569249); #174559=VERTEX_POINT('',#569251); #174560=VERTEX_POINT('',#569255); #174561=VERTEX_POINT('',#569257); #174562=VERTEX_POINT('',#569261); #174563=VERTEX_POINT('',#569263); #174564=VERTEX_POINT('',#569267); #174565=VERTEX_POINT('',#569269); #174566=VERTEX_POINT('',#569273); #174567=VERTEX_POINT('',#569275); #174568=VERTEX_POINT('',#569279); #174569=VERTEX_POINT('',#569281); #174570=VERTEX_POINT('',#569290); #174571=VERTEX_POINT('',#569291); #174572=VERTEX_POINT('',#569293); #174573=VERTEX_POINT('',#569295); #174574=VERTEX_POINT('',#569299); #174575=VERTEX_POINT('',#569301); #174576=VERTEX_POINT('',#569305); #174577=VERTEX_POINT('',#569307); #174578=VERTEX_POINT('',#569314); #174579=VERTEX_POINT('',#569315); #174580=VERTEX_POINT('',#569317); #174581=VERTEX_POINT('',#569319); #174582=VERTEX_POINT('',#569323); #174583=VERTEX_POINT('',#569325); #174584=VERTEX_POINT('',#569329); #174585=VERTEX_POINT('',#569331); #174586=VERTEX_POINT('',#569335); #174587=VERTEX_POINT('',#569337); #174588=VERTEX_POINT('',#569341); #174589=VERTEX_POINT('',#569343); #174590=VERTEX_POINT('',#569347); #174591=VERTEX_POINT('',#569349); #174592=VERTEX_POINT('',#569353); #174593=VERTEX_POINT('',#569355); #174594=VERTEX_POINT('',#569359); #174595=VERTEX_POINT('',#569361); #174596=VERTEX_POINT('',#569365); #174597=VERTEX_POINT('',#569367); #174598=VERTEX_POINT('',#569371); #174599=VERTEX_POINT('',#569373); #174600=VERTEX_POINT('',#569377); #174601=VERTEX_POINT('',#569379); #174602=VERTEX_POINT('',#569383); #174603=VERTEX_POINT('',#569385); #174604=VERTEX_POINT('',#569389); #174605=VERTEX_POINT('',#569391); #174606=VERTEX_POINT('',#569395); #174607=VERTEX_POINT('',#569397); #174608=VERTEX_POINT('',#569401); #174609=VERTEX_POINT('',#569403); #174610=VERTEX_POINT('',#569407); #174611=VERTEX_POINT('',#569409); #174612=VERTEX_POINT('',#569413); #174613=VERTEX_POINT('',#569415); #174614=VERTEX_POINT('',#569419); #174615=VERTEX_POINT('',#569421); #174616=VERTEX_POINT('',#569425); #174617=VERTEX_POINT('',#569427); #174618=VERTEX_POINT('',#569431); #174619=VERTEX_POINT('',#569433); #174620=VERTEX_POINT('',#569437); #174621=VERTEX_POINT('',#569439); #174622=VERTEX_POINT('',#569443); #174623=VERTEX_POINT('',#569445); #174624=VERTEX_POINT('',#569449); #174625=VERTEX_POINT('',#569451); #174626=VERTEX_POINT('',#569455); #174627=VERTEX_POINT('',#569457); #174628=VERTEX_POINT('',#569461); #174629=VERTEX_POINT('',#569463); #174630=VERTEX_POINT('',#569467); #174631=VERTEX_POINT('',#569469); #174632=VERTEX_POINT('',#569473); #174633=VERTEX_POINT('',#569475); #174634=VERTEX_POINT('',#569479); #174635=VERTEX_POINT('',#569481); #174636=VERTEX_POINT('',#569485); #174637=VERTEX_POINT('',#569487); #174638=VERTEX_POINT('',#569491); #174639=VERTEX_POINT('',#569493); #174640=VERTEX_POINT('',#569497); #174641=VERTEX_POINT('',#569499); #174642=VERTEX_POINT('',#569503); #174643=VERTEX_POINT('',#569505); #174644=VERTEX_POINT('',#569509); #174645=VERTEX_POINT('',#569511); #174646=VERTEX_POINT('',#569515); #174647=VERTEX_POINT('',#569517); #174648=VERTEX_POINT('',#569521); #174649=VERTEX_POINT('',#569523); #174650=VERTEX_POINT('',#569527); #174651=VERTEX_POINT('',#569529); #174652=VERTEX_POINT('',#569533); #174653=VERTEX_POINT('',#569535); #174654=VERTEX_POINT('',#569539); #174655=VERTEX_POINT('',#569541); #174656=VERTEX_POINT('',#569545); #174657=VERTEX_POINT('',#569547); #174658=VERTEX_POINT('',#569551); #174659=VERTEX_POINT('',#569553); #174660=VERTEX_POINT('',#569557); #174661=VERTEX_POINT('',#569559); #174662=VERTEX_POINT('',#569563); #174663=VERTEX_POINT('',#569565); #174664=VERTEX_POINT('',#569569); #174665=VERTEX_POINT('',#569571); #174666=VERTEX_POINT('',#569575); #174667=VERTEX_POINT('',#569577); #174668=VERTEX_POINT('',#569581); #174669=VERTEX_POINT('',#569583); #174670=VERTEX_POINT('',#569587); #174671=VERTEX_POINT('',#569589); #174672=VERTEX_POINT('',#569593); #174673=VERTEX_POINT('',#569595); #174674=VERTEX_POINT('',#569599); #174675=VERTEX_POINT('',#569601); #174676=VERTEX_POINT('',#569605); #174677=VERTEX_POINT('',#569607); #174678=VERTEX_POINT('',#569611); #174679=VERTEX_POINT('',#569613); #174680=VERTEX_POINT('',#569617); #174681=VERTEX_POINT('',#569619); #174682=VERTEX_POINT('',#569623); #174683=VERTEX_POINT('',#569625); #174684=VERTEX_POINT('',#569629); #174685=VERTEX_POINT('',#569631); #174686=VERTEX_POINT('',#569635); #174687=VERTEX_POINT('',#569637); #174688=VERTEX_POINT('',#569641); #174689=VERTEX_POINT('',#569643); #174690=VERTEX_POINT('',#569647); #174691=VERTEX_POINT('',#569649); #174692=VERTEX_POINT('',#569653); #174693=VERTEX_POINT('',#569655); #174694=VERTEX_POINT('',#569659); #174695=VERTEX_POINT('',#569661); #174696=VERTEX_POINT('',#569665); #174697=VERTEX_POINT('',#569667); #174698=VERTEX_POINT('',#569671); #174699=VERTEX_POINT('',#569673); #174700=VERTEX_POINT('',#569677); #174701=VERTEX_POINT('',#569679); #174702=VERTEX_POINT('',#569683); #174703=VERTEX_POINT('',#569685); #174704=VERTEX_POINT('',#569689); #174705=VERTEX_POINT('',#569691); #174706=VERTEX_POINT('',#569695); #174707=VERTEX_POINT('',#569697); #174708=VERTEX_POINT('',#569701); #174709=VERTEX_POINT('',#569703); #174710=VERTEX_POINT('',#569707); #174711=VERTEX_POINT('',#569709); #174712=VERTEX_POINT('',#569713); #174713=VERTEX_POINT('',#569715); #174714=VERTEX_POINT('',#569719); #174715=VERTEX_POINT('',#569721); #174716=VERTEX_POINT('',#569725); #174717=VERTEX_POINT('',#569727); #174718=VERTEX_POINT('',#569731); #174719=VERTEX_POINT('',#569733); #174720=VERTEX_POINT('',#569737); #174721=VERTEX_POINT('',#569739); #174722=VERTEX_POINT('',#569748); #174723=VERTEX_POINT('',#569750); #174724=VERTEX_POINT('',#569754); #174725=VERTEX_POINT('',#569755); #174726=VERTEX_POINT('',#569757); #174727=VERTEX_POINT('',#569759); #174728=VERTEX_POINT('',#569763); #174729=VERTEX_POINT('',#569765); #174730=VERTEX_POINT('',#569774); #174731=VERTEX_POINT('',#569776); #174732=VERTEX_POINT('',#569780); #174733=VERTEX_POINT('',#569781); #174734=VERTEX_POINT('',#569783); #174735=VERTEX_POINT('',#569785); #174736=VERTEX_POINT('',#569789); #174737=VERTEX_POINT('',#569791); #174738=VERTEX_POINT('',#569800); #174739=VERTEX_POINT('',#569801); #174740=VERTEX_POINT('',#569803); #174741=VERTEX_POINT('',#569805); #174742=VERTEX_POINT('',#569809); #174743=VERTEX_POINT('',#569811); #174744=VERTEX_POINT('',#569815); #174745=VERTEX_POINT('',#569817); #174746=VERTEX_POINT('',#569824); #174747=VERTEX_POINT('',#569825); #174748=VERTEX_POINT('',#569827); #174749=VERTEX_POINT('',#569829); #174750=VERTEX_POINT('',#569833); #174751=VERTEX_POINT('',#569835); #174752=VERTEX_POINT('',#569839); #174753=VERTEX_POINT('',#569841); #174754=VERTEX_POINT('',#569845); #174755=VERTEX_POINT('',#569847); #174756=VERTEX_POINT('',#569851); #174757=VERTEX_POINT('',#569853); #174758=VERTEX_POINT('',#569857); #174759=VERTEX_POINT('',#569859); #174760=VERTEX_POINT('',#569863); #174761=VERTEX_POINT('',#569865); #174762=VERTEX_POINT('',#569869); #174763=VERTEX_POINT('',#569871); #174764=VERTEX_POINT('',#569875); #174765=VERTEX_POINT('',#569877); #174766=VERTEX_POINT('',#569881); #174767=VERTEX_POINT('',#569883); #174768=VERTEX_POINT('',#569887); #174769=VERTEX_POINT('',#569889); #174770=VERTEX_POINT('',#569893); #174771=VERTEX_POINT('',#569895); #174772=VERTEX_POINT('',#569899); #174773=VERTEX_POINT('',#569901); #174774=VERTEX_POINT('',#569905); #174775=VERTEX_POINT('',#569907); #174776=VERTEX_POINT('',#569911); #174777=VERTEX_POINT('',#569913); #174778=VERTEX_POINT('',#569917); #174779=VERTEX_POINT('',#569919); #174780=VERTEX_POINT('',#569923); #174781=VERTEX_POINT('',#569925); #174782=VERTEX_POINT('',#569929); #174783=VERTEX_POINT('',#569931); #174784=VERTEX_POINT('',#569935); #174785=VERTEX_POINT('',#569937); #174786=VERTEX_POINT('',#569941); #174787=VERTEX_POINT('',#569943); #174788=VERTEX_POINT('',#569947); #174789=VERTEX_POINT('',#569949); #174790=VERTEX_POINT('',#569953); #174791=VERTEX_POINT('',#569955); #174792=VERTEX_POINT('',#569959); #174793=VERTEX_POINT('',#569961); #174794=VERTEX_POINT('',#569965); #174795=VERTEX_POINT('',#569967); #174796=VERTEX_POINT('',#569971); #174797=VERTEX_POINT('',#569973); #174798=VERTEX_POINT('',#569977); #174799=VERTEX_POINT('',#569979); #174800=VERTEX_POINT('',#569983); #174801=VERTEX_POINT('',#569985); #174802=VERTEX_POINT('',#569989); #174803=VERTEX_POINT('',#569991); #174804=VERTEX_POINT('',#569995); #174805=VERTEX_POINT('',#569997); #174806=VERTEX_POINT('',#570001); #174807=VERTEX_POINT('',#570003); #174808=VERTEX_POINT('',#570007); #174809=VERTEX_POINT('',#570009); #174810=VERTEX_POINT('',#570013); #174811=VERTEX_POINT('',#570015); #174812=VERTEX_POINT('',#570019); #174813=VERTEX_POINT('',#570021); #174814=VERTEX_POINT('',#570025); #174815=VERTEX_POINT('',#570027); #174816=VERTEX_POINT('',#570031); #174817=VERTEX_POINT('',#570033); #174818=VERTEX_POINT('',#570037); #174819=VERTEX_POINT('',#570039); #174820=VERTEX_POINT('',#570043); #174821=VERTEX_POINT('',#570045); #174822=VERTEX_POINT('',#570049); #174823=VERTEX_POINT('',#570051); #174824=VERTEX_POINT('',#570055); #174825=VERTEX_POINT('',#570057); #174826=VERTEX_POINT('',#570061); #174827=VERTEX_POINT('',#570063); #174828=VERTEX_POINT('',#570067); #174829=VERTEX_POINT('',#570069); #174830=VERTEX_POINT('',#570073); #174831=VERTEX_POINT('',#570075); #174832=VERTEX_POINT('',#570079); #174833=VERTEX_POINT('',#570081); #174834=VERTEX_POINT('',#570085); #174835=VERTEX_POINT('',#570087); #174836=VERTEX_POINT('',#570091); #174837=VERTEX_POINT('',#570093); #174838=VERTEX_POINT('',#570097); #174839=VERTEX_POINT('',#570099); #174840=VERTEX_POINT('',#570103); #174841=VERTEX_POINT('',#570105); #174842=VERTEX_POINT('',#570109); #174843=VERTEX_POINT('',#570111); #174844=VERTEX_POINT('',#570115); #174845=VERTEX_POINT('',#570117); #174846=VERTEX_POINT('',#570121); #174847=VERTEX_POINT('',#570123); #174848=VERTEX_POINT('',#570127); #174849=VERTEX_POINT('',#570129); #174850=VERTEX_POINT('',#570133); #174851=VERTEX_POINT('',#570135); #174852=VERTEX_POINT('',#570139); #174853=VERTEX_POINT('',#570141); #174854=VERTEX_POINT('',#570145); #174855=VERTEX_POINT('',#570147); #174856=VERTEX_POINT('',#570151); #174857=VERTEX_POINT('',#570153); #174858=VERTEX_POINT('',#570162); #174859=VERTEX_POINT('',#570164); #174860=VERTEX_POINT('',#570168); #174861=VERTEX_POINT('',#570169); #174862=VERTEX_POINT('',#570171); #174863=VERTEX_POINT('',#570173); #174864=VERTEX_POINT('',#570177); #174865=VERTEX_POINT('',#570179); #174866=VERTEX_POINT('',#570188); #174867=VERTEX_POINT('',#570190); #174868=VERTEX_POINT('',#570194); #174869=VERTEX_POINT('',#570195); #174870=VERTEX_POINT('',#570197); #174871=VERTEX_POINT('',#570199); #174872=VERTEX_POINT('',#570203); #174873=VERTEX_POINT('',#570205); #174874=VERTEX_POINT('',#570214); #174875=VERTEX_POINT('',#570216); #174876=VERTEX_POINT('',#570220); #174877=VERTEX_POINT('',#570221); #174878=VERTEX_POINT('',#570223); #174879=VERTEX_POINT('',#570225); #174880=VERTEX_POINT('',#570229); #174881=VERTEX_POINT('',#570231); #174882=VERTEX_POINT('',#570240); #174883=VERTEX_POINT('',#570242); #174884=VERTEX_POINT('',#570246); #174885=VERTEX_POINT('',#570247); #174886=VERTEX_POINT('',#570249); #174887=VERTEX_POINT('',#570251); #174888=VERTEX_POINT('',#570255); #174889=VERTEX_POINT('',#570257); #174890=VERTEX_POINT('',#570261); #174891=VERTEX_POINT('',#570263); #174892=VERTEX_POINT('',#570272); #174893=VERTEX_POINT('',#570274); #174894=VERTEX_POINT('',#570278); #174895=VERTEX_POINT('',#570279); #174896=VERTEX_POINT('',#570281); #174897=VERTEX_POINT('',#570283); #174898=VERTEX_POINT('',#570287); #174899=VERTEX_POINT('',#570289); #174900=VERTEX_POINT('',#570298); #174901=VERTEX_POINT('',#570299); #174902=VERTEX_POINT('',#570301); #174903=VERTEX_POINT('',#570303); #174904=VERTEX_POINT('',#570307); #174905=VERTEX_POINT('',#570309); #174906=VERTEX_POINT('',#570313); #174907=VERTEX_POINT('',#570315); #174908=VERTEX_POINT('',#570324); #174909=VERTEX_POINT('',#570325); #174910=VERTEX_POINT('',#570327); #174911=VERTEX_POINT('',#570329); #174912=VERTEX_POINT('',#570333); #174913=VERTEX_POINT('',#570335); #174914=VERTEX_POINT('',#570339); #174915=VERTEX_POINT('',#570341); #174916=VERTEX_POINT('',#570350); #174917=VERTEX_POINT('',#570351); #174918=VERTEX_POINT('',#570353); #174919=VERTEX_POINT('',#570355); #174920=VERTEX_POINT('',#570359); #174921=VERTEX_POINT('',#570361); #174922=VERTEX_POINT('',#570365); #174923=VERTEX_POINT('',#570367); #174924=VERTEX_POINT('',#570376); #174925=VERTEX_POINT('',#570377); #174926=VERTEX_POINT('',#570379); #174927=VERTEX_POINT('',#570381); #174928=VERTEX_POINT('',#570385); #174929=VERTEX_POINT('',#570387); #174930=VERTEX_POINT('',#570391); #174931=VERTEX_POINT('',#570393); #174932=VERTEX_POINT('',#570402); #174933=VERTEX_POINT('',#570403); #174934=VERTEX_POINT('',#570405); #174935=VERTEX_POINT('',#570407); #174936=VERTEX_POINT('',#570411); #174937=VERTEX_POINT('',#570413); #174938=VERTEX_POINT('',#570417); #174939=VERTEX_POINT('',#570419); #174940=VERTEX_POINT('',#570428); #174941=VERTEX_POINT('',#570429); #174942=VERTEX_POINT('',#570431); #174943=VERTEX_POINT('',#570433); #174944=VERTEX_POINT('',#570437); #174945=VERTEX_POINT('',#570439); #174946=VERTEX_POINT('',#570443); #174947=VERTEX_POINT('',#570445); #174948=VERTEX_POINT('',#570454); #174949=VERTEX_POINT('',#570455); #174950=VERTEX_POINT('',#570457); #174951=VERTEX_POINT('',#570459); #174952=VERTEX_POINT('',#570463); #174953=VERTEX_POINT('',#570465); #174954=VERTEX_POINT('',#570469); #174955=VERTEX_POINT('',#570471); #174956=VERTEX_POINT('',#570480); #174957=VERTEX_POINT('',#570481); #174958=VERTEX_POINT('',#570483); #174959=VERTEX_POINT('',#570485); #174960=VERTEX_POINT('',#570489); #174961=VERTEX_POINT('',#570491); #174962=VERTEX_POINT('',#570495); #174963=VERTEX_POINT('',#570497); #174964=VERTEX_POINT('',#570506); #174965=VERTEX_POINT('',#570507); #174966=VERTEX_POINT('',#570509); #174967=VERTEX_POINT('',#570511); #174968=VERTEX_POINT('',#570515); #174969=VERTEX_POINT('',#570517); #174970=VERTEX_POINT('',#570521); #174971=VERTEX_POINT('',#570523); #174972=VERTEX_POINT('',#570532); #174973=VERTEX_POINT('',#570533); #174974=VERTEX_POINT('',#570535); #174975=VERTEX_POINT('',#570537); #174976=VERTEX_POINT('',#570541); #174977=VERTEX_POINT('',#570543); #174978=VERTEX_POINT('',#570547); #174979=VERTEX_POINT('',#570549); #174980=VERTEX_POINT('',#570558); #174981=VERTEX_POINT('',#570559); #174982=VERTEX_POINT('',#570561); #174983=VERTEX_POINT('',#570563); #174984=VERTEX_POINT('',#570567); #174985=VERTEX_POINT('',#570569); #174986=VERTEX_POINT('',#570573); #174987=VERTEX_POINT('',#570575); #174988=VERTEX_POINT('',#570584); #174989=VERTEX_POINT('',#570585); #174990=VERTEX_POINT('',#570587); #174991=VERTEX_POINT('',#570589); #174992=VERTEX_POINT('',#570593); #174993=VERTEX_POINT('',#570595); #174994=VERTEX_POINT('',#570599); #174995=VERTEX_POINT('',#570601); #174996=VERTEX_POINT('',#570610); #174997=VERTEX_POINT('',#570611); #174998=VERTEX_POINT('',#570613); #174999=VERTEX_POINT('',#570615); #175000=VERTEX_POINT('',#570619); #175001=VERTEX_POINT('',#570621); #175002=VERTEX_POINT('',#570625); #175003=VERTEX_POINT('',#570627); #175004=VERTEX_POINT('',#570636); #175005=VERTEX_POINT('',#570637); #175006=VERTEX_POINT('',#570639); #175007=VERTEX_POINT('',#570641); #175008=VERTEX_POINT('',#570645); #175009=VERTEX_POINT('',#570647); #175010=VERTEX_POINT('',#570651); #175011=VERTEX_POINT('',#570653); #175012=VERTEX_POINT('',#570662); #175013=VERTEX_POINT('',#570663); #175014=VERTEX_POINT('',#570665); #175015=VERTEX_POINT('',#570667); #175016=VERTEX_POINT('',#570671); #175017=VERTEX_POINT('',#570673); #175018=VERTEX_POINT('',#570677); #175019=VERTEX_POINT('',#570679); #175020=VERTEX_POINT('',#570688); #175021=VERTEX_POINT('',#570689); #175022=VERTEX_POINT('',#570691); #175023=VERTEX_POINT('',#570693); #175024=VERTEX_POINT('',#570697); #175025=VERTEX_POINT('',#570699); #175026=VERTEX_POINT('',#570703); #175027=VERTEX_POINT('',#570705); #175028=VERTEX_POINT('',#570714); #175029=VERTEX_POINT('',#570715); #175030=VERTEX_POINT('',#570717); #175031=VERTEX_POINT('',#570719); #175032=VERTEX_POINT('',#570723); #175033=VERTEX_POINT('',#570725); #175034=VERTEX_POINT('',#570729); #175035=VERTEX_POINT('',#570731); #175036=VERTEX_POINT('',#570740); #175037=VERTEX_POINT('',#570741); #175038=VERTEX_POINT('',#570743); #175039=VERTEX_POINT('',#570745); #175040=VERTEX_POINT('',#570749); #175041=VERTEX_POINT('',#570751); #175042=VERTEX_POINT('',#570755); #175043=VERTEX_POINT('',#570757); #175044=VERTEX_POINT('',#570766); #175045=VERTEX_POINT('',#570767); #175046=VERTEX_POINT('',#570769); #175047=VERTEX_POINT('',#570771); #175048=VERTEX_POINT('',#570775); #175049=VERTEX_POINT('',#570777); #175050=VERTEX_POINT('',#570781); #175051=VERTEX_POINT('',#570783); #175052=VERTEX_POINT('',#570792); #175053=VERTEX_POINT('',#570793); #175054=VERTEX_POINT('',#570795); #175055=VERTEX_POINT('',#570797); #175056=VERTEX_POINT('',#570801); #175057=VERTEX_POINT('',#570803); #175058=VERTEX_POINT('',#570807); #175059=VERTEX_POINT('',#570809); #175060=VERTEX_POINT('',#570818); #175061=VERTEX_POINT('',#570819); #175062=VERTEX_POINT('',#570821); #175063=VERTEX_POINT('',#570823); #175064=VERTEX_POINT('',#570827); #175065=VERTEX_POINT('',#570829); #175066=VERTEX_POINT('',#570833); #175067=VERTEX_POINT('',#570835); #175068=VERTEX_POINT('',#570844); #175069=VERTEX_POINT('',#570845); #175070=VERTEX_POINT('',#570847); #175071=VERTEX_POINT('',#570849); #175072=VERTEX_POINT('',#570853); #175073=VERTEX_POINT('',#570855); #175074=VERTEX_POINT('',#570859); #175075=VERTEX_POINT('',#570861); #175076=VERTEX_POINT('',#570870); #175077=VERTEX_POINT('',#570871); #175078=VERTEX_POINT('',#570873); #175079=VERTEX_POINT('',#570875); #175080=VERTEX_POINT('',#570879); #175081=VERTEX_POINT('',#570881); #175082=VERTEX_POINT('',#570885); #175083=VERTEX_POINT('',#570887); #175084=VERTEX_POINT('',#570896); #175085=VERTEX_POINT('',#570898); #175086=VERTEX_POINT('',#570902); #175087=VERTEX_POINT('',#570904); #175088=VERTEX_POINT('',#570908); #175089=VERTEX_POINT('',#570909); #175090=VERTEX_POINT('',#570911); #175091=VERTEX_POINT('',#570913); #175092=VERTEX_POINT('',#570917); #175093=VERTEX_POINT('',#570919); #175094=VERTEX_POINT('',#570923); #175095=VERTEX_POINT('',#570925); #175096=VERTEX_POINT('',#570934); #175097=VERTEX_POINT('',#570935); #175098=VERTEX_POINT('',#570937); #175099=VERTEX_POINT('',#570939); #175100=VERTEX_POINT('',#570943); #175101=VERTEX_POINT('',#570945); #175102=VERTEX_POINT('',#570949); #175103=VERTEX_POINT('',#570951); #175104=VERTEX_POINT('',#570960); #175105=VERTEX_POINT('',#570962); #175106=VERTEX_POINT('',#570966); #175107=VERTEX_POINT('',#570968); #175108=VERTEX_POINT('',#570972); #175109=VERTEX_POINT('',#570973); #175110=VERTEX_POINT('',#570975); #175111=VERTEX_POINT('',#570977); #175112=VERTEX_POINT('',#570981); #175113=VERTEX_POINT('',#570983); #175114=VERTEX_POINT('',#570987); #175115=VERTEX_POINT('',#570989); #175116=VERTEX_POINT('',#570998); #175117=VERTEX_POINT('',#570999); #175118=VERTEX_POINT('',#571001); #175119=VERTEX_POINT('',#571003); #175120=VERTEX_POINT('',#571007); #175121=VERTEX_POINT('',#571009); #175122=VERTEX_POINT('',#571013); #175123=VERTEX_POINT('',#571015); #175124=VERTEX_POINT('',#571024); #175125=VERTEX_POINT('',#571025); #175126=VERTEX_POINT('',#571027); #175127=VERTEX_POINT('',#571029); #175128=VERTEX_POINT('',#571033); #175129=VERTEX_POINT('',#571035); #175130=VERTEX_POINT('',#571039); #175131=VERTEX_POINT('',#571041); #175132=VERTEX_POINT('',#571050); #175133=VERTEX_POINT('',#571051); #175134=VERTEX_POINT('',#571053); #175135=VERTEX_POINT('',#571055); #175136=VERTEX_POINT('',#571059); #175137=VERTEX_POINT('',#571061); #175138=VERTEX_POINT('',#571065); #175139=VERTEX_POINT('',#571067); #175140=VERTEX_POINT('',#571076); #175141=VERTEX_POINT('',#571077); #175142=VERTEX_POINT('',#571079); #175143=VERTEX_POINT('',#571081); #175144=VERTEX_POINT('',#571085); #175145=VERTEX_POINT('',#571087); #175146=VERTEX_POINT('',#571091); #175147=VERTEX_POINT('',#571093); #175148=VERTEX_POINT('',#571102); #175149=VERTEX_POINT('',#571103); #175150=VERTEX_POINT('',#571105); #175151=VERTEX_POINT('',#571107); #175152=VERTEX_POINT('',#571111); #175153=VERTEX_POINT('',#571113); #175154=VERTEX_POINT('',#571117); #175155=VERTEX_POINT('',#571119); #175156=VERTEX_POINT('',#571128); #175157=VERTEX_POINT('',#571129); #175158=VERTEX_POINT('',#571131); #175159=VERTEX_POINT('',#571133); #175160=VERTEX_POINT('',#571137); #175161=VERTEX_POINT('',#571139); #175162=VERTEX_POINT('',#571143); #175163=VERTEX_POINT('',#571145); #175164=VERTEX_POINT('',#571154); #175165=VERTEX_POINT('',#571155); #175166=VERTEX_POINT('',#571157); #175167=VERTEX_POINT('',#571159); #175168=VERTEX_POINT('',#571163); #175169=VERTEX_POINT('',#571165); #175170=VERTEX_POINT('',#571169); #175171=VERTEX_POINT('',#571171); #175172=VERTEX_POINT('',#571180); #175173=VERTEX_POINT('',#571181); #175174=VERTEX_POINT('',#571183); #175175=VERTEX_POINT('',#571185); #175176=VERTEX_POINT('',#571189); #175177=VERTEX_POINT('',#571191); #175178=VERTEX_POINT('',#571195); #175179=VERTEX_POINT('',#571197); #175180=VERTEX_POINT('',#571206); #175181=VERTEX_POINT('',#571207); #175182=VERTEX_POINT('',#571209); #175183=VERTEX_POINT('',#571211); #175184=VERTEX_POINT('',#571215); #175185=VERTEX_POINT('',#571217); #175186=VERTEX_POINT('',#571221); #175187=VERTEX_POINT('',#571223); #175188=VERTEX_POINT('',#571232); #175189=VERTEX_POINT('',#571233); #175190=VERTEX_POINT('',#571235); #175191=VERTEX_POINT('',#571237); #175192=VERTEX_POINT('',#571241); #175193=VERTEX_POINT('',#571243); #175194=VERTEX_POINT('',#571247); #175195=VERTEX_POINT('',#571249); #175196=VERTEX_POINT('',#571258); #175197=VERTEX_POINT('',#571259); #175198=VERTEX_POINT('',#571261); #175199=VERTEX_POINT('',#571263); #175200=VERTEX_POINT('',#571267); #175201=VERTEX_POINT('',#571269); #175202=VERTEX_POINT('',#571273); #175203=VERTEX_POINT('',#571275); #175204=VERTEX_POINT('',#571284); #175205=VERTEX_POINT('',#571285); #175206=VERTEX_POINT('',#571287); #175207=VERTEX_POINT('',#571289); #175208=VERTEX_POINT('',#571293); #175209=VERTEX_POINT('',#571295); #175210=VERTEX_POINT('',#571299); #175211=VERTEX_POINT('',#571301); #175212=VERTEX_POINT('',#571310); #175213=VERTEX_POINT('',#571311); #175214=VERTEX_POINT('',#571313); #175215=VERTEX_POINT('',#571315); #175216=VERTEX_POINT('',#571319); #175217=VERTEX_POINT('',#571321); #175218=VERTEX_POINT('',#571325); #175219=VERTEX_POINT('',#571327); #175220=VERTEX_POINT('',#571336); #175221=VERTEX_POINT('',#571337); #175222=VERTEX_POINT('',#571339); #175223=VERTEX_POINT('',#571341); #175224=VERTEX_POINT('',#571345); #175225=VERTEX_POINT('',#571347); #175226=VERTEX_POINT('',#571351); #175227=VERTEX_POINT('',#571353); #175228=VERTEX_POINT('',#571362); #175229=VERTEX_POINT('',#571363); #175230=VERTEX_POINT('',#571365); #175231=VERTEX_POINT('',#571367); #175232=VERTEX_POINT('',#571371); #175233=VERTEX_POINT('',#571373); #175234=VERTEX_POINT('',#571377); #175235=VERTEX_POINT('',#571379); #175236=VERTEX_POINT('',#571388); #175237=VERTEX_POINT('',#571389); #175238=VERTEX_POINT('',#571391); #175239=VERTEX_POINT('',#571393); #175240=VERTEX_POINT('',#571397); #175241=VERTEX_POINT('',#571399); #175242=VERTEX_POINT('',#571403); #175243=VERTEX_POINT('',#571405); #175244=VERTEX_POINT('',#571414); #175245=VERTEX_POINT('',#571416); #175246=VERTEX_POINT('',#571420); #175247=VERTEX_POINT('',#571421); #175248=VERTEX_POINT('',#571423); #175249=VERTEX_POINT('',#571425); #175250=VERTEX_POINT('',#571429); #175251=VERTEX_POINT('',#571431); #175252=VERTEX_POINT('',#571435); #175253=VERTEX_POINT('',#571437); #175254=VERTEX_POINT('',#571446); #175255=VERTEX_POINT('',#571447); #175256=VERTEX_POINT('',#571449); #175257=VERTEX_POINT('',#571451); #175258=VERTEX_POINT('',#571455); #175259=VERTEX_POINT('',#571457); #175260=VERTEX_POINT('',#571461); #175261=VERTEX_POINT('',#571463); #175262=VERTEX_POINT('',#571472); #175263=VERTEX_POINT('',#571473); #175264=VERTEX_POINT('',#571475); #175265=VERTEX_POINT('',#571477); #175266=VERTEX_POINT('',#571481); #175267=VERTEX_POINT('',#571483); #175268=VERTEX_POINT('',#571487); #175269=VERTEX_POINT('',#571489); #175270=VERTEX_POINT('',#571498); #175271=VERTEX_POINT('',#571499); #175272=VERTEX_POINT('',#571501); #175273=VERTEX_POINT('',#571503); #175274=VERTEX_POINT('',#571507); #175275=VERTEX_POINT('',#571509); #175276=VERTEX_POINT('',#571513); #175277=VERTEX_POINT('',#571515); #175278=VERTEX_POINT('',#571524); #175279=VERTEX_POINT('',#571525); #175280=VERTEX_POINT('',#571527); #175281=VERTEX_POINT('',#571529); #175282=VERTEX_POINT('',#571533); #175283=VERTEX_POINT('',#571535); #175284=VERTEX_POINT('',#571539); #175285=VERTEX_POINT('',#571541); #175286=VERTEX_POINT('',#571550); #175287=VERTEX_POINT('',#571552); #175288=VERTEX_POINT('',#571556); #175289=VERTEX_POINT('',#571558); #175290=VERTEX_POINT('',#571562); #175291=VERTEX_POINT('',#571564); #175292=VERTEX_POINT('',#571568); #175293=VERTEX_POINT('',#571570); #175294=VERTEX_POINT('',#571574); #175295=VERTEX_POINT('',#571576); #175296=VERTEX_POINT('',#571580); #175297=VERTEX_POINT('',#571582); #175298=VERTEX_POINT('',#571586); #175299=VERTEX_POINT('',#571588); #175300=VERTEX_POINT('',#571592); #175301=VERTEX_POINT('',#571594); #175302=VERTEX_POINT('',#571598); #175303=VERTEX_POINT('',#571600); #175304=VERTEX_POINT('',#571604); #175305=VERTEX_POINT('',#571606); #175306=VERTEX_POINT('',#571610); #175307=VERTEX_POINT('',#571612); #175308=VERTEX_POINT('',#571616); #175309=VERTEX_POINT('',#571618); #175310=VERTEX_POINT('',#571622); #175311=VERTEX_POINT('',#571624); #175312=VERTEX_POINT('',#571628); #175313=VERTEX_POINT('',#571630); #175314=VERTEX_POINT('',#571634); #175315=VERTEX_POINT('',#571636); #175316=VERTEX_POINT('',#571640); #175317=VERTEX_POINT('',#571641); #175318=VERTEX_POINT('',#571643); #175319=VERTEX_POINT('',#571645); #175320=VERTEX_POINT('',#571649); #175321=VERTEX_POINT('',#571651); #175322=VERTEX_POINT('',#571655); #175323=VERTEX_POINT('',#571657); #175324=VERTEX_POINT('',#571666); #175325=VERTEX_POINT('',#571667); #175326=VERTEX_POINT('',#571669); #175327=VERTEX_POINT('',#571671); #175328=VERTEX_POINT('',#571675); #175329=VERTEX_POINT('',#571677); #175330=VERTEX_POINT('',#571681); #175331=VERTEX_POINT('',#571683); #175332=VERTEX_POINT('',#571692); #175333=VERTEX_POINT('',#571693); #175334=VERTEX_POINT('',#571695); #175335=VERTEX_POINT('',#571697); #175336=VERTEX_POINT('',#571701); #175337=VERTEX_POINT('',#571703); #175338=VERTEX_POINT('',#571707); #175339=VERTEX_POINT('',#571709); #175340=VERTEX_POINT('',#571718); #175341=VERTEX_POINT('',#571719); #175342=VERTEX_POINT('',#571721); #175343=VERTEX_POINT('',#571723); #175344=VERTEX_POINT('',#571727); #175345=VERTEX_POINT('',#571729); #175346=VERTEX_POINT('',#571733); #175347=VERTEX_POINT('',#571735); #175348=VERTEX_POINT('',#571744); #175349=VERTEX_POINT('',#571746); #175350=VERTEX_POINT('',#571750); #175351=VERTEX_POINT('',#571751); #175352=VERTEX_POINT('',#571753); #175353=VERTEX_POINT('',#571755); #175354=VERTEX_POINT('',#571759); #175355=VERTEX_POINT('',#571761); #175356=VERTEX_POINT('',#571765); #175357=VERTEX_POINT('',#571767); #175358=VERTEX_POINT('',#571776); #175359=VERTEX_POINT('',#571777); #175360=VERTEX_POINT('',#571779); #175361=VERTEX_POINT('',#571781); #175362=VERTEX_POINT('',#571785); #175363=VERTEX_POINT('',#571787); #175364=VERTEX_POINT('',#571791); #175365=VERTEX_POINT('',#571793); #175366=VERTEX_POINT('',#571802); #175367=VERTEX_POINT('',#571803); #175368=VERTEX_POINT('',#571805); #175369=VERTEX_POINT('',#571807); #175370=VERTEX_POINT('',#571811); #175371=VERTEX_POINT('',#571813); #175372=VERTEX_POINT('',#571817); #175373=VERTEX_POINT('',#571819); #175374=VERTEX_POINT('',#571828); #175375=VERTEX_POINT('',#571829); #175376=VERTEX_POINT('',#571831); #175377=VERTEX_POINT('',#571833); #175378=VERTEX_POINT('',#571837); #175379=VERTEX_POINT('',#571839); #175380=VERTEX_POINT('',#571843); #175381=VERTEX_POINT('',#571845); #175382=VERTEX_POINT('',#571854); #175383=VERTEX_POINT('',#571855); #175384=VERTEX_POINT('',#571857); #175385=VERTEX_POINT('',#571859); #175386=VERTEX_POINT('',#571863); #175387=VERTEX_POINT('',#571865); #175388=VERTEX_POINT('',#571869); #175389=VERTEX_POINT('',#571871); #175390=VERTEX_POINT('',#571880); #175391=VERTEX_POINT('',#571882); #175392=VERTEX_POINT('',#571886); #175393=VERTEX_POINT('',#571888); #175394=VERTEX_POINT('',#571892); #175395=VERTEX_POINT('',#571894); #175396=VERTEX_POINT('',#571898); #175397=VERTEX_POINT('',#571900); #175398=VERTEX_POINT('',#571904); #175399=VERTEX_POINT('',#571906); #175400=VERTEX_POINT('',#571910); #175401=VERTEX_POINT('',#571912); #175402=VERTEX_POINT('',#571916); #175403=VERTEX_POINT('',#571918); #175404=VERTEX_POINT('',#571922); #175405=VERTEX_POINT('',#571924); #175406=VERTEX_POINT('',#571928); #175407=VERTEX_POINT('',#571930); #175408=VERTEX_POINT('',#571934); #175409=VERTEX_POINT('',#571936); #175410=VERTEX_POINT('',#571940); #175411=VERTEX_POINT('',#571942); #175412=VERTEX_POINT('',#571946); #175413=VERTEX_POINT('',#571948); #175414=VERTEX_POINT('',#571952); #175415=VERTEX_POINT('',#571954); #175416=VERTEX_POINT('',#571958); #175417=VERTEX_POINT('',#571960); #175418=VERTEX_POINT('',#571964); #175419=VERTEX_POINT('',#571966); #175420=VERTEX_POINT('',#571970); #175421=VERTEX_POINT('',#571971); #175422=VERTEX_POINT('',#571973); #175423=VERTEX_POINT('',#571975); #175424=VERTEX_POINT('',#571979); #175425=VERTEX_POINT('',#571981); #175426=VERTEX_POINT('',#571985); #175427=VERTEX_POINT('',#571987); #175428=VERTEX_POINT('',#571996); #175429=VERTEX_POINT('',#571997); #175430=VERTEX_POINT('',#571999); #175431=VERTEX_POINT('',#572001); #175432=VERTEX_POINT('',#572005); #175433=VERTEX_POINT('',#572007); #175434=VERTEX_POINT('',#572011); #175435=VERTEX_POINT('',#572013); #175436=VERTEX_POINT('',#572022); #175437=VERTEX_POINT('',#572023); #175438=VERTEX_POINT('',#572025); #175439=VERTEX_POINT('',#572027); #175440=VERTEX_POINT('',#572031); #175441=VERTEX_POINT('',#572033); #175442=VERTEX_POINT('',#572037); #175443=VERTEX_POINT('',#572039); #175444=VERTEX_POINT('',#572048); #175445=VERTEX_POINT('',#572049); #175446=VERTEX_POINT('',#572051); #175447=VERTEX_POINT('',#572053); #175448=VERTEX_POINT('',#572057); #175449=VERTEX_POINT('',#572059); #175450=VERTEX_POINT('',#572063); #175451=VERTEX_POINT('',#572065); #175452=VERTEX_POINT('',#572074); #175453=VERTEX_POINT('',#572076); #175454=VERTEX_POINT('',#572080); #175455=VERTEX_POINT('',#572081); #175456=VERTEX_POINT('',#572083); #175457=VERTEX_POINT('',#572085); #175458=VERTEX_POINT('',#572089); #175459=VERTEX_POINT('',#572091); #175460=VERTEX_POINT('',#572095); #175461=VERTEX_POINT('',#572097); #175462=VERTEX_POINT('',#572106); #175463=VERTEX_POINT('',#572108); #175464=VERTEX_POINT('',#572112); #175465=VERTEX_POINT('',#572113); #175466=VERTEX_POINT('',#572115); #175467=VERTEX_POINT('',#572117); #175468=VERTEX_POINT('',#572121); #175469=VERTEX_POINT('',#572123); #175470=VERTEX_POINT('',#572127); #175471=VERTEX_POINT('',#572129); #175472=VERTEX_POINT('',#572138); #175473=VERTEX_POINT('',#572140); #175474=VERTEX_POINT('',#572144); #175475=VERTEX_POINT('',#572145); #175476=VERTEX_POINT('',#572147); #175477=VERTEX_POINT('',#572149); #175478=VERTEX_POINT('',#572153); #175479=VERTEX_POINT('',#572155); #175480=VERTEX_POINT('',#572159); #175481=VERTEX_POINT('',#572161); #175482=VERTEX_POINT('',#572170); #175483=VERTEX_POINT('',#572172); #175484=VERTEX_POINT('',#572176); #175485=VERTEX_POINT('',#572178); #175486=VERTEX_POINT('',#572182); #175487=VERTEX_POINT('',#572184); #175488=VERTEX_POINT('',#572188); #175489=VERTEX_POINT('',#572190); #175490=VERTEX_POINT('',#572194); #175491=VERTEX_POINT('',#572196); #175492=VERTEX_POINT('',#572200); #175493=VERTEX_POINT('',#572202); #175494=VERTEX_POINT('',#572206); #175495=VERTEX_POINT('',#572208); #175496=VERTEX_POINT('',#572212); #175497=VERTEX_POINT('',#572214); #175498=VERTEX_POINT('',#572218); #175499=VERTEX_POINT('',#572220); #175500=VERTEX_POINT('',#572224); #175501=VERTEX_POINT('',#572226); #175502=VERTEX_POINT('',#572230); #175503=VERTEX_POINT('',#572232); #175504=VERTEX_POINT('',#572236); #175505=VERTEX_POINT('',#572238); #175506=VERTEX_POINT('',#572242); #175507=VERTEX_POINT('',#572244); #175508=VERTEX_POINT('',#572248); #175509=VERTEX_POINT('',#572250); #175510=VERTEX_POINT('',#572254); #175511=VERTEX_POINT('',#572256); #175512=VERTEX_POINT('',#572260); #175513=VERTEX_POINT('',#572261); #175514=VERTEX_POINT('',#572263); #175515=VERTEX_POINT('',#572265); #175516=VERTEX_POINT('',#572269); #175517=VERTEX_POINT('',#572271); #175518=VERTEX_POINT('',#572275); #175519=VERTEX_POINT('',#572277); #175520=VERTEX_POINT('',#572286); #175521=VERTEX_POINT('',#572287); #175522=VERTEX_POINT('',#572289); #175523=VERTEX_POINT('',#572291); #175524=VERTEX_POINT('',#572295); #175525=VERTEX_POINT('',#572297); #175526=VERTEX_POINT('',#572301); #175527=VERTEX_POINT('',#572303); #175528=VERTEX_POINT('',#572312); #175529=VERTEX_POINT('',#572313); #175530=VERTEX_POINT('',#572315); #175531=VERTEX_POINT('',#572317); #175532=VERTEX_POINT('',#572321); #175533=VERTEX_POINT('',#572323); #175534=VERTEX_POINT('',#572327); #175535=VERTEX_POINT('',#572329); #175536=VERTEX_POINT('',#572338); #175537=VERTEX_POINT('',#572339); #175538=VERTEX_POINT('',#572341); #175539=VERTEX_POINT('',#572343); #175540=VERTEX_POINT('',#572347); #175541=VERTEX_POINT('',#572349); #175542=VERTEX_POINT('',#572353); #175543=VERTEX_POINT('',#572355); #175544=VERTEX_POINT('',#572364); #175545=VERTEX_POINT('',#572365); #175546=VERTEX_POINT('',#572367); #175547=VERTEX_POINT('',#572369); #175548=VERTEX_POINT('',#572373); #175549=VERTEX_POINT('',#572375); #175550=VERTEX_POINT('',#572379); #175551=VERTEX_POINT('',#572381); #175552=VERTEX_POINT('',#572390); #175553=VERTEX_POINT('',#572391); #175554=VERTEX_POINT('',#572393); #175555=VERTEX_POINT('',#572395); #175556=VERTEX_POINT('',#572399); #175557=VERTEX_POINT('',#572401); #175558=VERTEX_POINT('',#572405); #175559=VERTEX_POINT('',#572407); #175560=VERTEX_POINT('',#572416); #175561=VERTEX_POINT('',#572417); #175562=VERTEX_POINT('',#572419); #175563=VERTEX_POINT('',#572421); #175564=VERTEX_POINT('',#572425); #175565=VERTEX_POINT('',#572427); #175566=VERTEX_POINT('',#572431); #175567=VERTEX_POINT('',#572433); #175568=VERTEX_POINT('',#572442); #175569=VERTEX_POINT('',#572443); #175570=VERTEX_POINT('',#572445); #175571=VERTEX_POINT('',#572447); #175572=VERTEX_POINT('',#572451); #175573=VERTEX_POINT('',#572453); #175574=VERTEX_POINT('',#572457); #175575=VERTEX_POINT('',#572459); #175576=VERTEX_POINT('',#572468); #175577=VERTEX_POINT('',#572469); #175578=VERTEX_POINT('',#572471); #175579=VERTEX_POINT('',#572473); #175580=VERTEX_POINT('',#572477); #175581=VERTEX_POINT('',#572479); #175582=VERTEX_POINT('',#572483); #175583=VERTEX_POINT('',#572485); #175584=VERTEX_POINT('',#572494); #175585=VERTEX_POINT('',#572495); #175586=VERTEX_POINT('',#572497); #175587=VERTEX_POINT('',#572499); #175588=VERTEX_POINT('',#572503); #175589=VERTEX_POINT('',#572505); #175590=VERTEX_POINT('',#572509); #175591=VERTEX_POINT('',#572511); #175592=VERTEX_POINT('',#572520); #175593=VERTEX_POINT('',#572521); #175594=VERTEX_POINT('',#572523); #175595=VERTEX_POINT('',#572525); #175596=VERTEX_POINT('',#572529); #175597=VERTEX_POINT('',#572531); #175598=VERTEX_POINT('',#572535); #175599=VERTEX_POINT('',#572537); #175600=VERTEX_POINT('',#572546); #175601=VERTEX_POINT('',#572547); #175602=VERTEX_POINT('',#572549); #175603=VERTEX_POINT('',#572551); #175604=VERTEX_POINT('',#572555); #175605=VERTEX_POINT('',#572557); #175606=VERTEX_POINT('',#572561); #175607=VERTEX_POINT('',#572563); #175608=VERTEX_POINT('',#572572); #175609=VERTEX_POINT('',#572573); #175610=VERTEX_POINT('',#572575); #175611=VERTEX_POINT('',#572577); #175612=VERTEX_POINT('',#572581); #175613=VERTEX_POINT('',#572583); #175614=VERTEX_POINT('',#572587); #175615=VERTEX_POINT('',#572589); #175616=VERTEX_POINT('',#572598); #175617=VERTEX_POINT('',#572599); #175618=VERTEX_POINT('',#572601); #175619=VERTEX_POINT('',#572603); #175620=VERTEX_POINT('',#572607); #175621=VERTEX_POINT('',#572609); #175622=VERTEX_POINT('',#572613); #175623=VERTEX_POINT('',#572615); #175624=VERTEX_POINT('',#572624); #175625=VERTEX_POINT('',#572625); #175626=VERTEX_POINT('',#572627); #175627=VERTEX_POINT('',#572629); #175628=VERTEX_POINT('',#572633); #175629=VERTEX_POINT('',#572635); #175630=VERTEX_POINT('',#572639); #175631=VERTEX_POINT('',#572641); #175632=VERTEX_POINT('',#572650); #175633=VERTEX_POINT('',#572651); #175634=VERTEX_POINT('',#572653); #175635=VERTEX_POINT('',#572655); #175636=VERTEX_POINT('',#572659); #175637=VERTEX_POINT('',#572661); #175638=VERTEX_POINT('',#572665); #175639=VERTEX_POINT('',#572667); #175640=VERTEX_POINT('',#572676); #175641=VERTEX_POINT('',#572677); #175642=VERTEX_POINT('',#572679); #175643=VERTEX_POINT('',#572681); #175644=VERTEX_POINT('',#572685); #175645=VERTEX_POINT('',#572687); #175646=VERTEX_POINT('',#572691); #175647=VERTEX_POINT('',#572693); #175648=VERTEX_POINT('',#572702); #175649=VERTEX_POINT('',#572703); #175650=VERTEX_POINT('',#572705); #175651=VERTEX_POINT('',#572707); #175652=VERTEX_POINT('',#572711); #175653=VERTEX_POINT('',#572713); #175654=VERTEX_POINT('',#572717); #175655=VERTEX_POINT('',#572719); #175656=VERTEX_POINT('',#572728); #175657=VERTEX_POINT('',#572729); #175658=VERTEX_POINT('',#572731); #175659=VERTEX_POINT('',#572733); #175660=VERTEX_POINT('',#572737); #175661=VERTEX_POINT('',#572739); #175662=VERTEX_POINT('',#572743); #175663=VERTEX_POINT('',#572745); #175664=VERTEX_POINT('',#572754); #175665=VERTEX_POINT('',#572755); #175666=VERTEX_POINT('',#572757); #175667=VERTEX_POINT('',#572759); #175668=VERTEX_POINT('',#572763); #175669=VERTEX_POINT('',#572765); #175670=VERTEX_POINT('',#572769); #175671=VERTEX_POINT('',#572771); #175672=VERTEX_POINT('',#572780); #175673=VERTEX_POINT('',#572781); #175674=VERTEX_POINT('',#572783); #175675=VERTEX_POINT('',#572785); #175676=VERTEX_POINT('',#572789); #175677=VERTEX_POINT('',#572791); #175678=VERTEX_POINT('',#572795); #175679=VERTEX_POINT('',#572797); #175680=VERTEX_POINT('',#572806); #175681=VERTEX_POINT('',#572808); #175682=VERTEX_POINT('',#572812); #175683=VERTEX_POINT('',#572814); #175684=VERTEX_POINT('',#572818); #175685=VERTEX_POINT('',#572820); #175686=VERTEX_POINT('',#572824); #175687=VERTEX_POINT('',#572826); #175688=VERTEX_POINT('',#572830); #175689=VERTEX_POINT('',#572832); #175690=VERTEX_POINT('',#572836); #175691=VERTEX_POINT('',#572838); #175692=VERTEX_POINT('',#572842); #175693=VERTEX_POINT('',#572844); #175694=VERTEX_POINT('',#572848); #175695=VERTEX_POINT('',#572850); #175696=VERTEX_POINT('',#572854); #175697=VERTEX_POINT('',#572856); #175698=VERTEX_POINT('',#572860); #175699=VERTEX_POINT('',#572862); #175700=VERTEX_POINT('',#572866); #175701=VERTEX_POINT('',#572868); #175702=VERTEX_POINT('',#572872); #175703=VERTEX_POINT('',#572874); #175704=VERTEX_POINT('',#572878); #175705=VERTEX_POINT('',#572880); #175706=VERTEX_POINT('',#572884); #175707=VERTEX_POINT('',#572886); #175708=VERTEX_POINT('',#572890); #175709=VERTEX_POINT('',#572892); #175710=VERTEX_POINT('',#572896); #175711=VERTEX_POINT('',#572897); #175712=VERTEX_POINT('',#572899); #175713=VERTEX_POINT('',#572901); #175714=VERTEX_POINT('',#572905); #175715=VERTEX_POINT('',#572907); #175716=VERTEX_POINT('',#572911); #175717=VERTEX_POINT('',#572913); #175718=VERTEX_POINT('',#572922); #175719=VERTEX_POINT('',#572923); #175720=VERTEX_POINT('',#572925); #175721=VERTEX_POINT('',#572927); #175722=VERTEX_POINT('',#572931); #175723=VERTEX_POINT('',#572933); #175724=VERTEX_POINT('',#572937); #175725=VERTEX_POINT('',#572939); #175726=VERTEX_POINT('',#572948); #175727=VERTEX_POINT('',#572949); #175728=VERTEX_POINT('',#572951); #175729=VERTEX_POINT('',#572953); #175730=VERTEX_POINT('',#572957); #175731=VERTEX_POINT('',#572959); #175732=VERTEX_POINT('',#572963); #175733=VERTEX_POINT('',#572965); #175734=VERTEX_POINT('',#572974); #175735=VERTEX_POINT('',#572975); #175736=VERTEX_POINT('',#572977); #175737=VERTEX_POINT('',#572979); #175738=VERTEX_POINT('',#572983); #175739=VERTEX_POINT('',#572985); #175740=VERTEX_POINT('',#572989); #175741=VERTEX_POINT('',#572991); #175742=VERTEX_POINT('',#573000); #175743=VERTEX_POINT('',#573001); #175744=VERTEX_POINT('',#573003); #175745=VERTEX_POINT('',#573005); #175746=VERTEX_POINT('',#573009); #175747=VERTEX_POINT('',#573011); #175748=VERTEX_POINT('',#573015); #175749=VERTEX_POINT('',#573017); #175750=VERTEX_POINT('',#573026); #175751=VERTEX_POINT('',#573027); #175752=VERTEX_POINT('',#573029); #175753=VERTEX_POINT('',#573031); #175754=VERTEX_POINT('',#573035); #175755=VERTEX_POINT('',#573037); #175756=VERTEX_POINT('',#573041); #175757=VERTEX_POINT('',#573043); #175758=VERTEX_POINT('',#573052); #175759=VERTEX_POINT('',#573053); #175760=VERTEX_POINT('',#573055); #175761=VERTEX_POINT('',#573057); #175762=VERTEX_POINT('',#573061); #175763=VERTEX_POINT('',#573063); #175764=VERTEX_POINT('',#573067); #175765=VERTEX_POINT('',#573069); #175766=VERTEX_POINT('',#573078); #175767=VERTEX_POINT('',#573080); #175768=VERTEX_POINT('',#573084); #175769=VERTEX_POINT('',#573085); #175770=VERTEX_POINT('',#573087); #175771=VERTEX_POINT('',#573089); #175772=VERTEX_POINT('',#573093); #175773=VERTEX_POINT('',#573095); #175774=VERTEX_POINT('',#573099); #175775=VERTEX_POINT('',#573101); #175776=VERTEX_POINT('',#573110); #175777=VERTEX_POINT('',#573112); #175778=VERTEX_POINT('',#573116); #175779=VERTEX_POINT('',#573118); #175780=VERTEX_POINT('',#573122); #175781=VERTEX_POINT('',#573123); #175782=VERTEX_POINT('',#573125); #175783=VERTEX_POINT('',#573127); #175784=VERTEX_POINT('',#573131); #175785=VERTEX_POINT('',#573133); #175786=VERTEX_POINT('',#573137); #175787=VERTEX_POINT('',#573139); #175788=VERTEX_POINT('',#573148); #175789=VERTEX_POINT('',#573150); #175790=VERTEX_POINT('',#573154); #175791=VERTEX_POINT('',#573156); #175792=VERTEX_POINT('',#573160); #175793=VERTEX_POINT('',#573161); #175794=VERTEX_POINT('',#573163); #175795=VERTEX_POINT('',#573165); #175796=VERTEX_POINT('',#573169); #175797=VERTEX_POINT('',#573171); #175798=VERTEX_POINT('',#573175); #175799=VERTEX_POINT('',#573177); #175800=VERTEX_POINT('',#573186); #175801=VERTEX_POINT('',#573188); #175802=VERTEX_POINT('',#573192); #175803=VERTEX_POINT('',#573193); #175804=VERTEX_POINT('',#573195); #175805=VERTEX_POINT('',#573197); #175806=VERTEX_POINT('',#573201); #175807=VERTEX_POINT('',#573203); #175808=VERTEX_POINT('',#573207); #175809=VERTEX_POINT('',#573209); #175810=VERTEX_POINT('',#573219); #175811=VERTEX_POINT('',#573221); #175812=VERTEX_POINT('',#573225); #175813=VERTEX_POINT('',#573227); #175814=VERTEX_POINT('',#573231); #175815=VERTEX_POINT('',#573233); #175816=VERTEX_POINT('',#573237); #175817=VERTEX_POINT('',#573239); #175818=VERTEX_POINT('',#573243); #175819=VERTEX_POINT('',#573245); #175820=VERTEX_POINT('',#573249); #175821=VERTEX_POINT('',#573251); #175822=VERTEX_POINT('',#573255); #175823=VERTEX_POINT('',#573257); #175824=VERTEX_POINT('',#573261); #175825=VERTEX_POINT('',#573263); #175826=VERTEX_POINT('',#573267); #175827=VERTEX_POINT('',#573269); #175828=VERTEX_POINT('',#573273); #175829=VERTEX_POINT('',#573275); #175830=VERTEX_POINT('',#573279); #175831=VERTEX_POINT('',#573281); #175832=VERTEX_POINT('',#573285); #175833=VERTEX_POINT('',#573287); #175834=VERTEX_POINT('',#573291); #175835=VERTEX_POINT('',#573293); #175836=VERTEX_POINT('',#573297); #175837=VERTEX_POINT('',#573299); #175838=VERTEX_POINT('',#573303); #175839=VERTEX_POINT('',#573305); #175840=VERTEX_POINT('',#573309); #175841=VERTEX_POINT('',#573311); #175842=VERTEX_POINT('',#573315); #175843=VERTEX_POINT('',#573317); #175844=VERTEX_POINT('',#573321); #175845=VERTEX_POINT('',#573323); #175846=VERTEX_POINT('',#573327); #175847=VERTEX_POINT('',#573329); #175848=VERTEX_POINT('',#573333); #175849=VERTEX_POINT('',#573335); #175850=VERTEX_POINT('',#573339); #175851=VERTEX_POINT('',#573341); #175852=VERTEX_POINT('',#573345); #175853=VERTEX_POINT('',#573347); #175854=VERTEX_POINT('',#573351); #175855=VERTEX_POINT('',#573353); #175856=VERTEX_POINT('',#573357); #175857=VERTEX_POINT('',#573359); #175858=VERTEX_POINT('',#573363); #175859=VERTEX_POINT('',#573365); #175860=VERTEX_POINT('',#573369); #175861=VERTEX_POINT('',#573371); #175862=VERTEX_POINT('',#573375); #175863=VERTEX_POINT('',#573377); #175864=VERTEX_POINT('',#573381); #175865=VERTEX_POINT('',#573383); #175866=VERTEX_POINT('',#573387); #175867=VERTEX_POINT('',#573389); #175868=VERTEX_POINT('',#573393); #175869=VERTEX_POINT('',#573395); #175870=VERTEX_POINT('',#573399); #175871=VERTEX_POINT('',#573401); #175872=VERTEX_POINT('',#573405); #175873=VERTEX_POINT('',#573407); #175874=VERTEX_POINT('',#573411); #175875=VERTEX_POINT('',#573413); #175876=VERTEX_POINT('',#573417); #175877=VERTEX_POINT('',#573419); #175878=VERTEX_POINT('',#573423); #175879=VERTEX_POINT('',#573425); #175880=VERTEX_POINT('',#573429); #175881=VERTEX_POINT('',#573431); #175882=VERTEX_POINT('',#573435); #175883=VERTEX_POINT('',#573437); #175884=VERTEX_POINT('',#573441); #175885=VERTEX_POINT('',#573443); #175886=VERTEX_POINT('',#573447); #175887=VERTEX_POINT('',#573449); #175888=VERTEX_POINT('',#573453); #175889=VERTEX_POINT('',#573455); #175890=VERTEX_POINT('',#573459); #175891=VERTEX_POINT('',#573461); #175892=VERTEX_POINT('',#573465); #175893=VERTEX_POINT('',#573467); #175894=VERTEX_POINT('',#573471); #175895=VERTEX_POINT('',#573473); #175896=VERTEX_POINT('',#573477); #175897=VERTEX_POINT('',#573479); #175898=VERTEX_POINT('',#573483); #175899=VERTEX_POINT('',#573485); #175900=VERTEX_POINT('',#573489); #175901=VERTEX_POINT('',#573491); #175902=VERTEX_POINT('',#573495); #175903=VERTEX_POINT('',#573497); #175904=VERTEX_POINT('',#573501); #175905=VERTEX_POINT('',#573503); #175906=VERTEX_POINT('',#573507); #175907=VERTEX_POINT('',#573509); #175908=VERTEX_POINT('',#573513); #175909=VERTEX_POINT('',#573515); #175910=VERTEX_POINT('',#573519); #175911=VERTEX_POINT('',#573521); #175912=VERTEX_POINT('',#573525); #175913=VERTEX_POINT('',#573527); #175914=VERTEX_POINT('',#573531); #175915=VERTEX_POINT('',#573533); #175916=VERTEX_POINT('',#573537); #175917=VERTEX_POINT('',#573539); #175918=VERTEX_POINT('',#573543); #175919=VERTEX_POINT('',#573545); #175920=VERTEX_POINT('',#573549); #175921=VERTEX_POINT('',#573551); #175922=VERTEX_POINT('',#573555); #175923=VERTEX_POINT('',#573557); #175924=VERTEX_POINT('',#573561); #175925=VERTEX_POINT('',#573563); #175926=VERTEX_POINT('',#573567); #175927=VERTEX_POINT('',#573569); #175928=VERTEX_POINT('',#573573); #175929=VERTEX_POINT('',#573575); #175930=VERTEX_POINT('',#573579); #175931=VERTEX_POINT('',#573581); #175932=VERTEX_POINT('',#573585); #175933=VERTEX_POINT('',#573587); #175934=VERTEX_POINT('',#573591); #175935=VERTEX_POINT('',#573593); #175936=VERTEX_POINT('',#573597); #175937=VERTEX_POINT('',#573599); #175938=VERTEX_POINT('',#573603); #175939=VERTEX_POINT('',#573605); #175940=VERTEX_POINT('',#573609); #175941=VERTEX_POINT('',#573611); #175942=VERTEX_POINT('',#573615); #175943=VERTEX_POINT('',#573617); #175944=VERTEX_POINT('',#573621); #175945=VERTEX_POINT('',#573623); #175946=VERTEX_POINT('',#573627); #175947=VERTEX_POINT('',#573629); #175948=VERTEX_POINT('',#573633); #175949=VERTEX_POINT('',#573635); #175950=VERTEX_POINT('',#573639); #175951=VERTEX_POINT('',#573641); #175952=VERTEX_POINT('',#573645); #175953=VERTEX_POINT('',#573647); #175954=VERTEX_POINT('',#573651); #175955=VERTEX_POINT('',#573653); #175956=VERTEX_POINT('',#573657); #175957=VERTEX_POINT('',#573659); #175958=VERTEX_POINT('',#573663); #175959=VERTEX_POINT('',#573665); #175960=VERTEX_POINT('',#573669); #175961=VERTEX_POINT('',#573671); #175962=VERTEX_POINT('',#573675); #175963=VERTEX_POINT('',#573677); #175964=VERTEX_POINT('',#573681); #175965=VERTEX_POINT('',#573683); #175966=VERTEX_POINT('',#573687); #175967=VERTEX_POINT('',#573689); #175968=VERTEX_POINT('',#573693); #175969=VERTEX_POINT('',#573695); #175970=VERTEX_POINT('',#573699); #175971=VERTEX_POINT('',#573701); #175972=VERTEX_POINT('',#573705); #175973=VERTEX_POINT('',#573707); #175974=VERTEX_POINT('',#573711); #175975=VERTEX_POINT('',#573713); #175976=VERTEX_POINT('',#573717); #175977=VERTEX_POINT('',#573719); #175978=VERTEX_POINT('',#573723); #175979=VERTEX_POINT('',#573725); #175980=VERTEX_POINT('',#573729); #175981=VERTEX_POINT('',#573731); #175982=VERTEX_POINT('',#573735); #175983=VERTEX_POINT('',#573737); #175984=VERTEX_POINT('',#573741); #175985=VERTEX_POINT('',#573743); #175986=VERTEX_POINT('',#573747); #175987=VERTEX_POINT('',#573749); #175988=VERTEX_POINT('',#573753); #175989=VERTEX_POINT('',#573755); #175990=VERTEX_POINT('',#573759); #175991=VERTEX_POINT('',#573761); #175992=VERTEX_POINT('',#573765); #175993=VERTEX_POINT('',#573767); #175994=VERTEX_POINT('',#573771); #175995=VERTEX_POINT('',#573773); #175996=VERTEX_POINT('',#573777); #175997=VERTEX_POINT('',#573779); #175998=VERTEX_POINT('',#573783); #175999=VERTEX_POINT('',#573785); #176000=VERTEX_POINT('',#573789); #176001=VERTEX_POINT('',#573791); #176002=VERTEX_POINT('',#573795); #176003=VERTEX_POINT('',#573797); #176004=VERTEX_POINT('',#573801); #176005=VERTEX_POINT('',#573803); #176006=VERTEX_POINT('',#573807); #176007=VERTEX_POINT('',#573809); #176008=VERTEX_POINT('',#573813); #176009=VERTEX_POINT('',#573815); #176010=VERTEX_POINT('',#573819); #176011=VERTEX_POINT('',#573821); #176012=VERTEX_POINT('',#573825); #176013=VERTEX_POINT('',#573827); #176014=VERTEX_POINT('',#573831); #176015=VERTEX_POINT('',#573833); #176016=VERTEX_POINT('',#573837); #176017=VERTEX_POINT('',#573839); #176018=VERTEX_POINT('',#573843); #176019=VERTEX_POINT('',#573845); #176020=VERTEX_POINT('',#573849); #176021=VERTEX_POINT('',#573851); #176022=VERTEX_POINT('',#573855); #176023=VERTEX_POINT('',#573857); #176024=VERTEX_POINT('',#573861); #176025=VERTEX_POINT('',#573863); #176026=VERTEX_POINT('',#573867); #176027=VERTEX_POINT('',#573869); #176028=VERTEX_POINT('',#573873); #176029=VERTEX_POINT('',#573875); #176030=VERTEX_POINT('',#573879); #176031=VERTEX_POINT('',#573881); #176032=VERTEX_POINT('',#573885); #176033=VERTEX_POINT('',#573887); #176034=VERTEX_POINT('',#573891); #176035=VERTEX_POINT('',#573893); #176036=VERTEX_POINT('',#573897); #176037=VERTEX_POINT('',#573899); #176038=VERTEX_POINT('',#573903); #176039=VERTEX_POINT('',#573905); #176040=VERTEX_POINT('',#573909); #176041=VERTEX_POINT('',#573911); #176042=VERTEX_POINT('',#573915); #176043=VERTEX_POINT('',#573917); #176044=VERTEX_POINT('',#573921); #176045=VERTEX_POINT('',#573923); #176046=VERTEX_POINT('',#573927); #176047=VERTEX_POINT('',#573929); #176048=VERTEX_POINT('',#573933); #176049=VERTEX_POINT('',#573935); #176050=VERTEX_POINT('',#573939); #176051=VERTEX_POINT('',#573941); #176052=VERTEX_POINT('',#573945); #176053=VERTEX_POINT('',#573947); #176054=VERTEX_POINT('',#573951); #176055=VERTEX_POINT('',#573953); #176056=VERTEX_POINT('',#573957); #176057=VERTEX_POINT('',#573959); #176058=VERTEX_POINT('',#573963); #176059=VERTEX_POINT('',#573965); #176060=VERTEX_POINT('',#573969); #176061=VERTEX_POINT('',#573971); #176062=VERTEX_POINT('',#573975); #176063=VERTEX_POINT('',#573977); #176064=VERTEX_POINT('',#573981); #176065=VERTEX_POINT('',#573983); #176066=VERTEX_POINT('',#573987); #176067=VERTEX_POINT('',#573989); #176068=VERTEX_POINT('',#573993); #176069=VERTEX_POINT('',#573995); #176070=VERTEX_POINT('',#573999); #176071=VERTEX_POINT('',#574001); #176072=VERTEX_POINT('',#574005); #176073=VERTEX_POINT('',#574007); #176074=VERTEX_POINT('',#574011); #176075=VERTEX_POINT('',#574013); #176076=VERTEX_POINT('',#574017); #176077=VERTEX_POINT('',#574019); #176078=VERTEX_POINT('',#574023); #176079=VERTEX_POINT('',#574025); #176080=VERTEX_POINT('',#574029); #176081=VERTEX_POINT('',#574031); #176082=VERTEX_POINT('',#574035); #176083=VERTEX_POINT('',#574037); #176084=VERTEX_POINT('',#574041); #176085=VERTEX_POINT('',#574043); #176086=VERTEX_POINT('',#574047); #176087=VERTEX_POINT('',#574049); #176088=VERTEX_POINT('',#574053); #176089=VERTEX_POINT('',#574055); #176090=VERTEX_POINT('',#574059); #176091=VERTEX_POINT('',#574061); #176092=VERTEX_POINT('',#574065); #176093=VERTEX_POINT('',#574067); #176094=VERTEX_POINT('',#574071); #176095=VERTEX_POINT('',#574073); #176096=VERTEX_POINT('',#574077); #176097=VERTEX_POINT('',#574079); #176098=VERTEX_POINT('',#574083); #176099=VERTEX_POINT('',#574085); #176100=VERTEX_POINT('',#574089); #176101=VERTEX_POINT('',#574091); #176102=VERTEX_POINT('',#574095); #176103=VERTEX_POINT('',#574097); #176104=VERTEX_POINT('',#574101); #176105=VERTEX_POINT('',#574103); #176106=VERTEX_POINT('',#574107); #176107=VERTEX_POINT('',#574109); #176108=VERTEX_POINT('',#574113); #176109=VERTEX_POINT('',#574115); #176110=VERTEX_POINT('',#574119); #176111=VERTEX_POINT('',#574121); #176112=VERTEX_POINT('',#574125); #176113=VERTEX_POINT('',#574127); #176114=VERTEX_POINT('',#574131); #176115=VERTEX_POINT('',#574133); #176116=VERTEX_POINT('',#574137); #176117=VERTEX_POINT('',#574139); #176118=VERTEX_POINT('',#574143); #176119=VERTEX_POINT('',#574145); #176120=VERTEX_POINT('',#574149); #176121=VERTEX_POINT('',#574151); #176122=VERTEX_POINT('',#574155); #176123=VERTEX_POINT('',#574157); #176124=VERTEX_POINT('',#574161); #176125=VERTEX_POINT('',#574163); #176126=VERTEX_POINT('',#574167); #176127=VERTEX_POINT('',#574169); #176128=VERTEX_POINT('',#574173); #176129=VERTEX_POINT('',#574175); #176130=VERTEX_POINT('',#574179); #176131=VERTEX_POINT('',#574181); #176132=VERTEX_POINT('',#574185); #176133=VERTEX_POINT('',#574187); #176134=VERTEX_POINT('',#574191); #176135=VERTEX_POINT('',#574193); #176136=VERTEX_POINT('',#574197); #176137=VERTEX_POINT('',#574198); #176138=VERTEX_POINT('',#574200); #176139=VERTEX_POINT('',#574202); #176140=VERTEX_POINT('',#574206); #176141=VERTEX_POINT('',#574208); #176142=VERTEX_POINT('',#574212); #176143=VERTEX_POINT('',#574214); #176144=VERTEX_POINT('',#574221); #176145=VERTEX_POINT('',#574223); #176146=VERTEX_POINT('',#574227); #176147=VERTEX_POINT('',#574228); #176148=VERTEX_POINT('',#574230); #176149=VERTEX_POINT('',#574232); #176150=VERTEX_POINT('',#574236); #176151=VERTEX_POINT('',#574238); #176152=VERTEX_POINT('',#574242); #176153=VERTEX_POINT('',#574244); #176154=VERTEX_POINT('',#574251); #176155=VERTEX_POINT('',#574253); #176156=VERTEX_POINT('',#574257); #176157=VERTEX_POINT('',#574259); #176158=VERTEX_POINT('',#574263); #176159=VERTEX_POINT('',#574265); #176160=VERTEX_POINT('',#574269); #176161=VERTEX_POINT('',#574270); #176162=VERTEX_POINT('',#574272); #176163=VERTEX_POINT('',#574274); #176164=VERTEX_POINT('',#574278); #176165=VERTEX_POINT('',#574280); #176166=VERTEX_POINT('',#574284); #176167=VERTEX_POINT('',#574286); #176168=VERTEX_POINT('',#574293); #176169=VERTEX_POINT('',#574295); #176170=VERTEX_POINT('',#574299); #176171=VERTEX_POINT('',#574301); #176172=VERTEX_POINT('',#574305); #176173=VERTEX_POINT('',#574307); #176174=VERTEX_POINT('',#574311); #176175=VERTEX_POINT('',#574313); #176176=VERTEX_POINT('',#574317); #176177=VERTEX_POINT('',#574319); #176178=VERTEX_POINT('',#574323); #176179=VERTEX_POINT('',#574325); #176180=VERTEX_POINT('',#574329); #176181=VERTEX_POINT('',#574331); #176182=VERTEX_POINT('',#574335); #176183=VERTEX_POINT('',#574337); #176184=VERTEX_POINT('',#574341); #176185=VERTEX_POINT('',#574343); #176186=VERTEX_POINT('',#574347); #176187=VERTEX_POINT('',#574349); #176188=VERTEX_POINT('',#574353); #176189=VERTEX_POINT('',#574354); #176190=VERTEX_POINT('',#574356); #176191=VERTEX_POINT('',#574358); #176192=VERTEX_POINT('',#574362); #176193=VERTEX_POINT('',#574364); #176194=VERTEX_POINT('',#574368); #176195=VERTEX_POINT('',#574370); #176196=VERTEX_POINT('',#574377); #176197=VERTEX_POINT('',#574379); #176198=VERTEX_POINT('',#574383); #176199=VERTEX_POINT('',#574384); #176200=VERTEX_POINT('',#574386); #176201=VERTEX_POINT('',#574388); #176202=VERTEX_POINT('',#574392); #176203=VERTEX_POINT('',#574394); #176204=VERTEX_POINT('',#574398); #176205=VERTEX_POINT('',#574400); #176206=VERTEX_POINT('',#574407); #176207=VERTEX_POINT('',#574409); #176208=VERTEX_POINT('',#574413); #176209=VERTEX_POINT('',#574414); #176210=VERTEX_POINT('',#574416); #176211=VERTEX_POINT('',#574418); #176212=VERTEX_POINT('',#574422); #176213=VERTEX_POINT('',#574424); #176214=VERTEX_POINT('',#574428); #176215=VERTEX_POINT('',#574430); #176216=VERTEX_POINT('',#574437); #176217=VERTEX_POINT('',#574439); #176218=VERTEX_POINT('',#574443); #176219=VERTEX_POINT('',#574445); #176220=VERTEX_POINT('',#574449); #176221=VERTEX_POINT('',#574451); #176222=VERTEX_POINT('',#574455); #176223=VERTEX_POINT('',#574457); #176224=VERTEX_POINT('',#574461); #176225=VERTEX_POINT('',#574463); #176226=VERTEX_POINT('',#574467); #176227=VERTEX_POINT('',#574469); #176228=VERTEX_POINT('',#574473); #176229=VERTEX_POINT('',#574475); #176230=VERTEX_POINT('',#574479); #176231=VERTEX_POINT('',#574481); #176232=VERTEX_POINT('',#574485); #176233=VERTEX_POINT('',#574487); #176234=VERTEX_POINT('',#574491); #176235=VERTEX_POINT('',#574493); #176236=VERTEX_POINT('',#574497); #176237=VERTEX_POINT('',#574499); #176238=VERTEX_POINT('',#574503); #176239=VERTEX_POINT('',#574505); #176240=VERTEX_POINT('',#574509); #176241=VERTEX_POINT('',#574511); #176242=VERTEX_POINT('',#574515); #176243=VERTEX_POINT('',#574517); #176244=VERTEX_POINT('',#574521); #176245=VERTEX_POINT('',#574523); #176246=VERTEX_POINT('',#574527); #176247=VERTEX_POINT('',#574529); #176248=VERTEX_POINT('',#574533); #176249=VERTEX_POINT('',#574535); #176250=VERTEX_POINT('',#574539); #176251=VERTEX_POINT('',#574541); #176252=VERTEX_POINT('',#574545); #176253=VERTEX_POINT('',#574547); #176254=VERTEX_POINT('',#574551); #176255=VERTEX_POINT('',#574553); #176256=VERTEX_POINT('',#574557); #176257=VERTEX_POINT('',#574559); #176258=VERTEX_POINT('',#574563); #176259=VERTEX_POINT('',#574565); #176260=VERTEX_POINT('',#574569); #176261=VERTEX_POINT('',#574571); #176262=VERTEX_POINT('',#574575); #176263=VERTEX_POINT('',#574577); #176264=VERTEX_POINT('',#574581); #176265=VERTEX_POINT('',#574583); #176266=VERTEX_POINT('',#574587); #176267=VERTEX_POINT('',#574589); #176268=VERTEX_POINT('',#574593); #176269=VERTEX_POINT('',#574595); #176270=VERTEX_POINT('',#574599); #176271=VERTEX_POINT('',#574601); #176272=VERTEX_POINT('',#574605); #176273=VERTEX_POINT('',#574607); #176274=VERTEX_POINT('',#574611); #176275=VERTEX_POINT('',#574613); #176276=VERTEX_POINT('',#574617); #176277=VERTEX_POINT('',#574619); #176278=VERTEX_POINT('',#574623); #176279=VERTEX_POINT('',#574625); #176280=VERTEX_POINT('',#574629); #176281=VERTEX_POINT('',#574631); #176282=VERTEX_POINT('',#574635); #176283=VERTEX_POINT('',#574637); #176284=VERTEX_POINT('',#574641); #176285=VERTEX_POINT('',#574643); #176286=VERTEX_POINT('',#574647); #176287=VERTEX_POINT('',#574649); #176288=VERTEX_POINT('',#574653); #176289=VERTEX_POINT('',#574655); #176290=VERTEX_POINT('',#574659); #176291=VERTEX_POINT('',#574661); #176292=VERTEX_POINT('',#574665); #176293=VERTEX_POINT('',#574667); #176294=VERTEX_POINT('',#574671); #176295=VERTEX_POINT('',#574673); #176296=VERTEX_POINT('',#574677); #176297=VERTEX_POINT('',#574679); #176298=VERTEX_POINT('',#574683); #176299=VERTEX_POINT('',#574685); #176300=VERTEX_POINT('',#574689); #176301=VERTEX_POINT('',#574691); #176302=VERTEX_POINT('',#574695); #176303=VERTEX_POINT('',#574697); #176304=VERTEX_POINT('',#574701); #176305=VERTEX_POINT('',#574703); #176306=VERTEX_POINT('',#574707); #176307=VERTEX_POINT('',#574709); #176308=VERTEX_POINT('',#574713); #176309=VERTEX_POINT('',#574715); #176310=VERTEX_POINT('',#574719); #176311=VERTEX_POINT('',#574721); #176312=VERTEX_POINT('',#574725); #176313=VERTEX_POINT('',#574727); #176314=VERTEX_POINT('',#574731); #176315=VERTEX_POINT('',#574733); #176316=VERTEX_POINT('',#574737); #176317=VERTEX_POINT('',#574739); #176318=VERTEX_POINT('',#574743); #176319=VERTEX_POINT('',#574745); #176320=VERTEX_POINT('',#574749); #176321=VERTEX_POINT('',#574751); #176322=VERTEX_POINT('',#574755); #176323=VERTEX_POINT('',#574757); #176324=VERTEX_POINT('',#574761); #176325=VERTEX_POINT('',#574763); #176326=VERTEX_POINT('',#574767); #176327=VERTEX_POINT('',#574769); #176328=VERTEX_POINT('',#574773); #176329=VERTEX_POINT('',#574775); #176330=VERTEX_POINT('',#574779); #176331=VERTEX_POINT('',#574781); #176332=VERTEX_POINT('',#574785); #176333=VERTEX_POINT('',#574787); #176334=VERTEX_POINT('',#574791); #176335=VERTEX_POINT('',#574793); #176336=VERTEX_POINT('',#574797); #176337=VERTEX_POINT('',#574799); #176338=VERTEX_POINT('',#574803); #176339=VERTEX_POINT('',#574805); #176340=VERTEX_POINT('',#574809); #176341=VERTEX_POINT('',#574811); #176342=VERTEX_POINT('',#574815); #176343=VERTEX_POINT('',#574817); #176344=VERTEX_POINT('',#574821); #176345=VERTEX_POINT('',#574823); #176346=VERTEX_POINT('',#574827); #176347=VERTEX_POINT('',#574829); #176348=VERTEX_POINT('',#574833); #176349=VERTEX_POINT('',#574835); #176350=VERTEX_POINT('',#574839); #176351=VERTEX_POINT('',#574841); #176352=VERTEX_POINT('',#574845); #176353=VERTEX_POINT('',#574847); #176354=VERTEX_POINT('',#574851); #176355=VERTEX_POINT('',#574853); #176356=VERTEX_POINT('',#574857); #176357=VERTEX_POINT('',#574859); #176358=VERTEX_POINT('',#574863); #176359=VERTEX_POINT('',#574865); #176360=VERTEX_POINT('',#574869); #176361=VERTEX_POINT('',#574871); #176362=VERTEX_POINT('',#574875); #176363=VERTEX_POINT('',#574877); #176364=VERTEX_POINT('',#574881); #176365=VERTEX_POINT('',#574883); #176366=VERTEX_POINT('',#574887); #176367=VERTEX_POINT('',#574889); #176368=VERTEX_POINT('',#574893); #176369=VERTEX_POINT('',#574895); #176370=VERTEX_POINT('',#574899); #176371=VERTEX_POINT('',#574901); #176372=VERTEX_POINT('',#574905); #176373=VERTEX_POINT('',#574907); #176374=VERTEX_POINT('',#574911); #176375=VERTEX_POINT('',#574913); #176376=VERTEX_POINT('',#574917); #176377=VERTEX_POINT('',#574919); #176378=VERTEX_POINT('',#574923); #176379=VERTEX_POINT('',#574925); #176380=VERTEX_POINT('',#574929); #176381=VERTEX_POINT('',#574931); #176382=VERTEX_POINT('',#574935); #176383=VERTEX_POINT('',#574937); #176384=VERTEX_POINT('',#574941); #176385=VERTEX_POINT('',#574943); #176386=VERTEX_POINT('',#574947); #176387=VERTEX_POINT('',#574949); #176388=VERTEX_POINT('',#574953); #176389=VERTEX_POINT('',#574955); #176390=VERTEX_POINT('',#574959); #176391=VERTEX_POINT('',#574961); #176392=VERTEX_POINT('',#574965); #176393=VERTEX_POINT('',#574967); #176394=VERTEX_POINT('',#574971); #176395=VERTEX_POINT('',#574973); #176396=VERTEX_POINT('',#574977); #176397=VERTEX_POINT('',#574979); #176398=VERTEX_POINT('',#574983); #176399=VERTEX_POINT('',#574985); #176400=VERTEX_POINT('',#574989); #176401=VERTEX_POINT('',#574991); #176402=VERTEX_POINT('',#574995); #176403=VERTEX_POINT('',#574997); #176404=VERTEX_POINT('',#575001); #176405=VERTEX_POINT('',#575003); #176406=VERTEX_POINT('',#575007); #176407=VERTEX_POINT('',#575009); #176408=VERTEX_POINT('',#575013); #176409=VERTEX_POINT('',#575015); #176410=VERTEX_POINT('',#575019); #176411=VERTEX_POINT('',#575021); #176412=VERTEX_POINT('',#575025); #176413=VERTEX_POINT('',#575027); #176414=VERTEX_POINT('',#575031); #176415=VERTEX_POINT('',#575033); #176416=VERTEX_POINT('',#575037); #176417=VERTEX_POINT('',#575039); #176418=VERTEX_POINT('',#575043); #176419=VERTEX_POINT('',#575045); #176420=VERTEX_POINT('',#575049); #176421=VERTEX_POINT('',#575051); #176422=VERTEX_POINT('',#575055); #176423=VERTEX_POINT('',#575057); #176424=VERTEX_POINT('',#575061); #176425=VERTEX_POINT('',#575063); #176426=VERTEX_POINT('',#575067); #176427=VERTEX_POINT('',#575069); #176428=VERTEX_POINT('',#575073); #176429=VERTEX_POINT('',#575075); #176430=VERTEX_POINT('',#575079); #176431=VERTEX_POINT('',#575081); #176432=VERTEX_POINT('',#575085); #176433=VERTEX_POINT('',#575087); #176434=VERTEX_POINT('',#575091); #176435=VERTEX_POINT('',#575093); #176436=VERTEX_POINT('',#575097); #176437=VERTEX_POINT('',#575099); #176438=VERTEX_POINT('',#575103); #176439=VERTEX_POINT('',#575105); #176440=VERTEX_POINT('',#575109); #176441=VERTEX_POINT('',#575111); #176442=VERTEX_POINT('',#575115); #176443=VERTEX_POINT('',#575117); #176444=VERTEX_POINT('',#575121); #176445=VERTEX_POINT('',#575123); #176446=VERTEX_POINT('',#575127); #176447=VERTEX_POINT('',#575129); #176448=VERTEX_POINT('',#575133); #176449=VERTEX_POINT('',#575135); #176450=VERTEX_POINT('',#575139); #176451=VERTEX_POINT('',#575141); #176452=VERTEX_POINT('',#575145); #176453=VERTEX_POINT('',#575147); #176454=VERTEX_POINT('',#575151); #176455=VERTEX_POINT('',#575153); #176456=VERTEX_POINT('',#575157); #176457=VERTEX_POINT('',#575159); #176458=VERTEX_POINT('',#575163); #176459=VERTEX_POINT('',#575165); #176460=VERTEX_POINT('',#575169); #176461=VERTEX_POINT('',#575171); #176462=VERTEX_POINT('',#575175); #176463=VERTEX_POINT('',#575177); #176464=VERTEX_POINT('',#575181); #176465=VERTEX_POINT('',#575183); #176466=VERTEX_POINT('',#575187); #176467=VERTEX_POINT('',#575189); #176468=VERTEX_POINT('',#575193); #176469=VERTEX_POINT('',#575195); #176470=VERTEX_POINT('',#575199); #176471=VERTEX_POINT('',#575201); #176472=VERTEX_POINT('',#575205); #176473=VERTEX_POINT('',#575207); #176474=VERTEX_POINT('',#575211); #176475=VERTEX_POINT('',#575213); #176476=VERTEX_POINT('',#575217); #176477=VERTEX_POINT('',#575219); #176478=VERTEX_POINT('',#575223); #176479=VERTEX_POINT('',#575225); #176480=VERTEX_POINT('',#575229); #176481=VERTEX_POINT('',#575231); #176482=VERTEX_POINT('',#575238); #176483=VERTEX_POINT('',#575240); #176484=VERTEX_POINT('',#575244); #176485=VERTEX_POINT('',#575246); #176486=VERTEX_POINT('',#575250); #176487=VERTEX_POINT('',#575252); #176488=VERTEX_POINT('',#575256); #176489=VERTEX_POINT('',#575258); #176490=VERTEX_POINT('',#575262); #176491=VERTEX_POINT('',#575264); #176492=VERTEX_POINT('',#575268); #176493=VERTEX_POINT('',#575270); #176494=VERTEX_POINT('',#575274); #176495=VERTEX_POINT('',#575276); #176496=VERTEX_POINT('',#575280); #176497=VERTEX_POINT('',#575282); #176498=VERTEX_POINT('',#575286); #176499=VERTEX_POINT('',#575288); #176500=VERTEX_POINT('',#575292); #176501=VERTEX_POINT('',#575294); #176502=VERTEX_POINT('',#575298); #176503=VERTEX_POINT('',#575300); #176504=VERTEX_POINT('',#575304); #176505=VERTEX_POINT('',#575306); #176506=VERTEX_POINT('',#575310); #176507=VERTEX_POINT('',#575312); #176508=VERTEX_POINT('',#575316); #176509=VERTEX_POINT('',#575318); #176510=VERTEX_POINT('',#575322); #176511=VERTEX_POINT('',#575324); #176512=VERTEX_POINT('',#575328); #176513=VERTEX_POINT('',#575330); #176514=VERTEX_POINT('',#575334); #176515=VERTEX_POINT('',#575336); #176516=VERTEX_POINT('',#575340); #176517=VERTEX_POINT('',#575342); #176518=VERTEX_POINT('',#575346); #176519=VERTEX_POINT('',#575348); #176520=VERTEX_POINT('',#575352); #176521=VERTEX_POINT('',#575354); #176522=VERTEX_POINT('',#575358); #176523=VERTEX_POINT('',#575360); #176524=VERTEX_POINT('',#575364); #176525=VERTEX_POINT('',#575366); #176526=VERTEX_POINT('',#575370); #176527=VERTEX_POINT('',#575372); #176528=VERTEX_POINT('',#575376); #176529=VERTEX_POINT('',#575378); #176530=VERTEX_POINT('',#575382); #176531=VERTEX_POINT('',#575384); #176532=VERTEX_POINT('',#575388); #176533=VERTEX_POINT('',#575390); #176534=VERTEX_POINT('',#575394); #176535=VERTEX_POINT('',#575396); #176536=VERTEX_POINT('',#575400); #176537=VERTEX_POINT('',#575402); #176538=VERTEX_POINT('',#575406); #176539=VERTEX_POINT('',#575408); #176540=VERTEX_POINT('',#575412); #176541=VERTEX_POINT('',#575414); #176542=VERTEX_POINT('',#575418); #176543=VERTEX_POINT('',#575420); #176544=VERTEX_POINT('',#575424); #176545=VERTEX_POINT('',#575426); #176546=VERTEX_POINT('',#575430); #176547=VERTEX_POINT('',#575432); #176548=VERTEX_POINT('',#575436); #176549=VERTEX_POINT('',#575438); #176550=VERTEX_POINT('',#575442); #176551=VERTEX_POINT('',#575444); #176552=VERTEX_POINT('',#575448); #176553=VERTEX_POINT('',#575450); #176554=VERTEX_POINT('',#575454); #176555=VERTEX_POINT('',#575456); #176556=VERTEX_POINT('',#575460); #176557=VERTEX_POINT('',#575462); #176558=VERTEX_POINT('',#575466); #176559=VERTEX_POINT('',#575468); #176560=VERTEX_POINT('',#575472); #176561=VERTEX_POINT('',#575474); #176562=VERTEX_POINT('',#575478); #176563=VERTEX_POINT('',#575480); #176564=VERTEX_POINT('',#575484); #176565=VERTEX_POINT('',#575486); #176566=VERTEX_POINT('',#575490); #176567=VERTEX_POINT('',#575492); #176568=VERTEX_POINT('',#575496); #176569=VERTEX_POINT('',#575498); #176570=VERTEX_POINT('',#575502); #176571=VERTEX_POINT('',#575504); #176572=VERTEX_POINT('',#575508); #176573=VERTEX_POINT('',#575510); #176574=VERTEX_POINT('',#575514); #176575=VERTEX_POINT('',#575516); #176576=VERTEX_POINT('',#575520); #176577=VERTEX_POINT('',#575522); #176578=VERTEX_POINT('',#575526); #176579=VERTEX_POINT('',#575528); #176580=VERTEX_POINT('',#575532); #176581=VERTEX_POINT('',#575534); #176582=VERTEX_POINT('',#575538); #176583=VERTEX_POINT('',#575540); #176584=VERTEX_POINT('',#575544); #176585=VERTEX_POINT('',#575546); #176586=VERTEX_POINT('',#575550); #176587=VERTEX_POINT('',#575552); #176588=VERTEX_POINT('',#575556); #176589=VERTEX_POINT('',#575558); #176590=VERTEX_POINT('',#575562); #176591=VERTEX_POINT('',#575564); #176592=VERTEX_POINT('',#575568); #176593=VERTEX_POINT('',#575570); #176594=VERTEX_POINT('',#575574); #176595=VERTEX_POINT('',#575576); #176596=VERTEX_POINT('',#575580); #176597=VERTEX_POINT('',#575582); #176598=VERTEX_POINT('',#575586); #176599=VERTEX_POINT('',#575588); #176600=VERTEX_POINT('',#575592); #176601=VERTEX_POINT('',#575594); #176602=VERTEX_POINT('',#575598); #176603=VERTEX_POINT('',#575600); #176604=VERTEX_POINT('',#575604); #176605=VERTEX_POINT('',#575606); #176606=VERTEX_POINT('',#575610); #176607=VERTEX_POINT('',#575612); #176608=VERTEX_POINT('',#575616); #176609=VERTEX_POINT('',#575618); #176610=VERTEX_POINT('',#575622); #176611=VERTEX_POINT('',#575624); #176612=VERTEX_POINT('',#575628); #176613=VERTEX_POINT('',#575630); #176614=VERTEX_POINT('',#575634); #176615=VERTEX_POINT('',#575636); #176616=VERTEX_POINT('',#575640); #176617=VERTEX_POINT('',#575642); #176618=VERTEX_POINT('',#575646); #176619=VERTEX_POINT('',#575648); #176620=VERTEX_POINT('',#575652); #176621=VERTEX_POINT('',#575654); #176622=VERTEX_POINT('',#575658); #176623=VERTEX_POINT('',#575660); #176624=VERTEX_POINT('',#575664); #176625=VERTEX_POINT('',#575666); #176626=VERTEX_POINT('',#575670); #176627=VERTEX_POINT('',#575672); #176628=VERTEX_POINT('',#575676); #176629=VERTEX_POINT('',#575678); #176630=VERTEX_POINT('',#575682); #176631=VERTEX_POINT('',#575684); #176632=VERTEX_POINT('',#575688); #176633=VERTEX_POINT('',#575690); #176634=VERTEX_POINT('',#575694); #176635=VERTEX_POINT('',#575696); #176636=VERTEX_POINT('',#575700); #176637=VERTEX_POINT('',#575702); #176638=VERTEX_POINT('',#575706); #176639=VERTEX_POINT('',#575708); #176640=VERTEX_POINT('',#575712); #176641=VERTEX_POINT('',#575714); #176642=VERTEX_POINT('',#575718); #176643=VERTEX_POINT('',#575720); #176644=VERTEX_POINT('',#575724); #176645=VERTEX_POINT('',#575726); #176646=VERTEX_POINT('',#575730); #176647=VERTEX_POINT('',#575732); #176648=VERTEX_POINT('',#575736); #176649=VERTEX_POINT('',#575738); #176650=VERTEX_POINT('',#575742); #176651=VERTEX_POINT('',#575744); #176652=VERTEX_POINT('',#575748); #176653=VERTEX_POINT('',#575750); #176654=VERTEX_POINT('',#575754); #176655=VERTEX_POINT('',#575756); #176656=VERTEX_POINT('',#575760); #176657=VERTEX_POINT('',#575762); #176658=VERTEX_POINT('',#575766); #176659=VERTEX_POINT('',#575768); #176660=VERTEX_POINT('',#575772); #176661=VERTEX_POINT('',#575774); #176662=VERTEX_POINT('',#575778); #176663=VERTEX_POINT('',#575780); #176664=VERTEX_POINT('',#575784); #176665=VERTEX_POINT('',#575786); #176666=VERTEX_POINT('',#575790); #176667=VERTEX_POINT('',#575792); #176668=VERTEX_POINT('',#575796); #176669=VERTEX_POINT('',#575798); #176670=VERTEX_POINT('',#575802); #176671=VERTEX_POINT('',#575804); #176672=VERTEX_POINT('',#575808); #176673=VERTEX_POINT('',#575810); #176674=VERTEX_POINT('',#575814); #176675=VERTEX_POINT('',#575816); #176676=VERTEX_POINT('',#575820); #176677=VERTEX_POINT('',#575822); #176678=VERTEX_POINT('',#575826); #176679=VERTEX_POINT('',#575828); #176680=VERTEX_POINT('',#575832); #176681=VERTEX_POINT('',#575834); #176682=VERTEX_POINT('',#575838); #176683=VERTEX_POINT('',#575840); #176684=VERTEX_POINT('',#575844); #176685=VERTEX_POINT('',#575846); #176686=VERTEX_POINT('',#575850); #176687=VERTEX_POINT('',#575852); #176688=VERTEX_POINT('',#575856); #176689=VERTEX_POINT('',#575858); #176690=VERTEX_POINT('',#575862); #176691=VERTEX_POINT('',#575864); #176692=VERTEX_POINT('',#575868); #176693=VERTEX_POINT('',#575870); #176694=VERTEX_POINT('',#575874); #176695=VERTEX_POINT('',#575876); #176696=VERTEX_POINT('',#575880); #176697=VERTEX_POINT('',#575882); #176698=VERTEX_POINT('',#575886); #176699=VERTEX_POINT('',#575888); #176700=VERTEX_POINT('',#575892); #176701=VERTEX_POINT('',#575894); #176702=VERTEX_POINT('',#575898); #176703=VERTEX_POINT('',#575900); #176704=VERTEX_POINT('',#575904); #176705=VERTEX_POINT('',#575906); #176706=VERTEX_POINT('',#575910); #176707=VERTEX_POINT('',#575912); #176708=VERTEX_POINT('',#575916); #176709=VERTEX_POINT('',#575918); #176710=VERTEX_POINT('',#575922); #176711=VERTEX_POINT('',#575924); #176712=VERTEX_POINT('',#575928); #176713=VERTEX_POINT('',#575930); #176714=VERTEX_POINT('',#575934); #176715=VERTEX_POINT('',#575936); #176716=VERTEX_POINT('',#575940); #176717=VERTEX_POINT('',#575942); #176718=VERTEX_POINT('',#575946); #176719=VERTEX_POINT('',#575948); #176720=VERTEX_POINT('',#575952); #176721=VERTEX_POINT('',#575954); #176722=VERTEX_POINT('',#575958); #176723=VERTEX_POINT('',#575960); #176724=VERTEX_POINT('',#575964); #176725=VERTEX_POINT('',#575966); #176726=VERTEX_POINT('',#575970); #176727=VERTEX_POINT('',#575972); #176728=VERTEX_POINT('',#575976); #176729=VERTEX_POINT('',#575978); #176730=VERTEX_POINT('',#575982); #176731=VERTEX_POINT('',#575984); #176732=VERTEX_POINT('',#575988); #176733=VERTEX_POINT('',#575990); #176734=VERTEX_POINT('',#575994); #176735=VERTEX_POINT('',#575996); #176736=VERTEX_POINT('',#576000); #176737=VERTEX_POINT('',#576002); #176738=VERTEX_POINT('',#576006); #176739=VERTEX_POINT('',#576008); #176740=VERTEX_POINT('',#576012); #176741=VERTEX_POINT('',#576014); #176742=VERTEX_POINT('',#576018); #176743=VERTEX_POINT('',#576020); #176744=VERTEX_POINT('',#576024); #176745=VERTEX_POINT('',#576026); #176746=VERTEX_POINT('',#576030); #176747=VERTEX_POINT('',#576032); #176748=VERTEX_POINT('',#576036); #176749=VERTEX_POINT('',#576038); #176750=VERTEX_POINT('',#576042); #176751=VERTEX_POINT('',#576044); #176752=VERTEX_POINT('',#576048); #176753=VERTEX_POINT('',#576050); #176754=VERTEX_POINT('',#576054); #176755=VERTEX_POINT('',#576056); #176756=VERTEX_POINT('',#576060); #176757=VERTEX_POINT('',#576062); #176758=VERTEX_POINT('',#576066); #176759=VERTEX_POINT('',#576068); #176760=VERTEX_POINT('',#576072); #176761=VERTEX_POINT('',#576074); #176762=VERTEX_POINT('',#576078); #176763=VERTEX_POINT('',#576080); #176764=VERTEX_POINT('',#576084); #176765=VERTEX_POINT('',#576086); #176766=VERTEX_POINT('',#576090); #176767=VERTEX_POINT('',#576092); #176768=VERTEX_POINT('',#576096); #176769=VERTEX_POINT('',#576098); #176770=VERTEX_POINT('',#576102); #176771=VERTEX_POINT('',#576104); #176772=VERTEX_POINT('',#576108); #176773=VERTEX_POINT('',#576110); #176774=VERTEX_POINT('',#576114); #176775=VERTEX_POINT('',#576116); #176776=VERTEX_POINT('',#576120); #176777=VERTEX_POINT('',#576122); #176778=VERTEX_POINT('',#576126); #176779=VERTEX_POINT('',#576128); #176780=VERTEX_POINT('',#576132); #176781=VERTEX_POINT('',#576134); #176782=VERTEX_POINT('',#576138); #176783=VERTEX_POINT('',#576140); #176784=VERTEX_POINT('',#576144); #176785=VERTEX_POINT('',#576146); #176786=VERTEX_POINT('',#576150); #176787=VERTEX_POINT('',#576152); #176788=VERTEX_POINT('',#576156); #176789=VERTEX_POINT('',#576158); #176790=VERTEX_POINT('',#576162); #176791=VERTEX_POINT('',#576164); #176792=VERTEX_POINT('',#576168); #176793=VERTEX_POINT('',#576170); #176794=VERTEX_POINT('',#576174); #176795=VERTEX_POINT('',#576176); #176796=VERTEX_POINT('',#576180); #176797=VERTEX_POINT('',#576182); #176798=VERTEX_POINT('',#576186); #176799=VERTEX_POINT('',#576188); #176800=VERTEX_POINT('',#576192); #176801=VERTEX_POINT('',#576194); #176802=VERTEX_POINT('',#576198); #176803=VERTEX_POINT('',#576200); #176804=VERTEX_POINT('',#576204); #176805=VERTEX_POINT('',#576206); #176806=VERTEX_POINT('',#576210); #176807=VERTEX_POINT('',#576212); #176808=VERTEX_POINT('',#576216); #176809=VERTEX_POINT('',#576217); #176810=VERTEX_POINT('',#576219); #176811=VERTEX_POINT('',#576221); #176812=VERTEX_POINT('',#576225); #176813=VERTEX_POINT('',#576227); #176814=VERTEX_POINT('',#576231); #176815=VERTEX_POINT('',#576233); #176816=VERTEX_POINT('',#576240); #176817=VERTEX_POINT('',#576242); #176818=VERTEX_POINT('',#576246); #176819=VERTEX_POINT('',#576247); #176820=VERTEX_POINT('',#576249); #176821=VERTEX_POINT('',#576251); #176822=VERTEX_POINT('',#576255); #176823=VERTEX_POINT('',#576257); #176824=VERTEX_POINT('',#576261); #176825=VERTEX_POINT('',#576263); #176826=VERTEX_POINT('',#576270); #176827=VERTEX_POINT('',#576272); #176828=VERTEX_POINT('',#576276); #176829=VERTEX_POINT('',#576278); #176830=VERTEX_POINT('',#576282); #176831=VERTEX_POINT('',#576284); #176832=VERTEX_POINT('',#576288); #176833=VERTEX_POINT('',#576289); #176834=VERTEX_POINT('',#576291); #176835=VERTEX_POINT('',#576293); #176836=VERTEX_POINT('',#576297); #176837=VERTEX_POINT('',#576299); #176838=VERTEX_POINT('',#576303); #176839=VERTEX_POINT('',#576305); #176840=VERTEX_POINT('',#576312); #176841=VERTEX_POINT('',#576314); #176842=VERTEX_POINT('',#576318); #176843=VERTEX_POINT('',#576320); #176844=VERTEX_POINT('',#576324); #176845=VERTEX_POINT('',#576326); #176846=VERTEX_POINT('',#576330); #176847=VERTEX_POINT('',#576332); #176848=VERTEX_POINT('',#576336); #176849=VERTEX_POINT('',#576338); #176850=VERTEX_POINT('',#576342); #176851=VERTEX_POINT('',#576344); #176852=VERTEX_POINT('',#576348); #176853=VERTEX_POINT('',#576350); #176854=VERTEX_POINT('',#576354); #176855=VERTEX_POINT('',#576356); #176856=VERTEX_POINT('',#576360); #176857=VERTEX_POINT('',#576362); #176858=VERTEX_POINT('',#576366); #176859=VERTEX_POINT('',#576368); #176860=VERTEX_POINT('',#576372); #176861=VERTEX_POINT('',#576373); #176862=VERTEX_POINT('',#576375); #176863=VERTEX_POINT('',#576377); #176864=VERTEX_POINT('',#576381); #176865=VERTEX_POINT('',#576383); #176866=VERTEX_POINT('',#576387); #176867=VERTEX_POINT('',#576389); #176868=VERTEX_POINT('',#576396); #176869=VERTEX_POINT('',#576398); #176870=VERTEX_POINT('',#576402); #176871=VERTEX_POINT('',#576403); #176872=VERTEX_POINT('',#576405); #176873=VERTEX_POINT('',#576407); #176874=VERTEX_POINT('',#576411); #176875=VERTEX_POINT('',#576413); #176876=VERTEX_POINT('',#576417); #176877=VERTEX_POINT('',#576419); #176878=VERTEX_POINT('',#576426); #176879=VERTEX_POINT('',#576428); #176880=VERTEX_POINT('',#576432); #176881=VERTEX_POINT('',#576433); #176882=VERTEX_POINT('',#576435); #176883=VERTEX_POINT('',#576437); #176884=VERTEX_POINT('',#576441); #176885=VERTEX_POINT('',#576443); #176886=VERTEX_POINT('',#576447); #176887=VERTEX_POINT('',#576449); #176888=VERTEX_POINT('',#576456); #176889=VERTEX_POINT('',#576458); #176890=VERTEX_POINT('',#576462); #176891=VERTEX_POINT('',#576464); #176892=VERTEX_POINT('',#576468); #176893=VERTEX_POINT('',#576470); #176894=VERTEX_POINT('',#576474); #176895=VERTEX_POINT('',#576476); #176896=VERTEX_POINT('',#576480); #176897=VERTEX_POINT('',#576482); #176898=VERTEX_POINT('',#576486); #176899=VERTEX_POINT('',#576488); #176900=VERTEX_POINT('',#576492); #176901=VERTEX_POINT('',#576494); #176902=VERTEX_POINT('',#576498); #176903=VERTEX_POINT('',#576500); #176904=VERTEX_POINT('',#576504); #176905=VERTEX_POINT('',#576506); #176906=VERTEX_POINT('',#576510); #176907=VERTEX_POINT('',#576512); #176908=VERTEX_POINT('',#576516); #176909=VERTEX_POINT('',#576518); #176910=VERTEX_POINT('',#576522); #176911=VERTEX_POINT('',#576524); #176912=VERTEX_POINT('',#576528); #176913=VERTEX_POINT('',#576530); #176914=VERTEX_POINT('',#576534); #176915=VERTEX_POINT('',#576536); #176916=VERTEX_POINT('',#576540); #176917=VERTEX_POINT('',#576542); #176918=VERTEX_POINT('',#576546); #176919=VERTEX_POINT('',#576548); #176920=VERTEX_POINT('',#576552); #176921=VERTEX_POINT('',#576554); #176922=VERTEX_POINT('',#576558); #176923=VERTEX_POINT('',#576560); #176924=VERTEX_POINT('',#576564); #176925=VERTEX_POINT('',#576566); #176926=VERTEX_POINT('',#576570); #176927=VERTEX_POINT('',#576572); #176928=VERTEX_POINT('',#576576); #176929=VERTEX_POINT('',#576578); #176930=VERTEX_POINT('',#576582); #176931=VERTEX_POINT('',#576584); #176932=VERTEX_POINT('',#576588); #176933=VERTEX_POINT('',#576590); #176934=VERTEX_POINT('',#576594); #176935=VERTEX_POINT('',#576596); #176936=VERTEX_POINT('',#576600); #176937=VERTEX_POINT('',#576602); #176938=VERTEX_POINT('',#576606); #176939=VERTEX_POINT('',#576608); #176940=VERTEX_POINT('',#576612); #176941=VERTEX_POINT('',#576614); #176942=VERTEX_POINT('',#576618); #176943=VERTEX_POINT('',#576620); #176944=VERTEX_POINT('',#576624); #176945=VERTEX_POINT('',#576626); #176946=VERTEX_POINT('',#576630); #176947=VERTEX_POINT('',#576632); #176948=VERTEX_POINT('',#576636); #176949=VERTEX_POINT('',#576638); #176950=VERTEX_POINT('',#576642); #176951=VERTEX_POINT('',#576644); #176952=VERTEX_POINT('',#576648); #176953=VERTEX_POINT('',#576650); #176954=VERTEX_POINT('',#576654); #176955=VERTEX_POINT('',#576656); #176956=VERTEX_POINT('',#576660); #176957=VERTEX_POINT('',#576662); #176958=VERTEX_POINT('',#576666); #176959=VERTEX_POINT('',#576668); #176960=VERTEX_POINT('',#576672); #176961=VERTEX_POINT('',#576674); #176962=VERTEX_POINT('',#576678); #176963=VERTEX_POINT('',#576680); #176964=VERTEX_POINT('',#576684); #176965=VERTEX_POINT('',#576686); #176966=VERTEX_POINT('',#576690); #176967=VERTEX_POINT('',#576692); #176968=VERTEX_POINT('',#576696); #176969=VERTEX_POINT('',#576698); #176970=VERTEX_POINT('',#576702); #176971=VERTEX_POINT('',#576704); #176972=VERTEX_POINT('',#576708); #176973=VERTEX_POINT('',#576710); #176974=VERTEX_POINT('',#576714); #176975=VERTEX_POINT('',#576716); #176976=VERTEX_POINT('',#576720); #176977=VERTEX_POINT('',#576722); #176978=VERTEX_POINT('',#576726); #176979=VERTEX_POINT('',#576728); #176980=VERTEX_POINT('',#576732); #176981=VERTEX_POINT('',#576734); #176982=VERTEX_POINT('',#576738); #176983=VERTEX_POINT('',#576740); #176984=VERTEX_POINT('',#576744); #176985=VERTEX_POINT('',#576746); #176986=VERTEX_POINT('',#576750); #176987=VERTEX_POINT('',#576752); #176988=VERTEX_POINT('',#576756); #176989=VERTEX_POINT('',#576758); #176990=VERTEX_POINT('',#576762); #176991=VERTEX_POINT('',#576764); #176992=VERTEX_POINT('',#576768); #176993=VERTEX_POINT('',#576770); #176994=VERTEX_POINT('',#576774); #176995=VERTEX_POINT('',#576776); #176996=VERTEX_POINT('',#576780); #176997=VERTEX_POINT('',#576782); #176998=VERTEX_POINT('',#576786); #176999=VERTEX_POINT('',#576788); #177000=VERTEX_POINT('',#576792); #177001=VERTEX_POINT('',#576794); #177002=VERTEX_POINT('',#576798); #177003=VERTEX_POINT('',#576800); #177004=VERTEX_POINT('',#576804); #177005=VERTEX_POINT('',#576806); #177006=VERTEX_POINT('',#576810); #177007=VERTEX_POINT('',#576812); #177008=VERTEX_POINT('',#576816); #177009=VERTEX_POINT('',#576818); #177010=VERTEX_POINT('',#576822); #177011=VERTEX_POINT('',#576824); #177012=VERTEX_POINT('',#576828); #177013=VERTEX_POINT('',#576830); #177014=VERTEX_POINT('',#576834); #177015=VERTEX_POINT('',#576836); #177016=VERTEX_POINT('',#576840); #177017=VERTEX_POINT('',#576842); #177018=VERTEX_POINT('',#576846); #177019=VERTEX_POINT('',#576848); #177020=VERTEX_POINT('',#576852); #177021=VERTEX_POINT('',#576854); #177022=VERTEX_POINT('',#576858); #177023=VERTEX_POINT('',#576860); #177024=VERTEX_POINT('',#576864); #177025=VERTEX_POINT('',#576866); #177026=VERTEX_POINT('',#576870); #177027=VERTEX_POINT('',#576872); #177028=VERTEX_POINT('',#576876); #177029=VERTEX_POINT('',#576878); #177030=VERTEX_POINT('',#576882); #177031=VERTEX_POINT('',#576884); #177032=VERTEX_POINT('',#576888); #177033=VERTEX_POINT('',#576890); #177034=VERTEX_POINT('',#576894); #177035=VERTEX_POINT('',#576896); #177036=VERTEX_POINT('',#576900); #177037=VERTEX_POINT('',#576902); #177038=VERTEX_POINT('',#576906); #177039=VERTEX_POINT('',#576908); #177040=VERTEX_POINT('',#576912); #177041=VERTEX_POINT('',#576914); #177042=VERTEX_POINT('',#576918); #177043=VERTEX_POINT('',#576920); #177044=VERTEX_POINT('',#576924); #177045=VERTEX_POINT('',#576926); #177046=VERTEX_POINT('',#576930); #177047=VERTEX_POINT('',#576932); #177048=VERTEX_POINT('',#576936); #177049=VERTEX_POINT('',#576938); #177050=VERTEX_POINT('',#576942); #177051=VERTEX_POINT('',#576944); #177052=VERTEX_POINT('',#576948); #177053=VERTEX_POINT('',#576950); #177054=VERTEX_POINT('',#576954); #177055=VERTEX_POINT('',#576956); #177056=VERTEX_POINT('',#576960); #177057=VERTEX_POINT('',#576962); #177058=VERTEX_POINT('',#576966); #177059=VERTEX_POINT('',#576968); #177060=VERTEX_POINT('',#576972); #177061=VERTEX_POINT('',#576974); #177062=VERTEX_POINT('',#576978); #177063=VERTEX_POINT('',#576980); #177064=VERTEX_POINT('',#576984); #177065=VERTEX_POINT('',#576986); #177066=VERTEX_POINT('',#576990); #177067=VERTEX_POINT('',#576992); #177068=VERTEX_POINT('',#576996); #177069=VERTEX_POINT('',#576998); #177070=VERTEX_POINT('',#577002); #177071=VERTEX_POINT('',#577004); #177072=VERTEX_POINT('',#577008); #177073=VERTEX_POINT('',#577010); #177074=VERTEX_POINT('',#577014); #177075=VERTEX_POINT('',#577016); #177076=VERTEX_POINT('',#577020); #177077=VERTEX_POINT('',#577022); #177078=VERTEX_POINT('',#577026); #177079=VERTEX_POINT('',#577028); #177080=VERTEX_POINT('',#577032); #177081=VERTEX_POINT('',#577034); #177082=VERTEX_POINT('',#577038); #177083=VERTEX_POINT('',#577040); #177084=VERTEX_POINT('',#577044); #177085=VERTEX_POINT('',#577046); #177086=VERTEX_POINT('',#577050); #177087=VERTEX_POINT('',#577052); #177088=VERTEX_POINT('',#577056); #177089=VERTEX_POINT('',#577058); #177090=VERTEX_POINT('',#577062); #177091=VERTEX_POINT('',#577064); #177092=VERTEX_POINT('',#577068); #177093=VERTEX_POINT('',#577070); #177094=VERTEX_POINT('',#577074); #177095=VERTEX_POINT('',#577076); #177096=VERTEX_POINT('',#577080); #177097=VERTEX_POINT('',#577082); #177098=VERTEX_POINT('',#577086); #177099=VERTEX_POINT('',#577088); #177100=VERTEX_POINT('',#577092); #177101=VERTEX_POINT('',#577094); #177102=VERTEX_POINT('',#577098); #177103=VERTEX_POINT('',#577100); #177104=VERTEX_POINT('',#577104); #177105=VERTEX_POINT('',#577106); #177106=VERTEX_POINT('',#577110); #177107=VERTEX_POINT('',#577112); #177108=VERTEX_POINT('',#577116); #177109=VERTEX_POINT('',#577118); #177110=VERTEX_POINT('',#577122); #177111=VERTEX_POINT('',#577124); #177112=VERTEX_POINT('',#577128); #177113=VERTEX_POINT('',#577130); #177114=VERTEX_POINT('',#577134); #177115=VERTEX_POINT('',#577136); #177116=VERTEX_POINT('',#577140); #177117=VERTEX_POINT('',#577142); #177118=VERTEX_POINT('',#577146); #177119=VERTEX_POINT('',#577148); #177120=VERTEX_POINT('',#577152); #177121=VERTEX_POINT('',#577154); #177122=VERTEX_POINT('',#577158); #177123=VERTEX_POINT('',#577160); #177124=VERTEX_POINT('',#577164); #177125=VERTEX_POINT('',#577166); #177126=VERTEX_POINT('',#577170); #177127=VERTEX_POINT('',#577172); #177128=VERTEX_POINT('',#577176); #177129=VERTEX_POINT('',#577178); #177130=VERTEX_POINT('',#577182); #177131=VERTEX_POINT('',#577184); #177132=VERTEX_POINT('',#577188); #177133=VERTEX_POINT('',#577190); #177134=VERTEX_POINT('',#577194); #177135=VERTEX_POINT('',#577196); #177136=VERTEX_POINT('',#577200); #177137=VERTEX_POINT('',#577202); #177138=VERTEX_POINT('',#577206); #177139=VERTEX_POINT('',#577208); #177140=VERTEX_POINT('',#577212); #177141=VERTEX_POINT('',#577214); #177142=VERTEX_POINT('',#577218); #177143=VERTEX_POINT('',#577220); #177144=VERTEX_POINT('',#577224); #177145=VERTEX_POINT('',#577226); #177146=VERTEX_POINT('',#577230); #177147=VERTEX_POINT('',#577232); #177148=VERTEX_POINT('',#577236); #177149=VERTEX_POINT('',#577238); #177150=VERTEX_POINT('',#577242); #177151=VERTEX_POINT('',#577244); #177152=VERTEX_POINT('',#577248); #177153=VERTEX_POINT('',#577250); #177154=VERTEX_POINT('',#577259); #177155=VERTEX_POINT('',#577260); #177156=VERTEX_POINT('',#577262); #177157=VERTEX_POINT('',#577264); #177158=VERTEX_POINT('',#577268); #177159=VERTEX_POINT('',#577270); #177160=VERTEX_POINT('',#577274); #177161=VERTEX_POINT('',#577276); #177162=VERTEX_POINT('',#577278); #177163=VERTEX_POINT('',#577280); #177164=VERTEX_POINT('',#577282); #177165=VERTEX_POINT('',#577284); #177166=VERTEX_POINT('',#577286); #177167=VERTEX_POINT('',#577288); #177168=VERTEX_POINT('',#577290); #177169=VERTEX_POINT('',#577292); #177170=VERTEX_POINT('',#577294); #177171=VERTEX_POINT('',#577296); #177172=VERTEX_POINT('',#577298); #177173=VERTEX_POINT('',#577300); #177174=VERTEX_POINT('',#577302); #177175=VERTEX_POINT('',#577304); #177176=VERTEX_POINT('',#577306); #177177=VERTEX_POINT('',#577308); #177178=VERTEX_POINT('',#577310); #177179=VERTEX_POINT('',#577312); #177180=VERTEX_POINT('',#577314); #177181=VERTEX_POINT('',#577316); #177182=VERTEX_POINT('',#577318); #177183=VERTEX_POINT('',#577322); #177184=VERTEX_POINT('',#577326); #177185=VERTEX_POINT('',#577328); #177186=VERTEX_POINT('',#577332); #177187=VERTEX_POINT('',#577334); #177188=VERTEX_POINT('',#577336); #177189=VERTEX_POINT('',#577338); #177190=VERTEX_POINT('',#577342); #177191=VERTEX_POINT('',#577345); #177192=VERTEX_POINT('',#577347); #177193=VERTEX_POINT('',#577350); #177194=VERTEX_POINT('',#577351); #177195=VERTEX_POINT('',#577353); #177196=VERTEX_POINT('',#577355); #177197=VERTEX_POINT('',#577358); #177198=VERTEX_POINT('',#577359); #177199=VERTEX_POINT('',#577361); #177200=VERTEX_POINT('',#577363); #177201=VERTEX_POINT('',#577366); #177202=VERTEX_POINT('',#577367); #177203=VERTEX_POINT('',#577369); #177204=VERTEX_POINT('',#577371); #177205=VERTEX_POINT('',#577374); #177206=VERTEX_POINT('',#577375); #177207=VERTEX_POINT('',#577377); #177208=VERTEX_POINT('',#577379); #177209=VERTEX_POINT('',#577383); #177210=VERTEX_POINT('',#577384); #177211=VERTEX_POINT('',#577388); #177212=VERTEX_POINT('',#577389); #177213=VERTEX_POINT('',#577391); #177214=VERTEX_POINT('',#577393); #177215=VERTEX_POINT('',#577397); #177216=VERTEX_POINT('',#577398); #177217=VERTEX_POINT('',#577402); #177218=VERTEX_POINT('',#577403); #177219=VERTEX_POINT('',#577405); #177220=VERTEX_POINT('',#577407); #177221=VERTEX_POINT('',#577411); #177222=VERTEX_POINT('',#577412); #177223=VERTEX_POINT('',#577416); #177224=VERTEX_POINT('',#577417); #177225=VERTEX_POINT('',#577419); #177226=VERTEX_POINT('',#577421); #177227=VERTEX_POINT('',#577425); #177228=VERTEX_POINT('',#577426); #177229=VERTEX_POINT('',#577431); #177230=VERTEX_POINT('',#577432); #177231=VERTEX_POINT('',#577437); #177232=VERTEX_POINT('',#577438); #177233=VERTEX_POINT('',#577442); #177234=VERTEX_POINT('',#577443); #177235=VERTEX_POINT('',#577445); #177236=VERTEX_POINT('',#577447); #177237=VERTEX_POINT('',#577451); #177238=VERTEX_POINT('',#577453); #177239=VERTEX_POINT('',#577457); #177240=VERTEX_POINT('',#577459); #177241=VERTEX_POINT('',#577466); #177242=VERTEX_POINT('',#577468); #177243=VERTEX_POINT('',#577479); #177244=VERTEX_POINT('',#577481); #177245=VERTEX_POINT('',#577483); #177246=VERTEX_POINT('',#577496); #177247=VERTEX_POINT('',#577497); #177248=VERTEX_POINT('',#577499); #177249=VERTEX_POINT('',#577501); #177250=VERTEX_POINT('',#577505); #177251=VERTEX_POINT('',#577507); #177252=VERTEX_POINT('',#577511); #177253=VERTEX_POINT('',#577513); #177254=VERTEX_POINT('',#577521); #177255=VERTEX_POINT('',#577523); #177256=VERTEX_POINT('',#577527); #177257=VERTEX_POINT('',#577529); #177258=VERTEX_POINT('',#577537); #177259=VERTEX_POINT('',#577538); #177260=VERTEX_POINT('',#577540); #177261=VERTEX_POINT('',#577542); #177262=VERTEX_POINT('',#577570); #177263=VERTEX_POINT('',#577571); #177264=VERTEX_POINT('',#577573); #177265=VERTEX_POINT('',#577575); #177266=VERTEX_POINT('',#577579); #177267=VERTEX_POINT('',#577581); #177268=VERTEX_POINT('',#577585); #177269=VERTEX_POINT('',#577587); #177270=VERTEX_POINT('',#577595); #177271=VERTEX_POINT('',#577597); #177272=VERTEX_POINT('',#577601); #177273=VERTEX_POINT('',#577603); #177274=VERTEX_POINT('',#577611); #177275=VERTEX_POINT('',#577612); #177276=VERTEX_POINT('',#577614); #177277=VERTEX_POINT('',#577616); #177278=VERTEX_POINT('',#577620); #177279=VERTEX_POINT('',#577621); #177280=VERTEX_POINT('',#577623); #177281=VERTEX_POINT('',#577625); #177282=VERTEX_POINT('',#577629); #177283=VERTEX_POINT('',#577631); #177284=VERTEX_POINT('',#577635); #177285=VERTEX_POINT('',#577637); #177286=VERTEX_POINT('',#577645); #177287=VERTEX_POINT('',#577647); #177288=VERTEX_POINT('',#577651); #177289=VERTEX_POINT('',#577653); #177290=VERTEX_POINT('',#577661); #177291=VERTEX_POINT('',#577662); #177292=VERTEX_POINT('',#577664); #177293=VERTEX_POINT('',#577666); #177294=VERTEX_POINT('',#577670); #177295=VERTEX_POINT('',#577671); #177296=VERTEX_POINT('',#577673); #177297=VERTEX_POINT('',#577675); #177298=VERTEX_POINT('',#577679); #177299=VERTEX_POINT('',#577681); #177300=VERTEX_POINT('',#577685); #177301=VERTEX_POINT('',#577687); #177302=VERTEX_POINT('',#577695); #177303=VERTEX_POINT('',#577697); #177304=VERTEX_POINT('',#577701); #177305=VERTEX_POINT('',#577703); #177306=VERTEX_POINT('',#577711); #177307=VERTEX_POINT('',#577712); #177308=VERTEX_POINT('',#577714); #177309=VERTEX_POINT('',#577716); #177310=VERTEX_POINT('',#577774); #177311=VERTEX_POINT('',#577775); #177312=VERTEX_POINT('',#577777); #177313=VERTEX_POINT('',#577781); #177314=VERTEX_POINT('',#577782); #177315=VERTEX_POINT('',#577784); #177316=VERTEX_POINT('',#577788); #177317=VERTEX_POINT('',#577789); #177318=VERTEX_POINT('',#577791); #177319=VERTEX_POINT('',#577795); #177320=VERTEX_POINT('',#577796); #177321=VERTEX_POINT('',#577798); #177322=VERTEX_POINT('',#577802); #177323=VERTEX_POINT('',#577803); #177324=VERTEX_POINT('',#577805); #177325=VERTEX_POINT('',#577812); #177326=VERTEX_POINT('',#577813); #177327=VERTEX_POINT('',#577815); #177328=VERTEX_POINT('',#577819); #177329=VERTEX_POINT('',#577820); #177330=VERTEX_POINT('',#577822); #177331=VERTEX_POINT('',#577832); #177332=VERTEX_POINT('',#577833); #177333=VERTEX_POINT('',#577835); #177334=VERTEX_POINT('',#577872); #177335=VERTEX_POINT('',#577873); #177336=VERTEX_POINT('',#577875); #177337=VERTEX_POINT('',#577879); #177338=VERTEX_POINT('',#577880); #177339=VERTEX_POINT('',#577882); #177340=VERTEX_POINT('',#577886); #177341=VERTEX_POINT('',#577887); #177342=VERTEX_POINT('',#577889); #177343=VERTEX_POINT('',#577893); #177344=VERTEX_POINT('',#577894); #177345=VERTEX_POINT('',#577896); #177346=VERTEX_POINT('',#577900); #177347=VERTEX_POINT('',#577901); #177348=VERTEX_POINT('',#577903); #177349=VERTEX_POINT('',#577910); #177350=VERTEX_POINT('',#577911); #177351=VERTEX_POINT('',#577913); #177352=VERTEX_POINT('',#577917); #177353=VERTEX_POINT('',#577918); #177354=VERTEX_POINT('',#577920); #177355=VERTEX_POINT('',#577930); #177356=VERTEX_POINT('',#577931); #177357=VERTEX_POINT('',#577933); #177358=VERTEX_POINT('',#577970); #177359=VERTEX_POINT('',#577971); #177360=VERTEX_POINT('',#577973); #177361=VERTEX_POINT('',#577975); #177362=VERTEX_POINT('',#577979); #177363=VERTEX_POINT('',#577981); #177364=VERTEX_POINT('',#577985); #177365=VERTEX_POINT('',#577987); #177366=VERTEX_POINT('',#577996); #177367=VERTEX_POINT('',#577997); #177368=VERTEX_POINT('',#577999); #177369=VERTEX_POINT('',#578001); #177370=VERTEX_POINT('',#578005); #177371=VERTEX_POINT('',#578006); #177372=VERTEX_POINT('',#578008); #177373=VERTEX_POINT('',#578010); #177374=VERTEX_POINT('',#578022); #177375=VERTEX_POINT('',#578023); #177376=VERTEX_POINT('',#578025); #177377=VERTEX_POINT('',#578027); #177378=VERTEX_POINT('',#578031); #177379=VERTEX_POINT('',#578033); #177380=VERTEX_POINT('',#578037); #177381=VERTEX_POINT('',#578039); #177382=VERTEX_POINT('',#578077); #177383=VERTEX_POINT('',#578078); #177384=VERTEX_POINT('',#578080); #177385=VERTEX_POINT('',#578082); #177386=VERTEX_POINT('',#578084); #177387=VERTEX_POINT('',#578086); #177388=VERTEX_POINT('',#578088); #177389=VERTEX_POINT('',#578090); #177390=VERTEX_POINT('',#578092); #177391=VERTEX_POINT('',#578094); #177392=VERTEX_POINT('',#578096); #177393=VERTEX_POINT('',#578098); #177394=VERTEX_POINT('',#578100); #177395=VERTEX_POINT('',#578102); #177396=VERTEX_POINT('',#578104); #177397=VERTEX_POINT('',#578106); #177398=VERTEX_POINT('',#578110); #177399=VERTEX_POINT('',#578111); #177400=VERTEX_POINT('',#578113); #177401=VERTEX_POINT('',#578115); #177402=VERTEX_POINT('',#578119); #177403=VERTEX_POINT('',#578120); #177404=VERTEX_POINT('',#578122); #177405=VERTEX_POINT('',#578124); #177406=VERTEX_POINT('',#578126); #177407=VERTEX_POINT('',#578128); #177408=VERTEX_POINT('',#578130); #177409=VERTEX_POINT('',#578132); #177410=VERTEX_POINT('',#578136); #177411=VERTEX_POINT('',#578137); #177412=VERTEX_POINT('',#578139); #177413=VERTEX_POINT('',#578141); #177414=VERTEX_POINT('',#578143); #177415=VERTEX_POINT('',#578145); #177416=VERTEX_POINT('',#578147); #177417=VERTEX_POINT('',#578149); #177418=VERTEX_POINT('',#578159); #177419=VERTEX_POINT('',#578160); #177420=VERTEX_POINT('',#578162); #177421=VERTEX_POINT('',#578164); #177422=VERTEX_POINT('',#578166); #177423=VERTEX_POINT('',#578168); #177424=VERTEX_POINT('',#578170); #177425=VERTEX_POINT('',#578172); #177426=VERTEX_POINT('',#578174); #177427=VERTEX_POINT('',#578176); #177428=VERTEX_POINT('',#578178); #177429=VERTEX_POINT('',#578180); #177430=VERTEX_POINT('',#578182); #177431=VERTEX_POINT('',#578184); #177432=VERTEX_POINT('',#578186); #177433=VERTEX_POINT('',#578188); #177434=VERTEX_POINT('',#578192); #177435=VERTEX_POINT('',#578194); #177436=VERTEX_POINT('',#578198); #177437=VERTEX_POINT('',#578199); #177438=VERTEX_POINT('',#578201); #177439=VERTEX_POINT('',#578203); #177440=VERTEX_POINT('',#578205); #177441=VERTEX_POINT('',#578207); #177442=VERTEX_POINT('',#578209); #177443=VERTEX_POINT('',#578211); #177444=VERTEX_POINT('',#578213); #177445=VERTEX_POINT('',#578215); #177446=VERTEX_POINT('',#578217); #177447=VERTEX_POINT('',#578219); #177448=VERTEX_POINT('',#578221); #177449=VERTEX_POINT('',#578223); #177450=VERTEX_POINT('',#578225); #177451=VERTEX_POINT('',#578227); #177452=VERTEX_POINT('',#578229); #177453=VERTEX_POINT('',#578231); #177454=VERTEX_POINT('',#578233); #177455=VERTEX_POINT('',#578237); #177456=VERTEX_POINT('',#578239); #177457=VERTEX_POINT('',#578241); #177458=VERTEX_POINT('',#578243); #177459=VERTEX_POINT('',#578247); #177460=VERTEX_POINT('',#578248); #177461=VERTEX_POINT('',#578251); #177462=VERTEX_POINT('',#578253); #177463=VERTEX_POINT('',#578257); #177464=VERTEX_POINT('',#578258); #177465=VERTEX_POINT('',#578264); #177466=VERTEX_POINT('',#578267); #177467=VERTEX_POINT('',#578269); #177468=VERTEX_POINT('',#578276); #177469=VERTEX_POINT('',#578277); #177470=VERTEX_POINT('',#578279); #177471=VERTEX_POINT('',#578281); #177472=VERTEX_POINT('',#578285); #177473=VERTEX_POINT('',#578286); #177474=VERTEX_POINT('',#578288); #177475=VERTEX_POINT('',#578290); #177476=VERTEX_POINT('',#578294); #177477=VERTEX_POINT('',#578295); #177478=VERTEX_POINT('',#578297); #177479=VERTEX_POINT('',#578299); #177480=VERTEX_POINT('',#578303); #177481=VERTEX_POINT('',#578304); #177482=VERTEX_POINT('',#578306); #177483=VERTEX_POINT('',#578308); #177484=VERTEX_POINT('',#578312); #177485=VERTEX_POINT('',#578313); #177486=VERTEX_POINT('',#578315); #177487=VERTEX_POINT('',#578317); #177488=VERTEX_POINT('',#578321); #177489=VERTEX_POINT('',#578322); #177490=VERTEX_POINT('',#578324); #177491=VERTEX_POINT('',#578326); #177492=VERTEX_POINT('',#578330); #177493=VERTEX_POINT('',#578331); #177494=VERTEX_POINT('',#578333); #177495=VERTEX_POINT('',#578335); #177496=VERTEX_POINT('',#578339); #177497=VERTEX_POINT('',#578340); #177498=VERTEX_POINT('',#578342); #177499=VERTEX_POINT('',#578344); #177500=VERTEX_POINT('',#578348); #177501=VERTEX_POINT('',#578349); #177502=VERTEX_POINT('',#578351); #177503=VERTEX_POINT('',#578353); #177504=VERTEX_POINT('',#578357); #177505=VERTEX_POINT('',#578358); #177506=VERTEX_POINT('',#578360); #177507=VERTEX_POINT('',#578362); #177508=VERTEX_POINT('',#578366); #177509=VERTEX_POINT('',#578367); #177510=VERTEX_POINT('',#578369); #177511=VERTEX_POINT('',#578371); #177512=VERTEX_POINT('',#578375); #177513=VERTEX_POINT('',#578376); #177514=VERTEX_POINT('',#578378); #177515=VERTEX_POINT('',#578380); #177516=VERTEX_POINT('',#578384); #177517=VERTEX_POINT('',#578386); #177518=VERTEX_POINT('',#578390); #177519=VERTEX_POINT('',#578392); #177520=VERTEX_POINT('',#578396); #177521=VERTEX_POINT('',#578397); #177522=VERTEX_POINT('',#578399); #177523=VERTEX_POINT('',#578401); #177524=VERTEX_POINT('',#578408); #177525=VERTEX_POINT('',#578410); #177526=VERTEX_POINT('',#578414); #177527=VERTEX_POINT('',#578416); #177528=VERTEX_POINT('',#578420); #177529=VERTEX_POINT('',#578421); #177530=VERTEX_POINT('',#578423); #177531=VERTEX_POINT('',#578425); #177532=VERTEX_POINT('',#578432); #177533=VERTEX_POINT('',#578434); #177534=VERTEX_POINT('',#578438); #177535=VERTEX_POINT('',#578440); #177536=VERTEX_POINT('',#578444); #177537=VERTEX_POINT('',#578445); #177538=VERTEX_POINT('',#578447); #177539=VERTEX_POINT('',#578449); #177540=VERTEX_POINT('',#578456); #177541=VERTEX_POINT('',#578458); #177542=VERTEX_POINT('',#578462); #177543=VERTEX_POINT('',#578464); #177544=VERTEX_POINT('',#578468); #177545=VERTEX_POINT('',#578469); #177546=VERTEX_POINT('',#578471); #177547=VERTEX_POINT('',#578473); #177548=VERTEX_POINT('',#578480); #177549=VERTEX_POINT('',#578482); #177550=VERTEX_POINT('',#578486); #177551=VERTEX_POINT('',#578488); #177552=VERTEX_POINT('',#578492); #177553=VERTEX_POINT('',#578493); #177554=VERTEX_POINT('',#578495); #177555=VERTEX_POINT('',#578497); #177556=VERTEX_POINT('',#578504); #177557=VERTEX_POINT('',#578506); #177558=VERTEX_POINT('',#578510); #177559=VERTEX_POINT('',#578512); #177560=VERTEX_POINT('',#578516); #177561=VERTEX_POINT('',#578517); #177562=VERTEX_POINT('',#578519); #177563=VERTEX_POINT('',#578521); #177564=VERTEX_POINT('',#578528); #177565=VERTEX_POINT('',#578530); #177566=VERTEX_POINT('',#578534); #177567=VERTEX_POINT('',#578536); #177568=VERTEX_POINT('',#578540); #177569=VERTEX_POINT('',#578542); #177570=VERTEX_POINT('',#578546); #177571=VERTEX_POINT('',#578548); #177572=VERTEX_POINT('',#578552); #177573=VERTEX_POINT('',#578553); #177574=VERTEX_POINT('',#578555); #177575=VERTEX_POINT('',#578557); #177576=VERTEX_POINT('',#578564); #177577=VERTEX_POINT('',#578566); #177578=VERTEX_POINT('',#578570); #177579=VERTEX_POINT('',#578572); #177580=VERTEX_POINT('',#578576); #177581=VERTEX_POINT('',#578577); #177582=VERTEX_POINT('',#578579); #177583=VERTEX_POINT('',#578581); #177584=VERTEX_POINT('',#578588); #177585=VERTEX_POINT('',#578590); #177586=VERTEX_POINT('',#578594); #177587=VERTEX_POINT('',#578596); #177588=VERTEX_POINT('',#578600); #177589=VERTEX_POINT('',#578601); #177590=VERTEX_POINT('',#578603); #177591=VERTEX_POINT('',#578605); #177592=VERTEX_POINT('',#578612); #177593=VERTEX_POINT('',#578614); #177594=VERTEX_POINT('',#578618); #177595=VERTEX_POINT('',#578620); #177596=VERTEX_POINT('',#578624); #177597=VERTEX_POINT('',#578625); #177598=VERTEX_POINT('',#578627); #177599=VERTEX_POINT('',#578629); #177600=VERTEX_POINT('',#578636); #177601=VERTEX_POINT('',#578638); #177602=VERTEX_POINT('',#578642); #177603=VERTEX_POINT('',#578644); #177604=VERTEX_POINT('',#578648); #177605=VERTEX_POINT('',#578649); #177606=VERTEX_POINT('',#578651); #177607=VERTEX_POINT('',#578653); #177608=VERTEX_POINT('',#578660); #177609=VERTEX_POINT('',#578662); #177610=VERTEX_POINT('',#578666); #177611=VERTEX_POINT('',#578668); #177612=VERTEX_POINT('',#578672); #177613=VERTEX_POINT('',#578673); #177614=VERTEX_POINT('',#578675); #177615=VERTEX_POINT('',#578680); #177616=VERTEX_POINT('',#578682); #177617=VERTEX_POINT('',#578684); #177618=VERTEX_POINT('',#578686); #177619=VERTEX_POINT('',#578690); #177620=VERTEX_POINT('',#578709); #177621=VERTEX_POINT('',#578711); #177622=VERTEX_POINT('',#578713); #177623=VERTEX_POINT('',#578715); #177624=VERTEX_POINT('',#578719); #177625=VERTEX_POINT('',#578721); #177626=VERTEX_POINT('',#578723); #177627=VERTEX_POINT('',#578725); #177628=VERTEX_POINT('',#578729); #177629=VERTEX_POINT('',#578730); #177630=VERTEX_POINT('',#578732); #177631=VERTEX_POINT('',#578734); #177632=VERTEX_POINT('',#578738); #177633=VERTEX_POINT('',#578740); #177634=VERTEX_POINT('',#578744); #177635=VERTEX_POINT('',#578745); #177636=VERTEX_POINT('',#578747); #177637=VERTEX_POINT('',#578749); #177638=VERTEX_POINT('',#578753); #177639=VERTEX_POINT('',#578754); #177640=VERTEX_POINT('',#578756); #177641=VERTEX_POINT('',#578758); #177642=VERTEX_POINT('',#578760); #177643=VERTEX_POINT('',#578762); #177644=VERTEX_POINT('',#578764); #177645=VERTEX_POINT('',#578766); #177646=VERTEX_POINT('',#578768); #177647=VERTEX_POINT('',#578770); #177648=VERTEX_POINT('',#578772); #177649=VERTEX_POINT('',#578774); #177650=VERTEX_POINT('',#578776); #177651=VERTEX_POINT('',#578778); #177652=VERTEX_POINT('',#578782); #177653=VERTEX_POINT('',#578783); #177654=VERTEX_POINT('',#578785); #177655=VERTEX_POINT('',#578787); #177656=VERTEX_POINT('',#578791); #177657=VERTEX_POINT('',#578793); #177658=VERTEX_POINT('',#578797); #177659=VERTEX_POINT('',#578798); #177660=VERTEX_POINT('',#578800); #177661=VERTEX_POINT('',#578802); #177662=VERTEX_POINT('',#578804); #177663=VERTEX_POINT('',#578806); #177664=VERTEX_POINT('',#578808); #177665=VERTEX_POINT('',#578810); #177666=VERTEX_POINT('',#578812); #177667=VERTEX_POINT('',#578814); #177668=VERTEX_POINT('',#578825); #177669=VERTEX_POINT('',#578828); #177670=VERTEX_POINT('',#578830); #177671=VERTEX_POINT('',#578832); #177672=VERTEX_POINT('',#578834); #177673=VERTEX_POINT('',#578836); #177674=VERTEX_POINT('',#578840); #177675=VERTEX_POINT('',#578841); #177676=VERTEX_POINT('',#578843); #177677=VERTEX_POINT('',#578845); #177678=VERTEX_POINT('',#578858); #177679=VERTEX_POINT('',#578860); #177680=VERTEX_POINT('',#578864); #177681=VERTEX_POINT('',#578866); #177682=VERTEX_POINT('',#578874); #177683=VERTEX_POINT('',#578882); #177684=VERTEX_POINT('',#578885); #177685=VERTEX_POINT('',#578887); #177686=VERTEX_POINT('',#578889); #177687=VERTEX_POINT('',#578892); #177688=VERTEX_POINT('',#578894); #177689=VERTEX_POINT('',#578896); #177690=VERTEX_POINT('',#578900); #177691=VERTEX_POINT('',#578902); #177692=VERTEX_POINT('',#578904); #177693=VERTEX_POINT('',#578906); #177694=VERTEX_POINT('',#578910); #177695=VERTEX_POINT('',#578912); #177696=VERTEX_POINT('',#578916); #177697=VERTEX_POINT('',#578917); #177698=VERTEX_POINT('',#578920); #177699=VERTEX_POINT('',#578926); #177700=VERTEX_POINT('',#578928); #177701=VERTEX_POINT('',#578931); #177702=VERTEX_POINT('',#578933); #177703=VERTEX_POINT('',#578937); #177704=VERTEX_POINT('',#578938); #177705=VERTEX_POINT('',#578940); #177706=VERTEX_POINT('',#578942); #177707=VERTEX_POINT('',#578944); #177708=VERTEX_POINT('',#578946); #177709=VERTEX_POINT('',#578948); #177710=VERTEX_POINT('',#578950); #177711=VERTEX_POINT('',#578953); #177712=VERTEX_POINT('',#578954); #177713=VERTEX_POINT('',#578956); #177714=VERTEX_POINT('',#578958); #177715=VERTEX_POINT('',#578962); #177716=VERTEX_POINT('',#578964); #177717=VERTEX_POINT('',#578968); #177718=VERTEX_POINT('',#578970); #177719=VERTEX_POINT('',#578974); #177720=VERTEX_POINT('',#578976); #177721=VERTEX_POINT('',#578980); #177722=VERTEX_POINT('',#578982); #177723=VERTEX_POINT('',#578986); #177724=VERTEX_POINT('',#578988); #177725=VERTEX_POINT('',#578992); #177726=VERTEX_POINT('',#578993); #177727=VERTEX_POINT('',#578995); #177728=VERTEX_POINT('',#578997); #177729=VERTEX_POINT('',#579001); #177730=VERTEX_POINT('',#579002); #177731=VERTEX_POINT('',#579004); #177732=VERTEX_POINT('',#579006); #177733=VERTEX_POINT('',#579010); #177734=VERTEX_POINT('',#579012); #177735=VERTEX_POINT('',#579019); #177736=VERTEX_POINT('',#579021); #177737=VERTEX_POINT('',#579025); #177738=VERTEX_POINT('',#579027); #177739=VERTEX_POINT('',#579077); #177740=VERTEX_POINT('',#579079); #177741=VERTEX_POINT('',#579087); #177742=VERTEX_POINT('',#579089); #177743=VERTEX_POINT('',#579091); #177744=VERTEX_POINT('',#579094); #177745=VERTEX_POINT('',#579098); #177746=VERTEX_POINT('',#579099); #177747=VERTEX_POINT('',#579101); #177748=VERTEX_POINT('',#579103); #177749=VERTEX_POINT('',#579112); #177750=VERTEX_POINT('',#579114); #177751=VERTEX_POINT('',#579129); #177752=VERTEX_POINT('',#579133); #177753=VERTEX_POINT('',#579135); #177754=VERTEX_POINT('',#579138); #177755=VERTEX_POINT('',#579146); #177756=VERTEX_POINT('',#579148); #177757=VERTEX_POINT('',#579151); #177758=VERTEX_POINT('',#579155); #177759=VERTEX_POINT('',#579158); #177760=VERTEX_POINT('',#579162); #177761=VERTEX_POINT('',#579170); #177762=VERTEX_POINT('',#579174); #177763=VERTEX_POINT('',#579179); #177764=VERTEX_POINT('',#579181); #177765=VERTEX_POINT('',#579244); #177766=VERTEX_POINT('',#579245); #177767=VERTEX_POINT('',#579247); #177768=VERTEX_POINT('',#579250); #177769=VERTEX_POINT('',#579254); #177770=VERTEX_POINT('',#579259); #177771=VERTEX_POINT('',#579261); #177772=VERTEX_POINT('',#579267); #177773=VERTEX_POINT('',#579273); #177774=VERTEX_POINT('',#579275); #177775=VERTEX_POINT('',#579280); #177776=VERTEX_POINT('',#579282); #177777=VERTEX_POINT('',#579284); #177778=VERTEX_POINT('',#579288); #177779=VERTEX_POINT('',#579290); #177780=VERTEX_POINT('',#579292); #177781=VERTEX_POINT('',#579294); #177782=VERTEX_POINT('',#579296); #177783=VERTEX_POINT('',#579298); #177784=VERTEX_POINT('',#579300); #177785=VERTEX_POINT('',#579302); #177786=VERTEX_POINT('',#579304); #177787=VERTEX_POINT('',#579306); #177788=VERTEX_POINT('',#579308); #177789=VERTEX_POINT('',#579310); #177790=VERTEX_POINT('',#579312); #177791=VERTEX_POINT('',#579314); #177792=VERTEX_POINT('',#579318); #177793=VERTEX_POINT('',#579322); #177794=VERTEX_POINT('',#579330); #177795=VERTEX_POINT('',#579334); #177796=VERTEX_POINT('',#579342); #177797=VERTEX_POINT('',#579346); #177798=VERTEX_POINT('',#579350); #177799=VERTEX_POINT('',#579354); #177800=VERTEX_POINT('',#579358); #177801=VERTEX_POINT('',#579362); #177802=VERTEX_POINT('',#579366); #177803=VERTEX_POINT('',#579370); #177804=VERTEX_POINT('',#579374); #177805=VERTEX_POINT('',#579378); #177806=VERTEX_POINT('',#579382); #177807=VERTEX_POINT('',#579386); #177808=VERTEX_POINT('',#579390); #177809=VERTEX_POINT('',#579394); #177810=VERTEX_POINT('',#579400); #177811=VERTEX_POINT('',#579404); #177812=VERTEX_POINT('',#579408); #177813=VERTEX_POINT('',#579412); #177814=VERTEX_POINT('',#579416); #177815=VERTEX_POINT('',#579420); #177816=VERTEX_POINT('',#579424); #177817=VERTEX_POINT('',#579428); #177818=VERTEX_POINT('',#579432); #177819=VERTEX_POINT('',#579436); #177820=VERTEX_POINT('',#579440); #177821=VERTEX_POINT('',#579444); #177822=VERTEX_POINT('',#579448); #177823=VERTEX_POINT('',#579452); #177824=VERTEX_POINT('',#579475); #177825=VERTEX_POINT('',#579476); #177826=VERTEX_POINT('',#579478); #177827=VERTEX_POINT('',#579480); #177828=VERTEX_POINT('',#579484); #177829=VERTEX_POINT('',#579486); #177830=VERTEX_POINT('',#579488); #177831=VERTEX_POINT('',#579490); #177832=VERTEX_POINT('',#579492); #177833=VERTEX_POINT('',#579494); #177834=VERTEX_POINT('',#579496); #177835=VERTEX_POINT('',#579498); #177836=VERTEX_POINT('',#579500); #177837=VERTEX_POINT('',#579502); #177838=VERTEX_POINT('',#579506); #177839=VERTEX_POINT('',#579507); #177840=VERTEX_POINT('',#579509); #177841=VERTEX_POINT('',#579511); #177842=VERTEX_POINT('',#579513); #177843=VERTEX_POINT('',#579515); #177844=VERTEX_POINT('',#579517); #177845=VERTEX_POINT('',#579519); #177846=VERTEX_POINT('',#579521); #177847=VERTEX_POINT('',#579523); #177848=VERTEX_POINT('',#579525); #177849=VERTEX_POINT('',#579527); #177850=VERTEX_POINT('',#579531); #177851=VERTEX_POINT('',#579533); #177852=VERTEX_POINT('',#579537); #177853=VERTEX_POINT('',#579538); #177854=VERTEX_POINT('',#579540); #177855=VERTEX_POINT('',#579543); #177856=VERTEX_POINT('',#579547); #177857=VERTEX_POINT('',#579548); #177858=VERTEX_POINT('',#579553); #177859=VERTEX_POINT('',#579556); #177860=VERTEX_POINT('',#579586); #177861=VERTEX_POINT('',#579587); #177862=VERTEX_POINT('',#579589); #177863=VERTEX_POINT('',#579591); #177864=VERTEX_POINT('',#579595); #177865=VERTEX_POINT('',#579597); #177866=VERTEX_POINT('',#579599); #177867=VERTEX_POINT('',#579601); #177868=VERTEX_POINT('',#579603); #177869=VERTEX_POINT('',#579605); #177870=VERTEX_POINT('',#579607); #177871=VERTEX_POINT('',#579609); #177872=VERTEX_POINT('',#579611); #177873=VERTEX_POINT('',#579613); #177874=VERTEX_POINT('',#579617); #177875=VERTEX_POINT('',#579618); #177876=VERTEX_POINT('',#579620); #177877=VERTEX_POINT('',#579622); #177878=VERTEX_POINT('',#579624); #177879=VERTEX_POINT('',#579626); #177880=VERTEX_POINT('',#579628); #177881=VERTEX_POINT('',#579630); #177882=VERTEX_POINT('',#579632); #177883=VERTEX_POINT('',#579634); #177884=VERTEX_POINT('',#579636); #177885=VERTEX_POINT('',#579638); #177886=VERTEX_POINT('',#579642); #177887=VERTEX_POINT('',#579644); #177888=VERTEX_POINT('',#579648); #177889=VERTEX_POINT('',#579649); #177890=VERTEX_POINT('',#579651); #177891=VERTEX_POINT('',#579654); #177892=VERTEX_POINT('',#579658); #177893=VERTEX_POINT('',#579659); #177894=VERTEX_POINT('',#579664); #177895=VERTEX_POINT('',#579667); #177896=VERTEX_POINT('',#579697); #177897=VERTEX_POINT('',#579698); #177898=VERTEX_POINT('',#579700); #177899=VERTEX_POINT('',#579702); #177900=VERTEX_POINT('',#579706); #177901=VERTEX_POINT('',#579708); #177902=VERTEX_POINT('',#579710); #177903=VERTEX_POINT('',#579712); #177904=VERTEX_POINT('',#579714); #177905=VERTEX_POINT('',#579716); #177906=VERTEX_POINT('',#579718); #177907=VERTEX_POINT('',#579720); #177908=VERTEX_POINT('',#579722); #177909=VERTEX_POINT('',#579724); #177910=VERTEX_POINT('',#579728); #177911=VERTEX_POINT('',#579729); #177912=VERTEX_POINT('',#579731); #177913=VERTEX_POINT('',#579733); #177914=VERTEX_POINT('',#579735); #177915=VERTEX_POINT('',#579737); #177916=VERTEX_POINT('',#579739); #177917=VERTEX_POINT('',#579741); #177918=VERTEX_POINT('',#579743); #177919=VERTEX_POINT('',#579745); #177920=VERTEX_POINT('',#579747); #177921=VERTEX_POINT('',#579749); #177922=VERTEX_POINT('',#579753); #177923=VERTEX_POINT('',#579755); #177924=VERTEX_POINT('',#579759); #177925=VERTEX_POINT('',#579760); #177926=VERTEX_POINT('',#579762); #177927=VERTEX_POINT('',#579765); #177928=VERTEX_POINT('',#579769); #177929=VERTEX_POINT('',#579770); #177930=VERTEX_POINT('',#579775); #177931=VERTEX_POINT('',#579778); #177932=VERTEX_POINT('',#579808); #177933=VERTEX_POINT('',#579809); #177934=VERTEX_POINT('',#579811); #177935=VERTEX_POINT('',#579813); #177936=VERTEX_POINT('',#579817); #177937=VERTEX_POINT('',#579819); #177938=VERTEX_POINT('',#579821); #177939=VERTEX_POINT('',#579823); #177940=VERTEX_POINT('',#579825); #177941=VERTEX_POINT('',#579827); #177942=VERTEX_POINT('',#579829); #177943=VERTEX_POINT('',#579831); #177944=VERTEX_POINT('',#579833); #177945=VERTEX_POINT('',#579835); #177946=VERTEX_POINT('',#579839); #177947=VERTEX_POINT('',#579840); #177948=VERTEX_POINT('',#579842); #177949=VERTEX_POINT('',#579844); #177950=VERTEX_POINT('',#579846); #177951=VERTEX_POINT('',#579848); #177952=VERTEX_POINT('',#579850); #177953=VERTEX_POINT('',#579852); #177954=VERTEX_POINT('',#579854); #177955=VERTEX_POINT('',#579856); #177956=VERTEX_POINT('',#579858); #177957=VERTEX_POINT('',#579860); #177958=VERTEX_POINT('',#579864); #177959=VERTEX_POINT('',#579866); #177960=VERTEX_POINT('',#579870); #177961=VERTEX_POINT('',#579871); #177962=VERTEX_POINT('',#579873); #177963=VERTEX_POINT('',#579876); #177964=VERTEX_POINT('',#579880); #177965=VERTEX_POINT('',#579881); #177966=VERTEX_POINT('',#579886); #177967=VERTEX_POINT('',#579889); #177968=VERTEX_POINT('',#579919); #177969=VERTEX_POINT('',#579920); #177970=VERTEX_POINT('',#579922); #177971=VERTEX_POINT('',#579924); #177972=VERTEX_POINT('',#579928); #177973=VERTEX_POINT('',#579930); #177974=VERTEX_POINT('',#579932); #177975=VERTEX_POINT('',#579934); #177976=VERTEX_POINT('',#579936); #177977=VERTEX_POINT('',#579938); #177978=VERTEX_POINT('',#579940); #177979=VERTEX_POINT('',#579942); #177980=VERTEX_POINT('',#579944); #177981=VERTEX_POINT('',#579946); #177982=VERTEX_POINT('',#579950); #177983=VERTEX_POINT('',#579951); #177984=VERTEX_POINT('',#579953); #177985=VERTEX_POINT('',#579955); #177986=VERTEX_POINT('',#579957); #177987=VERTEX_POINT('',#579959); #177988=VERTEX_POINT('',#579961); #177989=VERTEX_POINT('',#579963); #177990=VERTEX_POINT('',#579965); #177991=VERTEX_POINT('',#579967); #177992=VERTEX_POINT('',#579969); #177993=VERTEX_POINT('',#579971); #177994=VERTEX_POINT('',#579975); #177995=VERTEX_POINT('',#579977); #177996=VERTEX_POINT('',#579981); #177997=VERTEX_POINT('',#579982); #177998=VERTEX_POINT('',#579984); #177999=VERTEX_POINT('',#579987); #178000=VERTEX_POINT('',#579991); #178001=VERTEX_POINT('',#579992); #178002=VERTEX_POINT('',#579997); #178003=VERTEX_POINT('',#580000); #178004=VERTEX_POINT('',#580030); #178005=VERTEX_POINT('',#580031); #178006=VERTEX_POINT('',#580033); #178007=VERTEX_POINT('',#580035); #178008=VERTEX_POINT('',#580039); #178009=VERTEX_POINT('',#580041); #178010=VERTEX_POINT('',#580043); #178011=VERTEX_POINT('',#580045); #178012=VERTEX_POINT('',#580047); #178013=VERTEX_POINT('',#580049); #178014=VERTEX_POINT('',#580051); #178015=VERTEX_POINT('',#580053); #178016=VERTEX_POINT('',#580055); #178017=VERTEX_POINT('',#580057); #178018=VERTEX_POINT('',#580061); #178019=VERTEX_POINT('',#580062); #178020=VERTEX_POINT('',#580064); #178021=VERTEX_POINT('',#580066); #178022=VERTEX_POINT('',#580068); #178023=VERTEX_POINT('',#580070); #178024=VERTEX_POINT('',#580072); #178025=VERTEX_POINT('',#580074); #178026=VERTEX_POINT('',#580076); #178027=VERTEX_POINT('',#580078); #178028=VERTEX_POINT('',#580080); #178029=VERTEX_POINT('',#580082); #178030=VERTEX_POINT('',#580086); #178031=VERTEX_POINT('',#580088); #178032=VERTEX_POINT('',#580092); #178033=VERTEX_POINT('',#580093); #178034=VERTEX_POINT('',#580095); #178035=VERTEX_POINT('',#580098); #178036=VERTEX_POINT('',#580102); #178037=VERTEX_POINT('',#580103); #178038=VERTEX_POINT('',#580108); #178039=VERTEX_POINT('',#580111); #178040=VERTEX_POINT('',#580141); #178041=VERTEX_POINT('',#580142); #178042=VERTEX_POINT('',#580144); #178043=VERTEX_POINT('',#580146); #178044=VERTEX_POINT('',#580150); #178045=VERTEX_POINT('',#580152); #178046=VERTEX_POINT('',#580154); #178047=VERTEX_POINT('',#580156); #178048=VERTEX_POINT('',#580158); #178049=VERTEX_POINT('',#580160); #178050=VERTEX_POINT('',#580162); #178051=VERTEX_POINT('',#580164); #178052=VERTEX_POINT('',#580166); #178053=VERTEX_POINT('',#580168); #178054=VERTEX_POINT('',#580172); #178055=VERTEX_POINT('',#580173); #178056=VERTEX_POINT('',#580175); #178057=VERTEX_POINT('',#580177); #178058=VERTEX_POINT('',#580179); #178059=VERTEX_POINT('',#580181); #178060=VERTEX_POINT('',#580183); #178061=VERTEX_POINT('',#580185); #178062=VERTEX_POINT('',#580187); #178063=VERTEX_POINT('',#580189); #178064=VERTEX_POINT('',#580191); #178065=VERTEX_POINT('',#580193); #178066=VERTEX_POINT('',#580197); #178067=VERTEX_POINT('',#580199); #178068=VERTEX_POINT('',#580203); #178069=VERTEX_POINT('',#580204); #178070=VERTEX_POINT('',#580206); #178071=VERTEX_POINT('',#580209); #178072=VERTEX_POINT('',#580213); #178073=VERTEX_POINT('',#580214); #178074=VERTEX_POINT('',#580219); #178075=VERTEX_POINT('',#580222); #178076=VERTEX_POINT('',#580252); #178077=VERTEX_POINT('',#580253); #178078=VERTEX_POINT('',#580255); #178079=VERTEX_POINT('',#580257); #178080=VERTEX_POINT('',#580261); #178081=VERTEX_POINT('',#580263); #178082=VERTEX_POINT('',#580265); #178083=VERTEX_POINT('',#580267); #178084=VERTEX_POINT('',#580269); #178085=VERTEX_POINT('',#580271); #178086=VERTEX_POINT('',#580273); #178087=VERTEX_POINT('',#580275); #178088=VERTEX_POINT('',#580277); #178089=VERTEX_POINT('',#580279); #178090=VERTEX_POINT('',#580283); #178091=VERTEX_POINT('',#580284); #178092=VERTEX_POINT('',#580286); #178093=VERTEX_POINT('',#580288); #178094=VERTEX_POINT('',#580290); #178095=VERTEX_POINT('',#580292); #178096=VERTEX_POINT('',#580294); #178097=VERTEX_POINT('',#580296); #178098=VERTEX_POINT('',#580298); #178099=VERTEX_POINT('',#580300); #178100=VERTEX_POINT('',#580302); #178101=VERTEX_POINT('',#580304); #178102=VERTEX_POINT('',#580308); #178103=VERTEX_POINT('',#580310); #178104=VERTEX_POINT('',#580314); #178105=VERTEX_POINT('',#580315); #178106=VERTEX_POINT('',#580317); #178107=VERTEX_POINT('',#580320); #178108=VERTEX_POINT('',#580324); #178109=VERTEX_POINT('',#580325); #178110=VERTEX_POINT('',#580330); #178111=VERTEX_POINT('',#580332); #178112=VERTEX_POINT('',#580363); #178113=VERTEX_POINT('',#580364); #178114=VERTEX_POINT('',#580366); #178115=VERTEX_POINT('',#580368); #178116=VERTEX_POINT('',#580372); #178117=VERTEX_POINT('',#580374); #178118=VERTEX_POINT('',#580376); #178119=VERTEX_POINT('',#580378); #178120=VERTEX_POINT('',#580380); #178121=VERTEX_POINT('',#580382); #178122=VERTEX_POINT('',#580384); #178123=VERTEX_POINT('',#580386); #178124=VERTEX_POINT('',#580388); #178125=VERTEX_POINT('',#580390); #178126=VERTEX_POINT('',#580394); #178127=VERTEX_POINT('',#580395); #178128=VERTEX_POINT('',#580397); #178129=VERTEX_POINT('',#580399); #178130=VERTEX_POINT('',#580401); #178131=VERTEX_POINT('',#580403); #178132=VERTEX_POINT('',#580405); #178133=VERTEX_POINT('',#580407); #178134=VERTEX_POINT('',#580409); #178135=VERTEX_POINT('',#580411); #178136=VERTEX_POINT('',#580413); #178137=VERTEX_POINT('',#580415); #178138=VERTEX_POINT('',#580419); #178139=VERTEX_POINT('',#580421); #178140=VERTEX_POINT('',#580425); #178141=VERTEX_POINT('',#580426); #178142=VERTEX_POINT('',#580428); #178143=VERTEX_POINT('',#580431); #178144=VERTEX_POINT('',#580435); #178145=VERTEX_POINT('',#580436); #178146=VERTEX_POINT('',#580441); #178147=VERTEX_POINT('',#580443); #178148=VERTEX_POINT('',#580474); #178149=VERTEX_POINT('',#580475); #178150=VERTEX_POINT('',#580477); #178151=VERTEX_POINT('',#580479); #178152=VERTEX_POINT('',#580483); #178153=VERTEX_POINT('',#580485); #178154=VERTEX_POINT('',#580487); #178155=VERTEX_POINT('',#580489); #178156=VERTEX_POINT('',#580491); #178157=VERTEX_POINT('',#580493); #178158=VERTEX_POINT('',#580495); #178159=VERTEX_POINT('',#580497); #178160=VERTEX_POINT('',#580499); #178161=VERTEX_POINT('',#580501); #178162=VERTEX_POINT('',#580505); #178163=VERTEX_POINT('',#580506); #178164=VERTEX_POINT('',#580508); #178165=VERTEX_POINT('',#580510); #178166=VERTEX_POINT('',#580512); #178167=VERTEX_POINT('',#580514); #178168=VERTEX_POINT('',#580516); #178169=VERTEX_POINT('',#580518); #178170=VERTEX_POINT('',#580520); #178171=VERTEX_POINT('',#580522); #178172=VERTEX_POINT('',#580524); #178173=VERTEX_POINT('',#580526); #178174=VERTEX_POINT('',#580530); #178175=VERTEX_POINT('',#580532); #178176=VERTEX_POINT('',#580536); #178177=VERTEX_POINT('',#580537); #178178=VERTEX_POINT('',#580539); #178179=VERTEX_POINT('',#580542); #178180=VERTEX_POINT('',#580546); #178181=VERTEX_POINT('',#580547); #178182=VERTEX_POINT('',#580552); #178183=VERTEX_POINT('',#580554); #178184=VERTEX_POINT('',#580585); #178185=VERTEX_POINT('',#580586); #178186=VERTEX_POINT('',#580588); #178187=VERTEX_POINT('',#580590); #178188=VERTEX_POINT('',#580594); #178189=VERTEX_POINT('',#580596); #178190=VERTEX_POINT('',#580598); #178191=VERTEX_POINT('',#580600); #178192=VERTEX_POINT('',#580602); #178193=VERTEX_POINT('',#580604); #178194=VERTEX_POINT('',#580606); #178195=VERTEX_POINT('',#580608); #178196=VERTEX_POINT('',#580610); #178197=VERTEX_POINT('',#580612); #178198=VERTEX_POINT('',#580616); #178199=VERTEX_POINT('',#580617); #178200=VERTEX_POINT('',#580619); #178201=VERTEX_POINT('',#580621); #178202=VERTEX_POINT('',#580623); #178203=VERTEX_POINT('',#580625); #178204=VERTEX_POINT('',#580627); #178205=VERTEX_POINT('',#580629); #178206=VERTEX_POINT('',#580631); #178207=VERTEX_POINT('',#580633); #178208=VERTEX_POINT('',#580635); #178209=VERTEX_POINT('',#580637); #178210=VERTEX_POINT('',#580641); #178211=VERTEX_POINT('',#580643); #178212=VERTEX_POINT('',#580647); #178213=VERTEX_POINT('',#580648); #178214=VERTEX_POINT('',#580650); #178215=VERTEX_POINT('',#580653); #178216=VERTEX_POINT('',#580657); #178217=VERTEX_POINT('',#580658); #178218=VERTEX_POINT('',#580663); #178219=VERTEX_POINT('',#580665); #178220=VERTEX_POINT('',#580696); #178221=VERTEX_POINT('',#580697); #178222=VERTEX_POINT('',#580699); #178223=VERTEX_POINT('',#580701); #178224=VERTEX_POINT('',#580705); #178225=VERTEX_POINT('',#580707); #178226=VERTEX_POINT('',#580709); #178227=VERTEX_POINT('',#580711); #178228=VERTEX_POINT('',#580713); #178229=VERTEX_POINT('',#580715); #178230=VERTEX_POINT('',#580717); #178231=VERTEX_POINT('',#580719); #178232=VERTEX_POINT('',#580721); #178233=VERTEX_POINT('',#580723); #178234=VERTEX_POINT('',#580727); #178235=VERTEX_POINT('',#580728); #178236=VERTEX_POINT('',#580730); #178237=VERTEX_POINT('',#580732); #178238=VERTEX_POINT('',#580734); #178239=VERTEX_POINT('',#580736); #178240=VERTEX_POINT('',#580738); #178241=VERTEX_POINT('',#580740); #178242=VERTEX_POINT('',#580742); #178243=VERTEX_POINT('',#580744); #178244=VERTEX_POINT('',#580746); #178245=VERTEX_POINT('',#580748); #178246=VERTEX_POINT('',#580752); #178247=VERTEX_POINT('',#580754); #178248=VERTEX_POINT('',#580758); #178249=VERTEX_POINT('',#580759); #178250=VERTEX_POINT('',#580761); #178251=VERTEX_POINT('',#580764); #178252=VERTEX_POINT('',#580768); #178253=VERTEX_POINT('',#580769); #178254=VERTEX_POINT('',#580774); #178255=VERTEX_POINT('',#580776); #178256=VERTEX_POINT('',#580807); #178257=VERTEX_POINT('',#580808); #178258=VERTEX_POINT('',#580810); #178259=VERTEX_POINT('',#580812); #178260=VERTEX_POINT('',#580816); #178261=VERTEX_POINT('',#580818); #178262=VERTEX_POINT('',#580820); #178263=VERTEX_POINT('',#580822); #178264=VERTEX_POINT('',#580824); #178265=VERTEX_POINT('',#580826); #178266=VERTEX_POINT('',#580828); #178267=VERTEX_POINT('',#580830); #178268=VERTEX_POINT('',#580832); #178269=VERTEX_POINT('',#580834); #178270=VERTEX_POINT('',#580838); #178271=VERTEX_POINT('',#580839); #178272=VERTEX_POINT('',#580841); #178273=VERTEX_POINT('',#580843); #178274=VERTEX_POINT('',#580845); #178275=VERTEX_POINT('',#580847); #178276=VERTEX_POINT('',#580849); #178277=VERTEX_POINT('',#580851); #178278=VERTEX_POINT('',#580853); #178279=VERTEX_POINT('',#580855); #178280=VERTEX_POINT('',#580857); #178281=VERTEX_POINT('',#580859); #178282=VERTEX_POINT('',#580863); #178283=VERTEX_POINT('',#580865); #178284=VERTEX_POINT('',#580869); #178285=VERTEX_POINT('',#580870); #178286=VERTEX_POINT('',#580872); #178287=VERTEX_POINT('',#580875); #178288=VERTEX_POINT('',#580879); #178289=VERTEX_POINT('',#580880); #178290=VERTEX_POINT('',#580885); #178291=VERTEX_POINT('',#580887); #178292=VERTEX_POINT('',#580918); #178293=VERTEX_POINT('',#580919); #178294=VERTEX_POINT('',#580921); #178295=VERTEX_POINT('',#580923); #178296=VERTEX_POINT('',#580927); #178297=VERTEX_POINT('',#580929); #178298=VERTEX_POINT('',#580931); #178299=VERTEX_POINT('',#580933); #178300=VERTEX_POINT('',#580935); #178301=VERTEX_POINT('',#580937); #178302=VERTEX_POINT('',#580939); #178303=VERTEX_POINT('',#580941); #178304=VERTEX_POINT('',#580943); #178305=VERTEX_POINT('',#580945); #178306=VERTEX_POINT('',#580949); #178307=VERTEX_POINT('',#580950); #178308=VERTEX_POINT('',#580952); #178309=VERTEX_POINT('',#580954); #178310=VERTEX_POINT('',#580956); #178311=VERTEX_POINT('',#580958); #178312=VERTEX_POINT('',#580960); #178313=VERTEX_POINT('',#580962); #178314=VERTEX_POINT('',#580964); #178315=VERTEX_POINT('',#580966); #178316=VERTEX_POINT('',#580968); #178317=VERTEX_POINT('',#580970); #178318=VERTEX_POINT('',#580974); #178319=VERTEX_POINT('',#580976); #178320=VERTEX_POINT('',#580980); #178321=VERTEX_POINT('',#580981); #178322=VERTEX_POINT('',#580983); #178323=VERTEX_POINT('',#580986); #178324=VERTEX_POINT('',#580990); #178325=VERTEX_POINT('',#580991); #178326=VERTEX_POINT('',#580996); #178327=VERTEX_POINT('',#580998); #178328=VERTEX_POINT('',#581029); #178329=VERTEX_POINT('',#581030); #178330=VERTEX_POINT('',#581032); #178331=VERTEX_POINT('',#581034); #178332=VERTEX_POINT('',#581038); #178333=VERTEX_POINT('',#581040); #178334=VERTEX_POINT('',#581044); #178335=VERTEX_POINT('',#581046); #178336=VERTEX_POINT('',#581050); #178337=VERTEX_POINT('',#581052); #178338=VERTEX_POINT('',#581056); #178339=VERTEX_POINT('',#581058); #178340=VERTEX_POINT('',#581062); #178341=VERTEX_POINT('',#581064); #178342=VERTEX_POINT('',#581068); #178343=VERTEX_POINT('',#581070); #178344=VERTEX_POINT('',#581074); #178345=VERTEX_POINT('',#581076); #178346=VERTEX_POINT('',#581080); #178347=VERTEX_POINT('',#581082); #178348=VERTEX_POINT('',#581086); #178349=VERTEX_POINT('',#581088); #178350=VERTEX_POINT('',#581092); #178351=VERTEX_POINT('',#581094); #178352=VERTEX_POINT('',#581098); #178353=VERTEX_POINT('',#581100); #178354=VERTEX_POINT('',#581104); #178355=VERTEX_POINT('',#581106); #178356=VERTEX_POINT('',#581110); #178357=VERTEX_POINT('',#581112); #178358=VERTEX_POINT('',#581116); #178359=VERTEX_POINT('',#581118); #178360=VERTEX_POINT('',#581122); #178361=VERTEX_POINT('',#581124); #178362=VERTEX_POINT('',#581128); #178363=VERTEX_POINT('',#581130); #178364=VERTEX_POINT('',#581134); #178365=VERTEX_POINT('',#581136); #178366=VERTEX_POINT('',#581140); #178367=VERTEX_POINT('',#581142); #178368=VERTEX_POINT('',#581146); #178369=VERTEX_POINT('',#581148); #178370=VERTEX_POINT('',#581152); #178371=VERTEX_POINT('',#581154); #178372=VERTEX_POINT('',#581158); #178373=VERTEX_POINT('',#581160); #178374=VERTEX_POINT('',#581164); #178375=VERTEX_POINT('',#581166); #178376=VERTEX_POINT('',#581170); #178377=VERTEX_POINT('',#581172); #178378=VERTEX_POINT('',#581176); #178379=VERTEX_POINT('',#581178); #178380=VERTEX_POINT('',#581182); #178381=VERTEX_POINT('',#581184); #178382=VERTEX_POINT('',#581188); #178383=VERTEX_POINT('',#581190); #178384=VERTEX_POINT('',#581194); #178385=VERTEX_POINT('',#581196); #178386=VERTEX_POINT('',#581200); #178387=VERTEX_POINT('',#581202); #178388=VERTEX_POINT('',#581206); #178389=VERTEX_POINT('',#581208); #178390=VERTEX_POINT('',#581212); #178391=VERTEX_POINT('',#581214); #178392=VERTEX_POINT('',#581218); #178393=VERTEX_POINT('',#581220); #178394=VERTEX_POINT('',#581224); #178395=VERTEX_POINT('',#581226); #178396=VERTEX_POINT('',#581230); #178397=VERTEX_POINT('',#581232); #178398=VERTEX_POINT('',#581236); #178399=VERTEX_POINT('',#581238); #178400=VERTEX_POINT('',#581248); #178401=VERTEX_POINT('',#581249); #178402=VERTEX_POINT('',#581251); #178403=VERTEX_POINT('',#581253); #178404=VERTEX_POINT('',#581257); #178405=VERTEX_POINT('',#581258); #178406=VERTEX_POINT('',#581260); #178407=VERTEX_POINT('',#581262); #178408=VERTEX_POINT('',#581266); #178409=VERTEX_POINT('',#581267); #178410=VERTEX_POINT('',#581269); #178411=VERTEX_POINT('',#581271); #178412=VERTEX_POINT('',#581273); #178413=VERTEX_POINT('',#581275); #178414=VERTEX_POINT('',#581277); #178415=VERTEX_POINT('',#581279); #178416=VERTEX_POINT('',#581281); #178417=VERTEX_POINT('',#581283); #178418=VERTEX_POINT('',#581285); #178419=VERTEX_POINT('',#581287); #178420=VERTEX_POINT('',#581289); #178421=VERTEX_POINT('',#581291); #178422=VERTEX_POINT('',#581293); #178423=VERTEX_POINT('',#581295); #178424=VERTEX_POINT('',#581297); #178425=VERTEX_POINT('',#581299); #178426=VERTEX_POINT('',#581301); #178427=VERTEX_POINT('',#581303); #178428=VERTEX_POINT('',#581305); #178429=VERTEX_POINT('',#581307); #178430=VERTEX_POINT('',#581309); #178431=VERTEX_POINT('',#581311); #178432=VERTEX_POINT('',#581313); #178433=VERTEX_POINT('',#581315); #178434=VERTEX_POINT('',#581317); #178435=VERTEX_POINT('',#581319); #178436=VERTEX_POINT('',#581321); #178437=VERTEX_POINT('',#581323); #178438=VERTEX_POINT('',#581325); #178439=VERTEX_POINT('',#581327); #178440=VERTEX_POINT('',#581329); #178441=VERTEX_POINT('',#581331); #178442=VERTEX_POINT('',#581333); #178443=VERTEX_POINT('',#581335); #178444=VERTEX_POINT('',#581337); #178445=VERTEX_POINT('',#581339); #178446=VERTEX_POINT('',#581341); #178447=VERTEX_POINT('',#581344); #178448=VERTEX_POINT('',#581345); #178449=VERTEX_POINT('',#581347); #178450=VERTEX_POINT('',#581349); #178451=VERTEX_POINT('',#581351); #178452=VERTEX_POINT('',#581353); #178453=VERTEX_POINT('',#581355); #178454=VERTEX_POINT('',#581357); #178455=VERTEX_POINT('',#581361); #178456=VERTEX_POINT('',#581362); #178457=VERTEX_POINT('',#581364); #178458=VERTEX_POINT('',#581366); #178459=VERTEX_POINT('',#581368); #178460=VERTEX_POINT('',#581370); #178461=VERTEX_POINT('',#581372); #178462=VERTEX_POINT('',#581374); #178463=VERTEX_POINT('',#581376); #178464=VERTEX_POINT('',#581378); #178465=VERTEX_POINT('',#581380); #178466=VERTEX_POINT('',#581382); #178467=VERTEX_POINT('',#581384); #178468=VERTEX_POINT('',#581386); #178469=VERTEX_POINT('',#581388); #178470=VERTEX_POINT('',#581390); #178471=VERTEX_POINT('',#581392); #178472=VERTEX_POINT('',#581394); #178473=VERTEX_POINT('',#581396); #178474=VERTEX_POINT('',#581398); #178475=VERTEX_POINT('',#581400); #178476=VERTEX_POINT('',#581402); #178477=VERTEX_POINT('',#581404); #178478=VERTEX_POINT('',#581406); #178479=VERTEX_POINT('',#581408); #178480=VERTEX_POINT('',#581410); #178481=VERTEX_POINT('',#581412); #178482=VERTEX_POINT('',#581414); #178483=VERTEX_POINT('',#581416); #178484=VERTEX_POINT('',#581418); #178485=VERTEX_POINT('',#581420); #178486=VERTEX_POINT('',#581422); #178487=VERTEX_POINT('',#581424); #178488=VERTEX_POINT('',#581426); #178489=VERTEX_POINT('',#581428); #178490=VERTEX_POINT('',#581430); #178491=VERTEX_POINT('',#581432); #178492=VERTEX_POINT('',#581434); #178493=VERTEX_POINT('',#581436); #178494=VERTEX_POINT('',#581439); #178495=VERTEX_POINT('',#581440); #178496=VERTEX_POINT('',#581442); #178497=VERTEX_POINT('',#581444); #178498=VERTEX_POINT('',#581446); #178499=VERTEX_POINT('',#581448); #178500=VERTEX_POINT('',#581450); #178501=VERTEX_POINT('',#581452); #178502=VERTEX_POINT('',#581460); #178503=VERTEX_POINT('',#581464); #178504=VERTEX_POINT('',#581465); #178505=VERTEX_POINT('',#581467); #178506=VERTEX_POINT('',#581469); #178507=VERTEX_POINT('',#581471); #178508=VERTEX_POINT('',#581475); #178509=VERTEX_POINT('',#581476); #178510=VERTEX_POINT('',#581478); #178511=VERTEX_POINT('',#581480); #178512=VERTEX_POINT('',#581482); #178513=VERTEX_POINT('',#581486); #178514=VERTEX_POINT('',#581487); #178515=VERTEX_POINT('',#581490); #178516=VERTEX_POINT('',#581492); #178517=VERTEX_POINT('',#581495); #178518=VERTEX_POINT('',#581497); #178519=VERTEX_POINT('',#581499); #178520=VERTEX_POINT('',#581501); #178521=VERTEX_POINT('',#581504); #178522=VERTEX_POINT('',#581505); #178523=VERTEX_POINT('',#581507); #178524=VERTEX_POINT('',#581509); #178525=VERTEX_POINT('',#581511); #178526=VERTEX_POINT('',#581513); #178527=VERTEX_POINT('',#581515); #178528=VERTEX_POINT('',#581517); #178529=VERTEX_POINT('',#581520); #178530=VERTEX_POINT('',#581521); #178531=VERTEX_POINT('',#581523); #178532=VERTEX_POINT('',#581525); #178533=VERTEX_POINT('',#581527); #178534=VERTEX_POINT('',#581529); #178535=VERTEX_POINT('',#581531); #178536=VERTEX_POINT('',#581533); #178537=VERTEX_POINT('',#581537); #178538=VERTEX_POINT('',#581538); #178539=VERTEX_POINT('',#581540); #178540=VERTEX_POINT('',#581542); #178541=VERTEX_POINT('',#581544); #178542=VERTEX_POINT('',#581546); #178543=VERTEX_POINT('',#581548); #178544=VERTEX_POINT('',#581550); #178545=VERTEX_POINT('',#581552); #178546=VERTEX_POINT('',#581554); #178547=VERTEX_POINT('',#581556); #178548=VERTEX_POINT('',#581558); #178549=VERTEX_POINT('',#581560); #178550=VERTEX_POINT('',#581562); #178551=VERTEX_POINT('',#581564); #178552=VERTEX_POINT('',#581566); #178553=VERTEX_POINT('',#581568); #178554=VERTEX_POINT('',#581570); #178555=VERTEX_POINT('',#581572); #178556=VERTEX_POINT('',#581574); #178557=VERTEX_POINT('',#581576); #178558=VERTEX_POINT('',#581578); #178559=VERTEX_POINT('',#581580); #178560=VERTEX_POINT('',#581582); #178561=VERTEX_POINT('',#581584); #178562=VERTEX_POINT('',#581586); #178563=VERTEX_POINT('',#581588); #178564=VERTEX_POINT('',#581590); #178565=VERTEX_POINT('',#581592); #178566=VERTEX_POINT('',#581594); #178567=VERTEX_POINT('',#581596); #178568=VERTEX_POINT('',#581598); #178569=VERTEX_POINT('',#581600); #178570=VERTEX_POINT('',#581602); #178571=VERTEX_POINT('',#581604); #178572=VERTEX_POINT('',#581606); #178573=VERTEX_POINT('',#581608); #178574=VERTEX_POINT('',#581610); #178575=VERTEX_POINT('',#581612); #178576=VERTEX_POINT('',#581614); #178577=VERTEX_POINT('',#581616); #178578=VERTEX_POINT('',#581618); #178579=VERTEX_POINT('',#581621); #178580=VERTEX_POINT('',#581622); #178581=VERTEX_POINT('',#581624); #178582=VERTEX_POINT('',#581626); #178583=VERTEX_POINT('',#581630); #178584=VERTEX_POINT('',#581631); #178585=VERTEX_POINT('',#581633); #178586=VERTEX_POINT('',#581635); #178587=VERTEX_POINT('',#581637); #178588=VERTEX_POINT('',#581639); #178589=VERTEX_POINT('',#581641); #178590=VERTEX_POINT('',#581643); #178591=VERTEX_POINT('',#581647); #178592=VERTEX_POINT('',#581648); #178593=VERTEX_POINT('',#581651); #178594=VERTEX_POINT('',#581653); #178595=VERTEX_POINT('',#581655); #178596=VERTEX_POINT('',#581657); #178597=VERTEX_POINT('',#581659); #178598=VERTEX_POINT('',#581661); #178599=VERTEX_POINT('',#581663); #178600=VERTEX_POINT('',#581665); #178601=VERTEX_POINT('',#581667); #178602=VERTEX_POINT('',#581669); #178603=VERTEX_POINT('',#581671); #178604=VERTEX_POINT('',#581673); #178605=VERTEX_POINT('',#581675); #178606=VERTEX_POINT('',#581677); #178607=VERTEX_POINT('',#581679); #178608=VERTEX_POINT('',#581681); #178609=VERTEX_POINT('',#581683); #178610=VERTEX_POINT('',#581685); #178611=VERTEX_POINT('',#581687); #178612=VERTEX_POINT('',#581689); #178613=VERTEX_POINT('',#581691); #178614=VERTEX_POINT('',#581693); #178615=VERTEX_POINT('',#581695); #178616=VERTEX_POINT('',#581697); #178617=VERTEX_POINT('',#581699); #178618=VERTEX_POINT('',#581702); #178619=VERTEX_POINT('',#581704); #178620=VERTEX_POINT('',#581706); #178621=VERTEX_POINT('',#581708); #178622=VERTEX_POINT('',#581710); #178623=VERTEX_POINT('',#581712); #178624=VERTEX_POINT('',#581716); #178625=VERTEX_POINT('',#581717); #178626=VERTEX_POINT('',#581719); #178627=VERTEX_POINT('',#581721); #178628=VERTEX_POINT('',#581723); #178629=VERTEX_POINT('',#581725); #178630=VERTEX_POINT('',#581727); #178631=VERTEX_POINT('',#581729); #178632=VERTEX_POINT('',#581733); #178633=VERTEX_POINT('',#581735); #178634=VERTEX_POINT('',#581739); #178635=VERTEX_POINT('',#581741); #178636=VERTEX_POINT('',#581745); #178637=VERTEX_POINT('',#581747); #178638=VERTEX_POINT('',#581751); #178639=VERTEX_POINT('',#581753); #178640=VERTEX_POINT('',#581757); #178641=VERTEX_POINT('',#581758); #178642=VERTEX_POINT('',#581760); #178643=VERTEX_POINT('',#581762); #178644=VERTEX_POINT('',#581764); #178645=VERTEX_POINT('',#581766); #178646=VERTEX_POINT('',#581770); #178647=VERTEX_POINT('',#581772); #178648=VERTEX_POINT('',#581774); #178649=VERTEX_POINT('',#581779); #178650=VERTEX_POINT('',#581780); #178651=VERTEX_POINT('',#581782); #178652=VERTEX_POINT('',#581784); #178653=VERTEX_POINT('',#581786); #178654=VERTEX_POINT('',#581788); #178655=VERTEX_POINT('',#581790); #178656=VERTEX_POINT('',#581792); #178657=VERTEX_POINT('',#581794); #178658=VERTEX_POINT('',#581796); #178659=VERTEX_POINT('',#581798); #178660=VERTEX_POINT('',#581800); #178661=VERTEX_POINT('',#581802); #178662=VERTEX_POINT('',#581804); #178663=VERTEX_POINT('',#581806); #178664=VERTEX_POINT('',#581808); #178665=VERTEX_POINT('',#581810); #178666=VERTEX_POINT('',#581812); #178667=VERTEX_POINT('',#581814); #178668=VERTEX_POINT('',#581816); #178669=VERTEX_POINT('',#581818); #178670=VERTEX_POINT('',#581820); #178671=VERTEX_POINT('',#581822); #178672=VERTEX_POINT('',#581824); #178673=VERTEX_POINT('',#581826); #178674=VERTEX_POINT('',#581828); #178675=VERTEX_POINT('',#581830); #178676=VERTEX_POINT('',#581832); #178677=VERTEX_POINT('',#581834); #178678=VERTEX_POINT('',#581836); #178679=VERTEX_POINT('',#581838); #178680=VERTEX_POINT('',#581840); #178681=VERTEX_POINT('',#581842); #178682=VERTEX_POINT('',#581844); #178683=VERTEX_POINT('',#581846); #178684=VERTEX_POINT('',#581848); #178685=VERTEX_POINT('',#581850); #178686=VERTEX_POINT('',#581852); #178687=VERTEX_POINT('',#581854); #178688=VERTEX_POINT('',#581856); #178689=VERTEX_POINT('',#581858); #178690=VERTEX_POINT('',#581860); #178691=VERTEX_POINT('',#581863); #178692=VERTEX_POINT('',#581864); #178693=VERTEX_POINT('',#581866); #178694=VERTEX_POINT('',#581868); #178695=VERTEX_POINT('',#581872); #178696=VERTEX_POINT('',#581883); #178697=VERTEX_POINT('',#581885); #178698=VERTEX_POINT('',#581889); #178699=VERTEX_POINT('',#581891); #178700=VERTEX_POINT('',#581895); #178701=VERTEX_POINT('',#581896); #178702=VERTEX_POINT('',#581898); #178703=VERTEX_POINT('',#581900); #178704=VERTEX_POINT('',#581902); #178705=VERTEX_POINT('',#581904); #178706=VERTEX_POINT('',#581906); #178707=VERTEX_POINT('',#581908); #178708=VERTEX_POINT('',#581910); #178709=VERTEX_POINT('',#581912); #178710=VERTEX_POINT('',#581914); #178711=VERTEX_POINT('',#581916); #178712=VERTEX_POINT('',#581918); #178713=VERTEX_POINT('',#581920); #178714=VERTEX_POINT('',#581922); #178715=VERTEX_POINT('',#581924); #178716=VERTEX_POINT('',#581926); #178717=VERTEX_POINT('',#581928); #178718=VERTEX_POINT('',#581930); #178719=VERTEX_POINT('',#581932); #178720=VERTEX_POINT('',#581934); #178721=VERTEX_POINT('',#581936); #178722=VERTEX_POINT('',#581938); #178723=VERTEX_POINT('',#581940); #178724=VERTEX_POINT('',#581942); #178725=VERTEX_POINT('',#581944); #178726=VERTEX_POINT('',#581946); #178727=VERTEX_POINT('',#581948); #178728=VERTEX_POINT('',#581950); #178729=VERTEX_POINT('',#581952); #178730=VERTEX_POINT('',#581954); #178731=VERTEX_POINT('',#581956); #178732=VERTEX_POINT('',#581958); #178733=VERTEX_POINT('',#581960); #178734=VERTEX_POINT('',#581962); #178735=VERTEX_POINT('',#581964); #178736=VERTEX_POINT('',#581966); #178737=VERTEX_POINT('',#581968); #178738=VERTEX_POINT('',#581970); #178739=VERTEX_POINT('',#581973); #178740=VERTEX_POINT('',#581974); #178741=VERTEX_POINT('',#581976); #178742=VERTEX_POINT('',#581978); #178743=VERTEX_POINT('',#581980); #178744=VERTEX_POINT('',#581982); #178745=VERTEX_POINT('',#581986); #178746=VERTEX_POINT('',#581988); #178747=VERTEX_POINT('',#581990); #178748=VERTEX_POINT('',#581992); #178749=VERTEX_POINT('',#581996); #178750=VERTEX_POINT('',#581998); #178751=VERTEX_POINT('',#582001); #178752=VERTEX_POINT('',#582003); #178753=VERTEX_POINT('',#582005); #178754=VERTEX_POINT('',#582007); #178755=VERTEX_POINT('',#582009); #178756=VERTEX_POINT('',#582011); #178757=VERTEX_POINT('',#582014); #178758=VERTEX_POINT('',#582016); #178759=VERTEX_POINT('',#582018); #178760=VERTEX_POINT('',#582020); #178761=VERTEX_POINT('',#582022); #178762=VERTEX_POINT('',#582024); #178763=VERTEX_POINT('',#582026); #178764=VERTEX_POINT('',#582028); #178765=VERTEX_POINT('',#582030); #178766=VERTEX_POINT('',#582032); #178767=VERTEX_POINT('',#582034); #178768=VERTEX_POINT('',#582036); #178769=VERTEX_POINT('',#582038); #178770=VERTEX_POINT('',#582040); #178771=VERTEX_POINT('',#582042); #178772=VERTEX_POINT('',#582044); #178773=VERTEX_POINT('',#582046); #178774=VERTEX_POINT('',#582048); #178775=VERTEX_POINT('',#582050); #178776=VERTEX_POINT('',#582052); #178777=VERTEX_POINT('',#582054); #178778=VERTEX_POINT('',#582056); #178779=VERTEX_POINT('',#582058); #178780=VERTEX_POINT('',#582060); #178781=VERTEX_POINT('',#582062); #178782=VERTEX_POINT('',#582065); #178783=VERTEX_POINT('',#582067); #178784=VERTEX_POINT('',#582070); #178785=VERTEX_POINT('',#582072); #178786=VERTEX_POINT('',#582079); #178787=VERTEX_POINT('',#582085); #178788=VERTEX_POINT('',#582098); #178789=VERTEX_POINT('',#582100); #178790=VERTEX_POINT('',#582104); #178791=VERTEX_POINT('',#582109); #178792=VERTEX_POINT('',#582111); #178793=VERTEX_POINT('',#582113); #178794=VERTEX_POINT('',#582136); #178795=VERTEX_POINT('',#582140); #178796=VERTEX_POINT('',#582143); #178797=VERTEX_POINT('',#582147); #178798=VERTEX_POINT('',#582151); #178799=VERTEX_POINT('',#582153); #178800=VERTEX_POINT('',#582155); #178801=VERTEX_POINT('',#582157); #178802=VERTEX_POINT('',#582159); #178803=VERTEX_POINT('',#582161); #178804=VERTEX_POINT('',#582163); #178805=VERTEX_POINT('',#582165); #178806=VERTEX_POINT('',#582168); #178807=VERTEX_POINT('',#582170); #178808=VERTEX_POINT('',#582172); #178809=VERTEX_POINT('',#582180); #178810=VERTEX_POINT('',#582186); #178811=VERTEX_POINT('',#582200); #178812=VERTEX_POINT('',#582202); #178813=VERTEX_POINT('',#582204); #178814=VERTEX_POINT('',#582206); #178815=VERTEX_POINT('',#582208); #178816=VERTEX_POINT('',#582210); #178817=VERTEX_POINT('',#582212); #178818=VERTEX_POINT('',#582214); #178819=VERTEX_POINT('',#582216); #178820=VERTEX_POINT('',#582218); #178821=VERTEX_POINT('',#582220); #178822=VERTEX_POINT('',#582222); #178823=VERTEX_POINT('',#582224); #178824=VERTEX_POINT('',#582226); #178825=VERTEX_POINT('',#582229); #178826=VERTEX_POINT('',#582231); #178827=VERTEX_POINT('',#582233); #178828=VERTEX_POINT('',#582235); #178829=VERTEX_POINT('',#582237); #178830=VERTEX_POINT('',#582239); #178831=VERTEX_POINT('',#582241); #178832=VERTEX_POINT('',#582243); #178833=VERTEX_POINT('',#582245); #178834=VERTEX_POINT('',#582247); #178835=VERTEX_POINT('',#582249); #178836=VERTEX_POINT('',#582251); #178837=VERTEX_POINT('',#582253); #178838=VERTEX_POINT('',#582255); #178839=VERTEX_POINT('',#582257); #178840=VERTEX_POINT('',#582259); #178841=VERTEX_POINT('',#582261); #178842=VERTEX_POINT('',#582263); #178843=VERTEX_POINT('',#582266); #178844=VERTEX_POINT('',#582267); #178845=VERTEX_POINT('',#582269); #178846=VERTEX_POINT('',#582271); #178847=VERTEX_POINT('',#582273); #178848=VERTEX_POINT('',#582275); #178849=VERTEX_POINT('',#582287); #178850=VERTEX_POINT('',#582291); #178851=VERTEX_POINT('',#582294); #178852=VERTEX_POINT('',#582296); #178853=VERTEX_POINT('',#582299); #178854=VERTEX_POINT('',#582301); #178855=VERTEX_POINT('',#582304); #178856=VERTEX_POINT('',#582306); #178857=VERTEX_POINT('',#582309); #178858=VERTEX_POINT('',#582311); #178859=VERTEX_POINT('',#582322); #178860=VERTEX_POINT('',#582328); #178861=VERTEX_POINT('',#582341); #178862=VERTEX_POINT('',#582345); #178863=VERTEX_POINT('',#582354); #178864=VERTEX_POINT('',#582356); #178865=VERTEX_POINT('',#582358); #178866=VERTEX_POINT('',#582360); #178867=VERTEX_POINT('',#582391); #178868=VERTEX_POINT('',#582478); #178869=VERTEX_POINT('',#582536); #178870=VERTEX_POINT('',#582538); #178871=VERTEX_POINT('',#582540); #178872=VERTEX_POINT('',#582542); #178873=VERTEX_POINT('',#582544); #178874=VERTEX_POINT('',#582546); #178875=VERTEX_POINT('',#582549); #178876=VERTEX_POINT('',#582551); #178877=VERTEX_POINT('',#582553); #178878=VERTEX_POINT('',#582555); #178879=VERTEX_POINT('',#582557); #178880=VERTEX_POINT('',#582559); #178881=VERTEX_POINT('',#582563); #178882=VERTEX_POINT('',#582564); #178883=VERTEX_POINT('',#582566); #178884=VERTEX_POINT('',#582568); #178885=VERTEX_POINT('',#582570); #178886=VERTEX_POINT('',#582572); #178887=VERTEX_POINT('',#582575); #178888=VERTEX_POINT('',#582577); #178889=VERTEX_POINT('',#582579); #178890=VERTEX_POINT('',#582581); #178891=VERTEX_POINT('',#582583); #178892=VERTEX_POINT('',#582585); #178893=VERTEX_POINT('',#582624); #178894=VERTEX_POINT('',#582626); #178895=VERTEX_POINT('',#582628); #178896=VERTEX_POINT('',#582630); #178897=VERTEX_POINT('',#582632); #178898=VERTEX_POINT('',#582634); #178899=VERTEX_POINT('',#582637); #178900=VERTEX_POINT('',#582639); #178901=VERTEX_POINT('',#582641); #178902=VERTEX_POINT('',#582643); #178903=VERTEX_POINT('',#582645); #178904=VERTEX_POINT('',#582647); #178905=VERTEX_POINT('',#582651); #178906=VERTEX_POINT('',#582652); #178907=VERTEX_POINT('',#582654); #178908=VERTEX_POINT('',#582656); #178909=VERTEX_POINT('',#582658); #178910=VERTEX_POINT('',#582660); #178911=VERTEX_POINT('',#582663); #178912=VERTEX_POINT('',#582665); #178913=VERTEX_POINT('',#582667); #178914=VERTEX_POINT('',#582669); #178915=VERTEX_POINT('',#582671); #178916=VERTEX_POINT('',#582673); #178917=VERTEX_POINT('',#582704); #178918=VERTEX_POINT('',#582705); #178919=VERTEX_POINT('',#582707); #178920=VERTEX_POINT('',#582709); #178921=VERTEX_POINT('',#582713); #178922=VERTEX_POINT('',#582715); #178923=VERTEX_POINT('',#582719); #178924=VERTEX_POINT('',#582721); #178925=VERTEX_POINT('',#582728); #178926=VERTEX_POINT('',#582732); #178927=VERTEX_POINT('',#582733); #178928=VERTEX_POINT('',#582792); #178929=VERTEX_POINT('',#582793); #178930=VERTEX_POINT('',#582798); #178931=VERTEX_POINT('',#582799); #178932=VERTEX_POINT('',#582813); #178933=VERTEX_POINT('',#582815); #178934=VERTEX_POINT('',#582819); #178935=VERTEX_POINT('',#582821); #178936=VERTEX_POINT('',#582884); #178937=VERTEX_POINT('',#582908); #178938=VERTEX_POINT('',#582910); #178939=VERTEX_POINT('',#582912); #178940=VERTEX_POINT('',#582914); #178941=VERTEX_POINT('',#582916); #178942=VERTEX_POINT('',#582918); #178943=VERTEX_POINT('',#582921); #178944=VERTEX_POINT('',#582923); #178945=VERTEX_POINT('',#582925); #178946=VERTEX_POINT('',#582927); #178947=VERTEX_POINT('',#582929); #178948=VERTEX_POINT('',#582931); #178949=VERTEX_POINT('',#582935); #178950=VERTEX_POINT('',#582936); #178951=VERTEX_POINT('',#582938); #178952=VERTEX_POINT('',#582940); #178953=VERTEX_POINT('',#582942); #178954=VERTEX_POINT('',#582944); #178955=VERTEX_POINT('',#582947); #178956=VERTEX_POINT('',#582949); #178957=VERTEX_POINT('',#582951); #178958=VERTEX_POINT('',#582953); #178959=VERTEX_POINT('',#582955); #178960=VERTEX_POINT('',#582957); #178961=VERTEX_POINT('',#582996); #178962=VERTEX_POINT('',#582998); #178963=VERTEX_POINT('',#583000); #178964=VERTEX_POINT('',#583002); #178965=VERTEX_POINT('',#583004); #178966=VERTEX_POINT('',#583006); #178967=VERTEX_POINT('',#583009); #178968=VERTEX_POINT('',#583011); #178969=VERTEX_POINT('',#583013); #178970=VERTEX_POINT('',#583015); #178971=VERTEX_POINT('',#583017); #178972=VERTEX_POINT('',#583019); #178973=VERTEX_POINT('',#583023); #178974=VERTEX_POINT('',#583024); #178975=VERTEX_POINT('',#583026); #178976=VERTEX_POINT('',#583028); #178977=VERTEX_POINT('',#583030); #178978=VERTEX_POINT('',#583032); #178979=VERTEX_POINT('',#583035); #178980=VERTEX_POINT('',#583037); #178981=VERTEX_POINT('',#583039); #178982=VERTEX_POINT('',#583041); #178983=VERTEX_POINT('',#583043); #178984=VERTEX_POINT('',#583045); #178985=VERTEX_POINT('',#583076); #178986=VERTEX_POINT('',#583077); #178987=VERTEX_POINT('',#583079); #178988=VERTEX_POINT('',#583081); #178989=VERTEX_POINT('',#583085); #178990=VERTEX_POINT('',#583087); #178991=VERTEX_POINT('',#583094); #178992=VERTEX_POINT('',#583095); #178993=VERTEX_POINT('',#583100); #178994=VERTEX_POINT('',#583102); #178995=VERTEX_POINT('',#583104); #178996=VERTEX_POINT('',#583164); #178997=VERTEX_POINT('',#583166); #178998=VERTEX_POINT('',#583170); #178999=VERTEX_POINT('',#583171); #179000=VERTEX_POINT('',#583185); #179001=VERTEX_POINT('',#583187); #179002=VERTEX_POINT('',#583191); #179003=VERTEX_POINT('',#583193); #179004=VERTEX_POINT('',#583202); #179005=VERTEX_POINT('',#583203); #179006=VERTEX_POINT('',#583205); #179007=VERTEX_POINT('',#583207); #179008=VERTEX_POINT('',#583211); #179009=VERTEX_POINT('',#583213); #179010=VERTEX_POINT('',#583217); #179011=VERTEX_POINT('',#583218); #179012=VERTEX_POINT('',#583220); #179013=VERTEX_POINT('',#583222); #179014=VERTEX_POINT('',#583226); #179015=VERTEX_POINT('',#583228); #179016=VERTEX_POINT('',#583232); #179017=VERTEX_POINT('',#583234); #179018=VERTEX_POINT('',#583238); #179019=VERTEX_POINT('',#583240); #179020=VERTEX_POINT('',#583244); #179021=VERTEX_POINT('',#583246); #179022=VERTEX_POINT('',#583250); #179023=VERTEX_POINT('',#583252); #179024=VERTEX_POINT('',#583256); #179025=VERTEX_POINT('',#583258); #179026=VERTEX_POINT('',#583262); #179027=VERTEX_POINT('',#583264); #179028=VERTEX_POINT('',#583268); #179029=VERTEX_POINT('',#583270); #179030=VERTEX_POINT('',#583274); #179031=VERTEX_POINT('',#583276); #179032=VERTEX_POINT('',#583280); #179033=VERTEX_POINT('',#583282); #179034=VERTEX_POINT('',#583289); #179035=VERTEX_POINT('',#583290); #179036=VERTEX_POINT('',#583292); #179037=VERTEX_POINT('',#583294); #179038=VERTEX_POINT('',#583298); #179039=VERTEX_POINT('',#583300); #179040=VERTEX_POINT('',#583304); #179041=VERTEX_POINT('',#583306); #179042=VERTEX_POINT('',#583310); #179043=VERTEX_POINT('',#583312); #179044=VERTEX_POINT('',#583316); #179045=VERTEX_POINT('',#583318); #179046=VERTEX_POINT('',#583322); #179047=VERTEX_POINT('',#583324); #179048=VERTEX_POINT('',#583328); #179049=VERTEX_POINT('',#583330); #179050=VERTEX_POINT('',#583334); #179051=VERTEX_POINT('',#583336); #179052=VERTEX_POINT('',#583340); #179053=VERTEX_POINT('',#583342); #179054=VERTEX_POINT('',#583346); #179055=VERTEX_POINT('',#583348); #179056=VERTEX_POINT('',#583352); #179057=VERTEX_POINT('',#583354); #179058=VERTEX_POINT('',#583361); #179059=VERTEX_POINT('',#583362); #179060=VERTEX_POINT('',#583364); #179061=VERTEX_POINT('',#583366); #179062=VERTEX_POINT('',#583370); #179063=VERTEX_POINT('',#583371); #179064=VERTEX_POINT('',#583383); #179065=VERTEX_POINT('',#583384); #179066=VERTEX_POINT('',#583386); #179067=VERTEX_POINT('',#583391); #179068=VERTEX_POINT('',#583393); #179069=VERTEX_POINT('',#583420); #179070=VERTEX_POINT('',#583421); #179071=VERTEX_POINT('',#583471); #179072=VERTEX_POINT('',#583473); #179073=VERTEX_POINT('',#583476); #179074=VERTEX_POINT('',#583480); #179075=VERTEX_POINT('',#583482); #179076=VERTEX_POINT('',#583486); #179077=VERTEX_POINT('',#583490); #179078=VERTEX_POINT('',#583494); #179079=VERTEX_POINT('',#583498); #179080=VERTEX_POINT('',#583499); #179081=VERTEX_POINT('',#583501); #179082=VERTEX_POINT('',#583503); #179083=VERTEX_POINT('',#583508); #179084=VERTEX_POINT('',#583512); #179085=VERTEX_POINT('',#583527); #179086=VERTEX_POINT('',#583528); #179087=VERTEX_POINT('',#583530); #179088=VERTEX_POINT('',#583559); #179089=VERTEX_POINT('',#583581); #179090=VERTEX_POINT('',#583583); #179091=VERTEX_POINT('',#583597); #179092=VERTEX_POINT('',#583598); #179093=VERTEX_POINT('',#583604); #179094=VERTEX_POINT('',#583620); #179095=VERTEX_POINT('',#583624); #179096=VERTEX_POINT('',#583625); #179097=VERTEX_POINT('',#583627); #179098=VERTEX_POINT('',#583629); #179099=VERTEX_POINT('',#583633); #179100=VERTEX_POINT('',#583634); #179101=VERTEX_POINT('',#583636); #179102=VERTEX_POINT('',#583638); #179103=VERTEX_POINT('',#583642); #179104=VERTEX_POINT('',#583646); #179105=VERTEX_POINT('',#583647); #179106=VERTEX_POINT('',#583649); #179107=VERTEX_POINT('',#583651); #179108=VERTEX_POINT('',#583655); #179109=VERTEX_POINT('',#583657); #179110=VERTEX_POINT('',#583661); #179111=VERTEX_POINT('',#583663); #179112=VERTEX_POINT('',#583670); #179113=VERTEX_POINT('',#583674); #179114=VERTEX_POINT('',#583676); #179115=VERTEX_POINT('',#583680); #179116=VERTEX_POINT('',#583682); #179117=VERTEX_POINT('',#583686); #179118=VERTEX_POINT('',#583688); #179119=VERTEX_POINT('',#583692); #179120=VERTEX_POINT('',#583694); #179121=VERTEX_POINT('',#583698); #179122=VERTEX_POINT('',#583700); #179123=VERTEX_POINT('',#583704); #179124=VERTEX_POINT('',#583706); #179125=VERTEX_POINT('',#583710); #179126=VERTEX_POINT('',#583715); #179127=VERTEX_POINT('',#583719); #179128=VERTEX_POINT('',#583721); #179129=VERTEX_POINT('',#583725); #179130=VERTEX_POINT('',#583727); #179131=VERTEX_POINT('',#583731); #179132=VERTEX_POINT('',#583733); #179133=VERTEX_POINT('',#583737); #179134=VERTEX_POINT('',#583739); #179135=VERTEX_POINT('',#583743); #179136=VERTEX_POINT('',#583748); #179137=VERTEX_POINT('',#583752); #179138=VERTEX_POINT('',#583754); #179139=VERTEX_POINT('',#583758); #179140=VERTEX_POINT('',#583760); #179141=VERTEX_POINT('',#583764); #179142=VERTEX_POINT('',#583766); #179143=VERTEX_POINT('',#583770); #179144=VERTEX_POINT('',#583772); #179145=VERTEX_POINT('',#583776); #179146=VERTEX_POINT('',#583778); #179147=VERTEX_POINT('',#583782); #179148=VERTEX_POINT('',#583784); #179149=VERTEX_POINT('',#583789); #179150=VERTEX_POINT('',#583795); #179151=VERTEX_POINT('',#583797); #179152=VERTEX_POINT('',#583801); #179153=VERTEX_POINT('',#583803); #179154=VERTEX_POINT('',#583807); #179155=VERTEX_POINT('',#583809); #179156=VERTEX_POINT('',#583813); #179157=VERTEX_POINT('',#583815); #179158=VERTEX_POINT('',#583819); #179159=VERTEX_POINT('',#583820); #179160=VERTEX_POINT('',#583822); #179161=VERTEX_POINT('',#583824); #179162=VERTEX_POINT('',#583828); #179163=VERTEX_POINT('',#583830); #179164=VERTEX_POINT('',#583834); #179165=VERTEX_POINT('',#583836); #179166=VERTEX_POINT('',#583840); #179167=VERTEX_POINT('',#583842); #179168=VERTEX_POINT('',#583844); #179169=VERTEX_POINT('',#583846); #179170=VERTEX_POINT('',#583850); #179171=VERTEX_POINT('',#583852); #179172=VERTEX_POINT('',#583855); #179173=VERTEX_POINT('',#583859); #179174=VERTEX_POINT('',#583860); #179175=VERTEX_POINT('',#583865); #179176=VERTEX_POINT('',#583866); #179177=VERTEX_POINT('',#583872); #179178=VERTEX_POINT('',#583876); #179179=VERTEX_POINT('',#583878); #179180=VERTEX_POINT('',#583893); #179181=VERTEX_POINT('',#583895); #179182=VERTEX_POINT('',#583899); #179183=VERTEX_POINT('',#583901); #179184=VERTEX_POINT('',#583980); #179185=VERTEX_POINT('',#583988); #179186=VERTEX_POINT('',#583998); #179187=VERTEX_POINT('',#584000); #179188=VERTEX_POINT('',#584073); #179189=VERTEX_POINT('',#584074); #179190=VERTEX_POINT('',#584076); #179191=VERTEX_POINT('',#584078); #179192=VERTEX_POINT('',#584082); #179193=VERTEX_POINT('',#584084); #179194=VERTEX_POINT('',#584086); #179195=VERTEX_POINT('',#584088); #179196=VERTEX_POINT('',#584090); #179197=VERTEX_POINT('',#584092); #179198=VERTEX_POINT('',#584094); #179199=VERTEX_POINT('',#584096); #179200=VERTEX_POINT('',#584098); #179201=VERTEX_POINT('',#584100); #179202=VERTEX_POINT('',#584104); #179203=VERTEX_POINT('',#584105); #179204=VERTEX_POINT('',#584107); #179205=VERTEX_POINT('',#584109); #179206=VERTEX_POINT('',#584111); #179207=VERTEX_POINT('',#584113); #179208=VERTEX_POINT('',#584115); #179209=VERTEX_POINT('',#584117); #179210=VERTEX_POINT('',#584119); #179211=VERTEX_POINT('',#584121); #179212=VERTEX_POINT('',#584123); #179213=VERTEX_POINT('',#584125); #179214=VERTEX_POINT('',#584129); #179215=VERTEX_POINT('',#584131); #179216=VERTEX_POINT('',#584135); #179217=VERTEX_POINT('',#584136); #179218=VERTEX_POINT('',#584138); #179219=VERTEX_POINT('',#584141); #179220=VERTEX_POINT('',#584145); #179221=VERTEX_POINT('',#584146); #179222=VERTEX_POINT('',#584151); #179223=VERTEX_POINT('',#584154); #179224=VERTEX_POINT('',#584207); #179225=VERTEX_POINT('',#584208); #179226=VERTEX_POINT('',#584210); #179227=VERTEX_POINT('',#584212); #179228=VERTEX_POINT('',#584214); #179229=VERTEX_POINT('',#584216); #179230=VERTEX_POINT('',#584218); #179231=VERTEX_POINT('',#584220); #179232=VERTEX_POINT('',#584222); #179233=VERTEX_POINT('',#584224); #179234=VERTEX_POINT('',#584226); #179235=VERTEX_POINT('',#584228); #179236=VERTEX_POINT('',#584232); #179237=VERTEX_POINT('',#584233); #179238=VERTEX_POINT('',#584235); #179239=VERTEX_POINT('',#584237); #179240=VERTEX_POINT('',#584241); #179241=VERTEX_POINT('',#584242); #179242=VERTEX_POINT('',#584244); #179243=VERTEX_POINT('',#584246); #179244=VERTEX_POINT('',#584250); #179245=VERTEX_POINT('',#584251); #179246=VERTEX_POINT('',#584253); #179247=VERTEX_POINT('',#584255); #179248=VERTEX_POINT('',#584258); #179249=VERTEX_POINT('',#584259); #179250=VERTEX_POINT('',#584261); #179251=VERTEX_POINT('',#584263); #179252=VERTEX_POINT('',#584267); #179253=VERTEX_POINT('',#584268); #179254=VERTEX_POINT('',#584270); #179255=VERTEX_POINT('',#584272); #179256=VERTEX_POINT('',#584275); #179257=VERTEX_POINT('',#584276); #179258=VERTEX_POINT('',#584278); #179259=VERTEX_POINT('',#584280); #179260=VERTEX_POINT('',#584284); #179261=VERTEX_POINT('',#584285); #179262=VERTEX_POINT('',#584287); #179263=VERTEX_POINT('',#584289); #179264=VERTEX_POINT('',#584292); #179265=VERTEX_POINT('',#584293); #179266=VERTEX_POINT('',#584295); #179267=VERTEX_POINT('',#584297); #179268=VERTEX_POINT('',#584300); #179269=VERTEX_POINT('',#584301); #179270=VERTEX_POINT('',#584303); #179271=VERTEX_POINT('',#584305); #179272=VERTEX_POINT('',#584309); #179273=VERTEX_POINT('',#584312); #179274=VERTEX_POINT('',#584316); #179275=VERTEX_POINT('',#584318); #179276=VERTEX_POINT('',#584323); #179277=VERTEX_POINT('',#584327); #179278=VERTEX_POINT('',#584330); #179279=VERTEX_POINT('',#584334); #179280=VERTEX_POINT('',#584339); #179281=VERTEX_POINT('',#584343); #179282=VERTEX_POINT('',#584344); #179283=VERTEX_POINT('',#584346); #179284=VERTEX_POINT('',#584348); #179285=VERTEX_POINT('',#584350); #179286=VERTEX_POINT('',#584352); #179287=VERTEX_POINT('',#584357); #179288=VERTEX_POINT('',#584358); #179289=VERTEX_POINT('',#584360); #179290=VERTEX_POINT('',#584366); #179291=VERTEX_POINT('',#584368); #179292=VERTEX_POINT('',#584370); #179293=VERTEX_POINT('',#584373); #179294=VERTEX_POINT('',#584377); #179295=VERTEX_POINT('',#584378); #179296=VERTEX_POINT('',#584380); #179297=VERTEX_POINT('',#584382); #179298=VERTEX_POINT('',#584384); #179299=VERTEX_POINT('',#584386); #179300=VERTEX_POINT('',#584388); #179301=VERTEX_POINT('',#584394); #179302=VERTEX_POINT('',#584396); #179303=VERTEX_POINT('',#584398); #179304=VERTEX_POINT('',#584403); #179305=VERTEX_POINT('',#584404); #179306=VERTEX_POINT('',#584406); #179307=VERTEX_POINT('',#584408); #179308=VERTEX_POINT('',#584410); #179309=VERTEX_POINT('',#584412); #179310=VERTEX_POINT('',#584414); #179311=VERTEX_POINT('',#584417); #179312=VERTEX_POINT('',#584420); #179313=VERTEX_POINT('',#584423); #179314=VERTEX_POINT('',#584425); #179315=VERTEX_POINT('',#584427); #179316=VERTEX_POINT('',#584431); #179317=VERTEX_POINT('',#584433); #179318=VERTEX_POINT('',#584435); #179319=VERTEX_POINT('',#584437); #179320=VERTEX_POINT('',#584441); #179321=VERTEX_POINT('',#584445); #179322=VERTEX_POINT('',#584446); #179323=VERTEX_POINT('',#584449); #179324=VERTEX_POINT('',#584478); #179325=VERTEX_POINT('',#584480); #179326=VERTEX_POINT('',#584484); #179327=VERTEX_POINT('',#584488); #179328=VERTEX_POINT('',#584494); #179329=VERTEX_POINT('',#584495); #179330=VERTEX_POINT('',#584497); #179331=VERTEX_POINT('',#584499); #179332=VERTEX_POINT('',#584511); #179333=VERTEX_POINT('',#584513); #179334=VERTEX_POINT('',#584517); #179335=VERTEX_POINT('',#584521); #179336=VERTEX_POINT('',#584527); #179337=VERTEX_POINT('',#584528); #179338=VERTEX_POINT('',#584530); #179339=VERTEX_POINT('',#584532); #179340=VERTEX_POINT('',#584544); #179341=VERTEX_POINT('',#584546); #179342=VERTEX_POINT('',#584550); #179343=VERTEX_POINT('',#584551); #179344=VERTEX_POINT('',#584553); #179345=VERTEX_POINT('',#584555); #179346=VERTEX_POINT('',#584559); #179347=VERTEX_POINT('',#584561); #179348=VERTEX_POINT('',#584577); #179349=VERTEX_POINT('',#584579); #179350=VERTEX_POINT('',#584583); #179351=VERTEX_POINT('',#584584); #179352=VERTEX_POINT('',#584586); #179353=VERTEX_POINT('',#584588); #179354=VERTEX_POINT('',#584592); #179355=VERTEX_POINT('',#584594); #179356=VERTEX_POINT('',#584631); #179357=VERTEX_POINT('',#584632); #179358=VERTEX_POINT('',#584634); #179359=VERTEX_POINT('',#584638); #179360=VERTEX_POINT('',#584639); #179361=VERTEX_POINT('',#584641); #179362=VERTEX_POINT('',#584645); #179363=VERTEX_POINT('',#584646); #179364=VERTEX_POINT('',#584648); #179365=VERTEX_POINT('',#584652); #179366=VERTEX_POINT('',#584653); #179367=VERTEX_POINT('',#584655); #179368=VERTEX_POINT('',#584659); #179369=VERTEX_POINT('',#584660); #179370=VERTEX_POINT('',#584662); #179371=VERTEX_POINT('',#584669); #179372=VERTEX_POINT('',#584670); #179373=VERTEX_POINT('',#584672); #179374=VERTEX_POINT('',#584676); #179375=VERTEX_POINT('',#584677); #179376=VERTEX_POINT('',#584679); #179377=VERTEX_POINT('',#584689); #179378=VERTEX_POINT('',#584690); #179379=VERTEX_POINT('',#584692); #179380=VERTEX_POINT('',#584729); #179381=VERTEX_POINT('',#584730); #179382=VERTEX_POINT('',#584732); #179383=VERTEX_POINT('',#584736); #179384=VERTEX_POINT('',#584737); #179385=VERTEX_POINT('',#584739); #179386=VERTEX_POINT('',#584743); #179387=VERTEX_POINT('',#584744); #179388=VERTEX_POINT('',#584746); #179389=VERTEX_POINT('',#584750); #179390=VERTEX_POINT('',#584751); #179391=VERTEX_POINT('',#584753); #179392=VERTEX_POINT('',#584757); #179393=VERTEX_POINT('',#584758); #179394=VERTEX_POINT('',#584760); #179395=VERTEX_POINT('',#584767); #179396=VERTEX_POINT('',#584768); #179397=VERTEX_POINT('',#584770); #179398=VERTEX_POINT('',#584774); #179399=VERTEX_POINT('',#584775); #179400=VERTEX_POINT('',#584777); #179401=VERTEX_POINT('',#584787); #179402=VERTEX_POINT('',#584788); #179403=VERTEX_POINT('',#584790); #179404=VERTEX_POINT('',#584827); #179405=VERTEX_POINT('',#584828); #179406=VERTEX_POINT('',#584830); #179407=VERTEX_POINT('',#584832); #179408=VERTEX_POINT('',#584836); #179409=VERTEX_POINT('',#584838); #179410=VERTEX_POINT('',#584842); #179411=VERTEX_POINT('',#584844); #179412=VERTEX_POINT('',#584878); #179413=VERTEX_POINT('',#584879); #179414=VERTEX_POINT('',#584881); #179415=VERTEX_POINT('',#584885); #179416=VERTEX_POINT('',#584886); #179417=VERTEX_POINT('',#584888); #179418=VERTEX_POINT('',#584892); #179419=VERTEX_POINT('',#584893); #179420=VERTEX_POINT('',#584895); #179421=VERTEX_POINT('',#584899); #179422=VERTEX_POINT('',#584900); #179423=VERTEX_POINT('',#584902); #179424=VERTEX_POINT('',#584906); #179425=VERTEX_POINT('',#584907); #179426=VERTEX_POINT('',#584909); #179427=VERTEX_POINT('',#584916); #179428=VERTEX_POINT('',#584917); #179429=VERTEX_POINT('',#584919); #179430=VERTEX_POINT('',#584923); #179431=VERTEX_POINT('',#584924); #179432=VERTEX_POINT('',#584926); #179433=VERTEX_POINT('',#584936); #179434=VERTEX_POINT('',#584937); #179435=VERTEX_POINT('',#584939); #179436=VERTEX_POINT('',#584976); #179437=VERTEX_POINT('',#584977); #179438=VERTEX_POINT('',#584979); #179439=VERTEX_POINT('',#584983); #179440=VERTEX_POINT('',#584984); #179441=VERTEX_POINT('',#584986); #179442=VERTEX_POINT('',#584990); #179443=VERTEX_POINT('',#584991); #179444=VERTEX_POINT('',#584993); #179445=VERTEX_POINT('',#584997); #179446=VERTEX_POINT('',#584998); #179447=VERTEX_POINT('',#585000); #179448=VERTEX_POINT('',#585004); #179449=VERTEX_POINT('',#585005); #179450=VERTEX_POINT('',#585007); #179451=VERTEX_POINT('',#585014); #179452=VERTEX_POINT('',#585015); #179453=VERTEX_POINT('',#585017); #179454=VERTEX_POINT('',#585021); #179455=VERTEX_POINT('',#585022); #179456=VERTEX_POINT('',#585024); #179457=VERTEX_POINT('',#585034); #179458=VERTEX_POINT('',#585035); #179459=VERTEX_POINT('',#585037); #179460=VERTEX_POINT('',#585074); #179461=VERTEX_POINT('',#585075); #179462=VERTEX_POINT('',#585077); #179463=VERTEX_POINT('',#585079); #179464=VERTEX_POINT('',#585083); #179465=VERTEX_POINT('',#585085); #179466=VERTEX_POINT('',#585089); #179467=VERTEX_POINT('',#585091); #179468=VERTEX_POINT('',#585103); #179469=VERTEX_POINT('',#585104); #179470=VERTEX_POINT('',#585106); #179471=VERTEX_POINT('',#585110); #179472=VERTEX_POINT('',#585111); #179473=VERTEX_POINT('',#585113); #179474=VERTEX_POINT('',#585117); #179475=VERTEX_POINT('',#585118); #179476=VERTEX_POINT('',#585120); #179477=VERTEX_POINT('',#585124); #179478=VERTEX_POINT('',#585125); #179479=VERTEX_POINT('',#585127); #179480=VERTEX_POINT('',#585131); #179481=VERTEX_POINT('',#585132); #179482=VERTEX_POINT('',#585134); #179483=VERTEX_POINT('',#585141); #179484=VERTEX_POINT('',#585142); #179485=VERTEX_POINT('',#585144); #179486=VERTEX_POINT('',#585148); #179487=VERTEX_POINT('',#585149); #179488=VERTEX_POINT('',#585151); #179489=VERTEX_POINT('',#585161); #179490=VERTEX_POINT('',#585162); #179491=VERTEX_POINT('',#585164); #179492=VERTEX_POINT('',#585201); #179493=VERTEX_POINT('',#585202); #179494=VERTEX_POINT('',#585204); #179495=VERTEX_POINT('',#585208); #179496=VERTEX_POINT('',#585209); #179497=VERTEX_POINT('',#585211); #179498=VERTEX_POINT('',#585215); #179499=VERTEX_POINT('',#585216); #179500=VERTEX_POINT('',#585218); #179501=VERTEX_POINT('',#585222); #179502=VERTEX_POINT('',#585223); #179503=VERTEX_POINT('',#585225); #179504=VERTEX_POINT('',#585229); #179505=VERTEX_POINT('',#585230); #179506=VERTEX_POINT('',#585232); #179507=VERTEX_POINT('',#585239); #179508=VERTEX_POINT('',#585240); #179509=VERTEX_POINT('',#585242); #179510=VERTEX_POINT('',#585246); #179511=VERTEX_POINT('',#585247); #179512=VERTEX_POINT('',#585249); #179513=VERTEX_POINT('',#585259); #179514=VERTEX_POINT('',#585260); #179515=VERTEX_POINT('',#585262); #179516=VERTEX_POINT('',#585299); #179517=VERTEX_POINT('',#585300); #179518=VERTEX_POINT('',#585302); #179519=VERTEX_POINT('',#585304); #179520=VERTEX_POINT('',#585308); #179521=VERTEX_POINT('',#585310); #179522=VERTEX_POINT('',#585314); #179523=VERTEX_POINT('',#585316); #179524=VERTEX_POINT('',#585328); #179525=VERTEX_POINT('',#585330); #179526=VERTEX_POINT('',#585335); #179527=VERTEX_POINT('',#585338); #179528=VERTEX_POINT('',#585342); #179529=VERTEX_POINT('',#585346); #179530=VERTEX_POINT('',#585350); #179531=VERTEX_POINT('',#585354); #179532=VERTEX_POINT('',#585358); #179533=VERTEX_POINT('',#585362); #179534=VERTEX_POINT('',#585367); #179535=VERTEX_POINT('',#585368); #179536=VERTEX_POINT('',#585370); #179537=VERTEX_POINT('',#585372); #179538=VERTEX_POINT('',#585374); #179539=VERTEX_POINT('',#585376); #179540=VERTEX_POINT('',#585378); #179541=VERTEX_POINT('',#585380); #179542=VERTEX_POINT('',#585382); #179543=VERTEX_POINT('',#585384); #179544=VERTEX_POINT('',#585386); #179545=VERTEX_POINT('',#585388); #179546=VERTEX_POINT('',#585390); #179547=VERTEX_POINT('',#585392); #179548=VERTEX_POINT('',#585394); #179549=VERTEX_POINT('',#585396); #179550=VERTEX_POINT('',#585400); #179551=VERTEX_POINT('',#585402); #179552=VERTEX_POINT('',#585406); #179553=VERTEX_POINT('',#585410); #179554=VERTEX_POINT('',#585414); #179555=VERTEX_POINT('',#585418); #179556=VERTEX_POINT('',#585422); #179557=VERTEX_POINT('',#585426); #179558=VERTEX_POINT('',#585430); #179559=VERTEX_POINT('',#585434); #179560=VERTEX_POINT('',#585438); #179561=VERTEX_POINT('',#585442); #179562=VERTEX_POINT('',#585446); #179563=VERTEX_POINT('',#585450); #179564=VERTEX_POINT('',#585454); #179565=VERTEX_POINT('',#585458); #179566=VERTEX_POINT('',#585465); #179567=VERTEX_POINT('',#585467); #179568=VERTEX_POINT('',#585473); #179569=VERTEX_POINT('',#585475); #179570=VERTEX_POINT('',#585481); #179571=VERTEX_POINT('',#585482); #179572=VERTEX_POINT('',#585484); #179573=VERTEX_POINT('',#585486); #179574=VERTEX_POINT('',#585490); #179575=VERTEX_POINT('',#585492); #179576=VERTEX_POINT('',#585496); #179577=VERTEX_POINT('',#585500); #179578=VERTEX_POINT('',#585507); #179579=VERTEX_POINT('',#585508); #179580=VERTEX_POINT('',#585510); #179581=VERTEX_POINT('',#585512); #179582=VERTEX_POINT('',#585516); #179583=VERTEX_POINT('',#585518); #179584=VERTEX_POINT('',#585522); #179585=VERTEX_POINT('',#585526); #179586=VERTEX_POINT('',#585533); #179587=VERTEX_POINT('',#585534); #179588=VERTEX_POINT('',#585536); #179589=VERTEX_POINT('',#585538); #179590=VERTEX_POINT('',#585542); #179591=VERTEX_POINT('',#585544); #179592=VERTEX_POINT('',#585548); #179593=VERTEX_POINT('',#585552); #179594=VERTEX_POINT('',#585559); #179595=VERTEX_POINT('',#585560); #179596=VERTEX_POINT('',#585562); #179597=VERTEX_POINT('',#585564); #179598=VERTEX_POINT('',#585568); #179599=VERTEX_POINT('',#585570); #179600=VERTEX_POINT('',#585574); #179601=VERTEX_POINT('',#585578); #179602=VERTEX_POINT('',#585585); #179603=VERTEX_POINT('',#585586); #179604=VERTEX_POINT('',#585588); #179605=VERTEX_POINT('',#585590); #179606=VERTEX_POINT('',#585594); #179607=VERTEX_POINT('',#585596); #179608=VERTEX_POINT('',#585600); #179609=VERTEX_POINT('',#585604); #179610=VERTEX_POINT('',#585611); #179611=VERTEX_POINT('',#585612); #179612=VERTEX_POINT('',#585614); #179613=VERTEX_POINT('',#585616); #179614=VERTEX_POINT('',#585620); #179615=VERTEX_POINT('',#585622); #179616=VERTEX_POINT('',#585626); #179617=VERTEX_POINT('',#585630); #179618=VERTEX_POINT('',#585637); #179619=VERTEX_POINT('',#585638); #179620=VERTEX_POINT('',#585640); #179621=VERTEX_POINT('',#585642); #179622=VERTEX_POINT('',#585646); #179623=VERTEX_POINT('',#585648); #179624=VERTEX_POINT('',#585652); #179625=VERTEX_POINT('',#585656); #179626=VERTEX_POINT('',#585663); #179627=VERTEX_POINT('',#585664); #179628=VERTEX_POINT('',#585666); #179629=VERTEX_POINT('',#585668); #179630=VERTEX_POINT('',#585672); #179631=VERTEX_POINT('',#585674); #179632=VERTEX_POINT('',#585678); #179633=VERTEX_POINT('',#585682); #179634=VERTEX_POINT('',#585689); #179635=VERTEX_POINT('',#585690); #179636=VERTEX_POINT('',#585692); #179637=VERTEX_POINT('',#585694); #179638=VERTEX_POINT('',#585698); #179639=VERTEX_POINT('',#585700); #179640=VERTEX_POINT('',#585704); #179641=VERTEX_POINT('',#585708); #179642=VERTEX_POINT('',#585718); #179643=VERTEX_POINT('',#585720); #179644=VERTEX_POINT('',#585725); #179645=VERTEX_POINT('',#585726); #179646=VERTEX_POINT('',#585728); #179647=VERTEX_POINT('',#585730); #179648=VERTEX_POINT('',#585734); #179649=VERTEX_POINT('',#585736); #179650=VERTEX_POINT('',#585740); #179651=VERTEX_POINT('',#585742); #179652=VERTEX_POINT('',#585749); #179653=VERTEX_POINT('',#585751); #179654=VERTEX_POINT('',#585755); #179655=VERTEX_POINT('',#585760); #179656=VERTEX_POINT('',#585767); #179657=VERTEX_POINT('',#585768); #179658=VERTEX_POINT('',#585770); #179659=VERTEX_POINT('',#585772); #179660=VERTEX_POINT('',#585776); #179661=VERTEX_POINT('',#585778); #179662=VERTEX_POINT('',#585782); #179663=VERTEX_POINT('',#585784); #179664=VERTEX_POINT('',#585793); #179665=VERTEX_POINT('',#585794); #179666=VERTEX_POINT('',#585796); #179667=VERTEX_POINT('',#585798); #179668=VERTEX_POINT('',#585802); #179669=VERTEX_POINT('',#585804); #179670=VERTEX_POINT('',#585808); #179671=VERTEX_POINT('',#585810); #179672=VERTEX_POINT('',#585814); #179673=VERTEX_POINT('',#585816); #179674=VERTEX_POINT('',#585820); #179675=VERTEX_POINT('',#585822); #179676=VERTEX_POINT('',#585831); #179677=VERTEX_POINT('',#585832); #179678=VERTEX_POINT('',#585834); #179679=VERTEX_POINT('',#585836); #179680=VERTEX_POINT('',#585840); #179681=VERTEX_POINT('',#585842); #179682=VERTEX_POINT('',#585846); #179683=VERTEX_POINT('',#585848); #179684=VERTEX_POINT('',#585852); #179685=VERTEX_POINT('',#585854); #179686=VERTEX_POINT('',#585858); #179687=VERTEX_POINT('',#585860); #179688=VERTEX_POINT('',#585869); #179689=VERTEX_POINT('',#585870); #179690=VERTEX_POINT('',#585872); #179691=VERTEX_POINT('',#585874); #179692=VERTEX_POINT('',#585878); #179693=VERTEX_POINT('',#585880); #179694=VERTEX_POINT('',#585884); #179695=VERTEX_POINT('',#585886); #179696=VERTEX_POINT('',#585890); #179697=VERTEX_POINT('',#585892); #179698=VERTEX_POINT('',#585896); #179699=VERTEX_POINT('',#585898); #179700=VERTEX_POINT('',#585907); #179701=VERTEX_POINT('',#585908); #179702=VERTEX_POINT('',#585910); #179703=VERTEX_POINT('',#585912); #179704=VERTEX_POINT('',#585916); #179705=VERTEX_POINT('',#585918); #179706=VERTEX_POINT('',#585922); #179707=VERTEX_POINT('',#585924); #179708=VERTEX_POINT('',#585928); #179709=VERTEX_POINT('',#585930); #179710=VERTEX_POINT('',#585934); #179711=VERTEX_POINT('',#585936); #179712=VERTEX_POINT('',#585945); #179713=VERTEX_POINT('',#585946); #179714=VERTEX_POINT('',#585948); #179715=VERTEX_POINT('',#585950); #179716=VERTEX_POINT('',#585954); #179717=VERTEX_POINT('',#585956); #179718=VERTEX_POINT('',#585960); #179719=VERTEX_POINT('',#585962); #179720=VERTEX_POINT('',#585966); #179721=VERTEX_POINT('',#585968); #179722=VERTEX_POINT('',#585972); #179723=VERTEX_POINT('',#585974); #179724=VERTEX_POINT('',#585983); #179725=VERTEX_POINT('',#585984); #179726=VERTEX_POINT('',#585986); #179727=VERTEX_POINT('',#585988); #179728=VERTEX_POINT('',#585992); #179729=VERTEX_POINT('',#585994); #179730=VERTEX_POINT('',#585998); #179731=VERTEX_POINT('',#586000); #179732=VERTEX_POINT('',#586004); #179733=VERTEX_POINT('',#586006); #179734=VERTEX_POINT('',#586010); #179735=VERTEX_POINT('',#586012); #179736=VERTEX_POINT('',#586021); #179737=VERTEX_POINT('',#586022); #179738=VERTEX_POINT('',#586024); #179739=VERTEX_POINT('',#586026); #179740=VERTEX_POINT('',#586030); #179741=VERTEX_POINT('',#586032); #179742=VERTEX_POINT('',#586036); #179743=VERTEX_POINT('',#586038); #179744=VERTEX_POINT('',#586042); #179745=VERTEX_POINT('',#586044); #179746=VERTEX_POINT('',#586048); #179747=VERTEX_POINT('',#586050); #179748=VERTEX_POINT('',#586059); #179749=VERTEX_POINT('',#586060); #179750=VERTEX_POINT('',#586062); #179751=VERTEX_POINT('',#586064); #179752=VERTEX_POINT('',#586068); #179753=VERTEX_POINT('',#586070); #179754=VERTEX_POINT('',#586074); #179755=VERTEX_POINT('',#586076); #179756=VERTEX_POINT('',#586080); #179757=VERTEX_POINT('',#586082); #179758=VERTEX_POINT('',#586086); #179759=VERTEX_POINT('',#586088); #179760=VERTEX_POINT('',#586097); #179761=VERTEX_POINT('',#586098); #179762=VERTEX_POINT('',#586100); #179763=VERTEX_POINT('',#586102); #179764=VERTEX_POINT('',#586106); #179765=VERTEX_POINT('',#586108); #179766=VERTEX_POINT('',#586112); #179767=VERTEX_POINT('',#586114); #179768=VERTEX_POINT('',#586123); #179769=VERTEX_POINT('',#586124); #179770=VERTEX_POINT('',#586126); #179771=VERTEX_POINT('',#586128); #179772=VERTEX_POINT('',#586132); #179773=VERTEX_POINT('',#586134); #179774=VERTEX_POINT('',#586138); #179775=VERTEX_POINT('',#586140); #179776=VERTEX_POINT('',#586149); #179777=VERTEX_POINT('',#586150); #179778=VERTEX_POINT('',#586152); #179779=VERTEX_POINT('',#586154); #179780=VERTEX_POINT('',#586158); #179781=VERTEX_POINT('',#586160); #179782=VERTEX_POINT('',#586164); #179783=VERTEX_POINT('',#586166); #179784=VERTEX_POINT('',#586175); #179785=VERTEX_POINT('',#586176); #179786=VERTEX_POINT('',#586178); #179787=VERTEX_POINT('',#586180); #179788=VERTEX_POINT('',#586184); #179789=VERTEX_POINT('',#586186); #179790=VERTEX_POINT('',#586190); #179791=VERTEX_POINT('',#586192); #179792=VERTEX_POINT('',#586201); #179793=VERTEX_POINT('',#586202); #179794=VERTEX_POINT('',#586204); #179795=VERTEX_POINT('',#586206); #179796=VERTEX_POINT('',#586210); #179797=VERTEX_POINT('',#586212); #179798=VERTEX_POINT('',#586216); #179799=VERTEX_POINT('',#586218); #179800=VERTEX_POINT('',#586227); #179801=VERTEX_POINT('',#586228); #179802=VERTEX_POINT('',#586230); #179803=VERTEX_POINT('',#586232); #179804=VERTEX_POINT('',#586236); #179805=VERTEX_POINT('',#586238); #179806=VERTEX_POINT('',#586242); #179807=VERTEX_POINT('',#586244); #179808=VERTEX_POINT('',#586253); #179809=VERTEX_POINT('',#586254); #179810=VERTEX_POINT('',#586256); #179811=VERTEX_POINT('',#586258); #179812=VERTEX_POINT('',#586262); #179813=VERTEX_POINT('',#586264); #179814=VERTEX_POINT('',#586268); #179815=VERTEX_POINT('',#586270); #179816=VERTEX_POINT('',#586279); #179817=VERTEX_POINT('',#586280); #179818=VERTEX_POINT('',#586282); #179819=VERTEX_POINT('',#586284); #179820=VERTEX_POINT('',#586288); #179821=VERTEX_POINT('',#586290); #179822=VERTEX_POINT('',#586294); #179823=VERTEX_POINT('',#586296); #179824=VERTEX_POINT('',#586308); #179825=VERTEX_POINT('',#586310); #179826=VERTEX_POINT('',#586315); #179827=VERTEX_POINT('',#586316); #179828=VERTEX_POINT('',#586318); #179829=VERTEX_POINT('',#586320); #179830=VERTEX_POINT('',#586324); #179831=VERTEX_POINT('',#586326); #179832=VERTEX_POINT('',#586330); #179833=VERTEX_POINT('',#586332); #179834=VERTEX_POINT('',#586339); #179835=VERTEX_POINT('',#586341); #179836=VERTEX_POINT('',#586345); #179837=VERTEX_POINT('',#586350); #179838=VERTEX_POINT('',#586357); #179839=VERTEX_POINT('',#586358); #179840=VERTEX_POINT('',#586360); #179841=VERTEX_POINT('',#586362); #179842=VERTEX_POINT('',#586366); #179843=VERTEX_POINT('',#586368); #179844=VERTEX_POINT('',#586372); #179845=VERTEX_POINT('',#586374); #179846=VERTEX_POINT('',#586383); #179847=VERTEX_POINT('',#586384); #179848=VERTEX_POINT('',#586386); #179849=VERTEX_POINT('',#586388); #179850=VERTEX_POINT('',#586392); #179851=VERTEX_POINT('',#586394); #179852=VERTEX_POINT('',#586398); #179853=VERTEX_POINT('',#586400); #179854=VERTEX_POINT('',#586404); #179855=VERTEX_POINT('',#586406); #179856=VERTEX_POINT('',#586410); #179857=VERTEX_POINT('',#586412); #179858=VERTEX_POINT('',#586421); #179859=VERTEX_POINT('',#586422); #179860=VERTEX_POINT('',#586424); #179861=VERTEX_POINT('',#586426); #179862=VERTEX_POINT('',#586430); #179863=VERTEX_POINT('',#586432); #179864=VERTEX_POINT('',#586436); #179865=VERTEX_POINT('',#586438); #179866=VERTEX_POINT('',#586442); #179867=VERTEX_POINT('',#586444); #179868=VERTEX_POINT('',#586448); #179869=VERTEX_POINT('',#586450); #179870=VERTEX_POINT('',#586459); #179871=VERTEX_POINT('',#586460); #179872=VERTEX_POINT('',#586462); #179873=VERTEX_POINT('',#586464); #179874=VERTEX_POINT('',#586468); #179875=VERTEX_POINT('',#586470); #179876=VERTEX_POINT('',#586474); #179877=VERTEX_POINT('',#586476); #179878=VERTEX_POINT('',#586480); #179879=VERTEX_POINT('',#586482); #179880=VERTEX_POINT('',#586486); #179881=VERTEX_POINT('',#586488); #179882=VERTEX_POINT('',#586497); #179883=VERTEX_POINT('',#586498); #179884=VERTEX_POINT('',#586500); #179885=VERTEX_POINT('',#586502); #179886=VERTEX_POINT('',#586506); #179887=VERTEX_POINT('',#586508); #179888=VERTEX_POINT('',#586512); #179889=VERTEX_POINT('',#586514); #179890=VERTEX_POINT('',#586518); #179891=VERTEX_POINT('',#586520); #179892=VERTEX_POINT('',#586524); #179893=VERTEX_POINT('',#586526); #179894=VERTEX_POINT('',#586535); #179895=VERTEX_POINT('',#586536); #179896=VERTEX_POINT('',#586538); #179897=VERTEX_POINT('',#586540); #179898=VERTEX_POINT('',#586544); #179899=VERTEX_POINT('',#586546); #179900=VERTEX_POINT('',#586550); #179901=VERTEX_POINT('',#586552); #179902=VERTEX_POINT('',#586556); #179903=VERTEX_POINT('',#586558); #179904=VERTEX_POINT('',#586562); #179905=VERTEX_POINT('',#586564); #179906=VERTEX_POINT('',#586573); #179907=VERTEX_POINT('',#586574); #179908=VERTEX_POINT('',#586576); #179909=VERTEX_POINT('',#586578); #179910=VERTEX_POINT('',#586582); #179911=VERTEX_POINT('',#586584); #179912=VERTEX_POINT('',#586588); #179913=VERTEX_POINT('',#586590); #179914=VERTEX_POINT('',#586594); #179915=VERTEX_POINT('',#586596); #179916=VERTEX_POINT('',#586600); #179917=VERTEX_POINT('',#586602); #179918=VERTEX_POINT('',#586611); #179919=VERTEX_POINT('',#586612); #179920=VERTEX_POINT('',#586614); #179921=VERTEX_POINT('',#586616); #179922=VERTEX_POINT('',#586620); #179923=VERTEX_POINT('',#586622); #179924=VERTEX_POINT('',#586626); #179925=VERTEX_POINT('',#586628); #179926=VERTEX_POINT('',#586632); #179927=VERTEX_POINT('',#586634); #179928=VERTEX_POINT('',#586638); #179929=VERTEX_POINT('',#586640); #179930=VERTEX_POINT('',#586649); #179931=VERTEX_POINT('',#586650); #179932=VERTEX_POINT('',#586652); #179933=VERTEX_POINT('',#586654); #179934=VERTEX_POINT('',#586658); #179935=VERTEX_POINT('',#586660); #179936=VERTEX_POINT('',#586664); #179937=VERTEX_POINT('',#586666); #179938=VERTEX_POINT('',#586670); #179939=VERTEX_POINT('',#586672); #179940=VERTEX_POINT('',#586676); #179941=VERTEX_POINT('',#586678); #179942=VERTEX_POINT('',#586687); #179943=VERTEX_POINT('',#586688); #179944=VERTEX_POINT('',#586690); #179945=VERTEX_POINT('',#586692); #179946=VERTEX_POINT('',#586696); #179947=VERTEX_POINT('',#586698); #179948=VERTEX_POINT('',#586702); #179949=VERTEX_POINT('',#586704); #179950=VERTEX_POINT('',#586713); #179951=VERTEX_POINT('',#586714); #179952=VERTEX_POINT('',#586716); #179953=VERTEX_POINT('',#586718); #179954=VERTEX_POINT('',#586722); #179955=VERTEX_POINT('',#586724); #179956=VERTEX_POINT('',#586728); #179957=VERTEX_POINT('',#586730); #179958=VERTEX_POINT('',#586739); #179959=VERTEX_POINT('',#586740); #179960=VERTEX_POINT('',#586742); #179961=VERTEX_POINT('',#586744); #179962=VERTEX_POINT('',#586748); #179963=VERTEX_POINT('',#586750); #179964=VERTEX_POINT('',#586754); #179965=VERTEX_POINT('',#586756); #179966=VERTEX_POINT('',#586765); #179967=VERTEX_POINT('',#586766); #179968=VERTEX_POINT('',#586768); #179969=VERTEX_POINT('',#586770); #179970=VERTEX_POINT('',#586774); #179971=VERTEX_POINT('',#586776); #179972=VERTEX_POINT('',#586780); #179973=VERTEX_POINT('',#586782); #179974=VERTEX_POINT('',#586791); #179975=VERTEX_POINT('',#586792); #179976=VERTEX_POINT('',#586794); #179977=VERTEX_POINT('',#586796); #179978=VERTEX_POINT('',#586800); #179979=VERTEX_POINT('',#586802); #179980=VERTEX_POINT('',#586806); #179981=VERTEX_POINT('',#586808); #179982=VERTEX_POINT('',#586817); #179983=VERTEX_POINT('',#586818); #179984=VERTEX_POINT('',#586820); #179985=VERTEX_POINT('',#586822); #179986=VERTEX_POINT('',#586826); #179987=VERTEX_POINT('',#586828); #179988=VERTEX_POINT('',#586832); #179989=VERTEX_POINT('',#586834); #179990=VERTEX_POINT('',#586843); #179991=VERTEX_POINT('',#586844); #179992=VERTEX_POINT('',#586846); #179993=VERTEX_POINT('',#586848); #179994=VERTEX_POINT('',#586852); #179995=VERTEX_POINT('',#586854); #179996=VERTEX_POINT('',#586858); #179997=VERTEX_POINT('',#586860); #179998=VERTEX_POINT('',#586869); #179999=VERTEX_POINT('',#586870); #180000=VERTEX_POINT('',#586872); #180001=VERTEX_POINT('',#586874); #180002=VERTEX_POINT('',#586878); #180003=VERTEX_POINT('',#586880); #180004=VERTEX_POINT('',#586884); #180005=VERTEX_POINT('',#586886); #180006=VERTEX_POINT('',#586898); #180007=VERTEX_POINT('',#586899); #180008=VERTEX_POINT('',#586901); #180009=VERTEX_POINT('',#586903); #180010=VERTEX_POINT('',#586907); #180011=VERTEX_POINT('',#586909); #180012=VERTEX_POINT('',#586913); #180013=VERTEX_POINT('',#586915); #180014=VERTEX_POINT('',#586922); #180015=VERTEX_POINT('',#586924); #180016=VERTEX_POINT('',#586928); #180017=VERTEX_POINT('',#586933); #180018=VERTEX_POINT('',#586940); #180019=VERTEX_POINT('',#586941); #180020=VERTEX_POINT('',#586943); #180021=VERTEX_POINT('',#586945); #180022=VERTEX_POINT('',#586949); #180023=VERTEX_POINT('',#586951); #180024=VERTEX_POINT('',#586955); #180025=VERTEX_POINT('',#586957); #180026=VERTEX_POINT('',#586966); #180027=VERTEX_POINT('',#586967); #180028=VERTEX_POINT('',#586969); #180029=VERTEX_POINT('',#586971); #180030=VERTEX_POINT('',#586975); #180031=VERTEX_POINT('',#586977); #180032=VERTEX_POINT('',#586981); #180033=VERTEX_POINT('',#586983); #180034=VERTEX_POINT('',#586992); #180035=VERTEX_POINT('',#586993); #180036=VERTEX_POINT('',#586995); #180037=VERTEX_POINT('',#586997); #180038=VERTEX_POINT('',#587001); #180039=VERTEX_POINT('',#587003); #180040=VERTEX_POINT('',#587007); #180041=VERTEX_POINT('',#587009); #180042=VERTEX_POINT('',#587018); #180043=VERTEX_POINT('',#587019); #180044=VERTEX_POINT('',#587021); #180045=VERTEX_POINT('',#587023); #180046=VERTEX_POINT('',#587027); #180047=VERTEX_POINT('',#587029); #180048=VERTEX_POINT('',#587033); #180049=VERTEX_POINT('',#587035); #180050=VERTEX_POINT('',#587047); #180051=VERTEX_POINT('',#587049); #180052=VERTEX_POINT('',#587053); #180053=VERTEX_POINT('',#587055); #180054=VERTEX_POINT('',#587061); #180055=VERTEX_POINT('',#587062); #180056=VERTEX_POINT('',#587064); #180057=VERTEX_POINT('',#587078); #180058=VERTEX_POINT('',#587087); #180059=VERTEX_POINT('',#587114); #180060=VERTEX_POINT('',#587115); #180061=VERTEX_POINT('',#587168); #180062=VERTEX_POINT('',#587169); #180063=VERTEX_POINT('',#587171); #180064=VERTEX_POINT('',#587179); #180065=VERTEX_POINT('',#587195); #180066=VERTEX_POINT('',#587196); #180067=VERTEX_POINT('',#587198); #180068=VERTEX_POINT('',#587215); #180069=VERTEX_POINT('',#587240); #180070=VERTEX_POINT('',#587241); #180071=VERTEX_POINT('',#587291); #180072=VERTEX_POINT('',#587292); #180073=VERTEX_POINT('',#587294); #180074=VERTEX_POINT('',#587299); #180075=VERTEX_POINT('',#587308); #180076=VERTEX_POINT('',#587923); #180077=VERTEX_POINT('',#587928); #180078=VERTEX_POINT('',#587949); #180079=VERTEX_POINT('',#587972); #180080=VERTEX_POINT('',#588025); #180081=VERTEX_POINT('',#588046); #180082=VERTEX_POINT('',#588092); #180083=VERTEX_POINT('',#588093); #180084=VERTEX_POINT('',#588095); #180085=VERTEX_POINT('',#588097); #180086=VERTEX_POINT('',#588099); #180087=VERTEX_POINT('',#588101); #180088=VERTEX_POINT('',#588117); #180089=VERTEX_POINT('',#588118); #180090=VERTEX_POINT('',#588120); #180091=VERTEX_POINT('',#588131); #180092=VERTEX_POINT('',#588141); #180093=VERTEX_POINT('',#588142); #180094=VERTEX_POINT('',#588189); #180095=VERTEX_POINT('',#588190); #180096=VERTEX_POINT('',#588237); #180097=VERTEX_POINT('',#588238); #180098=VERTEX_POINT('',#588285); #180099=VERTEX_POINT('',#588286); #180100=VERTEX_POINT('',#588339); #180101=VERTEX_POINT('',#588340); #180102=VERTEX_POINT('',#588387); #180103=VERTEX_POINT('',#588388); #180104=VERTEX_POINT('',#588435); #180105=VERTEX_POINT('',#588436); #180106=VERTEX_POINT('',#588438); #180107=VERTEX_POINT('',#588445); #180108=VERTEX_POINT('',#588446); #180109=VERTEX_POINT('',#588458); #180110=VERTEX_POINT('',#588470); #180111=VERTEX_POINT('',#588471); #180112=VERTEX_POINT('',#588494); #180113=VERTEX_POINT('',#588495); #180114=VERTEX_POINT('',#588519); #180115=VERTEX_POINT('',#588520); #180116=VERTEX_POINT('',#588544); #180117=VERTEX_POINT('',#588545); #180118=VERTEX_POINT('',#588568); #180119=VERTEX_POINT('',#588569); #180120=VERTEX_POINT('',#588592); #180121=VERTEX_POINT('',#588593); #180122=VERTEX_POINT('',#588595); #180123=VERTEX_POINT('',#588597); #180124=VERTEX_POINT('',#588601); #180125=VERTEX_POINT('',#588602); #180126=VERTEX_POINT('',#588604); #180127=VERTEX_POINT('',#588606); #180128=VERTEX_POINT('',#588610); #180129=VERTEX_POINT('',#588611); #180130=VERTEX_POINT('',#588613); #180131=VERTEX_POINT('',#588615); #180132=VERTEX_POINT('',#588619); #180133=VERTEX_POINT('',#588620); #180134=VERTEX_POINT('',#588622); #180135=VERTEX_POINT('',#588624); #180136=VERTEX_POINT('',#588628); #180137=VERTEX_POINT('',#588629); #180138=VERTEX_POINT('',#588631); #180139=VERTEX_POINT('',#588633); #180140=VERTEX_POINT('',#588637); #180141=VERTEX_POINT('',#588638); #180142=VERTEX_POINT('',#588640); #180143=VERTEX_POINT('',#588642); #180144=VERTEX_POINT('',#588646); #180145=VERTEX_POINT('',#588647); #180146=VERTEX_POINT('',#588649); #180147=VERTEX_POINT('',#588651); #180148=VERTEX_POINT('',#588662); #180149=VERTEX_POINT('',#588667); #180150=VERTEX_POINT('',#588681); #180151=VERTEX_POINT('',#588682); #180152=VERTEX_POINT('',#588687); #180153=VERTEX_POINT('',#588689); #180154=VERTEX_POINT('',#588696); #180155=VERTEX_POINT('',#588698); #180156=VERTEX_POINT('',#588705); #180157=VERTEX_POINT('',#588707); #180158=VERTEX_POINT('',#588709); #180159=VERTEX_POINT('',#588711); #180160=VERTEX_POINT('',#588713); #180161=VERTEX_POINT('',#588715); #180162=VERTEX_POINT('',#588717); #180163=VERTEX_POINT('',#588719); #180164=VERTEX_POINT('',#588721); #180165=VERTEX_POINT('',#588725); #180166=VERTEX_POINT('',#588727); #180167=VERTEX_POINT('',#588738); #180168=VERTEX_POINT('',#588743); #180169=VERTEX_POINT('',#588757); #180170=VERTEX_POINT('',#588758); #180171=VERTEX_POINT('',#588763); #180172=VERTEX_POINT('',#588765); #180173=VERTEX_POINT('',#588777); #180174=VERTEX_POINT('',#588778); #180175=VERTEX_POINT('',#588780); #180176=VERTEX_POINT('',#588782); #180177=VERTEX_POINT('',#588788); #180178=VERTEX_POINT('',#588790); #180179=VERTEX_POINT('',#588796); #180180=VERTEX_POINT('',#588797); #180181=VERTEX_POINT('',#588799); #180182=VERTEX_POINT('',#588801); #180183=VERTEX_POINT('',#588807); #180184=VERTEX_POINT('',#588809); #180185=VERTEX_POINT('',#588815); #180186=VERTEX_POINT('',#588816); #180187=VERTEX_POINT('',#588818); #180188=VERTEX_POINT('',#588820); #180189=VERTEX_POINT('',#588826); #180190=VERTEX_POINT('',#588828); #180191=VERTEX_POINT('',#588834); #180192=VERTEX_POINT('',#588840); #180193=VERTEX_POINT('',#588846); #180194=VERTEX_POINT('',#588847); #180195=VERTEX_POINT('',#588849); #180196=VERTEX_POINT('',#588851); #180197=VERTEX_POINT('',#588857); #180198=VERTEX_POINT('',#588859); #180199=VERTEX_POINT('',#588865); #180200=VERTEX_POINT('',#588866); #180201=VERTEX_POINT('',#588868); #180202=VERTEX_POINT('',#588870); #180203=VERTEX_POINT('',#588876); #180204=VERTEX_POINT('',#588878); #180205=VERTEX_POINT('',#588884); #180206=VERTEX_POINT('',#588885); #180207=VERTEX_POINT('',#588887); #180208=VERTEX_POINT('',#588889); #180209=VERTEX_POINT('',#588895); #180210=VERTEX_POINT('',#588897); #180211=VERTEX_POINT('',#588903); #180212=VERTEX_POINT('',#588904); #180213=VERTEX_POINT('',#588906); #180214=VERTEX_POINT('',#588908); #180215=VERTEX_POINT('',#588914); #180216=VERTEX_POINT('',#588916); #180217=VERTEX_POINT('',#588959); #180218=VERTEX_POINT('',#588960); #180219=VERTEX_POINT('',#588962); #180220=VERTEX_POINT('',#588964); #180221=VERTEX_POINT('',#588970); #180222=VERTEX_POINT('',#588972); #180223=VERTEX_POINT('',#588978); #180224=VERTEX_POINT('',#588979); #180225=VERTEX_POINT('',#588981); #180226=VERTEX_POINT('',#588983); #180227=VERTEX_POINT('',#588989); #180228=VERTEX_POINT('',#588991); #180229=VERTEX_POINT('',#588997); #180230=VERTEX_POINT('',#588998); #180231=VERTEX_POINT('',#589000); #180232=VERTEX_POINT('',#589002); #180233=VERTEX_POINT('',#589008); #180234=VERTEX_POINT('',#589010); #180235=VERTEX_POINT('',#589016); #180236=VERTEX_POINT('',#589017); #180237=VERTEX_POINT('',#589019); #180238=VERTEX_POINT('',#589021); #180239=VERTEX_POINT('',#589027); #180240=VERTEX_POINT('',#589029); #180241=VERTEX_POINT('',#589035); #180242=VERTEX_POINT('',#589048); #180243=VERTEX_POINT('',#589049); #180244=VERTEX_POINT('',#589056); #180245=VERTEX_POINT('',#589060); #180246=VERTEX_POINT('',#589062); #180247=VERTEX_POINT('',#589068); #180248=VERTEX_POINT('',#589072); #180249=VERTEX_POINT('',#589074); #180250=VERTEX_POINT('',#589085); #180251=VERTEX_POINT('',#589089); #180252=VERTEX_POINT('',#589099); #180253=VERTEX_POINT('',#589100); #180254=VERTEX_POINT('',#589105); #180255=VERTEX_POINT('',#589109); #180256=VERTEX_POINT('',#589110); #180257=VERTEX_POINT('',#589115); #180258=VERTEX_POINT('',#589119); #180259=VERTEX_POINT('',#589120); #180260=VERTEX_POINT('',#589125); #180261=VERTEX_POINT('',#589145); #180262=VERTEX_POINT('',#589149); #180263=VERTEX_POINT('',#589150); #180264=VERTEX_POINT('',#589152); #180265=VERTEX_POINT('',#589154); #180266=VERTEX_POINT('',#589158); #180267=VERTEX_POINT('',#589159); #180268=VERTEX_POINT('',#589161); #180269=VERTEX_POINT('',#589163); #180270=VERTEX_POINT('',#589167); #180271=VERTEX_POINT('',#589169); #180272=VERTEX_POINT('',#589173); #180273=VERTEX_POINT('',#589175); #180274=VERTEX_POINT('',#589179); #180275=VERTEX_POINT('',#589181); #180276=VERTEX_POINT('',#589183); #180277=VERTEX_POINT('',#589185); #180278=VERTEX_POINT('',#589187); #180279=VERTEX_POINT('',#589189); #180280=VERTEX_POINT('',#589191); #180281=VERTEX_POINT('',#589193); #180282=VERTEX_POINT('',#589195); #180283=VERTEX_POINT('',#589197); #180284=VERTEX_POINT('',#589201); #180285=VERTEX_POINT('',#589206); #180286=VERTEX_POINT('',#589208); #180287=VERTEX_POINT('',#589212); #180288=VERTEX_POINT('',#589214); #180289=VERTEX_POINT('',#589218); #180290=VERTEX_POINT('',#589222); #180291=VERTEX_POINT('',#589226); #180292=VERTEX_POINT('',#589232); #180293=VERTEX_POINT('',#589236); #180294=VERTEX_POINT('',#589246); #180295=VERTEX_POINT('',#589247); #180296=VERTEX_POINT('',#589249); #180297=VERTEX_POINT('',#589251); #180298=VERTEX_POINT('',#589253); #180299=VERTEX_POINT('',#589255); #180300=VERTEX_POINT('',#589257); #180301=VERTEX_POINT('',#589259); #180302=VERTEX_POINT('',#589261); #180303=VERTEX_POINT('',#589263); #180304=VERTEX_POINT('',#589265); #180305=VERTEX_POINT('',#589267); #180306=VERTEX_POINT('',#589271); #180307=VERTEX_POINT('',#589273); #180308=VERTEX_POINT('',#589277); #180309=VERTEX_POINT('',#589279); #180310=VERTEX_POINT('',#589281); #180311=VERTEX_POINT('',#589283); #180312=VERTEX_POINT('',#589285); #180313=VERTEX_POINT('',#589287); #180314=VERTEX_POINT('',#589289); #180315=VERTEX_POINT('',#589291); #180316=VERTEX_POINT('',#589293); #180317=VERTEX_POINT('',#589295); #180318=VERTEX_POINT('',#589319); #180319=VERTEX_POINT('',#589320); #180320=VERTEX_POINT('',#589322); #180321=VERTEX_POINT('',#589324); #180322=VERTEX_POINT('',#589328); #180323=VERTEX_POINT('',#589330); #180324=VERTEX_POINT('',#589334); #180325=VERTEX_POINT('',#589335); #180326=VERTEX_POINT('',#589337); #180327=VERTEX_POINT('',#589339); #180328=VERTEX_POINT('',#589343); #180329=VERTEX_POINT('',#589345); #180330=VERTEX_POINT('',#589349); #180331=VERTEX_POINT('',#589351); #180332=VERTEX_POINT('',#589353); #180333=VERTEX_POINT('',#589355); #180334=VERTEX_POINT('',#589357); #180335=VERTEX_POINT('',#589359); #180336=VERTEX_POINT('',#589361); #180337=VERTEX_POINT('',#589363); #180338=VERTEX_POINT('',#589365); #180339=VERTEX_POINT('',#589367); #180340=VERTEX_POINT('',#589371); #180341=VERTEX_POINT('',#589376); #180342=VERTEX_POINT('',#589377); #180343=VERTEX_POINT('',#589382); #180344=VERTEX_POINT('',#589384); #180345=VERTEX_POINT('',#589386); #180346=VERTEX_POINT('',#589389); #180347=VERTEX_POINT('',#589391); #180348=VERTEX_POINT('',#589393); #180349=VERTEX_POINT('',#589395); #180350=VERTEX_POINT('',#589416); #180351=VERTEX_POINT('',#589417); #180352=VERTEX_POINT('',#589419); #180353=VERTEX_POINT('',#589421); #180354=VERTEX_POINT('',#589425); #180355=VERTEX_POINT('',#589426); #180356=VERTEX_POINT('',#589428); #180357=VERTEX_POINT('',#589430); #180358=VERTEX_POINT('',#589434); #180359=VERTEX_POINT('',#589436); #180360=VERTEX_POINT('',#589440); #180361=VERTEX_POINT('',#589442); #180362=VERTEX_POINT('',#589446); #180363=VERTEX_POINT('',#589448); #180364=VERTEX_POINT('',#589450); #180365=VERTEX_POINT('',#589452); #180366=VERTEX_POINT('',#589454); #180367=VERTEX_POINT('',#589456); #180368=VERTEX_POINT('',#589458); #180369=VERTEX_POINT('',#589460); #180370=VERTEX_POINT('',#589462); #180371=VERTEX_POINT('',#589464); #180372=VERTEX_POINT('',#589468); #180373=VERTEX_POINT('',#589473); #180374=VERTEX_POINT('',#589475); #180375=VERTEX_POINT('',#589479); #180376=VERTEX_POINT('',#589481); #180377=VERTEX_POINT('',#589485); #180378=VERTEX_POINT('',#589489); #180379=VERTEX_POINT('',#589493); #180380=VERTEX_POINT('',#589499); #180381=VERTEX_POINT('',#589503); #180382=VERTEX_POINT('',#589513); #180383=VERTEX_POINT('',#589514); #180384=VERTEX_POINT('',#589516); #180385=VERTEX_POINT('',#589518); #180386=VERTEX_POINT('',#589520); #180387=VERTEX_POINT('',#589522); #180388=VERTEX_POINT('',#589524); #180389=VERTEX_POINT('',#589526); #180390=VERTEX_POINT('',#589528); #180391=VERTEX_POINT('',#589530); #180392=VERTEX_POINT('',#589532); #180393=VERTEX_POINT('',#589534); #180394=VERTEX_POINT('',#589538); #180395=VERTEX_POINT('',#589540); #180396=VERTEX_POINT('',#589544); #180397=VERTEX_POINT('',#589546); #180398=VERTEX_POINT('',#589548); #180399=VERTEX_POINT('',#589550); #180400=VERTEX_POINT('',#589552); #180401=VERTEX_POINT('',#589554); #180402=VERTEX_POINT('',#589556); #180403=VERTEX_POINT('',#589558); #180404=VERTEX_POINT('',#589560); #180405=VERTEX_POINT('',#589562); #180406=VERTEX_POINT('',#589586); #180407=VERTEX_POINT('',#589588); #180408=VERTEX_POINT('',#589599); #180409=VERTEX_POINT('',#589601); #180410=VERTEX_POINT('',#589603); #180411=VERTEX_POINT('',#589605); #180412=VERTEX_POINT('',#589609); #180413=VERTEX_POINT('',#589611); #180414=VERTEX_POINT('',#589613); #180415=VERTEX_POINT('',#589615); #180416=VERTEX_POINT('',#589617); #180417=VERTEX_POINT('',#589619); #180418=VERTEX_POINT('',#589624); #180419=VERTEX_POINT('',#589626); #180420=VERTEX_POINT('',#589628); #180421=VERTEX_POINT('',#589630); #180422=VERTEX_POINT('',#589632); #180423=VERTEX_POINT('',#589634); #180424=VERTEX_POINT('',#589647); #180425=VERTEX_POINT('',#589648); #180426=VERTEX_POINT('',#589650); #180427=VERTEX_POINT('',#589652); #180428=VERTEX_POINT('',#589654); #180429=VERTEX_POINT('',#589659); #180430=VERTEX_POINT('',#589661); #180431=VERTEX_POINT('',#589663); #180432=VERTEX_POINT('',#589665); #180433=VERTEX_POINT('',#589667); #180434=VERTEX_POINT('',#589670); #180435=VERTEX_POINT('',#589672); #180436=VERTEX_POINT('',#589674); #180437=VERTEX_POINT('',#589676); #180438=VERTEX_POINT('',#589678); #180439=VERTEX_POINT('',#589680); #180440=VERTEX_POINT('',#589682); #180441=VERTEX_POINT('',#589684); #180442=VERTEX_POINT('',#589686); #180443=VERTEX_POINT('',#589877); #180444=VERTEX_POINT('',#589982); #180445=VERTEX_POINT('',#590087); #180446=VERTEX_POINT('',#590285); #180447=VERTEX_POINT('',#590484); #180448=VERTEX_POINT('',#590485); #180449=VERTEX_POINT('',#590487); #180450=VERTEX_POINT('',#590489); #180451=VERTEX_POINT('',#590491); #180452=VERTEX_POINT('',#590493); #180453=VERTEX_POINT('',#590497); #180454=VERTEX_POINT('',#590499); #180455=VERTEX_POINT('',#590503); #180456=VERTEX_POINT('',#590505); #180457=VERTEX_POINT('',#590509); #180458=VERTEX_POINT('',#590513); #180459=VERTEX_POINT('',#590519); #180460=VERTEX_POINT('',#590521); #180461=VERTEX_POINT('',#590523); #180462=VERTEX_POINT('',#590525); #180463=VERTEX_POINT('',#590527); #180464=VERTEX_POINT('',#590529); #180465=VERTEX_POINT('',#590531); #180466=VERTEX_POINT('',#590533); #180467=VERTEX_POINT('',#590538); #180468=VERTEX_POINT('',#590539); #180469=VERTEX_POINT('',#590541); #180470=VERTEX_POINT('',#590543); #180471=VERTEX_POINT('',#590547); #180472=VERTEX_POINT('',#590549); #180473=VERTEX_POINT('',#590553); #180474=VERTEX_POINT('',#590554); #180475=VERTEX_POINT('',#590556); #180476=VERTEX_POINT('',#590558); #180477=VERTEX_POINT('',#590562); #180478=VERTEX_POINT('',#590563); #180479=VERTEX_POINT('',#590565); #180480=VERTEX_POINT('',#590567); #180481=VERTEX_POINT('',#590571); #180482=VERTEX_POINT('',#590573); #180483=VERTEX_POINT('',#590577); #180484=VERTEX_POINT('',#590578); #180485=VERTEX_POINT('',#590580); #180486=VERTEX_POINT('',#590582); #180487=VERTEX_POINT('',#590586); #180488=VERTEX_POINT('',#590588); #180489=VERTEX_POINT('',#590592); #180490=VERTEX_POINT('',#590593); #180491=VERTEX_POINT('',#590598); #180492=VERTEX_POINT('',#590600); #180493=VERTEX_POINT('',#590602); #180494=VERTEX_POINT('',#590604); #180495=VERTEX_POINT('',#590606); #180496=VERTEX_POINT('',#590610); #180497=VERTEX_POINT('',#590612); #180498=VERTEX_POINT('',#590616); #180499=VERTEX_POINT('',#590620); #180500=VERTEX_POINT('',#590626); #180501=VERTEX_POINT('',#590627); #180502=VERTEX_POINT('',#590629); #180503=VERTEX_POINT('',#590631); #180504=VERTEX_POINT('',#590635); #180505=VERTEX_POINT('',#590637); #180506=VERTEX_POINT('',#590641); #180507=VERTEX_POINT('',#590642); #180508=VERTEX_POINT('',#590647); #180509=VERTEX_POINT('',#590648); #180510=VERTEX_POINT('',#590650); #180511=VERTEX_POINT('',#590652); #180512=VERTEX_POINT('',#590659); #180513=VERTEX_POINT('',#590660); #180514=VERTEX_POINT('',#590662); #180515=VERTEX_POINT('',#590664); #180516=VERTEX_POINT('',#590675); #180517=VERTEX_POINT('',#590676); #180518=VERTEX_POINT('',#590678); #180519=VERTEX_POINT('',#590680); #180520=VERTEX_POINT('',#590684); #180521=VERTEX_POINT('',#590685); #180522=VERTEX_POINT('',#590688); #180523=VERTEX_POINT('',#590690); #180524=VERTEX_POINT('',#590692); #180525=VERTEX_POINT('',#590694); #180526=VERTEX_POINT('',#590696); #180527=VERTEX_POINT('',#590698); #180528=VERTEX_POINT('',#590700); #180529=VERTEX_POINT('',#590703); #180530=VERTEX_POINT('',#590707); #180531=VERTEX_POINT('',#590708); #180532=VERTEX_POINT('',#590713); #180533=VERTEX_POINT('',#590718); #180534=VERTEX_POINT('',#590719); #180535=VERTEX_POINT('',#590721); #180536=VERTEX_POINT('',#590723); #180537=VERTEX_POINT('',#590726); #180538=VERTEX_POINT('',#590728); #180539=VERTEX_POINT('',#590735); #180540=VERTEX_POINT('',#590736); #180541=VERTEX_POINT('',#590739); #180542=VERTEX_POINT('',#590742); #180543=VERTEX_POINT('',#590744); #180544=VERTEX_POINT('',#590746); #180545=VERTEX_POINT('',#590752); #180546=VERTEX_POINT('',#590753); #180547=VERTEX_POINT('',#590755); #180548=VERTEX_POINT('',#590757); #180549=VERTEX_POINT('',#590761); #180550=VERTEX_POINT('',#590763); #180551=VERTEX_POINT('',#590767); #180552=VERTEX_POINT('',#590769); #180553=VERTEX_POINT('',#590773); #180554=VERTEX_POINT('',#590775); #180555=VERTEX_POINT('',#590779); #180556=VERTEX_POINT('',#590781); #180557=VERTEX_POINT('',#590785); #180558=VERTEX_POINT('',#590790); #180559=VERTEX_POINT('',#590794); #180560=VERTEX_POINT('',#590795); #180561=VERTEX_POINT('',#590800); #180562=VERTEX_POINT('',#590804); #180563=VERTEX_POINT('',#590808); #180564=VERTEX_POINT('',#590812); #180565=VERTEX_POINT('',#590818); #180566=VERTEX_POINT('',#590824); #180567=VERTEX_POINT('',#590825); #180568=VERTEX_POINT('',#590833); #180569=VERTEX_POINT('',#590834); #180570=VERTEX_POINT('',#590837); #180571=VERTEX_POINT('',#590839); #180572=VERTEX_POINT('',#590848); #180573=VERTEX_POINT('',#590852); #180574=VERTEX_POINT('',#590864); #180575=VERTEX_POINT('',#590867); #180576=VERTEX_POINT('',#590874); #180577=VERTEX_POINT('',#590881); #180578=VERTEX_POINT('',#590882); #180579=VERTEX_POINT('',#590885); #180580=VERTEX_POINT('',#590887); #180581=VERTEX_POINT('',#590889); #180582=VERTEX_POINT('',#590898); #180583=VERTEX_POINT('',#590900); #180584=VERTEX_POINT('',#590906); #180585=VERTEX_POINT('',#590908); #180586=VERTEX_POINT('',#590910); #180587=VERTEX_POINT('',#590912); #180588=VERTEX_POINT('',#590914); #180589=VERTEX_POINT('',#590916); #180590=VERTEX_POINT('',#590918); #180591=VERTEX_POINT('',#590920); #180592=VERTEX_POINT('',#590922); #180593=VERTEX_POINT('',#590924); #180594=VERTEX_POINT('',#590927); #180595=VERTEX_POINT('',#590929); #180596=VERTEX_POINT('',#590931); #180597=VERTEX_POINT('',#590942); #180598=VERTEX_POINT('',#590944); #180599=VERTEX_POINT('',#590946); #180600=VERTEX_POINT('',#590948); #180601=VERTEX_POINT('',#590950); #180602=VERTEX_POINT('',#590952); #180603=VERTEX_POINT('',#590954); #180604=VERTEX_POINT('',#590956); #180605=VERTEX_POINT('',#590958); #180606=VERTEX_POINT('',#590970); #180607=VERTEX_POINT('',#590972); #180608=VERTEX_POINT('',#590974); #180609=VERTEX_POINT('',#590976); #180610=VERTEX_POINT('',#590978); #180611=VERTEX_POINT('',#590980); #180612=VERTEX_POINT('',#590984); #180613=VERTEX_POINT('',#590986); #180614=VERTEX_POINT('',#590988); #180615=VERTEX_POINT('',#590990); #180616=VERTEX_POINT('',#590992); #180617=VERTEX_POINT('',#590994); #180618=VERTEX_POINT('',#590996); #180619=VERTEX_POINT('',#590998); #180620=VERTEX_POINT('',#591000); #180621=VERTEX_POINT('',#591002); #180622=VERTEX_POINT('',#591030); #180623=VERTEX_POINT('',#591031); #180624=VERTEX_POINT('',#591033); #180625=VERTEX_POINT('',#591035); #180626=VERTEX_POINT('',#591039); #180627=VERTEX_POINT('',#591040); #180628=VERTEX_POINT('',#591042); #180629=VERTEX_POINT('',#591044); #180630=VERTEX_POINT('',#591061); #180631=VERTEX_POINT('',#591063); #180632=VERTEX_POINT('',#591067); #180633=VERTEX_POINT('',#591071); #180634=VERTEX_POINT('',#591083); #180635=VERTEX_POINT('',#591084); #180636=VERTEX_POINT('',#591086); #180637=VERTEX_POINT('',#591088); #180638=VERTEX_POINT('',#591092); #180639=VERTEX_POINT('',#591093); #180640=VERTEX_POINT('',#591095); #180641=VERTEX_POINT('',#591097); #180642=VERTEX_POINT('',#591113); #180643=VERTEX_POINT('',#591115); #180644=VERTEX_POINT('',#591119); #180645=VERTEX_POINT('',#591123); #180646=VERTEX_POINT('',#591140); #180647=VERTEX_POINT('',#591142); #180648=VERTEX_POINT('',#591144); #180649=VERTEX_POINT('',#591146); #180650=VERTEX_POINT('',#591150); #180651=VERTEX_POINT('',#591152); #180652=VERTEX_POINT('',#591156); #180653=VERTEX_POINT('',#591158); #180654=VERTEX_POINT('',#591162); #180655=VERTEX_POINT('',#591164); #180656=VERTEX_POINT('',#591166); #180657=VERTEX_POINT('',#591168); #180658=VERTEX_POINT('',#591175); #180659=VERTEX_POINT('',#591177); #180660=VERTEX_POINT('',#591182); #180661=VERTEX_POINT('',#591186); #180662=VERTEX_POINT('',#591191); #180663=VERTEX_POINT('',#591192); #180664=VERTEX_POINT('',#591194); #180665=VERTEX_POINT('',#591196); #180666=VERTEX_POINT('',#591200); #180667=VERTEX_POINT('',#591202); #180668=VERTEX_POINT('',#591204); #180669=VERTEX_POINT('',#591206); #180670=VERTEX_POINT('',#591208); #180671=VERTEX_POINT('',#591210); #180672=VERTEX_POINT('',#591214); #180673=VERTEX_POINT('',#591216); #180674=VERTEX_POINT('',#591224); #180675=VERTEX_POINT('',#591225); #180676=VERTEX_POINT('',#591230); #180677=VERTEX_POINT('',#591232); #180678=VERTEX_POINT('',#591235); #180679=VERTEX_POINT('',#591241); #180680=VERTEX_POINT('',#591301); #180681=VERTEX_POINT('',#591302); #180682=VERTEX_POINT('',#591304); #180683=VERTEX_POINT('',#591306); #180684=VERTEX_POINT('',#591310); #180685=VERTEX_POINT('',#591311); #180686=VERTEX_POINT('',#591313); #180687=VERTEX_POINT('',#591315); #180688=VERTEX_POINT('',#591319); #180689=VERTEX_POINT('',#591320); #180690=VERTEX_POINT('',#591322); #180691=VERTEX_POINT('',#591324); #180692=VERTEX_POINT('',#591328); #180693=VERTEX_POINT('',#591329); #180694=VERTEX_POINT('',#591331); #180695=VERTEX_POINT('',#591333); #180696=VERTEX_POINT('',#591337); #180697=VERTEX_POINT('',#591338); #180698=VERTEX_POINT('',#591343); #180699=VERTEX_POINT('',#591345); #180700=VERTEX_POINT('',#591356); #180701=VERTEX_POINT('',#591358); #180702=VERTEX_POINT('',#591362); #180703=VERTEX_POINT('',#591364); #180704=VERTEX_POINT('',#591381); #180705=VERTEX_POINT('',#591382); #180706=VERTEX_POINT('',#591387); #180707=VERTEX_POINT('',#591388); #180708=VERTEX_POINT('',#591410); #180709=VERTEX_POINT('',#591411); #180710=VERTEX_POINT('',#591413); #180711=VERTEX_POINT('',#591415); #180712=VERTEX_POINT('',#591419); #180713=VERTEX_POINT('',#591420); #180714=VERTEX_POINT('',#591422); #180715=VERTEX_POINT('',#591424); #180716=VERTEX_POINT('',#591428); #180717=VERTEX_POINT('',#591429); #180718=VERTEX_POINT('',#591431); #180719=VERTEX_POINT('',#591433); #180720=VERTEX_POINT('',#591437); #180721=VERTEX_POINT('',#591438); #180722=VERTEX_POINT('',#591440); #180723=VERTEX_POINT('',#591442); #180724=VERTEX_POINT('',#591446); #180725=VERTEX_POINT('',#591448); #180726=VERTEX_POINT('',#591452); #180727=VERTEX_POINT('',#591454); #180728=VERTEX_POINT('',#591465); #180729=VERTEX_POINT('',#591466); #180730=VERTEX_POINT('',#591471); #180731=VERTEX_POINT('',#591473); #180732=VERTEX_POINT('',#591487); #180733=VERTEX_POINT('',#591489); #180734=VERTEX_POINT('',#591493); #180735=VERTEX_POINT('',#591494); #180736=VERTEX_POINT('',#591522); #180737=VERTEX_POINT('',#591524); #180738=VERTEX_POINT('',#591529); #180739=VERTEX_POINT('',#591530); #180740=VERTEX_POINT('',#591532); #180741=VERTEX_POINT('',#591534); #180742=VERTEX_POINT('',#591538); #180743=VERTEX_POINT('',#591540); #180744=VERTEX_POINT('',#591544); #180745=VERTEX_POINT('',#591546); #180746=VERTEX_POINT('',#591553); #180747=VERTEX_POINT('',#591555); #180748=VERTEX_POINT('',#591559); #180749=VERTEX_POINT('',#591564); #180750=VERTEX_POINT('',#591571); #180751=VERTEX_POINT('',#591572); #180752=VERTEX_POINT('',#591574); #180753=VERTEX_POINT('',#591576); #180754=VERTEX_POINT('',#591580); #180755=VERTEX_POINT('',#591582); #180756=VERTEX_POINT('',#591586); #180757=VERTEX_POINT('',#591587); #180758=VERTEX_POINT('',#591589); #180759=VERTEX_POINT('',#591591); #180760=VERTEX_POINT('',#591598); #180761=VERTEX_POINT('',#591600); #180762=VERTEX_POINT('',#591609); #180763=VERTEX_POINT('',#591610); #180764=VERTEX_POINT('',#591612); #180765=VERTEX_POINT('',#591614); #180766=VERTEX_POINT('',#591618); #180767=VERTEX_POINT('',#591620); #180768=VERTEX_POINT('',#591624); #180769=VERTEX_POINT('',#591625); #180770=VERTEX_POINT('',#591627); #180771=VERTEX_POINT('',#591629); #180772=VERTEX_POINT('',#591636); #180773=VERTEX_POINT('',#591638); #180774=VERTEX_POINT('',#591647); #180775=VERTEX_POINT('',#591648); #180776=VERTEX_POINT('',#591650); #180777=VERTEX_POINT('',#591652); #180778=VERTEX_POINT('',#591656); #180779=VERTEX_POINT('',#591658); #180780=VERTEX_POINT('',#591662); #180781=VERTEX_POINT('',#591663); #180782=VERTEX_POINT('',#591665); #180783=VERTEX_POINT('',#591667); #180784=VERTEX_POINT('',#591674); #180785=VERTEX_POINT('',#591676); #180786=VERTEX_POINT('',#591685); #180787=VERTEX_POINT('',#591686); #180788=VERTEX_POINT('',#591688); #180789=VERTEX_POINT('',#591690); #180790=VERTEX_POINT('',#591694); #180791=VERTEX_POINT('',#591696); #180792=VERTEX_POINT('',#591700); #180793=VERTEX_POINT('',#591701); #180794=VERTEX_POINT('',#591703); #180795=VERTEX_POINT('',#591705); #180796=VERTEX_POINT('',#591712); #180797=VERTEX_POINT('',#591714); #180798=VERTEX_POINT('',#591723); #180799=VERTEX_POINT('',#591724); #180800=VERTEX_POINT('',#591726); #180801=VERTEX_POINT('',#591728); #180802=VERTEX_POINT('',#591732); #180803=VERTEX_POINT('',#591734); #180804=VERTEX_POINT('',#591738); #180805=VERTEX_POINT('',#591739); #180806=VERTEX_POINT('',#591741); #180807=VERTEX_POINT('',#591743); #180808=VERTEX_POINT('',#591750); #180809=VERTEX_POINT('',#591752); #180810=VERTEX_POINT('',#591761); #180811=VERTEX_POINT('',#591762); #180812=VERTEX_POINT('',#591764); #180813=VERTEX_POINT('',#591766); #180814=VERTEX_POINT('',#591770); #180815=VERTEX_POINT('',#591772); #180816=VERTEX_POINT('',#591776); #180817=VERTEX_POINT('',#591777); #180818=VERTEX_POINT('',#591779); #180819=VERTEX_POINT('',#591781); #180820=VERTEX_POINT('',#591788); #180821=VERTEX_POINT('',#591790); #180822=VERTEX_POINT('',#591799); #180823=VERTEX_POINT('',#591800); #180824=VERTEX_POINT('',#591802); #180825=VERTEX_POINT('',#591804); #180826=VERTEX_POINT('',#591808); #180827=VERTEX_POINT('',#591810); #180828=VERTEX_POINT('',#591814); #180829=VERTEX_POINT('',#591815); #180830=VERTEX_POINT('',#591817); #180831=VERTEX_POINT('',#591819); #180832=VERTEX_POINT('',#591826); #180833=VERTEX_POINT('',#591828); #180834=VERTEX_POINT('',#591837); #180835=VERTEX_POINT('',#591838); #180836=VERTEX_POINT('',#591840); #180837=VERTEX_POINT('',#591842); #180838=VERTEX_POINT('',#591846); #180839=VERTEX_POINT('',#591848); #180840=VERTEX_POINT('',#591852); #180841=VERTEX_POINT('',#591853); #180842=VERTEX_POINT('',#591855); #180843=VERTEX_POINT('',#591857); #180844=VERTEX_POINT('',#591864); #180845=VERTEX_POINT('',#591866); #180846=VERTEX_POINT('',#591875); #180847=VERTEX_POINT('',#591876); #180848=VERTEX_POINT('',#591878); #180849=VERTEX_POINT('',#591880); #180850=VERTEX_POINT('',#591884); #180851=VERTEX_POINT('',#591886); #180852=VERTEX_POINT('',#591890); #180853=VERTEX_POINT('',#591891); #180854=VERTEX_POINT('',#591893); #180855=VERTEX_POINT('',#591895); #180856=VERTEX_POINT('',#591902); #180857=VERTEX_POINT('',#591904); #180858=VERTEX_POINT('',#591913); #180859=VERTEX_POINT('',#591914); #180860=VERTEX_POINT('',#591916); #180861=VERTEX_POINT('',#591918); #180862=VERTEX_POINT('',#591922); #180863=VERTEX_POINT('',#591924); #180864=VERTEX_POINT('',#591928); #180865=VERTEX_POINT('',#591929); #180866=VERTEX_POINT('',#591931); #180867=VERTEX_POINT('',#591933); #180868=VERTEX_POINT('',#591940); #180869=VERTEX_POINT('',#591942); #180870=VERTEX_POINT('',#591951); #180871=VERTEX_POINT('',#591952); #180872=VERTEX_POINT('',#591954); #180873=VERTEX_POINT('',#591956); #180874=VERTEX_POINT('',#591960); #180875=VERTEX_POINT('',#591962); #180876=VERTEX_POINT('',#591966); #180877=VERTEX_POINT('',#591967); #180878=VERTEX_POINT('',#591969); #180879=VERTEX_POINT('',#591971); #180880=VERTEX_POINT('',#591978); #180881=VERTEX_POINT('',#591980); #180882=VERTEX_POINT('',#591989); #180883=VERTEX_POINT('',#591990); #180884=VERTEX_POINT('',#591992); #180885=VERTEX_POINT('',#591994); #180886=VERTEX_POINT('',#591998); #180887=VERTEX_POINT('',#592000); #180888=VERTEX_POINT('',#592004); #180889=VERTEX_POINT('',#592005); #180890=VERTEX_POINT('',#592007); #180891=VERTEX_POINT('',#592009); #180892=VERTEX_POINT('',#592016); #180893=VERTEX_POINT('',#592018); #180894=VERTEX_POINT('',#592027); #180895=VERTEX_POINT('',#592028); #180896=VERTEX_POINT('',#592030); #180897=VERTEX_POINT('',#592032); #180898=VERTEX_POINT('',#592036); #180899=VERTEX_POINT('',#592038); #180900=VERTEX_POINT('',#592042); #180901=VERTEX_POINT('',#592043); #180902=VERTEX_POINT('',#592045); #180903=VERTEX_POINT('',#592047); #180904=VERTEX_POINT('',#592054); #180905=VERTEX_POINT('',#592056); #180906=VERTEX_POINT('',#592065); #180907=VERTEX_POINT('',#592066); #180908=VERTEX_POINT('',#592068); #180909=VERTEX_POINT('',#592070); #180910=VERTEX_POINT('',#592074); #180911=VERTEX_POINT('',#592076); #180912=VERTEX_POINT('',#592080); #180913=VERTEX_POINT('',#592081); #180914=VERTEX_POINT('',#592083); #180915=VERTEX_POINT('',#592085); #180916=VERTEX_POINT('',#592092); #180917=VERTEX_POINT('',#592094); #180918=VERTEX_POINT('',#592103); #180919=VERTEX_POINT('',#592104); #180920=VERTEX_POINT('',#592106); #180921=VERTEX_POINT('',#592108); #180922=VERTEX_POINT('',#592112); #180923=VERTEX_POINT('',#592114); #180924=VERTEX_POINT('',#592118); #180925=VERTEX_POINT('',#592119); #180926=VERTEX_POINT('',#592121); #180927=VERTEX_POINT('',#592123); #180928=VERTEX_POINT('',#592130); #180929=VERTEX_POINT('',#592132); #180930=VERTEX_POINT('',#592141); #180931=VERTEX_POINT('',#592142); #180932=VERTEX_POINT('',#592144); #180933=VERTEX_POINT('',#592146); #180934=VERTEX_POINT('',#592150); #180935=VERTEX_POINT('',#592152); #180936=VERTEX_POINT('',#592156); #180937=VERTEX_POINT('',#592157); #180938=VERTEX_POINT('',#592159); #180939=VERTEX_POINT('',#592161); #180940=VERTEX_POINT('',#592168); #180941=VERTEX_POINT('',#592170); #180942=VERTEX_POINT('',#592179); #180943=VERTEX_POINT('',#592180); #180944=VERTEX_POINT('',#592182); #180945=VERTEX_POINT('',#592184); #180946=VERTEX_POINT('',#592188); #180947=VERTEX_POINT('',#592190); #180948=VERTEX_POINT('',#592194); #180949=VERTEX_POINT('',#592195); #180950=VERTEX_POINT('',#592197); #180951=VERTEX_POINT('',#592199); #180952=VERTEX_POINT('',#592206); #180953=VERTEX_POINT('',#592208); #180954=VERTEX_POINT('',#592217); #180955=VERTEX_POINT('',#592218); #180956=VERTEX_POINT('',#592220); #180957=VERTEX_POINT('',#592222); #180958=VERTEX_POINT('',#592226); #180959=VERTEX_POINT('',#592228); #180960=VERTEX_POINT('',#592232); #180961=VERTEX_POINT('',#592233); #180962=VERTEX_POINT('',#592235); #180963=VERTEX_POINT('',#592237); #180964=VERTEX_POINT('',#592244); #180965=VERTEX_POINT('',#592246); #180966=VERTEX_POINT('',#592255); #180967=VERTEX_POINT('',#592256); #180968=VERTEX_POINT('',#592258); #180969=VERTEX_POINT('',#592260); #180970=VERTEX_POINT('',#592264); #180971=VERTEX_POINT('',#592266); #180972=VERTEX_POINT('',#592270); #180973=VERTEX_POINT('',#592271); #180974=VERTEX_POINT('',#592273); #180975=VERTEX_POINT('',#592275); #180976=VERTEX_POINT('',#592282); #180977=VERTEX_POINT('',#592284); #180978=VERTEX_POINT('',#592293); #180979=VERTEX_POINT('',#592294); #180980=VERTEX_POINT('',#592296); #180981=VERTEX_POINT('',#592298); #180982=VERTEX_POINT('',#592302); #180983=VERTEX_POINT('',#592304); #180984=VERTEX_POINT('',#592308); #180985=VERTEX_POINT('',#592309); #180986=VERTEX_POINT('',#592311); #180987=VERTEX_POINT('',#592313); #180988=VERTEX_POINT('',#592320); #180989=VERTEX_POINT('',#592322); #180990=VERTEX_POINT('',#592331); #180991=VERTEX_POINT('',#592332); #180992=VERTEX_POINT('',#592334); #180993=VERTEX_POINT('',#592336); #180994=VERTEX_POINT('',#592340); #180995=VERTEX_POINT('',#592342); #180996=VERTEX_POINT('',#592346); #180997=VERTEX_POINT('',#592347); #180998=VERTEX_POINT('',#592349); #180999=VERTEX_POINT('',#592351); #181000=VERTEX_POINT('',#592358); #181001=VERTEX_POINT('',#592360); #181002=VERTEX_POINT('',#592369); #181003=VERTEX_POINT('',#592370); #181004=VERTEX_POINT('',#592372); #181005=VERTEX_POINT('',#592374); #181006=VERTEX_POINT('',#592378); #181007=VERTEX_POINT('',#592380); #181008=VERTEX_POINT('',#592384); #181009=VERTEX_POINT('',#592385); #181010=VERTEX_POINT('',#592387); #181011=VERTEX_POINT('',#592389); #181012=VERTEX_POINT('',#592396); #181013=VERTEX_POINT('',#592398); #181014=VERTEX_POINT('',#592407); #181015=VERTEX_POINT('',#592408); #181016=VERTEX_POINT('',#592410); #181017=VERTEX_POINT('',#592412); #181018=VERTEX_POINT('',#592416); #181019=VERTEX_POINT('',#592418); #181020=VERTEX_POINT('',#592422); #181021=VERTEX_POINT('',#592423); #181022=VERTEX_POINT('',#592425); #181023=VERTEX_POINT('',#592427); #181024=VERTEX_POINT('',#592434); #181025=VERTEX_POINT('',#592436); #181026=VERTEX_POINT('',#592445); #181027=VERTEX_POINT('',#592446); #181028=VERTEX_POINT('',#592448); #181029=VERTEX_POINT('',#592450); #181030=VERTEX_POINT('',#592454); #181031=VERTEX_POINT('',#592456); #181032=VERTEX_POINT('',#592460); #181033=VERTEX_POINT('',#592461); #181034=VERTEX_POINT('',#592463); #181035=VERTEX_POINT('',#592465); #181036=VERTEX_POINT('',#592472); #181037=VERTEX_POINT('',#592474); #181038=VERTEX_POINT('',#592483); #181039=VERTEX_POINT('',#592484); #181040=VERTEX_POINT('',#592486); #181041=VERTEX_POINT('',#592488); #181042=VERTEX_POINT('',#592492); #181043=VERTEX_POINT('',#592493); #181044=VERTEX_POINT('',#592498); #181045=VERTEX_POINT('',#592500); #181046=VERTEX_POINT('',#592504); #181047=VERTEX_POINT('',#592506); #181048=VERTEX_POINT('',#592510); #181049=VERTEX_POINT('',#592512); #181050=VERTEX_POINT('',#592521); #181051=VERTEX_POINT('',#592522); #181052=VERTEX_POINT('',#592524); #181053=VERTEX_POINT('',#592526); #181054=VERTEX_POINT('',#592530); #181055=VERTEX_POINT('',#592531); #181056=VERTEX_POINT('',#592536); #181057=VERTEX_POINT('',#592538); #181058=VERTEX_POINT('',#592542); #181059=VERTEX_POINT('',#592544); #181060=VERTEX_POINT('',#592548); #181061=VERTEX_POINT('',#592550); #181062=VERTEX_POINT('',#592559); #181063=VERTEX_POINT('',#592560); #181064=VERTEX_POINT('',#592562); #181065=VERTEX_POINT('',#592564); #181066=VERTEX_POINT('',#592568); #181067=VERTEX_POINT('',#592569); #181068=VERTEX_POINT('',#592574); #181069=VERTEX_POINT('',#592576); #181070=VERTEX_POINT('',#592580); #181071=VERTEX_POINT('',#592582); #181072=VERTEX_POINT('',#592586); #181073=VERTEX_POINT('',#592588); #181074=VERTEX_POINT('',#592597); #181075=VERTEX_POINT('',#592598); #181076=VERTEX_POINT('',#592600); #181077=VERTEX_POINT('',#592602); #181078=VERTEX_POINT('',#592606); #181079=VERTEX_POINT('',#592607); #181080=VERTEX_POINT('',#592612); #181081=VERTEX_POINT('',#592614); #181082=VERTEX_POINT('',#592618); #181083=VERTEX_POINT('',#592620); #181084=VERTEX_POINT('',#592624); #181085=VERTEX_POINT('',#592626); #181086=VERTEX_POINT('',#592635); #181087=VERTEX_POINT('',#592636); #181088=VERTEX_POINT('',#592638); #181089=VERTEX_POINT('',#592640); #181090=VERTEX_POINT('',#592644); #181091=VERTEX_POINT('',#592645); #181092=VERTEX_POINT('',#592650); #181093=VERTEX_POINT('',#592652); #181094=VERTEX_POINT('',#592656); #181095=VERTEX_POINT('',#592658); #181096=VERTEX_POINT('',#592662); #181097=VERTEX_POINT('',#592664); #181098=VERTEX_POINT('',#592673); #181099=VERTEX_POINT('',#592674); #181100=VERTEX_POINT('',#592676); #181101=VERTEX_POINT('',#592678); #181102=VERTEX_POINT('',#592682); #181103=VERTEX_POINT('',#592683); #181104=VERTEX_POINT('',#592688); #181105=VERTEX_POINT('',#592690); #181106=VERTEX_POINT('',#592694); #181107=VERTEX_POINT('',#592696); #181108=VERTEX_POINT('',#592700); #181109=VERTEX_POINT('',#592702); #181110=VERTEX_POINT('',#592711); #181111=VERTEX_POINT('',#592712); #181112=VERTEX_POINT('',#592714); #181113=VERTEX_POINT('',#592716); #181114=VERTEX_POINT('',#592720); #181115=VERTEX_POINT('',#592721); #181116=VERTEX_POINT('',#592726); #181117=VERTEX_POINT('',#592728); #181118=VERTEX_POINT('',#592732); #181119=VERTEX_POINT('',#592734); #181120=VERTEX_POINT('',#592738); #181121=VERTEX_POINT('',#592740); #181122=VERTEX_POINT('',#592749); #181123=VERTEX_POINT('',#592750); #181124=VERTEX_POINT('',#592752); #181125=VERTEX_POINT('',#592754); #181126=VERTEX_POINT('',#592758); #181127=VERTEX_POINT('',#592759); #181128=VERTEX_POINT('',#592764); #181129=VERTEX_POINT('',#592766); #181130=VERTEX_POINT('',#592770); #181131=VERTEX_POINT('',#592772); #181132=VERTEX_POINT('',#592776); #181133=VERTEX_POINT('',#592778); #181134=VERTEX_POINT('',#592787); #181135=VERTEX_POINT('',#592788); #181136=VERTEX_POINT('',#592790); #181137=VERTEX_POINT('',#592792); #181138=VERTEX_POINT('',#592796); #181139=VERTEX_POINT('',#592797); #181140=VERTEX_POINT('',#592802); #181141=VERTEX_POINT('',#592804); #181142=VERTEX_POINT('',#592808); #181143=VERTEX_POINT('',#592810); #181144=VERTEX_POINT('',#592814); #181145=VERTEX_POINT('',#592816); #181146=VERTEX_POINT('',#592825); #181147=VERTEX_POINT('',#592826); #181148=VERTEX_POINT('',#592828); #181149=VERTEX_POINT('',#592830); #181150=VERTEX_POINT('',#592834); #181151=VERTEX_POINT('',#592835); #181152=VERTEX_POINT('',#592840); #181153=VERTEX_POINT('',#592842); #181154=VERTEX_POINT('',#592846); #181155=VERTEX_POINT('',#592848); #181156=VERTEX_POINT('',#592852); #181157=VERTEX_POINT('',#592854); #181158=VERTEX_POINT('',#592863); #181159=VERTEX_POINT('',#592864); #181160=VERTEX_POINT('',#592866); #181161=VERTEX_POINT('',#592868); #181162=VERTEX_POINT('',#592872); #181163=VERTEX_POINT('',#592873); #181164=VERTEX_POINT('',#592878); #181165=VERTEX_POINT('',#592880); #181166=VERTEX_POINT('',#592884); #181167=VERTEX_POINT('',#592886); #181168=VERTEX_POINT('',#592890); #181169=VERTEX_POINT('',#592892); #181170=VERTEX_POINT('',#592901); #181171=VERTEX_POINT('',#592902); #181172=VERTEX_POINT('',#592904); #181173=VERTEX_POINT('',#592906); #181174=VERTEX_POINT('',#592910); #181175=VERTEX_POINT('',#592911); #181176=VERTEX_POINT('',#592916); #181177=VERTEX_POINT('',#592918); #181178=VERTEX_POINT('',#592922); #181179=VERTEX_POINT('',#592924); #181180=VERTEX_POINT('',#592928); #181181=VERTEX_POINT('',#592930); #181182=VERTEX_POINT('',#592939); #181183=VERTEX_POINT('',#592940); #181184=VERTEX_POINT('',#592942); #181185=VERTEX_POINT('',#592944); #181186=VERTEX_POINT('',#592948); #181187=VERTEX_POINT('',#592949); #181188=VERTEX_POINT('',#592954); #181189=VERTEX_POINT('',#592956); #181190=VERTEX_POINT('',#592960); #181191=VERTEX_POINT('',#592962); #181192=VERTEX_POINT('',#592966); #181193=VERTEX_POINT('',#592968); #181194=VERTEX_POINT('',#592977); #181195=VERTEX_POINT('',#592978); #181196=VERTEX_POINT('',#592980); #181197=VERTEX_POINT('',#592982); #181198=VERTEX_POINT('',#592986); #181199=VERTEX_POINT('',#592987); #181200=VERTEX_POINT('',#592992); #181201=VERTEX_POINT('',#592994); #181202=VERTEX_POINT('',#592998); #181203=VERTEX_POINT('',#593000); #181204=VERTEX_POINT('',#593004); #181205=VERTEX_POINT('',#593006); #181206=VERTEX_POINT('',#593015); #181207=VERTEX_POINT('',#593016); #181208=VERTEX_POINT('',#593018); #181209=VERTEX_POINT('',#593020); #181210=VERTEX_POINT('',#593024); #181211=VERTEX_POINT('',#593025); #181212=VERTEX_POINT('',#593030); #181213=VERTEX_POINT('',#593032); #181214=VERTEX_POINT('',#593036); #181215=VERTEX_POINT('',#593038); #181216=VERTEX_POINT('',#593042); #181217=VERTEX_POINT('',#593044); #181218=VERTEX_POINT('',#593053); #181219=VERTEX_POINT('',#593054); #181220=VERTEX_POINT('',#593056); #181221=VERTEX_POINT('',#593058); #181222=VERTEX_POINT('',#593062); #181223=VERTEX_POINT('',#593063); #181224=VERTEX_POINT('',#593068); #181225=VERTEX_POINT('',#593070); #181226=VERTEX_POINT('',#593074); #181227=VERTEX_POINT('',#593076); #181228=VERTEX_POINT('',#593080); #181229=VERTEX_POINT('',#593082); #181230=VERTEX_POINT('',#593091); #181231=VERTEX_POINT('',#593092); #181232=VERTEX_POINT('',#593094); #181233=VERTEX_POINT('',#593096); #181234=VERTEX_POINT('',#593100); #181235=VERTEX_POINT('',#593101); #181236=VERTEX_POINT('',#593106); #181237=VERTEX_POINT('',#593108); #181238=VERTEX_POINT('',#593112); #181239=VERTEX_POINT('',#593114); #181240=VERTEX_POINT('',#593118); #181241=VERTEX_POINT('',#593120); #181242=VERTEX_POINT('',#593129); #181243=VERTEX_POINT('',#593130); #181244=VERTEX_POINT('',#593132); #181245=VERTEX_POINT('',#593134); #181246=VERTEX_POINT('',#593138); #181247=VERTEX_POINT('',#593139); #181248=VERTEX_POINT('',#593144); #181249=VERTEX_POINT('',#593146); #181250=VERTEX_POINT('',#593150); #181251=VERTEX_POINT('',#593152); #181252=VERTEX_POINT('',#593156); #181253=VERTEX_POINT('',#593158); #181254=VERTEX_POINT('',#593167); #181255=VERTEX_POINT('',#593168); #181256=VERTEX_POINT('',#593170); #181257=VERTEX_POINT('',#593172); #181258=VERTEX_POINT('',#593176); #181259=VERTEX_POINT('',#593177); #181260=VERTEX_POINT('',#593182); #181261=VERTEX_POINT('',#593184); #181262=VERTEX_POINT('',#593188); #181263=VERTEX_POINT('',#593190); #181264=VERTEX_POINT('',#593194); #181265=VERTEX_POINT('',#593196); #181266=VERTEX_POINT('',#593205); #181267=VERTEX_POINT('',#593206); #181268=VERTEX_POINT('',#593208); #181269=VERTEX_POINT('',#593210); #181270=VERTEX_POINT('',#593214); #181271=VERTEX_POINT('',#593215); #181272=VERTEX_POINT('',#593220); #181273=VERTEX_POINT('',#593222); #181274=VERTEX_POINT('',#593226); #181275=VERTEX_POINT('',#593228); #181276=VERTEX_POINT('',#593232); #181277=VERTEX_POINT('',#593234); #181278=VERTEX_POINT('',#593243); #181279=VERTEX_POINT('',#593244); #181280=VERTEX_POINT('',#593246); #181281=VERTEX_POINT('',#593248); #181282=VERTEX_POINT('',#593252); #181283=VERTEX_POINT('',#593253); #181284=VERTEX_POINT('',#593258); #181285=VERTEX_POINT('',#593260); #181286=VERTEX_POINT('',#593264); #181287=VERTEX_POINT('',#593266); #181288=VERTEX_POINT('',#593270); #181289=VERTEX_POINT('',#593272); #181290=VERTEX_POINT('',#593281); #181291=VERTEX_POINT('',#593282); #181292=VERTEX_POINT('',#593284); #181293=VERTEX_POINT('',#593286); #181294=VERTEX_POINT('',#593290); #181295=VERTEX_POINT('',#593291); #181296=VERTEX_POINT('',#593296); #181297=VERTEX_POINT('',#593298); #181298=VERTEX_POINT('',#593302); #181299=VERTEX_POINT('',#593304); #181300=VERTEX_POINT('',#593308); #181301=VERTEX_POINT('',#593310); #181302=VERTEX_POINT('',#593319); #181303=VERTEX_POINT('',#593320); #181304=VERTEX_POINT('',#593322); #181305=VERTEX_POINT('',#593324); #181306=VERTEX_POINT('',#593328); #181307=VERTEX_POINT('',#593329); #181308=VERTEX_POINT('',#593334); #181309=VERTEX_POINT('',#593336); #181310=VERTEX_POINT('',#593340); #181311=VERTEX_POINT('',#593342); #181312=VERTEX_POINT('',#593346); #181313=VERTEX_POINT('',#593348); #181314=VERTEX_POINT('',#593357); #181315=VERTEX_POINT('',#593358); #181316=VERTEX_POINT('',#593360); #181317=VERTEX_POINT('',#593362); #181318=VERTEX_POINT('',#593366); #181319=VERTEX_POINT('',#593367); #181320=VERTEX_POINT('',#593372); #181321=VERTEX_POINT('',#593374); #181322=VERTEX_POINT('',#593378); #181323=VERTEX_POINT('',#593380); #181324=VERTEX_POINT('',#593384); #181325=VERTEX_POINT('',#593386); #181326=VERTEX_POINT('',#593395); #181327=VERTEX_POINT('',#593396); #181328=VERTEX_POINT('',#593398); #181329=VERTEX_POINT('',#593400); #181330=VERTEX_POINT('',#593404); #181331=VERTEX_POINT('',#593406); #181332=VERTEX_POINT('',#593410); #181333=VERTEX_POINT('',#593412); #181334=VERTEX_POINT('',#593424); #181335=VERTEX_POINT('',#593425); #181336=VERTEX_POINT('',#593427); #181337=VERTEX_POINT('',#593431); #181338=VERTEX_POINT('',#593432); #181339=VERTEX_POINT('',#593434); #181340=VERTEX_POINT('',#593438); #181341=VERTEX_POINT('',#593439); #181342=VERTEX_POINT('',#593441); #181343=VERTEX_POINT('',#593445); #181344=VERTEX_POINT('',#593446); #181345=VERTEX_POINT('',#593448); #181346=VERTEX_POINT('',#593452); #181347=VERTEX_POINT('',#593453); #181348=VERTEX_POINT('',#593455); #181349=VERTEX_POINT('',#593462); #181350=VERTEX_POINT('',#593463); #181351=VERTEX_POINT('',#593465); #181352=VERTEX_POINT('',#593469); #181353=VERTEX_POINT('',#593470); #181354=VERTEX_POINT('',#593472); #181355=VERTEX_POINT('',#593482); #181356=VERTEX_POINT('',#593483); #181357=VERTEX_POINT('',#593485); #181358=VERTEX_POINT('',#593522); #181359=VERTEX_POINT('',#593523); #181360=VERTEX_POINT('',#593525); #181361=VERTEX_POINT('',#593529); #181362=VERTEX_POINT('',#593530); #181363=VERTEX_POINT('',#593532); #181364=VERTEX_POINT('',#593536); #181365=VERTEX_POINT('',#593537); #181366=VERTEX_POINT('',#593539); #181367=VERTEX_POINT('',#593543); #181368=VERTEX_POINT('',#593544); #181369=VERTEX_POINT('',#593546); #181370=VERTEX_POINT('',#593550); #181371=VERTEX_POINT('',#593551); #181372=VERTEX_POINT('',#593553); #181373=VERTEX_POINT('',#593560); #181374=VERTEX_POINT('',#593561); #181375=VERTEX_POINT('',#593563); #181376=VERTEX_POINT('',#593567); #181377=VERTEX_POINT('',#593568); #181378=VERTEX_POINT('',#593570); #181379=VERTEX_POINT('',#593580); #181380=VERTEX_POINT('',#593581); #181381=VERTEX_POINT('',#593583); #181382=VERTEX_POINT('',#593620); #181383=VERTEX_POINT('',#593621); #181384=VERTEX_POINT('',#593623); #181385=VERTEX_POINT('',#593625); #181386=VERTEX_POINT('',#593629); #181387=VERTEX_POINT('',#593631); #181388=VERTEX_POINT('',#593635); #181389=VERTEX_POINT('',#593637); #181390=VERTEX_POINT('',#593649); #181391=VERTEX_POINT('',#593650); #181392=VERTEX_POINT('',#593652); #181393=VERTEX_POINT('',#593654); #181394=VERTEX_POINT('',#593658); #181395=VERTEX_POINT('',#593660); #181396=VERTEX_POINT('',#593664); #181397=VERTEX_POINT('',#593666); #181398=VERTEX_POINT('',#593673); #181399=VERTEX_POINT('',#593675); #181400=VERTEX_POINT('',#593679); #181401=VERTEX_POINT('',#593684); #181402=VERTEX_POINT('',#593691); #181403=VERTEX_POINT('',#593692); #181404=VERTEX_POINT('',#593694); #181405=VERTEX_POINT('',#593696); #181406=VERTEX_POINT('',#593700); #181407=VERTEX_POINT('',#593702); #181408=VERTEX_POINT('',#593706); #181409=VERTEX_POINT('',#593708); #181410=VERTEX_POINT('',#593717); #181411=VERTEX_POINT('',#593718); #181412=VERTEX_POINT('',#593720); #181413=VERTEX_POINT('',#593722); #181414=VERTEX_POINT('',#593726); #181415=VERTEX_POINT('',#593728); #181416=VERTEX_POINT('',#593732); #181417=VERTEX_POINT('',#593734); #181418=VERTEX_POINT('',#593743); #181419=VERTEX_POINT('',#593744); #181420=VERTEX_POINT('',#593746); #181421=VERTEX_POINT('',#593748); #181422=VERTEX_POINT('',#593752); #181423=VERTEX_POINT('',#593754); #181424=VERTEX_POINT('',#593758); #181425=VERTEX_POINT('',#593760); #181426=VERTEX_POINT('',#593769); #181427=VERTEX_POINT('',#593770); #181428=VERTEX_POINT('',#593772); #181429=VERTEX_POINT('',#593774); #181430=VERTEX_POINT('',#593778); #181431=VERTEX_POINT('',#593780); #181432=VERTEX_POINT('',#593784); #181433=VERTEX_POINT('',#593786); #181434=VERTEX_POINT('',#593795); #181435=VERTEX_POINT('',#593796); #181436=VERTEX_POINT('',#593798); #181437=VERTEX_POINT('',#593800); #181438=VERTEX_POINT('',#593804); #181439=VERTEX_POINT('',#593806); #181440=VERTEX_POINT('',#593810); #181441=VERTEX_POINT('',#593812); #181442=VERTEX_POINT('',#593824); #181443=VERTEX_POINT('',#593826); #181444=VERTEX_POINT('',#593831); #181445=VERTEX_POINT('',#593832); #181446=VERTEX_POINT('',#593834); #181447=VERTEX_POINT('',#593836); #181448=VERTEX_POINT('',#593840); #181449=VERTEX_POINT('',#593842); #181450=VERTEX_POINT('',#593846); #181451=VERTEX_POINT('',#593848); #181452=VERTEX_POINT('',#593855); #181453=VERTEX_POINT('',#593857); #181454=VERTEX_POINT('',#593861); #181455=VERTEX_POINT('',#593866); #181456=VERTEX_POINT('',#593868); #181457=VERTEX_POINT('',#593870); #181458=VERTEX_POINT('',#593874); #181459=VERTEX_POINT('',#593879); #181460=VERTEX_POINT('',#593889); #181461=VERTEX_POINT('',#593890); #181462=VERTEX_POINT('',#593892); #181463=VERTEX_POINT('',#593894); #181464=VERTEX_POINT('',#593896); #181465=VERTEX_POINT('',#593898); #181466=VERTEX_POINT('',#593900); #181467=VERTEX_POINT('',#593902); #181468=VERTEX_POINT('',#593904); #181469=VERTEX_POINT('',#593906); #181470=VERTEX_POINT('',#593908); #181471=VERTEX_POINT('',#593910); #181472=VERTEX_POINT('',#593914); #181473=VERTEX_POINT('',#593916); #181474=VERTEX_POINT('',#593920); #181475=VERTEX_POINT('',#593924); #181476=VERTEX_POINT('',#593928); #181477=VERTEX_POINT('',#593932); #181478=VERTEX_POINT('',#593936); #181479=VERTEX_POINT('',#593940); #181480=VERTEX_POINT('',#593944); #181481=VERTEX_POINT('',#593948); #181482=VERTEX_POINT('',#593952); #181483=VERTEX_POINT('',#593956); #181484=VERTEX_POINT('',#593963); #181485=VERTEX_POINT('',#593964); #181486=VERTEX_POINT('',#593966); #181487=VERTEX_POINT('',#593968); #181488=VERTEX_POINT('',#593970); #181489=VERTEX_POINT('',#593972); #181490=VERTEX_POINT('',#593974); #181491=VERTEX_POINT('',#593976); #181492=VERTEX_POINT('',#593978); #181493=VERTEX_POINT('',#593980); #181494=VERTEX_POINT('',#593982); #181495=VERTEX_POINT('',#593984); #181496=VERTEX_POINT('',#593988); #181497=VERTEX_POINT('',#593990); #181498=VERTEX_POINT('',#593994); #181499=VERTEX_POINT('',#593998); #181500=VERTEX_POINT('',#594002); #181501=VERTEX_POINT('',#594006); #181502=VERTEX_POINT('',#594010); #181503=VERTEX_POINT('',#594014); #181504=VERTEX_POINT('',#594018); #181505=VERTEX_POINT('',#594022); #181506=VERTEX_POINT('',#594026); #181507=VERTEX_POINT('',#594030); #181508=VERTEX_POINT('',#594037); #181509=VERTEX_POINT('',#594038); #181510=VERTEX_POINT('',#594040); #181511=VERTEX_POINT('',#594042); #181512=VERTEX_POINT('',#594044); #181513=VERTEX_POINT('',#594046); #181514=VERTEX_POINT('',#594048); #181515=VERTEX_POINT('',#594050); #181516=VERTEX_POINT('',#594052); #181517=VERTEX_POINT('',#594054); #181518=VERTEX_POINT('',#594056); #181519=VERTEX_POINT('',#594058); #181520=VERTEX_POINT('',#594062); #181521=VERTEX_POINT('',#594064); #181522=VERTEX_POINT('',#594068); #181523=VERTEX_POINT('',#594072); #181524=VERTEX_POINT('',#594076); #181525=VERTEX_POINT('',#594080); #181526=VERTEX_POINT('',#594084); #181527=VERTEX_POINT('',#594088); #181528=VERTEX_POINT('',#594092); #181529=VERTEX_POINT('',#594096); #181530=VERTEX_POINT('',#594100); #181531=VERTEX_POINT('',#594104); #181532=VERTEX_POINT('',#594111); #181533=VERTEX_POINT('',#594112); #181534=VERTEX_POINT('',#594114); #181535=VERTEX_POINT('',#594116); #181536=VERTEX_POINT('',#594118); #181537=VERTEX_POINT('',#594120); #181538=VERTEX_POINT('',#594122); #181539=VERTEX_POINT('',#594124); #181540=VERTEX_POINT('',#594126); #181541=VERTEX_POINT('',#594128); #181542=VERTEX_POINT('',#594130); #181543=VERTEX_POINT('',#594132); #181544=VERTEX_POINT('',#594136); #181545=VERTEX_POINT('',#594138); #181546=VERTEX_POINT('',#594142); #181547=VERTEX_POINT('',#594146); #181548=VERTEX_POINT('',#594150); #181549=VERTEX_POINT('',#594154); #181550=VERTEX_POINT('',#594158); #181551=VERTEX_POINT('',#594162); #181552=VERTEX_POINT('',#594166); #181553=VERTEX_POINT('',#594170); #181554=VERTEX_POINT('',#594174); #181555=VERTEX_POINT('',#594178); #181556=VERTEX_POINT('',#594185); #181557=VERTEX_POINT('',#594186); #181558=VERTEX_POINT('',#594188); #181559=VERTEX_POINT('',#594190); #181560=VERTEX_POINT('',#594192); #181561=VERTEX_POINT('',#594194); #181562=VERTEX_POINT('',#594196); #181563=VERTEX_POINT('',#594198); #181564=VERTEX_POINT('',#594200); #181565=VERTEX_POINT('',#594202); #181566=VERTEX_POINT('',#594204); #181567=VERTEX_POINT('',#594206); #181568=VERTEX_POINT('',#594210); #181569=VERTEX_POINT('',#594212); #181570=VERTEX_POINT('',#594216); #181571=VERTEX_POINT('',#594220); #181572=VERTEX_POINT('',#594224); #181573=VERTEX_POINT('',#594228); #181574=VERTEX_POINT('',#594232); #181575=VERTEX_POINT('',#594236); #181576=VERTEX_POINT('',#594240); #181577=VERTEX_POINT('',#594244); #181578=VERTEX_POINT('',#594248); #181579=VERTEX_POINT('',#594252); #181580=VERTEX_POINT('',#594259); #181581=VERTEX_POINT('',#594260); #181582=VERTEX_POINT('',#594262); #181583=VERTEX_POINT('',#594264); #181584=VERTEX_POINT('',#594266); #181585=VERTEX_POINT('',#594268); #181586=VERTEX_POINT('',#594270); #181587=VERTEX_POINT('',#594272); #181588=VERTEX_POINT('',#594274); #181589=VERTEX_POINT('',#594276); #181590=VERTEX_POINT('',#594278); #181591=VERTEX_POINT('',#594280); #181592=VERTEX_POINT('',#594284); #181593=VERTEX_POINT('',#594286); #181594=VERTEX_POINT('',#594290); #181595=VERTEX_POINT('',#594294); #181596=VERTEX_POINT('',#594298); #181597=VERTEX_POINT('',#594302); #181598=VERTEX_POINT('',#594306); #181599=VERTEX_POINT('',#594310); #181600=VERTEX_POINT('',#594314); #181601=VERTEX_POINT('',#594318); #181602=VERTEX_POINT('',#594322); #181603=VERTEX_POINT('',#594326); #181604=VERTEX_POINT('',#594333); #181605=VERTEX_POINT('',#594334); #181606=VERTEX_POINT('',#594336); #181607=VERTEX_POINT('',#594338); #181608=VERTEX_POINT('',#594340); #181609=VERTEX_POINT('',#594342); #181610=VERTEX_POINT('',#594344); #181611=VERTEX_POINT('',#594346); #181612=VERTEX_POINT('',#594348); #181613=VERTEX_POINT('',#594350); #181614=VERTEX_POINT('',#594352); #181615=VERTEX_POINT('',#594354); #181616=VERTEX_POINT('',#594358); #181617=VERTEX_POINT('',#594360); #181618=VERTEX_POINT('',#594364); #181619=VERTEX_POINT('',#594368); #181620=VERTEX_POINT('',#594372); #181621=VERTEX_POINT('',#594376); #181622=VERTEX_POINT('',#594380); #181623=VERTEX_POINT('',#594384); #181624=VERTEX_POINT('',#594388); #181625=VERTEX_POINT('',#594392); #181626=VERTEX_POINT('',#594396); #181627=VERTEX_POINT('',#594400); #181628=VERTEX_POINT('',#594407); #181629=VERTEX_POINT('',#594408); #181630=VERTEX_POINT('',#594410); #181631=VERTEX_POINT('',#594412); #181632=VERTEX_POINT('',#594414); #181633=VERTEX_POINT('',#594416); #181634=VERTEX_POINT('',#594418); #181635=VERTEX_POINT('',#594420); #181636=VERTEX_POINT('',#594422); #181637=VERTEX_POINT('',#594424); #181638=VERTEX_POINT('',#594426); #181639=VERTEX_POINT('',#594428); #181640=VERTEX_POINT('',#594432); #181641=VERTEX_POINT('',#594434); #181642=VERTEX_POINT('',#594438); #181643=VERTEX_POINT('',#594442); #181644=VERTEX_POINT('',#594446); #181645=VERTEX_POINT('',#594450); #181646=VERTEX_POINT('',#594454); #181647=VERTEX_POINT('',#594458); #181648=VERTEX_POINT('',#594462); #181649=VERTEX_POINT('',#594466); #181650=VERTEX_POINT('',#594470); #181651=VERTEX_POINT('',#594474); #181652=VERTEX_POINT('',#594484); #181653=VERTEX_POINT('',#594486); #181654=VERTEX_POINT('',#594491); #181655=VERTEX_POINT('',#594492); #181656=VERTEX_POINT('',#594494); #181657=VERTEX_POINT('',#594496); #181658=VERTEX_POINT('',#594500); #181659=VERTEX_POINT('',#594502); #181660=VERTEX_POINT('',#594506); #181661=VERTEX_POINT('',#594508); #181662=VERTEX_POINT('',#594515); #181663=VERTEX_POINT('',#594517); #181664=VERTEX_POINT('',#594521); #181665=VERTEX_POINT('',#594526); #181666=VERTEX_POINT('',#594528); #181667=VERTEX_POINT('',#594530); #181668=VERTEX_POINT('',#594534); #181669=VERTEX_POINT('',#594539); #181670=VERTEX_POINT('',#594549); #181671=VERTEX_POINT('',#594550); #181672=VERTEX_POINT('',#594552); #181673=VERTEX_POINT('',#594554); #181674=VERTEX_POINT('',#594556); #181675=VERTEX_POINT('',#594558); #181676=VERTEX_POINT('',#594560); #181677=VERTEX_POINT('',#594562); #181678=VERTEX_POINT('',#594564); #181679=VERTEX_POINT('',#594566); #181680=VERTEX_POINT('',#594568); #181681=VERTEX_POINT('',#594570); #181682=VERTEX_POINT('',#594574); #181683=VERTEX_POINT('',#594576); #181684=VERTEX_POINT('',#594580); #181685=VERTEX_POINT('',#594584); #181686=VERTEX_POINT('',#594588); #181687=VERTEX_POINT('',#594592); #181688=VERTEX_POINT('',#594596); #181689=VERTEX_POINT('',#594600); #181690=VERTEX_POINT('',#594604); #181691=VERTEX_POINT('',#594608); #181692=VERTEX_POINT('',#594612); #181693=VERTEX_POINT('',#594616); #181694=VERTEX_POINT('',#594623); #181695=VERTEX_POINT('',#594624); #181696=VERTEX_POINT('',#594626); #181697=VERTEX_POINT('',#594628); #181698=VERTEX_POINT('',#594630); #181699=VERTEX_POINT('',#594632); #181700=VERTEX_POINT('',#594634); #181701=VERTEX_POINT('',#594636); #181702=VERTEX_POINT('',#594638); #181703=VERTEX_POINT('',#594640); #181704=VERTEX_POINT('',#594642); #181705=VERTEX_POINT('',#594644); #181706=VERTEX_POINT('',#594648); #181707=VERTEX_POINT('',#594650); #181708=VERTEX_POINT('',#594654); #181709=VERTEX_POINT('',#594658); #181710=VERTEX_POINT('',#594662); #181711=VERTEX_POINT('',#594666); #181712=VERTEX_POINT('',#594670); #181713=VERTEX_POINT('',#594674); #181714=VERTEX_POINT('',#594678); #181715=VERTEX_POINT('',#594682); #181716=VERTEX_POINT('',#594686); #181717=VERTEX_POINT('',#594690); #181718=VERTEX_POINT('',#594697); #181719=VERTEX_POINT('',#594698); #181720=VERTEX_POINT('',#594700); #181721=VERTEX_POINT('',#594702); #181722=VERTEX_POINT('',#594704); #181723=VERTEX_POINT('',#594706); #181724=VERTEX_POINT('',#594708); #181725=VERTEX_POINT('',#594710); #181726=VERTEX_POINT('',#594712); #181727=VERTEX_POINT('',#594714); #181728=VERTEX_POINT('',#594716); #181729=VERTEX_POINT('',#594718); #181730=VERTEX_POINT('',#594722); #181731=VERTEX_POINT('',#594724); #181732=VERTEX_POINT('',#594728); #181733=VERTEX_POINT('',#594732); #181734=VERTEX_POINT('',#594736); #181735=VERTEX_POINT('',#594740); #181736=VERTEX_POINT('',#594744); #181737=VERTEX_POINT('',#594748); #181738=VERTEX_POINT('',#594752); #181739=VERTEX_POINT('',#594756); #181740=VERTEX_POINT('',#594760); #181741=VERTEX_POINT('',#594764); #181742=VERTEX_POINT('',#594771); #181743=VERTEX_POINT('',#594772); #181744=VERTEX_POINT('',#594774); #181745=VERTEX_POINT('',#594776); #181746=VERTEX_POINT('',#594778); #181747=VERTEX_POINT('',#594780); #181748=VERTEX_POINT('',#594782); #181749=VERTEX_POINT('',#594784); #181750=VERTEX_POINT('',#594786); #181751=VERTEX_POINT('',#594788); #181752=VERTEX_POINT('',#594790); #181753=VERTEX_POINT('',#594792); #181754=VERTEX_POINT('',#594796); #181755=VERTEX_POINT('',#594798); #181756=VERTEX_POINT('',#594802); #181757=VERTEX_POINT('',#594806); #181758=VERTEX_POINT('',#594810); #181759=VERTEX_POINT('',#594814); #181760=VERTEX_POINT('',#594818); #181761=VERTEX_POINT('',#594822); #181762=VERTEX_POINT('',#594826); #181763=VERTEX_POINT('',#594830); #181764=VERTEX_POINT('',#594834); #181765=VERTEX_POINT('',#594838); #181766=VERTEX_POINT('',#594845); #181767=VERTEX_POINT('',#594846); #181768=VERTEX_POINT('',#594848); #181769=VERTEX_POINT('',#594850); #181770=VERTEX_POINT('',#594852); #181771=VERTEX_POINT('',#594854); #181772=VERTEX_POINT('',#594856); #181773=VERTEX_POINT('',#594858); #181774=VERTEX_POINT('',#594860); #181775=VERTEX_POINT('',#594862); #181776=VERTEX_POINT('',#594864); #181777=VERTEX_POINT('',#594866); #181778=VERTEX_POINT('',#594870); #181779=VERTEX_POINT('',#594872); #181780=VERTEX_POINT('',#594876); #181781=VERTEX_POINT('',#594880); #181782=VERTEX_POINT('',#594884); #181783=VERTEX_POINT('',#594888); #181784=VERTEX_POINT('',#594892); #181785=VERTEX_POINT('',#594896); #181786=VERTEX_POINT('',#594900); #181787=VERTEX_POINT('',#594904); #181788=VERTEX_POINT('',#594908); #181789=VERTEX_POINT('',#594912); #181790=VERTEX_POINT('',#594922); #181791=VERTEX_POINT('',#594923); #181792=VERTEX_POINT('',#594925); #181793=VERTEX_POINT('',#594929); #181794=VERTEX_POINT('',#594930); #181795=VERTEX_POINT('',#594932); #181796=VERTEX_POINT('',#594936); #181797=VERTEX_POINT('',#594937); #181798=VERTEX_POINT('',#594939); #181799=VERTEX_POINT('',#594943); #181800=VERTEX_POINT('',#594944); #181801=VERTEX_POINT('',#594946); #181802=VERTEX_POINT('',#594950); #181803=VERTEX_POINT('',#594951); #181804=VERTEX_POINT('',#594953); #181805=VERTEX_POINT('',#594960); #181806=VERTEX_POINT('',#594961); #181807=VERTEX_POINT('',#594963); #181808=VERTEX_POINT('',#594967); #181809=VERTEX_POINT('',#594968); #181810=VERTEX_POINT('',#594970); #181811=VERTEX_POINT('',#594980); #181812=VERTEX_POINT('',#594981); #181813=VERTEX_POINT('',#594983); #181814=VERTEX_POINT('',#595020); #181815=VERTEX_POINT('',#595021); #181816=VERTEX_POINT('',#595023); #181817=VERTEX_POINT('',#595027); #181818=VERTEX_POINT('',#595028); #181819=VERTEX_POINT('',#595030); #181820=VERTEX_POINT('',#595034); #181821=VERTEX_POINT('',#595035); #181822=VERTEX_POINT('',#595037); #181823=VERTEX_POINT('',#595041); #181824=VERTEX_POINT('',#595042); #181825=VERTEX_POINT('',#595044); #181826=VERTEX_POINT('',#595048); #181827=VERTEX_POINT('',#595049); #181828=VERTEX_POINT('',#595051); #181829=VERTEX_POINT('',#595058); #181830=VERTEX_POINT('',#595059); #181831=VERTEX_POINT('',#595061); #181832=VERTEX_POINT('',#595065); #181833=VERTEX_POINT('',#595066); #181834=VERTEX_POINT('',#595068); #181835=VERTEX_POINT('',#595078); #181836=VERTEX_POINT('',#595079); #181837=VERTEX_POINT('',#595081); #181838=VERTEX_POINT('',#595118); #181839=VERTEX_POINT('',#595119); #181840=VERTEX_POINT('',#595121); #181841=VERTEX_POINT('',#595123); #181842=VERTEX_POINT('',#595127); #181843=VERTEX_POINT('',#595129); #181844=VERTEX_POINT('',#595133); #181845=VERTEX_POINT('',#595135); #181846=VERTEX_POINT('',#595221); #181847=VERTEX_POINT('',#595223); #181848=VERTEX_POINT('',#595227); #181849=VERTEX_POINT('',#595229); #181850=VERTEX_POINT('',#595233); #181851=VERTEX_POINT('',#595234); #181852=VERTEX_POINT('',#595236); #181853=VERTEX_POINT('',#595238); #181854=VERTEX_POINT('',#595242); #181855=VERTEX_POINT('',#595244); #181856=VERTEX_POINT('',#595248); #181857=VERTEX_POINT('',#595250); #181858=VERTEX_POINT('',#595254); #181859=VERTEX_POINT('',#595256); #181860=VERTEX_POINT('',#595260); #181861=VERTEX_POINT('',#595262); #181862=VERTEX_POINT('',#595266); #181863=VERTEX_POINT('',#595268); #181864=VERTEX_POINT('',#595272); #181865=VERTEX_POINT('',#595274); #181866=VERTEX_POINT('',#595278); #181867=VERTEX_POINT('',#595280); #181868=VERTEX_POINT('',#595284); #181869=VERTEX_POINT('',#595286); #181870=VERTEX_POINT('',#595290); #181871=VERTEX_POINT('',#595292); #181872=VERTEX_POINT('',#595296); #181873=VERTEX_POINT('',#595298); #181874=VERTEX_POINT('',#595308); #181875=VERTEX_POINT('',#595310); #181876=VERTEX_POINT('',#595314); #181877=VERTEX_POINT('',#595316); #181878=VERTEX_POINT('',#595320); #181879=VERTEX_POINT('',#595321); #181880=VERTEX_POINT('',#595323); #181881=VERTEX_POINT('',#595325); #181882=VERTEX_POINT('',#595329); #181883=VERTEX_POINT('',#595331); #181884=VERTEX_POINT('',#595335); #181885=VERTEX_POINT('',#595337); #181886=VERTEX_POINT('',#595341); #181887=VERTEX_POINT('',#595343); #181888=VERTEX_POINT('',#595347); #181889=VERTEX_POINT('',#595349); #181890=VERTEX_POINT('',#595353); #181891=VERTEX_POINT('',#595355); #181892=VERTEX_POINT('',#595359); #181893=VERTEX_POINT('',#595361); #181894=VERTEX_POINT('',#595365); #181895=VERTEX_POINT('',#595367); #181896=VERTEX_POINT('',#595371); #181897=VERTEX_POINT('',#595373); #181898=VERTEX_POINT('',#595377); #181899=VERTEX_POINT('',#595379); #181900=VERTEX_POINT('',#595383); #181901=VERTEX_POINT('',#595385); #181902=VERTEX_POINT('',#595395); #181903=VERTEX_POINT('',#595397); #181904=VERTEX_POINT('',#595401); #181905=VERTEX_POINT('',#595403); #181906=VERTEX_POINT('',#595407); #181907=VERTEX_POINT('',#595408); #181908=VERTEX_POINT('',#595410); #181909=VERTEX_POINT('',#595412); #181910=VERTEX_POINT('',#595416); #181911=VERTEX_POINT('',#595418); #181912=VERTEX_POINT('',#595422); #181913=VERTEX_POINT('',#595424); #181914=VERTEX_POINT('',#595428); #181915=VERTEX_POINT('',#595430); #181916=VERTEX_POINT('',#595434); #181917=VERTEX_POINT('',#595436); #181918=VERTEX_POINT('',#595440); #181919=VERTEX_POINT('',#595442); #181920=VERTEX_POINT('',#595446); #181921=VERTEX_POINT('',#595448); #181922=VERTEX_POINT('',#595452); #181923=VERTEX_POINT('',#595454); #181924=VERTEX_POINT('',#595458); #181925=VERTEX_POINT('',#595460); #181926=VERTEX_POINT('',#595464); #181927=VERTEX_POINT('',#595466); #181928=VERTEX_POINT('',#595470); #181929=VERTEX_POINT('',#595472); #181930=VERTEX_POINT('',#595481); #181931=VERTEX_POINT('',#595482); #181932=VERTEX_POINT('',#595484); #181933=VERTEX_POINT('',#595486); #181934=VERTEX_POINT('',#595490); #181935=VERTEX_POINT('',#595492); #181936=VERTEX_POINT('',#595496); #181937=VERTEX_POINT('',#595500); #181938=VERTEX_POINT('',#595510); #181939=VERTEX_POINT('',#595512); #181940=VERTEX_POINT('',#595516); #181941=VERTEX_POINT('',#595517); #181942=VERTEX_POINT('',#595519); #181943=VERTEX_POINT('',#595521); #181944=VERTEX_POINT('',#595525); #181945=VERTEX_POINT('',#595526); #181946=VERTEX_POINT('',#595531); #181947=VERTEX_POINT('',#595533); #181948=VERTEX_POINT('',#595537); #181949=VERTEX_POINT('',#595541); #181950=VERTEX_POINT('',#595547); #181951=VERTEX_POINT('',#595549); #181952=VERTEX_POINT('',#595553); #181953=VERTEX_POINT('',#595556); #181954=VERTEX_POINT('',#595559); #181955=VERTEX_POINT('',#595560); #181956=VERTEX_POINT('',#595562); #181957=VERTEX_POINT('',#595564); #181958=VERTEX_POINT('',#595567); #181959=VERTEX_POINT('',#595568); #181960=VERTEX_POINT('',#595570); #181961=VERTEX_POINT('',#595572); #181962=VERTEX_POINT('',#595575); #181963=VERTEX_POINT('',#595576); #181964=VERTEX_POINT('',#595578); #181965=VERTEX_POINT('',#595580); #181966=VERTEX_POINT('',#595584); #181967=VERTEX_POINT('',#595588); #181968=VERTEX_POINT('',#595594); #181969=VERTEX_POINT('',#595598); #181970=VERTEX_POINT('',#595604); #181971=VERTEX_POINT('',#595608); #181972=VERTEX_POINT('',#595614); #181973=VERTEX_POINT('',#595618); #181974=VERTEX_POINT('',#595624); #181975=VERTEX_POINT('',#595628); #181976=VERTEX_POINT('',#595634); #181977=VERTEX_POINT('',#595638); #181978=VERTEX_POINT('',#595644); #181979=VERTEX_POINT('',#595648); #181980=VERTEX_POINT('',#595669); #181981=VERTEX_POINT('',#595674); #181982=VERTEX_POINT('',#595675); #181983=VERTEX_POINT('',#595677); #181984=VERTEX_POINT('',#595682); #181985=VERTEX_POINT('',#595689); #181986=VERTEX_POINT('',#595690); #181987=VERTEX_POINT('',#595695); #181988=VERTEX_POINT('',#595697); #181989=VERTEX_POINT('',#595706); #181990=VERTEX_POINT('',#595708); #181991=VERTEX_POINT('',#595715); #181992=VERTEX_POINT('',#595716); #181993=VERTEX_POINT('',#595726); #181994=VERTEX_POINT('',#595728); #181995=VERTEX_POINT('',#595732); #181996=VERTEX_POINT('',#595737); #181997=VERTEX_POINT('',#595743); #181998=VERTEX_POINT('',#595744); #181999=VERTEX_POINT('',#595746); #182000=VERTEX_POINT('',#595750); #182001=VERTEX_POINT('',#595751); #182002=VERTEX_POINT('',#595753); #182003=VERTEX_POINT('',#595755); #182004=VERTEX_POINT('',#595757); #182005=VERTEX_POINT('',#595759); #182006=VERTEX_POINT('',#595763); #182007=VERTEX_POINT('',#595764); #182008=VERTEX_POINT('',#595775); #182009=VERTEX_POINT('',#595779); #182010=VERTEX_POINT('',#595780); #182011=VERTEX_POINT('',#595791); #182012=VERTEX_POINT('',#595795); #182013=VERTEX_POINT('',#595796); #182014=VERTEX_POINT('',#595807); #182015=VERTEX_POINT('',#595811); #182016=VERTEX_POINT('',#595812); #182017=VERTEX_POINT('',#595823); #182018=VERTEX_POINT('',#595827); #182019=VERTEX_POINT('',#595828); #182020=VERTEX_POINT('',#595830); #182021=VERTEX_POINT('',#595834); #182022=VERTEX_POINT('',#595836); #182023=VERTEX_POINT('',#595849); #182024=VERTEX_POINT('',#595851); #182025=VERTEX_POINT('',#595855); #182026=VERTEX_POINT('',#595860); #182027=VERTEX_POINT('',#595873); #182028=VERTEX_POINT('',#595886); #182029=VERTEX_POINT('',#595888); #182030=VERTEX_POINT('',#595890); #182031=VERTEX_POINT('',#595894); #182032=VERTEX_POINT('',#595896); #182033=VERTEX_POINT('',#595909); #182034=VERTEX_POINT('',#595911); #182035=VERTEX_POINT('',#595924); #182036=VERTEX_POINT('',#595927); #182037=VERTEX_POINT('',#595930); #182038=VERTEX_POINT('',#595934); #182039=VERTEX_POINT('',#595947); #182040=VERTEX_POINT('',#595961); #182041=VERTEX_POINT('',#595966); #182042=VERTEX_POINT('',#595981); #182043=VERTEX_POINT('',#595982); #182044=VERTEX_POINT('',#595984); #182045=VERTEX_POINT('',#595986); #182046=VERTEX_POINT('',#595989); #182047=VERTEX_POINT('',#595991); #182048=VERTEX_POINT('',#595993); #182049=VERTEX_POINT('',#595995); #182050=VERTEX_POINT('',#595998); #182051=VERTEX_POINT('',#596000); #182052=VERTEX_POINT('',#596002); #182053=VERTEX_POINT('',#596004); #182054=VERTEX_POINT('',#596006); #182055=VERTEX_POINT('',#596008); #182056=VERTEX_POINT('',#596010); #182057=VERTEX_POINT('',#596012); #182058=VERTEX_POINT('',#596014); #182059=VERTEX_POINT('',#596016); #182060=VERTEX_POINT('',#596020); #182061=VERTEX_POINT('',#596024); #182062=VERTEX_POINT('',#596026); #182063=VERTEX_POINT('',#596030); #182064=VERTEX_POINT('',#596032); #182065=VERTEX_POINT('',#596036); #182066=VERTEX_POINT('',#596044); #182067=VERTEX_POINT('',#596046); #182068=VERTEX_POINT('',#596049); #182069=VERTEX_POINT('',#596053); #182070=VERTEX_POINT('',#596056); #182071=VERTEX_POINT('',#596058); #182072=VERTEX_POINT('',#596062); #182073=VERTEX_POINT('',#596064); #182074=VERTEX_POINT('',#596066); #182075=VERTEX_POINT('',#596093); #182076=VERTEX_POINT('',#596094); #182077=VERTEX_POINT('',#596096); #182078=VERTEX_POINT('',#596098); #182079=VERTEX_POINT('',#596102); #182080=VERTEX_POINT('',#596104); #182081=VERTEX_POINT('',#596108); #182082=VERTEX_POINT('',#596109); #182083=VERTEX_POINT('',#596111); #182084=VERTEX_POINT('',#596113); #182085=VERTEX_POINT('',#596117); #182086=VERTEX_POINT('',#596119); #182087=VERTEX_POINT('',#596123); #182088=VERTEX_POINT('',#596125); #182089=VERTEX_POINT('',#596132); #182090=VERTEX_POINT('',#596134); #182091=VERTEX_POINT('',#596141); #182092=VERTEX_POINT('',#596143); #182093=VERTEX_POINT('',#596147); #182094=VERTEX_POINT('',#596148); #182095=VERTEX_POINT('',#596150); #182096=VERTEX_POINT('',#596152); #182097=VERTEX_POINT('',#596155); #182098=VERTEX_POINT('',#596157); #182099=VERTEX_POINT('',#596158); #182100=VERTEX_POINT('',#596161); #182101=VERTEX_POINT('',#596162); #182102=VERTEX_POINT('',#596166); #182103=VERTEX_POINT('',#596170); #182104=VERTEX_POINT('',#596174); #182105=VERTEX_POINT('',#596178); #182106=VERTEX_POINT('',#596180); #182107=VERTEX_POINT('',#596184); #182108=VERTEX_POINT('',#596185); #182109=VERTEX_POINT('',#596188); #182110=VERTEX_POINT('',#596190); #182111=VERTEX_POINT('',#596191); #182112=VERTEX_POINT('',#596194); #182113=VERTEX_POINT('',#596195); #182114=VERTEX_POINT('',#596199); #182115=VERTEX_POINT('',#596203); #182116=VERTEX_POINT('',#596207); #182117=VERTEX_POINT('',#596211); #182118=VERTEX_POINT('',#596212); #182119=VERTEX_POINT('',#596225); #182120=VERTEX_POINT('',#596227); #182121=VERTEX_POINT('',#596243); #182122=VERTEX_POINT('',#596248); #182123=VERTEX_POINT('',#596257); #182124=VERTEX_POINT('',#596259); #182125=VERTEX_POINT('',#596277); #182126=VERTEX_POINT('',#596278); #182127=VERTEX_POINT('',#596280); #182128=VERTEX_POINT('',#596282); #182129=VERTEX_POINT('',#596286); #182130=VERTEX_POINT('',#596287); #182131=VERTEX_POINT('',#596296); #182132=VERTEX_POINT('',#596300); #182133=VERTEX_POINT('',#596306); #182134=VERTEX_POINT('',#596313); #182135=VERTEX_POINT('',#596319); #182136=VERTEX_POINT('',#596320); #182137=VERTEX_POINT('',#596322); #182138=VERTEX_POINT('',#596324); #182139=VERTEX_POINT('',#596336); #182140=VERTEX_POINT('',#596337); #182141=VERTEX_POINT('',#596339); #182142=VERTEX_POINT('',#596343); #182143=VERTEX_POINT('',#596344); #182144=VERTEX_POINT('',#596347); #182145=VERTEX_POINT('',#596351); #182146=VERTEX_POINT('',#596355); #182147=VERTEX_POINT('',#596357); #182148=VERTEX_POINT('',#596361); #182149=VERTEX_POINT('',#596362); #182150=VERTEX_POINT('',#596365); #182151=VERTEX_POINT('',#596372); #182152=VERTEX_POINT('',#596373); #182153=VERTEX_POINT('',#596375); #182154=VERTEX_POINT('',#596379); #182155=VERTEX_POINT('',#596381); #182156=VERTEX_POINT('',#596385); #182157=VERTEX_POINT('',#596386); #182158=VERTEX_POINT('',#596389); #182159=VERTEX_POINT('',#596393); #182160=VERTEX_POINT('',#596397); #182161=VERTEX_POINT('',#596398); #182162=VERTEX_POINT('',#596400); #182163=VERTEX_POINT('',#596408); #182164=VERTEX_POINT('',#596410); #182165=VERTEX_POINT('',#596414); #182166=VERTEX_POINT('',#596416); #182167=VERTEX_POINT('',#596420); #182168=VERTEX_POINT('',#596421); #182169=VERTEX_POINT('',#596424); #182170=VERTEX_POINT('',#596428); #182171=VERTEX_POINT('',#596432); #182172=VERTEX_POINT('',#596434); #182173=VERTEX_POINT('',#596442); #182174=VERTEX_POINT('',#596443); #182175=VERTEX_POINT('',#596445); #182176=VERTEX_POINT('',#596447); #182177=VERTEX_POINT('',#596454); #182178=VERTEX_POINT('',#596458); #182179=VERTEX_POINT('',#596464); #182180=VERTEX_POINT('',#596466); #182181=VERTEX_POINT('',#596470); #182182=VERTEX_POINT('',#596472); #182183=VERTEX_POINT('',#596476); #182184=VERTEX_POINT('',#596478); #182185=VERTEX_POINT('',#596482); #182186=VERTEX_POINT('',#596484); #182187=VERTEX_POINT('',#596486); #182188=VERTEX_POINT('',#596490); #182189=VERTEX_POINT('',#596494); #182190=VERTEX_POINT('',#596496); #182191=VERTEX_POINT('',#596500); #182192=VERTEX_POINT('',#596502); #182193=VERTEX_POINT('',#596506); #182194=VERTEX_POINT('',#596508); #182195=VERTEX_POINT('',#596512); #182196=VERTEX_POINT('',#596513); #182197=VERTEX_POINT('',#596515); #182198=VERTEX_POINT('',#596517); #182199=VERTEX_POINT('',#596524); #182200=VERTEX_POINT('',#596525); #182201=VERTEX_POINT('',#596527); #182202=VERTEX_POINT('',#596529); #182203=VERTEX_POINT('',#596534); #182204=VERTEX_POINT('',#596536); #182205=VERTEX_POINT('',#596540); #182206=VERTEX_POINT('',#596541); #182207=VERTEX_POINT('',#596543); #182208=VERTEX_POINT('',#596545); #182209=VERTEX_POINT('',#596552); #182210=VERTEX_POINT('',#596553); #182211=VERTEX_POINT('',#596555); #182212=VERTEX_POINT('',#596557); #182213=VERTEX_POINT('',#596562); #182214=VERTEX_POINT('',#596564); #182215=VERTEX_POINT('',#596566); #182216=VERTEX_POINT('',#596570); #182217=VERTEX_POINT('',#596574); #182218=VERTEX_POINT('',#596581); #182219=VERTEX_POINT('',#596583); #182220=VERTEX_POINT('',#596587); #182221=VERTEX_POINT('',#596588); #182222=VERTEX_POINT('',#596590); #182223=VERTEX_POINT('',#596592); #182224=VERTEX_POINT('',#596594); #182225=VERTEX_POINT('',#596596); #182226=VERTEX_POINT('',#596598); #182227=VERTEX_POINT('',#596600); #182228=VERTEX_POINT('',#596607); #182229=VERTEX_POINT('',#596608); #182230=VERTEX_POINT('',#596610); #182231=VERTEX_POINT('',#596612); #182232=VERTEX_POINT('',#596614); #182233=VERTEX_POINT('',#596616); #182234=VERTEX_POINT('',#596618); #182235=VERTEX_POINT('',#596620); #182236=VERTEX_POINT('',#596627); #182237=VERTEX_POINT('',#596632); #182238=VERTEX_POINT('',#596634); #182239=VERTEX_POINT('',#596643); #182240=VERTEX_POINT('',#596645); #182241=VERTEX_POINT('',#596647); #182242=VERTEX_POINT('',#596655); #182243=VERTEX_POINT('',#596661); #182244=VERTEX_POINT('',#596663); #182245=VERTEX_POINT('',#596665); #182246=VERTEX_POINT('',#596667); #182247=VERTEX_POINT('',#596671); #182248=VERTEX_POINT('',#596673); #182249=VERTEX_POINT('',#596677); #182250=VERTEX_POINT('',#596679); #182251=VERTEX_POINT('',#596690); #182252=VERTEX_POINT('',#596691); #182253=VERTEX_POINT('',#596693); #182254=VERTEX_POINT('',#596695); #182255=VERTEX_POINT('',#596699); #182256=VERTEX_POINT('',#596701); #182257=VERTEX_POINT('',#596705); #182258=VERTEX_POINT('',#596706); #182259=VERTEX_POINT('',#596708); #182260=VERTEX_POINT('',#596710); #182261=VERTEX_POINT('',#596714); #182262=VERTEX_POINT('',#596716); #182263=VERTEX_POINT('',#596720); #182264=VERTEX_POINT('',#596722); #182265=VERTEX_POINT('',#596729); #182266=VERTEX_POINT('',#596731); #182267=VERTEX_POINT('',#596738); #182268=VERTEX_POINT('',#596739); #182269=VERTEX_POINT('',#596741); #182270=VERTEX_POINT('',#596743); #182271=VERTEX_POINT('',#596747); #182272=VERTEX_POINT('',#596749); #182273=VERTEX_POINT('',#596753); #182274=VERTEX_POINT('',#596755); #182275=VERTEX_POINT('',#596762); #182276=VERTEX_POINT('',#596764); #182277=VERTEX_POINT('',#596768); #182278=VERTEX_POINT('',#596769); #182279=VERTEX_POINT('',#596771); #182280=VERTEX_POINT('',#596773); #182281=VERTEX_POINT('',#596777); #182282=VERTEX_POINT('',#596779); #182283=VERTEX_POINT('',#596783); #182284=VERTEX_POINT('',#596785); #182285=VERTEX_POINT('',#596821); #182286=VERTEX_POINT('',#596822); #182287=VERTEX_POINT('',#596824); #182288=VERTEX_POINT('',#596829); #182289=VERTEX_POINT('',#596864); #182290=VERTEX_POINT('',#596865); #182291=VERTEX_POINT('',#596868); #182292=VERTEX_POINT('',#596903); #182293=VERTEX_POINT('',#596905); #182294=VERTEX_POINT('',#596956); #182295=VERTEX_POINT('',#596958); #182296=VERTEX_POINT('',#596997); #182297=VERTEX_POINT('',#596999); #182298=VERTEX_POINT('',#597030); #182299=VERTEX_POINT('',#597032); #182300=VERTEX_POINT('',#597066); #182301=VERTEX_POINT('',#597068); #182302=VERTEX_POINT('',#597131); #182303=VERTEX_POINT('',#597132); #182304=VERTEX_POINT('',#597134); #182305=VERTEX_POINT('',#597136); #182306=VERTEX_POINT('',#597138); #182307=VERTEX_POINT('',#597140); #182308=VERTEX_POINT('',#597142); #182309=VERTEX_POINT('',#597144); #182310=VERTEX_POINT('',#597163); #182311=VERTEX_POINT('',#597165); #182312=VERTEX_POINT('',#597196); #182313=VERTEX_POINT('',#597239); #182314=VERTEX_POINT('',#597270); #182315=VERTEX_POINT('',#597289); #182316=VERTEX_POINT('',#597291); #182317=VERTEX_POINT('',#597322); #182318=VERTEX_POINT('',#597365); #182319=VERTEX_POINT('',#597398); #182320=VERTEX_POINT('',#597400); #182321=VERTEX_POINT('',#597404); #182322=VERTEX_POINT('',#597408); #182323=VERTEX_POINT('',#597411); #182324=VERTEX_POINT('',#597418); #182325=VERTEX_POINT('',#597420); #182326=VERTEX_POINT('',#597426); #182327=VERTEX_POINT('',#597428); #182328=VERTEX_POINT('',#597434); #182329=VERTEX_POINT('',#597436); #182330=VERTEX_POINT('',#597442); #182331=VERTEX_POINT('',#597444); #182332=EDGE_CURVE('',#150435,#150436,#72405,.T.); #182333=EDGE_CURVE('',#150435,#150437,#63975,.T.); #182334=EDGE_CURVE('',#150438,#150437,#63295,.T.); #182335=EDGE_CURVE('',#150436,#150438,#63296,.T.); #182336=EDGE_CURVE('',#150439,#150440,#72406,.T.); #182337=EDGE_CURVE('',#150439,#150441,#63976,.T.); #182338=EDGE_CURVE('',#150442,#150441,#72407,.T.); #182339=EDGE_CURVE('',#150442,#150440,#63977,.T.); #182340=EDGE_CURVE('',#150440,#150443,#72408,.T.); #182341=EDGE_CURVE('',#150443,#150444,#72409,.T.); #182342=EDGE_CURVE('',#150444,#150445,#72410,.T.); #182343=EDGE_CURVE('',#150445,#150446,#72411,.T.); #182344=EDGE_CURVE('',#150446,#150447,#72412,.T.); #182345=EDGE_CURVE('',#150447,#150448,#72413,.T.); #182346=EDGE_CURVE('',#150448,#150449,#63978,.T.); #182347=EDGE_CURVE('',#150449,#150450,#72414,.T.); #182348=EDGE_CURVE('',#150450,#150451,#72415,.T.); #182349=EDGE_CURVE('',#150451,#150452,#72416,.T.); #182350=EDGE_CURVE('',#150452,#150453,#72417,.T.); #182351=EDGE_CURVE('',#150453,#150439,#72418,.T.); #182352=EDGE_CURVE('',#150454,#150453,#63979,.T.); #182353=EDGE_CURVE('',#150454,#150441,#72419,.T.); #182354=EDGE_CURVE('',#150455,#150456,#72420,.T.); #182355=EDGE_CURVE('',#150456,#150457,#72421,.T.); #182356=EDGE_CURVE('',#150457,#150458,#8030,.T.); #182357=EDGE_CURVE('',#150458,#150459,#72422,.T.); #182358=EDGE_CURVE('',#150460,#150459,#72423,.T.); #182359=EDGE_CURVE('',#150460,#150461,#72424,.T.); #182360=EDGE_CURVE('',#150462,#150461,#72425,.T.); #182361=EDGE_CURVE('',#150462,#150463,#72426,.T.); #182362=EDGE_CURVE('',#150442,#150463,#72427,.T.); #182363=EDGE_CURVE('',#150454,#150464,#72428,.T.); #182364=EDGE_CURVE('',#150464,#150455,#72429,.T.); #182365=EDGE_CURVE('',#150465,#150466,#72430,.T.); #182366=EDGE_CURVE('',#150466,#150456,#72431,.T.); #182367=EDGE_CURVE('',#150455,#150465,#72432,.T.); #182368=EDGE_CURVE('',#150465,#150467,#72433,.T.); #182369=EDGE_CURVE('',#150467,#150468,#72434,.T.); #182370=EDGE_CURVE('',#150468,#150469,#72435,.T.); #182371=EDGE_CURVE('',#150469,#150470,#72436,.T.); #182372=EDGE_CURVE('',#150470,#150471,#72437,.T.); #182373=EDGE_CURVE('',#150471,#150472,#72438,.T.); #182374=EDGE_CURVE('',#150473,#150472,#72439,.T.); #182375=EDGE_CURVE('',#150473,#150474,#72440,.T.); #182376=EDGE_CURVE('',#150475,#150474,#72441,.T.); #182377=EDGE_CURVE('',#150475,#150476,#72442,.T.); #182378=EDGE_CURVE('',#150476,#150477,#8031,.T.); #182379=EDGE_CURVE('',#150477,#150466,#72443,.T.); #182380=EDGE_CURVE('',#150478,#150479,#72444,.T.); #182381=EDGE_CURVE('',#150480,#150478,#63980,.T.); #182382=EDGE_CURVE('',#150480,#150481,#72445,.T.); #182383=EDGE_CURVE('',#150482,#150481,#63981,.T.); #182384=EDGE_CURVE('',#150483,#150482,#72446,.T.); #182385=EDGE_CURVE('',#150484,#150483,#63982,.T.); #182386=EDGE_CURVE('',#150485,#150484,#72447,.T.); #182387=EDGE_CURVE('',#150486,#150485,#63983,.T.); #182388=EDGE_CURVE('',#150487,#150486,#72448,.T.); #182389=EDGE_CURVE('',#150488,#150487,#63984,.T.); #182390=EDGE_CURVE('',#150489,#150488,#72449,.T.); #182391=EDGE_CURVE('',#150490,#150489,#63985,.T.); #182392=EDGE_CURVE('',#150491,#150490,#72450,.T.); #182393=EDGE_CURVE('',#150492,#150491,#72451,.T.); #182394=EDGE_CURVE('',#150493,#150492,#63986,.T.); #182395=EDGE_CURVE('',#150494,#150493,#72452,.T.); #182396=EDGE_CURVE('',#150495,#150494,#63987,.T.); #182397=EDGE_CURVE('',#150496,#150495,#72453,.T.); #182398=EDGE_CURVE('',#150497,#150496,#63988,.T.); #182399=EDGE_CURVE('',#150498,#150497,#63989,.T.); #182400=EDGE_CURVE('',#150499,#150498,#63990,.T.); #182401=EDGE_CURVE('',#150500,#150499,#72454,.T.); #182402=EDGE_CURVE('',#150501,#150500,#63991,.T.); #182403=EDGE_CURVE('',#150502,#150501,#72455,.T.); #182404=EDGE_CURVE('',#150503,#150502,#63992,.T.); #182405=EDGE_CURVE('',#150504,#150503,#72456,.T.); #182406=EDGE_CURVE('',#150505,#150504,#72457,.T.); #182407=EDGE_CURVE('',#150506,#150505,#72458,.T.); #182408=EDGE_CURVE('',#150507,#150506,#63993,.T.); #182409=EDGE_CURVE('',#150508,#150507,#72459,.T.); #182410=EDGE_CURVE('',#150509,#150508,#63994,.T.); #182411=EDGE_CURVE('',#150510,#150509,#72460,.T.); #182412=EDGE_CURVE('',#150511,#150510,#63995,.T.); #182413=EDGE_CURVE('',#150512,#150511,#63996,.T.); #182414=EDGE_CURVE('',#150513,#150512,#63997,.T.); #182415=EDGE_CURVE('',#150514,#150513,#72461,.T.); #182416=EDGE_CURVE('',#150450,#150514,#63998,.T.); #182417=EDGE_CURVE('',#150457,#150449,#63999,.T.); #182418=EDGE_CURVE('',#150515,#150477,#64000,.T.); #182419=EDGE_CURVE('',#150516,#150515,#72462,.T.); #182420=EDGE_CURVE('',#150517,#150516,#64001,.T.); #182421=EDGE_CURVE('',#150518,#150517,#72463,.T.); #182422=EDGE_CURVE('',#150519,#150518,#64002,.T.); #182423=EDGE_CURVE('',#150520,#150519,#64003,.T.); #182424=EDGE_CURVE('',#150521,#150520,#64004,.T.); #182425=EDGE_CURVE('',#150522,#150521,#72464,.T.); #182426=EDGE_CURVE('',#150523,#150522,#64005,.T.); #182427=EDGE_CURVE('',#150524,#150523,#72465,.T.); #182428=EDGE_CURVE('',#150525,#150524,#64006,.T.); #182429=EDGE_CURVE('',#150526,#150525,#72466,.T.); #182430=EDGE_CURVE('',#150527,#150526,#72467,.T.); #182431=EDGE_CURVE('',#150528,#150527,#72468,.T.); #182432=EDGE_CURVE('',#150529,#150528,#64007,.T.); #182433=EDGE_CURVE('',#150530,#150529,#72469,.T.); #182434=EDGE_CURVE('',#150531,#150530,#64008,.T.); #182435=EDGE_CURVE('',#150532,#150531,#72470,.T.); #182436=EDGE_CURVE('',#150533,#150532,#64009,.T.); #182437=EDGE_CURVE('',#150534,#150533,#64010,.T.); #182438=EDGE_CURVE('',#150535,#150534,#64011,.T.); #182439=EDGE_CURVE('',#150536,#150535,#72471,.T.); #182440=EDGE_CURVE('',#150537,#150536,#64012,.T.); #182441=EDGE_CURVE('',#150538,#150537,#72472,.T.); #182442=EDGE_CURVE('',#150539,#150538,#64013,.T.); #182443=EDGE_CURVE('',#150540,#150539,#72473,.T.); #182444=EDGE_CURVE('',#150541,#150540,#72474,.T.); #182445=EDGE_CURVE('',#150542,#150541,#72475,.T.); #182446=EDGE_CURVE('',#150543,#150542,#72476,.T.); #182447=EDGE_CURVE('',#150544,#150543,#64014,.T.); #182448=EDGE_CURVE('',#150545,#150544,#72477,.T.); #182449=EDGE_CURVE('',#150546,#150545,#72478,.T.); #182450=EDGE_CURVE('',#150547,#150546,#64015,.T.); #182451=EDGE_CURVE('',#150479,#150547,#64016,.T.); #182452=EDGE_CURVE('',#150548,#150548,#64017,.T.); #182453=EDGE_CURVE('',#150549,#150549,#64018,.T.); #182454=EDGE_CURVE('',#150550,#150550,#64019,.T.); #182455=EDGE_CURVE('',#150551,#150551,#64020,.T.); #182456=EDGE_CURVE('',#150552,#150553,#72479,.T.); #182457=EDGE_CURVE('',#150553,#150478,#72480,.T.); #182458=EDGE_CURVE('',#150479,#150552,#72481,.T.); #182459=EDGE_CURVE('',#150554,#150555,#72482,.T.); #182460=EDGE_CURVE('',#150553,#150554,#64021,.T.); #182461=EDGE_CURVE('',#150556,#150552,#64022,.T.); #182462=EDGE_CURVE('',#150557,#150556,#64023,.T.); #182463=EDGE_CURVE('',#150558,#150557,#72483,.T.); #182464=EDGE_CURVE('',#150559,#150558,#72484,.T.); #182465=EDGE_CURVE('',#150560,#150559,#64024,.T.); #182466=EDGE_CURVE('',#150561,#150560,#72485,.T.); #182467=EDGE_CURVE('',#150562,#150561,#72486,.T.); #182468=EDGE_CURVE('',#150563,#150562,#64025,.T.); #182469=EDGE_CURVE('',#150564,#150563,#72487,.T.); #182470=EDGE_CURVE('',#150565,#150564,#64026,.T.); #182471=EDGE_CURVE('',#150566,#150565,#72488,.T.); #182472=EDGE_CURVE('',#150567,#150566,#64027,.T.); #182473=EDGE_CURVE('',#150568,#150567,#72489,.T.); #182474=EDGE_CURVE('',#150569,#150568,#64028,.T.); #182475=EDGE_CURVE('',#150570,#150569,#72490,.T.); #182476=EDGE_CURVE('',#150555,#150570,#64029,.T.); #182477=EDGE_CURVE('',#150571,#150572,#64030,.T.); #182478=EDGE_CURVE('',#150573,#150571,#64031,.T.); #182479=EDGE_CURVE('',#150574,#150573,#64032,.T.); #182480=EDGE_CURVE('',#150575,#150574,#72491,.T.); #182481=EDGE_CURVE('',#150576,#150575,#64033,.T.); #182482=EDGE_CURVE('',#150577,#150576,#64034,.T.); #182483=EDGE_CURVE('',#150578,#150577,#64035,.T.); #182484=EDGE_CURVE('',#150579,#150578,#72492,.T.); #182485=EDGE_CURVE('',#150580,#150579,#64036,.T.); #182486=EDGE_CURVE('',#150581,#150580,#64037,.T.); #182487=EDGE_CURVE('',#150582,#150581,#64038,.T.); #182488=EDGE_CURVE('',#150583,#150582,#72493,.T.); #182489=EDGE_CURVE('',#150584,#150583,#64039,.T.); #182490=EDGE_CURVE('',#150585,#150584,#64040,.T.); #182491=EDGE_CURVE('',#150586,#150585,#64041,.T.); #182492=EDGE_CURVE('',#150572,#150586,#72494,.T.); #182493=EDGE_CURVE('',#150587,#150587,#64042,.T.); #182494=EDGE_CURVE('',#150588,#150588,#64043,.T.); #182495=EDGE_CURVE('',#150589,#150589,#64044,.T.); #182496=EDGE_CURVE('',#150590,#150590,#64045,.T.); #182497=EDGE_CURVE('',#150480,#150554,#72495,.T.); #182498=EDGE_CURVE('',#150555,#150481,#72496,.T.); #182499=EDGE_CURVE('',#150482,#150570,#72497,.T.); #182500=EDGE_CURVE('',#150569,#150483,#72498,.T.); #182501=EDGE_CURVE('',#150484,#150568,#72499,.T.); #182502=EDGE_CURVE('',#150567,#150485,#72500,.T.); #182503=EDGE_CURVE('',#150486,#150566,#72501,.T.); #182504=EDGE_CURVE('',#150565,#150487,#72502,.T.); #182505=EDGE_CURVE('',#150488,#150564,#72503,.T.); #182506=EDGE_CURVE('',#150563,#150489,#72504,.T.); #182507=EDGE_CURVE('',#150490,#150562,#72505,.T.); #182508=EDGE_CURVE('',#150561,#150491,#72506,.T.); #182509=EDGE_CURVE('',#150560,#150492,#72507,.T.); #182510=EDGE_CURVE('',#150493,#150559,#72508,.T.); #182511=EDGE_CURVE('',#150558,#150494,#72509,.T.); #182512=EDGE_CURVE('',#150591,#150592,#72510,.T.); #182513=EDGE_CURVE('',#150592,#150593,#72511,.T.); #182514=EDGE_CURVE('',#150593,#150594,#72512,.T.); #182515=EDGE_CURVE('',#150594,#150595,#72513,.T.); #182516=EDGE_CURVE('',#150595,#150596,#72514,.T.); #182517=EDGE_CURVE('',#150596,#150597,#72515,.T.); #182518=EDGE_CURVE('',#150597,#150598,#72516,.T.); #182519=EDGE_CURVE('',#150598,#150599,#72517,.T.); #182520=EDGE_CURVE('',#150599,#150600,#72518,.T.); #182521=EDGE_CURVE('',#150600,#150601,#72519,.T.); #182522=EDGE_CURVE('',#150601,#150602,#72520,.T.); #182523=EDGE_CURVE('',#150602,#150603,#72521,.T.); #182524=EDGE_CURVE('',#150604,#150603,#72522,.T.); #182525=EDGE_CURVE('',#150604,#150494,#72523,.T.); #182526=EDGE_CURVE('',#150557,#150546,#72524,.T.); #182527=EDGE_CURVE('',#150591,#150545,#72525,.T.); #182528=EDGE_CURVE('',#150605,#150606,#72526,.T.); #182529=EDGE_CURVE('',#150605,#150592,#64046,.T.); #182530=EDGE_CURVE('',#150591,#150606,#64047,.T.); #182531=EDGE_CURVE('',#150606,#150542,#72527,.T.); #182532=EDGE_CURVE('',#150607,#150541,#72528,.T.); #182533=EDGE_CURVE('',#150607,#150608,#72529,.T.); #182534=EDGE_CURVE('',#150608,#150609,#72530,.T.); #182535=EDGE_CURVE('',#150610,#150609,#72531,.T.); #182536=EDGE_CURVE('',#150610,#150611,#72532,.T.); #182537=EDGE_CURVE('',#150612,#150611,#72533,.T.); #182538=EDGE_CURVE('',#150612,#150613,#72534,.T.); #182539=EDGE_CURVE('',#150614,#150613,#72535,.T.); #182540=EDGE_CURVE('',#150614,#150615,#72536,.T.); #182541=EDGE_CURVE('',#150605,#150615,#72537,.T.); #182542=EDGE_CURVE('',#150593,#150615,#64048,.T.); #182543=EDGE_CURVE('',#150614,#150594,#64049,.T.); #182544=EDGE_CURVE('',#150595,#150613,#64050,.T.); #182545=EDGE_CURVE('',#150612,#150596,#64051,.T.); #182546=EDGE_CURVE('',#150597,#150611,#64052,.T.); #182547=EDGE_CURVE('',#150610,#150598,#64053,.T.); #182548=EDGE_CURVE('',#150599,#150609,#64054,.T.); #182549=EDGE_CURVE('',#150608,#150600,#64055,.T.); #182550=EDGE_CURVE('',#150616,#150617,#72538,.T.); #182551=EDGE_CURVE('',#150618,#150616,#64056,.T.); #182552=EDGE_CURVE('',#150619,#150618,#72539,.T.); #182553=EDGE_CURVE('',#150620,#150619,#64057,.T.); #182554=EDGE_CURVE('',#150601,#150620,#64058,.T.); #182555=EDGE_CURVE('',#150621,#150607,#72540,.T.); #182556=EDGE_CURVE('',#150622,#150621,#72541,.T.); #182557=EDGE_CURVE('',#150623,#150622,#64059,.T.); #182558=EDGE_CURVE('',#150624,#150623,#72542,.T.); #182559=EDGE_CURVE('',#150625,#150624,#64060,.T.); #182560=EDGE_CURVE('',#150626,#150625,#72543,.T.); #182561=EDGE_CURVE('',#150627,#150626,#64061,.T.); #182562=EDGE_CURVE('',#150628,#150627,#72544,.T.); #182563=EDGE_CURVE('',#150629,#150628,#64062,.T.); #182564=EDGE_CURVE('',#150630,#150629,#72545,.T.); #182565=EDGE_CURVE('',#150631,#150630,#64063,.T.); #182566=EDGE_CURVE('',#150632,#150631,#72546,.T.); #182567=EDGE_CURVE('',#150633,#150632,#72547,.T.); #182568=EDGE_CURVE('',#150634,#150633,#72548,.T.); #182569=EDGE_CURVE('',#150635,#150634,#64064,.T.); #182570=EDGE_CURVE('',#150636,#150635,#72549,.T.); #182571=EDGE_CURVE('',#150637,#150636,#64065,.T.); #182572=EDGE_CURVE('',#150638,#150637,#72550,.T.); #182573=EDGE_CURVE('',#150639,#150638,#64066,.T.); #182574=EDGE_CURVE('',#150640,#150639,#72551,.T.); #182575=EDGE_CURVE('',#150641,#150640,#64067,.T.); #182576=EDGE_CURVE('',#150642,#150641,#72552,.T.); #182577=EDGE_CURVE('',#150467,#150642,#64068,.T.); #182578=EDGE_CURVE('',#150452,#150464,#64069,.T.); #182579=EDGE_CURVE('',#150643,#150451,#64070,.T.); #182580=EDGE_CURVE('',#150644,#150643,#72553,.T.); #182581=EDGE_CURVE('',#150645,#150644,#64071,.T.); #182582=EDGE_CURVE('',#150646,#150645,#72554,.T.); #182583=EDGE_CURVE('',#150647,#150646,#64072,.T.); #182584=EDGE_CURVE('',#150648,#150647,#72555,.T.); #182585=EDGE_CURVE('',#150649,#150648,#64073,.T.); #182586=EDGE_CURVE('',#150650,#150649,#72556,.T.); #182587=EDGE_CURVE('',#150651,#150650,#72557,.T.); #182588=EDGE_CURVE('',#150652,#150651,#72558,.T.); #182589=EDGE_CURVE('',#150653,#150652,#64074,.T.); #182590=EDGE_CURVE('',#150654,#150653,#72559,.T.); #182591=EDGE_CURVE('',#150655,#150654,#64075,.T.); #182592=EDGE_CURVE('',#150656,#150655,#72560,.T.); #182593=EDGE_CURVE('',#150657,#150656,#64076,.T.); #182594=EDGE_CURVE('',#150658,#150657,#72561,.T.); #182595=EDGE_CURVE('',#150604,#150658,#64077,.T.); #182596=EDGE_CURVE('',#150659,#150604,#72562,.T.); #182597=EDGE_CURVE('',#150660,#150659,#64078,.T.); #182598=EDGE_CURVE('',#150661,#150660,#72563,.T.); #182599=EDGE_CURVE('',#150662,#150661,#72564,.T.); #182600=EDGE_CURVE('',#150663,#150662,#64079,.T.); #182601=EDGE_CURVE('',#150664,#150663,#72565,.T.); #182602=EDGE_CURVE('',#150665,#150664,#64080,.T.); #182603=EDGE_CURVE('',#150666,#150665,#72566,.T.); #182604=EDGE_CURVE('',#150667,#150666,#64081,.T.); #182605=EDGE_CURVE('',#150668,#150667,#72567,.T.); #182606=EDGE_CURVE('',#150669,#150668,#64082,.T.); #182607=EDGE_CURVE('',#150670,#150669,#72568,.T.); #182608=EDGE_CURVE('',#150617,#150670,#64083,.T.); #182609=EDGE_CURVE('',#150671,#150671,#64084,.T.); #182610=EDGE_CURVE('',#150672,#150672,#64085,.T.); #182611=EDGE_CURVE('',#150673,#150673,#64086,.T.); #182612=EDGE_CURVE('',#150674,#150674,#64087,.T.); #182613=EDGE_CURVE('',#150675,#150676,#72569,.T.); #182614=EDGE_CURVE('',#150675,#150616,#72570,.T.); #182615=EDGE_CURVE('',#150617,#150676,#72571,.T.); #182616=EDGE_CURVE('',#150677,#150678,#72572,.T.); #182617=EDGE_CURVE('',#150675,#150677,#64088,.T.); #182618=EDGE_CURVE('',#150679,#150676,#64089,.T.); #182619=EDGE_CURVE('',#150680,#150679,#72573,.T.); #182620=EDGE_CURVE('',#150681,#150680,#64090,.T.); #182621=EDGE_CURVE('',#150682,#150681,#72574,.T.); #182622=EDGE_CURVE('',#150683,#150682,#64091,.T.); #182623=EDGE_CURVE('',#150684,#150683,#72575,.T.); #182624=EDGE_CURVE('',#150685,#150684,#64092,.T.); #182625=EDGE_CURVE('',#150686,#150685,#72576,.T.); #182626=EDGE_CURVE('',#150687,#150686,#64093,.T.); #182627=EDGE_CURVE('',#150688,#150687,#72577,.T.); #182628=EDGE_CURVE('',#150689,#150688,#72578,.T.); #182629=EDGE_CURVE('',#150690,#150689,#64094,.T.); #182630=EDGE_CURVE('',#150603,#150690,#72579,.T.); #182631=EDGE_CURVE('',#150691,#150602,#64095,.T.); #182632=EDGE_CURVE('',#150678,#150691,#64096,.T.); #182633=EDGE_CURVE('',#150692,#150693,#64097,.T.); #182634=EDGE_CURVE('',#150694,#150692,#64098,.T.); #182635=EDGE_CURVE('',#150695,#150694,#64099,.T.); #182636=EDGE_CURVE('',#150696,#150695,#72580,.T.); #182637=EDGE_CURVE('',#150697,#150696,#64100,.T.); #182638=EDGE_CURVE('',#150698,#150697,#64101,.T.); #182639=EDGE_CURVE('',#150699,#150698,#64102,.T.); #182640=EDGE_CURVE('',#150700,#150699,#72581,.T.); #182641=EDGE_CURVE('',#150701,#150700,#64103,.T.); #182642=EDGE_CURVE('',#150702,#150701,#64104,.T.); #182643=EDGE_CURVE('',#150703,#150702,#64105,.T.); #182644=EDGE_CURVE('',#150704,#150703,#72582,.T.); #182645=EDGE_CURVE('',#150705,#150704,#64106,.T.); #182646=EDGE_CURVE('',#150706,#150705,#64107,.T.); #182647=EDGE_CURVE('',#150707,#150706,#64108,.T.); #182648=EDGE_CURVE('',#150693,#150707,#72583,.T.); #182649=EDGE_CURVE('',#150708,#150708,#64109,.T.); #182650=EDGE_CURVE('',#150709,#150709,#64110,.T.); #182651=EDGE_CURVE('',#150710,#150710,#64111,.T.); #182652=EDGE_CURVE('',#150711,#150711,#64112,.T.); #182653=EDGE_CURVE('',#150618,#150677,#72584,.T.); #182654=EDGE_CURVE('',#150678,#150619,#72585,.T.); #182655=EDGE_CURVE('',#150620,#150691,#72586,.T.); #182656=EDGE_CURVE('',#150690,#150659,#72587,.T.); #182657=EDGE_CURVE('',#150660,#150689,#72588,.T.); #182658=EDGE_CURVE('',#150661,#150688,#72589,.T.); #182659=EDGE_CURVE('',#150687,#150662,#72590,.T.); #182660=EDGE_CURVE('',#150663,#150686,#72591,.T.); #182661=EDGE_CURVE('',#150685,#150664,#72592,.T.); #182662=EDGE_CURVE('',#150665,#150684,#72593,.T.); #182663=EDGE_CURVE('',#150683,#150666,#72594,.T.); #182664=EDGE_CURVE('',#150667,#150682,#72595,.T.); #182665=EDGE_CURVE('',#150681,#150668,#72596,.T.); #182666=EDGE_CURVE('',#150669,#150680,#72597,.T.); #182667=EDGE_CURVE('',#150679,#150670,#72598,.T.); #182668=EDGE_CURVE('',#150692,#150694,#63297,.T.); #182669=EDGE_CURVE('',#150712,#150693,#8032,.T.); #182670=EDGE_CURVE('',#150713,#150712,#64113,.T.); #182671=EDGE_CURVE('',#150695,#150713,#8033,.T.); #182672=EDGE_CURVE('',#150713,#150696,#8034,.T.); #182673=EDGE_CURVE('',#150697,#150698,#63298,.T.); #182674=EDGE_CURVE('',#150714,#150713,#64114,.T.); #182675=EDGE_CURVE('',#150699,#150714,#8035,.T.); #182676=EDGE_CURVE('',#150714,#150700,#8036,.T.); #182677=EDGE_CURVE('',#150701,#150702,#63299,.T.); #182678=EDGE_CURVE('',#150715,#150714,#64115,.T.); #182679=EDGE_CURVE('',#150703,#150715,#8037,.T.); #182680=EDGE_CURVE('',#150715,#150704,#8038,.T.); #182681=EDGE_CURVE('',#150705,#150706,#63300,.T.); #182682=EDGE_CURVE('',#150712,#150715,#64116,.T.); #182683=EDGE_CURVE('',#150707,#150712,#8039,.T.); #182684=EDGE_CURVE('',#150716,#150717,#64117,.T.); #182685=EDGE_CURVE('',#150718,#150717,#63301,.F.); #182686=EDGE_CURVE('',#150718,#150719,#63302,.T.); #182687=EDGE_CURVE('',#150719,#150720,#64118,.T.); #182688=EDGE_CURVE('',#150720,#150721,#63303,.T.); #182689=EDGE_CURVE('',#150721,#150722,#63304,.T.); #182690=EDGE_CURVE('',#150722,#150723,#64119,.T.); #182691=EDGE_CURVE('',#150723,#150724,#64120,.T.); #182692=EDGE_CURVE('',#150725,#150724,#63305,.T.); #182693=EDGE_CURVE('',#150725,#150726,#63306,.T.); #182694=EDGE_CURVE('',#150726,#150727,#64121,.T.); #182695=EDGE_CURVE('',#150727,#150712,#72599,.T.); #182696=EDGE_CURVE('',#150727,#150543,#64122,.T.); #182697=EDGE_CURVE('',#150728,#150543,#72600,.T.); #182698=EDGE_CURVE('',#150728,#150729,#64123,.T.); #182699=EDGE_CURVE('',#150544,#150729,#72601,.T.); #182700=EDGE_CURVE('',#150544,#150730,#64124,.T.); #182701=EDGE_CURVE('',#150730,#150731,#63307,.T.); #182702=EDGE_CURVE('',#150731,#150732,#63308,.T.); #182703=EDGE_CURVE('',#150732,#150733,#64125,.T.); #182704=EDGE_CURVE('',#150733,#150734,#64126,.T.); #182705=EDGE_CURVE('',#150734,#150735,#63309,.T.); #182706=EDGE_CURVE('',#150735,#150736,#63310,.T.); #182707=EDGE_CURVE('',#150736,#150716,#64127,.T.); #182708=EDGE_CURVE('',#150717,#150737,#63311,.T.); #182709=EDGE_CURVE('',#150737,#150738,#63312,.T.); #182710=EDGE_CURVE('',#150738,#150739,#63313,.T.); #182711=EDGE_CURVE('',#150740,#150739,#63314,.T.); #182712=EDGE_CURVE('',#150741,#150740,#63315,.T.); #182713=EDGE_CURVE('',#150742,#150741,#63316,.T.); #182714=EDGE_CURVE('',#150743,#150742,#63317,.T.); #182715=EDGE_CURVE('',#150744,#150743,#63318,.T.); #182716=EDGE_CURVE('',#150745,#150744,#63319,.T.); #182717=EDGE_CURVE('',#150746,#150745,#63320,.T.); #182718=EDGE_CURVE('',#150746,#150718,#63321,.T.); #182719=EDGE_CURVE('',#150746,#150747,#63322,.T.); #182720=EDGE_CURVE('',#150747,#150748,#63323,.T.); #182721=EDGE_CURVE('',#150748,#150749,#63324,.T.); #182722=EDGE_CURVE('',#150749,#150750,#63325,.T.); #182723=EDGE_CURVE('',#150751,#150750,#63326,.T.); #182724=EDGE_CURVE('',#150752,#150751,#63327,.T.); #182725=EDGE_CURVE('',#150753,#150752,#63328,.T.); #182726=EDGE_CURVE('',#150754,#150753,#63329,.T.); #182727=EDGE_CURVE('',#150755,#150754,#63330,.T.); #182728=EDGE_CURVE('',#150756,#150755,#63331,.T.); #182729=EDGE_CURVE('',#150756,#150719,#63332,.T.); #182730=EDGE_CURVE('',#150757,#150758,#64128,.T.); #182731=EDGE_CURVE('',#150737,#150757,#63333,.T.); #182732=EDGE_CURVE('',#150717,#150759,#64129,.T.); #182733=EDGE_CURVE('',#150759,#150760,#64130,.T.); #182734=EDGE_CURVE('',#150760,#150719,#64131,.T.); #182735=EDGE_CURVE('',#150758,#150756,#63334,.T.); #182736=EDGE_CURVE('',#150761,#150436,#63335,.T.); #182737=EDGE_CURVE('',#150762,#150761,#63336,.T.); #182738=EDGE_CURVE('',#150763,#150762,#63337,.T.); #182739=EDGE_CURVE('',#150764,#150763,#63338,.T.); #182740=EDGE_CURVE('',#150765,#150764,#63339,.T.); #182741=EDGE_CURVE('',#150766,#150765,#63340,.T.); #182742=EDGE_CURVE('',#150767,#150766,#63341,.T.); #182743=EDGE_CURVE('',#150768,#150767,#63342,.T.); #182744=EDGE_CURVE('',#150768,#150769,#64132,.T.); #182745=EDGE_CURVE('',#150770,#150769,#63343,.T.); #182746=EDGE_CURVE('',#150771,#150770,#63344,.T.); #182747=EDGE_CURVE('',#150771,#150772,#72602,.T.); #182748=EDGE_CURVE('',#150772,#150772,#64133,.T.); #182749=EDGE_CURVE('',#150773,#150771,#63345,.T.); #182750=EDGE_CURVE('',#150774,#150773,#63346,.T.); #182751=EDGE_CURVE('',#150775,#150774,#63347,.T.); #182752=EDGE_CURVE('',#150776,#150775,#63348,.T.); #182753=EDGE_CURVE('',#150777,#150776,#63349,.T.); #182754=EDGE_CURVE('',#150778,#150777,#63350,.T.); #182755=EDGE_CURVE('',#150779,#150778,#63351,.T.); #182756=EDGE_CURVE('',#150780,#150779,#63352,.T.); #182757=EDGE_CURVE('',#150780,#150781,#64134,.T.); #182758=EDGE_CURVE('',#150782,#150781,#63353,.T.); #182759=EDGE_CURVE('',#150783,#150782,#63354,.T.); #182760=EDGE_CURVE('',#150784,#150783,#63355,.T.); #182761=EDGE_CURVE('',#150785,#150784,#63356,.T.); #182762=EDGE_CURVE('',#150786,#150785,#63357,.T.); #182763=EDGE_CURVE('',#150787,#150786,#63358,.T.); #182764=EDGE_CURVE('',#150788,#150787,#63359,.T.); #182765=EDGE_CURVE('',#150789,#150788,#63360,.T.); #182766=EDGE_CURVE('',#150789,#150790,#64135,.T.); #182767=EDGE_CURVE('',#150791,#150790,#63361,.T.); #182768=EDGE_CURVE('',#150792,#150791,#63362,.T.); #182769=EDGE_CURVE('',#150793,#150792,#63363,.T.); #182770=EDGE_CURVE('',#150794,#150793,#63364,.T.); #182771=EDGE_CURVE('',#150795,#150794,#63365,.T.); #182772=EDGE_CURVE('',#150796,#150795,#63366,.T.); #182773=EDGE_CURVE('',#150796,#150797,#64136,.T.); #182774=EDGE_CURVE('',#150798,#150797,#63367,.T.); #182775=EDGE_CURVE('',#150799,#150798,#63368,.T.); #182776=EDGE_CURVE('',#150800,#150799,#63369,.T.); #182777=EDGE_CURVE('',#150801,#150800,#63370,.T.); #182778=EDGE_CURVE('',#150802,#150801,#63371,.T.); #182779=EDGE_CURVE('',#150803,#150802,#63372,.T.); #182780=EDGE_CURVE('',#150804,#150803,#63373,.T.); #182781=EDGE_CURVE('',#150757,#150804,#63374,.T.); #182782=EDGE_CURVE('',#150805,#150758,#63375,.T.); #182783=EDGE_CURVE('',#150806,#150805,#63376,.T.); #182784=EDGE_CURVE('',#150807,#150806,#63377,.T.); #182785=EDGE_CURVE('',#150808,#150807,#63378,.T.); #182786=EDGE_CURVE('',#150809,#150808,#63379,.T.); #182787=EDGE_CURVE('',#150810,#150809,#63380,.T.); #182788=EDGE_CURVE('',#150811,#150810,#63381,.T.); #182789=EDGE_CURVE('',#150812,#150811,#63382,.T.); #182790=EDGE_CURVE('',#150812,#150813,#64137,.T.); #182791=EDGE_CURVE('',#150814,#150813,#63383,.T.); #182792=EDGE_CURVE('',#150815,#150814,#63384,.T.); #182793=EDGE_CURVE('',#150816,#150815,#63385,.T.); #182794=EDGE_CURVE('',#150817,#150816,#63386,.T.); #182795=EDGE_CURVE('',#150818,#150817,#63387,.T.); #182796=EDGE_CURVE('',#150819,#150818,#63388,.T.); #182797=EDGE_CURVE('',#150820,#150819,#63389,.T.); #182798=EDGE_CURVE('',#150821,#150820,#63390,.T.); #182799=EDGE_CURVE('',#150821,#150822,#64138,.T.); #182800=EDGE_CURVE('',#150823,#150822,#63391,.T.); #182801=EDGE_CURVE('',#150824,#150823,#63392,.T.); #182802=EDGE_CURVE('',#150825,#150824,#63393,.T.); #182803=EDGE_CURVE('',#150826,#150825,#63394,.T.); #182804=EDGE_CURVE('',#150827,#150826,#63395,.T.); #182805=EDGE_CURVE('',#150828,#150827,#63396,.T.); #182806=EDGE_CURVE('',#150829,#150828,#63397,.T.); #182807=EDGE_CURVE('',#150830,#150829,#63398,.T.); #182808=EDGE_CURVE('',#150830,#150831,#64139,.T.); #182809=EDGE_CURVE('',#150832,#150831,#63399,.T.); #182810=EDGE_CURVE('',#150833,#150832,#63400,.T.); #182811=EDGE_CURVE('',#150834,#150833,#63401,.T.); #182812=EDGE_CURVE('',#150835,#150834,#63402,.T.); #182813=EDGE_CURVE('',#150836,#150835,#63403,.T.); #182814=EDGE_CURVE('',#150837,#150836,#63404,.T.); #182815=EDGE_CURVE('',#150838,#150837,#63405,.T.); #182816=EDGE_CURVE('',#150839,#150838,#63406,.T.); #182817=EDGE_CURVE('',#150839,#150840,#64140,.T.); #182818=EDGE_CURVE('',#150841,#150840,#63407,.T.); #182819=EDGE_CURVE('',#150842,#150841,#63408,.T.); #182820=EDGE_CURVE('',#150843,#150842,#63409,.T.); #182821=EDGE_CURVE('',#150844,#150843,#63410,.T.); #182822=EDGE_CURVE('',#150845,#150844,#63411,.T.); #182823=EDGE_CURVE('',#150846,#150845,#63412,.T.); #182824=EDGE_CURVE('',#150847,#150846,#63413,.T.); #182825=EDGE_CURVE('',#150848,#150847,#63414,.T.); #182826=EDGE_CURVE('',#150848,#150435,#64141,.T.); #182827=EDGE_CURVE('',#150797,#150812,#63415,.T.); #182828=EDGE_CURVE('',#150781,#150796,#64142,.T.); #182829=EDGE_CURVE('',#150437,#150780,#63416,.T.); #182830=EDGE_CURVE('',#150831,#150848,#64143,.T.); #182831=EDGE_CURVE('',#150813,#150830,#63417,.T.); #182832=EDGE_CURVE('',#150849,#150830,#63418,.T.); #182833=EDGE_CURVE('',#150813,#150849,#63419,.T.); #182834=EDGE_CURVE('',#150850,#150849,#63420,.T.); #182835=EDGE_CURVE('',#150851,#150850,#63421,.T.); #182836=EDGE_CURVE('',#150852,#150851,#3162,.T.); #182837=EDGE_CURVE('',#150852,#150853,#63422,.T.); #182838=EDGE_CURVE('',#150814,#150853,#64144,.T.); #182839=EDGE_CURVE('',#150854,#150815,#63423,.T.); #182840=EDGE_CURVE('',#150853,#150854,#63424,.T.); #182841=EDGE_CURVE('',#150855,#150816,#63425,.T.); #182842=EDGE_CURVE('',#150854,#150855,#63426,.T.); #182843=EDGE_CURVE('',#150856,#150817,#64145,.T.); #182844=EDGE_CURVE('',#150855,#150856,#63427,.T.); #182845=EDGE_CURVE('',#150857,#150818,#64146,.T.); #182846=EDGE_CURVE('',#150856,#150857,#63428,.T.); #182847=EDGE_CURVE('',#150858,#150819,#64147,.T.); #182848=EDGE_CURVE('',#150857,#150858,#63429,.T.); #182849=EDGE_CURVE('',#150859,#150858,#63430,.T.); #182850=EDGE_CURVE('',#150859,#150820,#64148,.T.); #182851=EDGE_CURVE('',#150860,#150821,#63431,.T.); #182852=EDGE_CURVE('',#150860,#150859,#63432,.T.); #182853=EDGE_CURVE('',#150720,#150860,#63433,.T.); #182854=EDGE_CURVE('',#150720,#150722,#64149,.T.); #182855=EDGE_CURVE('',#150861,#150722,#63434,.T.); #182856=EDGE_CURVE('',#150822,#150861,#63435,.T.); #182857=EDGE_CURVE('',#150861,#150862,#63436,.T.); #182858=EDGE_CURVE('',#150823,#150862,#64150,.T.); #182859=EDGE_CURVE('',#150862,#150863,#63437,.T.); #182860=EDGE_CURVE('',#150824,#150863,#64151,.T.); #182861=EDGE_CURVE('',#150863,#150864,#63438,.T.); #182862=EDGE_CURVE('',#150825,#150864,#64152,.T.); #182863=EDGE_CURVE('',#150826,#150865,#64153,.T.); #182864=EDGE_CURVE('',#150864,#150865,#63439,.T.); #182865=EDGE_CURVE('',#150865,#150866,#63440,.T.); #182866=EDGE_CURVE('',#150867,#150866,#64154,.T.); #182867=EDGE_CURVE('',#150827,#150867,#64155,.T.); #182868=EDGE_CURVE('',#150828,#150868,#64156,.T.); #182869=EDGE_CURVE('',#150866,#150868,#63441,.T.); #182870=EDGE_CURVE('',#150869,#150868,#63442,.T.); #182871=EDGE_CURVE('',#150829,#150869,#64157,.F.); #182872=EDGE_CURVE('',#150850,#150869,#63443,.T.); #182873=EDGE_CURVE('',#150721,#150870,#63444,.T.); #182874=EDGE_CURVE('',#150870,#150851,#63445,.T.); #182875=EDGE_CURVE('',#150870,#150852,#63446,.T.); #182876=EDGE_CURVE('',#150760,#150871,#63447,.T.); #182877=EDGE_CURVE('',#150871,#150586,#8040,.T.); #182878=EDGE_CURVE('',#150584,#150585,#63448,.T.); #182879=EDGE_CURVE('',#150723,#150583,#8041,.T.); #182880=EDGE_CURVE('',#150723,#150582,#8042,.T.); #182881=EDGE_CURVE('',#150724,#150726,#64158,.T.); #182882=EDGE_CURVE('',#150580,#150581,#63449,.T.); #182883=EDGE_CURVE('',#150727,#150579,#8043,.T.); #182884=EDGE_CURVE('',#150872,#150839,#63450,.T.); #182885=EDGE_CURVE('',#150724,#150872,#63451,.T.); #182886=EDGE_CURVE('',#150873,#150726,#63452,.T.); #182887=EDGE_CURVE('',#150840,#150873,#63453,.T.); #182888=EDGE_CURVE('',#150873,#150874,#63454,.T.); #182889=EDGE_CURVE('',#150841,#150874,#64159,.T.); #182890=EDGE_CURVE('',#150847,#150875,#64160,.T.); #182891=EDGE_CURVE('',#150876,#150875,#63455,.T.); #182892=EDGE_CURVE('',#150876,#150877,#63456,.T.); #182893=EDGE_CURVE('',#150877,#150848,#63457,.T.); #182894=EDGE_CURVE('',#150831,#150877,#63458,.T.); #182895=EDGE_CURVE('',#150878,#150876,#63459,.T.); #182896=EDGE_CURVE('',#150879,#150878,#3163,.T.); #182897=EDGE_CURVE('',#150879,#150880,#63460,.T.); #182898=EDGE_CURVE('',#150832,#150880,#64161,.T.); #182899=EDGE_CURVE('',#150881,#150833,#63461,.T.); #182900=EDGE_CURVE('',#150880,#150881,#63462,.T.); #182901=EDGE_CURVE('',#150882,#150834,#63463,.T.); #182902=EDGE_CURVE('',#150881,#150882,#63464,.T.); #182903=EDGE_CURVE('',#150883,#150835,#64162,.T.); #182904=EDGE_CURVE('',#150882,#150883,#63465,.T.); #182905=EDGE_CURVE('',#150884,#150836,#64163,.T.); #182906=EDGE_CURVE('',#150883,#150884,#63466,.T.); #182907=EDGE_CURVE('',#150885,#150837,#64164,.T.); #182908=EDGE_CURVE('',#150884,#150885,#63467,.T.); #182909=EDGE_CURVE('',#150886,#150885,#63468,.T.); #182910=EDGE_CURVE('',#150886,#150838,#64165,.T.); #182911=EDGE_CURVE('',#150872,#150886,#63469,.T.); #182912=EDGE_CURVE('',#150887,#150879,#63470,.T.); #182913=EDGE_CURVE('',#150725,#150887,#63471,.T.); #182914=EDGE_CURVE('',#150887,#150878,#63472,.T.); #182915=EDGE_CURVE('',#150875,#150888,#63473,.T.); #182916=EDGE_CURVE('',#150889,#150888,#63474,.T.); #182917=EDGE_CURVE('',#150890,#150889,#63475,.T.); #182918=EDGE_CURVE('',#150891,#150890,#63476,.T.); #182919=EDGE_CURVE('',#150892,#150891,#63477,.T.); #182920=EDGE_CURVE('',#150874,#150892,#63478,.T.); #182921=EDGE_CURVE('',#150842,#150892,#64166,.F.); #182922=EDGE_CURVE('',#150843,#150891,#64167,.F.); #182923=EDGE_CURVE('',#150844,#150890,#64168,.T.); #182924=EDGE_CURVE('',#150889,#150845,#64169,.T.); #182925=EDGE_CURVE('',#150846,#150888,#64170,.T.); #182926=EDGE_CURVE('',#150893,#150768,#63479,.T.); #182927=EDGE_CURVE('',#150894,#150767,#64171,.T.); #182928=EDGE_CURVE('',#150893,#150894,#63480,.T.); #182929=EDGE_CURVE('',#150730,#150893,#63481,.T.); #182930=EDGE_CURVE('',#150730,#150732,#64172,.T.); #182931=EDGE_CURVE('',#150895,#150732,#63482,.T.); #182932=EDGE_CURVE('',#150769,#150895,#63483,.T.); #182933=EDGE_CURVE('',#150895,#150896,#63484,.T.); #182934=EDGE_CURVE('',#150773,#150896,#64173,.T.); #182935=EDGE_CURVE('',#150896,#150897,#63485,.T.); #182936=EDGE_CURVE('',#150774,#150897,#64174,.T.); #182937=EDGE_CURVE('',#150775,#150898,#64175,.T.); #182938=EDGE_CURVE('',#150897,#150898,#63486,.T.); #182939=EDGE_CURVE('',#150776,#150899,#64176,.T.); #182940=EDGE_CURVE('',#150898,#150899,#63487,.T.); #182941=EDGE_CURVE('',#150899,#150900,#63488,.T.); #182942=EDGE_CURVE('',#150777,#150900,#64177,.T.); #182943=EDGE_CURVE('',#150778,#150901,#64178,.T.); #182944=EDGE_CURVE('',#150900,#150901,#63489,.T.); #182945=EDGE_CURVE('',#150902,#150901,#63490,.T.); #182946=EDGE_CURVE('',#150779,#150902,#64179,.T.); #182947=EDGE_CURVE('',#150903,#150902,#63491,.T.); #182948=EDGE_CURVE('',#150903,#150904,#63492,.T.); #182949=EDGE_CURVE('',#150904,#150780,#63493,.T.); #182950=EDGE_CURVE('',#150437,#150904,#63494,.T.); #182951=EDGE_CURVE('',#150905,#150903,#63495,.T.); #182952=EDGE_CURVE('',#150906,#150905,#3164,.T.); #182953=EDGE_CURVE('',#150906,#150907,#63496,.T.); #182954=EDGE_CURVE('',#150438,#150907,#64180,.T.); #182955=EDGE_CURVE('',#150908,#150762,#63497,.T.); #182956=EDGE_CURVE('',#150907,#150908,#63498,.T.); #182957=EDGE_CURVE('',#150909,#150763,#63499,.T.); #182958=EDGE_CURVE('',#150908,#150909,#63500,.T.); #182959=EDGE_CURVE('',#150910,#150764,#64181,.T.); #182960=EDGE_CURVE('',#150909,#150910,#63501,.T.); #182961=EDGE_CURVE('',#150911,#150765,#64182,.T.); #182962=EDGE_CURVE('',#150910,#150911,#63502,.T.); #182963=EDGE_CURVE('',#150912,#150766,#64183,.T.); #182964=EDGE_CURVE('',#150911,#150912,#63503,.T.); #182965=EDGE_CURVE('',#150894,#150912,#63504,.T.); #182966=EDGE_CURVE('',#150913,#150906,#63505,.T.); #182967=EDGE_CURVE('',#150913,#150731,#63506,.T.); #182968=EDGE_CURVE('',#150729,#150591,#72603,.T.); #182969=EDGE_CURVE('',#150606,#150728,#72604,.T.); #182970=EDGE_CURVE('',#150727,#150578,#8044,.T.); #182971=EDGE_CURVE('',#150576,#150577,#63507,.T.); #182972=EDGE_CURVE('',#150733,#150575,#8045,.T.); #182973=EDGE_CURVE('',#150733,#150574,#8046,.T.); #182974=EDGE_CURVE('',#150734,#150736,#64184,.T.); #182975=EDGE_CURVE('',#150571,#150573,#63508,.T.); #182976=EDGE_CURVE('',#150572,#150914,#8047,.T.); #182977=EDGE_CURVE('',#150716,#150914,#8048,.T.); #182978=EDGE_CURVE('',#150915,#150789,#63509,.T.); #182979=EDGE_CURVE('',#150915,#150916,#63510,.T.); #182980=EDGE_CURVE('',#150734,#150916,#63511,.T.); #182981=EDGE_CURVE('',#150917,#150736,#63512,.T.); #182982=EDGE_CURVE('',#150917,#150918,#63513,.T.); #182983=EDGE_CURVE('',#150790,#150918,#63514,.T.); #182984=EDGE_CURVE('',#150918,#150919,#63515,.T.); #182985=EDGE_CURVE('',#150791,#150919,#64185,.T.); #182986=EDGE_CURVE('',#150919,#150920,#63516,.T.); #182987=EDGE_CURVE('',#150792,#150920,#64186,.T.); #182988=EDGE_CURVE('',#150920,#150921,#63517,.T.); #182989=EDGE_CURVE('',#150793,#150921,#64187,.T.); #182990=EDGE_CURVE('',#150921,#150922,#63518,.T.); #182991=EDGE_CURVE('',#150794,#150922,#64188,.T.); #182992=EDGE_CURVE('',#150923,#150922,#63519,.T.); #182993=EDGE_CURVE('',#150795,#150923,#64189,.T.); #182994=EDGE_CURVE('',#150924,#150923,#63520,.T.); #182995=EDGE_CURVE('',#150924,#150925,#63521,.T.); #182996=EDGE_CURVE('',#150796,#150925,#63522,.T.); #182997=EDGE_CURVE('',#150781,#150925,#63523,.T.); #182998=EDGE_CURVE('',#150926,#150924,#63524,.T.); #182999=EDGE_CURVE('',#150927,#150926,#3165,.T.); #183000=EDGE_CURVE('',#150927,#150928,#63525,.T.); #183001=EDGE_CURVE('',#150782,#150928,#64190,.T.); #183002=EDGE_CURVE('',#150928,#150929,#63526,.T.); #183003=EDGE_CURVE('',#150929,#150783,#63527,.T.); #183004=EDGE_CURVE('',#150929,#150930,#63528,.T.); #183005=EDGE_CURVE('',#150930,#150784,#63529,.T.); #183006=EDGE_CURVE('',#150930,#150931,#63530,.T.); #183007=EDGE_CURVE('',#150931,#150785,#64191,.T.); #183008=EDGE_CURVE('',#150932,#150786,#64192,.T.); #183009=EDGE_CURVE('',#150931,#150932,#63531,.T.); #183010=EDGE_CURVE('',#150932,#150933,#63532,.T.); #183011=EDGE_CURVE('',#150933,#150787,#64193,.T.); #183012=EDGE_CURVE('',#150933,#150934,#63533,.T.); #183013=EDGE_CURVE('',#150934,#150788,#64194,.T.); #183014=EDGE_CURVE('',#150915,#150934,#63534,.T.); #183015=EDGE_CURVE('',#150935,#150927,#63535,.T.); #183016=EDGE_CURVE('',#150935,#150735,#63536,.T.); #183017=EDGE_CURVE('',#150926,#150935,#63537,.T.); #183018=EDGE_CURVE('',#150936,#150716,#72605,.T.); #183019=EDGE_CURVE('',#150914,#150936,#72606,.T.); #183020=EDGE_CURVE('',#150759,#150936,#72607,.T.); #183021=EDGE_CURVE('',#150871,#150937,#3166,.T.); #183022=EDGE_CURVE('',#150937,#150759,#72608,.T.); #183023=EDGE_CURVE('',#150760,#150937,#3167,.T.); #183024=EDGE_CURVE('',#150913,#150905,#63538,.T.); #183025=EDGE_CURVE('',#150938,#150812,#63539,.T.); #183026=EDGE_CURVE('',#150797,#150938,#63540,.T.); #183027=EDGE_CURVE('',#150748,#150938,#63541,.T.); #183028=EDGE_CURVE('',#150745,#150747,#3168,.T.); #183029=EDGE_CURVE('',#150798,#150744,#64195,.T.); #183030=EDGE_CURVE('',#150743,#150799,#63542,.T.); #183031=EDGE_CURVE('',#150742,#150800,#63543,.T.); #183032=EDGE_CURVE('',#150741,#150801,#64196,.T.); #183033=EDGE_CURVE('',#150740,#150802,#64197,.T.); #183034=EDGE_CURVE('',#150739,#150803,#64198,.T.); #183035=EDGE_CURVE('',#150738,#150804,#64199,.T.); #183036=EDGE_CURVE('',#150811,#150939,#3169,.T.); #183037=EDGE_CURVE('',#150939,#150749,#3170,.T.); #183038=EDGE_CURVE('',#150810,#150750,#64200,.T.); #183039=EDGE_CURVE('',#150751,#150809,#64201,.F.); #183040=EDGE_CURVE('',#150808,#150752,#64202,.T.); #183041=EDGE_CURVE('',#150807,#150753,#64203,.T.); #183042=EDGE_CURVE('',#150806,#150754,#64204,.T.); #183043=EDGE_CURVE('',#150805,#150755,#64205,.T.); #183044=EDGE_CURVE('',#150671,#150708,#72609,.T.); #183045=EDGE_CURVE('',#150673,#150709,#72610,.T.); #183046=EDGE_CURVE('',#150674,#150710,#72611,.T.); #183047=EDGE_CURVE('',#150672,#150711,#72612,.T.); #183048=EDGE_CURVE('',#150495,#150658,#72613,.T.); #183049=EDGE_CURVE('',#150940,#150941,#72614,.T.); #183050=EDGE_CURVE('',#150941,#150942,#72615,.T.); #183051=EDGE_CURVE('',#150942,#150943,#72616,.T.); #183052=EDGE_CURVE('',#150943,#150944,#72617,.T.); #183053=EDGE_CURVE('',#150944,#150945,#72618,.T.); #183054=EDGE_CURVE('',#150945,#150946,#72619,.T.); #183055=EDGE_CURVE('',#150946,#150947,#72620,.T.); #183056=EDGE_CURVE('',#150947,#150496,#63544,.T.); #183057=EDGE_CURVE('',#150657,#150948,#72621,.T.); #183058=EDGE_CURVE('',#150948,#150940,#72622,.T.); #183059=EDGE_CURVE('',#150949,#150950,#72623,.T.); #183060=EDGE_CURVE('',#150949,#150941,#64206,.T.); #183061=EDGE_CURVE('',#150940,#150950,#64207,.T.); #183062=EDGE_CURVE('',#150951,#150952,#72624,.T.); #183063=EDGE_CURVE('',#150952,#150949,#72625,.T.); #183064=EDGE_CURVE('',#150950,#150953,#72626,.T.); #183065=EDGE_CURVE('',#150953,#150656,#72627,.T.); #183066=EDGE_CURVE('',#150655,#150500,#72628,.T.); #183067=EDGE_CURVE('',#150499,#150954,#63545,.T.); #183068=EDGE_CURVE('',#150954,#150955,#72629,.T.); #183069=EDGE_CURVE('',#150955,#150956,#72630,.T.); #183070=EDGE_CURVE('',#150956,#150957,#72631,.T.); #183071=EDGE_CURVE('',#150957,#150951,#72632,.T.); #183072=EDGE_CURVE('',#150951,#150943,#64208,.T.); #183073=EDGE_CURVE('',#150942,#150952,#64209,.T.); #183074=EDGE_CURVE('',#150944,#150957,#64210,.T.); #183075=EDGE_CURVE('',#150956,#150945,#64211,.T.); #183076=EDGE_CURVE('',#150946,#150955,#64212,.T.); #183077=EDGE_CURVE('',#150954,#150947,#64213,.T.); #183078=EDGE_CURVE('',#150497,#150498,#63546,.T.); #183079=EDGE_CURVE('',#150501,#150654,#72633,.T.); #183080=EDGE_CURVE('',#150958,#150959,#72634,.T.); #183081=EDGE_CURVE('',#150959,#150960,#72635,.T.); #183082=EDGE_CURVE('',#150960,#150961,#72636,.T.); #183083=EDGE_CURVE('',#150961,#150962,#72637,.T.); #183084=EDGE_CURVE('',#150962,#150963,#72638,.T.); #183085=EDGE_CURVE('',#150963,#150964,#72639,.T.); #183086=EDGE_CURVE('',#150964,#150965,#72640,.T.); #183087=EDGE_CURVE('',#150965,#150502,#64214,.T.); #183088=EDGE_CURVE('',#150653,#150966,#72641,.T.); #183089=EDGE_CURVE('',#150966,#150958,#72642,.T.); #183090=EDGE_CURVE('',#150958,#150967,#64215,.T.); #183091=EDGE_CURVE('',#150968,#150967,#72643,.T.); #183092=EDGE_CURVE('',#150968,#150959,#64216,.T.); #183093=EDGE_CURVE('',#150968,#150969,#72644,.T.); #183094=EDGE_CURVE('',#150960,#150969,#64217,.T.); #183095=EDGE_CURVE('',#150970,#150969,#72645,.T.); #183096=EDGE_CURVE('',#150970,#150961,#64218,.T.); #183097=EDGE_CURVE('',#150962,#150971,#64219,.T.); #183098=EDGE_CURVE('',#150970,#150971,#72646,.T.); #183099=EDGE_CURVE('',#150972,#150971,#72647,.T.); #183100=EDGE_CURVE('',#150972,#150963,#64220,.T.); #183101=EDGE_CURVE('',#150972,#150973,#72648,.T.); #183102=EDGE_CURVE('',#150964,#150973,#64221,.T.); #183103=EDGE_CURVE('',#150974,#150973,#72649,.T.); #183104=EDGE_CURVE('',#150974,#150965,#64222,.T.); #183105=EDGE_CURVE('',#150503,#150974,#8049,.T.); #183106=EDGE_CURVE('',#150651,#150504,#72650,.T.); #183107=EDGE_CURVE('',#150975,#150967,#72651,.T.); #183108=EDGE_CURVE('',#150975,#150652,#72652,.T.); #183109=EDGE_CURVE('',#150650,#150505,#72653,.T.); #183110=EDGE_CURVE('',#150649,#150976,#72654,.T.); #183111=EDGE_CURVE('',#150976,#150977,#72655,.T.); #183112=EDGE_CURVE('',#150977,#150978,#72656,.T.); #183113=EDGE_CURVE('',#150978,#150979,#72657,.T.); #183114=EDGE_CURVE('',#150979,#150980,#72658,.T.); #183115=EDGE_CURVE('',#150981,#150980,#72659,.T.); #183116=EDGE_CURVE('',#150981,#150982,#72660,.T.); #183117=EDGE_CURVE('',#150983,#150982,#72661,.T.); #183118=EDGE_CURVE('',#150983,#150984,#72662,.T.); #183119=EDGE_CURVE('',#150984,#150506,#8050,.T.); #183120=EDGE_CURVE('',#150507,#150985,#64223,.T.); #183121=EDGE_CURVE('',#150985,#150984,#64224,.T.); #183122=EDGE_CURVE('',#150986,#150987,#72663,.T.); #183123=EDGE_CURVE('',#150987,#150988,#72664,.T.); #183124=EDGE_CURVE('',#150988,#150989,#72665,.T.); #183125=EDGE_CURVE('',#150989,#150990,#72666,.T.); #183126=EDGE_CURVE('',#150990,#150648,#72667,.T.); #183127=EDGE_CURVE('',#150647,#150508,#72668,.T.); #183128=EDGE_CURVE('',#150985,#150991,#72669,.T.); #183129=EDGE_CURVE('',#150991,#150992,#72670,.T.); #183130=EDGE_CURVE('',#150992,#150993,#72671,.T.); #183131=EDGE_CURVE('',#150993,#150986,#72672,.T.); #183132=EDGE_CURVE('',#150986,#150980,#64225,.T.); #183133=EDGE_CURVE('',#150979,#150987,#64226,.T.); #183134=EDGE_CURVE('',#150988,#150978,#64227,.T.); #183135=EDGE_CURVE('',#150977,#150989,#64228,.T.); #183136=EDGE_CURVE('',#150990,#150976,#64229,.T.); #183137=EDGE_CURVE('',#150981,#150993,#64230,.T.); #183138=EDGE_CURVE('',#150992,#150982,#64231,.T.); #183139=EDGE_CURVE('',#150983,#150991,#64232,.T.); #183140=EDGE_CURVE('',#150509,#150646,#72673,.T.); #183141=EDGE_CURVE('',#150994,#150995,#72674,.T.); #183142=EDGE_CURVE('',#150995,#150996,#72675,.T.); #183143=EDGE_CURVE('',#150996,#150997,#72676,.T.); #183144=EDGE_CURVE('',#150997,#150998,#72677,.T.); #183145=EDGE_CURVE('',#150998,#150999,#72678,.T.); #183146=EDGE_CURVE('',#150999,#151000,#72679,.T.); #183147=EDGE_CURVE('',#151000,#151001,#72680,.T.); #183148=EDGE_CURVE('',#151001,#150510,#63547,.T.); #183149=EDGE_CURVE('',#150645,#151002,#72681,.T.); #183150=EDGE_CURVE('',#151002,#150994,#72682,.T.); #183151=EDGE_CURVE('',#151003,#151004,#72683,.T.); #183152=EDGE_CURVE('',#151003,#150995,#64233,.T.); #183153=EDGE_CURVE('',#150994,#151004,#64234,.T.); #183154=EDGE_CURVE('',#151005,#151006,#72684,.T.); #183155=EDGE_CURVE('',#151006,#151003,#72685,.T.); #183156=EDGE_CURVE('',#151004,#151007,#72686,.T.); #183157=EDGE_CURVE('',#151007,#150644,#72687,.T.); #183158=EDGE_CURVE('',#150643,#150514,#72688,.T.); #183159=EDGE_CURVE('',#150513,#151008,#63548,.T.); #183160=EDGE_CURVE('',#151008,#151009,#72689,.T.); #183161=EDGE_CURVE('',#151009,#151010,#72690,.T.); #183162=EDGE_CURVE('',#151010,#151011,#72691,.T.); #183163=EDGE_CURVE('',#151011,#151005,#72692,.T.); #183164=EDGE_CURVE('',#151005,#150997,#64235,.T.); #183165=EDGE_CURVE('',#150996,#151006,#64236,.T.); #183166=EDGE_CURVE('',#150998,#151011,#64237,.T.); #183167=EDGE_CURVE('',#151010,#150999,#64238,.T.); #183168=EDGE_CURVE('',#151000,#151009,#64239,.T.); #183169=EDGE_CURVE('',#151008,#151001,#64240,.T.); #183170=EDGE_CURVE('',#150511,#150512,#63549,.T.); #183171=EDGE_CURVE('',#151007,#151002,#64241,.T.); #183172=EDGE_CURVE('',#150975,#150966,#64242,.T.); #183173=EDGE_CURVE('',#150953,#150948,#64243,.T.); #183174=EDGE_CURVE('',#151012,#150642,#72693,.T.); #183175=EDGE_CURVE('',#151012,#150468,#64244,.T.); #183176=EDGE_CURVE('',#151013,#151014,#72694,.T.); #183177=EDGE_CURVE('',#151014,#151015,#72695,.T.); #183178=EDGE_CURVE('',#151015,#151016,#72696,.T.); #183179=EDGE_CURVE('',#151016,#151012,#72697,.T.); #183180=EDGE_CURVE('',#150641,#150516,#72698,.T.); #183181=EDGE_CURVE('',#150515,#151017,#64245,.T.); #183182=EDGE_CURVE('',#151017,#151018,#72699,.T.); #183183=EDGE_CURVE('',#151018,#151019,#72700,.T.); #183184=EDGE_CURVE('',#151019,#151020,#72701,.T.); #183185=EDGE_CURVE('',#151020,#151013,#72702,.T.); #183186=EDGE_CURVE('',#151013,#150472,#64246,.T.); #183187=EDGE_CURVE('',#150471,#151014,#64247,.T.); #183188=EDGE_CURVE('',#151015,#150470,#64248,.T.); #183189=EDGE_CURVE('',#150469,#151016,#64249,.T.); #183190=EDGE_CURVE('',#150473,#151020,#64250,.T.); #183191=EDGE_CURVE('',#151019,#150474,#64251,.T.); #183192=EDGE_CURVE('',#150475,#151018,#64252,.T.); #183193=EDGE_CURVE('',#151017,#150476,#64253,.T.); #183194=EDGE_CURVE('',#150517,#150640,#72703,.T.); #183195=EDGE_CURVE('',#151021,#151022,#72704,.T.); #183196=EDGE_CURVE('',#151022,#151023,#72705,.T.); #183197=EDGE_CURVE('',#151023,#151024,#72706,.T.); #183198=EDGE_CURVE('',#151024,#151025,#72707,.T.); #183199=EDGE_CURVE('',#151025,#151026,#72708,.T.); #183200=EDGE_CURVE('',#151026,#151027,#72709,.T.); #183201=EDGE_CURVE('',#151027,#151028,#72710,.T.); #183202=EDGE_CURVE('',#151028,#150518,#63550,.T.); #183203=EDGE_CURVE('',#150639,#151029,#72711,.T.); #183204=EDGE_CURVE('',#151029,#151021,#72712,.T.); #183205=EDGE_CURVE('',#151030,#151031,#72713,.T.); #183206=EDGE_CURVE('',#151030,#151022,#64254,.T.); #183207=EDGE_CURVE('',#151021,#151031,#64255,.T.); #183208=EDGE_CURVE('',#151032,#151033,#72714,.T.); #183209=EDGE_CURVE('',#151033,#151030,#72715,.T.); #183210=EDGE_CURVE('',#151031,#151034,#72716,.T.); #183211=EDGE_CURVE('',#151034,#150638,#72717,.T.); #183212=EDGE_CURVE('',#150637,#150522,#72718,.T.); #183213=EDGE_CURVE('',#150521,#151035,#63551,.T.); #183214=EDGE_CURVE('',#151035,#151036,#72719,.T.); #183215=EDGE_CURVE('',#151036,#151037,#72720,.T.); #183216=EDGE_CURVE('',#151037,#151038,#72721,.T.); #183217=EDGE_CURVE('',#151038,#151032,#72722,.T.); #183218=EDGE_CURVE('',#151032,#151024,#64256,.T.); #183219=EDGE_CURVE('',#151023,#151033,#64257,.T.); #183220=EDGE_CURVE('',#151025,#151038,#64258,.T.); #183221=EDGE_CURVE('',#151037,#151026,#64259,.T.); #183222=EDGE_CURVE('',#151027,#151036,#64260,.T.); #183223=EDGE_CURVE('',#151035,#151028,#64261,.T.); #183224=EDGE_CURVE('',#150519,#150520,#63552,.T.); #183225=EDGE_CURVE('',#150523,#150636,#72723,.T.); #183226=EDGE_CURVE('',#151039,#151040,#72724,.T.); #183227=EDGE_CURVE('',#151040,#151041,#72725,.T.); #183228=EDGE_CURVE('',#151041,#151042,#72726,.T.); #183229=EDGE_CURVE('',#151042,#151043,#72727,.T.); #183230=EDGE_CURVE('',#151043,#151044,#72728,.T.); #183231=EDGE_CURVE('',#151044,#151045,#72729,.T.); #183232=EDGE_CURVE('',#151045,#151046,#72730,.T.); #183233=EDGE_CURVE('',#151046,#150524,#64262,.T.); #183234=EDGE_CURVE('',#150635,#151047,#72731,.T.); #183235=EDGE_CURVE('',#151047,#151039,#72732,.T.); #183236=EDGE_CURVE('',#151039,#151048,#64263,.T.); #183237=EDGE_CURVE('',#151049,#151048,#72733,.T.); #183238=EDGE_CURVE('',#151049,#151040,#64264,.T.); #183239=EDGE_CURVE('',#151049,#151050,#72734,.T.); #183240=EDGE_CURVE('',#151041,#151050,#64265,.T.); #183241=EDGE_CURVE('',#151051,#151050,#72735,.T.); #183242=EDGE_CURVE('',#151051,#151042,#64266,.T.); #183243=EDGE_CURVE('',#151043,#151052,#64267,.T.); #183244=EDGE_CURVE('',#151051,#151052,#72736,.T.); #183245=EDGE_CURVE('',#151053,#151052,#72737,.T.); #183246=EDGE_CURVE('',#151053,#151044,#64268,.T.); #183247=EDGE_CURVE('',#151053,#151054,#72738,.T.); #183248=EDGE_CURVE('',#151045,#151054,#64269,.T.); #183249=EDGE_CURVE('',#151055,#151054,#72739,.T.); #183250=EDGE_CURVE('',#151055,#151046,#64270,.T.); #183251=EDGE_CURVE('',#150525,#151055,#8051,.T.); #183252=EDGE_CURVE('',#150633,#150526,#72740,.T.); #183253=EDGE_CURVE('',#151056,#151048,#72741,.T.); #183254=EDGE_CURVE('',#151056,#150634,#72742,.T.); #183255=EDGE_CURVE('',#150632,#150527,#72743,.T.); #183256=EDGE_CURVE('',#150631,#151057,#72744,.T.); #183257=EDGE_CURVE('',#151057,#151058,#72745,.T.); #183258=EDGE_CURVE('',#151058,#151059,#72746,.T.); #183259=EDGE_CURVE('',#151059,#151060,#72747,.T.); #183260=EDGE_CURVE('',#151060,#151061,#72748,.T.); #183261=EDGE_CURVE('',#151062,#151061,#72749,.T.); #183262=EDGE_CURVE('',#151062,#151063,#72750,.T.); #183263=EDGE_CURVE('',#151064,#151063,#72751,.T.); #183264=EDGE_CURVE('',#151064,#151065,#72752,.T.); #183265=EDGE_CURVE('',#151065,#150528,#8052,.T.); #183266=EDGE_CURVE('',#150529,#151066,#64271,.T.); #183267=EDGE_CURVE('',#151066,#151065,#64272,.T.); #183268=EDGE_CURVE('',#151067,#151068,#72753,.T.); #183269=EDGE_CURVE('',#151068,#151069,#72754,.T.); #183270=EDGE_CURVE('',#151069,#151070,#72755,.T.); #183271=EDGE_CURVE('',#151070,#151071,#72756,.T.); #183272=EDGE_CURVE('',#151071,#150630,#72757,.T.); #183273=EDGE_CURVE('',#150629,#150530,#72758,.T.); #183274=EDGE_CURVE('',#151066,#151072,#72759,.T.); #183275=EDGE_CURVE('',#151072,#151073,#72760,.T.); #183276=EDGE_CURVE('',#151073,#151074,#72761,.T.); #183277=EDGE_CURVE('',#151074,#151067,#72762,.T.); #183278=EDGE_CURVE('',#151067,#151061,#64273,.T.); #183279=EDGE_CURVE('',#151060,#151068,#64274,.T.); #183280=EDGE_CURVE('',#151069,#151059,#64275,.T.); #183281=EDGE_CURVE('',#151058,#151070,#64276,.T.); #183282=EDGE_CURVE('',#151071,#151057,#64277,.T.); #183283=EDGE_CURVE('',#151062,#151074,#64278,.T.); #183284=EDGE_CURVE('',#151073,#151063,#64279,.T.); #183285=EDGE_CURVE('',#151064,#151072,#64280,.T.); #183286=EDGE_CURVE('',#150531,#150628,#72763,.T.); #183287=EDGE_CURVE('',#151075,#151076,#72764,.T.); #183288=EDGE_CURVE('',#151076,#151077,#72765,.T.); #183289=EDGE_CURVE('',#151077,#151078,#72766,.T.); #183290=EDGE_CURVE('',#151078,#151079,#72767,.T.); #183291=EDGE_CURVE('',#151079,#151080,#72768,.T.); #183292=EDGE_CURVE('',#151080,#151081,#72769,.T.); #183293=EDGE_CURVE('',#151081,#151082,#72770,.T.); #183294=EDGE_CURVE('',#151082,#150532,#63553,.T.); #183295=EDGE_CURVE('',#150627,#151083,#72771,.T.); #183296=EDGE_CURVE('',#151083,#151075,#72772,.T.); #183297=EDGE_CURVE('',#151084,#151085,#72773,.T.); #183298=EDGE_CURVE('',#151084,#151076,#64281,.T.); #183299=EDGE_CURVE('',#151075,#151085,#64282,.T.); #183300=EDGE_CURVE('',#151086,#151087,#72774,.T.); #183301=EDGE_CURVE('',#151087,#151084,#72775,.T.); #183302=EDGE_CURVE('',#151085,#151088,#72776,.T.); #183303=EDGE_CURVE('',#151088,#150626,#72777,.T.); #183304=EDGE_CURVE('',#150625,#150536,#72778,.T.); #183305=EDGE_CURVE('',#150535,#151089,#63554,.T.); #183306=EDGE_CURVE('',#151089,#151090,#72779,.T.); #183307=EDGE_CURVE('',#151090,#151091,#72780,.T.); #183308=EDGE_CURVE('',#151091,#151092,#72781,.T.); #183309=EDGE_CURVE('',#151092,#151086,#72782,.T.); #183310=EDGE_CURVE('',#151086,#151078,#64283,.T.); #183311=EDGE_CURVE('',#151077,#151087,#64284,.T.); #183312=EDGE_CURVE('',#151079,#151092,#64285,.T.); #183313=EDGE_CURVE('',#151091,#151080,#64286,.T.); #183314=EDGE_CURVE('',#151081,#151090,#64287,.T.); #183315=EDGE_CURVE('',#151089,#151082,#64288,.T.); #183316=EDGE_CURVE('',#150533,#150534,#63555,.T.); #183317=EDGE_CURVE('',#150537,#150624,#72783,.T.); #183318=EDGE_CURVE('',#151093,#151094,#72784,.T.); #183319=EDGE_CURVE('',#151094,#151095,#72785,.T.); #183320=EDGE_CURVE('',#151095,#151096,#72786,.T.); #183321=EDGE_CURVE('',#151096,#151097,#72787,.T.); #183322=EDGE_CURVE('',#151097,#151098,#72788,.T.); #183323=EDGE_CURVE('',#151098,#151099,#72789,.T.); #183324=EDGE_CURVE('',#151099,#151100,#72790,.T.); #183325=EDGE_CURVE('',#151100,#150538,#64289,.T.); #183326=EDGE_CURVE('',#150623,#151101,#72791,.T.); #183327=EDGE_CURVE('',#151101,#151093,#72792,.T.); #183328=EDGE_CURVE('',#151093,#151102,#64290,.T.); #183329=EDGE_CURVE('',#151103,#151102,#72793,.T.); #183330=EDGE_CURVE('',#151103,#151094,#64291,.T.); #183331=EDGE_CURVE('',#151103,#151104,#72794,.T.); #183332=EDGE_CURVE('',#151095,#151104,#64292,.T.); #183333=EDGE_CURVE('',#151105,#151104,#72795,.T.); #183334=EDGE_CURVE('',#151105,#151096,#64293,.T.); #183335=EDGE_CURVE('',#151097,#151106,#64294,.T.); #183336=EDGE_CURVE('',#151105,#151106,#72796,.T.); #183337=EDGE_CURVE('',#151107,#151106,#72797,.T.); #183338=EDGE_CURVE('',#151107,#151098,#64295,.T.); #183339=EDGE_CURVE('',#151107,#151108,#72798,.T.); #183340=EDGE_CURVE('',#151099,#151108,#64296,.T.); #183341=EDGE_CURVE('',#151109,#151108,#72799,.T.); #183342=EDGE_CURVE('',#151109,#151100,#64297,.T.); #183343=EDGE_CURVE('',#150539,#151109,#8053,.T.); #183344=EDGE_CURVE('',#150621,#150540,#72800,.T.); #183345=EDGE_CURVE('',#151110,#151102,#72801,.T.); #183346=EDGE_CURVE('',#151110,#150622,#72802,.T.); #183347=EDGE_CURVE('',#151110,#151101,#64298,.T.); #183348=EDGE_CURVE('',#151088,#151083,#64299,.T.); #183349=EDGE_CURVE('',#151056,#151047,#64300,.T.); #183350=EDGE_CURVE('',#151034,#151029,#64301,.T.); #183351=EDGE_CURVE('',#150556,#150547,#72803,.T.); #183352=EDGE_CURVE('',#150587,#150551,#72804,.T.); #183353=EDGE_CURVE('',#150588,#150548,#72805,.T.); #183354=EDGE_CURVE('',#150589,#150550,#72806,.T.); #183355=EDGE_CURVE('',#150590,#150549,#72807,.T.); #183356=EDGE_CURVE('',#150458,#150448,#64302,.T.); #183357=EDGE_CURVE('',#150447,#150459,#64303,.T.); #183358=EDGE_CURVE('',#150460,#150446,#64304,.T.); #183359=EDGE_CURVE('',#150445,#150461,#64305,.T.); #183360=EDGE_CURVE('',#150462,#150444,#64306,.T.); #183361=EDGE_CURVE('',#150443,#150463,#64307,.T.); #183362=EDGE_CURVE('',#151111,#151112,#64308,.T.); #183363=EDGE_CURVE('',#151111,#151113,#63556,.T.); #183364=EDGE_CURVE('',#151114,#151113,#64309,.T.); #183365=EDGE_CURVE('',#151115,#151114,#64310,.T.); #183366=EDGE_CURVE('',#151115,#151112,#63557,.T.); #183367=EDGE_CURVE('',#151116,#151117,#64311,.T.); #183368=EDGE_CURVE('',#151111,#151117,#63558,.T.); #183369=EDGE_CURVE('',#151116,#151112,#63559,.T.); #183370=EDGE_CURVE('',#151116,#151118,#63560,.T.); #183371=EDGE_CURVE('',#151119,#151118,#64312,.T.); #183372=EDGE_CURVE('',#151119,#151120,#63561,.T.); #183373=EDGE_CURVE('',#151120,#151117,#63562,.T.); #183374=EDGE_CURVE('',#151121,#151120,#72808,.T.); #183375=EDGE_CURVE('',#151121,#151122,#63563,.T.); #183376=EDGE_CURVE('',#151123,#151122,#63564,.T.); #183377=EDGE_CURVE('',#151113,#151123,#63565,.T.); #183378=EDGE_CURVE('',#151114,#151124,#72809,.T.); #183379=EDGE_CURVE('',#151124,#151124,#64313,.T.); #183380=EDGE_CURVE('',#151123,#151125,#63566,.T.); #183381=EDGE_CURVE('',#151125,#151126,#64314,.T.); #183382=EDGE_CURVE('',#151126,#151127,#63567,.T.); #183383=EDGE_CURVE('',#151127,#151128,#63568,.T.); #183384=EDGE_CURVE('',#151128,#151129,#64315,.T.); #183385=EDGE_CURVE('',#151129,#151130,#63569,.T.); #183386=EDGE_CURVE('',#151130,#151131,#63570,.T.); #183387=EDGE_CURVE('',#151131,#151132,#64316,.T.); #183388=EDGE_CURVE('',#151132,#151133,#63571,.T.); #183389=EDGE_CURVE('',#151133,#151134,#63572,.T.); #183390=EDGE_CURVE('',#151134,#151135,#64317,.T.); #183391=EDGE_CURVE('',#151135,#151136,#63573,.T.); #183392=EDGE_CURVE('',#151136,#151115,#63574,.T.); #183393=EDGE_CURVE('',#151137,#151138,#63575,.T.); #183394=EDGE_CURVE('',#151139,#151138,#63576,.T.); #183395=EDGE_CURVE('',#151140,#151139,#72810,.T.); #183396=EDGE_CURVE('',#151140,#151141,#63577,.T.); #183397=EDGE_CURVE('',#151130,#151141,#63578,.T.); #183398=EDGE_CURVE('',#151137,#151129,#63579,.T.); #183399=EDGE_CURVE('',#151137,#151142,#64318,.T.); #183400=EDGE_CURVE('',#151143,#151142,#63580,.T.); #183401=EDGE_CURVE('',#151143,#151138,#64319,.T.); #183402=EDGE_CURVE('',#151128,#151142,#63581,.T.); #183403=EDGE_CURVE('',#151127,#151144,#63582,.T.); #183404=EDGE_CURVE('',#151144,#151145,#63583,.T.); #183405=EDGE_CURVE('',#151146,#151145,#72811,.T.); #183406=EDGE_CURVE('',#151147,#151146,#72812,.T.); #183407=EDGE_CURVE('',#151147,#151148,#63584,.T.); #183408=EDGE_CURVE('',#151143,#151148,#63585,.F.); #183409=EDGE_CURVE('',#151149,#151148,#64320,.T.); #183410=EDGE_CURVE('',#151149,#151139,#63586,.T.); #183411=EDGE_CURVE('',#151149,#151150,#63587,.T.); #183412=EDGE_CURVE('',#151151,#151150,#72813,.T.); #183413=EDGE_CURVE('',#151151,#151140,#63588,.T.); #183414=EDGE_CURVE('',#151147,#151152,#64321,.T.); #183415=EDGE_CURVE('',#151152,#151150,#63589,.T.); #183416=EDGE_CURVE('',#151153,#151152,#63590,.T.); #183417=EDGE_CURVE('',#151153,#151154,#72814,.T.); #183418=EDGE_CURVE('',#151154,#151151,#63591,.T.); #183419=EDGE_CURVE('',#151141,#151154,#63592,.T.); #183420=EDGE_CURVE('',#151155,#151156,#63593,.T.); #183421=EDGE_CURVE('',#151131,#151156,#63594,.T.); #183422=EDGE_CURVE('',#151157,#151153,#72815,.T.); #183423=EDGE_CURVE('',#151157,#151158,#63595,.T.); #183424=EDGE_CURVE('',#151155,#151158,#63596,.T.); #183425=EDGE_CURVE('',#151159,#151156,#64322,.F.); #183426=EDGE_CURVE('',#151155,#151160,#64323,.T.); #183427=EDGE_CURVE('',#151159,#151160,#63597,.T.); #183428=EDGE_CURVE('',#151159,#151132,#63598,.T.); #183429=EDGE_CURVE('',#151161,#151160,#63599,.T.); #183430=EDGE_CURVE('',#151162,#151161,#72816,.T.); #183431=EDGE_CURVE('',#151162,#151163,#63600,.T.); #183432=EDGE_CURVE('',#151133,#151163,#63601,.T.); #183433=EDGE_CURVE('',#151164,#151165,#63602,.T.); #183434=EDGE_CURVE('',#151134,#151165,#63603,.T.); #183435=EDGE_CURVE('',#151163,#151166,#63604,.T.); #183436=EDGE_CURVE('',#151167,#151166,#72817,.T.); #183437=EDGE_CURVE('',#151168,#151167,#63605,.T.); #183438=EDGE_CURVE('',#151168,#151169,#63606,.T.); #183439=EDGE_CURVE('',#151164,#151169,#63607,.T.); #183440=EDGE_CURVE('',#151170,#151165,#64324,.F.); #183441=EDGE_CURVE('',#151164,#151171,#64325,.T.); #183442=EDGE_CURVE('',#151170,#151171,#63608,.T.); #183443=EDGE_CURVE('',#151170,#151135,#63609,.T.); #183444=EDGE_CURVE('',#151172,#151171,#63610,.T.); #183445=EDGE_CURVE('',#151173,#151172,#72818,.T.); #183446=EDGE_CURVE('',#151173,#151174,#63611,.T.); #183447=EDGE_CURVE('',#151136,#151174,#63612,.T.); #183448=EDGE_CURVE('',#151174,#151175,#63613,.T.); #183449=EDGE_CURVE('',#151176,#151175,#72819,.T.); #183450=EDGE_CURVE('',#151177,#151176,#72820,.T.); #183451=EDGE_CURVE('',#151177,#151118,#63614,.T.); #183452=EDGE_CURVE('',#151119,#151178,#63615,.T.); #183453=EDGE_CURVE('',#151177,#151179,#64326,.T.); #183454=EDGE_CURVE('',#151179,#151178,#63616,.T.); #183455=EDGE_CURVE('',#151180,#151178,#72821,.T.); #183456=EDGE_CURVE('',#151180,#151121,#63617,.T.); #183457=EDGE_CURVE('',#151181,#151180,#63618,.T.); #183458=EDGE_CURVE('',#151122,#151181,#63619,.T.); #183459=EDGE_CURVE('',#151182,#151183,#63620,.T.); #183460=EDGE_CURVE('',#151125,#151183,#63621,.T.); #183461=EDGE_CURVE('',#151184,#151181,#72822,.T.); #183462=EDGE_CURVE('',#151185,#151184,#72823,.T.); #183463=EDGE_CURVE('',#151185,#151186,#63622,.T.); #183464=EDGE_CURVE('',#151182,#151186,#63623,.T.); #183465=EDGE_CURVE('',#151187,#151183,#64327,.T.); #183466=EDGE_CURVE('',#151182,#151188,#64328,.T.); #183467=EDGE_CURVE('',#151187,#151188,#63624,.T.); #183468=EDGE_CURVE('',#151187,#151126,#63625,.T.); #183469=EDGE_CURVE('',#151189,#151188,#63626,.T.); #183470=EDGE_CURVE('',#151190,#151189,#72824,.T.); #183471=EDGE_CURVE('',#151190,#151144,#63627,.T.); #183472=EDGE_CURVE('',#151191,#151190,#63628,.T.); #183473=EDGE_CURVE('',#151145,#151191,#63629,.T.); #183474=EDGE_CURVE('',#151192,#151193,#63630,.T.); #183475=EDGE_CURVE('',#151146,#151192,#63631,.T.); #183476=EDGE_CURVE('',#151191,#151193,#72825,.T.); #183477=EDGE_CURVE('',#151194,#151193,#63632,.T.); #183478=EDGE_CURVE('',#151194,#151186,#64329,.T.); #183479=EDGE_CURVE('',#151185,#151192,#64330,.T.); #183480=EDGE_CURVE('',#151194,#151189,#63633,.T.); #183481=EDGE_CURVE('',#151168,#151195,#64331,.T.); #183482=EDGE_CURVE('',#151196,#151168,#64332,.T.); #183483=EDGE_CURVE('',#151157,#151196,#64333,.T.); #183484=EDGE_CURVE('',#151152,#151157,#64334,.T.); #183485=EDGE_CURVE('',#151192,#151147,#64335,.T.); #183486=EDGE_CURVE('',#151179,#151185,#64336,.T.); #183487=EDGE_CURVE('',#151195,#151177,#64337,.T.); #183488=EDGE_CURVE('',#151195,#151197,#63634,.T.); #183489=EDGE_CURVE('',#151198,#151197,#63635,.T.); #183490=EDGE_CURVE('',#151198,#151169,#64338,.T.); #183491=EDGE_CURVE('',#151198,#151172,#63636,.T.); #183492=EDGE_CURVE('',#151199,#151197,#72826,.T.); #183493=EDGE_CURVE('',#151199,#151173,#63637,.T.); #183494=EDGE_CURVE('',#151176,#151195,#63638,.T.); #183495=EDGE_CURVE('',#151175,#151199,#63639,.T.); #183496=EDGE_CURVE('',#151184,#151179,#63640,.T.); #183497=EDGE_CURVE('',#151200,#151201,#63641,.T.); #183498=EDGE_CURVE('',#151200,#151158,#64339,.T.); #183499=EDGE_CURVE('',#151196,#151201,#63642,.T.); #183500=EDGE_CURVE('',#151202,#151201,#72827,.T.); #183501=EDGE_CURVE('',#151202,#151162,#63643,.T.); #183502=EDGE_CURVE('',#151200,#151161,#63644,.T.); #183503=EDGE_CURVE('',#151166,#151202,#63645,.T.); #183504=EDGE_CURVE('',#151167,#151196,#63646,.T.); #183505=EDGE_CURVE('',#151124,#151203,#64340,.T.); #183506=EDGE_CURVE('',#151203,#151203,#64341,.T.); #183507=EDGE_CURVE('',#151204,#151205,#72828,.T.); #183508=EDGE_CURVE('',#151206,#151204,#72829,.T.); #183509=EDGE_CURVE('',#151207,#151206,#72830,.T.); #183510=EDGE_CURVE('',#151205,#151207,#64342,.T.); #183511=EDGE_CURVE('',#151208,#151209,#72831,.T.); #183512=EDGE_CURVE('',#151210,#151208,#72832,.T.); #183513=EDGE_CURVE('',#151209,#151210,#64343,.T.); #183514=EDGE_CURVE('',#151211,#151212,#72833,.T.); #183515=EDGE_CURVE('',#151213,#151211,#72834,.T.); #183516=EDGE_CURVE('',#151214,#151213,#72835,.T.); #183517=EDGE_CURVE('',#151212,#151214,#64344,.T.); #183518=EDGE_CURVE('',#151215,#151216,#72836,.T.); #183519=EDGE_CURVE('',#151217,#151215,#64345,.T.); #183520=EDGE_CURVE('',#151216,#151217,#72837,.T.); #183521=EDGE_CURVE('',#151218,#151219,#72838,.T.); #183522=EDGE_CURVE('',#151219,#151218,#64346,.T.); #183523=EDGE_CURVE('',#151220,#151221,#72839,.T.); #183524=EDGE_CURVE('',#151221,#151222,#64347,.T.); #183525=EDGE_CURVE('',#151222,#151220,#64348,.T.); #183526=EDGE_CURVE('',#151223,#151204,#72840,.T.); #183527=EDGE_CURVE('',#151224,#151223,#72841,.T.); #183528=EDGE_CURVE('',#151224,#151206,#72842,.T.); #183529=EDGE_CURVE('',#151225,#151226,#72843,.T.); #183530=EDGE_CURVE('',#151226,#151225,#64349,.T.); #183531=EDGE_CURVE('',#151227,#151210,#72844,.T.); #183532=EDGE_CURVE('',#151228,#151208,#72845,.T.); #183533=EDGE_CURVE('',#151229,#151228,#72846,.T.); #183534=EDGE_CURVE('',#151229,#151216,#72847,.T.); #183535=EDGE_CURVE('',#151217,#151230,#72848,.T.); #183536=EDGE_CURVE('',#151227,#151230,#72849,.T.); #183537=EDGE_CURVE('',#151231,#151232,#72850,.T.); #183538=EDGE_CURVE('',#151233,#151231,#72851,.T.); #183539=EDGE_CURVE('',#151233,#151234,#72852,.T.); #183540=EDGE_CURVE('',#151232,#151234,#72853,.T.); #183541=EDGE_CURVE('',#151235,#151236,#72854,.T.); #183542=EDGE_CURVE('',#151237,#151235,#72855,.T.); #183543=EDGE_CURVE('',#151237,#151238,#72856,.T.); #183544=EDGE_CURVE('',#151236,#151238,#72857,.T.); #183545=EDGE_CURVE('',#151234,#151237,#72858,.T.); #183546=EDGE_CURVE('',#151238,#151233,#72859,.T.); #183547=EDGE_CURVE('',#151239,#151236,#72860,.T.); #183548=EDGE_CURVE('',#151239,#151240,#72861,.T.); #183549=EDGE_CURVE('',#151240,#151241,#72862,.T.); #183550=EDGE_CURVE('',#151241,#151242,#72863,.T.); #183551=EDGE_CURVE('',#151242,#151235,#72864,.T.); #183552=EDGE_CURVE('',#151232,#151243,#72865,.T.); #183553=EDGE_CURVE('',#151243,#151244,#72866,.T.); #183554=EDGE_CURVE('',#151244,#151245,#72867,.T.); #183555=EDGE_CURVE('',#151246,#151245,#72868,.T.); #183556=EDGE_CURVE('',#151231,#151246,#72869,.T.); #183557=EDGE_CURVE('',#151242,#151247,#72870,.T.); #183558=EDGE_CURVE('',#151247,#151248,#72871,.T.); #183559=EDGE_CURVE('',#151243,#151248,#72872,.T.); #183560=EDGE_CURVE('',#151249,#151250,#3171,.T.); #183561=EDGE_CURVE('',#151249,#151251,#72873,.T.); #183562=EDGE_CURVE('',#151251,#151252,#3172,.T.); #183563=EDGE_CURVE('',#151252,#151250,#72874,.T.); #183564=EDGE_CURVE('',#151250,#151253,#64350,.T.); #183565=EDGE_CURVE('',#151253,#151254,#72875,.T.); #183566=EDGE_CURVE('',#151255,#151254,#64351,.T.); #183567=EDGE_CURVE('',#151255,#151256,#72876,.T.); #183568=EDGE_CURVE('',#151257,#151256,#64352,.T.); #183569=EDGE_CURVE('',#151249,#151257,#72877,.T.); #183570=EDGE_CURVE('',#151258,#151252,#64353,.T.); #183571=EDGE_CURVE('',#151259,#151258,#72878,.T.); #183572=EDGE_CURVE('',#151259,#151260,#72879,.T.); #183573=EDGE_CURVE('',#151253,#151260,#72880,.T.); #183574=EDGE_CURVE('',#151261,#151262,#3173,.T.); #183575=EDGE_CURVE('',#151261,#151263,#72881,.T.); #183576=EDGE_CURVE('',#151263,#151264,#3174,.T.); #183577=EDGE_CURVE('',#151264,#151262,#72882,.T.); #183578=EDGE_CURVE('',#151261,#151265,#72883,.T.); #183579=EDGE_CURVE('',#151221,#151265,#72884,.T.); #183580=EDGE_CURVE('',#151220,#151207,#72885,.T.); #183581=EDGE_CURVE('',#151266,#151224,#72886,.T.); #183582=EDGE_CURVE('',#151263,#151266,#72887,.T.); #183583=EDGE_CURVE('',#151267,#151268,#72888,.T.); #183584=EDGE_CURVE('',#151267,#151269,#72889,.T.); #183585=EDGE_CURVE('',#151269,#151270,#72890,.T.); #183586=EDGE_CURVE('',#151270,#151268,#72891,.T.); #183587=EDGE_CURVE('',#151271,#151270,#72892,.T.); #183588=EDGE_CURVE('',#151245,#151271,#64354,.T.); #183589=EDGE_CURVE('',#151272,#151244,#72893,.T.); #183590=EDGE_CURVE('',#151272,#151273,#72894,.T.); #183591=EDGE_CURVE('',#151273,#151274,#64355,.T.); #183592=EDGE_CURVE('',#151274,#151268,#72895,.T.); #183593=EDGE_CURVE('',#151275,#151276,#72896,.T.); #183594=EDGE_CURVE('',#151275,#151277,#72897,.T.); #183595=EDGE_CURVE('',#151277,#151278,#72898,.T.); #183596=EDGE_CURVE('',#151278,#151276,#72899,.T.); #183597=EDGE_CURVE('',#151279,#151278,#72900,.T.); #183598=EDGE_CURVE('',#151280,#151279,#64356,.T.); #183599=EDGE_CURVE('',#151280,#151281,#72901,.T.); #183600=EDGE_CURVE('',#151281,#151282,#64357,.T.); #183601=EDGE_CURVE('',#151282,#151276,#72902,.T.); #183602=EDGE_CURVE('',#151283,#151284,#3175,.T.); #183603=EDGE_CURVE('',#151283,#151285,#72903,.T.); #183604=EDGE_CURVE('',#151285,#151286,#3176,.T.); #183605=EDGE_CURVE('',#151286,#151284,#72904,.T.); #183606=EDGE_CURVE('',#151283,#151287,#72905,.T.); #183607=EDGE_CURVE('',#151288,#151287,#72906,.T.); #183608=EDGE_CURVE('',#151289,#151288,#72907,.T.); #183609=EDGE_CURVE('',#151290,#151289,#72908,.T.); #183610=EDGE_CURVE('',#151291,#151290,#72909,.T.); #183611=EDGE_CURVE('',#151292,#151291,#72910,.T.); #183612=EDGE_CURVE('',#151285,#151292,#72911,.T.); #183613=EDGE_CURVE('',#151293,#151294,#72912,.T.); #183614=EDGE_CURVE('',#151293,#151295,#72913,.T.); #183615=EDGE_CURVE('',#151295,#151296,#72914,.T.); #183616=EDGE_CURVE('',#151296,#151294,#72915,.T.); #183617=EDGE_CURVE('',#151297,#151296,#72916,.T.); #183618=EDGE_CURVE('',#151298,#151297,#64358,.T.); #183619=EDGE_CURVE('',#151298,#151255,#72917,.T.); #183620=EDGE_CURVE('',#151254,#151294,#72918,.T.); #183621=EDGE_CURVE('',#151299,#151300,#3177,.T.); #183622=EDGE_CURVE('',#151299,#151301,#72919,.T.); #183623=EDGE_CURVE('',#151301,#151302,#3178,.T.); #183624=EDGE_CURVE('',#151302,#151300,#72920,.T.); #183625=EDGE_CURVE('',#151299,#151303,#72921,.T.); #183626=EDGE_CURVE('',#151304,#151303,#72922,.T.); #183627=EDGE_CURVE('',#151305,#151304,#72923,.T.); #183628=EDGE_CURVE('',#151306,#151305,#72924,.T.); #183629=EDGE_CURVE('',#151307,#151306,#72925,.T.); #183630=EDGE_CURVE('',#151301,#151307,#72926,.T.); #183631=EDGE_CURVE('',#151308,#151309,#72927,.T.); #183632=EDGE_CURVE('',#151308,#151310,#72928,.T.); #183633=EDGE_CURVE('',#151310,#151311,#72929,.T.); #183634=EDGE_CURVE('',#151311,#151309,#72930,.T.); #183635=EDGE_CURVE('',#151312,#151311,#72931,.T.); #183636=EDGE_CURVE('',#151313,#151312,#64359,.T.); #183637=EDGE_CURVE('',#151314,#151313,#72932,.T.); #183638=EDGE_CURVE('',#151315,#151314,#72933,.T.); #183639=EDGE_CURVE('',#151315,#151316,#72934,.T.); #183640=EDGE_CURVE('',#151316,#151317,#64360,.T.); #183641=EDGE_CURVE('',#151317,#151309,#72935,.T.); #183642=EDGE_CURVE('',#151256,#151318,#72936,.T.); #183643=EDGE_CURVE('',#151318,#151319,#64361,.T.); #183644=EDGE_CURVE('',#151319,#151257,#72937,.T.); #183645=EDGE_CURVE('',#151320,#151298,#72938,.T.); #183646=EDGE_CURVE('',#151321,#151297,#72939,.T.); #183647=EDGE_CURVE('',#151320,#151321,#64362,.T.); #183648=EDGE_CURVE('',#151251,#151322,#72940,.T.); #183649=EDGE_CURVE('',#151323,#151322,#64363,.T.); #183650=EDGE_CURVE('',#151323,#151324,#72941,.T.); #183651=EDGE_CURVE('',#151324,#151286,#64364,.T.); #183652=EDGE_CURVE('',#151325,#151292,#64365,.T.); #183653=EDGE_CURVE('',#151258,#151325,#72942,.T.); #183654=EDGE_CURVE('',#151260,#151308,#72943,.T.); #183655=EDGE_CURVE('',#151310,#151259,#72944,.T.); #183656=EDGE_CURVE('',#151293,#151326,#72945,.T.); #183657=EDGE_CURVE('',#151327,#151326,#72946,.T.); #183658=EDGE_CURVE('',#151295,#151327,#72947,.T.); #183659=EDGE_CURVE('',#151328,#151325,#72948,.T.); #183660=EDGE_CURVE('',#151328,#151312,#72949,.T.); #183661=EDGE_CURVE('',#151327,#151329,#72950,.T.); #183662=EDGE_CURVE('',#151329,#151330,#72951,.T.); #183663=EDGE_CURVE('',#151321,#151330,#72952,.T.); #183664=EDGE_CURVE('',#151331,#151326,#72953,.T.); #183665=EDGE_CURVE('',#151332,#151331,#64366,.T.); #183666=EDGE_CURVE('',#151333,#151332,#72954,.T.); #183667=EDGE_CURVE('',#151334,#151333,#72955,.T.); #183668=EDGE_CURVE('',#151335,#151334,#72956,.T.); #183669=EDGE_CURVE('',#151329,#151335,#64367,.T.); #183670=EDGE_CURVE('',#151331,#151317,#72957,.T.); #183671=EDGE_CURVE('',#151332,#151316,#72958,.T.); #183672=EDGE_CURVE('',#151333,#151315,#72959,.T.); #183673=EDGE_CURVE('',#151336,#151335,#72960,.T.); #183674=EDGE_CURVE('',#151336,#151302,#64368,.T.); #183675=EDGE_CURVE('',#151330,#151307,#64369,.T.); #183676=EDGE_CURVE('',#151334,#151337,#72961,.T.); #183677=EDGE_CURVE('',#151337,#151336,#72962,.T.); #183678=EDGE_CURVE('',#151287,#151338,#64370,.T.); #183679=EDGE_CURVE('',#151338,#151339,#72963,.T.); #183680=EDGE_CURVE('',#151339,#151288,#64371,.T.); #183681=EDGE_CURVE('',#151340,#151280,#72964,.T.); #183682=EDGE_CURVE('',#151279,#151341,#72965,.T.); #183683=EDGE_CURVE('',#151340,#151341,#64372,.T.); #183684=EDGE_CURVE('',#151275,#151342,#72966,.T.); #183685=EDGE_CURVE('',#151343,#151342,#72967,.T.); #183686=EDGE_CURVE('',#151277,#151343,#72968,.T.); #183687=EDGE_CURVE('',#151344,#151267,#72969,.T.); #183688=EDGE_CURVE('',#151345,#151344,#72970,.T.); #183689=EDGE_CURVE('',#151269,#151345,#72971,.T.); #183690=EDGE_CURVE('',#151346,#151274,#72972,.T.); #183691=EDGE_CURVE('',#151346,#151342,#72973,.T.); #183692=EDGE_CURVE('',#151347,#151282,#72974,.T.); #183693=EDGE_CURVE('',#151347,#151344,#72975,.T.); #183694=EDGE_CURVE('',#151343,#151348,#72976,.T.); #183695=EDGE_CURVE('',#151348,#151349,#72977,.T.); #183696=EDGE_CURVE('',#151341,#151349,#72978,.T.); #183697=EDGE_CURVE('',#151350,#151346,#64373,.T.); #183698=EDGE_CURVE('',#151351,#151350,#72979,.T.); #183699=EDGE_CURVE('',#151352,#151351,#72980,.T.); #183700=EDGE_CURVE('',#151353,#151352,#72981,.T.); #183701=EDGE_CURVE('',#151348,#151353,#64374,.T.); #183702=EDGE_CURVE('',#151284,#151347,#64375,.T.); #183703=EDGE_CURVE('',#151281,#151338,#72982,.T.); #183704=EDGE_CURVE('',#151345,#151324,#72983,.T.); #183705=EDGE_CURVE('',#151350,#151273,#72984,.T.); #183706=EDGE_CURVE('',#151351,#151272,#72985,.T.); #183707=EDGE_CURVE('',#151354,#151323,#72986,.T.); #183708=EDGE_CURVE('',#151354,#151271,#72987,.T.); #183709=EDGE_CURVE('',#151353,#151355,#72988,.T.); #183710=EDGE_CURVE('',#151355,#151264,#64376,.T.); #183711=EDGE_CURVE('',#151349,#151266,#64377,.T.); #183712=EDGE_CURVE('',#151352,#151356,#72989,.T.); #183713=EDGE_CURVE('',#151356,#151355,#72990,.T.); #183714=EDGE_CURVE('',#151265,#151357,#64378,.T.); #183715=EDGE_CURVE('',#151357,#151218,#72991,.T.); #183716=EDGE_CURVE('',#151218,#151221,#64379,.T.); #183717=EDGE_CURVE('',#151358,#151359,#72992,.T.); #183718=EDGE_CURVE('',#151359,#151360,#64380,.T.); #183719=EDGE_CURVE('',#151360,#151361,#72993,.T.); #183720=EDGE_CURVE('',#151358,#151361,#64381,.T.); #183721=EDGE_CURVE('',#151359,#151362,#72994,.T.); #183722=EDGE_CURVE('',#151363,#151362,#64382,.T.); #183723=EDGE_CURVE('',#151364,#151363,#72995,.T.); #183724=EDGE_CURVE('',#151365,#151364,#72996,.T.); #183725=EDGE_CURVE('',#151360,#151365,#72997,.T.); #183726=EDGE_CURVE('',#151366,#151262,#64383,.T.); #183727=EDGE_CURVE('',#151363,#151366,#72998,.T.); #183728=EDGE_CURVE('',#151362,#151357,#72999,.T.); #183729=EDGE_CURVE('',#151367,#151356,#73000,.T.); #183730=EDGE_CURVE('',#151367,#151366,#73001,.T.); #183731=EDGE_CURVE('',#151364,#151367,#73002,.T.); #183732=EDGE_CURVE('',#151246,#151354,#64384,.T.); #183733=EDGE_CURVE('',#151313,#151368,#73003,.T.); #183734=EDGE_CURVE('',#151361,#151368,#73004,.T.); #183735=EDGE_CURVE('',#151365,#151369,#73005,.T.); #183736=EDGE_CURVE('',#151369,#151370,#73006,.T.); #183737=EDGE_CURVE('',#151370,#151314,#73007,.T.); #183738=EDGE_CURVE('',#151303,#151371,#64385,.T.); #183739=EDGE_CURVE('',#151371,#151372,#73008,.T.); #183740=EDGE_CURVE('',#151372,#151304,#64386,.T.); #183741=EDGE_CURVE('',#151373,#151374,#73009,.T.); #183742=EDGE_CURVE('',#151374,#151240,#64387,.T.); #183743=EDGE_CURVE('',#151373,#151239,#64388,.T.); #183744=EDGE_CURVE('',#151374,#151375,#73010,.T.); #183745=EDGE_CURVE('',#151376,#151375,#64389,.T.); #183746=EDGE_CURVE('',#151377,#151376,#73011,.T.); #183747=EDGE_CURVE('',#151241,#151377,#73012,.T.); #183748=EDGE_CURVE('',#151378,#151300,#64390,.T.); #183749=EDGE_CURVE('',#151376,#151378,#73013,.T.); #183750=EDGE_CURVE('',#151375,#151371,#73014,.T.); #183751=EDGE_CURVE('',#151379,#151337,#73015,.T.); #183752=EDGE_CURVE('',#151379,#151378,#73016,.T.); #183753=EDGE_CURVE('',#151377,#151379,#73017,.T.); #183754=EDGE_CURVE('',#151368,#151328,#64391,.T.); #183755=EDGE_CURVE('',#151248,#151380,#73018,.T.); #183756=EDGE_CURVE('',#151369,#151380,#73019,.T.); #183757=EDGE_CURVE('',#151370,#151381,#73020,.T.); #183758=EDGE_CURVE('',#151381,#151247,#73021,.T.); #183759=EDGE_CURVE('',#151380,#151381,#73022,.T.); #183760=EDGE_CURVE('',#151230,#151226,#64392,.T.); #183761=EDGE_CURVE('',#151226,#151290,#73023,.T.); #183762=EDGE_CURVE('',#151289,#151227,#64393,.T.); #183763=EDGE_CURVE('',#151228,#151340,#73024,.T.); #183764=EDGE_CURVE('',#151382,#151209,#73025,.T.); #183765=EDGE_CURVE('',#151339,#151382,#73026,.T.); #183766=EDGE_CURVE('',#151383,#151384,#73027,.T.); #183767=EDGE_CURVE('',#151383,#151215,#73028,.T.); #183768=EDGE_CURVE('',#151385,#151229,#73029,.T.); #183769=EDGE_CURVE('',#151385,#151211,#73030,.T.); #183770=EDGE_CURVE('',#151384,#151212,#73031,.T.); #183771=EDGE_CURVE('',#151386,#151385,#73032,.T.); #183772=EDGE_CURVE('',#151386,#151213,#73033,.T.); #183773=EDGE_CURVE('',#151225,#151214,#73034,.T.); #183774=EDGE_CURVE('',#151291,#151386,#73035,.T.); #183775=EDGE_CURVE('',#151223,#151358,#73036,.T.); #183776=EDGE_CURVE('',#151219,#151205,#73037,.T.); #183777=EDGE_CURVE('',#151288,#151289,#64394,.T.); #183778=EDGE_CURVE('',#151382,#151387,#64395,.T.); #183779=EDGE_CURVE('',#151387,#151339,#64396,.T.); #183780=EDGE_CURVE('',#151388,#151389,#64397,.T.); #183781=EDGE_CURVE('',#151389,#151390,#73038,.T.); #183782=EDGE_CURVE('',#151390,#151391,#73039,.T.); #183783=EDGE_CURVE('',#151391,#151392,#64398,.T.); #183784=EDGE_CURVE('',#151392,#151388,#73040,.T.); #183785=EDGE_CURVE('',#151393,#151394,#73041,.T.); #183786=EDGE_CURVE('',#151395,#151393,#73042,.T.); #183787=EDGE_CURVE('',#151396,#151395,#73043,.T.); #183788=EDGE_CURVE('',#151396,#151394,#73044,.T.); #183789=EDGE_CURVE('',#151394,#151389,#73045,.T.); #183790=EDGE_CURVE('',#151397,#151396,#73046,.T.); #183791=EDGE_CURVE('',#151397,#151390,#73047,.T.); #183792=EDGE_CURVE('',#151391,#151319,#73048,.T.); #183793=EDGE_CURVE('',#151322,#151397,#73049,.T.); #183794=EDGE_CURVE('',#151398,#151320,#73050,.T.); #183795=EDGE_CURVE('',#151398,#151399,#73051,.T.); #183796=EDGE_CURVE('',#151318,#151399,#73052,.T.); #183797=EDGE_CURVE('',#151399,#151392,#73053,.T.); #183798=EDGE_CURVE('',#151400,#151398,#73054,.T.); #183799=EDGE_CURVE('',#151400,#151401,#73055,.T.); #183800=EDGE_CURVE('',#151388,#151401,#73056,.T.); #183801=EDGE_CURVE('',#151401,#151393,#73057,.T.); #183802=EDGE_CURVE('',#151395,#151400,#73058,.T.); #183803=EDGE_CURVE('',#151402,#151305,#73059,.T.); #183804=EDGE_CURVE('',#151403,#151402,#73060,.T.); #183805=EDGE_CURVE('',#151306,#151403,#73061,.T.); #183806=EDGE_CURVE('',#151403,#151373,#73062,.T.); #183807=EDGE_CURVE('',#151372,#151402,#73063,.T.); #183808=EDGE_CURVE('',#151384,#151404,#64399,.T.); #183809=EDGE_CURVE('',#151404,#151383,#64400,.T.); #183810=EDGE_CURVE('',#151404,#151405,#73064,.T.); #183811=EDGE_CURVE('',#151304,#151405,#64401,.T.); #183812=EDGE_CURVE('',#151405,#151372,#64402,.T.); #183813=EDGE_CURVE('',#151392,#151318,#64403,.T.); #183814=EDGE_CURVE('',#151319,#151406,#64404,.T.); #183815=EDGE_CURVE('',#151406,#151391,#64405,.T.); #183816=EDGE_CURVE('',#151387,#151406,#73065,.T.); #183817=EDGE_CURVE('',#151222,#151407,#73066,.T.); #183818=EDGE_CURVE('',#151389,#151407,#64406,.T.); #183819=EDGE_CURVE('',#151407,#151388,#64407,.T.); #183820=EDGE_CURVE('',#151408,#151409,#73067,.T.); #183821=EDGE_CURVE('',#151408,#151410,#73068,.T.); #183822=EDGE_CURVE('',#151410,#151411,#73069,.T.); #183823=EDGE_CURVE('',#151411,#151409,#73070,.T.); #183824=EDGE_CURVE('',#151409,#151412,#73071,.T.); #183825=EDGE_CURVE('',#151411,#151413,#73072,.T.); #183826=EDGE_CURVE('',#151413,#151412,#73073,.T.); #183827=EDGE_CURVE('',#151413,#151414,#73074,.T.); #183828=EDGE_CURVE('',#151414,#151415,#73075,.T.); #183829=EDGE_CURVE('',#151412,#151415,#73076,.T.); #183830=EDGE_CURVE('',#151416,#151415,#73077,.T.); #183831=EDGE_CURVE('',#151417,#151416,#73078,.T.); #183832=EDGE_CURVE('',#151417,#151418,#73079,.T.); #183833=EDGE_CURVE('',#151418,#151419,#73080,.T.); #183834=EDGE_CURVE('',#151408,#151419,#73081,.T.); #183835=EDGE_CURVE('',#151420,#151421,#73082,.T.); #183836=EDGE_CURVE('',#151422,#151421,#73083,.T.); #183837=EDGE_CURVE('',#151422,#151423,#73084,.T.); #183838=EDGE_CURVE('',#151424,#151423,#73085,.T.); #183839=EDGE_CURVE('',#151424,#151425,#73086,.T.); #183840=EDGE_CURVE('',#151425,#151426,#64408,.T.); #183841=EDGE_CURVE('',#151426,#151427,#73087,.T.); #183842=EDGE_CURVE('',#151427,#151428,#64409,.T.); #183843=EDGE_CURVE('',#151428,#151429,#73088,.T.); #183844=EDGE_CURVE('',#151429,#151420,#64410,.T.); #183845=EDGE_CURVE('',#151414,#151410,#73089,.T.); #183846=EDGE_CURVE('',#151419,#151430,#64411,.T.); #183847=EDGE_CURVE('',#151430,#151431,#73090,.T.); #183848=EDGE_CURVE('',#151431,#151432,#64412,.T.); #183849=EDGE_CURVE('',#151432,#151433,#73091,.T.); #183850=EDGE_CURVE('',#151433,#151416,#64413,.T.); #183851=EDGE_CURVE('',#151434,#151435,#73092,.T.); #183852=EDGE_CURVE('',#151435,#151424,#73093,.T.); #183853=EDGE_CURVE('',#151434,#151423,#73094,.T.); #183854=EDGE_CURVE('',#151436,#151435,#73095,.T.); #183855=EDGE_CURVE('',#151436,#151425,#73096,.T.); #183856=EDGE_CURVE('',#151421,#151437,#73097,.T.); #183857=EDGE_CURVE('',#151437,#151438,#73098,.T.); #183858=EDGE_CURVE('',#151438,#151422,#73099,.T.); #183859=EDGE_CURVE('',#151420,#151439,#73100,.T.); #183860=EDGE_CURVE('',#151437,#151439,#73101,.T.); #183861=EDGE_CURVE('',#151440,#151441,#64414,.T.); #183862=EDGE_CURVE('',#151442,#151440,#73102,.T.); #183863=EDGE_CURVE('',#151418,#151442,#64415,.T.); #183864=EDGE_CURVE('',#151443,#151417,#64416,.T.); #183865=EDGE_CURVE('',#151441,#151443,#73103,.T.); #183866=EDGE_CURVE('',#151434,#151438,#73104,.T.); #183867=EDGE_CURVE('',#151439,#151444,#64417,.T.); #183868=EDGE_CURVE('',#151444,#151445,#73105,.T.); #183869=EDGE_CURVE('',#151445,#151446,#64418,.T.); #183870=EDGE_CURVE('',#151446,#151447,#73106,.T.); #183871=EDGE_CURVE('',#151447,#151436,#64419,.T.); #183872=EDGE_CURVE('',#151441,#151432,#73107,.T.); #183873=EDGE_CURVE('',#151440,#151431,#73108,.T.); #183874=EDGE_CURVE('',#151433,#151443,#73109,.T.); #183875=EDGE_CURVE('',#151446,#151427,#73110,.T.); #183876=EDGE_CURVE('',#151447,#151426,#73111,.T.); #183877=EDGE_CURVE('',#151445,#151428,#73112,.T.); #183878=EDGE_CURVE('',#151444,#151429,#73113,.T.); #183879=EDGE_CURVE('',#151442,#151430,#73114,.T.); #183880=EDGE_CURVE('',#151448,#151449,#73115,.T.); #183881=EDGE_CURVE('',#151450,#151449,#73116,.T.); #183882=EDGE_CURVE('',#151450,#151451,#73117,.T.); #183883=EDGE_CURVE('',#151451,#151448,#73118,.T.); #183884=EDGE_CURVE('',#151452,#151451,#73119,.T.); #183885=EDGE_CURVE('',#151452,#151453,#73120,.T.); #183886=EDGE_CURVE('',#151448,#151453,#73121,.T.); #183887=EDGE_CURVE('',#151450,#151454,#73122,.T.); #183888=EDGE_CURVE('',#151454,#151455,#64420,.T.); #183889=EDGE_CURVE('',#151455,#151456,#73123,.T.); #183890=EDGE_CURVE('',#151456,#151457,#64421,.T.); #183891=EDGE_CURVE('',#151457,#151458,#73124,.T.); #183892=EDGE_CURVE('',#151458,#151459,#64422,.T.); #183893=EDGE_CURVE('',#151459,#151460,#73125,.T.); #183894=EDGE_CURVE('',#151461,#151460,#73126,.T.); #183895=EDGE_CURVE('',#151461,#151462,#73127,.T.); #183896=EDGE_CURVE('',#151462,#151463,#73128,.T.); #183897=EDGE_CURVE('',#151463,#151464,#73129,.T.); #183898=EDGE_CURVE('',#151464,#151452,#73130,.T.); #183899=EDGE_CURVE('',#151465,#151466,#73131,.T.); #183900=EDGE_CURVE('',#151466,#151461,#73132,.T.); #183901=EDGE_CURVE('',#151460,#151465,#73133,.T.); #183902=EDGE_CURVE('',#151467,#151466,#73134,.T.); #183903=EDGE_CURVE('',#151467,#151462,#73135,.T.); #183904=EDGE_CURVE('',#151463,#151468,#73136,.T.); #183905=EDGE_CURVE('',#151469,#151468,#73137,.T.); #183906=EDGE_CURVE('',#151464,#151469,#73138,.T.); #183907=EDGE_CURVE('',#151453,#151469,#73139,.T.); #183908=EDGE_CURVE('',#151468,#151467,#73140,.T.); #183909=EDGE_CURVE('',#151470,#151449,#73141,.T.); #183910=EDGE_CURVE('',#151454,#151470,#73142,.T.); #183911=EDGE_CURVE('',#151471,#151470,#64423,.T.); #183912=EDGE_CURVE('',#151455,#151471,#73143,.T.); #183913=EDGE_CURVE('',#151472,#151471,#73144,.T.); #183914=EDGE_CURVE('',#151456,#151472,#73145,.T.); #183915=EDGE_CURVE('',#151473,#151472,#64424,.T.); #183916=EDGE_CURVE('',#151457,#151473,#73146,.T.); #183917=EDGE_CURVE('',#151474,#151473,#73147,.T.); #183918=EDGE_CURVE('',#151458,#151474,#73148,.T.); #183919=EDGE_CURVE('',#151475,#151474,#64425,.T.); #183920=EDGE_CURVE('',#151459,#151475,#73149,.T.); #183921=EDGE_CURVE('',#151465,#151475,#73150,.T.); #183922=EDGE_CURVE('',#151476,#151477,#73151,.T.); #183923=EDGE_CURVE('',#151478,#151477,#73152,.T.); #183924=EDGE_CURVE('',#151479,#151478,#73153,.T.); #183925=EDGE_CURVE('',#151479,#151480,#73154,.T.); #183926=EDGE_CURVE('',#151480,#151476,#8054,.T.); #183927=EDGE_CURVE('',#151481,#151481,#64426,.T.); #183928=EDGE_CURVE('',#151481,#151482,#73155,.T.); #183929=EDGE_CURVE('',#151483,#151482,#8055,.T.); #183930=EDGE_CURVE('',#151484,#151483,#8056,.T.); #183931=EDGE_CURVE('',#151485,#151484,#8057,.T.); #183932=EDGE_CURVE('',#151486,#151485,#8058,.T.); #183933=EDGE_CURVE('',#151487,#151486,#8059,.T.); #183934=EDGE_CURVE('',#151488,#151487,#8060,.T.); #183935=EDGE_CURVE('',#151489,#151488,#8061,.T.); #183936=EDGE_CURVE('',#151490,#151489,#8062,.T.); #183937=EDGE_CURVE('',#151491,#151490,#8063,.T.); #183938=EDGE_CURVE('',#151492,#151491,#8064,.T.); #183939=EDGE_CURVE('',#151493,#151492,#8065,.T.); #183940=EDGE_CURVE('',#151494,#151493,#8066,.T.); #183941=EDGE_CURVE('',#151482,#151494,#8067,.T.); #183942=EDGE_CURVE('',#151493,#151495,#73156,.T.); #183943=EDGE_CURVE('',#151496,#151495,#73157,.T.); #183944=EDGE_CURVE('',#151497,#151496,#73158,.T.); #183945=EDGE_CURVE('',#151494,#151497,#73159,.T.); #183946=EDGE_CURVE('',#151492,#151498,#73160,.T.); #183947=EDGE_CURVE('',#151499,#151498,#73161,.T.); #183948=EDGE_CURVE('',#151495,#151499,#73162,.T.); #183949=EDGE_CURVE('',#151491,#151500,#73163,.T.); #183950=EDGE_CURVE('',#151501,#151500,#73164,.T.); #183951=EDGE_CURVE('',#151498,#151501,#73165,.T.); #183952=EDGE_CURVE('',#151490,#151502,#73166,.T.); #183953=EDGE_CURVE('',#151503,#151502,#73167,.T.); #183954=EDGE_CURVE('',#151500,#151503,#73168,.T.); #183955=EDGE_CURVE('',#151489,#151504,#73169,.T.); #183956=EDGE_CURVE('',#151505,#151504,#73170,.T.); #183957=EDGE_CURVE('',#151502,#151505,#73171,.T.); #183958=EDGE_CURVE('',#151488,#151506,#73172,.T.); #183959=EDGE_CURVE('',#151507,#151506,#73173,.T.); #183960=EDGE_CURVE('',#151504,#151507,#73174,.T.); #183961=EDGE_CURVE('',#151487,#151508,#73175,.T.); #183962=EDGE_CURVE('',#151509,#151508,#73176,.T.); #183963=EDGE_CURVE('',#151506,#151509,#73177,.T.); #183964=EDGE_CURVE('',#151486,#151510,#73178,.T.); #183965=EDGE_CURVE('',#151511,#151510,#73179,.T.); #183966=EDGE_CURVE('',#151508,#151511,#73180,.T.); #183967=EDGE_CURVE('',#151485,#151512,#73181,.T.); #183968=EDGE_CURVE('',#151513,#151512,#73182,.T.); #183969=EDGE_CURVE('',#151510,#151513,#73183,.T.); #183970=EDGE_CURVE('',#151484,#151514,#73184,.T.); #183971=EDGE_CURVE('',#151515,#151514,#73185,.T.); #183972=EDGE_CURVE('',#151512,#151515,#73186,.T.); #183973=EDGE_CURVE('',#151483,#151516,#73187,.T.); #183974=EDGE_CURVE('',#151517,#151516,#73188,.T.); #183975=EDGE_CURVE('',#151514,#151517,#73189,.T.); #183976=EDGE_CURVE('',#151518,#151518,#64427,.T.); #183977=EDGE_CURVE('',#151518,#151481,#73190,.T.); #183978=EDGE_CURVE('',#151501,#151519,#3179,.T.); #183979=EDGE_CURVE('',#151519,#151498,#73191,.T.); #183980=EDGE_CURVE('',#151519,#151499,#3180,.T.); #183981=EDGE_CURVE('',#151499,#151520,#3181,.T.); #183982=EDGE_CURVE('',#151520,#151495,#73192,.T.); #183983=EDGE_CURVE('',#151520,#151496,#3182,.T.); #183984=EDGE_CURVE('',#151496,#151521,#3183,.T.); #183985=EDGE_CURVE('',#151521,#151497,#73193,.T.); #183986=EDGE_CURVE('',#151521,#151522,#3184,.T.); #183987=EDGE_CURVE('',#151522,#151497,#73194,.T.); #183988=EDGE_CURVE('',#151516,#151522,#73195,.T.); #183989=EDGE_CURVE('',#151522,#151523,#3185,.T.); #183990=EDGE_CURVE('',#151523,#151516,#73196,.T.); #183991=EDGE_CURVE('',#151523,#151517,#3186,.T.); #183992=EDGE_CURVE('',#151517,#151524,#3187,.T.); #183993=EDGE_CURVE('',#151524,#151514,#73197,.T.); #183994=EDGE_CURVE('',#151524,#151515,#3188,.T.); #183995=EDGE_CURVE('',#151515,#151525,#3189,.T.); #183996=EDGE_CURVE('',#151525,#151512,#73198,.T.); #183997=EDGE_CURVE('',#151525,#151513,#3190,.T.); #183998=EDGE_CURVE('',#151513,#151526,#3191,.T.); #183999=EDGE_CURVE('',#151526,#151510,#73199,.T.); #184000=EDGE_CURVE('',#151526,#151511,#3192,.T.); #184001=EDGE_CURVE('',#151511,#151527,#3193,.T.); #184002=EDGE_CURVE('',#151527,#151508,#73200,.T.); #184003=EDGE_CURVE('',#151527,#151509,#3194,.T.); #184004=EDGE_CURVE('',#151509,#151528,#3195,.T.); #184005=EDGE_CURVE('',#151528,#151506,#73201,.T.); #184006=EDGE_CURVE('',#151528,#151507,#3196,.T.); #184007=EDGE_CURVE('',#151507,#151529,#3197,.T.); #184008=EDGE_CURVE('',#151529,#151504,#73202,.T.); #184009=EDGE_CURVE('',#151529,#151505,#3198,.T.); #184010=EDGE_CURVE('',#151505,#151530,#3199,.T.); #184011=EDGE_CURVE('',#151530,#151502,#73203,.T.); #184012=EDGE_CURVE('',#151530,#151503,#3200,.T.); #184013=EDGE_CURVE('',#151503,#151531,#3201,.T.); #184014=EDGE_CURVE('',#151531,#151500,#73204,.T.); #184015=EDGE_CURVE('',#151501,#151531,#3202,.F.); #184016=EDGE_CURVE('',#151522,#151532,#73205,.T.); #184017=EDGE_CURVE('',#151532,#151532,#64428,.T.); #184018=EDGE_CURVE('',#151533,#151534,#63647,.T.); #184019=EDGE_CURVE('',#151534,#151535,#64429,.T.); #184020=EDGE_CURVE('',#151535,#151536,#73206,.T.); #184021=EDGE_CURVE('',#151536,#151533,#64430,.T.); #184022=EDGE_CURVE('',#151535,#151537,#73207,.T.); #184023=EDGE_CURVE('',#151537,#151538,#73208,.T.); #184024=EDGE_CURVE('',#151538,#151536,#73209,.T.); #184025=EDGE_CURVE('',#151539,#151540,#73210,.T.); #184026=EDGE_CURVE('',#151540,#151538,#64431,.T.); #184027=EDGE_CURVE('',#151537,#151539,#64432,.T.); #184028=EDGE_CURVE('',#151539,#151541,#73211,.T.); #184029=EDGE_CURVE('',#151541,#151542,#73212,.T.); #184030=EDGE_CURVE('',#151542,#151540,#73213,.T.); #184031=EDGE_CURVE('',#151543,#151544,#73214,.T.); #184032=EDGE_CURVE('',#151544,#151542,#64433,.T.); #184033=EDGE_CURVE('',#151541,#151543,#64434,.T.); #184034=EDGE_CURVE('',#151543,#151545,#73215,.T.); #184035=EDGE_CURVE('',#151545,#151546,#73216,.T.); #184036=EDGE_CURVE('',#151546,#151544,#73217,.T.); #184037=EDGE_CURVE('',#151547,#151548,#63648,.T.); #184038=EDGE_CURVE('',#151548,#151546,#64435,.T.); #184039=EDGE_CURVE('',#151545,#151547,#64436,.T.); #184040=EDGE_CURVE('',#151549,#151534,#64437,.T.); #184041=EDGE_CURVE('',#151550,#151549,#64438,.T.); #184042=EDGE_CURVE('',#151551,#151550,#73218,.T.); #184043=EDGE_CURVE('',#151552,#151551,#64439,.T.); #184044=EDGE_CURVE('',#151553,#151552,#73219,.T.); #184045=EDGE_CURVE('',#151554,#151553,#64440,.T.); #184046=EDGE_CURVE('',#151555,#151554,#73220,.T.); #184047=EDGE_CURVE('',#151556,#151555,#64441,.T.); #184048=EDGE_CURVE('',#151547,#151556,#64442,.T.); #184049=EDGE_CURVE('',#151557,#151556,#63649,.T.); #184050=EDGE_CURVE('',#151555,#151558,#73221,.T.); #184051=EDGE_CURVE('',#151558,#151557,#64443,.T.); #184052=EDGE_CURVE('',#151554,#151559,#73222,.T.); #184053=EDGE_CURVE('',#151559,#151558,#73223,.T.); #184054=EDGE_CURVE('',#151553,#151560,#73224,.T.); #184055=EDGE_CURVE('',#151560,#151559,#64444,.T.); #184056=EDGE_CURVE('',#151552,#151561,#73225,.T.); #184057=EDGE_CURVE('',#151561,#151560,#73226,.T.); #184058=EDGE_CURVE('',#151551,#151562,#73227,.T.); #184059=EDGE_CURVE('',#151562,#151561,#64445,.T.); #184060=EDGE_CURVE('',#151550,#151563,#73228,.T.); #184061=EDGE_CURVE('',#151563,#151562,#73229,.T.); #184062=EDGE_CURVE('',#151549,#151564,#63650,.T.); #184063=EDGE_CURVE('',#151564,#151563,#64446,.T.); #184064=EDGE_CURVE('',#151565,#151566,#63651,.T.); #184065=EDGE_CURVE('',#151566,#151567,#64447,.T.); #184066=EDGE_CURVE('',#151567,#151568,#73230,.T.); #184067=EDGE_CURVE('',#151568,#151565,#64448,.T.); #184068=EDGE_CURVE('',#151567,#151569,#73231,.T.); #184069=EDGE_CURVE('',#151569,#151570,#73232,.T.); #184070=EDGE_CURVE('',#151570,#151568,#73233,.T.); #184071=EDGE_CURVE('',#151571,#151572,#73234,.T.); #184072=EDGE_CURVE('',#151572,#151570,#64449,.T.); #184073=EDGE_CURVE('',#151569,#151571,#64450,.T.); #184074=EDGE_CURVE('',#151571,#151573,#73235,.T.); #184075=EDGE_CURVE('',#151573,#151574,#73236,.T.); #184076=EDGE_CURVE('',#151574,#151572,#73237,.T.); #184077=EDGE_CURVE('',#151575,#151576,#73238,.T.); #184078=EDGE_CURVE('',#151576,#151574,#64451,.T.); #184079=EDGE_CURVE('',#151573,#151575,#64452,.T.); #184080=EDGE_CURVE('',#151575,#151577,#73239,.T.); #184081=EDGE_CURVE('',#151577,#151578,#73240,.T.); #184082=EDGE_CURVE('',#151578,#151576,#73241,.T.); #184083=EDGE_CURVE('',#151579,#151580,#63652,.T.); #184084=EDGE_CURVE('',#151580,#151578,#64453,.T.); #184085=EDGE_CURVE('',#151577,#151579,#64454,.T.); #184086=EDGE_CURVE('',#151581,#151566,#64455,.T.); #184087=EDGE_CURVE('',#151582,#151581,#64456,.T.); #184088=EDGE_CURVE('',#151583,#151582,#73242,.T.); #184089=EDGE_CURVE('',#151584,#151583,#64457,.T.); #184090=EDGE_CURVE('',#151585,#151584,#73243,.T.); #184091=EDGE_CURVE('',#151586,#151585,#64458,.T.); #184092=EDGE_CURVE('',#151587,#151586,#73244,.T.); #184093=EDGE_CURVE('',#151588,#151587,#64459,.T.); #184094=EDGE_CURVE('',#151579,#151588,#64460,.T.); #184095=EDGE_CURVE('',#151589,#151588,#63653,.T.); #184096=EDGE_CURVE('',#151587,#151590,#73245,.T.); #184097=EDGE_CURVE('',#151590,#151589,#64461,.T.); #184098=EDGE_CURVE('',#151586,#151591,#73246,.T.); #184099=EDGE_CURVE('',#151591,#151590,#73247,.T.); #184100=EDGE_CURVE('',#151585,#151592,#73248,.T.); #184101=EDGE_CURVE('',#151592,#151591,#64462,.T.); #184102=EDGE_CURVE('',#151584,#151593,#73249,.T.); #184103=EDGE_CURVE('',#151593,#151592,#73250,.T.); #184104=EDGE_CURVE('',#151583,#151594,#73251,.T.); #184105=EDGE_CURVE('',#151594,#151593,#64463,.T.); #184106=EDGE_CURVE('',#151582,#151595,#73252,.T.); #184107=EDGE_CURVE('',#151595,#151594,#73253,.T.); #184108=EDGE_CURVE('',#151581,#151596,#63654,.T.); #184109=EDGE_CURVE('',#151596,#151595,#64464,.T.); #184110=EDGE_CURVE('',#151597,#151598,#63655,.T.); #184111=EDGE_CURVE('',#151598,#151599,#64465,.T.); #184112=EDGE_CURVE('',#151599,#151600,#73254,.T.); #184113=EDGE_CURVE('',#151600,#151597,#64466,.T.); #184114=EDGE_CURVE('',#151599,#151601,#73255,.T.); #184115=EDGE_CURVE('',#151601,#151602,#73256,.T.); #184116=EDGE_CURVE('',#151602,#151600,#73257,.T.); #184117=EDGE_CURVE('',#151603,#151604,#73258,.T.); #184118=EDGE_CURVE('',#151604,#151602,#64467,.T.); #184119=EDGE_CURVE('',#151601,#151603,#64468,.T.); #184120=EDGE_CURVE('',#151603,#151605,#73259,.T.); #184121=EDGE_CURVE('',#151605,#151606,#73260,.T.); #184122=EDGE_CURVE('',#151606,#151604,#73261,.T.); #184123=EDGE_CURVE('',#151607,#151608,#73262,.T.); #184124=EDGE_CURVE('',#151608,#151606,#64469,.T.); #184125=EDGE_CURVE('',#151605,#151607,#64470,.T.); #184126=EDGE_CURVE('',#151607,#151609,#73263,.T.); #184127=EDGE_CURVE('',#151609,#151610,#73264,.T.); #184128=EDGE_CURVE('',#151610,#151608,#73265,.T.); #184129=EDGE_CURVE('',#151611,#151612,#63656,.T.); #184130=EDGE_CURVE('',#151612,#151610,#64471,.T.); #184131=EDGE_CURVE('',#151609,#151611,#64472,.T.); #184132=EDGE_CURVE('',#151613,#151598,#64473,.T.); #184133=EDGE_CURVE('',#151614,#151613,#64474,.T.); #184134=EDGE_CURVE('',#151615,#151614,#73266,.T.); #184135=EDGE_CURVE('',#151616,#151615,#64475,.T.); #184136=EDGE_CURVE('',#151617,#151616,#73267,.T.); #184137=EDGE_CURVE('',#151618,#151617,#64476,.T.); #184138=EDGE_CURVE('',#151619,#151618,#73268,.T.); #184139=EDGE_CURVE('',#151620,#151619,#64477,.T.); #184140=EDGE_CURVE('',#151611,#151620,#64478,.T.); #184141=EDGE_CURVE('',#151621,#151620,#63657,.T.); #184142=EDGE_CURVE('',#151619,#151622,#73269,.T.); #184143=EDGE_CURVE('',#151622,#151621,#64479,.T.); #184144=EDGE_CURVE('',#151618,#151623,#73270,.T.); #184145=EDGE_CURVE('',#151623,#151622,#73271,.T.); #184146=EDGE_CURVE('',#151617,#151624,#73272,.T.); #184147=EDGE_CURVE('',#151624,#151623,#64480,.T.); #184148=EDGE_CURVE('',#151616,#151625,#73273,.T.); #184149=EDGE_CURVE('',#151625,#151624,#73274,.T.); #184150=EDGE_CURVE('',#151615,#151626,#73275,.T.); #184151=EDGE_CURVE('',#151626,#151625,#64481,.T.); #184152=EDGE_CURVE('',#151614,#151627,#73276,.T.); #184153=EDGE_CURVE('',#151627,#151626,#73277,.T.); #184154=EDGE_CURVE('',#151613,#151628,#63658,.T.); #184155=EDGE_CURVE('',#151628,#151627,#64482,.T.); #184156=EDGE_CURVE('',#151629,#151630,#63659,.T.); #184157=EDGE_CURVE('',#151630,#151631,#64483,.T.); #184158=EDGE_CURVE('',#151631,#151632,#73278,.T.); #184159=EDGE_CURVE('',#151632,#151629,#64484,.T.); #184160=EDGE_CURVE('',#151631,#151633,#73279,.T.); #184161=EDGE_CURVE('',#151633,#151634,#73280,.T.); #184162=EDGE_CURVE('',#151634,#151632,#73281,.T.); #184163=EDGE_CURVE('',#151635,#151636,#73282,.T.); #184164=EDGE_CURVE('',#151636,#151634,#64485,.T.); #184165=EDGE_CURVE('',#151633,#151635,#64486,.T.); #184166=EDGE_CURVE('',#151635,#151637,#73283,.T.); #184167=EDGE_CURVE('',#151637,#151638,#73284,.T.); #184168=EDGE_CURVE('',#151638,#151636,#73285,.T.); #184169=EDGE_CURVE('',#151639,#151640,#73286,.T.); #184170=EDGE_CURVE('',#151640,#151638,#64487,.T.); #184171=EDGE_CURVE('',#151637,#151639,#64488,.T.); #184172=EDGE_CURVE('',#151639,#151641,#73287,.T.); #184173=EDGE_CURVE('',#151641,#151642,#73288,.T.); #184174=EDGE_CURVE('',#151642,#151640,#73289,.T.); #184175=EDGE_CURVE('',#151643,#151644,#63660,.T.); #184176=EDGE_CURVE('',#151644,#151642,#64489,.T.); #184177=EDGE_CURVE('',#151641,#151643,#64490,.T.); #184178=EDGE_CURVE('',#151645,#151630,#64491,.T.); #184179=EDGE_CURVE('',#151646,#151645,#64492,.T.); #184180=EDGE_CURVE('',#151647,#151646,#73290,.T.); #184181=EDGE_CURVE('',#151648,#151647,#64493,.T.); #184182=EDGE_CURVE('',#151649,#151648,#73291,.T.); #184183=EDGE_CURVE('',#151650,#151649,#64494,.T.); #184184=EDGE_CURVE('',#151651,#151650,#73292,.T.); #184185=EDGE_CURVE('',#151652,#151651,#64495,.T.); #184186=EDGE_CURVE('',#151643,#151652,#64496,.T.); #184187=EDGE_CURVE('',#151653,#151652,#63661,.T.); #184188=EDGE_CURVE('',#151651,#151654,#73293,.T.); #184189=EDGE_CURVE('',#151654,#151653,#64497,.T.); #184190=EDGE_CURVE('',#151650,#151655,#73294,.T.); #184191=EDGE_CURVE('',#151655,#151654,#73295,.T.); #184192=EDGE_CURVE('',#151649,#151656,#73296,.T.); #184193=EDGE_CURVE('',#151656,#151655,#64498,.T.); #184194=EDGE_CURVE('',#151648,#151657,#73297,.T.); #184195=EDGE_CURVE('',#151657,#151656,#73298,.T.); #184196=EDGE_CURVE('',#151647,#151658,#73299,.T.); #184197=EDGE_CURVE('',#151658,#151657,#64499,.T.); #184198=EDGE_CURVE('',#151646,#151659,#73300,.T.); #184199=EDGE_CURVE('',#151659,#151658,#73301,.T.); #184200=EDGE_CURVE('',#151645,#151660,#63662,.T.); #184201=EDGE_CURVE('',#151660,#151659,#64500,.T.); #184202=EDGE_CURVE('',#151544,#151634,#73302,.T.); #184203=EDGE_CURVE('',#151636,#151542,#73303,.T.); #184204=EDGE_CURVE('',#151632,#151546,#73304,.T.); #184205=EDGE_CURVE('',#151540,#151638,#73305,.T.); #184206=EDGE_CURVE('',#151640,#151538,#73306,.T.); #184207=EDGE_CURVE('',#151536,#151642,#73307,.T.); #184208=EDGE_CURVE('',#151594,#151623,#73308,.T.); #184209=EDGE_CURVE('',#151624,#151593,#73309,.T.); #184210=EDGE_CURVE('',#151622,#151595,#73310,.T.); #184211=EDGE_CURVE('',#151592,#151625,#73311,.T.); #184212=EDGE_CURVE('',#151626,#151591,#73312,.T.); #184213=EDGE_CURVE('',#151590,#151627,#73313,.T.); #184214=EDGE_CURVE('',#151572,#151606,#73314,.T.); #184215=EDGE_CURVE('',#151608,#151570,#73315,.T.); #184216=EDGE_CURVE('',#151604,#151574,#73316,.T.); #184217=EDGE_CURVE('',#151576,#151602,#73317,.T.); #184218=EDGE_CURVE('',#151600,#151578,#73318,.T.); #184219=EDGE_CURVE('',#151596,#151621,#73319,.T.); #184220=EDGE_CURVE('',#151580,#151597,#73320,.T.); #184221=EDGE_CURVE('',#151568,#151610,#73321,.T.); #184222=EDGE_CURVE('',#151612,#151565,#73322,.T.); #184223=EDGE_CURVE('',#151628,#151589,#73323,.T.); #184224=EDGE_CURVE('',#151562,#151655,#73324,.T.); #184225=EDGE_CURVE('',#151656,#151561,#73325,.T.); #184226=EDGE_CURVE('',#151654,#151563,#73326,.T.); #184227=EDGE_CURVE('',#151560,#151657,#73327,.T.); #184228=EDGE_CURVE('',#151658,#151559,#73328,.T.); #184229=EDGE_CURVE('',#151548,#151629,#73329,.T.); #184230=EDGE_CURVE('',#151564,#151653,#73330,.T.); #184231=EDGE_CURVE('',#151558,#151659,#73331,.T.); #184232=EDGE_CURVE('',#151660,#151557,#73332,.T.); #184233=EDGE_CURVE('',#151644,#151533,#73333,.T.); #184234=EDGE_CURVE('',#151661,#151662,#63663,.T.); #184235=EDGE_CURVE('',#151661,#151478,#64501,.T.); #184236=EDGE_CURVE('',#151663,#151478,#73334,.T.); #184237=EDGE_CURVE('',#151664,#151663,#64502,.T.); #184238=EDGE_CURVE('',#151664,#151665,#73335,.T.); #184239=EDGE_CURVE('',#151665,#151662,#64503,.T.); #184240=EDGE_CURVE('',#151662,#151666,#63664,.F.); #184241=EDGE_CURVE('',#151666,#151667,#64504,.T.); #184242=EDGE_CURVE('',#151661,#151667,#63665,.T.); #184243=EDGE_CURVE('',#151668,#151669,#63666,.T.); #184244=EDGE_CURVE('',#151670,#151668,#63667,.T.); #184245=EDGE_CURVE('',#151671,#151670,#73336,.T.); #184246=EDGE_CURVE('',#151672,#151671,#63668,.T.); #184247=EDGE_CURVE('',#151673,#151672,#64505,.T.); #184248=EDGE_CURVE('',#151674,#151673,#63669,.T.); #184249=EDGE_CURVE('',#151675,#151674,#73337,.T.); #184250=EDGE_CURVE('',#151676,#151675,#63670,.T.); #184251=EDGE_CURVE('',#151677,#151676,#73338,.T.); #184252=EDGE_CURVE('',#151673,#151677,#63671,.T.); #184253=EDGE_CURVE('',#151678,#151673,#64506,.T.); #184254=EDGE_CURVE('',#151679,#151678,#63672,.T.); #184255=EDGE_CURVE('',#151680,#151679,#73339,.T.); #184256=EDGE_CURVE('',#151681,#151680,#63673,.T.); #184257=EDGE_CURVE('',#151682,#151681,#73340,.T.); #184258=EDGE_CURVE('',#151678,#151682,#63674,.T.); #184259=EDGE_CURVE('',#151683,#151678,#64507,.T.); #184260=EDGE_CURVE('',#151684,#151683,#63675,.T.); #184261=EDGE_CURVE('',#151685,#151684,#73341,.T.); #184262=EDGE_CURVE('',#151686,#151685,#63676,.T.); #184263=EDGE_CURVE('',#151687,#151686,#73342,.T.); #184264=EDGE_CURVE('',#151683,#151687,#63677,.T.); #184265=EDGE_CURVE('',#151688,#151683,#64508,.T.); #184266=EDGE_CURVE('',#151689,#151688,#63678,.T.); #184267=EDGE_CURVE('',#151690,#151689,#73343,.T.); #184268=EDGE_CURVE('',#151691,#151690,#63679,.T.); #184269=EDGE_CURVE('',#151692,#151691,#73344,.T.); #184270=EDGE_CURVE('',#151688,#151692,#63680,.T.); #184271=EDGE_CURVE('',#151693,#151688,#64509,.T.); #184272=EDGE_CURVE('',#151694,#151693,#63681,.T.); #184273=EDGE_CURVE('',#151695,#151694,#73345,.T.); #184274=EDGE_CURVE('',#151696,#151695,#63682,.T.); #184275=EDGE_CURVE('',#151697,#151696,#73346,.T.); #184276=EDGE_CURVE('',#151693,#151697,#63683,.T.); #184277=EDGE_CURVE('',#151698,#151693,#64510,.T.); #184278=EDGE_CURVE('',#151699,#151698,#63684,.T.); #184279=EDGE_CURVE('',#151700,#151699,#73347,.T.); #184280=EDGE_CURVE('',#151701,#151700,#63685,.T.); #184281=EDGE_CURVE('',#151702,#151701,#73348,.T.); #184282=EDGE_CURVE('',#151698,#151702,#63686,.T.); #184283=EDGE_CURVE('',#151703,#151698,#64511,.T.); #184284=EDGE_CURVE('',#151704,#151703,#63687,.T.); #184285=EDGE_CURVE('',#151705,#151704,#73349,.T.); #184286=EDGE_CURVE('',#151706,#151705,#63688,.T.); #184287=EDGE_CURVE('',#151707,#151706,#73350,.T.); #184288=EDGE_CURVE('',#151703,#151707,#63689,.T.); #184289=EDGE_CURVE('',#151708,#151703,#64512,.T.); #184290=EDGE_CURVE('',#151709,#151708,#63690,.T.); #184291=EDGE_CURVE('',#151710,#151709,#73351,.T.); #184292=EDGE_CURVE('',#151711,#151710,#63691,.T.); #184293=EDGE_CURVE('',#151712,#151711,#73352,.T.); #184294=EDGE_CURVE('',#151708,#151712,#63692,.T.); #184295=EDGE_CURVE('',#151713,#151708,#64513,.T.); #184296=EDGE_CURVE('',#151714,#151713,#63693,.T.); #184297=EDGE_CURVE('',#151715,#151714,#73353,.T.); #184298=EDGE_CURVE('',#151716,#151715,#63694,.T.); #184299=EDGE_CURVE('',#151716,#151717,#73354,.T.); #184300=EDGE_CURVE('',#151717,#151718,#64514,.T.); #184301=EDGE_CURVE('',#151667,#151718,#73355,.T.); #184302=EDGE_CURVE('',#151666,#151719,#73356,.T.); #184303=EDGE_CURVE('',#151719,#151717,#64515,.T.); #184304=EDGE_CURVE('',#151720,#151716,#63695,.T.); #184305=EDGE_CURVE('',#151721,#151720,#73357,.T.); #184306=EDGE_CURVE('',#151713,#151721,#63696,.T.); #184307=EDGE_CURVE('',#151722,#151713,#64516,.T.); #184308=EDGE_CURVE('',#151723,#151722,#63697,.T.); #184309=EDGE_CURVE('',#151724,#151723,#73358,.T.); #184310=EDGE_CURVE('',#151725,#151724,#63698,.T.); #184311=EDGE_CURVE('',#151726,#151725,#73359,.T.); #184312=EDGE_CURVE('',#151722,#151726,#63699,.T.); #184313=EDGE_CURVE('',#151727,#151722,#64517,.T.); #184314=EDGE_CURVE('',#151728,#151727,#63700,.T.); #184315=EDGE_CURVE('',#151729,#151728,#73360,.T.); #184316=EDGE_CURVE('',#151730,#151729,#63701,.T.); #184317=EDGE_CURVE('',#151731,#151730,#73361,.T.); #184318=EDGE_CURVE('',#151727,#151731,#63702,.T.); #184319=EDGE_CURVE('',#151732,#151727,#64518,.T.); #184320=EDGE_CURVE('',#151733,#151732,#63703,.T.); #184321=EDGE_CURVE('',#151734,#151733,#73362,.T.); #184322=EDGE_CURVE('',#151735,#151734,#63704,.T.); #184323=EDGE_CURVE('',#151736,#151735,#73363,.T.); #184324=EDGE_CURVE('',#151732,#151736,#63705,.T.); #184325=EDGE_CURVE('',#151737,#151732,#64519,.T.); #184326=EDGE_CURVE('',#151738,#151737,#63706,.T.); #184327=EDGE_CURVE('',#151739,#151738,#73364,.T.); #184328=EDGE_CURVE('',#151740,#151739,#63707,.T.); #184329=EDGE_CURVE('',#151741,#151740,#73365,.T.); #184330=EDGE_CURVE('',#151737,#151741,#63708,.T.); #184331=EDGE_CURVE('',#151742,#151737,#64520,.T.); #184332=EDGE_CURVE('',#151743,#151742,#63709,.T.); #184333=EDGE_CURVE('',#151744,#151743,#73366,.T.); #184334=EDGE_CURVE('',#151745,#151744,#63710,.T.); #184335=EDGE_CURVE('',#151746,#151745,#73367,.T.); #184336=EDGE_CURVE('',#151742,#151746,#63711,.T.); #184337=EDGE_CURVE('',#151747,#151742,#64521,.T.); #184338=EDGE_CURVE('',#151748,#151747,#63712,.T.); #184339=EDGE_CURVE('',#151749,#151748,#73368,.T.); #184340=EDGE_CURVE('',#151750,#151749,#63713,.T.); #184341=EDGE_CURVE('',#151751,#151750,#73369,.T.); #184342=EDGE_CURVE('',#151747,#151751,#63714,.T.); #184343=EDGE_CURVE('',#151672,#151747,#64522,.T.); #184344=EDGE_CURVE('',#151752,#151672,#63715,.T.); #184345=EDGE_CURVE('',#151753,#151752,#73370,.T.); #184346=EDGE_CURVE('',#151669,#151753,#63716,.T.); #184347=EDGE_CURVE('',#151667,#151479,#64523,.T.); #184348=EDGE_CURVE('',#151754,#151476,#64524,.T.); #184349=EDGE_CURVE('',#151477,#151754,#73371,.T.); #184350=EDGE_CURVE('',#151755,#151756,#8068,.T.); #184351=EDGE_CURVE('',#151756,#151757,#73372,.T.); #184352=EDGE_CURVE('',#151757,#151758,#73373,.T.); #184353=EDGE_CURVE('',#151758,#151755,#73374,.T.); #184354=EDGE_CURVE('',#151665,#151759,#64525,.T.); #184355=EDGE_CURVE('',#151759,#151758,#64526,.T.); #184356=EDGE_CURVE('',#151757,#151666,#64527,.T.); #184357=EDGE_CURVE('',#151760,#151759,#73375,.T.); #184358=EDGE_CURVE('',#151761,#151760,#73376,.T.); #184359=EDGE_CURVE('',#151762,#151761,#64528,.T.); #184360=EDGE_CURVE('',#151763,#151762,#73377,.T.); #184361=EDGE_CURVE('',#151755,#151763,#64529,.T.); #184362=EDGE_CURVE('',#151757,#151764,#73378,.T.); #184363=EDGE_CURVE('',#151719,#151764,#63717,.T.); #184364=EDGE_CURVE('',#151764,#151756,#8069,.T.); #184365=EDGE_CURVE('',#151765,#151718,#63718,.T.); #184366=EDGE_CURVE('',#151479,#151765,#73379,.T.); #184367=EDGE_CURVE('',#151480,#151765,#8070,.T.); #184368=EDGE_CURVE('',#151766,#151763,#64530,.T.); #184369=EDGE_CURVE('',#151766,#151767,#64531,.T.); #184370=EDGE_CURVE('',#151768,#151767,#64532,.T.); #184371=EDGE_CURVE('',#151768,#151769,#64533,.T.); #184372=EDGE_CURVE('',#151770,#151769,#64534,.T.); #184373=EDGE_CURVE('',#151770,#151771,#64535,.T.); #184374=EDGE_CURVE('',#151754,#151771,#64536,.T.); #184375=EDGE_CURVE('',#151772,#151665,#64537,.T.); #184376=EDGE_CURVE('',#151759,#151772,#73380,.T.); #184377=EDGE_CURVE('',#151773,#151772,#73381,.T.); #184378=EDGE_CURVE('',#151774,#151773,#73382,.T.); #184379=EDGE_CURVE('',#151760,#151774,#73383,.F.); #184380=EDGE_CURVE('',#151775,#151477,#73384,.F.); #184381=EDGE_CURVE('',#151663,#151775,#73385,.T.); #184382=EDGE_CURVE('',#151773,#151664,#64538,.T.); #184383=EDGE_CURVE('',#151776,#151774,#73386,.F.); #184384=EDGE_CURVE('',#151776,#151761,#73387,.T.); #184385=EDGE_CURVE('',#151754,#151771,#73388,.T.); #184386=EDGE_CURVE('',#151771,#151777,#73389,.T.); #184387=EDGE_CURVE('',#151778,#151777,#73390,.T.); #184388=EDGE_CURVE('',#151775,#151778,#73391,.F.); #184389=EDGE_CURVE('',#151779,#151779,#64539,.T.); #184390=EDGE_CURVE('',#151779,#151780,#73392,.T.); #184391=EDGE_CURVE('',#151780,#151780,#64540,.T.); #184392=EDGE_CURVE('',#151781,#151781,#64541,.T.); #184393=EDGE_CURVE('',#151782,#151782,#64542,.T.); #184394=EDGE_CURVE('',#151782,#151783,#73393,.T.); #184395=EDGE_CURVE('',#151783,#151783,#64543,.T.); #184396=EDGE_CURVE('',#151784,#151784,#64544,.T.); #184397=EDGE_CURVE('',#151785,#151785,#64545,.T.); #184398=EDGE_CURVE('',#151785,#151786,#73394,.T.); #184399=EDGE_CURVE('',#151786,#151786,#64546,.T.); #184400=EDGE_CURVE('',#151787,#151787,#64547,.T.); #184401=EDGE_CURVE('',#151788,#151788,#64548,.T.); #184402=EDGE_CURVE('',#151788,#151789,#73395,.T.); #184403=EDGE_CURVE('',#151789,#151789,#64549,.T.); #184404=EDGE_CURVE('',#151790,#151790,#64550,.T.); #184405=EDGE_CURVE('',#151781,#151791,#73396,.T.); #184406=EDGE_CURVE('',#151791,#151791,#64551,.T.); #184407=EDGE_CURVE('',#151790,#151792,#73397,.T.); #184408=EDGE_CURVE('',#151792,#151792,#64552,.T.); #184409=EDGE_CURVE('',#151787,#151793,#73398,.T.); #184410=EDGE_CURVE('',#151793,#151793,#64553,.T.); #184411=EDGE_CURVE('',#151784,#151794,#73399,.T.); #184412=EDGE_CURVE('',#151794,#151794,#64554,.T.); #184413=EDGE_CURVE('',#151795,#151795,#64555,.T.); #184414=EDGE_CURVE('',#151713,#151532,#73400,.T.); #184415=EDGE_CURVE('',#151796,#151744,#73401,.T.); #184416=EDGE_CURVE('',#151743,#151797,#73402,.T.); #184417=EDGE_CURVE('',#151797,#151796,#73403,.T.); #184418=EDGE_CURVE('',#151798,#151745,#73404,.T.); #184419=EDGE_CURVE('',#151796,#151798,#63719,.T.); #184420=EDGE_CURVE('',#151799,#151746,#73405,.T.); #184421=EDGE_CURVE('',#151798,#151799,#73406,.T.); #184422=EDGE_CURVE('',#151799,#151797,#63720,.T.); #184423=EDGE_CURVE('',#151796,#151800,#73407,.T.); #184424=EDGE_CURVE('',#151801,#151800,#63721,.T.); #184425=EDGE_CURVE('',#151798,#151801,#73408,.T.); #184426=EDGE_CURVE('',#151797,#151802,#73409,.T.); #184427=EDGE_CURVE('',#151800,#151802,#73410,.T.); #184428=EDGE_CURVE('',#151802,#151803,#73411,.T.); #184429=EDGE_CURVE('',#151803,#151804,#73412,.T.); #184430=EDGE_CURVE('',#151804,#151800,#73413,.T.); #184431=EDGE_CURVE('',#151805,#151806,#73414,.T.); #184432=EDGE_CURVE('',#151806,#151803,#63722,.T.); #184433=EDGE_CURVE('',#151802,#151805,#63723,.T.); #184434=EDGE_CURVE('',#151801,#151807,#73415,.T.); #184435=EDGE_CURVE('',#151807,#151806,#73416,.T.); #184436=EDGE_CURVE('',#151805,#151801,#73417,.T.); #184437=EDGE_CURVE('',#151804,#151807,#63724,.T.); #184438=EDGE_CURVE('',#151799,#151805,#73418,.T.); #184439=EDGE_CURVE('',#151795,#151808,#73419,.T.); #184440=EDGE_CURVE('',#151809,#151808,#63725,.T.); #184441=EDGE_CURVE('',#151810,#151809,#73420,.T.); #184442=EDGE_CURVE('',#151811,#151810,#63726,.T.); #184443=EDGE_CURVE('',#151811,#151812,#73421,.T.); #184444=EDGE_CURVE('',#151812,#151812,#64556,.T.); #184445=EDGE_CURVE('',#151813,#151811,#63727,.T.); #184446=EDGE_CURVE('',#151814,#151813,#73422,.T.); #184447=EDGE_CURVE('',#151808,#151814,#63728,.T.); #184448=EDGE_CURVE('',#151815,#151816,#73423,.T.); #184449=EDGE_CURVE('',#151817,#151815,#63729,.T.); #184450=EDGE_CURVE('',#151818,#151817,#73424,.T.); #184451=EDGE_CURVE('',#151816,#151818,#63730,.T.); #184452=EDGE_CURVE('',#151819,#151820,#73425,.T.); #184453=EDGE_CURVE('',#151821,#151819,#63731,.T.); #184454=EDGE_CURVE('',#151822,#151821,#73426,.T.); #184455=EDGE_CURVE('',#151820,#151822,#63732,.T.); #184456=EDGE_CURVE('',#151823,#151824,#73427,.T.); #184457=EDGE_CURVE('',#151825,#151823,#63733,.T.); #184458=EDGE_CURVE('',#151826,#151825,#73428,.T.); #184459=EDGE_CURVE('',#151824,#151826,#63734,.T.); #184460=EDGE_CURVE('',#151827,#151828,#73429,.T.); #184461=EDGE_CURVE('',#151829,#151827,#63735,.T.); #184462=EDGE_CURVE('',#151830,#151829,#73430,.T.); #184463=EDGE_CURVE('',#151828,#151830,#63736,.T.); #184464=EDGE_CURVE('',#151831,#151832,#73431,.T.); #184465=EDGE_CURVE('',#151833,#151831,#63737,.T.); #184466=EDGE_CURVE('',#151834,#151833,#73432,.T.); #184467=EDGE_CURVE('',#151832,#151834,#63738,.T.); #184468=EDGE_CURVE('',#151835,#151836,#73433,.T.); #184469=EDGE_CURVE('',#151837,#151835,#63739,.T.); #184470=EDGE_CURVE('',#151838,#151837,#73434,.T.); #184471=EDGE_CURVE('',#151836,#151838,#63740,.T.); #184472=EDGE_CURVE('',#151839,#151840,#73435,.T.); #184473=EDGE_CURVE('',#151841,#151839,#63741,.T.); #184474=EDGE_CURVE('',#151842,#151841,#73436,.T.); #184475=EDGE_CURVE('',#151840,#151842,#63742,.T.); #184476=EDGE_CURVE('',#151843,#151844,#73437,.T.); #184477=EDGE_CURVE('',#151845,#151843,#63743,.T.); #184478=EDGE_CURVE('',#151846,#151845,#73438,.T.); #184479=EDGE_CURVE('',#151844,#151846,#63744,.T.); #184480=EDGE_CURVE('',#151847,#151848,#73439,.T.); #184481=EDGE_CURVE('',#151849,#151847,#63745,.T.); #184482=EDGE_CURVE('',#151850,#151849,#73440,.T.); #184483=EDGE_CURVE('',#151848,#151850,#63746,.T.); #184484=EDGE_CURVE('',#151851,#151852,#73441,.T.); #184485=EDGE_CURVE('',#151853,#151851,#63747,.T.); #184486=EDGE_CURVE('',#151854,#151853,#73442,.T.); #184487=EDGE_CURVE('',#151852,#151854,#63748,.T.); #184488=EDGE_CURVE('',#151855,#151856,#73443,.T.); #184489=EDGE_CURVE('',#151857,#151855,#63749,.T.); #184490=EDGE_CURVE('',#151858,#151857,#73444,.T.); #184491=EDGE_CURVE('',#151856,#151858,#63750,.T.); #184492=EDGE_CURVE('',#151859,#151860,#73445,.T.); #184493=EDGE_CURVE('',#151861,#151859,#63751,.T.); #184494=EDGE_CURVE('',#151862,#151861,#73446,.T.); #184495=EDGE_CURVE('',#151860,#151862,#63752,.T.); #184496=EDGE_CURVE('',#151863,#151864,#73447,.T.); #184497=EDGE_CURVE('',#151865,#151863,#63753,.T.); #184498=EDGE_CURVE('',#151866,#151865,#73448,.T.); #184499=EDGE_CURVE('',#151864,#151866,#63754,.T.); #184500=EDGE_CURVE('',#151867,#151868,#73449,.T.); #184501=EDGE_CURVE('',#151869,#151867,#63755,.T.); #184502=EDGE_CURVE('',#151870,#151869,#73450,.T.); #184503=EDGE_CURVE('',#151868,#151870,#63756,.T.); #184504=EDGE_CURVE('',#151871,#151749,#73451,.T.); #184505=EDGE_CURVE('',#151748,#151872,#73452,.T.); #184506=EDGE_CURVE('',#151872,#151871,#73453,.T.); #184507=EDGE_CURVE('',#151873,#151750,#73454,.T.); #184508=EDGE_CURVE('',#151871,#151873,#63757,.T.); #184509=EDGE_CURVE('',#151874,#151751,#73455,.T.); #184510=EDGE_CURVE('',#151873,#151874,#73456,.T.); #184511=EDGE_CURVE('',#151874,#151872,#63758,.T.); #184512=EDGE_CURVE('',#151871,#151875,#73457,.T.); #184513=EDGE_CURVE('',#151876,#151875,#63759,.T.); #184514=EDGE_CURVE('',#151873,#151876,#73458,.T.); #184515=EDGE_CURVE('',#151872,#151877,#73459,.T.); #184516=EDGE_CURVE('',#151875,#151877,#73460,.T.); #184517=EDGE_CURVE('',#151877,#151815,#73461,.T.); #184518=EDGE_CURVE('',#151816,#151875,#73462,.T.); #184519=EDGE_CURVE('',#151878,#151817,#73463,.T.); #184520=EDGE_CURVE('',#151877,#151878,#63760,.T.); #184521=EDGE_CURVE('',#151876,#151818,#73464,.T.); #184522=EDGE_CURVE('',#151878,#151876,#73465,.T.); #184523=EDGE_CURVE('',#151874,#151878,#73466,.T.); #184524=EDGE_CURVE('',#151879,#151753,#73467,.T.); #184525=EDGE_CURVE('',#151752,#151880,#73468,.T.); #184526=EDGE_CURVE('',#151880,#151879,#73469,.T.); #184527=EDGE_CURVE('',#151881,#151670,#73470,.T.); #184528=EDGE_CURVE('',#151879,#151881,#63761,.T.); #184529=EDGE_CURVE('',#151882,#151671,#73471,.T.); #184530=EDGE_CURVE('',#151881,#151882,#73472,.T.); #184531=EDGE_CURVE('',#151882,#151880,#63762,.T.); #184532=EDGE_CURVE('',#151879,#151883,#73473,.T.); #184533=EDGE_CURVE('',#151884,#151883,#63763,.T.); #184534=EDGE_CURVE('',#151881,#151884,#73474,.T.); #184535=EDGE_CURVE('',#151880,#151885,#73475,.T.); #184536=EDGE_CURVE('',#151883,#151885,#73476,.T.); #184537=EDGE_CURVE('',#151885,#151867,#73477,.T.); #184538=EDGE_CURVE('',#151868,#151883,#73478,.T.); #184539=EDGE_CURVE('',#151886,#151869,#73479,.T.); #184540=EDGE_CURVE('',#151885,#151886,#63764,.T.); #184541=EDGE_CURVE('',#151884,#151870,#73480,.T.); #184542=EDGE_CURVE('',#151886,#151884,#73481,.T.); #184543=EDGE_CURVE('',#151882,#151886,#73482,.T.); #184544=EDGE_CURVE('',#151887,#151675,#73483,.T.); #184545=EDGE_CURVE('',#151674,#151888,#73484,.T.); #184546=EDGE_CURVE('',#151888,#151887,#73485,.T.); #184547=EDGE_CURVE('',#151889,#151676,#73486,.T.); #184548=EDGE_CURVE('',#151887,#151889,#63765,.T.); #184549=EDGE_CURVE('',#151890,#151677,#73487,.T.); #184550=EDGE_CURVE('',#151889,#151890,#73488,.T.); #184551=EDGE_CURVE('',#151890,#151888,#63766,.T.); #184552=EDGE_CURVE('',#151887,#151891,#73489,.T.); #184553=EDGE_CURVE('',#151892,#151891,#63767,.T.); #184554=EDGE_CURVE('',#151889,#151892,#73490,.T.); #184555=EDGE_CURVE('',#151888,#151893,#73491,.T.); #184556=EDGE_CURVE('',#151891,#151893,#73492,.T.); #184557=EDGE_CURVE('',#151893,#151819,#73493,.T.); #184558=EDGE_CURVE('',#151820,#151891,#73494,.T.); #184559=EDGE_CURVE('',#151894,#151821,#73495,.T.); #184560=EDGE_CURVE('',#151893,#151894,#63768,.T.); #184561=EDGE_CURVE('',#151892,#151822,#73496,.T.); #184562=EDGE_CURVE('',#151894,#151892,#73497,.T.); #184563=EDGE_CURVE('',#151890,#151894,#73498,.T.); #184564=EDGE_CURVE('',#151895,#151680,#73499,.T.); #184565=EDGE_CURVE('',#151679,#151896,#73500,.T.); #184566=EDGE_CURVE('',#151896,#151895,#73501,.T.); #184567=EDGE_CURVE('',#151897,#151681,#73502,.T.); #184568=EDGE_CURVE('',#151895,#151897,#63769,.T.); #184569=EDGE_CURVE('',#151898,#151682,#73503,.T.); #184570=EDGE_CURVE('',#151897,#151898,#73504,.T.); #184571=EDGE_CURVE('',#151898,#151896,#63770,.T.); #184572=EDGE_CURVE('',#151895,#151899,#73505,.T.); #184573=EDGE_CURVE('',#151900,#151899,#63771,.T.); #184574=EDGE_CURVE('',#151897,#151900,#73506,.T.); #184575=EDGE_CURVE('',#151896,#151901,#73507,.T.); #184576=EDGE_CURVE('',#151899,#151901,#73508,.T.); #184577=EDGE_CURVE('',#151901,#151863,#73509,.T.); #184578=EDGE_CURVE('',#151864,#151899,#73510,.T.); #184579=EDGE_CURVE('',#151902,#151865,#73511,.T.); #184580=EDGE_CURVE('',#151901,#151902,#63772,.T.); #184581=EDGE_CURVE('',#151900,#151866,#73512,.T.); #184582=EDGE_CURVE('',#151902,#151900,#73513,.T.); #184583=EDGE_CURVE('',#151898,#151902,#73514,.T.); #184584=EDGE_CURVE('',#151903,#151685,#73515,.T.); #184585=EDGE_CURVE('',#151684,#151904,#73516,.T.); #184586=EDGE_CURVE('',#151904,#151903,#73517,.T.); #184587=EDGE_CURVE('',#151905,#151686,#73518,.T.); #184588=EDGE_CURVE('',#151903,#151905,#63773,.T.); #184589=EDGE_CURVE('',#151906,#151687,#73519,.T.); #184590=EDGE_CURVE('',#151905,#151906,#73520,.T.); #184591=EDGE_CURVE('',#151906,#151904,#63774,.T.); #184592=EDGE_CURVE('',#151903,#151907,#73521,.T.); #184593=EDGE_CURVE('',#151908,#151907,#63775,.T.); #184594=EDGE_CURVE('',#151905,#151908,#73522,.T.); #184595=EDGE_CURVE('',#151904,#151909,#73523,.T.); #184596=EDGE_CURVE('',#151907,#151909,#73524,.T.); #184597=EDGE_CURVE('',#151909,#151823,#73525,.T.); #184598=EDGE_CURVE('',#151824,#151907,#73526,.T.); #184599=EDGE_CURVE('',#151910,#151825,#73527,.T.); #184600=EDGE_CURVE('',#151909,#151910,#63776,.T.); #184601=EDGE_CURVE('',#151908,#151826,#73528,.T.); #184602=EDGE_CURVE('',#151910,#151908,#73529,.T.); #184603=EDGE_CURVE('',#151906,#151910,#73530,.T.); #184604=EDGE_CURVE('',#151911,#151690,#73531,.T.); #184605=EDGE_CURVE('',#151689,#151912,#73532,.T.); #184606=EDGE_CURVE('',#151912,#151911,#73533,.T.); #184607=EDGE_CURVE('',#151913,#151691,#73534,.T.); #184608=EDGE_CURVE('',#151911,#151913,#63777,.T.); #184609=EDGE_CURVE('',#151914,#151692,#73535,.T.); #184610=EDGE_CURVE('',#151913,#151914,#73536,.T.); #184611=EDGE_CURVE('',#151914,#151912,#63778,.T.); #184612=EDGE_CURVE('',#151911,#151915,#73537,.T.); #184613=EDGE_CURVE('',#151916,#151915,#63779,.T.); #184614=EDGE_CURVE('',#151913,#151916,#73538,.T.); #184615=EDGE_CURVE('',#151912,#151917,#73539,.T.); #184616=EDGE_CURVE('',#151915,#151917,#73540,.T.); #184617=EDGE_CURVE('',#151917,#151859,#73541,.T.); #184618=EDGE_CURVE('',#151860,#151915,#73542,.T.); #184619=EDGE_CURVE('',#151918,#151861,#73543,.T.); #184620=EDGE_CURVE('',#151917,#151918,#63780,.T.); #184621=EDGE_CURVE('',#151916,#151862,#73544,.T.); #184622=EDGE_CURVE('',#151918,#151916,#73545,.T.); #184623=EDGE_CURVE('',#151914,#151918,#73546,.T.); #184624=EDGE_CURVE('',#151919,#151695,#73547,.T.); #184625=EDGE_CURVE('',#151694,#151920,#73548,.T.); #184626=EDGE_CURVE('',#151920,#151919,#73549,.T.); #184627=EDGE_CURVE('',#151921,#151696,#73550,.T.); #184628=EDGE_CURVE('',#151919,#151921,#63781,.T.); #184629=EDGE_CURVE('',#151922,#151697,#73551,.T.); #184630=EDGE_CURVE('',#151921,#151922,#73552,.T.); #184631=EDGE_CURVE('',#151922,#151920,#63782,.T.); #184632=EDGE_CURVE('',#151919,#151923,#73553,.T.); #184633=EDGE_CURVE('',#151924,#151923,#63783,.T.); #184634=EDGE_CURVE('',#151921,#151924,#73554,.T.); #184635=EDGE_CURVE('',#151920,#151925,#73555,.T.); #184636=EDGE_CURVE('',#151923,#151925,#73556,.T.); #184637=EDGE_CURVE('',#151925,#151827,#73557,.T.); #184638=EDGE_CURVE('',#151828,#151923,#73558,.T.); #184639=EDGE_CURVE('',#151926,#151829,#73559,.T.); #184640=EDGE_CURVE('',#151925,#151926,#63784,.T.); #184641=EDGE_CURVE('',#151924,#151830,#73560,.T.); #184642=EDGE_CURVE('',#151926,#151924,#73561,.T.); #184643=EDGE_CURVE('',#151922,#151926,#73562,.T.); #184644=EDGE_CURVE('',#151927,#151700,#73563,.T.); #184645=EDGE_CURVE('',#151699,#151928,#73564,.T.); #184646=EDGE_CURVE('',#151928,#151927,#73565,.T.); #184647=EDGE_CURVE('',#151929,#151701,#73566,.T.); #184648=EDGE_CURVE('',#151927,#151929,#63785,.T.); #184649=EDGE_CURVE('',#151930,#151702,#73567,.T.); #184650=EDGE_CURVE('',#151929,#151930,#73568,.T.); #184651=EDGE_CURVE('',#151930,#151928,#63786,.T.); #184652=EDGE_CURVE('',#151927,#151931,#73569,.T.); #184653=EDGE_CURVE('',#151932,#151931,#63787,.T.); #184654=EDGE_CURVE('',#151929,#151932,#73570,.T.); #184655=EDGE_CURVE('',#151928,#151933,#73571,.T.); #184656=EDGE_CURVE('',#151931,#151933,#73572,.T.); #184657=EDGE_CURVE('',#151933,#151855,#73573,.T.); #184658=EDGE_CURVE('',#151856,#151931,#73574,.T.); #184659=EDGE_CURVE('',#151934,#151857,#73575,.T.); #184660=EDGE_CURVE('',#151933,#151934,#63788,.T.); #184661=EDGE_CURVE('',#151932,#151858,#73576,.T.); #184662=EDGE_CURVE('',#151934,#151932,#73577,.T.); #184663=EDGE_CURVE('',#151930,#151934,#73578,.T.); #184664=EDGE_CURVE('',#151935,#151705,#73579,.T.); #184665=EDGE_CURVE('',#151704,#151936,#73580,.T.); #184666=EDGE_CURVE('',#151936,#151935,#73581,.T.); #184667=EDGE_CURVE('',#151937,#151706,#73582,.T.); #184668=EDGE_CURVE('',#151935,#151937,#63789,.T.); #184669=EDGE_CURVE('',#151938,#151707,#73583,.T.); #184670=EDGE_CURVE('',#151937,#151938,#73584,.T.); #184671=EDGE_CURVE('',#151938,#151936,#63790,.T.); #184672=EDGE_CURVE('',#151935,#151939,#73585,.T.); #184673=EDGE_CURVE('',#151940,#151939,#63791,.T.); #184674=EDGE_CURVE('',#151937,#151940,#73586,.T.); #184675=EDGE_CURVE('',#151936,#151941,#73587,.T.); #184676=EDGE_CURVE('',#151939,#151941,#73588,.T.); #184677=EDGE_CURVE('',#151941,#151831,#73589,.T.); #184678=EDGE_CURVE('',#151832,#151939,#73590,.T.); #184679=EDGE_CURVE('',#151942,#151833,#73591,.T.); #184680=EDGE_CURVE('',#151941,#151942,#63792,.T.); #184681=EDGE_CURVE('',#151940,#151834,#73592,.T.); #184682=EDGE_CURVE('',#151942,#151940,#73593,.T.); #184683=EDGE_CURVE('',#151938,#151942,#73594,.T.); #184684=EDGE_CURVE('',#151943,#151710,#73595,.T.); #184685=EDGE_CURVE('',#151709,#151944,#73596,.T.); #184686=EDGE_CURVE('',#151944,#151943,#73597,.T.); #184687=EDGE_CURVE('',#151945,#151711,#73598,.T.); #184688=EDGE_CURVE('',#151943,#151945,#63793,.T.); #184689=EDGE_CURVE('',#151946,#151712,#73599,.T.); #184690=EDGE_CURVE('',#151945,#151946,#73600,.T.); #184691=EDGE_CURVE('',#151946,#151944,#63794,.T.); #184692=EDGE_CURVE('',#151943,#151947,#73601,.T.); #184693=EDGE_CURVE('',#151948,#151947,#63795,.T.); #184694=EDGE_CURVE('',#151945,#151948,#73602,.T.); #184695=EDGE_CURVE('',#151944,#151949,#73603,.T.); #184696=EDGE_CURVE('',#151947,#151949,#73604,.T.); #184697=EDGE_CURVE('',#151949,#151851,#73605,.T.); #184698=EDGE_CURVE('',#151852,#151947,#73606,.T.); #184699=EDGE_CURVE('',#151950,#151853,#73607,.T.); #184700=EDGE_CURVE('',#151949,#151950,#63796,.T.); #184701=EDGE_CURVE('',#151948,#151854,#73608,.T.); #184702=EDGE_CURVE('',#151950,#151948,#73609,.T.); #184703=EDGE_CURVE('',#151946,#151950,#73610,.T.); #184704=EDGE_CURVE('',#151951,#151715,#73611,.T.); #184705=EDGE_CURVE('',#151714,#151952,#73612,.T.); #184706=EDGE_CURVE('',#151952,#151951,#73613,.T.); #184707=EDGE_CURVE('',#151953,#151720,#73614,.T.); #184708=EDGE_CURVE('',#151951,#151953,#63797,.T.); #184709=EDGE_CURVE('',#151954,#151721,#73615,.T.); #184710=EDGE_CURVE('',#151953,#151954,#73616,.T.); #184711=EDGE_CURVE('',#151954,#151952,#63798,.T.); #184712=EDGE_CURVE('',#151951,#151955,#73617,.T.); #184713=EDGE_CURVE('',#151956,#151955,#63799,.T.); #184714=EDGE_CURVE('',#151953,#151956,#73618,.T.); #184715=EDGE_CURVE('',#151952,#151957,#73619,.T.); #184716=EDGE_CURVE('',#151955,#151957,#73620,.T.); #184717=EDGE_CURVE('',#151957,#151814,#73621,.T.); #184718=EDGE_CURVE('',#151813,#151955,#73622,.T.); #184719=EDGE_CURVE('',#151958,#151809,#73623,.T.); #184720=EDGE_CURVE('',#151957,#151958,#63800,.T.); #184721=EDGE_CURVE('',#151956,#151810,#73624,.T.); #184722=EDGE_CURVE('',#151958,#151956,#73625,.T.); #184723=EDGE_CURVE('',#151954,#151958,#73626,.T.); #184724=EDGE_CURVE('',#151959,#151724,#73627,.T.); #184725=EDGE_CURVE('',#151723,#151960,#73628,.T.); #184726=EDGE_CURVE('',#151960,#151959,#73629,.T.); #184727=EDGE_CURVE('',#151961,#151725,#73630,.T.); #184728=EDGE_CURVE('',#151959,#151961,#63801,.T.); #184729=EDGE_CURVE('',#151962,#151726,#73631,.T.); #184730=EDGE_CURVE('',#151961,#151962,#73632,.T.); #184731=EDGE_CURVE('',#151962,#151960,#63802,.T.); #184732=EDGE_CURVE('',#151959,#151963,#73633,.T.); #184733=EDGE_CURVE('',#151964,#151963,#63803,.T.); #184734=EDGE_CURVE('',#151961,#151964,#73634,.T.); #184735=EDGE_CURVE('',#151960,#151965,#73635,.T.); #184736=EDGE_CURVE('',#151963,#151965,#73636,.T.); #184737=EDGE_CURVE('',#151965,#151847,#73637,.T.); #184738=EDGE_CURVE('',#151848,#151963,#73638,.T.); #184739=EDGE_CURVE('',#151966,#151849,#73639,.T.); #184740=EDGE_CURVE('',#151965,#151966,#63804,.T.); #184741=EDGE_CURVE('',#151964,#151850,#73640,.T.); #184742=EDGE_CURVE('',#151966,#151964,#73641,.T.); #184743=EDGE_CURVE('',#151962,#151966,#73642,.T.); #184744=EDGE_CURVE('',#151967,#151729,#73643,.T.); #184745=EDGE_CURVE('',#151728,#151968,#73644,.T.); #184746=EDGE_CURVE('',#151968,#151967,#73645,.T.); #184747=EDGE_CURVE('',#151969,#151730,#73646,.T.); #184748=EDGE_CURVE('',#151967,#151969,#63805,.T.); #184749=EDGE_CURVE('',#151970,#151731,#73647,.T.); #184750=EDGE_CURVE('',#151969,#151970,#73648,.T.); #184751=EDGE_CURVE('',#151970,#151968,#63806,.T.); #184752=EDGE_CURVE('',#151967,#151971,#73649,.T.); #184753=EDGE_CURVE('',#151972,#151971,#63807,.T.); #184754=EDGE_CURVE('',#151969,#151972,#73650,.T.); #184755=EDGE_CURVE('',#151968,#151973,#73651,.T.); #184756=EDGE_CURVE('',#151971,#151973,#73652,.T.); #184757=EDGE_CURVE('',#151973,#151835,#73653,.T.); #184758=EDGE_CURVE('',#151836,#151971,#73654,.T.); #184759=EDGE_CURVE('',#151974,#151837,#73655,.T.); #184760=EDGE_CURVE('',#151973,#151974,#63808,.T.); #184761=EDGE_CURVE('',#151972,#151838,#73656,.T.); #184762=EDGE_CURVE('',#151974,#151972,#73657,.T.); #184763=EDGE_CURVE('',#151970,#151974,#73658,.T.); #184764=EDGE_CURVE('',#151975,#151734,#73659,.T.); #184765=EDGE_CURVE('',#151733,#151976,#73660,.T.); #184766=EDGE_CURVE('',#151976,#151975,#73661,.T.); #184767=EDGE_CURVE('',#151977,#151735,#73662,.T.); #184768=EDGE_CURVE('',#151975,#151977,#63809,.T.); #184769=EDGE_CURVE('',#151978,#151736,#73663,.T.); #184770=EDGE_CURVE('',#151977,#151978,#73664,.T.); #184771=EDGE_CURVE('',#151978,#151976,#63810,.T.); #184772=EDGE_CURVE('',#151975,#151979,#73665,.T.); #184773=EDGE_CURVE('',#151980,#151979,#63811,.T.); #184774=EDGE_CURVE('',#151977,#151980,#73666,.T.); #184775=EDGE_CURVE('',#151976,#151981,#73667,.T.); #184776=EDGE_CURVE('',#151979,#151981,#73668,.T.); #184777=EDGE_CURVE('',#151981,#151843,#73669,.T.); #184778=EDGE_CURVE('',#151844,#151979,#73670,.T.); #184779=EDGE_CURVE('',#151982,#151845,#73671,.T.); #184780=EDGE_CURVE('',#151981,#151982,#63812,.T.); #184781=EDGE_CURVE('',#151980,#151846,#73672,.T.); #184782=EDGE_CURVE('',#151982,#151980,#73673,.T.); #184783=EDGE_CURVE('',#151978,#151982,#73674,.T.); #184784=EDGE_CURVE('',#151983,#151739,#73675,.T.); #184785=EDGE_CURVE('',#151738,#151984,#73676,.T.); #184786=EDGE_CURVE('',#151984,#151983,#73677,.T.); #184787=EDGE_CURVE('',#151985,#151740,#73678,.T.); #184788=EDGE_CURVE('',#151983,#151985,#63813,.T.); #184789=EDGE_CURVE('',#151986,#151741,#73679,.T.); #184790=EDGE_CURVE('',#151985,#151986,#73680,.T.); #184791=EDGE_CURVE('',#151986,#151984,#63814,.T.); #184792=EDGE_CURVE('',#151983,#151987,#73681,.T.); #184793=EDGE_CURVE('',#151988,#151987,#63815,.T.); #184794=EDGE_CURVE('',#151985,#151988,#73682,.T.); #184795=EDGE_CURVE('',#151984,#151989,#73683,.T.); #184796=EDGE_CURVE('',#151987,#151989,#73684,.T.); #184797=EDGE_CURVE('',#151989,#151839,#73685,.T.); #184798=EDGE_CURVE('',#151840,#151987,#73686,.T.); #184799=EDGE_CURVE('',#151990,#151841,#73687,.T.); #184800=EDGE_CURVE('',#151989,#151990,#63816,.T.); #184801=EDGE_CURVE('',#151988,#151842,#73688,.T.); #184802=EDGE_CURVE('',#151990,#151988,#73689,.T.); #184803=EDGE_CURVE('',#151986,#151990,#73690,.T.); #184804=EDGE_CURVE('',#151766,#151763,#73691,.T.); #184805=EDGE_CURVE('',#151991,#151992,#64557,.T.); #184806=EDGE_CURVE('',#151769,#151991,#73692,.T.); #184807=EDGE_CURVE('',#151992,#151768,#73693,.T.); #184808=EDGE_CURVE('',#151993,#151994,#64558,.T.); #184809=EDGE_CURVE('',#151767,#151993,#73694,.T.); #184810=EDGE_CURVE('',#151994,#151766,#73695,.T.); #184811=EDGE_CURVE('',#151995,#151770,#73696,.T.); #184812=EDGE_CURVE('',#151777,#151995,#64559,.T.); #184813=EDGE_CURVE('',#151770,#151769,#73697,.T.); #184814=EDGE_CURVE('',#151768,#151767,#73698,.T.); #184815=EDGE_CURVE('',#151776,#151996,#64560,.T.); #184816=EDGE_CURVE('',#151996,#151762,#73699,.T.); #184817=EDGE_CURVE('',#151997,#151994,#73700,.T.); #184818=EDGE_CURVE('',#151997,#151998,#64561,.T.); #184819=EDGE_CURVE('',#151998,#151993,#73701,.T.); #184820=EDGE_CURVE('',#151997,#151996,#73702,.F.); #184821=EDGE_CURVE('',#151999,#151992,#73703,.T.); #184822=EDGE_CURVE('',#151999,#152000,#64562,.T.); #184823=EDGE_CURVE('',#152000,#151991,#73704,.T.); #184824=EDGE_CURVE('',#151999,#151998,#73705,.F.); #184825=EDGE_CURVE('',#152001,#151995,#73706,.T.); #184826=EDGE_CURVE('',#152001,#151778,#64563,.T.); #184827=EDGE_CURVE('',#152001,#152000,#73707,.F.); #184828=EDGE_CURVE('',#152002,#152002,#64564,.T.); #184829=EDGE_CURVE('',#152002,#152003,#73708,.T.); #184830=EDGE_CURVE('',#152003,#152003,#64565,.T.); #184831=EDGE_CURVE('',#152004,#152004,#64566,.F.); #184832=EDGE_CURVE('',#152004,#152003,#73709,.T.); #184833=EDGE_CURVE('',#152005,#152005,#64567,.T.); #184834=EDGE_CURVE('',#152006,#152006,#64568,.T.); #184835=EDGE_CURVE('',#152007,#152007,#64569,.T.); #184836=EDGE_CURVE('',#152008,#152008,#64570,.T.); #184837=EDGE_CURVE('',#152009,#152009,#64571,.T.); #184838=EDGE_CURVE('',#152010,#152010,#64572,.T.); #184839=EDGE_CURVE('',#152011,#152011,#64573,.T.); #184840=EDGE_CURVE('',#152011,#152012,#73710,.T.); #184841=EDGE_CURVE('',#152012,#152012,#64574,.T.); #184842=EDGE_CURVE('',#152013,#152013,#64575,.T.); #184843=EDGE_CURVE('',#152013,#152014,#73711,.T.); #184844=EDGE_CURVE('',#152014,#152014,#64576,.T.); #184845=EDGE_CURVE('',#152015,#152015,#64577,.T.); #184846=EDGE_CURVE('',#152015,#152016,#73712,.T.); #184847=EDGE_CURVE('',#152016,#152016,#64578,.T.); #184848=EDGE_CURVE('',#152017,#152017,#64579,.T.); #184849=EDGE_CURVE('',#152017,#152018,#73713,.T.); #184850=EDGE_CURVE('',#152018,#152018,#64580,.T.); #184851=EDGE_CURVE('',#152005,#152019,#73714,.T.); #184852=EDGE_CURVE('',#152019,#152019,#64581,.T.); #184853=EDGE_CURVE('',#152006,#152020,#73715,.T.); #184854=EDGE_CURVE('',#152020,#152020,#64582,.T.); #184855=EDGE_CURVE('',#152007,#152021,#73716,.T.); #184856=EDGE_CURVE('',#152021,#152021,#64583,.T.); #184857=EDGE_CURVE('',#152008,#152022,#73717,.T.); #184858=EDGE_CURVE('',#152022,#152022,#64584,.T.); #184859=EDGE_CURVE('',#152009,#152023,#73718,.T.); #184860=EDGE_CURVE('',#152023,#152023,#64585,.T.); #184861=EDGE_CURVE('',#152010,#152024,#73719,.T.); #184862=EDGE_CURVE('',#152024,#152024,#64586,.T.); #184863=EDGE_CURVE('',#152025,#152026,#73720,.T.); #184864=EDGE_CURVE('',#152025,#152027,#64587,.T.); #184865=EDGE_CURVE('',#152027,#152028,#73721,.F.); #184866=EDGE_CURVE('',#152026,#152028,#64588,.T.); #184867=EDGE_CURVE('',#152029,#152029,#64589,.F.); #184868=EDGE_CURVE('',#152029,#152030,#73722,.T.); #184869=EDGE_CURVE('',#152031,#152030,#3203,.T.); #184870=EDGE_CURVE('',#152032,#152031,#3204,.T.); #184871=EDGE_CURVE('',#152033,#152032,#3205,.T.); #184872=EDGE_CURVE('',#152034,#152033,#3206,.T.); #184873=EDGE_CURVE('',#152035,#152034,#3207,.T.); #184874=EDGE_CURVE('',#152036,#152035,#3208,.T.); #184875=EDGE_CURVE('',#152037,#152036,#3209,.T.); #184876=EDGE_CURVE('',#152038,#152037,#3210,.T.); #184877=EDGE_CURVE('',#152039,#152038,#3211,.T.); #184878=EDGE_CURVE('',#152039,#152040,#3212,.T.); #184879=EDGE_CURVE('',#152040,#152041,#3213,.T.); #184880=EDGE_CURVE('',#152042,#152041,#3214,.T.); #184881=EDGE_CURVE('',#152043,#152042,#3215,.T.); #184882=EDGE_CURVE('',#152044,#152043,#3216,.T.); #184883=EDGE_CURVE('',#152045,#152044,#3217,.T.); #184884=EDGE_CURVE('',#152046,#152045,#3218,.T.); #184885=EDGE_CURVE('',#152047,#152046,#3219,.T.); #184886=EDGE_CURVE('',#152048,#152047,#3220,.T.); #184887=EDGE_CURVE('',#152049,#152048,#3221,.T.); #184888=EDGE_CURVE('',#152050,#152049,#3222,.T.); #184889=EDGE_CURVE('',#152051,#152050,#3223,.T.); #184890=EDGE_CURVE('',#152052,#152051,#3224,.T.); #184891=EDGE_CURVE('',#152053,#152052,#3225,.T.); #184892=EDGE_CURVE('',#152030,#152053,#3226,.T.); #184893=EDGE_CURVE('',#152042,#152054,#73723,.T.); #184894=EDGE_CURVE('',#152055,#152054,#73724,.F.); #184895=EDGE_CURVE('',#152055,#152056,#8071,.T.); #184896=EDGE_CURVE('',#152056,#152057,#73725,.F.); #184897=EDGE_CURVE('',#152057,#152042,#73726,.T.); #184898=EDGE_CURVE('',#152038,#152058,#73727,.T.); #184899=EDGE_CURVE('',#152059,#152058,#73728,.T.); #184900=EDGE_CURVE('',#152059,#152060,#8072,.T.); #184901=EDGE_CURVE('',#152060,#152061,#73729,.F.); #184902=EDGE_CURVE('',#152061,#152038,#73730,.T.); #184903=EDGE_CURVE('',#152040,#152061,#73731,.T.); #184904=EDGE_CURVE('',#152060,#152055,#8073,.T.); #184905=EDGE_CURVE('',#152054,#152040,#73732,.T.); #184906=EDGE_CURVE('',#152062,#152063,#8074,.T.); #184907=EDGE_CURVE('',#152064,#152062,#8075,.T.); #184908=EDGE_CURVE('',#152065,#152064,#8076,.T.); #184909=EDGE_CURVE('',#152065,#152066,#73733,.T.); #184910=EDGE_CURVE('',#152066,#152066,#64590,.T.); #184911=EDGE_CURVE('',#152067,#152065,#8077,.T.); #184912=EDGE_CURVE('',#152068,#152067,#8078,.T.); #184913=EDGE_CURVE('',#152069,#152068,#8079,.T.); #184914=EDGE_CURVE('',#152070,#152069,#8080,.T.); #184915=EDGE_CURVE('',#152071,#152070,#8081,.T.); #184916=EDGE_CURVE('',#152056,#152071,#8082,.T.); #184917=EDGE_CURVE('',#152063,#152059,#8083,.T.); #184918=EDGE_CURVE('',#152049,#152072,#73734,.T.); #184919=EDGE_CURVE('',#152050,#152072,#73735,.T.); #184920=EDGE_CURVE('',#152051,#152073,#73736,.T.); #184921=EDGE_CURVE('',#152052,#152073,#73737,.T.); #184922=EDGE_CURVE('',#152053,#152074,#73738,.T.); #184923=EDGE_CURVE('',#152030,#152074,#73739,.T.); #184924=EDGE_CURVE('',#152031,#152075,#73740,.T.); #184925=EDGE_CURVE('',#152032,#152075,#73741,.T.); #184926=EDGE_CURVE('',#152033,#152076,#73742,.T.); #184927=EDGE_CURVE('',#152034,#152076,#73743,.T.); #184928=EDGE_CURVE('',#152035,#152077,#73744,.T.); #184929=EDGE_CURVE('',#152036,#152077,#73745,.T.); #184930=EDGE_CURVE('',#152037,#152058,#73746,.T.); #184931=EDGE_CURVE('',#152039,#152061,#73747,.T.); #184932=EDGE_CURVE('',#152054,#152041,#73748,.T.); #184933=EDGE_CURVE('',#152043,#152057,#73749,.T.); #184934=EDGE_CURVE('',#152044,#152057,#73750,.T.); #184935=EDGE_CURVE('',#152045,#152078,#73751,.T.); #184936=EDGE_CURVE('',#152046,#152078,#73752,.T.); #184937=EDGE_CURVE('',#152047,#152079,#73753,.T.); #184938=EDGE_CURVE('',#152048,#152079,#73754,.T.); #184939=EDGE_CURVE('',#152072,#152048,#73755,.T.); #184940=EDGE_CURVE('',#152073,#152050,#73756,.T.); #184941=EDGE_CURVE('',#152074,#152052,#73757,.T.); #184942=EDGE_CURVE('',#152075,#152030,#73758,.T.); #184943=EDGE_CURVE('',#152076,#152032,#73759,.T.); #184944=EDGE_CURVE('',#152077,#152034,#73760,.T.); #184945=EDGE_CURVE('',#152058,#152036,#73761,.T.); #184946=EDGE_CURVE('',#152078,#152044,#73762,.T.); #184947=EDGE_CURVE('',#152079,#152046,#73763,.T.); #184948=EDGE_CURVE('',#152069,#152072,#73764,.F.); #184949=EDGE_CURVE('',#152068,#152073,#73765,.F.); #184950=EDGE_CURVE('',#152067,#152074,#73766,.F.); #184951=EDGE_CURVE('',#152064,#152075,#73767,.F.); #184952=EDGE_CURVE('',#152062,#152076,#73768,.F.); #184953=EDGE_CURVE('',#152063,#152077,#73769,.F.); #184954=EDGE_CURVE('',#152071,#152078,#73770,.F.); #184955=EDGE_CURVE('',#152070,#152079,#73771,.F.); #184956=EDGE_CURVE('',#152066,#152080,#73772,.T.); #184957=EDGE_CURVE('',#152080,#152080,#64591,.F.); #184958=EDGE_CURVE('',#152081,#152082,#73773,.T.); #184959=EDGE_CURVE('',#152083,#152082,#73774,.T.); #184960=EDGE_CURVE('',#152083,#152084,#73775,.F.); #184961=EDGE_CURVE('',#152084,#152081,#73776,.T.); #184962=EDGE_CURVE('',#152085,#152086,#73777,.T.); #184963=EDGE_CURVE('',#152086,#152087,#73778,.T.); #184964=EDGE_CURVE('',#152087,#152088,#73779,.F.); #184965=EDGE_CURVE('',#152088,#152085,#73780,.T.); #184966=EDGE_CURVE('',#152086,#152089,#73781,.T.); #184967=EDGE_CURVE('',#152090,#152089,#73782,.T.); #184968=EDGE_CURVE('',#152091,#152090,#73783,.F.); #184969=EDGE_CURVE('',#152092,#152091,#73784,.T.); #184970=EDGE_CURVE('',#152093,#152092,#73785,.T.); #184971=EDGE_CURVE('',#152094,#152093,#73786,.T.); #184972=EDGE_CURVE('',#152087,#152094,#73787,.F.); #184973=EDGE_CURVE('',#152089,#152095,#73788,.T.); #184974=EDGE_CURVE('',#152095,#152096,#73789,.T.); #184975=EDGE_CURVE('',#152096,#152090,#73790,.F.); #184976=EDGE_CURVE('',#152097,#152098,#73791,.T.); #184977=EDGE_CURVE('',#152098,#152099,#73792,.T.); #184978=EDGE_CURVE('',#152099,#152100,#73793,.F.); #184979=EDGE_CURVE('',#152100,#152097,#73794,.T.); #184980=EDGE_CURVE('',#152101,#152084,#73795,.F.); #184981=EDGE_CURVE('',#152102,#152101,#73796,.T.); #184982=EDGE_CURVE('',#152102,#152103,#73797,.T.); #184983=EDGE_CURVE('',#152104,#152103,#73798,.T.); #184984=EDGE_CURVE('',#152099,#152104,#73799,.F.); #184985=EDGE_CURVE('',#152098,#152081,#73800,.T.); #184986=EDGE_CURVE('',#152093,#152105,#3227,.T.); #184987=EDGE_CURVE('',#152106,#152105,#73801,.T.); #184988=EDGE_CURVE('',#152107,#152106,#73802,.T.); #184989=EDGE_CURVE('',#152107,#152094,#73803,.F.); #184990=EDGE_CURVE('',#152103,#152092,#64592,.T.); #184991=EDGE_CURVE('',#152105,#152102,#3228,.T.); #184992=EDGE_CURVE('',#152101,#152108,#73804,.F.); #184993=EDGE_CURVE('',#152108,#152109,#73805,.F.); #184994=EDGE_CURVE('',#152105,#152109,#73806,.T.); #184995=EDGE_CURVE('',#152108,#152110,#73807,.T.); #184996=EDGE_CURVE('',#152083,#152100,#73808,.T.); #184997=EDGE_CURVE('',#152104,#152111,#73809,.T.); #184998=EDGE_CURVE('',#152112,#152111,#73810,.T.); #184999=EDGE_CURVE('',#152110,#152112,#73811,.T.); #185000=EDGE_CURVE('',#152113,#152114,#73812,.T.); #185001=EDGE_CURVE('',#152109,#152113,#73813,.T.); #185002=EDGE_CURVE('',#152115,#152110,#73814,.T.); #185003=EDGE_CURVE('',#152115,#152114,#73815,.T.); #185004=EDGE_CURVE('',#152114,#152116,#73816,.T.); #185005=EDGE_CURVE('',#152117,#152115,#73817,.T.); #185006=EDGE_CURVE('',#152117,#152116,#73818,.T.); #185007=EDGE_CURVE('',#152116,#152118,#73819,.T.); #185008=EDGE_CURVE('',#152119,#152117,#73820,.T.); #185009=EDGE_CURVE('',#152119,#152107,#73821,.T.); #185010=EDGE_CURVE('',#152106,#152118,#73822,.T.); #185011=EDGE_CURVE('',#152118,#152113,#73823,.T.); #185012=EDGE_CURVE('',#152082,#152097,#73824,.T.); #185013=EDGE_CURVE('',#152085,#152095,#73825,.T.); #185014=EDGE_CURVE('',#152088,#152096,#73826,.F.); #185015=EDGE_CURVE('',#152120,#152120,#64593,.T.); #185016=EDGE_CURVE('',#152121,#152091,#73827,.T.); #185017=EDGE_CURVE('',#152122,#152121,#73828,.T.); #185018=EDGE_CURVE('',#152123,#152122,#73829,.T.); #185019=EDGE_CURVE('',#152124,#152123,#64594,.T.); #185020=EDGE_CURVE('',#152125,#152124,#73830,.T.); #185021=EDGE_CURVE('',#152126,#152125,#64595,.T.); #185022=EDGE_CURVE('',#152127,#152126,#73831,.T.); #185023=EDGE_CURVE('',#152128,#152127,#64596,.T.); #185024=EDGE_CURVE('',#152129,#152128,#73832,.T.); #185025=EDGE_CURVE('',#152111,#152129,#73833,.T.); #185026=EDGE_CURVE('',#152130,#152119,#73834,.T.); #185027=EDGE_CURVE('',#152130,#152121,#73835,.T.); #185028=EDGE_CURVE('',#152131,#152132,#73836,.T.); #185029=EDGE_CURVE('',#152131,#152133,#73837,.T.); #185030=EDGE_CURVE('',#152134,#152133,#73838,.T.); #185031=EDGE_CURVE('',#152134,#152135,#73839,.T.); #185032=EDGE_CURVE('',#152135,#152136,#73840,.T.); #185033=EDGE_CURVE('',#152136,#152132,#73841,.T.); #185034=EDGE_CURVE('',#152137,#152138,#73842,.T.); #185035=EDGE_CURVE('',#152137,#152139,#73843,.T.); #185036=EDGE_CURVE('',#152140,#152139,#73844,.T.); #185037=EDGE_CURVE('',#152140,#152141,#73845,.T.); #185038=EDGE_CURVE('',#152141,#152142,#73846,.T.); #185039=EDGE_CURVE('',#152142,#152138,#73847,.T.); #185040=EDGE_CURVE('',#152143,#152144,#73848,.T.); #185041=EDGE_CURVE('',#152143,#152145,#73849,.T.); #185042=EDGE_CURVE('',#152146,#152145,#73850,.T.); #185043=EDGE_CURVE('',#152146,#152147,#73851,.T.); #185044=EDGE_CURVE('',#152147,#152148,#73852,.T.); #185045=EDGE_CURVE('',#152148,#152144,#73853,.T.); #185046=EDGE_CURVE('',#152149,#152147,#64597,.T.); #185047=EDGE_CURVE('',#152150,#152149,#73854,.T.); #185048=EDGE_CURVE('',#152148,#152150,#64598,.T.); #185049=EDGE_CURVE('',#152151,#152152,#73855,.T.); #185050=EDGE_CURVE('',#152151,#152153,#73856,.T.); #185051=EDGE_CURVE('',#152154,#152153,#73857,.T.); #185052=EDGE_CURVE('',#152154,#152152,#73858,.T.); #185053=EDGE_CURVE('',#152152,#152155,#64599,.T.); #185054=EDGE_CURVE('',#152155,#152156,#73859,.T.); #185055=EDGE_CURVE('',#152156,#152134,#64600,.T.); #185056=EDGE_CURVE('',#152151,#152133,#64601,.T.); #185057=EDGE_CURVE('',#152157,#152154,#64602,.T.); #185058=EDGE_CURVE('',#152157,#152155,#73860,.T.); #185059=EDGE_CURVE('',#152131,#152153,#64603,.T.); #185060=EDGE_CURVE('',#152132,#152158,#64604,.T.); #185061=EDGE_CURVE('',#152158,#152157,#73861,.T.); #185062=EDGE_CURVE('',#152159,#152160,#73862,.T.); #185063=EDGE_CURVE('',#152159,#152161,#73863,.T.); #185064=EDGE_CURVE('',#152162,#152161,#73864,.T.); #185065=EDGE_CURVE('',#152162,#152160,#73865,.T.); #185066=EDGE_CURVE('',#152160,#152163,#64605,.T.); #185067=EDGE_CURVE('',#152163,#152164,#73866,.T.); #185068=EDGE_CURVE('',#152164,#152140,#64606,.T.); #185069=EDGE_CURVE('',#152159,#152139,#64607,.T.); #185070=EDGE_CURVE('',#152165,#152162,#64608,.T.); #185071=EDGE_CURVE('',#152165,#152163,#73867,.T.); #185072=EDGE_CURVE('',#152137,#152161,#64609,.T.); #185073=EDGE_CURVE('',#152138,#152166,#64610,.T.); #185074=EDGE_CURVE('',#152166,#152165,#73868,.T.); #185075=EDGE_CURVE('',#152167,#152145,#64611,.T.); #185076=EDGE_CURVE('',#152167,#152168,#73869,.T.); #185077=EDGE_CURVE('',#152168,#152169,#64612,.T.); #185078=EDGE_CURVE('',#152169,#152170,#73870,.T.); #185079=EDGE_CURVE('',#152170,#152146,#64613,.T.); #185080=EDGE_CURVE('',#152143,#152171,#64614,.T.); #185081=EDGE_CURVE('',#152167,#152171,#73871,.T.); #185082=EDGE_CURVE('',#152172,#152171,#73872,.T.); #185083=EDGE_CURVE('',#152172,#152168,#73873,.T.); #185084=EDGE_CURVE('',#152144,#152173,#64615,.T.); #185085=EDGE_CURVE('',#152173,#152174,#73874,.T.); #185086=EDGE_CURVE('',#152174,#152172,#64616,.T.); #185087=EDGE_CURVE('',#152175,#152176,#64617,.T.); #185088=EDGE_CURVE('',#152177,#152175,#73875,.T.); #185089=EDGE_CURVE('',#152178,#152177,#64618,.T.); #185090=EDGE_CURVE('',#152178,#152176,#73876,.T.); #185091=EDGE_CURVE('',#152176,#152179,#8084,.T.); #185092=EDGE_CURVE('',#152180,#152178,#8085,.T.); #185093=EDGE_CURVE('',#152156,#152180,#73877,.T.); #185094=EDGE_CURVE('',#152179,#152158,#73878,.T.); #185095=EDGE_CURVE('',#152181,#152182,#64619,.T.); #185096=EDGE_CURVE('',#152181,#152183,#73879,.T.); #185097=EDGE_CURVE('',#152184,#152183,#64620,.T.); #185098=EDGE_CURVE('',#152182,#152184,#73880,.T.); #185099=EDGE_CURVE('',#152185,#152181,#8086,.T.); #185100=EDGE_CURVE('',#152170,#152185,#73881,.T.); #185101=EDGE_CURVE('',#152174,#152169,#73882,.T.); #185102=EDGE_CURVE('',#152186,#152173,#73883,.T.); #185103=EDGE_CURVE('',#152183,#152186,#8087,.T.); #185104=EDGE_CURVE('',#152187,#152188,#64621,.T.); #185105=EDGE_CURVE('',#152189,#152187,#73884,.T.); #185106=EDGE_CURVE('',#152190,#152189,#64622,.T.); #185107=EDGE_CURVE('',#152190,#152188,#73885,.T.); #185108=EDGE_CURVE('',#152188,#152191,#8088,.T.); #185109=EDGE_CURVE('',#152192,#152190,#8089,.T.); #185110=EDGE_CURVE('',#152164,#152192,#73886,.T.); #185111=EDGE_CURVE('',#152191,#152166,#73887,.T.); #185112=EDGE_CURVE('',#152179,#152136,#64623,.T.); #185113=EDGE_CURVE('',#152193,#152194,#73888,.T.); #185114=EDGE_CURVE('',#152195,#152193,#64624,.T.); #185115=EDGE_CURVE('',#152196,#152195,#73889,.T.); #185116=EDGE_CURVE('',#152194,#152196,#64625,.T.); #185117=EDGE_CURVE('',#152193,#152184,#8090,.T.); #185118=EDGE_CURVE('',#152186,#152148,#64626,.T.); #185119=EDGE_CURVE('',#152197,#152150,#63817,.T.); #185120=EDGE_CURVE('',#152198,#152197,#64627,.T.); #185121=EDGE_CURVE('',#152198,#152195,#8091,.T.); #185122=EDGE_CURVE('',#152199,#152187,#8092,.T.); #185123=EDGE_CURVE('',#152191,#152142,#64628,.T.); #185124=EDGE_CURVE('',#152142,#152200,#64629,.T.); #185125=EDGE_CURVE('',#152201,#152200,#63818,.T.); #185126=EDGE_CURVE('',#152202,#152201,#64630,.T.); #185127=EDGE_CURVE('',#152202,#152203,#8093,.T.); #185128=EDGE_CURVE('',#152203,#152199,#64631,.T.); #185129=EDGE_CURVE('',#152141,#152192,#64632,.T.); #185130=EDGE_CURVE('',#152147,#152185,#64633,.T.); #185131=EDGE_CURVE('',#152135,#152180,#64634,.T.); #185132=EDGE_CURVE('',#152204,#152141,#64635,.T.); #185133=EDGE_CURVE('',#152200,#152204,#73890,.T.); #185134=EDGE_CURVE('',#152199,#152205,#73891,.T.); #185135=EDGE_CURVE('',#152206,#152203,#73892,.T.); #185136=EDGE_CURVE('',#152205,#152206,#64636,.T.); #185137=EDGE_CURVE('',#152207,#152135,#64637,.T.); #185138=EDGE_CURVE('',#152208,#152207,#73893,.T.); #185139=EDGE_CURVE('',#152136,#152208,#64638,.T.); #185140=EDGE_CURVE('',#152209,#152210,#73894,.T.); #185141=EDGE_CURVE('',#152211,#152209,#64639,.T.); #185142=EDGE_CURVE('',#152212,#152211,#73895,.T.); #185143=EDGE_CURVE('',#152210,#152212,#64640,.T.); #185144=EDGE_CURVE('',#152213,#152026,#64641,.T.); #185145=EDGE_CURVE('',#152213,#152198,#73896,.F.); #185146=EDGE_CURVE('',#152197,#152214,#73897,.T.); #185147=EDGE_CURVE('',#152214,#152215,#64642,.T.); #185148=EDGE_CURVE('',#152215,#152216,#73898,.F.); #185149=EDGE_CURVE('',#152217,#152216,#64643,.T.); #185150=EDGE_CURVE('',#152217,#152202,#73899,.F.); #185151=EDGE_CURVE('',#152201,#152218,#73900,.T.); #185152=EDGE_CURVE('',#152218,#152219,#64644,.T.); #185153=EDGE_CURVE('',#152219,#152220,#73901,.F.); #185154=EDGE_CURVE('',#152221,#152220,#64645,.T.); #185155=EDGE_CURVE('',#152221,#152222,#73902,.F.); #185156=EDGE_CURVE('',#152222,#152223,#64646,.T.); #185157=EDGE_CURVE('',#152120,#152223,#73903,.T.); #185158=EDGE_CURVE('',#152223,#152025,#73904,.T.); #185159=EDGE_CURVE('',#152205,#152224,#8094,.T.); #185160=EDGE_CURVE('',#152224,#152220,#8095,.T.); #185161=EDGE_CURVE('',#152219,#152189,#8096,.T.); #185162=EDGE_CURVE('',#152217,#152206,#8097,.T.); #185163=EDGE_CURVE('',#152194,#152216,#8098,.T.); #185164=EDGE_CURVE('',#152215,#152182,#8099,.T.); #185165=EDGE_CURVE('',#152213,#152196,#8100,.T.); #185166=EDGE_CURVE('',#152210,#152028,#8101,.T.); #185167=EDGE_CURVE('',#152027,#152177,#8102,.T.); #185168=EDGE_CURVE('',#152209,#152175,#8103,.T.); #185169=EDGE_CURVE('',#152222,#152211,#8104,.T.); #185170=EDGE_CURVE('',#152221,#152212,#8105,.T.); #185171=EDGE_CURVE('',#152223,#152208,#63819,.T.); #185172=EDGE_CURVE('',#152218,#152204,#63820,.T.); #185173=EDGE_CURVE('',#152225,#152225,#64647,.T.); #185174=EDGE_CURVE('',#152225,#152224,#73905,.T.); #185175=EDGE_CURVE('',#152214,#152149,#63821,.T.); #185176=EDGE_CURVE('',#152025,#152207,#63822,.T.); #185177=EDGE_CURVE('',#152226,#152127,#73906,.T.); #185178=EDGE_CURVE('',#152227,#152226,#64648,.T.); #185179=EDGE_CURVE('',#152227,#152128,#73907,.T.); #185180=EDGE_CURVE('',#152228,#152126,#73908,.T.); #185181=EDGE_CURVE('',#152226,#152228,#73909,.T.); #185182=EDGE_CURVE('',#152229,#152125,#73910,.T.); #185183=EDGE_CURVE('',#152228,#152229,#64649,.T.); #185184=EDGE_CURVE('',#152230,#152124,#73911,.T.); #185185=EDGE_CURVE('',#152229,#152230,#73912,.T.); #185186=EDGE_CURVE('',#152231,#152123,#73913,.T.); #185187=EDGE_CURVE('',#152230,#152231,#64650,.T.); #185188=EDGE_CURVE('',#152232,#152122,#73914,.F.); #185189=EDGE_CURVE('',#152231,#152232,#73915,.T.); #185190=EDGE_CURVE('',#152232,#152130,#73916,.T.); #185191=EDGE_CURVE('',#152233,#152129,#73917,.T.); #185192=EDGE_CURVE('',#152112,#152233,#73918,.T.); #185193=EDGE_CURVE('',#152233,#152227,#73919,.T.); #185194=EDGE_CURVE('',#152234,#152234,#64651,.T.); #185195=EDGE_CURVE('',#152234,#152235,#73920,.T.); #185196=EDGE_CURVE('',#152235,#152235,#64652,.T.); #185197=EDGE_CURVE('',#152236,#152236,#64653,.T.); #185198=EDGE_CURVE('',#152236,#152237,#73921,.T.); #185199=EDGE_CURVE('',#152237,#152237,#64654,.T.); #185200=EDGE_CURVE('',#152238,#152238,#64655,.T.); #185201=EDGE_CURVE('',#152238,#152239,#73922,.T.); #185202=EDGE_CURVE('',#152239,#152239,#64656,.T.); #185203=EDGE_CURVE('',#152240,#152240,#64657,.T.); #185204=EDGE_CURVE('',#152240,#152241,#73923,.T.); #185205=EDGE_CURVE('',#152241,#152241,#64658,.T.); #185206=EDGE_CURVE('',#152242,#152242,#64659,.T.); #185207=EDGE_CURVE('',#152242,#152243,#73924,.T.); #185208=EDGE_CURVE('',#152243,#152243,#64660,.T.); #185209=EDGE_CURVE('',#152244,#152244,#64661,.T.); #185210=EDGE_CURVE('',#152244,#152245,#73925,.T.); #185211=EDGE_CURVE('',#152245,#152245,#64662,.T.); #185212=EDGE_CURVE('',#152246,#152246,#64663,.T.); #185213=EDGE_CURVE('',#152246,#152247,#73926,.T.); #185214=EDGE_CURVE('',#152247,#152247,#64664,.T.); #185215=EDGE_CURVE('',#152248,#152248,#64665,.T.); #185216=EDGE_CURVE('',#152248,#152249,#73927,.T.); #185217=EDGE_CURVE('',#152249,#152249,#64666,.T.); #185218=EDGE_CURVE('',#152250,#152250,#64667,.T.); #185219=EDGE_CURVE('',#152250,#152251,#73928,.T.); #185220=EDGE_CURVE('',#152251,#152251,#64668,.T.); #185221=EDGE_CURVE('',#152252,#152252,#64669,.T.); #185222=EDGE_CURVE('',#152252,#152253,#73929,.T.); #185223=EDGE_CURVE('',#152253,#152253,#64670,.T.); #185224=EDGE_CURVE('',#152254,#152254,#64671,.T.); #185225=EDGE_CURVE('',#152254,#152255,#73930,.T.); #185226=EDGE_CURVE('',#152255,#152255,#64672,.T.); #185227=EDGE_CURVE('',#152256,#152256,#64673,.T.); #185228=EDGE_CURVE('',#152256,#152257,#73931,.T.); #185229=EDGE_CURVE('',#152257,#152257,#64674,.T.); #185230=EDGE_CURVE('',#152258,#152258,#64675,.T.); #185231=EDGE_CURVE('',#152258,#152259,#73932,.T.); #185232=EDGE_CURVE('',#152259,#152259,#64676,.T.); #185233=EDGE_CURVE('',#152260,#152260,#64677,.T.); #185234=EDGE_CURVE('',#152260,#152261,#73933,.T.); #185235=EDGE_CURVE('',#152261,#152261,#64678,.T.); #185236=EDGE_CURVE('',#152262,#152262,#64679,.T.); #185237=EDGE_CURVE('',#152262,#152263,#73934,.T.); #185238=EDGE_CURVE('',#152263,#152263,#64680,.T.); #185239=EDGE_CURVE('',#152264,#152264,#64681,.T.); #185240=EDGE_CURVE('',#152264,#152265,#73935,.T.); #185241=EDGE_CURVE('',#152265,#152265,#64682,.T.); #185242=EDGE_CURVE('',#152266,#152266,#64683,.T.); #185243=EDGE_CURVE('',#152266,#152267,#73936,.T.); #185244=EDGE_CURVE('',#152267,#152267,#64684,.T.); #185245=EDGE_CURVE('',#152268,#152268,#64685,.T.); #185246=EDGE_CURVE('',#152268,#152269,#73937,.T.); #185247=EDGE_CURVE('',#152269,#152269,#64686,.T.); #185248=EDGE_CURVE('',#152270,#152270,#64687,.T.); #185249=EDGE_CURVE('',#152270,#152271,#73938,.T.); #185250=EDGE_CURVE('',#152271,#152271,#64688,.T.); #185251=EDGE_CURVE('',#152272,#152272,#64689,.T.); #185252=EDGE_CURVE('',#152272,#152273,#73939,.T.); #185253=EDGE_CURVE('',#152273,#152273,#64690,.T.); #185254=EDGE_CURVE('',#152274,#152274,#64691,.T.); #185255=EDGE_CURVE('',#152274,#152275,#73940,.T.); #185256=EDGE_CURVE('',#152275,#152275,#64692,.T.); #185257=EDGE_CURVE('',#152276,#152276,#64693,.T.); #185258=EDGE_CURVE('',#152276,#152277,#73941,.T.); #185259=EDGE_CURVE('',#152277,#152277,#64694,.T.); #185260=EDGE_CURVE('',#152278,#152278,#64695,.T.); #185261=EDGE_CURVE('',#152278,#152279,#73942,.T.); #185262=EDGE_CURVE('',#152279,#152279,#64696,.T.); #185263=EDGE_CURVE('',#152280,#152280,#64697,.T.); #185264=EDGE_CURVE('',#152280,#152281,#73943,.T.); #185265=EDGE_CURVE('',#152281,#152281,#64698,.T.); #185266=EDGE_CURVE('',#152282,#152282,#64699,.T.); #185267=EDGE_CURVE('',#152282,#152283,#73944,.T.); #185268=EDGE_CURVE('',#152283,#152283,#64700,.T.); #185269=EDGE_CURVE('',#152284,#152284,#64701,.T.); #185270=EDGE_CURVE('',#152284,#152285,#73945,.T.); #185271=EDGE_CURVE('',#152285,#152285,#64702,.T.); #185272=EDGE_CURVE('',#152286,#152286,#64703,.T.); #185273=EDGE_CURVE('',#152286,#152287,#73946,.T.); #185274=EDGE_CURVE('',#152287,#152287,#64704,.T.); #185275=EDGE_CURVE('',#152288,#152288,#64705,.T.); #185276=EDGE_CURVE('',#152288,#152289,#73947,.T.); #185277=EDGE_CURVE('',#152289,#152289,#64706,.T.); #185278=EDGE_CURVE('',#152290,#152290,#64707,.T.); #185279=EDGE_CURVE('',#152290,#152291,#73948,.T.); #185280=EDGE_CURVE('',#152291,#152291,#64708,.T.); #185281=EDGE_CURVE('',#152292,#152292,#64709,.T.); #185282=EDGE_CURVE('',#152292,#152293,#73949,.T.); #185283=EDGE_CURVE('',#152293,#152293,#64710,.T.); #185284=EDGE_CURVE('',#152294,#152294,#64711,.T.); #185285=EDGE_CURVE('',#152294,#152295,#73950,.T.); #185286=EDGE_CURVE('',#152295,#152295,#64712,.T.); #185287=EDGE_CURVE('',#152296,#152296,#64713,.T.); #185288=EDGE_CURVE('',#152296,#152297,#73951,.T.); #185289=EDGE_CURVE('',#152297,#152297,#64714,.T.); #185290=EDGE_CURVE('',#152298,#152298,#64715,.T.); #185291=EDGE_CURVE('',#152298,#152299,#73952,.T.); #185292=EDGE_CURVE('',#152299,#152299,#64716,.T.); #185293=EDGE_CURVE('',#152300,#152300,#64717,.T.); #185294=EDGE_CURVE('',#152300,#152301,#73953,.T.); #185295=EDGE_CURVE('',#152301,#152301,#64718,.T.); #185296=EDGE_CURVE('',#152302,#152302,#64719,.T.); #185297=EDGE_CURVE('',#152302,#152303,#73954,.T.); #185298=EDGE_CURVE('',#152303,#152303,#64720,.T.); #185299=EDGE_CURVE('',#152304,#152304,#64721,.T.); #185300=EDGE_CURVE('',#152304,#152305,#73955,.T.); #185301=EDGE_CURVE('',#152305,#152305,#64722,.T.); #185302=EDGE_CURVE('',#152306,#152306,#64723,.T.); #185303=EDGE_CURVE('',#152306,#152307,#73956,.T.); #185304=EDGE_CURVE('',#152307,#152307,#64724,.T.); #185305=EDGE_CURVE('',#152308,#152308,#64725,.T.); #185306=EDGE_CURVE('',#152308,#152309,#73957,.T.); #185307=EDGE_CURVE('',#152309,#152309,#64726,.T.); #185308=EDGE_CURVE('',#152310,#152310,#64727,.T.); #185309=EDGE_CURVE('',#152310,#152311,#73958,.T.); #185310=EDGE_CURVE('',#152311,#152311,#64728,.T.); #185311=EDGE_CURVE('',#152312,#152312,#64729,.T.); #185312=EDGE_CURVE('',#152312,#152313,#73959,.T.); #185313=EDGE_CURVE('',#152313,#152313,#64730,.T.); #185314=EDGE_CURVE('',#152314,#152314,#64731,.T.); #185315=EDGE_CURVE('',#152314,#152315,#73960,.T.); #185316=EDGE_CURVE('',#152315,#152315,#64732,.T.); #185317=EDGE_CURVE('',#152316,#152316,#64733,.T.); #185318=EDGE_CURVE('',#152316,#152317,#73961,.T.); #185319=EDGE_CURVE('',#152317,#152317,#64734,.T.); #185320=EDGE_CURVE('',#152318,#152318,#64735,.T.); #185321=EDGE_CURVE('',#152318,#152319,#73962,.T.); #185322=EDGE_CURVE('',#152319,#152319,#64736,.T.); #185323=EDGE_CURVE('',#152320,#152320,#64737,.T.); #185324=EDGE_CURVE('',#152320,#152321,#73963,.T.); #185325=EDGE_CURVE('',#152321,#152321,#64738,.T.); #185326=EDGE_CURVE('',#152322,#152322,#64739,.T.); #185327=EDGE_CURVE('',#152322,#152323,#73964,.T.); #185328=EDGE_CURVE('',#152323,#152323,#64740,.T.); #185329=EDGE_CURVE('',#152324,#152324,#64741,.T.); #185330=EDGE_CURVE('',#152324,#152325,#73965,.T.); #185331=EDGE_CURVE('',#152325,#152325,#64742,.T.); #185332=EDGE_CURVE('',#152326,#152326,#64743,.T.); #185333=EDGE_CURVE('',#152326,#152327,#73966,.T.); #185334=EDGE_CURVE('',#152327,#152327,#64744,.T.); #185335=EDGE_CURVE('',#152328,#152328,#64745,.T.); #185336=EDGE_CURVE('',#152328,#152329,#73967,.T.); #185337=EDGE_CURVE('',#152329,#152329,#64746,.T.); #185338=EDGE_CURVE('',#152330,#152330,#64747,.T.); #185339=EDGE_CURVE('',#152330,#152331,#73968,.T.); #185340=EDGE_CURVE('',#152331,#152331,#64748,.T.); #185341=EDGE_CURVE('',#152332,#152332,#64749,.T.); #185342=EDGE_CURVE('',#152332,#152333,#73969,.T.); #185343=EDGE_CURVE('',#152333,#152333,#64750,.T.); #185344=EDGE_CURVE('',#152334,#152334,#64751,.T.); #185345=EDGE_CURVE('',#152334,#152335,#73970,.T.); #185346=EDGE_CURVE('',#152335,#152335,#64752,.T.); #185347=EDGE_CURVE('',#152336,#152336,#64753,.T.); #185348=EDGE_CURVE('',#152336,#152337,#73971,.T.); #185349=EDGE_CURVE('',#152337,#152337,#64754,.T.); #185350=EDGE_CURVE('',#152338,#152338,#64755,.T.); #185351=EDGE_CURVE('',#152338,#152339,#73972,.T.); #185352=EDGE_CURVE('',#152339,#152339,#64756,.T.); #185353=EDGE_CURVE('',#152340,#152340,#64757,.T.); #185354=EDGE_CURVE('',#152340,#152341,#73973,.T.); #185355=EDGE_CURVE('',#152341,#152341,#64758,.T.); #185356=EDGE_CURVE('',#152342,#152342,#64759,.T.); #185357=EDGE_CURVE('',#152342,#152343,#73974,.T.); #185358=EDGE_CURVE('',#152343,#152343,#64760,.T.); #185359=EDGE_CURVE('',#152344,#152344,#64761,.T.); #185360=EDGE_CURVE('',#152344,#152345,#73975,.T.); #185361=EDGE_CURVE('',#152345,#152345,#64762,.T.); #185362=EDGE_CURVE('',#152346,#152346,#64763,.T.); #185363=EDGE_CURVE('',#152346,#152347,#73976,.T.); #185364=EDGE_CURVE('',#152347,#152347,#64764,.T.); #185365=EDGE_CURVE('',#152348,#152348,#64765,.T.); #185366=EDGE_CURVE('',#152348,#152349,#73977,.T.); #185367=EDGE_CURVE('',#152349,#152349,#64766,.T.); #185368=EDGE_CURVE('',#152350,#152350,#64767,.T.); #185369=EDGE_CURVE('',#152350,#152351,#73978,.T.); #185370=EDGE_CURVE('',#152351,#152351,#64768,.T.); #185371=EDGE_CURVE('',#152352,#152352,#64769,.T.); #185372=EDGE_CURVE('',#152352,#152353,#73979,.T.); #185373=EDGE_CURVE('',#152353,#152353,#64770,.T.); #185374=EDGE_CURVE('',#152354,#152354,#64771,.T.); #185375=EDGE_CURVE('',#152354,#152355,#73980,.T.); #185376=EDGE_CURVE('',#152355,#152355,#64772,.T.); #185377=EDGE_CURVE('',#152356,#152356,#64773,.T.); #185378=EDGE_CURVE('',#152356,#152357,#73981,.T.); #185379=EDGE_CURVE('',#152357,#152357,#64774,.T.); #185380=EDGE_CURVE('',#152358,#152358,#64775,.T.); #185381=EDGE_CURVE('',#152358,#152359,#73982,.T.); #185382=EDGE_CURVE('',#152359,#152359,#64776,.T.); #185383=EDGE_CURVE('',#152360,#152360,#64777,.T.); #185384=EDGE_CURVE('',#152360,#152361,#73983,.T.); #185385=EDGE_CURVE('',#152361,#152361,#64778,.T.); #185386=EDGE_CURVE('',#152362,#152362,#64779,.T.); #185387=EDGE_CURVE('',#152362,#152363,#73984,.T.); #185388=EDGE_CURVE('',#152363,#152363,#64780,.T.); #185389=EDGE_CURVE('',#152364,#152364,#64781,.T.); #185390=EDGE_CURVE('',#152364,#152365,#73985,.T.); #185391=EDGE_CURVE('',#152365,#152365,#64782,.T.); #185392=EDGE_CURVE('',#152366,#152366,#64783,.T.); #185393=EDGE_CURVE('',#152366,#152367,#73986,.T.); #185394=EDGE_CURVE('',#152367,#152367,#64784,.T.); #185395=EDGE_CURVE('',#152368,#152368,#64785,.T.); #185396=EDGE_CURVE('',#152368,#152369,#73987,.T.); #185397=EDGE_CURVE('',#152369,#152369,#64786,.T.); #185398=EDGE_CURVE('',#152370,#152370,#64787,.T.); #185399=EDGE_CURVE('',#152370,#152371,#73988,.T.); #185400=EDGE_CURVE('',#152371,#152371,#64788,.T.); #185401=EDGE_CURVE('',#152372,#152372,#64789,.T.); #185402=EDGE_CURVE('',#152372,#152373,#73989,.T.); #185403=EDGE_CURVE('',#152373,#152373,#64790,.T.); #185404=EDGE_CURVE('',#152374,#152374,#64791,.T.); #185405=EDGE_CURVE('',#152374,#152375,#73990,.T.); #185406=EDGE_CURVE('',#152375,#152375,#64792,.T.); #185407=EDGE_CURVE('',#152376,#152376,#64793,.T.); #185408=EDGE_CURVE('',#152376,#152377,#73991,.T.); #185409=EDGE_CURVE('',#152377,#152377,#64794,.T.); #185410=EDGE_CURVE('',#152378,#152378,#64795,.T.); #185411=EDGE_CURVE('',#152378,#152379,#73992,.T.); #185412=EDGE_CURVE('',#152379,#152379,#64796,.T.); #185413=EDGE_CURVE('',#152380,#152380,#64797,.T.); #185414=EDGE_CURVE('',#152380,#152381,#73993,.T.); #185415=EDGE_CURVE('',#152381,#152381,#64798,.T.); #185416=EDGE_CURVE('',#152382,#152382,#64799,.T.); #185417=EDGE_CURVE('',#152382,#152383,#73994,.T.); #185418=EDGE_CURVE('',#152383,#152383,#64800,.T.); #185419=EDGE_CURVE('',#152384,#152384,#64801,.T.); #185420=EDGE_CURVE('',#152384,#152385,#73995,.T.); #185421=EDGE_CURVE('',#152385,#152385,#64802,.T.); #185422=EDGE_CURVE('',#152386,#152386,#64803,.T.); #185423=EDGE_CURVE('',#152386,#152387,#73996,.T.); #185424=EDGE_CURVE('',#152387,#152387,#64804,.T.); #185425=EDGE_CURVE('',#152388,#152388,#64805,.T.); #185426=EDGE_CURVE('',#152388,#152389,#73997,.T.); #185427=EDGE_CURVE('',#152389,#152389,#64806,.T.); #185428=EDGE_CURVE('',#152390,#152390,#64807,.T.); #185429=EDGE_CURVE('',#152390,#152391,#73998,.T.); #185430=EDGE_CURVE('',#152391,#152391,#64808,.T.); #185431=EDGE_CURVE('',#152392,#152392,#64809,.T.); #185432=EDGE_CURVE('',#152392,#152393,#73999,.T.); #185433=EDGE_CURVE('',#152393,#152393,#64810,.T.); #185434=EDGE_CURVE('',#152394,#152394,#64811,.T.); #185435=EDGE_CURVE('',#152394,#152395,#74000,.T.); #185436=EDGE_CURVE('',#152395,#152395,#64812,.T.); #185437=EDGE_CURVE('',#152396,#152396,#64813,.T.); #185438=EDGE_CURVE('',#152396,#152397,#74001,.T.); #185439=EDGE_CURVE('',#152397,#152397,#64814,.T.); #185440=EDGE_CURVE('',#152398,#152398,#64815,.T.); #185441=EDGE_CURVE('',#152398,#152399,#74002,.T.); #185442=EDGE_CURVE('',#152399,#152399,#64816,.T.); #185443=EDGE_CURVE('',#152400,#152400,#64817,.T.); #185444=EDGE_CURVE('',#152400,#152401,#74003,.T.); #185445=EDGE_CURVE('',#152401,#152401,#64818,.T.); #185446=EDGE_CURVE('',#152402,#152402,#64819,.T.); #185447=EDGE_CURVE('',#152402,#152403,#74004,.T.); #185448=EDGE_CURVE('',#152403,#152403,#64820,.T.); #185449=EDGE_CURVE('',#152404,#152404,#64821,.T.); #185450=EDGE_CURVE('',#152404,#152405,#74005,.T.); #185451=EDGE_CURVE('',#152405,#152405,#64822,.T.); #185452=EDGE_CURVE('',#152406,#152406,#64823,.T.); #185453=EDGE_CURVE('',#152406,#152407,#74006,.T.); #185454=EDGE_CURVE('',#152407,#152407,#64824,.T.); #185455=EDGE_CURVE('',#152408,#152408,#64825,.T.); #185456=EDGE_CURVE('',#152408,#152409,#74007,.T.); #185457=EDGE_CURVE('',#152409,#152409,#64826,.T.); #185458=EDGE_CURVE('',#152410,#152410,#64827,.T.); #185459=EDGE_CURVE('',#152410,#152411,#74008,.T.); #185460=EDGE_CURVE('',#152411,#152411,#64828,.T.); #185461=EDGE_CURVE('',#152412,#152412,#64829,.T.); #185462=EDGE_CURVE('',#152412,#152413,#74009,.T.); #185463=EDGE_CURVE('',#152413,#152413,#64830,.T.); #185464=EDGE_CURVE('',#152414,#152414,#64831,.T.); #185465=EDGE_CURVE('',#152414,#152415,#74010,.T.); #185466=EDGE_CURVE('',#152415,#152415,#64832,.T.); #185467=EDGE_CURVE('',#152416,#152416,#64833,.T.); #185468=EDGE_CURVE('',#152416,#152417,#74011,.T.); #185469=EDGE_CURVE('',#152417,#152417,#64834,.T.); #185470=EDGE_CURVE('',#152418,#152418,#64835,.T.); #185471=EDGE_CURVE('',#152418,#152419,#74012,.T.); #185472=EDGE_CURVE('',#152419,#152419,#64836,.T.); #185473=EDGE_CURVE('',#152420,#152420,#64837,.T.); #185474=EDGE_CURVE('',#152420,#152421,#74013,.T.); #185475=EDGE_CURVE('',#152421,#152421,#64838,.T.); #185476=EDGE_CURVE('',#152422,#152422,#64839,.T.); #185477=EDGE_CURVE('',#152422,#152423,#74014,.T.); #185478=EDGE_CURVE('',#152423,#152423,#64840,.T.); #185479=EDGE_CURVE('',#152424,#152424,#64841,.T.); #185480=EDGE_CURVE('',#152424,#152425,#74015,.T.); #185481=EDGE_CURVE('',#152425,#152425,#64842,.T.); #185482=EDGE_CURVE('',#152426,#152426,#64843,.T.); #185483=EDGE_CURVE('',#152426,#152427,#74016,.T.); #185484=EDGE_CURVE('',#152427,#152427,#64844,.T.); #185485=EDGE_CURVE('',#152428,#152428,#64845,.T.); #185486=EDGE_CURVE('',#152428,#152429,#74017,.T.); #185487=EDGE_CURVE('',#152429,#152429,#64846,.T.); #185488=EDGE_CURVE('',#152430,#152430,#64847,.T.); #185489=EDGE_CURVE('',#152430,#152431,#74018,.T.); #185490=EDGE_CURVE('',#152431,#152431,#64848,.T.); #185491=EDGE_CURVE('',#152432,#152432,#64849,.T.); #185492=EDGE_CURVE('',#152432,#152433,#74019,.T.); #185493=EDGE_CURVE('',#152433,#152433,#64850,.T.); #185494=EDGE_CURVE('',#152434,#152434,#64851,.T.); #185495=EDGE_CURVE('',#152434,#152435,#74020,.T.); #185496=EDGE_CURVE('',#152435,#152435,#64852,.T.); #185497=EDGE_CURVE('',#152436,#152436,#64853,.T.); #185498=EDGE_CURVE('',#152436,#152437,#74021,.T.); #185499=EDGE_CURVE('',#152437,#152437,#64854,.T.); #185500=EDGE_CURVE('',#152438,#152438,#64855,.T.); #185501=EDGE_CURVE('',#152438,#152439,#74022,.T.); #185502=EDGE_CURVE('',#152439,#152439,#64856,.T.); #185503=EDGE_CURVE('',#152440,#152440,#64857,.T.); #185504=EDGE_CURVE('',#152440,#152441,#74023,.T.); #185505=EDGE_CURVE('',#152441,#152441,#64858,.T.); #185506=EDGE_CURVE('',#152442,#152442,#64859,.T.); #185507=EDGE_CURVE('',#152442,#152443,#74024,.T.); #185508=EDGE_CURVE('',#152443,#152443,#64860,.T.); #185509=EDGE_CURVE('',#152444,#152444,#64861,.T.); #185510=EDGE_CURVE('',#152444,#152445,#74025,.T.); #185511=EDGE_CURVE('',#152445,#152445,#64862,.T.); #185512=EDGE_CURVE('',#152446,#152446,#64863,.T.); #185513=EDGE_CURVE('',#152446,#152447,#74026,.T.); #185514=EDGE_CURVE('',#152447,#152447,#64864,.T.); #185515=EDGE_CURVE('',#152448,#152448,#64865,.T.); #185516=EDGE_CURVE('',#152448,#152449,#74027,.T.); #185517=EDGE_CURVE('',#152449,#152449,#64866,.T.); #185518=EDGE_CURVE('',#152450,#152450,#64867,.T.); #185519=EDGE_CURVE('',#152450,#152451,#74028,.T.); #185520=EDGE_CURVE('',#152451,#152451,#64868,.T.); #185521=EDGE_CURVE('',#152452,#152452,#64869,.T.); #185522=EDGE_CURVE('',#152452,#152453,#74029,.T.); #185523=EDGE_CURVE('',#152453,#152453,#64870,.T.); #185524=EDGE_CURVE('',#152454,#152454,#64871,.T.); #185525=EDGE_CURVE('',#152454,#152455,#74030,.T.); #185526=EDGE_CURVE('',#152455,#152455,#64872,.T.); #185527=EDGE_CURVE('',#152456,#152456,#64873,.T.); #185528=EDGE_CURVE('',#152456,#152457,#74031,.T.); #185529=EDGE_CURVE('',#152457,#152457,#64874,.T.); #185530=EDGE_CURVE('',#152458,#152458,#64875,.T.); #185531=EDGE_CURVE('',#152458,#152459,#74032,.T.); #185532=EDGE_CURVE('',#152459,#152459,#64876,.T.); #185533=EDGE_CURVE('',#152460,#152460,#64877,.T.); #185534=EDGE_CURVE('',#152460,#152461,#74033,.T.); #185535=EDGE_CURVE('',#152461,#152461,#64878,.T.); #185536=EDGE_CURVE('',#152462,#152462,#64879,.T.); #185537=EDGE_CURVE('',#152462,#152463,#74034,.T.); #185538=EDGE_CURVE('',#152463,#152463,#64880,.T.); #185539=EDGE_CURVE('',#152464,#152464,#64881,.T.); #185540=EDGE_CURVE('',#152464,#152465,#74035,.T.); #185541=EDGE_CURVE('',#152465,#152465,#64882,.T.); #185542=EDGE_CURVE('',#152466,#152466,#64883,.T.); #185543=EDGE_CURVE('',#152466,#152467,#74036,.T.); #185544=EDGE_CURVE('',#152467,#152467,#64884,.T.); #185545=EDGE_CURVE('',#152468,#152468,#64885,.T.); #185546=EDGE_CURVE('',#152468,#152469,#74037,.T.); #185547=EDGE_CURVE('',#152469,#152469,#64886,.T.); #185548=EDGE_CURVE('',#152470,#152470,#64887,.T.); #185549=EDGE_CURVE('',#152470,#152471,#74038,.T.); #185550=EDGE_CURVE('',#152471,#152471,#64888,.T.); #185551=EDGE_CURVE('',#152472,#152472,#64889,.T.); #185552=EDGE_CURVE('',#152472,#152473,#74039,.T.); #185553=EDGE_CURVE('',#152473,#152473,#64890,.T.); #185554=EDGE_CURVE('',#152474,#152474,#64891,.T.); #185555=EDGE_CURVE('',#152474,#152475,#74040,.T.); #185556=EDGE_CURVE('',#152475,#152475,#64892,.T.); #185557=EDGE_CURVE('',#152476,#152476,#64893,.T.); #185558=EDGE_CURVE('',#152476,#152477,#74041,.T.); #185559=EDGE_CURVE('',#152477,#152477,#64894,.T.); #185560=EDGE_CURVE('',#152478,#152478,#64895,.T.); #185561=EDGE_CURVE('',#152478,#152479,#74042,.T.); #185562=EDGE_CURVE('',#152479,#152479,#64896,.T.); #185563=EDGE_CURVE('',#152480,#152480,#64897,.T.); #185564=EDGE_CURVE('',#152480,#152481,#74043,.T.); #185565=EDGE_CURVE('',#152481,#152481,#64898,.T.); #185566=EDGE_CURVE('',#152482,#152482,#64899,.T.); #185567=EDGE_CURVE('',#152482,#152483,#74044,.T.); #185568=EDGE_CURVE('',#152483,#152483,#64900,.T.); #185569=EDGE_CURVE('',#152484,#152484,#64901,.T.); #185570=EDGE_CURVE('',#152484,#152485,#74045,.T.); #185571=EDGE_CURVE('',#152485,#152485,#64902,.T.); #185572=EDGE_CURVE('',#152486,#152486,#64903,.T.); #185573=EDGE_CURVE('',#152486,#152487,#74046,.T.); #185574=EDGE_CURVE('',#152487,#152487,#64904,.T.); #185575=EDGE_CURVE('',#152488,#152488,#64905,.T.); #185576=EDGE_CURVE('',#152488,#152489,#74047,.T.); #185577=EDGE_CURVE('',#152489,#152489,#64906,.T.); #185578=EDGE_CURVE('',#152490,#152490,#64907,.T.); #185579=EDGE_CURVE('',#152490,#152491,#74048,.T.); #185580=EDGE_CURVE('',#152491,#152491,#64908,.T.); #185581=EDGE_CURVE('',#152492,#152492,#64909,.T.); #185582=EDGE_CURVE('',#152492,#152493,#74049,.T.); #185583=EDGE_CURVE('',#152493,#152493,#64910,.T.); #185584=EDGE_CURVE('',#152494,#152494,#64911,.T.); #185585=EDGE_CURVE('',#152494,#152495,#74050,.T.); #185586=EDGE_CURVE('',#152495,#152495,#64912,.T.); #185587=EDGE_CURVE('',#152496,#152496,#64913,.T.); #185588=EDGE_CURVE('',#152496,#152497,#74051,.T.); #185589=EDGE_CURVE('',#152497,#152497,#64914,.T.); #185590=EDGE_CURVE('',#152498,#152498,#64915,.T.); #185591=EDGE_CURVE('',#152498,#152499,#74052,.T.); #185592=EDGE_CURVE('',#152499,#152499,#64916,.T.); #185593=EDGE_CURVE('',#152500,#152500,#64917,.T.); #185594=EDGE_CURVE('',#152500,#152501,#74053,.T.); #185595=EDGE_CURVE('',#152501,#152501,#64918,.T.); #185596=EDGE_CURVE('',#152502,#152502,#64919,.T.); #185597=EDGE_CURVE('',#152502,#152503,#74054,.T.); #185598=EDGE_CURVE('',#152503,#152503,#64920,.T.); #185599=EDGE_CURVE('',#152504,#152504,#64921,.T.); #185600=EDGE_CURVE('',#152504,#152505,#74055,.T.); #185601=EDGE_CURVE('',#152505,#152505,#64922,.T.); #185602=EDGE_CURVE('',#152506,#152506,#64923,.T.); #185603=EDGE_CURVE('',#152506,#152507,#74056,.T.); #185604=EDGE_CURVE('',#152507,#152507,#64924,.T.); #185605=EDGE_CURVE('',#152508,#152508,#64925,.T.); #185606=EDGE_CURVE('',#152508,#152509,#74057,.T.); #185607=EDGE_CURVE('',#152509,#152509,#64926,.T.); #185608=EDGE_CURVE('',#152510,#152510,#64927,.T.); #185609=EDGE_CURVE('',#152510,#152511,#74058,.T.); #185610=EDGE_CURVE('',#152511,#152511,#64928,.T.); #185611=EDGE_CURVE('',#152512,#152512,#64929,.T.); #185612=EDGE_CURVE('',#152512,#152513,#74059,.T.); #185613=EDGE_CURVE('',#152513,#152513,#64930,.T.); #185614=EDGE_CURVE('',#152514,#152514,#64931,.T.); #185615=EDGE_CURVE('',#152514,#152515,#74060,.T.); #185616=EDGE_CURVE('',#152515,#152515,#64932,.T.); #185617=EDGE_CURVE('',#152516,#152516,#64933,.T.); #185618=EDGE_CURVE('',#152516,#152517,#74061,.T.); #185619=EDGE_CURVE('',#152517,#152517,#64934,.T.); #185620=EDGE_CURVE('',#152518,#152518,#64935,.T.); #185621=EDGE_CURVE('',#152518,#152519,#74062,.T.); #185622=EDGE_CURVE('',#152519,#152519,#64936,.T.); #185623=EDGE_CURVE('',#152520,#152520,#64937,.T.); #185624=EDGE_CURVE('',#152520,#152521,#74063,.T.); #185625=EDGE_CURVE('',#152521,#152521,#64938,.T.); #185626=EDGE_CURVE('',#152522,#152522,#64939,.T.); #185627=EDGE_CURVE('',#152522,#152523,#74064,.T.); #185628=EDGE_CURVE('',#152523,#152523,#64940,.T.); #185629=EDGE_CURVE('',#152524,#152524,#64941,.T.); #185630=EDGE_CURVE('',#152524,#152525,#74065,.T.); #185631=EDGE_CURVE('',#152525,#152525,#64942,.T.); #185632=EDGE_CURVE('',#152526,#152526,#64943,.T.); #185633=EDGE_CURVE('',#152526,#152527,#74066,.T.); #185634=EDGE_CURVE('',#152527,#152527,#64944,.T.); #185635=EDGE_CURVE('',#152528,#152528,#64945,.T.); #185636=EDGE_CURVE('',#152528,#152529,#74067,.T.); #185637=EDGE_CURVE('',#152529,#152529,#64946,.T.); #185638=EDGE_CURVE('',#152530,#152530,#64947,.T.); #185639=EDGE_CURVE('',#152530,#152531,#74068,.T.); #185640=EDGE_CURVE('',#152531,#152531,#64948,.T.); #185641=EDGE_CURVE('',#152532,#152532,#64949,.T.); #185642=EDGE_CURVE('',#152532,#152533,#74069,.T.); #185643=EDGE_CURVE('',#152533,#152533,#64950,.T.); #185644=EDGE_CURVE('',#152534,#152534,#64951,.T.); #185645=EDGE_CURVE('',#152534,#152535,#74070,.T.); #185646=EDGE_CURVE('',#152535,#152535,#64952,.T.); #185647=EDGE_CURVE('',#152536,#152536,#64953,.T.); #185648=EDGE_CURVE('',#152536,#152537,#74071,.T.); #185649=EDGE_CURVE('',#152537,#152537,#64954,.T.); #185650=EDGE_CURVE('',#152538,#152538,#64955,.T.); #185651=EDGE_CURVE('',#152538,#152539,#74072,.T.); #185652=EDGE_CURVE('',#152539,#152539,#64956,.T.); #185653=EDGE_CURVE('',#152540,#152540,#64957,.T.); #185654=EDGE_CURVE('',#152540,#152541,#74073,.T.); #185655=EDGE_CURVE('',#152541,#152541,#64958,.T.); #185656=EDGE_CURVE('',#152542,#152542,#64959,.T.); #185657=EDGE_CURVE('',#152542,#152543,#74074,.T.); #185658=EDGE_CURVE('',#152543,#152543,#64960,.T.); #185659=EDGE_CURVE('',#152544,#152544,#64961,.T.); #185660=EDGE_CURVE('',#152544,#152545,#74075,.T.); #185661=EDGE_CURVE('',#152545,#152545,#64962,.T.); #185662=EDGE_CURVE('',#152546,#152546,#64963,.T.); #185663=EDGE_CURVE('',#152546,#152547,#74076,.T.); #185664=EDGE_CURVE('',#152547,#152547,#64964,.T.); #185665=EDGE_CURVE('',#152548,#152548,#64965,.T.); #185666=EDGE_CURVE('',#152548,#152549,#74077,.T.); #185667=EDGE_CURVE('',#152549,#152549,#64966,.T.); #185668=EDGE_CURVE('',#152550,#152550,#64967,.T.); #185669=EDGE_CURVE('',#152550,#152551,#74078,.T.); #185670=EDGE_CURVE('',#152551,#152551,#64968,.T.); #185671=EDGE_CURVE('',#152552,#152552,#64969,.T.); #185672=EDGE_CURVE('',#152552,#152553,#74079,.T.); #185673=EDGE_CURVE('',#152553,#152553,#64970,.T.); #185674=EDGE_CURVE('',#152554,#152554,#64971,.T.); #185675=EDGE_CURVE('',#152554,#152555,#74080,.T.); #185676=EDGE_CURVE('',#152555,#152555,#64972,.T.); #185677=EDGE_CURVE('',#152556,#152556,#64973,.T.); #185678=EDGE_CURVE('',#152556,#152557,#74081,.T.); #185679=EDGE_CURVE('',#152557,#152557,#64974,.T.); #185680=EDGE_CURVE('',#152558,#152558,#64975,.T.); #185681=EDGE_CURVE('',#152558,#152559,#74082,.T.); #185682=EDGE_CURVE('',#152559,#152559,#64976,.T.); #185683=EDGE_CURVE('',#152560,#152561,#74083,.T.); #185684=EDGE_CURVE('',#152562,#152560,#74084,.T.); #185685=EDGE_CURVE('',#152563,#152562,#74085,.T.); #185686=EDGE_CURVE('',#152563,#152561,#74086,.T.); #185687=EDGE_CURVE('',#152561,#152564,#64977,.T.); #185688=EDGE_CURVE('',#152565,#152563,#64978,.T.); #185689=EDGE_CURVE('',#152565,#152564,#74087,.T.); #185690=EDGE_CURVE('',#152564,#152566,#74088,.T.); #185691=EDGE_CURVE('',#152567,#152565,#74089,.T.); #185692=EDGE_CURVE('',#152567,#152566,#74090,.T.); #185693=EDGE_CURVE('',#152566,#152560,#64979,.T.); #185694=EDGE_CURVE('',#152562,#152567,#64980,.T.); #185695=EDGE_CURVE('',#152568,#152568,#64981,.T.); #185696=EDGE_CURVE('',#152568,#152569,#74091,.T.); #185697=EDGE_CURVE('',#152569,#152569,#64982,.T.); #185698=EDGE_CURVE('',#152570,#152571,#74092,.T.); #185699=EDGE_CURVE('',#152572,#152570,#74093,.T.); #185700=EDGE_CURVE('',#152573,#152572,#74094,.T.); #185701=EDGE_CURVE('',#152573,#152571,#74095,.T.); #185702=EDGE_CURVE('',#152571,#152574,#64983,.T.); #185703=EDGE_CURVE('',#152575,#152573,#64984,.T.); #185704=EDGE_CURVE('',#152575,#152574,#74096,.T.); #185705=EDGE_CURVE('',#152574,#152576,#74097,.T.); #185706=EDGE_CURVE('',#152577,#152575,#74098,.T.); #185707=EDGE_CURVE('',#152577,#152576,#74099,.T.); #185708=EDGE_CURVE('',#152576,#152570,#64985,.T.); #185709=EDGE_CURVE('',#152572,#152577,#64986,.T.); #185710=EDGE_CURVE('',#152578,#152578,#64987,.T.); #185711=EDGE_CURVE('',#152578,#152579,#74100,.T.); #185712=EDGE_CURVE('',#152579,#152579,#64988,.T.); #185713=EDGE_CURVE('',#152580,#152580,#64989,.T.); #185714=EDGE_CURVE('',#152580,#152581,#74101,.T.); #185715=EDGE_CURVE('',#152581,#152581,#64990,.T.); #185716=EDGE_CURVE('',#152582,#152582,#64991,.T.); #185717=EDGE_CURVE('',#152582,#152583,#74102,.T.); #185718=EDGE_CURVE('',#152583,#152583,#64992,.T.); #185719=EDGE_CURVE('',#152584,#152585,#74103,.T.); #185720=EDGE_CURVE('',#152586,#152584,#74104,.T.); #185721=EDGE_CURVE('',#152587,#152586,#74105,.T.); #185722=EDGE_CURVE('',#152587,#152585,#74106,.T.); #185723=EDGE_CURVE('',#152585,#152588,#64993,.T.); #185724=EDGE_CURVE('',#152589,#152587,#64994,.T.); #185725=EDGE_CURVE('',#152589,#152588,#74107,.T.); #185726=EDGE_CURVE('',#152588,#152590,#74108,.T.); #185727=EDGE_CURVE('',#152591,#152589,#74109,.T.); #185728=EDGE_CURVE('',#152591,#152590,#74110,.T.); #185729=EDGE_CURVE('',#152590,#152584,#64995,.T.); #185730=EDGE_CURVE('',#152586,#152591,#64996,.T.); #185731=EDGE_CURVE('',#152592,#152592,#64997,.T.); #185732=EDGE_CURVE('',#152592,#152593,#74111,.T.); #185733=EDGE_CURVE('',#152593,#152593,#64998,.T.); #185734=EDGE_CURVE('',#152594,#152594,#64999,.T.); #185735=EDGE_CURVE('',#152594,#152595,#74112,.T.); #185736=EDGE_CURVE('',#152595,#152595,#65000,.T.); #185737=EDGE_CURVE('',#152596,#152596,#65001,.T.); #185738=EDGE_CURVE('',#152596,#152597,#74113,.T.); #185739=EDGE_CURVE('',#152597,#152597,#65002,.T.); #185740=EDGE_CURVE('',#152598,#152598,#65003,.T.); #185741=EDGE_CURVE('',#152598,#152599,#74114,.T.); #185742=EDGE_CURVE('',#152599,#152599,#65004,.T.); #185743=EDGE_CURVE('',#152600,#152600,#65005,.T.); #185744=EDGE_CURVE('',#152600,#152601,#74115,.T.); #185745=EDGE_CURVE('',#152601,#152601,#65006,.T.); #185746=EDGE_CURVE('',#152602,#152602,#65007,.T.); #185747=EDGE_CURVE('',#152602,#152603,#74116,.T.); #185748=EDGE_CURVE('',#152603,#152603,#65008,.T.); #185749=EDGE_CURVE('',#152604,#152604,#65009,.T.); #185750=EDGE_CURVE('',#152604,#152605,#74117,.T.); #185751=EDGE_CURVE('',#152605,#152605,#65010,.T.); #185752=EDGE_CURVE('',#152606,#152606,#65011,.T.); #185753=EDGE_CURVE('',#152606,#152607,#74118,.T.); #185754=EDGE_CURVE('',#152607,#152607,#65012,.T.); #185755=EDGE_CURVE('',#152608,#152608,#65013,.T.); #185756=EDGE_CURVE('',#152608,#152609,#74119,.T.); #185757=EDGE_CURVE('',#152609,#152609,#65014,.T.); #185758=EDGE_CURVE('',#152610,#152610,#65015,.T.); #185759=EDGE_CURVE('',#152610,#152611,#74120,.T.); #185760=EDGE_CURVE('',#152611,#152611,#65016,.T.); #185761=EDGE_CURVE('',#152612,#152613,#74121,.T.); #185762=EDGE_CURVE('',#152614,#152612,#74122,.T.); #185763=EDGE_CURVE('',#152615,#152614,#74123,.T.); #185764=EDGE_CURVE('',#152615,#152613,#74124,.T.); #185765=EDGE_CURVE('',#152613,#152616,#65017,.T.); #185766=EDGE_CURVE('',#152617,#152615,#65018,.T.); #185767=EDGE_CURVE('',#152617,#152616,#74125,.T.); #185768=EDGE_CURVE('',#152616,#152618,#74126,.T.); #185769=EDGE_CURVE('',#152619,#152617,#74127,.T.); #185770=EDGE_CURVE('',#152619,#152618,#74128,.T.); #185771=EDGE_CURVE('',#152618,#152612,#65019,.T.); #185772=EDGE_CURVE('',#152614,#152619,#65020,.T.); #185773=EDGE_CURVE('',#152620,#152620,#65021,.T.); #185774=EDGE_CURVE('',#152620,#152621,#74129,.T.); #185775=EDGE_CURVE('',#152621,#152621,#65022,.T.); #185776=EDGE_CURVE('',#152622,#152623,#74130,.T.); #185777=EDGE_CURVE('',#152624,#152622,#74131,.T.); #185778=EDGE_CURVE('',#152625,#152624,#74132,.T.); #185779=EDGE_CURVE('',#152625,#152623,#74133,.T.); #185780=EDGE_CURVE('',#152623,#152626,#65023,.T.); #185781=EDGE_CURVE('',#152627,#152625,#65024,.T.); #185782=EDGE_CURVE('',#152627,#152626,#74134,.T.); #185783=EDGE_CURVE('',#152626,#152628,#74135,.T.); #185784=EDGE_CURVE('',#152629,#152627,#74136,.T.); #185785=EDGE_CURVE('',#152629,#152628,#74137,.T.); #185786=EDGE_CURVE('',#152628,#152622,#65025,.T.); #185787=EDGE_CURVE('',#152624,#152629,#65026,.T.); #185788=EDGE_CURVE('',#152630,#152630,#65027,.T.); #185789=EDGE_CURVE('',#152630,#152631,#74138,.T.); #185790=EDGE_CURVE('',#152631,#152631,#65028,.T.); #185791=EDGE_CURVE('',#152632,#152633,#74139,.T.); #185792=EDGE_CURVE('',#152634,#152632,#74140,.T.); #185793=EDGE_CURVE('',#152635,#152634,#74141,.T.); #185794=EDGE_CURVE('',#152635,#152633,#74142,.T.); #185795=EDGE_CURVE('',#152633,#152636,#65029,.T.); #185796=EDGE_CURVE('',#152637,#152635,#65030,.T.); #185797=EDGE_CURVE('',#152637,#152636,#74143,.T.); #185798=EDGE_CURVE('',#152636,#152638,#74144,.T.); #185799=EDGE_CURVE('',#152639,#152637,#74145,.T.); #185800=EDGE_CURVE('',#152639,#152638,#74146,.T.); #185801=EDGE_CURVE('',#152638,#152632,#65031,.T.); #185802=EDGE_CURVE('',#152634,#152639,#65032,.T.); #185803=EDGE_CURVE('',#152640,#152640,#65033,.T.); #185804=EDGE_CURVE('',#152640,#152641,#74147,.T.); #185805=EDGE_CURVE('',#152641,#152641,#65034,.T.); #185806=EDGE_CURVE('',#152642,#152642,#65035,.T.); #185807=EDGE_CURVE('',#152642,#152643,#74148,.T.); #185808=EDGE_CURVE('',#152643,#152643,#65036,.T.); #185809=EDGE_CURVE('',#152644,#152644,#65037,.T.); #185810=EDGE_CURVE('',#152644,#152645,#74149,.T.); #185811=EDGE_CURVE('',#152645,#152645,#65038,.T.); #185812=EDGE_CURVE('',#152646,#152646,#65039,.T.); #185813=EDGE_CURVE('',#152646,#152647,#74150,.T.); #185814=EDGE_CURVE('',#152647,#152647,#65040,.T.); #185815=EDGE_CURVE('',#152648,#152648,#65041,.T.); #185816=EDGE_CURVE('',#152648,#152649,#74151,.T.); #185817=EDGE_CURVE('',#152649,#152649,#65042,.T.); #185818=EDGE_CURVE('',#152650,#152650,#65043,.T.); #185819=EDGE_CURVE('',#152650,#152651,#74152,.T.); #185820=EDGE_CURVE('',#152651,#152651,#65044,.T.); #185821=EDGE_CURVE('',#152652,#152652,#65045,.T.); #185822=EDGE_CURVE('',#152652,#152653,#74153,.T.); #185823=EDGE_CURVE('',#152653,#152653,#65046,.T.); #185824=EDGE_CURVE('',#152654,#152654,#65047,.T.); #185825=EDGE_CURVE('',#152654,#152655,#74154,.T.); #185826=EDGE_CURVE('',#152655,#152655,#65048,.T.); #185827=EDGE_CURVE('',#152656,#152656,#65049,.T.); #185828=EDGE_CURVE('',#152656,#152657,#74155,.T.); #185829=EDGE_CURVE('',#152657,#152657,#65050,.T.); #185830=EDGE_CURVE('',#152658,#152658,#65051,.T.); #185831=EDGE_CURVE('',#152658,#152659,#74156,.T.); #185832=EDGE_CURVE('',#152659,#152659,#65052,.T.); #185833=EDGE_CURVE('',#152660,#152660,#65053,.T.); #185834=EDGE_CURVE('',#152660,#152661,#74157,.T.); #185835=EDGE_CURVE('',#152661,#152661,#65054,.T.); #185836=EDGE_CURVE('',#152662,#152662,#65055,.T.); #185837=EDGE_CURVE('',#152662,#152663,#74158,.T.); #185838=EDGE_CURVE('',#152663,#152663,#65056,.T.); #185839=EDGE_CURVE('',#152664,#152664,#65057,.T.); #185840=EDGE_CURVE('',#152664,#152665,#74159,.T.); #185841=EDGE_CURVE('',#152665,#152665,#65058,.T.); #185842=EDGE_CURVE('',#152666,#152666,#65059,.T.); #185843=EDGE_CURVE('',#152666,#152667,#74160,.T.); #185844=EDGE_CURVE('',#152667,#152667,#65060,.T.); #185845=EDGE_CURVE('',#152668,#152668,#65061,.T.); #185846=EDGE_CURVE('',#152668,#152669,#74161,.T.); #185847=EDGE_CURVE('',#152669,#152669,#65062,.T.); #185848=EDGE_CURVE('',#152670,#152670,#65063,.T.); #185849=EDGE_CURVE('',#152670,#152671,#74162,.T.); #185850=EDGE_CURVE('',#152671,#152671,#65064,.T.); #185851=EDGE_CURVE('',#152672,#152672,#65065,.T.); #185852=EDGE_CURVE('',#152672,#152673,#74163,.T.); #185853=EDGE_CURVE('',#152673,#152673,#65066,.T.); #185854=EDGE_CURVE('',#152674,#152674,#65067,.T.); #185855=EDGE_CURVE('',#152674,#152675,#74164,.T.); #185856=EDGE_CURVE('',#152675,#152675,#65068,.T.); #185857=EDGE_CURVE('',#152676,#152676,#65069,.T.); #185858=EDGE_CURVE('',#152676,#152677,#74165,.T.); #185859=EDGE_CURVE('',#152677,#152677,#65070,.T.); #185860=EDGE_CURVE('',#152678,#152678,#65071,.T.); #185861=EDGE_CURVE('',#152678,#152679,#74166,.T.); #185862=EDGE_CURVE('',#152679,#152679,#65072,.T.); #185863=EDGE_CURVE('',#152680,#152680,#65073,.T.); #185864=EDGE_CURVE('',#152680,#152681,#74167,.T.); #185865=EDGE_CURVE('',#152681,#152681,#65074,.T.); #185866=EDGE_CURVE('',#152682,#152682,#65075,.T.); #185867=EDGE_CURVE('',#152682,#152683,#74168,.T.); #185868=EDGE_CURVE('',#152683,#152683,#65076,.T.); #185869=EDGE_CURVE('',#152684,#152684,#65077,.T.); #185870=EDGE_CURVE('',#152684,#152685,#74169,.T.); #185871=EDGE_CURVE('',#152685,#152685,#65078,.T.); #185872=EDGE_CURVE('',#152686,#152686,#65079,.T.); #185873=EDGE_CURVE('',#152686,#152687,#74170,.T.); #185874=EDGE_CURVE('',#152687,#152687,#65080,.T.); #185875=EDGE_CURVE('',#152688,#152688,#65081,.T.); #185876=EDGE_CURVE('',#152688,#152689,#74171,.T.); #185877=EDGE_CURVE('',#152689,#152689,#65082,.T.); #185878=EDGE_CURVE('',#152690,#152690,#65083,.T.); #185879=EDGE_CURVE('',#152690,#152691,#74172,.T.); #185880=EDGE_CURVE('',#152691,#152691,#65084,.T.); #185881=EDGE_CURVE('',#152692,#152692,#65085,.T.); #185882=EDGE_CURVE('',#152692,#152693,#74173,.T.); #185883=EDGE_CURVE('',#152693,#152693,#65086,.T.); #185884=EDGE_CURVE('',#152694,#152694,#65087,.T.); #185885=EDGE_CURVE('',#152694,#152695,#74174,.T.); #185886=EDGE_CURVE('',#152695,#152695,#65088,.T.); #185887=EDGE_CURVE('',#152696,#152696,#65089,.T.); #185888=EDGE_CURVE('',#152696,#152697,#74175,.T.); #185889=EDGE_CURVE('',#152697,#152697,#65090,.T.); #185890=EDGE_CURVE('',#152698,#152698,#65091,.T.); #185891=EDGE_CURVE('',#152698,#152699,#74176,.T.); #185892=EDGE_CURVE('',#152699,#152699,#65092,.T.); #185893=EDGE_CURVE('',#152700,#152700,#65093,.T.); #185894=EDGE_CURVE('',#152700,#152701,#74177,.T.); #185895=EDGE_CURVE('',#152701,#152701,#65094,.T.); #185896=EDGE_CURVE('',#152702,#152702,#65095,.T.); #185897=EDGE_CURVE('',#152702,#152703,#74178,.T.); #185898=EDGE_CURVE('',#152703,#152703,#65096,.T.); #185899=EDGE_CURVE('',#152704,#152704,#65097,.T.); #185900=EDGE_CURVE('',#152704,#152705,#74179,.T.); #185901=EDGE_CURVE('',#152705,#152705,#65098,.T.); #185902=EDGE_CURVE('',#152706,#152706,#65099,.T.); #185903=EDGE_CURVE('',#152706,#152707,#74180,.T.); #185904=EDGE_CURVE('',#152707,#152707,#65100,.T.); #185905=EDGE_CURVE('',#152708,#152708,#65101,.T.); #185906=EDGE_CURVE('',#152708,#152709,#74181,.T.); #185907=EDGE_CURVE('',#152709,#152709,#65102,.T.); #185908=EDGE_CURVE('',#152710,#152710,#65103,.T.); #185909=EDGE_CURVE('',#152710,#152711,#74182,.T.); #185910=EDGE_CURVE('',#152711,#152711,#65104,.T.); #185911=EDGE_CURVE('',#152712,#152712,#65105,.T.); #185912=EDGE_CURVE('',#152712,#152713,#74183,.T.); #185913=EDGE_CURVE('',#152713,#152713,#65106,.T.); #185914=EDGE_CURVE('',#152714,#152714,#65107,.T.); #185915=EDGE_CURVE('',#152714,#152715,#74184,.T.); #185916=EDGE_CURVE('',#152715,#152715,#65108,.T.); #185917=EDGE_CURVE('',#152716,#152716,#65109,.T.); #185918=EDGE_CURVE('',#152716,#152717,#74185,.T.); #185919=EDGE_CURVE('',#152717,#152717,#65110,.T.); #185920=EDGE_CURVE('',#152718,#152718,#65111,.T.); #185921=EDGE_CURVE('',#152718,#152719,#74186,.T.); #185922=EDGE_CURVE('',#152719,#152719,#65112,.T.); #185923=EDGE_CURVE('',#152720,#152720,#65113,.T.); #185924=EDGE_CURVE('',#152720,#152721,#74187,.T.); #185925=EDGE_CURVE('',#152721,#152721,#65114,.T.); #185926=EDGE_CURVE('',#152722,#152722,#65115,.T.); #185927=EDGE_CURVE('',#152722,#152723,#74188,.T.); #185928=EDGE_CURVE('',#152723,#152723,#65116,.T.); #185929=EDGE_CURVE('',#152724,#152724,#65117,.T.); #185930=EDGE_CURVE('',#152724,#152725,#74189,.T.); #185931=EDGE_CURVE('',#152725,#152725,#65118,.T.); #185932=EDGE_CURVE('',#152726,#152726,#65119,.T.); #185933=EDGE_CURVE('',#152726,#152727,#74190,.T.); #185934=EDGE_CURVE('',#152727,#152727,#65120,.T.); #185935=EDGE_CURVE('',#152728,#152728,#65121,.T.); #185936=EDGE_CURVE('',#152728,#152729,#74191,.T.); #185937=EDGE_CURVE('',#152729,#152729,#65122,.T.); #185938=EDGE_CURVE('',#152730,#152730,#65123,.T.); #185939=EDGE_CURVE('',#152730,#152731,#74192,.T.); #185940=EDGE_CURVE('',#152731,#152731,#65124,.T.); #185941=EDGE_CURVE('',#152732,#152732,#65125,.T.); #185942=EDGE_CURVE('',#152732,#152733,#74193,.T.); #185943=EDGE_CURVE('',#152733,#152733,#65126,.T.); #185944=EDGE_CURVE('',#152734,#152734,#65127,.T.); #185945=EDGE_CURVE('',#152734,#152735,#74194,.T.); #185946=EDGE_CURVE('',#152735,#152735,#65128,.T.); #185947=EDGE_CURVE('',#152736,#152736,#65129,.T.); #185948=EDGE_CURVE('',#152736,#152737,#74195,.T.); #185949=EDGE_CURVE('',#152737,#152737,#65130,.T.); #185950=EDGE_CURVE('',#152738,#152738,#65131,.T.); #185951=EDGE_CURVE('',#152738,#152739,#74196,.T.); #185952=EDGE_CURVE('',#152739,#152739,#65132,.T.); #185953=EDGE_CURVE('',#152740,#152740,#65133,.T.); #185954=EDGE_CURVE('',#152740,#152741,#74197,.T.); #185955=EDGE_CURVE('',#152741,#152741,#65134,.T.); #185956=EDGE_CURVE('',#152742,#152742,#65135,.T.); #185957=EDGE_CURVE('',#152742,#152743,#74198,.T.); #185958=EDGE_CURVE('',#152743,#152743,#65136,.T.); #185959=EDGE_CURVE('',#152744,#152744,#65137,.T.); #185960=EDGE_CURVE('',#152744,#152745,#74199,.T.); #185961=EDGE_CURVE('',#152745,#152745,#65138,.T.); #185962=EDGE_CURVE('',#152746,#152746,#65139,.T.); #185963=EDGE_CURVE('',#152746,#152747,#74200,.T.); #185964=EDGE_CURVE('',#152747,#152747,#65140,.T.); #185965=EDGE_CURVE('',#152748,#152748,#65141,.T.); #185966=EDGE_CURVE('',#152748,#152749,#74201,.T.); #185967=EDGE_CURVE('',#152749,#152749,#65142,.T.); #185968=EDGE_CURVE('',#152750,#152750,#65143,.T.); #185969=EDGE_CURVE('',#152750,#152751,#74202,.T.); #185970=EDGE_CURVE('',#152751,#152751,#65144,.T.); #185971=EDGE_CURVE('',#152752,#152752,#65145,.T.); #185972=EDGE_CURVE('',#152752,#152753,#74203,.T.); #185973=EDGE_CURVE('',#152753,#152753,#65146,.T.); #185974=EDGE_CURVE('',#152754,#152754,#65147,.T.); #185975=EDGE_CURVE('',#152754,#152755,#74204,.T.); #185976=EDGE_CURVE('',#152755,#152755,#65148,.T.); #185977=EDGE_CURVE('',#152756,#152756,#65149,.T.); #185978=EDGE_CURVE('',#152756,#152757,#74205,.T.); #185979=EDGE_CURVE('',#152757,#152757,#65150,.T.); #185980=EDGE_CURVE('',#152758,#152758,#65151,.T.); #185981=EDGE_CURVE('',#152758,#152759,#74206,.T.); #185982=EDGE_CURVE('',#152759,#152759,#65152,.T.); #185983=EDGE_CURVE('',#152760,#152760,#65153,.T.); #185984=EDGE_CURVE('',#152760,#152761,#74207,.T.); #185985=EDGE_CURVE('',#152761,#152761,#65154,.T.); #185986=EDGE_CURVE('',#152762,#152762,#65155,.T.); #185987=EDGE_CURVE('',#152762,#152763,#74208,.T.); #185988=EDGE_CURVE('',#152763,#152763,#65156,.T.); #185989=EDGE_CURVE('',#152764,#152764,#65157,.T.); #185990=EDGE_CURVE('',#152764,#152765,#74209,.T.); #185991=EDGE_CURVE('',#152765,#152765,#65158,.T.); #185992=EDGE_CURVE('',#152766,#152766,#65159,.T.); #185993=EDGE_CURVE('',#152766,#152767,#74210,.T.); #185994=EDGE_CURVE('',#152767,#152767,#65160,.T.); #185995=EDGE_CURVE('',#152768,#152768,#65161,.T.); #185996=EDGE_CURVE('',#152768,#152769,#74211,.T.); #185997=EDGE_CURVE('',#152769,#152769,#65162,.T.); #185998=EDGE_CURVE('',#152770,#152770,#65163,.T.); #185999=EDGE_CURVE('',#152770,#152771,#74212,.T.); #186000=EDGE_CURVE('',#152771,#152771,#65164,.T.); #186001=EDGE_CURVE('',#152772,#152772,#65165,.T.); #186002=EDGE_CURVE('',#152772,#152773,#74213,.T.); #186003=EDGE_CURVE('',#152773,#152773,#65166,.T.); #186004=EDGE_CURVE('',#152774,#152774,#65167,.T.); #186005=EDGE_CURVE('',#152774,#152775,#74214,.T.); #186006=EDGE_CURVE('',#152775,#152775,#65168,.T.); #186007=EDGE_CURVE('',#152776,#152776,#65169,.T.); #186008=EDGE_CURVE('',#152776,#152777,#74215,.T.); #186009=EDGE_CURVE('',#152777,#152777,#65170,.T.); #186010=EDGE_CURVE('',#152778,#152778,#65171,.T.); #186011=EDGE_CURVE('',#152778,#152779,#74216,.T.); #186012=EDGE_CURVE('',#152779,#152779,#65172,.T.); #186013=EDGE_CURVE('',#152780,#152780,#65173,.T.); #186014=EDGE_CURVE('',#152780,#152781,#74217,.T.); #186015=EDGE_CURVE('',#152781,#152781,#65174,.T.); #186016=EDGE_CURVE('',#152782,#152782,#65175,.T.); #186017=EDGE_CURVE('',#152782,#152783,#74218,.T.); #186018=EDGE_CURVE('',#152783,#152783,#65176,.T.); #186019=EDGE_CURVE('',#152784,#152784,#65177,.T.); #186020=EDGE_CURVE('',#152784,#152785,#74219,.T.); #186021=EDGE_CURVE('',#152785,#152785,#65178,.T.); #186022=EDGE_CURVE('',#152786,#152786,#65179,.T.); #186023=EDGE_CURVE('',#152786,#152787,#74220,.T.); #186024=EDGE_CURVE('',#152787,#152787,#65180,.T.); #186025=EDGE_CURVE('',#152788,#152788,#65181,.T.); #186026=EDGE_CURVE('',#152788,#152789,#74221,.T.); #186027=EDGE_CURVE('',#152789,#152789,#65182,.T.); #186028=EDGE_CURVE('',#152790,#152790,#65183,.T.); #186029=EDGE_CURVE('',#152790,#152791,#74222,.T.); #186030=EDGE_CURVE('',#152791,#152791,#65184,.T.); #186031=EDGE_CURVE('',#152792,#152792,#65185,.T.); #186032=EDGE_CURVE('',#152792,#152793,#74223,.T.); #186033=EDGE_CURVE('',#152793,#152793,#65186,.T.); #186034=EDGE_CURVE('',#152794,#152794,#65187,.T.); #186035=EDGE_CURVE('',#152794,#152795,#74224,.T.); #186036=EDGE_CURVE('',#152795,#152795,#65188,.T.); #186037=EDGE_CURVE('',#152796,#152796,#65189,.T.); #186038=EDGE_CURVE('',#152796,#152797,#74225,.T.); #186039=EDGE_CURVE('',#152797,#152797,#65190,.T.); #186040=EDGE_CURVE('',#152798,#152798,#65191,.T.); #186041=EDGE_CURVE('',#152798,#152799,#74226,.T.); #186042=EDGE_CURVE('',#152799,#152799,#65192,.T.); #186043=EDGE_CURVE('',#152800,#152800,#65193,.T.); #186044=EDGE_CURVE('',#152800,#152801,#74227,.T.); #186045=EDGE_CURVE('',#152801,#152801,#65194,.T.); #186046=EDGE_CURVE('',#152802,#152802,#65195,.T.); #186047=EDGE_CURVE('',#152802,#152803,#74228,.T.); #186048=EDGE_CURVE('',#152803,#152803,#65196,.T.); #186049=EDGE_CURVE('',#152804,#152804,#65197,.T.); #186050=EDGE_CURVE('',#152804,#152805,#74229,.T.); #186051=EDGE_CURVE('',#152805,#152805,#65198,.T.); #186052=EDGE_CURVE('',#152806,#152806,#65199,.T.); #186053=EDGE_CURVE('',#152806,#152807,#74230,.T.); #186054=EDGE_CURVE('',#152807,#152807,#65200,.T.); #186055=EDGE_CURVE('',#152808,#152808,#65201,.T.); #186056=EDGE_CURVE('',#152808,#152809,#74231,.T.); #186057=EDGE_CURVE('',#152809,#152809,#65202,.T.); #186058=EDGE_CURVE('',#152810,#152810,#65203,.T.); #186059=EDGE_CURVE('',#152810,#152811,#74232,.T.); #186060=EDGE_CURVE('',#152811,#152811,#65204,.T.); #186061=EDGE_CURVE('',#152812,#152812,#65205,.T.); #186062=EDGE_CURVE('',#152812,#152813,#74233,.T.); #186063=EDGE_CURVE('',#152813,#152813,#65206,.T.); #186064=EDGE_CURVE('',#152814,#152814,#65207,.T.); #186065=EDGE_CURVE('',#152814,#152815,#74234,.T.); #186066=EDGE_CURVE('',#152815,#152815,#65208,.T.); #186067=EDGE_CURVE('',#152816,#152816,#65209,.T.); #186068=EDGE_CURVE('',#152816,#152817,#74235,.T.); #186069=EDGE_CURVE('',#152817,#152817,#65210,.T.); #186070=EDGE_CURVE('',#152818,#152818,#65211,.T.); #186071=EDGE_CURVE('',#152818,#152819,#74236,.T.); #186072=EDGE_CURVE('',#152819,#152819,#65212,.T.); #186073=EDGE_CURVE('',#152820,#152820,#65213,.T.); #186074=EDGE_CURVE('',#152820,#152821,#74237,.T.); #186075=EDGE_CURVE('',#152821,#152821,#65214,.T.); #186076=EDGE_CURVE('',#152822,#152822,#65215,.T.); #186077=EDGE_CURVE('',#152822,#152823,#74238,.T.); #186078=EDGE_CURVE('',#152823,#152823,#65216,.T.); #186079=EDGE_CURVE('',#152824,#152824,#65217,.T.); #186080=EDGE_CURVE('',#152824,#152825,#74239,.T.); #186081=EDGE_CURVE('',#152825,#152825,#65218,.T.); #186082=EDGE_CURVE('',#152826,#152826,#65219,.T.); #186083=EDGE_CURVE('',#152826,#152827,#74240,.T.); #186084=EDGE_CURVE('',#152827,#152827,#65220,.T.); #186085=EDGE_CURVE('',#152828,#152828,#65221,.T.); #186086=EDGE_CURVE('',#152828,#152829,#74241,.T.); #186087=EDGE_CURVE('',#152829,#152829,#65222,.T.); #186088=EDGE_CURVE('',#152830,#152830,#65223,.T.); #186089=EDGE_CURVE('',#152830,#152831,#74242,.T.); #186090=EDGE_CURVE('',#152831,#152831,#65224,.T.); #186091=EDGE_CURVE('',#152832,#152832,#65225,.T.); #186092=EDGE_CURVE('',#152832,#152833,#74243,.T.); #186093=EDGE_CURVE('',#152833,#152833,#65226,.T.); #186094=EDGE_CURVE('',#152834,#152834,#65227,.T.); #186095=EDGE_CURVE('',#152834,#152835,#74244,.T.); #186096=EDGE_CURVE('',#152835,#152835,#65228,.T.); #186097=EDGE_CURVE('',#152836,#152836,#65229,.T.); #186098=EDGE_CURVE('',#152836,#152837,#74245,.T.); #186099=EDGE_CURVE('',#152837,#152837,#65230,.T.); #186100=EDGE_CURVE('',#152838,#152838,#65231,.T.); #186101=EDGE_CURVE('',#152838,#152839,#74246,.T.); #186102=EDGE_CURVE('',#152839,#152839,#65232,.T.); #186103=EDGE_CURVE('',#152840,#152840,#65233,.T.); #186104=EDGE_CURVE('',#152840,#152841,#74247,.T.); #186105=EDGE_CURVE('',#152841,#152841,#65234,.T.); #186106=EDGE_CURVE('',#152842,#152842,#65235,.T.); #186107=EDGE_CURVE('',#152842,#152843,#74248,.T.); #186108=EDGE_CURVE('',#152843,#152843,#65236,.T.); #186109=EDGE_CURVE('',#152844,#152844,#65237,.T.); #186110=EDGE_CURVE('',#152844,#152845,#74249,.T.); #186111=EDGE_CURVE('',#152845,#152845,#65238,.T.); #186112=EDGE_CURVE('',#152846,#152846,#65239,.T.); #186113=EDGE_CURVE('',#152846,#152847,#74250,.T.); #186114=EDGE_CURVE('',#152847,#152847,#65240,.T.); #186115=EDGE_CURVE('',#152848,#152848,#65241,.T.); #186116=EDGE_CURVE('',#152848,#152849,#74251,.T.); #186117=EDGE_CURVE('',#152849,#152849,#65242,.T.); #186118=EDGE_CURVE('',#152850,#152850,#65243,.T.); #186119=EDGE_CURVE('',#152850,#152851,#74252,.T.); #186120=EDGE_CURVE('',#152851,#152851,#65244,.T.); #186121=EDGE_CURVE('',#152852,#152852,#65245,.T.); #186122=EDGE_CURVE('',#152852,#152853,#74253,.T.); #186123=EDGE_CURVE('',#152853,#152853,#65246,.T.); #186124=EDGE_CURVE('',#152854,#152854,#65247,.T.); #186125=EDGE_CURVE('',#152854,#152855,#74254,.T.); #186126=EDGE_CURVE('',#152855,#152855,#65248,.T.); #186127=EDGE_CURVE('',#152856,#152856,#65249,.T.); #186128=EDGE_CURVE('',#152856,#152857,#74255,.T.); #186129=EDGE_CURVE('',#152857,#152857,#65250,.T.); #186130=EDGE_CURVE('',#152858,#152858,#65251,.T.); #186131=EDGE_CURVE('',#152858,#152859,#74256,.T.); #186132=EDGE_CURVE('',#152859,#152859,#65252,.T.); #186133=EDGE_CURVE('',#152860,#152860,#65253,.T.); #186134=EDGE_CURVE('',#152860,#152861,#74257,.T.); #186135=EDGE_CURVE('',#152861,#152861,#65254,.T.); #186136=EDGE_CURVE('',#152862,#152862,#65255,.T.); #186137=EDGE_CURVE('',#152862,#152863,#74258,.T.); #186138=EDGE_CURVE('',#152863,#152863,#65256,.T.); #186139=EDGE_CURVE('',#152864,#152864,#65257,.T.); #186140=EDGE_CURVE('',#152864,#152865,#74259,.T.); #186141=EDGE_CURVE('',#152865,#152865,#65258,.T.); #186142=EDGE_CURVE('',#152866,#152866,#65259,.T.); #186143=EDGE_CURVE('',#152866,#152867,#74260,.T.); #186144=EDGE_CURVE('',#152867,#152867,#65260,.T.); #186145=EDGE_CURVE('',#152868,#152868,#65261,.T.); #186146=EDGE_CURVE('',#152868,#152869,#74261,.T.); #186147=EDGE_CURVE('',#152869,#152869,#65262,.T.); #186148=EDGE_CURVE('',#152870,#152870,#65263,.T.); #186149=EDGE_CURVE('',#152870,#152871,#74262,.T.); #186150=EDGE_CURVE('',#152871,#152871,#65264,.T.); #186151=EDGE_CURVE('',#152872,#152872,#65265,.T.); #186152=EDGE_CURVE('',#152872,#152873,#74263,.T.); #186153=EDGE_CURVE('',#152873,#152873,#65266,.T.); #186154=EDGE_CURVE('',#152874,#152874,#65267,.T.); #186155=EDGE_CURVE('',#152874,#152875,#74264,.T.); #186156=EDGE_CURVE('',#152875,#152875,#65268,.T.); #186157=EDGE_CURVE('',#152876,#152876,#65269,.T.); #186158=EDGE_CURVE('',#152876,#152877,#74265,.T.); #186159=EDGE_CURVE('',#152877,#152877,#65270,.T.); #186160=EDGE_CURVE('',#152878,#152878,#65271,.T.); #186161=EDGE_CURVE('',#152878,#152879,#74266,.T.); #186162=EDGE_CURVE('',#152879,#152879,#65272,.T.); #186163=EDGE_CURVE('',#152880,#152880,#65273,.T.); #186164=EDGE_CURVE('',#152880,#152881,#74267,.T.); #186165=EDGE_CURVE('',#152881,#152881,#65274,.T.); #186166=EDGE_CURVE('',#152882,#152882,#65275,.T.); #186167=EDGE_CURVE('',#152882,#152883,#74268,.T.); #186168=EDGE_CURVE('',#152883,#152883,#65276,.T.); #186169=EDGE_CURVE('',#152884,#152884,#65277,.T.); #186170=EDGE_CURVE('',#152884,#152885,#74269,.T.); #186171=EDGE_CURVE('',#152885,#152885,#65278,.T.); #186172=EDGE_CURVE('',#152886,#152886,#65279,.T.); #186173=EDGE_CURVE('',#152886,#152887,#74270,.T.); #186174=EDGE_CURVE('',#152887,#152887,#65280,.T.); #186175=EDGE_CURVE('',#152888,#152888,#65281,.T.); #186176=EDGE_CURVE('',#152888,#152889,#74271,.T.); #186177=EDGE_CURVE('',#152889,#152889,#65282,.T.); #186178=EDGE_CURVE('',#152890,#152890,#65283,.T.); #186179=EDGE_CURVE('',#152890,#152891,#74272,.T.); #186180=EDGE_CURVE('',#152891,#152891,#65284,.T.); #186181=EDGE_CURVE('',#152892,#152892,#65285,.T.); #186182=EDGE_CURVE('',#152892,#152893,#74273,.T.); #186183=EDGE_CURVE('',#152893,#152893,#65286,.T.); #186184=EDGE_CURVE('',#152894,#152894,#65287,.T.); #186185=EDGE_CURVE('',#152894,#152895,#74274,.T.); #186186=EDGE_CURVE('',#152895,#152895,#65288,.T.); #186187=EDGE_CURVE('',#152896,#152896,#65289,.T.); #186188=EDGE_CURVE('',#152896,#152897,#74275,.T.); #186189=EDGE_CURVE('',#152897,#152897,#65290,.T.); #186190=EDGE_CURVE('',#152898,#152898,#65291,.T.); #186191=EDGE_CURVE('',#152898,#152899,#74276,.T.); #186192=EDGE_CURVE('',#152899,#152899,#65292,.T.); #186193=EDGE_CURVE('',#152900,#152900,#65293,.T.); #186194=EDGE_CURVE('',#152900,#152901,#74277,.T.); #186195=EDGE_CURVE('',#152901,#152901,#65294,.T.); #186196=EDGE_CURVE('',#152902,#152902,#65295,.T.); #186197=EDGE_CURVE('',#152902,#152903,#74278,.T.); #186198=EDGE_CURVE('',#152903,#152903,#65296,.T.); #186199=EDGE_CURVE('',#152904,#152904,#65297,.T.); #186200=EDGE_CURVE('',#152904,#152905,#74279,.T.); #186201=EDGE_CURVE('',#152905,#152905,#65298,.T.); #186202=EDGE_CURVE('',#152906,#152906,#65299,.T.); #186203=EDGE_CURVE('',#152906,#152907,#74280,.T.); #186204=EDGE_CURVE('',#152907,#152907,#65300,.T.); #186205=EDGE_CURVE('',#152908,#152908,#65301,.T.); #186206=EDGE_CURVE('',#152908,#152909,#74281,.T.); #186207=EDGE_CURVE('',#152909,#152909,#65302,.T.); #186208=EDGE_CURVE('',#152910,#152910,#65303,.T.); #186209=EDGE_CURVE('',#152910,#152911,#74282,.T.); #186210=EDGE_CURVE('',#152911,#152911,#65304,.T.); #186211=EDGE_CURVE('',#152912,#152912,#65305,.T.); #186212=EDGE_CURVE('',#152912,#152913,#74283,.T.); #186213=EDGE_CURVE('',#152913,#152913,#65306,.T.); #186214=EDGE_CURVE('',#152914,#152914,#65307,.T.); #186215=EDGE_CURVE('',#152914,#152915,#74284,.T.); #186216=EDGE_CURVE('',#152915,#152915,#65308,.T.); #186217=EDGE_CURVE('',#152916,#152916,#65309,.T.); #186218=EDGE_CURVE('',#152916,#152917,#74285,.T.); #186219=EDGE_CURVE('',#152917,#152917,#65310,.T.); #186220=EDGE_CURVE('',#152918,#152918,#65311,.T.); #186221=EDGE_CURVE('',#152918,#152919,#74286,.T.); #186222=EDGE_CURVE('',#152919,#152919,#65312,.T.); #186223=EDGE_CURVE('',#152920,#152920,#65313,.T.); #186224=EDGE_CURVE('',#152920,#152921,#74287,.T.); #186225=EDGE_CURVE('',#152921,#152921,#65314,.T.); #186226=EDGE_CURVE('',#152922,#152922,#65315,.T.); #186227=EDGE_CURVE('',#152922,#152923,#74288,.T.); #186228=EDGE_CURVE('',#152923,#152923,#65316,.T.); #186229=EDGE_CURVE('',#152924,#152924,#65317,.T.); #186230=EDGE_CURVE('',#152924,#152925,#74289,.T.); #186231=EDGE_CURVE('',#152925,#152925,#65318,.T.); #186232=EDGE_CURVE('',#152926,#152926,#65319,.T.); #186233=EDGE_CURVE('',#152926,#152927,#74290,.T.); #186234=EDGE_CURVE('',#152927,#152927,#65320,.T.); #186235=EDGE_CURVE('',#152928,#152928,#65321,.T.); #186236=EDGE_CURVE('',#152928,#152929,#74291,.T.); #186237=EDGE_CURVE('',#152929,#152929,#65322,.T.); #186238=EDGE_CURVE('',#152930,#152930,#65323,.T.); #186239=EDGE_CURVE('',#152930,#152931,#74292,.T.); #186240=EDGE_CURVE('',#152931,#152931,#65324,.T.); #186241=EDGE_CURVE('',#152932,#152932,#65325,.T.); #186242=EDGE_CURVE('',#152932,#152933,#74293,.T.); #186243=EDGE_CURVE('',#152933,#152933,#65326,.T.); #186244=EDGE_CURVE('',#152934,#152934,#65327,.T.); #186245=EDGE_CURVE('',#152934,#152935,#74294,.T.); #186246=EDGE_CURVE('',#152935,#152935,#65328,.T.); #186247=EDGE_CURVE('',#152936,#152936,#65329,.T.); #186248=EDGE_CURVE('',#152936,#152937,#74295,.T.); #186249=EDGE_CURVE('',#152937,#152937,#65330,.T.); #186250=EDGE_CURVE('',#152938,#152938,#65331,.T.); #186251=EDGE_CURVE('',#152938,#152939,#74296,.T.); #186252=EDGE_CURVE('',#152939,#152939,#65332,.T.); #186253=EDGE_CURVE('',#152940,#152940,#65333,.T.); #186254=EDGE_CURVE('',#152940,#152941,#74297,.T.); #186255=EDGE_CURVE('',#152941,#152941,#65334,.T.); #186256=EDGE_CURVE('',#152942,#152942,#65335,.T.); #186257=EDGE_CURVE('',#152942,#152943,#74298,.T.); #186258=EDGE_CURVE('',#152943,#152943,#65336,.T.); #186259=EDGE_CURVE('',#152944,#152944,#65337,.T.); #186260=EDGE_CURVE('',#152944,#152945,#74299,.T.); #186261=EDGE_CURVE('',#152945,#152945,#65338,.T.); #186262=EDGE_CURVE('',#152946,#152946,#65339,.T.); #186263=EDGE_CURVE('',#152946,#152947,#74300,.T.); #186264=EDGE_CURVE('',#152947,#152947,#65340,.T.); #186265=EDGE_CURVE('',#152948,#152948,#65341,.T.); #186266=EDGE_CURVE('',#152948,#152949,#74301,.T.); #186267=EDGE_CURVE('',#152949,#152949,#65342,.T.); #186268=EDGE_CURVE('',#152950,#152950,#65343,.T.); #186269=EDGE_CURVE('',#152950,#152951,#74302,.T.); #186270=EDGE_CURVE('',#152951,#152951,#65344,.T.); #186271=EDGE_CURVE('',#152952,#152952,#65345,.T.); #186272=EDGE_CURVE('',#152952,#152953,#74303,.T.); #186273=EDGE_CURVE('',#152953,#152953,#65346,.T.); #186274=EDGE_CURVE('',#152954,#152954,#65347,.T.); #186275=EDGE_CURVE('',#152954,#152955,#74304,.T.); #186276=EDGE_CURVE('',#152955,#152955,#65348,.T.); #186277=EDGE_CURVE('',#152956,#152956,#65349,.T.); #186278=EDGE_CURVE('',#152956,#152957,#74305,.T.); #186279=EDGE_CURVE('',#152957,#152957,#65350,.T.); #186280=EDGE_CURVE('',#152958,#152958,#65351,.T.); #186281=EDGE_CURVE('',#152958,#152959,#74306,.T.); #186282=EDGE_CURVE('',#152959,#152959,#65352,.T.); #186283=EDGE_CURVE('',#152960,#152960,#65353,.T.); #186284=EDGE_CURVE('',#152960,#152961,#74307,.T.); #186285=EDGE_CURVE('',#152961,#152961,#65354,.T.); #186286=EDGE_CURVE('',#152962,#152962,#65355,.T.); #186287=EDGE_CURVE('',#152962,#152963,#74308,.T.); #186288=EDGE_CURVE('',#152963,#152963,#65356,.T.); #186289=EDGE_CURVE('',#152964,#152964,#65357,.T.); #186290=EDGE_CURVE('',#152964,#152965,#74309,.T.); #186291=EDGE_CURVE('',#152965,#152965,#65358,.T.); #186292=EDGE_CURVE('',#152966,#152966,#65359,.T.); #186293=EDGE_CURVE('',#152966,#152967,#74310,.T.); #186294=EDGE_CURVE('',#152967,#152967,#65360,.T.); #186295=EDGE_CURVE('',#152968,#152968,#65361,.T.); #186296=EDGE_CURVE('',#152968,#152969,#74311,.T.); #186297=EDGE_CURVE('',#152969,#152969,#65362,.T.); #186298=EDGE_CURVE('',#152970,#152970,#65363,.T.); #186299=EDGE_CURVE('',#152970,#152971,#74312,.T.); #186300=EDGE_CURVE('',#152971,#152971,#65364,.T.); #186301=EDGE_CURVE('',#152972,#152972,#65365,.T.); #186302=EDGE_CURVE('',#152972,#152973,#74313,.T.); #186303=EDGE_CURVE('',#152973,#152973,#65366,.T.); #186304=EDGE_CURVE('',#152974,#152974,#65367,.T.); #186305=EDGE_CURVE('',#152974,#152975,#74314,.T.); #186306=EDGE_CURVE('',#152975,#152975,#65368,.T.); #186307=EDGE_CURVE('',#152976,#152976,#65369,.T.); #186308=EDGE_CURVE('',#152976,#152977,#74315,.T.); #186309=EDGE_CURVE('',#152977,#152977,#65370,.T.); #186310=EDGE_CURVE('',#152978,#152978,#65371,.T.); #186311=EDGE_CURVE('',#152978,#152979,#74316,.T.); #186312=EDGE_CURVE('',#152979,#152979,#65372,.T.); #186313=EDGE_CURVE('',#152980,#152980,#65373,.T.); #186314=EDGE_CURVE('',#152980,#152981,#74317,.T.); #186315=EDGE_CURVE('',#152981,#152981,#65374,.T.); #186316=EDGE_CURVE('',#152982,#152982,#65375,.T.); #186317=EDGE_CURVE('',#152982,#152983,#74318,.T.); #186318=EDGE_CURVE('',#152983,#152983,#65376,.T.); #186319=EDGE_CURVE('',#152984,#152984,#65377,.T.); #186320=EDGE_CURVE('',#152984,#152985,#74319,.T.); #186321=EDGE_CURVE('',#152985,#152985,#65378,.T.); #186322=EDGE_CURVE('',#152986,#152986,#65379,.T.); #186323=EDGE_CURVE('',#152986,#152987,#74320,.T.); #186324=EDGE_CURVE('',#152987,#152987,#65380,.T.); #186325=EDGE_CURVE('',#152988,#152988,#65381,.T.); #186326=EDGE_CURVE('',#152988,#152989,#74321,.T.); #186327=EDGE_CURVE('',#152989,#152989,#65382,.T.); #186328=EDGE_CURVE('',#152990,#152990,#65383,.T.); #186329=EDGE_CURVE('',#152990,#152991,#74322,.T.); #186330=EDGE_CURVE('',#152991,#152991,#65384,.T.); #186331=EDGE_CURVE('',#152992,#152992,#65385,.T.); #186332=EDGE_CURVE('',#152992,#152993,#74323,.T.); #186333=EDGE_CURVE('',#152993,#152993,#65386,.T.); #186334=EDGE_CURVE('',#152994,#152994,#65387,.T.); #186335=EDGE_CURVE('',#152994,#152995,#74324,.T.); #186336=EDGE_CURVE('',#152995,#152995,#65388,.T.); #186337=EDGE_CURVE('',#152996,#152996,#65389,.T.); #186338=EDGE_CURVE('',#152996,#152997,#74325,.T.); #186339=EDGE_CURVE('',#152997,#152997,#65390,.T.); #186340=EDGE_CURVE('',#152998,#152998,#65391,.T.); #186341=EDGE_CURVE('',#152998,#152999,#74326,.T.); #186342=EDGE_CURVE('',#152999,#152999,#65392,.T.); #186343=EDGE_CURVE('',#153000,#153000,#65393,.T.); #186344=EDGE_CURVE('',#153000,#153001,#74327,.T.); #186345=EDGE_CURVE('',#153001,#153001,#65394,.T.); #186346=EDGE_CURVE('',#153002,#153002,#65395,.T.); #186347=EDGE_CURVE('',#153002,#153003,#74328,.T.); #186348=EDGE_CURVE('',#153003,#153003,#65396,.T.); #186349=EDGE_CURVE('',#153004,#153004,#65397,.T.); #186350=EDGE_CURVE('',#153004,#153005,#74329,.T.); #186351=EDGE_CURVE('',#153005,#153005,#65398,.T.); #186352=EDGE_CURVE('',#153006,#153006,#65399,.T.); #186353=EDGE_CURVE('',#153006,#153007,#74330,.T.); #186354=EDGE_CURVE('',#153007,#153007,#65400,.T.); #186355=EDGE_CURVE('',#153008,#153008,#65401,.T.); #186356=EDGE_CURVE('',#153008,#153009,#74331,.T.); #186357=EDGE_CURVE('',#153009,#153009,#65402,.T.); #186358=EDGE_CURVE('',#153010,#153010,#65403,.T.); #186359=EDGE_CURVE('',#153010,#153011,#74332,.T.); #186360=EDGE_CURVE('',#153011,#153011,#65404,.T.); #186361=EDGE_CURVE('',#153012,#153012,#65405,.T.); #186362=EDGE_CURVE('',#153012,#153013,#74333,.T.); #186363=EDGE_CURVE('',#153013,#153013,#65406,.T.); #186364=EDGE_CURVE('',#153014,#153014,#65407,.T.); #186365=EDGE_CURVE('',#153014,#153015,#74334,.T.); #186366=EDGE_CURVE('',#153015,#153015,#65408,.T.); #186367=EDGE_CURVE('',#153016,#153016,#65409,.T.); #186368=EDGE_CURVE('',#153016,#153017,#74335,.T.); #186369=EDGE_CURVE('',#153017,#153017,#65410,.T.); #186370=EDGE_CURVE('',#153018,#153018,#65411,.T.); #186371=EDGE_CURVE('',#153018,#153019,#74336,.T.); #186372=EDGE_CURVE('',#153019,#153019,#65412,.T.); #186373=EDGE_CURVE('',#153020,#153020,#65413,.T.); #186374=EDGE_CURVE('',#153020,#153021,#74337,.T.); #186375=EDGE_CURVE('',#153021,#153021,#65414,.T.); #186376=EDGE_CURVE('',#153022,#153022,#65415,.T.); #186377=EDGE_CURVE('',#153022,#153023,#74338,.T.); #186378=EDGE_CURVE('',#153023,#153023,#65416,.T.); #186379=EDGE_CURVE('',#153024,#153024,#65417,.T.); #186380=EDGE_CURVE('',#153024,#153025,#74339,.T.); #186381=EDGE_CURVE('',#153025,#153025,#65418,.T.); #186382=EDGE_CURVE('',#153026,#153026,#65419,.T.); #186383=EDGE_CURVE('',#153026,#153027,#74340,.T.); #186384=EDGE_CURVE('',#153027,#153027,#65420,.T.); #186385=EDGE_CURVE('',#153028,#153028,#65421,.T.); #186386=EDGE_CURVE('',#153028,#153029,#74341,.T.); #186387=EDGE_CURVE('',#153029,#153029,#65422,.T.); #186388=EDGE_CURVE('',#153030,#153030,#65423,.T.); #186389=EDGE_CURVE('',#153030,#153031,#74342,.T.); #186390=EDGE_CURVE('',#153031,#153031,#65424,.T.); #186391=EDGE_CURVE('',#153032,#153032,#65425,.T.); #186392=EDGE_CURVE('',#153032,#153033,#74343,.T.); #186393=EDGE_CURVE('',#153033,#153033,#65426,.T.); #186394=EDGE_CURVE('',#153034,#153034,#65427,.T.); #186395=EDGE_CURVE('',#153034,#153035,#74344,.T.); #186396=EDGE_CURVE('',#153035,#153035,#65428,.T.); #186397=EDGE_CURVE('',#153036,#153036,#65429,.T.); #186398=EDGE_CURVE('',#153036,#153037,#74345,.T.); #186399=EDGE_CURVE('',#153037,#153037,#65430,.T.); #186400=EDGE_CURVE('',#153038,#153038,#65431,.T.); #186401=EDGE_CURVE('',#153038,#153039,#74346,.T.); #186402=EDGE_CURVE('',#153039,#153039,#65432,.T.); #186403=EDGE_CURVE('',#153040,#153040,#65433,.T.); #186404=EDGE_CURVE('',#153040,#153041,#74347,.T.); #186405=EDGE_CURVE('',#153041,#153041,#65434,.T.); #186406=EDGE_CURVE('',#153042,#153042,#65435,.T.); #186407=EDGE_CURVE('',#153042,#153043,#74348,.T.); #186408=EDGE_CURVE('',#153043,#153043,#65436,.T.); #186409=EDGE_CURVE('',#153044,#153044,#65437,.T.); #186410=EDGE_CURVE('',#153044,#153045,#74349,.T.); #186411=EDGE_CURVE('',#153045,#153045,#65438,.T.); #186412=EDGE_CURVE('',#153046,#153046,#65439,.T.); #186413=EDGE_CURVE('',#153046,#153047,#74350,.T.); #186414=EDGE_CURVE('',#153047,#153047,#65440,.T.); #186415=EDGE_CURVE('',#153048,#153048,#65441,.T.); #186416=EDGE_CURVE('',#153048,#153049,#74351,.T.); #186417=EDGE_CURVE('',#153049,#153049,#65442,.T.); #186418=EDGE_CURVE('',#153050,#153050,#65443,.T.); #186419=EDGE_CURVE('',#153050,#153051,#74352,.T.); #186420=EDGE_CURVE('',#153051,#153051,#65444,.T.); #186421=EDGE_CURVE('',#153052,#153052,#65445,.T.); #186422=EDGE_CURVE('',#153052,#153053,#74353,.T.); #186423=EDGE_CURVE('',#153053,#153053,#65446,.T.); #186424=EDGE_CURVE('',#153054,#153054,#65447,.T.); #186425=EDGE_CURVE('',#153054,#153055,#74354,.T.); #186426=EDGE_CURVE('',#153055,#153055,#65448,.T.); #186427=EDGE_CURVE('',#153056,#153056,#65449,.T.); #186428=EDGE_CURVE('',#153056,#153057,#74355,.T.); #186429=EDGE_CURVE('',#153057,#153057,#65450,.T.); #186430=EDGE_CURVE('',#153058,#153058,#65451,.T.); #186431=EDGE_CURVE('',#153058,#153059,#74356,.T.); #186432=EDGE_CURVE('',#153059,#153059,#65452,.T.); #186433=EDGE_CURVE('',#153060,#153060,#65453,.T.); #186434=EDGE_CURVE('',#153060,#153061,#74357,.T.); #186435=EDGE_CURVE('',#153061,#153061,#65454,.T.); #186436=EDGE_CURVE('',#153062,#153062,#65455,.T.); #186437=EDGE_CURVE('',#153062,#153063,#74358,.T.); #186438=EDGE_CURVE('',#153063,#153063,#65456,.T.); #186439=EDGE_CURVE('',#153064,#153064,#65457,.T.); #186440=EDGE_CURVE('',#153064,#153065,#74359,.T.); #186441=EDGE_CURVE('',#153065,#153065,#65458,.T.); #186442=EDGE_CURVE('',#153066,#153066,#65459,.T.); #186443=EDGE_CURVE('',#153066,#153067,#74360,.T.); #186444=EDGE_CURVE('',#153067,#153067,#65460,.T.); #186445=EDGE_CURVE('',#153068,#153068,#65461,.T.); #186446=EDGE_CURVE('',#153068,#153069,#74361,.T.); #186447=EDGE_CURVE('',#153069,#153069,#65462,.T.); #186448=EDGE_CURVE('',#153070,#153070,#65463,.T.); #186449=EDGE_CURVE('',#153070,#153071,#74362,.T.); #186450=EDGE_CURVE('',#153071,#153071,#65464,.T.); #186451=EDGE_CURVE('',#153072,#153072,#65465,.T.); #186452=EDGE_CURVE('',#153072,#153073,#74363,.T.); #186453=EDGE_CURVE('',#153073,#153073,#65466,.T.); #186454=EDGE_CURVE('',#153074,#153074,#65467,.T.); #186455=EDGE_CURVE('',#153074,#153075,#74364,.T.); #186456=EDGE_CURVE('',#153075,#153075,#65468,.T.); #186457=EDGE_CURVE('',#153076,#153076,#65469,.T.); #186458=EDGE_CURVE('',#153076,#153077,#74365,.T.); #186459=EDGE_CURVE('',#153077,#153077,#65470,.T.); #186460=EDGE_CURVE('',#153078,#153078,#65471,.T.); #186461=EDGE_CURVE('',#153078,#153079,#74366,.T.); #186462=EDGE_CURVE('',#153079,#153079,#65472,.T.); #186463=EDGE_CURVE('',#153080,#153080,#65473,.T.); #186464=EDGE_CURVE('',#153080,#153081,#74367,.T.); #186465=EDGE_CURVE('',#153081,#153081,#65474,.T.); #186466=EDGE_CURVE('',#153082,#153082,#65475,.T.); #186467=EDGE_CURVE('',#153082,#153083,#74368,.T.); #186468=EDGE_CURVE('',#153083,#153083,#65476,.T.); #186469=EDGE_CURVE('',#153084,#153084,#65477,.T.); #186470=EDGE_CURVE('',#153084,#153085,#74369,.T.); #186471=EDGE_CURVE('',#153085,#153085,#65478,.T.); #186472=EDGE_CURVE('',#153086,#153086,#65479,.T.); #186473=EDGE_CURVE('',#153086,#153087,#74370,.T.); #186474=EDGE_CURVE('',#153087,#153087,#65480,.T.); #186475=EDGE_CURVE('',#153088,#153088,#65481,.T.); #186476=EDGE_CURVE('',#153088,#153089,#74371,.T.); #186477=EDGE_CURVE('',#153089,#153089,#65482,.T.); #186478=EDGE_CURVE('',#153090,#153090,#65483,.T.); #186479=EDGE_CURVE('',#153090,#153091,#74372,.T.); #186480=EDGE_CURVE('',#153091,#153091,#65484,.T.); #186481=EDGE_CURVE('',#153092,#153092,#65485,.T.); #186482=EDGE_CURVE('',#153092,#153093,#74373,.T.); #186483=EDGE_CURVE('',#153093,#153093,#65486,.T.); #186484=EDGE_CURVE('',#153094,#153094,#65487,.T.); #186485=EDGE_CURVE('',#153094,#153095,#74374,.T.); #186486=EDGE_CURVE('',#153095,#153095,#65488,.T.); #186487=EDGE_CURVE('',#153096,#153096,#65489,.T.); #186488=EDGE_CURVE('',#153096,#153097,#74375,.T.); #186489=EDGE_CURVE('',#153097,#153097,#65490,.T.); #186490=EDGE_CURVE('',#153098,#153098,#65491,.T.); #186491=EDGE_CURVE('',#153098,#153099,#74376,.T.); #186492=EDGE_CURVE('',#153099,#153099,#65492,.T.); #186493=EDGE_CURVE('',#153100,#153100,#65493,.T.); #186494=EDGE_CURVE('',#153100,#153101,#74377,.T.); #186495=EDGE_CURVE('',#153101,#153101,#65494,.T.); #186496=EDGE_CURVE('',#153102,#153102,#65495,.T.); #186497=EDGE_CURVE('',#153102,#153103,#74378,.T.); #186498=EDGE_CURVE('',#153103,#153103,#65496,.T.); #186499=EDGE_CURVE('',#153104,#153104,#65497,.T.); #186500=EDGE_CURVE('',#153104,#153105,#74379,.T.); #186501=EDGE_CURVE('',#153105,#153105,#65498,.T.); #186502=EDGE_CURVE('',#153106,#153106,#65499,.T.); #186503=EDGE_CURVE('',#153106,#153107,#74380,.T.); #186504=EDGE_CURVE('',#153107,#153107,#65500,.T.); #186505=EDGE_CURVE('',#153108,#153108,#65501,.T.); #186506=EDGE_CURVE('',#153108,#153109,#74381,.T.); #186507=EDGE_CURVE('',#153109,#153109,#65502,.T.); #186508=EDGE_CURVE('',#153110,#153110,#65503,.T.); #186509=EDGE_CURVE('',#153110,#153111,#74382,.T.); #186510=EDGE_CURVE('',#153111,#153111,#65504,.T.); #186511=EDGE_CURVE('',#153112,#153112,#65505,.T.); #186512=EDGE_CURVE('',#153112,#153113,#74383,.T.); #186513=EDGE_CURVE('',#153113,#153113,#65506,.T.); #186514=EDGE_CURVE('',#153114,#153114,#65507,.T.); #186515=EDGE_CURVE('',#153114,#153115,#74384,.T.); #186516=EDGE_CURVE('',#153115,#153115,#65508,.T.); #186517=EDGE_CURVE('',#153116,#153116,#65509,.T.); #186518=EDGE_CURVE('',#153116,#153117,#74385,.T.); #186519=EDGE_CURVE('',#153117,#153117,#65510,.T.); #186520=EDGE_CURVE('',#153118,#153118,#65511,.T.); #186521=EDGE_CURVE('',#153118,#153119,#74386,.T.); #186522=EDGE_CURVE('',#153119,#153119,#65512,.T.); #186523=EDGE_CURVE('',#153120,#153120,#65513,.T.); #186524=EDGE_CURVE('',#153120,#153121,#74387,.T.); #186525=EDGE_CURVE('',#153121,#153121,#65514,.T.); #186526=EDGE_CURVE('',#153122,#153122,#65515,.T.); #186527=EDGE_CURVE('',#153122,#153123,#74388,.T.); #186528=EDGE_CURVE('',#153123,#153123,#65516,.T.); #186529=EDGE_CURVE('',#153124,#153124,#65517,.T.); #186530=EDGE_CURVE('',#153124,#153125,#74389,.T.); #186531=EDGE_CURVE('',#153125,#153125,#65518,.T.); #186532=EDGE_CURVE('',#153126,#153126,#65519,.T.); #186533=EDGE_CURVE('',#153126,#153127,#74390,.T.); #186534=EDGE_CURVE('',#153127,#153127,#65520,.T.); #186535=EDGE_CURVE('',#153128,#153128,#65521,.T.); #186536=EDGE_CURVE('',#153128,#153129,#74391,.T.); #186537=EDGE_CURVE('',#153129,#153129,#65522,.T.); #186538=EDGE_CURVE('',#153130,#153130,#65523,.T.); #186539=EDGE_CURVE('',#153130,#153131,#74392,.T.); #186540=EDGE_CURVE('',#153131,#153131,#65524,.T.); #186541=EDGE_CURVE('',#153132,#153132,#65525,.T.); #186542=EDGE_CURVE('',#153132,#153133,#74393,.T.); #186543=EDGE_CURVE('',#153133,#153133,#65526,.T.); #186544=EDGE_CURVE('',#153134,#153134,#65527,.T.); #186545=EDGE_CURVE('',#153134,#153135,#74394,.T.); #186546=EDGE_CURVE('',#153135,#153135,#65528,.T.); #186547=EDGE_CURVE('',#153136,#153136,#65529,.T.); #186548=EDGE_CURVE('',#153136,#153137,#74395,.T.); #186549=EDGE_CURVE('',#153137,#153137,#65530,.T.); #186550=EDGE_CURVE('',#153138,#153138,#65531,.T.); #186551=EDGE_CURVE('',#153138,#153139,#74396,.T.); #186552=EDGE_CURVE('',#153139,#153139,#65532,.T.); #186553=EDGE_CURVE('',#153140,#153140,#65533,.T.); #186554=EDGE_CURVE('',#153140,#153141,#74397,.T.); #186555=EDGE_CURVE('',#153141,#153141,#65534,.T.); #186556=EDGE_CURVE('',#153142,#153142,#65535,.T.); #186557=EDGE_CURVE('',#153142,#153143,#74398,.T.); #186558=EDGE_CURVE('',#153143,#153143,#65536,.T.); #186559=EDGE_CURVE('',#153144,#153144,#65537,.T.); #186560=EDGE_CURVE('',#153144,#153145,#74399,.T.); #186561=EDGE_CURVE('',#153145,#153145,#65538,.T.); #186562=EDGE_CURVE('',#153146,#153146,#65539,.T.); #186563=EDGE_CURVE('',#153146,#153147,#74400,.T.); #186564=EDGE_CURVE('',#153147,#153147,#65540,.T.); #186565=EDGE_CURVE('',#153148,#153148,#65541,.T.); #186566=EDGE_CURVE('',#153148,#153149,#74401,.T.); #186567=EDGE_CURVE('',#153149,#153149,#65542,.T.); #186568=EDGE_CURVE('',#153150,#153150,#65543,.T.); #186569=EDGE_CURVE('',#153150,#153151,#74402,.T.); #186570=EDGE_CURVE('',#153151,#153151,#65544,.T.); #186571=EDGE_CURVE('',#153152,#153152,#65545,.T.); #186572=EDGE_CURVE('',#153152,#153153,#74403,.T.); #186573=EDGE_CURVE('',#153153,#153153,#65546,.T.); #186574=EDGE_CURVE('',#153154,#153154,#65547,.T.); #186575=EDGE_CURVE('',#153154,#153155,#74404,.T.); #186576=EDGE_CURVE('',#153155,#153155,#65548,.T.); #186577=EDGE_CURVE('',#153156,#153156,#65549,.T.); #186578=EDGE_CURVE('',#153156,#153157,#74405,.T.); #186579=EDGE_CURVE('',#153157,#153157,#65550,.T.); #186580=EDGE_CURVE('',#153158,#153158,#65551,.T.); #186581=EDGE_CURVE('',#153158,#153159,#74406,.T.); #186582=EDGE_CURVE('',#153159,#153159,#65552,.T.); #186583=EDGE_CURVE('',#153160,#153160,#65553,.T.); #186584=EDGE_CURVE('',#153160,#153161,#74407,.T.); #186585=EDGE_CURVE('',#153161,#153161,#65554,.T.); #186586=EDGE_CURVE('',#153162,#153162,#65555,.T.); #186587=EDGE_CURVE('',#153162,#153163,#74408,.T.); #186588=EDGE_CURVE('',#153163,#153163,#65556,.T.); #186589=EDGE_CURVE('',#153164,#153164,#65557,.T.); #186590=EDGE_CURVE('',#153164,#153165,#74409,.T.); #186591=EDGE_CURVE('',#153165,#153165,#65558,.T.); #186592=EDGE_CURVE('',#153166,#153166,#65559,.T.); #186593=EDGE_CURVE('',#153166,#153167,#74410,.T.); #186594=EDGE_CURVE('',#153167,#153167,#65560,.T.); #186595=EDGE_CURVE('',#153168,#153168,#65561,.T.); #186596=EDGE_CURVE('',#153168,#153169,#74411,.T.); #186597=EDGE_CURVE('',#153169,#153169,#65562,.T.); #186598=EDGE_CURVE('',#153170,#153170,#65563,.T.); #186599=EDGE_CURVE('',#153170,#153171,#74412,.T.); #186600=EDGE_CURVE('',#153171,#153171,#65564,.T.); #186601=EDGE_CURVE('',#153172,#153172,#65565,.T.); #186602=EDGE_CURVE('',#153172,#153173,#74413,.T.); #186603=EDGE_CURVE('',#153173,#153173,#65566,.T.); #186604=EDGE_CURVE('',#153174,#153174,#65567,.T.); #186605=EDGE_CURVE('',#153174,#153175,#74414,.T.); #186606=EDGE_CURVE('',#153175,#153175,#65568,.T.); #186607=EDGE_CURVE('',#153176,#153176,#65569,.T.); #186608=EDGE_CURVE('',#153176,#153177,#74415,.T.); #186609=EDGE_CURVE('',#153177,#153177,#65570,.T.); #186610=EDGE_CURVE('',#153178,#153178,#65571,.T.); #186611=EDGE_CURVE('',#153178,#153179,#74416,.T.); #186612=EDGE_CURVE('',#153179,#153179,#65572,.T.); #186613=EDGE_CURVE('',#153180,#153180,#65573,.T.); #186614=EDGE_CURVE('',#153180,#153181,#74417,.T.); #186615=EDGE_CURVE('',#153181,#153181,#65574,.T.); #186616=EDGE_CURVE('',#153182,#153182,#65575,.T.); #186617=EDGE_CURVE('',#153182,#153183,#74418,.T.); #186618=EDGE_CURVE('',#153183,#153183,#65576,.T.); #186619=EDGE_CURVE('',#153184,#153184,#65577,.T.); #186620=EDGE_CURVE('',#153184,#153185,#74419,.T.); #186621=EDGE_CURVE('',#153185,#153185,#65578,.T.); #186622=EDGE_CURVE('',#153186,#153186,#65579,.T.); #186623=EDGE_CURVE('',#153186,#153187,#74420,.T.); #186624=EDGE_CURVE('',#153187,#153187,#65580,.T.); #186625=EDGE_CURVE('',#153188,#153188,#65581,.T.); #186626=EDGE_CURVE('',#153188,#153189,#74421,.T.); #186627=EDGE_CURVE('',#153189,#153189,#65582,.T.); #186628=EDGE_CURVE('',#153190,#153190,#65583,.T.); #186629=EDGE_CURVE('',#153190,#153191,#74422,.T.); #186630=EDGE_CURVE('',#153191,#153191,#65584,.T.); #186631=EDGE_CURVE('',#153192,#153192,#65585,.T.); #186632=EDGE_CURVE('',#153192,#153193,#74423,.T.); #186633=EDGE_CURVE('',#153193,#153193,#65586,.T.); #186634=EDGE_CURVE('',#153194,#153194,#65587,.T.); #186635=EDGE_CURVE('',#153194,#153195,#74424,.T.); #186636=EDGE_CURVE('',#153195,#153195,#65588,.T.); #186637=EDGE_CURVE('',#153196,#153196,#65589,.T.); #186638=EDGE_CURVE('',#153196,#153197,#74425,.T.); #186639=EDGE_CURVE('',#153197,#153197,#65590,.T.); #186640=EDGE_CURVE('',#153198,#153198,#65591,.T.); #186641=EDGE_CURVE('',#153198,#153199,#74426,.T.); #186642=EDGE_CURVE('',#153199,#153199,#65592,.T.); #186643=EDGE_CURVE('',#153200,#153200,#65593,.T.); #186644=EDGE_CURVE('',#153200,#153201,#74427,.T.); #186645=EDGE_CURVE('',#153201,#153201,#65594,.T.); #186646=EDGE_CURVE('',#153202,#153202,#65595,.T.); #186647=EDGE_CURVE('',#153202,#153203,#74428,.T.); #186648=EDGE_CURVE('',#153203,#153203,#65596,.T.); #186649=EDGE_CURVE('',#153204,#153204,#65597,.T.); #186650=EDGE_CURVE('',#153204,#153205,#74429,.T.); #186651=EDGE_CURVE('',#153205,#153205,#65598,.T.); #186652=EDGE_CURVE('',#153206,#153206,#65599,.T.); #186653=EDGE_CURVE('',#153206,#153207,#74430,.T.); #186654=EDGE_CURVE('',#153207,#153207,#65600,.T.); #186655=EDGE_CURVE('',#153208,#153208,#65601,.T.); #186656=EDGE_CURVE('',#153208,#153209,#74431,.T.); #186657=EDGE_CURVE('',#153209,#153209,#65602,.T.); #186658=EDGE_CURVE('',#153210,#153210,#65603,.T.); #186659=EDGE_CURVE('',#153210,#153211,#74432,.T.); #186660=EDGE_CURVE('',#153211,#153211,#65604,.T.); #186661=EDGE_CURVE('',#153212,#153212,#65605,.T.); #186662=EDGE_CURVE('',#153212,#153213,#74433,.T.); #186663=EDGE_CURVE('',#153213,#153213,#65606,.T.); #186664=EDGE_CURVE('',#153214,#153214,#65607,.T.); #186665=EDGE_CURVE('',#153214,#153215,#74434,.T.); #186666=EDGE_CURVE('',#153215,#153215,#65608,.T.); #186667=EDGE_CURVE('',#153216,#153216,#65609,.T.); #186668=EDGE_CURVE('',#153216,#153217,#74435,.T.); #186669=EDGE_CURVE('',#153217,#153217,#65610,.T.); #186670=EDGE_CURVE('',#153218,#153218,#65611,.T.); #186671=EDGE_CURVE('',#153218,#153219,#74436,.T.); #186672=EDGE_CURVE('',#153219,#153219,#65612,.T.); #186673=EDGE_CURVE('',#153220,#153220,#65613,.T.); #186674=EDGE_CURVE('',#153220,#153221,#74437,.T.); #186675=EDGE_CURVE('',#153221,#153221,#65614,.T.); #186676=EDGE_CURVE('',#153222,#153222,#65615,.T.); #186677=EDGE_CURVE('',#153222,#153223,#74438,.T.); #186678=EDGE_CURVE('',#153223,#153223,#65616,.T.); #186679=EDGE_CURVE('',#153224,#153224,#65617,.T.); #186680=EDGE_CURVE('',#153224,#153225,#74439,.T.); #186681=EDGE_CURVE('',#153225,#153225,#65618,.T.); #186682=EDGE_CURVE('',#153226,#153226,#65619,.T.); #186683=EDGE_CURVE('',#153226,#153227,#74440,.T.); #186684=EDGE_CURVE('',#153227,#153227,#65620,.T.); #186685=EDGE_CURVE('',#153228,#153228,#65621,.T.); #186686=EDGE_CURVE('',#153228,#153229,#74441,.T.); #186687=EDGE_CURVE('',#153229,#153229,#65622,.T.); #186688=EDGE_CURVE('',#153230,#153230,#65623,.T.); #186689=EDGE_CURVE('',#153230,#153231,#74442,.T.); #186690=EDGE_CURVE('',#153231,#153231,#65624,.T.); #186691=EDGE_CURVE('',#153232,#153232,#65625,.T.); #186692=EDGE_CURVE('',#153232,#153233,#74443,.T.); #186693=EDGE_CURVE('',#153233,#153233,#65626,.T.); #186694=EDGE_CURVE('',#153234,#153234,#65627,.T.); #186695=EDGE_CURVE('',#153234,#153235,#74444,.T.); #186696=EDGE_CURVE('',#153235,#153235,#65628,.T.); #186697=EDGE_CURVE('',#153236,#153236,#65629,.T.); #186698=EDGE_CURVE('',#153236,#153237,#74445,.T.); #186699=EDGE_CURVE('',#153237,#153237,#65630,.T.); #186700=EDGE_CURVE('',#153238,#153238,#65631,.T.); #186701=EDGE_CURVE('',#153238,#153239,#74446,.T.); #186702=EDGE_CURVE('',#153239,#153239,#65632,.T.); #186703=EDGE_CURVE('',#153240,#153240,#65633,.T.); #186704=EDGE_CURVE('',#153240,#153241,#74447,.T.); #186705=EDGE_CURVE('',#153241,#153241,#65634,.T.); #186706=EDGE_CURVE('',#153242,#153242,#65635,.T.); #186707=EDGE_CURVE('',#153242,#153243,#74448,.T.); #186708=EDGE_CURVE('',#153243,#153243,#65636,.T.); #186709=EDGE_CURVE('',#153244,#153244,#65637,.T.); #186710=EDGE_CURVE('',#153244,#153245,#74449,.T.); #186711=EDGE_CURVE('',#153245,#153245,#65638,.T.); #186712=EDGE_CURVE('',#153246,#153246,#65639,.T.); #186713=EDGE_CURVE('',#153246,#153247,#74450,.T.); #186714=EDGE_CURVE('',#153247,#153247,#65640,.T.); #186715=EDGE_CURVE('',#153248,#153248,#65641,.T.); #186716=EDGE_CURVE('',#153248,#153249,#74451,.T.); #186717=EDGE_CURVE('',#153249,#153249,#65642,.T.); #186718=EDGE_CURVE('',#153250,#153250,#65643,.T.); #186719=EDGE_CURVE('',#153250,#153251,#74452,.T.); #186720=EDGE_CURVE('',#153251,#153251,#65644,.T.); #186721=EDGE_CURVE('',#153252,#153252,#65645,.T.); #186722=EDGE_CURVE('',#153252,#153253,#74453,.T.); #186723=EDGE_CURVE('',#153253,#153253,#65646,.T.); #186724=EDGE_CURVE('',#153254,#153254,#65647,.T.); #186725=EDGE_CURVE('',#153254,#153255,#74454,.T.); #186726=EDGE_CURVE('',#153255,#153255,#65648,.T.); #186727=EDGE_CURVE('',#153256,#153256,#65649,.T.); #186728=EDGE_CURVE('',#153256,#153257,#74455,.T.); #186729=EDGE_CURVE('',#153257,#153257,#65650,.T.); #186730=EDGE_CURVE('',#153258,#153258,#65651,.T.); #186731=EDGE_CURVE('',#153258,#153259,#74456,.T.); #186732=EDGE_CURVE('',#153259,#153259,#65652,.T.); #186733=EDGE_CURVE('',#153260,#153260,#65653,.T.); #186734=EDGE_CURVE('',#153260,#153261,#74457,.T.); #186735=EDGE_CURVE('',#153261,#153261,#65654,.T.); #186736=EDGE_CURVE('',#153262,#153262,#65655,.T.); #186737=EDGE_CURVE('',#153262,#153263,#74458,.T.); #186738=EDGE_CURVE('',#153263,#153263,#65656,.T.); #186739=EDGE_CURVE('',#153264,#153264,#65657,.T.); #186740=EDGE_CURVE('',#153264,#153265,#74459,.T.); #186741=EDGE_CURVE('',#153265,#153265,#65658,.T.); #186742=EDGE_CURVE('',#153266,#153266,#65659,.T.); #186743=EDGE_CURVE('',#153266,#153267,#74460,.T.); #186744=EDGE_CURVE('',#153267,#153267,#65660,.T.); #186745=EDGE_CURVE('',#153268,#153268,#65661,.T.); #186746=EDGE_CURVE('',#153268,#153269,#74461,.T.); #186747=EDGE_CURVE('',#153269,#153269,#65662,.T.); #186748=EDGE_CURVE('',#153270,#153270,#65663,.T.); #186749=EDGE_CURVE('',#153270,#153271,#74462,.T.); #186750=EDGE_CURVE('',#153271,#153271,#65664,.T.); #186751=EDGE_CURVE('',#153272,#153272,#65665,.T.); #186752=EDGE_CURVE('',#153272,#153273,#74463,.T.); #186753=EDGE_CURVE('',#153273,#153273,#65666,.T.); #186754=EDGE_CURVE('',#153274,#153274,#65667,.T.); #186755=EDGE_CURVE('',#153274,#153275,#74464,.T.); #186756=EDGE_CURVE('',#153275,#153275,#65668,.T.); #186757=EDGE_CURVE('',#153276,#153276,#65669,.T.); #186758=EDGE_CURVE('',#153276,#153277,#74465,.T.); #186759=EDGE_CURVE('',#153277,#153277,#65670,.T.); #186760=EDGE_CURVE('',#153278,#153278,#65671,.T.); #186761=EDGE_CURVE('',#153278,#153279,#74466,.T.); #186762=EDGE_CURVE('',#153279,#153279,#65672,.T.); #186763=EDGE_CURVE('',#153280,#153280,#65673,.T.); #186764=EDGE_CURVE('',#153280,#153281,#74467,.T.); #186765=EDGE_CURVE('',#153281,#153281,#65674,.T.); #186766=EDGE_CURVE('',#153282,#153282,#65675,.T.); #186767=EDGE_CURVE('',#153282,#153283,#74468,.T.); #186768=EDGE_CURVE('',#153283,#153283,#65676,.T.); #186769=EDGE_CURVE('',#153284,#153284,#65677,.T.); #186770=EDGE_CURVE('',#153284,#153285,#74469,.T.); #186771=EDGE_CURVE('',#153285,#153285,#65678,.T.); #186772=EDGE_CURVE('',#153286,#153286,#65679,.T.); #186773=EDGE_CURVE('',#153286,#153287,#74470,.T.); #186774=EDGE_CURVE('',#153287,#153287,#65680,.T.); #186775=EDGE_CURVE('',#153288,#153288,#65681,.T.); #186776=EDGE_CURVE('',#153288,#153289,#74471,.T.); #186777=EDGE_CURVE('',#153289,#153289,#65682,.T.); #186778=EDGE_CURVE('',#153290,#153290,#65683,.T.); #186779=EDGE_CURVE('',#153290,#153291,#74472,.T.); #186780=EDGE_CURVE('',#153291,#153291,#65684,.T.); #186781=EDGE_CURVE('',#153292,#153292,#65685,.T.); #186782=EDGE_CURVE('',#153292,#153293,#74473,.T.); #186783=EDGE_CURVE('',#153293,#153293,#65686,.T.); #186784=EDGE_CURVE('',#153294,#153294,#65687,.T.); #186785=EDGE_CURVE('',#153294,#153295,#74474,.T.); #186786=EDGE_CURVE('',#153295,#153295,#65688,.T.); #186787=EDGE_CURVE('',#153296,#153296,#65689,.T.); #186788=EDGE_CURVE('',#153296,#153297,#74475,.T.); #186789=EDGE_CURVE('',#153297,#153297,#65690,.T.); #186790=EDGE_CURVE('',#153298,#153298,#65691,.T.); #186791=EDGE_CURVE('',#153298,#153299,#74476,.T.); #186792=EDGE_CURVE('',#153299,#153299,#65692,.T.); #186793=EDGE_CURVE('',#153300,#153300,#65693,.T.); #186794=EDGE_CURVE('',#153300,#153301,#74477,.T.); #186795=EDGE_CURVE('',#153301,#153301,#65694,.T.); #186796=EDGE_CURVE('',#153302,#153302,#65695,.T.); #186797=EDGE_CURVE('',#153302,#153303,#74478,.T.); #186798=EDGE_CURVE('',#153303,#153303,#65696,.T.); #186799=EDGE_CURVE('',#153304,#153304,#65697,.T.); #186800=EDGE_CURVE('',#153304,#153305,#74479,.T.); #186801=EDGE_CURVE('',#153305,#153305,#65698,.T.); #186802=EDGE_CURVE('',#153306,#153306,#65699,.T.); #186803=EDGE_CURVE('',#153306,#153307,#74480,.T.); #186804=EDGE_CURVE('',#153307,#153307,#65700,.T.); #186805=EDGE_CURVE('',#153308,#153308,#65701,.T.); #186806=EDGE_CURVE('',#153308,#153309,#74481,.T.); #186807=EDGE_CURVE('',#153309,#153309,#65702,.T.); #186808=EDGE_CURVE('',#153310,#153310,#65703,.T.); #186809=EDGE_CURVE('',#153310,#153311,#74482,.T.); #186810=EDGE_CURVE('',#153311,#153311,#65704,.T.); #186811=EDGE_CURVE('',#153312,#153312,#65705,.T.); #186812=EDGE_CURVE('',#153312,#153313,#74483,.T.); #186813=EDGE_CURVE('',#153313,#153313,#65706,.T.); #186814=EDGE_CURVE('',#153314,#153314,#65707,.T.); #186815=EDGE_CURVE('',#153314,#153315,#74484,.T.); #186816=EDGE_CURVE('',#153315,#153315,#65708,.T.); #186817=EDGE_CURVE('',#153316,#153316,#65709,.T.); #186818=EDGE_CURVE('',#153316,#153317,#74485,.T.); #186819=EDGE_CURVE('',#153317,#153317,#65710,.T.); #186820=EDGE_CURVE('',#153318,#153318,#65711,.T.); #186821=EDGE_CURVE('',#153318,#153319,#74486,.T.); #186822=EDGE_CURVE('',#153319,#153319,#65712,.T.); #186823=EDGE_CURVE('',#153320,#153320,#65713,.T.); #186824=EDGE_CURVE('',#153320,#153321,#74487,.T.); #186825=EDGE_CURVE('',#153321,#153321,#65714,.T.); #186826=EDGE_CURVE('',#153322,#153322,#65715,.T.); #186827=EDGE_CURVE('',#153322,#153323,#74488,.T.); #186828=EDGE_CURVE('',#153323,#153323,#65716,.T.); #186829=EDGE_CURVE('',#153324,#153324,#65717,.T.); #186830=EDGE_CURVE('',#153324,#153325,#74489,.T.); #186831=EDGE_CURVE('',#153325,#153325,#65718,.T.); #186832=EDGE_CURVE('',#153326,#153326,#65719,.T.); #186833=EDGE_CURVE('',#153326,#153327,#74490,.T.); #186834=EDGE_CURVE('',#153327,#153327,#65720,.T.); #186835=EDGE_CURVE('',#153328,#153328,#65721,.T.); #186836=EDGE_CURVE('',#153328,#153329,#74491,.T.); #186837=EDGE_CURVE('',#153329,#153329,#65722,.T.); #186838=EDGE_CURVE('',#153330,#153330,#65723,.T.); #186839=EDGE_CURVE('',#153330,#153331,#74492,.T.); #186840=EDGE_CURVE('',#153331,#153331,#65724,.T.); #186841=EDGE_CURVE('',#153332,#153332,#65725,.T.); #186842=EDGE_CURVE('',#153332,#153333,#74493,.T.); #186843=EDGE_CURVE('',#153333,#153333,#65726,.T.); #186844=EDGE_CURVE('',#153334,#153334,#65727,.T.); #186845=EDGE_CURVE('',#153334,#153335,#74494,.T.); #186846=EDGE_CURVE('',#153335,#153335,#65728,.T.); #186847=EDGE_CURVE('',#153336,#153336,#65729,.T.); #186848=EDGE_CURVE('',#153336,#153337,#74495,.T.); #186849=EDGE_CURVE('',#153337,#153337,#65730,.T.); #186850=EDGE_CURVE('',#153338,#153338,#65731,.T.); #186851=EDGE_CURVE('',#153338,#153339,#74496,.T.); #186852=EDGE_CURVE('',#153339,#153339,#65732,.T.); #186853=EDGE_CURVE('',#153340,#153340,#65733,.T.); #186854=EDGE_CURVE('',#153340,#153341,#74497,.T.); #186855=EDGE_CURVE('',#153341,#153341,#65734,.T.); #186856=EDGE_CURVE('',#153342,#153342,#65735,.T.); #186857=EDGE_CURVE('',#153342,#153343,#74498,.T.); #186858=EDGE_CURVE('',#153343,#153343,#65736,.T.); #186859=EDGE_CURVE('',#153344,#153344,#65737,.T.); #186860=EDGE_CURVE('',#153344,#153345,#74499,.T.); #186861=EDGE_CURVE('',#153345,#153345,#65738,.T.); #186862=EDGE_CURVE('',#153346,#153346,#65739,.T.); #186863=EDGE_CURVE('',#153346,#153347,#74500,.T.); #186864=EDGE_CURVE('',#153347,#153347,#65740,.T.); #186865=EDGE_CURVE('',#153348,#153348,#65741,.T.); #186866=EDGE_CURVE('',#153348,#153349,#74501,.T.); #186867=EDGE_CURVE('',#153349,#153349,#65742,.T.); #186868=EDGE_CURVE('',#153350,#153350,#65743,.T.); #186869=EDGE_CURVE('',#153350,#153351,#74502,.T.); #186870=EDGE_CURVE('',#153351,#153351,#65744,.T.); #186871=EDGE_CURVE('',#153352,#153352,#65745,.T.); #186872=EDGE_CURVE('',#153352,#153353,#74503,.T.); #186873=EDGE_CURVE('',#153353,#153353,#65746,.T.); #186874=EDGE_CURVE('',#153354,#153354,#65747,.T.); #186875=EDGE_CURVE('',#153354,#153355,#74504,.T.); #186876=EDGE_CURVE('',#153355,#153355,#65748,.T.); #186877=EDGE_CURVE('',#153356,#153356,#65749,.T.); #186878=EDGE_CURVE('',#153356,#153357,#74505,.T.); #186879=EDGE_CURVE('',#153357,#153357,#65750,.T.); #186880=EDGE_CURVE('',#153358,#153358,#65751,.T.); #186881=EDGE_CURVE('',#153358,#153359,#74506,.T.); #186882=EDGE_CURVE('',#153359,#153359,#65752,.T.); #186883=EDGE_CURVE('',#153360,#153360,#65753,.T.); #186884=EDGE_CURVE('',#153360,#153361,#74507,.T.); #186885=EDGE_CURVE('',#153361,#153361,#65754,.T.); #186886=EDGE_CURVE('',#153362,#153362,#65755,.T.); #186887=EDGE_CURVE('',#153362,#153363,#74508,.T.); #186888=EDGE_CURVE('',#153363,#153363,#65756,.T.); #186889=EDGE_CURVE('',#153364,#153364,#65757,.T.); #186890=EDGE_CURVE('',#153364,#153365,#74509,.T.); #186891=EDGE_CURVE('',#153365,#153365,#65758,.T.); #186892=EDGE_CURVE('',#153366,#153366,#65759,.T.); #186893=EDGE_CURVE('',#153366,#153367,#74510,.T.); #186894=EDGE_CURVE('',#153367,#153367,#65760,.T.); #186895=EDGE_CURVE('',#153368,#153368,#65761,.T.); #186896=EDGE_CURVE('',#153368,#153369,#74511,.T.); #186897=EDGE_CURVE('',#153369,#153369,#65762,.T.); #186898=EDGE_CURVE('',#153370,#153370,#65763,.T.); #186899=EDGE_CURVE('',#153370,#153371,#74512,.T.); #186900=EDGE_CURVE('',#153371,#153371,#65764,.T.); #186901=EDGE_CURVE('',#153372,#153372,#65765,.T.); #186902=EDGE_CURVE('',#153372,#153373,#74513,.T.); #186903=EDGE_CURVE('',#153373,#153373,#65766,.T.); #186904=EDGE_CURVE('',#153374,#153374,#65767,.T.); #186905=EDGE_CURVE('',#153374,#153375,#74514,.T.); #186906=EDGE_CURVE('',#153375,#153375,#65768,.T.); #186907=EDGE_CURVE('',#153376,#153376,#65769,.T.); #186908=EDGE_CURVE('',#153376,#153377,#74515,.T.); #186909=EDGE_CURVE('',#153377,#153377,#65770,.T.); #186910=EDGE_CURVE('',#153378,#153378,#65771,.T.); #186911=EDGE_CURVE('',#153378,#153379,#74516,.T.); #186912=EDGE_CURVE('',#153379,#153379,#65772,.T.); #186913=EDGE_CURVE('',#153380,#153380,#65773,.T.); #186914=EDGE_CURVE('',#153380,#153381,#74517,.T.); #186915=EDGE_CURVE('',#153381,#153381,#65774,.T.); #186916=EDGE_CURVE('',#153382,#153382,#65775,.T.); #186917=EDGE_CURVE('',#153382,#153383,#74518,.T.); #186918=EDGE_CURVE('',#153383,#153383,#65776,.T.); #186919=EDGE_CURVE('',#153384,#153384,#65777,.T.); #186920=EDGE_CURVE('',#153384,#153385,#74519,.T.); #186921=EDGE_CURVE('',#153385,#153385,#65778,.T.); #186922=EDGE_CURVE('',#153386,#153386,#65779,.T.); #186923=EDGE_CURVE('',#153386,#153387,#74520,.T.); #186924=EDGE_CURVE('',#153387,#153387,#65780,.T.); #186925=EDGE_CURVE('',#153388,#153388,#65781,.T.); #186926=EDGE_CURVE('',#153388,#153389,#74521,.T.); #186927=EDGE_CURVE('',#153389,#153389,#65782,.T.); #186928=EDGE_CURVE('',#153390,#153390,#65783,.T.); #186929=EDGE_CURVE('',#153390,#153391,#74522,.T.); #186930=EDGE_CURVE('',#153391,#153391,#65784,.T.); #186931=EDGE_CURVE('',#153392,#153392,#65785,.T.); #186932=EDGE_CURVE('',#153392,#153393,#74523,.T.); #186933=EDGE_CURVE('',#153393,#153393,#65786,.T.); #186934=EDGE_CURVE('',#153394,#153394,#65787,.T.); #186935=EDGE_CURVE('',#153394,#153395,#74524,.T.); #186936=EDGE_CURVE('',#153395,#153395,#65788,.T.); #186937=EDGE_CURVE('',#153396,#153396,#65789,.T.); #186938=EDGE_CURVE('',#153396,#153397,#74525,.T.); #186939=EDGE_CURVE('',#153397,#153397,#65790,.T.); #186940=EDGE_CURVE('',#153398,#153398,#65791,.T.); #186941=EDGE_CURVE('',#153398,#153399,#74526,.T.); #186942=EDGE_CURVE('',#153399,#153399,#65792,.T.); #186943=EDGE_CURVE('',#153400,#153400,#65793,.T.); #186944=EDGE_CURVE('',#153400,#153401,#74527,.T.); #186945=EDGE_CURVE('',#153401,#153401,#65794,.T.); #186946=EDGE_CURVE('',#153402,#153402,#65795,.T.); #186947=EDGE_CURVE('',#153402,#153403,#74528,.T.); #186948=EDGE_CURVE('',#153403,#153403,#65796,.T.); #186949=EDGE_CURVE('',#153404,#153404,#65797,.T.); #186950=EDGE_CURVE('',#153404,#153405,#74529,.T.); #186951=EDGE_CURVE('',#153405,#153405,#65798,.T.); #186952=EDGE_CURVE('',#153406,#153406,#65799,.T.); #186953=EDGE_CURVE('',#153406,#153407,#74530,.T.); #186954=EDGE_CURVE('',#153407,#153407,#65800,.T.); #186955=EDGE_CURVE('',#153408,#153408,#65801,.T.); #186956=EDGE_CURVE('',#153408,#153409,#74531,.T.); #186957=EDGE_CURVE('',#153409,#153409,#65802,.T.); #186958=EDGE_CURVE('',#153410,#153410,#65803,.T.); #186959=EDGE_CURVE('',#153410,#153411,#74532,.T.); #186960=EDGE_CURVE('',#153411,#153411,#65804,.T.); #186961=EDGE_CURVE('',#153412,#153412,#65805,.T.); #186962=EDGE_CURVE('',#153412,#153413,#74533,.T.); #186963=EDGE_CURVE('',#153413,#153413,#65806,.T.); #186964=EDGE_CURVE('',#153414,#153414,#65807,.T.); #186965=EDGE_CURVE('',#153414,#153415,#74534,.T.); #186966=EDGE_CURVE('',#153415,#153415,#65808,.T.); #186967=EDGE_CURVE('',#153416,#153416,#65809,.T.); #186968=EDGE_CURVE('',#153416,#153417,#74535,.T.); #186969=EDGE_CURVE('',#153417,#153417,#65810,.T.); #186970=EDGE_CURVE('',#153418,#153418,#65811,.T.); #186971=EDGE_CURVE('',#153418,#153419,#74536,.T.); #186972=EDGE_CURVE('',#153419,#153419,#65812,.T.); #186973=EDGE_CURVE('',#153420,#153420,#65813,.T.); #186974=EDGE_CURVE('',#153420,#153421,#74537,.T.); #186975=EDGE_CURVE('',#153421,#153421,#65814,.T.); #186976=EDGE_CURVE('',#153422,#153422,#65815,.T.); #186977=EDGE_CURVE('',#153422,#153423,#74538,.T.); #186978=EDGE_CURVE('',#153423,#153423,#65816,.T.); #186979=EDGE_CURVE('',#153424,#153424,#65817,.T.); #186980=EDGE_CURVE('',#153424,#153425,#74539,.T.); #186981=EDGE_CURVE('',#153425,#153425,#65818,.T.); #186982=EDGE_CURVE('',#153426,#153426,#65819,.T.); #186983=EDGE_CURVE('',#153426,#153427,#74540,.T.); #186984=EDGE_CURVE('',#153427,#153427,#65820,.T.); #186985=EDGE_CURVE('',#153428,#153428,#65821,.T.); #186986=EDGE_CURVE('',#153428,#153429,#74541,.T.); #186987=EDGE_CURVE('',#153429,#153429,#65822,.T.); #186988=EDGE_CURVE('',#153430,#153430,#65823,.T.); #186989=EDGE_CURVE('',#153430,#153431,#74542,.T.); #186990=EDGE_CURVE('',#153431,#153431,#65824,.T.); #186991=EDGE_CURVE('',#153432,#153432,#65825,.T.); #186992=EDGE_CURVE('',#153432,#153433,#74543,.T.); #186993=EDGE_CURVE('',#153433,#153433,#65826,.T.); #186994=EDGE_CURVE('',#153434,#153435,#74544,.T.); #186995=EDGE_CURVE('',#153435,#153436,#74545,.T.); #186996=EDGE_CURVE('',#153437,#153436,#74546,.T.); #186997=EDGE_CURVE('',#153434,#153437,#74547,.T.); #186998=EDGE_CURVE('',#153434,#153438,#65827,.T.); #186999=EDGE_CURVE('',#153439,#153437,#65828,.T.); #187000=EDGE_CURVE('',#153438,#153439,#74548,.T.); #187001=EDGE_CURVE('',#153440,#153438,#74549,.T.); #187002=EDGE_CURVE('',#153441,#153439,#74550,.T.); #187003=EDGE_CURVE('',#153440,#153441,#74551,.T.); #187004=EDGE_CURVE('',#153440,#153435,#65829,.T.); #187005=EDGE_CURVE('',#153436,#153441,#65830,.T.); #187006=EDGE_CURVE('',#153442,#153443,#74552,.T.); #187007=EDGE_CURVE('',#153442,#153444,#74553,.T.); #187008=EDGE_CURVE('',#153445,#153444,#74554,.T.); #187009=EDGE_CURVE('',#153443,#153445,#74555,.T.); #187010=EDGE_CURVE('',#153446,#153443,#65831,.T.); #187011=EDGE_CURVE('',#153447,#153445,#65832,.T.); #187012=EDGE_CURVE('',#153446,#153447,#74556,.T.); #187013=EDGE_CURVE('',#153446,#153448,#74557,.T.); #187014=EDGE_CURVE('',#153449,#153447,#74558,.T.); #187015=EDGE_CURVE('',#153448,#153449,#74559,.T.); #187016=EDGE_CURVE('',#153442,#153448,#65833,.T.); #187017=EDGE_CURVE('',#153444,#153449,#65834,.T.); #187018=EDGE_CURVE('',#153450,#153450,#65835,.T.); #187019=EDGE_CURVE('',#153450,#153451,#74560,.T.); #187020=EDGE_CURVE('',#153451,#153451,#65836,.T.); #187021=EDGE_CURVE('',#153452,#153452,#65837,.T.); #187022=EDGE_CURVE('',#153452,#153453,#74561,.T.); #187023=EDGE_CURVE('',#153453,#153453,#65838,.T.); #187024=EDGE_CURVE('',#153454,#153455,#74562,.T.); #187025=EDGE_CURVE('',#153455,#153456,#74563,.T.); #187026=EDGE_CURVE('',#153457,#153456,#74564,.T.); #187027=EDGE_CURVE('',#153454,#153457,#74565,.T.); #187028=EDGE_CURVE('',#153454,#153458,#65839,.T.); #187029=EDGE_CURVE('',#153459,#153457,#65840,.T.); #187030=EDGE_CURVE('',#153458,#153459,#74566,.T.); #187031=EDGE_CURVE('',#153460,#153458,#74567,.T.); #187032=EDGE_CURVE('',#153461,#153459,#74568,.T.); #187033=EDGE_CURVE('',#153460,#153461,#74569,.T.); #187034=EDGE_CURVE('',#153460,#153455,#65841,.T.); #187035=EDGE_CURVE('',#153456,#153461,#65842,.T.); #187036=EDGE_CURVE('',#153462,#153463,#74570,.T.); #187037=EDGE_CURVE('',#153462,#153464,#74571,.T.); #187038=EDGE_CURVE('',#153465,#153464,#74572,.T.); #187039=EDGE_CURVE('',#153463,#153465,#74573,.T.); #187040=EDGE_CURVE('',#153466,#153463,#65843,.T.); #187041=EDGE_CURVE('',#153467,#153465,#65844,.T.); #187042=EDGE_CURVE('',#153466,#153467,#74574,.T.); #187043=EDGE_CURVE('',#153466,#153468,#74575,.T.); #187044=EDGE_CURVE('',#153469,#153467,#74576,.T.); #187045=EDGE_CURVE('',#153468,#153469,#74577,.T.); #187046=EDGE_CURVE('',#153462,#153468,#65845,.T.); #187047=EDGE_CURVE('',#153464,#153469,#65846,.T.); #187048=EDGE_CURVE('',#153470,#153470,#65847,.T.); #187049=EDGE_CURVE('',#153470,#153471,#74578,.T.); #187050=EDGE_CURVE('',#153471,#153471,#65848,.T.); #187051=EDGE_CURVE('',#153472,#153472,#65849,.T.); #187052=EDGE_CURVE('',#153472,#153473,#74579,.T.); #187053=EDGE_CURVE('',#153473,#153473,#65850,.T.); #187054=EDGE_CURVE('',#153474,#153475,#74580,.T.); #187055=EDGE_CURVE('',#153475,#153476,#74581,.T.); #187056=EDGE_CURVE('',#153477,#153476,#74582,.T.); #187057=EDGE_CURVE('',#153474,#153477,#74583,.T.); #187058=EDGE_CURVE('',#153474,#153478,#65851,.T.); #187059=EDGE_CURVE('',#153479,#153477,#65852,.T.); #187060=EDGE_CURVE('',#153478,#153479,#74584,.T.); #187061=EDGE_CURVE('',#153480,#153478,#74585,.T.); #187062=EDGE_CURVE('',#153481,#153479,#74586,.T.); #187063=EDGE_CURVE('',#153480,#153481,#74587,.T.); #187064=EDGE_CURVE('',#153480,#153475,#65853,.T.); #187065=EDGE_CURVE('',#153476,#153481,#65854,.T.); #187066=EDGE_CURVE('',#153482,#153483,#74588,.T.); #187067=EDGE_CURVE('',#153482,#153484,#74589,.T.); #187068=EDGE_CURVE('',#153485,#153484,#74590,.T.); #187069=EDGE_CURVE('',#153483,#153485,#74591,.T.); #187070=EDGE_CURVE('',#153486,#153483,#65855,.T.); #187071=EDGE_CURVE('',#153487,#153485,#65856,.T.); #187072=EDGE_CURVE('',#153486,#153487,#74592,.T.); #187073=EDGE_CURVE('',#153486,#153488,#74593,.T.); #187074=EDGE_CURVE('',#153489,#153487,#74594,.T.); #187075=EDGE_CURVE('',#153488,#153489,#74595,.T.); #187076=EDGE_CURVE('',#153482,#153488,#65857,.T.); #187077=EDGE_CURVE('',#153484,#153489,#65858,.T.); #187078=EDGE_CURVE('',#153490,#153490,#65859,.T.); #187079=EDGE_CURVE('',#153490,#153491,#74596,.T.); #187080=EDGE_CURVE('',#153491,#153491,#65860,.T.); #187081=EDGE_CURVE('',#153492,#153492,#65861,.T.); #187082=EDGE_CURVE('',#153492,#153493,#74597,.T.); #187083=EDGE_CURVE('',#153493,#153493,#65862,.T.); #187084=EDGE_CURVE('',#153494,#153494,#65863,.T.); #187085=EDGE_CURVE('',#153494,#153495,#74598,.T.); #187086=EDGE_CURVE('',#153495,#153495,#65864,.T.); #187087=EDGE_CURVE('',#153496,#153496,#65865,.T.); #187088=EDGE_CURVE('',#153496,#153497,#74599,.T.); #187089=EDGE_CURVE('',#153497,#153497,#65866,.T.); #187090=EDGE_CURVE('',#153498,#153498,#65867,.T.); #187091=EDGE_CURVE('',#153498,#153499,#74600,.T.); #187092=EDGE_CURVE('',#153499,#153499,#65868,.T.); #187093=EDGE_CURVE('',#153500,#153500,#65869,.T.); #187094=EDGE_CURVE('',#153500,#153501,#74601,.T.); #187095=EDGE_CURVE('',#153501,#153501,#65870,.T.); #187096=EDGE_CURVE('',#153502,#153502,#65871,.T.); #187097=EDGE_CURVE('',#153502,#153503,#74602,.T.); #187098=EDGE_CURVE('',#153503,#153503,#65872,.T.); #187099=EDGE_CURVE('',#153504,#153504,#65873,.T.); #187100=EDGE_CURVE('',#153504,#153505,#74603,.T.); #187101=EDGE_CURVE('',#153505,#153505,#65874,.T.); #187102=EDGE_CURVE('',#153506,#153506,#65875,.T.); #187103=EDGE_CURVE('',#153506,#153507,#74604,.T.); #187104=EDGE_CURVE('',#153507,#153507,#65876,.T.); #187105=EDGE_CURVE('',#153508,#153508,#65877,.T.); #187106=EDGE_CURVE('',#153508,#153509,#74605,.T.); #187107=EDGE_CURVE('',#153509,#153509,#65878,.T.); #187108=EDGE_CURVE('',#153510,#153510,#65879,.T.); #187109=EDGE_CURVE('',#153510,#153511,#74606,.T.); #187110=EDGE_CURVE('',#153511,#153511,#65880,.T.); #187111=EDGE_CURVE('',#153512,#153512,#65881,.T.); #187112=EDGE_CURVE('',#153512,#153513,#74607,.T.); #187113=EDGE_CURVE('',#153513,#153513,#65882,.T.); #187114=EDGE_CURVE('',#153514,#153514,#65883,.T.); #187115=EDGE_CURVE('',#153514,#153515,#74608,.T.); #187116=EDGE_CURVE('',#153515,#153515,#65884,.T.); #187117=EDGE_CURVE('',#153516,#153516,#65885,.T.); #187118=EDGE_CURVE('',#153516,#153517,#74609,.T.); #187119=EDGE_CURVE('',#153517,#153517,#65886,.T.); #187120=EDGE_CURVE('',#153518,#153518,#65887,.T.); #187121=EDGE_CURVE('',#153518,#153519,#74610,.T.); #187122=EDGE_CURVE('',#153519,#153519,#65888,.T.); #187123=EDGE_CURVE('',#153520,#153520,#65889,.T.); #187124=EDGE_CURVE('',#153520,#153521,#74611,.T.); #187125=EDGE_CURVE('',#153521,#153521,#65890,.T.); #187126=EDGE_CURVE('',#153522,#153522,#65891,.T.); #187127=EDGE_CURVE('',#153522,#153523,#74612,.T.); #187128=EDGE_CURVE('',#153523,#153523,#65892,.T.); #187129=EDGE_CURVE('',#153524,#153524,#65893,.T.); #187130=EDGE_CURVE('',#153524,#153525,#74613,.T.); #187131=EDGE_CURVE('',#153525,#153525,#65894,.T.); #187132=EDGE_CURVE('',#153526,#153526,#65895,.T.); #187133=EDGE_CURVE('',#153526,#153527,#74614,.T.); #187134=EDGE_CURVE('',#153527,#153527,#65896,.T.); #187135=EDGE_CURVE('',#153528,#153528,#65897,.T.); #187136=EDGE_CURVE('',#153528,#153529,#74615,.T.); #187137=EDGE_CURVE('',#153529,#153529,#65898,.T.); #187138=EDGE_CURVE('',#153530,#153531,#74616,.T.); #187139=EDGE_CURVE('',#153531,#153532,#74617,.T.); #187140=EDGE_CURVE('',#153533,#153532,#74618,.T.); #187141=EDGE_CURVE('',#153530,#153533,#74619,.T.); #187142=EDGE_CURVE('',#153530,#153534,#65899,.T.); #187143=EDGE_CURVE('',#153535,#153533,#65900,.T.); #187144=EDGE_CURVE('',#153534,#153535,#74620,.T.); #187145=EDGE_CURVE('',#153536,#153534,#74621,.T.); #187146=EDGE_CURVE('',#153537,#153535,#74622,.T.); #187147=EDGE_CURVE('',#153536,#153537,#74623,.T.); #187148=EDGE_CURVE('',#153536,#153531,#65901,.T.); #187149=EDGE_CURVE('',#153532,#153537,#65902,.T.); #187150=EDGE_CURVE('',#153538,#153539,#74624,.T.); #187151=EDGE_CURVE('',#153538,#153540,#74625,.T.); #187152=EDGE_CURVE('',#153541,#153540,#74626,.T.); #187153=EDGE_CURVE('',#153539,#153541,#74627,.T.); #187154=EDGE_CURVE('',#153542,#153539,#65903,.T.); #187155=EDGE_CURVE('',#153543,#153541,#65904,.T.); #187156=EDGE_CURVE('',#153542,#153543,#74628,.T.); #187157=EDGE_CURVE('',#153542,#153544,#74629,.T.); #187158=EDGE_CURVE('',#153545,#153543,#74630,.T.); #187159=EDGE_CURVE('',#153544,#153545,#74631,.T.); #187160=EDGE_CURVE('',#153538,#153544,#65905,.T.); #187161=EDGE_CURVE('',#153540,#153545,#65906,.T.); #187162=EDGE_CURVE('',#153546,#153546,#65907,.T.); #187163=EDGE_CURVE('',#153546,#153547,#74632,.T.); #187164=EDGE_CURVE('',#153547,#153547,#65908,.T.); #187165=EDGE_CURVE('',#153548,#153548,#65909,.T.); #187166=EDGE_CURVE('',#153548,#153549,#74633,.T.); #187167=EDGE_CURVE('',#153549,#153549,#65910,.T.); #187168=EDGE_CURVE('',#153550,#153550,#65911,.T.); #187169=EDGE_CURVE('',#153550,#153551,#74634,.T.); #187170=EDGE_CURVE('',#153551,#153551,#65912,.T.); #187171=EDGE_CURVE('',#153552,#153552,#65913,.T.); #187172=EDGE_CURVE('',#153552,#153553,#74635,.T.); #187173=EDGE_CURVE('',#153553,#153553,#65914,.T.); #187174=EDGE_CURVE('',#153554,#153554,#65915,.T.); #187175=EDGE_CURVE('',#153554,#153555,#74636,.T.); #187176=EDGE_CURVE('',#153555,#153555,#65916,.T.); #187177=EDGE_CURVE('',#153556,#153556,#65917,.T.); #187178=EDGE_CURVE('',#153556,#153557,#74637,.T.); #187179=EDGE_CURVE('',#153557,#153557,#65918,.T.); #187180=EDGE_CURVE('',#153558,#153559,#74638,.T.); #187181=EDGE_CURVE('',#153559,#153560,#74639,.T.); #187182=EDGE_CURVE('',#153561,#153560,#74640,.T.); #187183=EDGE_CURVE('',#153558,#153561,#74641,.T.); #187184=EDGE_CURVE('',#153558,#153562,#65919,.T.); #187185=EDGE_CURVE('',#153563,#153561,#65920,.T.); #187186=EDGE_CURVE('',#153562,#153563,#74642,.T.); #187187=EDGE_CURVE('',#153564,#153562,#74643,.T.); #187188=EDGE_CURVE('',#153565,#153563,#74644,.T.); #187189=EDGE_CURVE('',#153564,#153565,#74645,.T.); #187190=EDGE_CURVE('',#153564,#153559,#65921,.T.); #187191=EDGE_CURVE('',#153560,#153565,#65922,.T.); #187192=EDGE_CURVE('',#153566,#153567,#74646,.T.); #187193=EDGE_CURVE('',#153566,#153568,#74647,.T.); #187194=EDGE_CURVE('',#153569,#153568,#74648,.T.); #187195=EDGE_CURVE('',#153567,#153569,#74649,.T.); #187196=EDGE_CURVE('',#153570,#153567,#65923,.T.); #187197=EDGE_CURVE('',#153571,#153569,#65924,.T.); #187198=EDGE_CURVE('',#153570,#153571,#74650,.T.); #187199=EDGE_CURVE('',#153570,#153572,#74651,.T.); #187200=EDGE_CURVE('',#153573,#153571,#74652,.T.); #187201=EDGE_CURVE('',#153572,#153573,#74653,.T.); #187202=EDGE_CURVE('',#153566,#153572,#65925,.T.); #187203=EDGE_CURVE('',#153568,#153573,#65926,.T.); #187204=EDGE_CURVE('',#153574,#153574,#65927,.T.); #187205=EDGE_CURVE('',#153574,#153575,#74654,.T.); #187206=EDGE_CURVE('',#153575,#153575,#65928,.T.); #187207=EDGE_CURVE('',#153576,#153576,#65929,.T.); #187208=EDGE_CURVE('',#153576,#153577,#74655,.T.); #187209=EDGE_CURVE('',#153577,#153577,#65930,.T.); #187210=EDGE_CURVE('',#153578,#153579,#74656,.T.); #187211=EDGE_CURVE('',#153579,#153580,#74657,.T.); #187212=EDGE_CURVE('',#153581,#153580,#74658,.T.); #187213=EDGE_CURVE('',#153578,#153581,#74659,.T.); #187214=EDGE_CURVE('',#153578,#153582,#65931,.T.); #187215=EDGE_CURVE('',#153583,#153581,#65932,.T.); #187216=EDGE_CURVE('',#153582,#153583,#74660,.T.); #187217=EDGE_CURVE('',#153584,#153582,#74661,.T.); #187218=EDGE_CURVE('',#153585,#153583,#74662,.T.); #187219=EDGE_CURVE('',#153584,#153585,#74663,.T.); #187220=EDGE_CURVE('',#153584,#153579,#65933,.T.); #187221=EDGE_CURVE('',#153580,#153585,#65934,.T.); #187222=EDGE_CURVE('',#153586,#153587,#74664,.T.); #187223=EDGE_CURVE('',#153586,#153588,#74665,.T.); #187224=EDGE_CURVE('',#153589,#153588,#74666,.T.); #187225=EDGE_CURVE('',#153587,#153589,#74667,.T.); #187226=EDGE_CURVE('',#153590,#153587,#65935,.T.); #187227=EDGE_CURVE('',#153591,#153589,#65936,.T.); #187228=EDGE_CURVE('',#153590,#153591,#74668,.T.); #187229=EDGE_CURVE('',#153590,#153592,#74669,.T.); #187230=EDGE_CURVE('',#153593,#153591,#74670,.T.); #187231=EDGE_CURVE('',#153592,#153593,#74671,.T.); #187232=EDGE_CURVE('',#153586,#153592,#65937,.T.); #187233=EDGE_CURVE('',#153588,#153593,#65938,.T.); #187234=EDGE_CURVE('',#153594,#153594,#65939,.T.); #187235=EDGE_CURVE('',#153594,#153595,#74672,.T.); #187236=EDGE_CURVE('',#153595,#153595,#65940,.T.); #187237=EDGE_CURVE('',#153596,#153596,#65941,.T.); #187238=EDGE_CURVE('',#153596,#153597,#74673,.T.); #187239=EDGE_CURVE('',#153597,#153597,#65942,.T.); #187240=EDGE_CURVE('',#153598,#153598,#65943,.T.); #187241=EDGE_CURVE('',#153598,#153599,#74674,.T.); #187242=EDGE_CURVE('',#153599,#153599,#65944,.T.); #187243=EDGE_CURVE('',#153600,#153600,#65945,.T.); #187244=EDGE_CURVE('',#153600,#153601,#74675,.T.); #187245=EDGE_CURVE('',#153601,#153601,#65946,.T.); #187246=EDGE_CURVE('',#153602,#153602,#65947,.T.); #187247=EDGE_CURVE('',#153602,#153603,#74676,.T.); #187248=EDGE_CURVE('',#153603,#153603,#65948,.T.); #187249=EDGE_CURVE('',#153604,#153604,#65949,.T.); #187250=EDGE_CURVE('',#153604,#153605,#74677,.T.); #187251=EDGE_CURVE('',#153605,#153605,#65950,.T.); #187252=EDGE_CURVE('',#153606,#153606,#65951,.T.); #187253=EDGE_CURVE('',#153606,#153607,#74678,.T.); #187254=EDGE_CURVE('',#153607,#153607,#65952,.T.); #187255=EDGE_CURVE('',#153608,#153608,#65953,.T.); #187256=EDGE_CURVE('',#153608,#153609,#74679,.T.); #187257=EDGE_CURVE('',#153609,#153609,#65954,.T.); #187258=EDGE_CURVE('',#153610,#153610,#65955,.T.); #187259=EDGE_CURVE('',#153610,#153611,#74680,.T.); #187260=EDGE_CURVE('',#153611,#153611,#65956,.T.); #187261=EDGE_CURVE('',#153612,#153612,#65957,.T.); #187262=EDGE_CURVE('',#153612,#153613,#74681,.T.); #187263=EDGE_CURVE('',#153613,#153613,#65958,.T.); #187264=EDGE_CURVE('',#153614,#153614,#65959,.T.); #187265=EDGE_CURVE('',#153614,#153615,#74682,.T.); #187266=EDGE_CURVE('',#153615,#153615,#65960,.T.); #187267=EDGE_CURVE('',#153616,#153616,#65961,.T.); #187268=EDGE_CURVE('',#153616,#153617,#74683,.T.); #187269=EDGE_CURVE('',#153617,#153617,#65962,.T.); #187270=EDGE_CURVE('',#153618,#153618,#65963,.T.); #187271=EDGE_CURVE('',#153618,#153619,#74684,.T.); #187272=EDGE_CURVE('',#153619,#153619,#65964,.T.); #187273=EDGE_CURVE('',#153620,#153620,#65965,.T.); #187274=EDGE_CURVE('',#153620,#153621,#74685,.T.); #187275=EDGE_CURVE('',#153621,#153621,#65966,.T.); #187276=EDGE_CURVE('',#153622,#153622,#65967,.T.); #187277=EDGE_CURVE('',#153622,#153623,#74686,.T.); #187278=EDGE_CURVE('',#153623,#153623,#65968,.T.); #187279=EDGE_CURVE('',#153624,#153624,#65969,.T.); #187280=EDGE_CURVE('',#153624,#153625,#74687,.T.); #187281=EDGE_CURVE('',#153625,#153625,#65970,.T.); #187282=EDGE_CURVE('',#153626,#153626,#65971,.T.); #187283=EDGE_CURVE('',#153626,#153627,#74688,.T.); #187284=EDGE_CURVE('',#153627,#153627,#65972,.T.); #187285=EDGE_CURVE('',#153628,#153628,#65973,.T.); #187286=EDGE_CURVE('',#153628,#153629,#74689,.T.); #187287=EDGE_CURVE('',#153629,#153629,#65974,.T.); #187288=EDGE_CURVE('',#153630,#153630,#65975,.T.); #187289=EDGE_CURVE('',#153630,#153631,#74690,.T.); #187290=EDGE_CURVE('',#153631,#153631,#65976,.T.); #187291=EDGE_CURVE('',#153632,#153632,#65977,.T.); #187292=EDGE_CURVE('',#153632,#153633,#74691,.T.); #187293=EDGE_CURVE('',#153633,#153633,#65978,.T.); #187294=EDGE_CURVE('',#153634,#153634,#65979,.T.); #187295=EDGE_CURVE('',#153634,#153635,#74692,.T.); #187296=EDGE_CURVE('',#153635,#153635,#65980,.T.); #187297=EDGE_CURVE('',#153636,#153636,#65981,.T.); #187298=EDGE_CURVE('',#153636,#153637,#74693,.T.); #187299=EDGE_CURVE('',#153637,#153637,#65982,.T.); #187300=EDGE_CURVE('',#153638,#153638,#65983,.T.); #187301=EDGE_CURVE('',#153638,#153639,#74694,.T.); #187302=EDGE_CURVE('',#153639,#153639,#65984,.T.); #187303=EDGE_CURVE('',#153640,#153640,#65985,.T.); #187304=EDGE_CURVE('',#153640,#153641,#74695,.T.); #187305=EDGE_CURVE('',#153641,#153641,#65986,.T.); #187306=EDGE_CURVE('',#153642,#153642,#65987,.T.); #187307=EDGE_CURVE('',#153642,#153643,#74696,.T.); #187308=EDGE_CURVE('',#153643,#153643,#65988,.T.); #187309=EDGE_CURVE('',#153644,#153644,#65989,.T.); #187310=EDGE_CURVE('',#153644,#153645,#74697,.T.); #187311=EDGE_CURVE('',#153645,#153645,#65990,.T.); #187312=EDGE_CURVE('',#153646,#153646,#65991,.T.); #187313=EDGE_CURVE('',#153646,#153647,#74698,.T.); #187314=EDGE_CURVE('',#153647,#153647,#65992,.T.); #187315=EDGE_CURVE('',#153648,#153648,#65993,.T.); #187316=EDGE_CURVE('',#153648,#153649,#74699,.T.); #187317=EDGE_CURVE('',#153649,#153649,#65994,.T.); #187318=EDGE_CURVE('',#153650,#153650,#65995,.T.); #187319=EDGE_CURVE('',#153650,#153651,#74700,.T.); #187320=EDGE_CURVE('',#153651,#153651,#65996,.T.); #187321=EDGE_CURVE('',#153652,#153652,#65997,.T.); #187322=EDGE_CURVE('',#153652,#153653,#74701,.T.); #187323=EDGE_CURVE('',#153653,#153653,#65998,.T.); #187324=EDGE_CURVE('',#153654,#153654,#65999,.T.); #187325=EDGE_CURVE('',#153654,#153655,#74702,.T.); #187326=EDGE_CURVE('',#153655,#153655,#66000,.T.); #187327=EDGE_CURVE('',#153656,#153656,#66001,.T.); #187328=EDGE_CURVE('',#153656,#153657,#74703,.T.); #187329=EDGE_CURVE('',#153657,#153657,#66002,.T.); #187330=EDGE_CURVE('',#153658,#153658,#66003,.T.); #187331=EDGE_CURVE('',#153658,#153659,#74704,.T.); #187332=EDGE_CURVE('',#153659,#153659,#66004,.T.); #187333=EDGE_CURVE('',#153660,#153660,#66005,.T.); #187334=EDGE_CURVE('',#153660,#153661,#74705,.T.); #187335=EDGE_CURVE('',#153661,#153661,#66006,.T.); #187336=EDGE_CURVE('',#153662,#153662,#66007,.T.); #187337=EDGE_CURVE('',#153662,#153663,#74706,.T.); #187338=EDGE_CURVE('',#153663,#153663,#66008,.T.); #187339=EDGE_CURVE('',#153664,#153664,#66009,.T.); #187340=EDGE_CURVE('',#153664,#153665,#74707,.T.); #187341=EDGE_CURVE('',#153665,#153665,#66010,.T.); #187342=EDGE_CURVE('',#153666,#153666,#66011,.T.); #187343=EDGE_CURVE('',#153666,#153667,#74708,.T.); #187344=EDGE_CURVE('',#153667,#153667,#66012,.T.); #187345=EDGE_CURVE('',#153668,#153668,#66013,.T.); #187346=EDGE_CURVE('',#153668,#153669,#74709,.T.); #187347=EDGE_CURVE('',#153669,#153669,#66014,.T.); #187348=EDGE_CURVE('',#153670,#153670,#66015,.T.); #187349=EDGE_CURVE('',#153670,#153671,#74710,.T.); #187350=EDGE_CURVE('',#153671,#153671,#66016,.T.); #187351=EDGE_CURVE('',#153672,#153672,#66017,.T.); #187352=EDGE_CURVE('',#153672,#153673,#74711,.T.); #187353=EDGE_CURVE('',#153673,#153673,#66018,.T.); #187354=EDGE_CURVE('',#153674,#153674,#66019,.T.); #187355=EDGE_CURVE('',#153674,#153675,#74712,.T.); #187356=EDGE_CURVE('',#153675,#153675,#66020,.T.); #187357=EDGE_CURVE('',#153676,#153676,#66021,.T.); #187358=EDGE_CURVE('',#153676,#153677,#74713,.T.); #187359=EDGE_CURVE('',#153677,#153677,#66022,.T.); #187360=EDGE_CURVE('',#153678,#153678,#66023,.T.); #187361=EDGE_CURVE('',#153678,#153679,#74714,.T.); #187362=EDGE_CURVE('',#153679,#153679,#66024,.T.); #187363=EDGE_CURVE('',#153680,#153680,#66025,.T.); #187364=EDGE_CURVE('',#153680,#153681,#74715,.T.); #187365=EDGE_CURVE('',#153681,#153681,#66026,.T.); #187366=EDGE_CURVE('',#153682,#153682,#66027,.T.); #187367=EDGE_CURVE('',#153682,#153683,#74716,.T.); #187368=EDGE_CURVE('',#153683,#153683,#66028,.T.); #187369=EDGE_CURVE('',#153684,#153684,#66029,.T.); #187370=EDGE_CURVE('',#153684,#153685,#74717,.T.); #187371=EDGE_CURVE('',#153685,#153685,#66030,.T.); #187372=EDGE_CURVE('',#153686,#153686,#66031,.T.); #187373=EDGE_CURVE('',#153686,#153687,#74718,.T.); #187374=EDGE_CURVE('',#153687,#153687,#66032,.T.); #187375=EDGE_CURVE('',#153688,#153688,#66033,.T.); #187376=EDGE_CURVE('',#153688,#153689,#74719,.T.); #187377=EDGE_CURVE('',#153689,#153689,#66034,.T.); #187378=EDGE_CURVE('',#153690,#153690,#66035,.T.); #187379=EDGE_CURVE('',#153690,#153691,#74720,.T.); #187380=EDGE_CURVE('',#153691,#153691,#66036,.T.); #187381=EDGE_CURVE('',#153692,#153692,#66037,.T.); #187382=EDGE_CURVE('',#153692,#153693,#74721,.T.); #187383=EDGE_CURVE('',#153693,#153693,#66038,.T.); #187384=EDGE_CURVE('',#153694,#153694,#66039,.T.); #187385=EDGE_CURVE('',#153694,#153695,#74722,.T.); #187386=EDGE_CURVE('',#153695,#153695,#66040,.T.); #187387=EDGE_CURVE('',#153696,#153696,#66041,.T.); #187388=EDGE_CURVE('',#153696,#153697,#74723,.T.); #187389=EDGE_CURVE('',#153697,#153697,#66042,.T.); #187390=EDGE_CURVE('',#153698,#153698,#66043,.T.); #187391=EDGE_CURVE('',#153698,#153699,#74724,.T.); #187392=EDGE_CURVE('',#153699,#153699,#66044,.T.); #187393=EDGE_CURVE('',#153700,#153700,#66045,.T.); #187394=EDGE_CURVE('',#153700,#153701,#74725,.T.); #187395=EDGE_CURVE('',#153701,#153701,#66046,.T.); #187396=EDGE_CURVE('',#153702,#153702,#66047,.T.); #187397=EDGE_CURVE('',#153702,#153703,#74726,.T.); #187398=EDGE_CURVE('',#153703,#153703,#66048,.T.); #187399=EDGE_CURVE('',#153704,#153704,#66049,.T.); #187400=EDGE_CURVE('',#153704,#153705,#74727,.T.); #187401=EDGE_CURVE('',#153705,#153705,#66050,.T.); #187402=EDGE_CURVE('',#153706,#153706,#66051,.T.); #187403=EDGE_CURVE('',#153706,#153707,#74728,.T.); #187404=EDGE_CURVE('',#153707,#153707,#66052,.T.); #187405=EDGE_CURVE('',#153708,#153708,#66053,.T.); #187406=EDGE_CURVE('',#153708,#153709,#74729,.T.); #187407=EDGE_CURVE('',#153709,#153709,#66054,.T.); #187408=EDGE_CURVE('',#153710,#153710,#66055,.T.); #187409=EDGE_CURVE('',#153710,#153711,#74730,.T.); #187410=EDGE_CURVE('',#153711,#153711,#66056,.T.); #187411=EDGE_CURVE('',#153712,#153712,#66057,.T.); #187412=EDGE_CURVE('',#153712,#153713,#74731,.T.); #187413=EDGE_CURVE('',#153713,#153713,#66058,.T.); #187414=EDGE_CURVE('',#153714,#153714,#66059,.T.); #187415=EDGE_CURVE('',#153714,#153715,#74732,.T.); #187416=EDGE_CURVE('',#153715,#153715,#66060,.T.); #187417=EDGE_CURVE('',#153716,#153716,#66061,.T.); #187418=EDGE_CURVE('',#153716,#153717,#74733,.T.); #187419=EDGE_CURVE('',#153717,#153717,#66062,.T.); #187420=EDGE_CURVE('',#153718,#153718,#66063,.T.); #187421=EDGE_CURVE('',#153718,#153719,#74734,.T.); #187422=EDGE_CURVE('',#153719,#153719,#66064,.T.); #187423=EDGE_CURVE('',#153720,#153720,#66065,.T.); #187424=EDGE_CURVE('',#153720,#153721,#74735,.T.); #187425=EDGE_CURVE('',#153721,#153721,#66066,.T.); #187426=EDGE_CURVE('',#153722,#153722,#66067,.T.); #187427=EDGE_CURVE('',#153722,#153723,#74736,.T.); #187428=EDGE_CURVE('',#153723,#153723,#66068,.T.); #187429=EDGE_CURVE('',#153724,#153724,#66069,.T.); #187430=EDGE_CURVE('',#153724,#153725,#74737,.T.); #187431=EDGE_CURVE('',#153725,#153725,#66070,.T.); #187432=EDGE_CURVE('',#153726,#153726,#66071,.T.); #187433=EDGE_CURVE('',#153726,#153727,#74738,.T.); #187434=EDGE_CURVE('',#153727,#153727,#66072,.T.); #187435=EDGE_CURVE('',#153728,#153728,#66073,.T.); #187436=EDGE_CURVE('',#153728,#153729,#74739,.T.); #187437=EDGE_CURVE('',#153729,#153729,#66074,.T.); #187438=EDGE_CURVE('',#153730,#153730,#66075,.T.); #187439=EDGE_CURVE('',#153730,#153731,#74740,.T.); #187440=EDGE_CURVE('',#153731,#153731,#66076,.T.); #187441=EDGE_CURVE('',#153732,#153732,#66077,.T.); #187442=EDGE_CURVE('',#153732,#153733,#74741,.T.); #187443=EDGE_CURVE('',#153733,#153733,#66078,.T.); #187444=EDGE_CURVE('',#153734,#153734,#66079,.T.); #187445=EDGE_CURVE('',#153734,#153735,#74742,.T.); #187446=EDGE_CURVE('',#153735,#153735,#66080,.T.); #187447=EDGE_CURVE('',#153736,#153736,#66081,.T.); #187448=EDGE_CURVE('',#153736,#153737,#74743,.T.); #187449=EDGE_CURVE('',#153737,#153737,#66082,.T.); #187450=EDGE_CURVE('',#153738,#153738,#66083,.T.); #187451=EDGE_CURVE('',#153738,#153739,#74744,.T.); #187452=EDGE_CURVE('',#153739,#153739,#66084,.T.); #187453=EDGE_CURVE('',#153740,#153740,#66085,.T.); #187454=EDGE_CURVE('',#153740,#153741,#74745,.T.); #187455=EDGE_CURVE('',#153741,#153741,#66086,.T.); #187456=EDGE_CURVE('',#153742,#153742,#66087,.T.); #187457=EDGE_CURVE('',#153742,#153743,#74746,.T.); #187458=EDGE_CURVE('',#153743,#153743,#66088,.T.); #187459=EDGE_CURVE('',#153744,#153744,#66089,.T.); #187460=EDGE_CURVE('',#153744,#153745,#74747,.T.); #187461=EDGE_CURVE('',#153745,#153745,#66090,.T.); #187462=EDGE_CURVE('',#153746,#153746,#66091,.T.); #187463=EDGE_CURVE('',#153746,#153747,#74748,.T.); #187464=EDGE_CURVE('',#153747,#153747,#66092,.T.); #187465=EDGE_CURVE('',#153748,#153748,#66093,.T.); #187466=EDGE_CURVE('',#153748,#153749,#74749,.T.); #187467=EDGE_CURVE('',#153749,#153749,#66094,.T.); #187468=EDGE_CURVE('',#153750,#153750,#66095,.T.); #187469=EDGE_CURVE('',#153750,#153751,#74750,.T.); #187470=EDGE_CURVE('',#153751,#153751,#66096,.T.); #187471=EDGE_CURVE('',#153752,#153752,#66097,.T.); #187472=EDGE_CURVE('',#153752,#153753,#74751,.T.); #187473=EDGE_CURVE('',#153753,#153753,#66098,.T.); #187474=EDGE_CURVE('',#153754,#153754,#66099,.T.); #187475=EDGE_CURVE('',#153754,#153755,#74752,.T.); #187476=EDGE_CURVE('',#153755,#153755,#66100,.T.); #187477=EDGE_CURVE('',#153756,#153756,#66101,.T.); #187478=EDGE_CURVE('',#153756,#153757,#74753,.T.); #187479=EDGE_CURVE('',#153757,#153757,#66102,.T.); #187480=EDGE_CURVE('',#153758,#153758,#66103,.T.); #187481=EDGE_CURVE('',#153758,#153759,#74754,.T.); #187482=EDGE_CURVE('',#153759,#153759,#66104,.T.); #187483=EDGE_CURVE('',#153760,#153760,#66105,.T.); #187484=EDGE_CURVE('',#153760,#153761,#74755,.T.); #187485=EDGE_CURVE('',#153761,#153761,#66106,.T.); #187486=EDGE_CURVE('',#153762,#153762,#66107,.T.); #187487=EDGE_CURVE('',#153762,#153763,#74756,.T.); #187488=EDGE_CURVE('',#153763,#153763,#66108,.T.); #187489=EDGE_CURVE('',#153764,#153764,#66109,.T.); #187490=EDGE_CURVE('',#153764,#153765,#74757,.T.); #187491=EDGE_CURVE('',#153765,#153765,#66110,.T.); #187492=EDGE_CURVE('',#153766,#153766,#66111,.T.); #187493=EDGE_CURVE('',#153766,#153767,#74758,.T.); #187494=EDGE_CURVE('',#153767,#153767,#66112,.T.); #187495=EDGE_CURVE('',#153768,#153768,#66113,.T.); #187496=EDGE_CURVE('',#153768,#153769,#74759,.T.); #187497=EDGE_CURVE('',#153769,#153769,#66114,.T.); #187498=EDGE_CURVE('',#153770,#153770,#66115,.T.); #187499=EDGE_CURVE('',#153770,#153771,#74760,.T.); #187500=EDGE_CURVE('',#153771,#153771,#66116,.T.); #187501=EDGE_CURVE('',#153772,#153772,#66117,.T.); #187502=EDGE_CURVE('',#153772,#153773,#74761,.T.); #187503=EDGE_CURVE('',#153773,#153773,#66118,.T.); #187504=EDGE_CURVE('',#153774,#153774,#66119,.T.); #187505=EDGE_CURVE('',#153774,#153775,#74762,.T.); #187506=EDGE_CURVE('',#153775,#153775,#66120,.T.); #187507=EDGE_CURVE('',#153776,#153776,#66121,.T.); #187508=EDGE_CURVE('',#153776,#153777,#74763,.T.); #187509=EDGE_CURVE('',#153777,#153777,#66122,.T.); #187510=EDGE_CURVE('',#153778,#153778,#66123,.T.); #187511=EDGE_CURVE('',#153778,#153779,#74764,.T.); #187512=EDGE_CURVE('',#153779,#153779,#66124,.T.); #187513=EDGE_CURVE('',#153780,#153780,#66125,.T.); #187514=EDGE_CURVE('',#153780,#153781,#74765,.T.); #187515=EDGE_CURVE('',#153781,#153781,#66126,.T.); #187516=EDGE_CURVE('',#153782,#153782,#66127,.T.); #187517=EDGE_CURVE('',#153782,#153783,#74766,.T.); #187518=EDGE_CURVE('',#153783,#153783,#66128,.T.); #187519=EDGE_CURVE('',#153784,#153784,#66129,.T.); #187520=EDGE_CURVE('',#153784,#153785,#74767,.T.); #187521=EDGE_CURVE('',#153785,#153785,#66130,.T.); #187522=EDGE_CURVE('',#153786,#153786,#66131,.T.); #187523=EDGE_CURVE('',#153786,#153787,#74768,.T.); #187524=EDGE_CURVE('',#153787,#153787,#66132,.T.); #187525=EDGE_CURVE('',#153788,#153788,#66133,.T.); #187526=EDGE_CURVE('',#153788,#153789,#74769,.T.); #187527=EDGE_CURVE('',#153789,#153789,#66134,.T.); #187528=EDGE_CURVE('',#153790,#153790,#66135,.T.); #187529=EDGE_CURVE('',#153790,#153791,#74770,.T.); #187530=EDGE_CURVE('',#153791,#153791,#66136,.T.); #187531=EDGE_CURVE('',#153792,#153792,#66137,.T.); #187532=EDGE_CURVE('',#153792,#153793,#74771,.T.); #187533=EDGE_CURVE('',#153793,#153793,#66138,.T.); #187534=EDGE_CURVE('',#153794,#153794,#66139,.T.); #187535=EDGE_CURVE('',#153794,#153795,#74772,.T.); #187536=EDGE_CURVE('',#153795,#153795,#66140,.T.); #187537=EDGE_CURVE('',#153796,#153796,#66141,.T.); #187538=EDGE_CURVE('',#153796,#153797,#74773,.T.); #187539=EDGE_CURVE('',#153797,#153797,#66142,.T.); #187540=EDGE_CURVE('',#153798,#153798,#66143,.T.); #187541=EDGE_CURVE('',#153798,#153799,#74774,.T.); #187542=EDGE_CURVE('',#153799,#153799,#66144,.T.); #187543=EDGE_CURVE('',#153800,#153800,#66145,.T.); #187544=EDGE_CURVE('',#153800,#153801,#74775,.T.); #187545=EDGE_CURVE('',#153801,#153801,#66146,.T.); #187546=EDGE_CURVE('',#153802,#153802,#66147,.T.); #187547=EDGE_CURVE('',#153802,#153803,#74776,.T.); #187548=EDGE_CURVE('',#153803,#153803,#66148,.T.); #187549=EDGE_CURVE('',#153804,#153804,#66149,.T.); #187550=EDGE_CURVE('',#153804,#153805,#74777,.T.); #187551=EDGE_CURVE('',#153805,#153805,#66150,.T.); #187552=EDGE_CURVE('',#153806,#153806,#66151,.T.); #187553=EDGE_CURVE('',#153806,#153807,#74778,.T.); #187554=EDGE_CURVE('',#153807,#153807,#66152,.T.); #187555=EDGE_CURVE('',#153808,#153808,#66153,.T.); #187556=EDGE_CURVE('',#153808,#153809,#74779,.T.); #187557=EDGE_CURVE('',#153809,#153809,#66154,.T.); #187558=EDGE_CURVE('',#153810,#153810,#66155,.T.); #187559=EDGE_CURVE('',#153810,#153811,#74780,.T.); #187560=EDGE_CURVE('',#153811,#153811,#66156,.T.); #187561=EDGE_CURVE('',#153812,#153812,#66157,.T.); #187562=EDGE_CURVE('',#153812,#153813,#74781,.T.); #187563=EDGE_CURVE('',#153813,#153813,#66158,.T.); #187564=EDGE_CURVE('',#153814,#153814,#66159,.T.); #187565=EDGE_CURVE('',#153814,#153815,#74782,.T.); #187566=EDGE_CURVE('',#153815,#153815,#66160,.T.); #187567=EDGE_CURVE('',#153816,#153816,#66161,.T.); #187568=EDGE_CURVE('',#153816,#153817,#74783,.T.); #187569=EDGE_CURVE('',#153817,#153817,#66162,.T.); #187570=EDGE_CURVE('',#153818,#153818,#66163,.T.); #187571=EDGE_CURVE('',#153818,#153819,#74784,.T.); #187572=EDGE_CURVE('',#153819,#153819,#66164,.T.); #187573=EDGE_CURVE('',#153820,#153820,#66165,.T.); #187574=EDGE_CURVE('',#153820,#153821,#74785,.T.); #187575=EDGE_CURVE('',#153821,#153821,#66166,.T.); #187576=EDGE_CURVE('',#153822,#153822,#66167,.T.); #187577=EDGE_CURVE('',#153822,#153823,#74786,.T.); #187578=EDGE_CURVE('',#153823,#153823,#66168,.T.); #187579=EDGE_CURVE('',#153824,#153824,#66169,.T.); #187580=EDGE_CURVE('',#153824,#153825,#74787,.T.); #187581=EDGE_CURVE('',#153825,#153825,#66170,.T.); #187582=EDGE_CURVE('',#153826,#153826,#66171,.T.); #187583=EDGE_CURVE('',#153826,#153827,#74788,.T.); #187584=EDGE_CURVE('',#153827,#153827,#66172,.T.); #187585=EDGE_CURVE('',#153828,#153828,#66173,.T.); #187586=EDGE_CURVE('',#153828,#153829,#74789,.T.); #187587=EDGE_CURVE('',#153829,#153829,#66174,.T.); #187588=EDGE_CURVE('',#153830,#153830,#66175,.T.); #187589=EDGE_CURVE('',#153830,#153831,#74790,.T.); #187590=EDGE_CURVE('',#153831,#153831,#66176,.T.); #187591=EDGE_CURVE('',#153832,#153832,#66177,.T.); #187592=EDGE_CURVE('',#153832,#153833,#74791,.T.); #187593=EDGE_CURVE('',#153833,#153833,#66178,.T.); #187594=EDGE_CURVE('',#153834,#153834,#66179,.T.); #187595=EDGE_CURVE('',#153834,#153835,#74792,.T.); #187596=EDGE_CURVE('',#153835,#153835,#66180,.T.); #187597=EDGE_CURVE('',#153836,#153836,#66181,.T.); #187598=EDGE_CURVE('',#153836,#153837,#74793,.T.); #187599=EDGE_CURVE('',#153837,#153837,#66182,.T.); #187600=EDGE_CURVE('',#153838,#153838,#66183,.T.); #187601=EDGE_CURVE('',#153838,#153839,#74794,.T.); #187602=EDGE_CURVE('',#153839,#153839,#66184,.T.); #187603=EDGE_CURVE('',#153840,#153840,#66185,.T.); #187604=EDGE_CURVE('',#153840,#153841,#74795,.T.); #187605=EDGE_CURVE('',#153841,#153841,#66186,.T.); #187606=EDGE_CURVE('',#153842,#153842,#66187,.T.); #187607=EDGE_CURVE('',#153842,#153843,#74796,.T.); #187608=EDGE_CURVE('',#153843,#153843,#66188,.T.); #187609=EDGE_CURVE('',#153844,#153844,#66189,.T.); #187610=EDGE_CURVE('',#153844,#153845,#74797,.T.); #187611=EDGE_CURVE('',#153845,#153845,#66190,.T.); #187612=EDGE_CURVE('',#153846,#153846,#66191,.T.); #187613=EDGE_CURVE('',#153846,#153847,#74798,.T.); #187614=EDGE_CURVE('',#153847,#153847,#66192,.T.); #187615=EDGE_CURVE('',#153848,#153848,#66193,.T.); #187616=EDGE_CURVE('',#153848,#153849,#74799,.T.); #187617=EDGE_CURVE('',#153849,#153849,#66194,.T.); #187618=EDGE_CURVE('',#153850,#153850,#66195,.T.); #187619=EDGE_CURVE('',#153850,#153851,#74800,.T.); #187620=EDGE_CURVE('',#153851,#153851,#66196,.T.); #187621=EDGE_CURVE('',#153852,#153852,#66197,.T.); #187622=EDGE_CURVE('',#153852,#153853,#74801,.T.); #187623=EDGE_CURVE('',#153853,#153853,#66198,.T.); #187624=EDGE_CURVE('',#153854,#153854,#66199,.T.); #187625=EDGE_CURVE('',#153854,#153855,#74802,.T.); #187626=EDGE_CURVE('',#153855,#153855,#66200,.T.); #187627=EDGE_CURVE('',#153856,#153856,#66201,.T.); #187628=EDGE_CURVE('',#153856,#153857,#74803,.T.); #187629=EDGE_CURVE('',#153857,#153857,#66202,.T.); #187630=EDGE_CURVE('',#153858,#153858,#66203,.T.); #187631=EDGE_CURVE('',#153858,#153859,#74804,.T.); #187632=EDGE_CURVE('',#153859,#153859,#66204,.T.); #187633=EDGE_CURVE('',#153860,#153860,#66205,.T.); #187634=EDGE_CURVE('',#153860,#153861,#74805,.T.); #187635=EDGE_CURVE('',#153861,#153861,#66206,.T.); #187636=EDGE_CURVE('',#153862,#153862,#66207,.T.); #187637=EDGE_CURVE('',#153862,#153863,#74806,.T.); #187638=EDGE_CURVE('',#153863,#153863,#66208,.T.); #187639=EDGE_CURVE('',#153864,#153864,#66209,.T.); #187640=EDGE_CURVE('',#153864,#153865,#74807,.T.); #187641=EDGE_CURVE('',#153865,#153865,#66210,.T.); #187642=EDGE_CURVE('',#153866,#153866,#66211,.T.); #187643=EDGE_CURVE('',#153866,#153867,#74808,.T.); #187644=EDGE_CURVE('',#153867,#153867,#66212,.T.); #187645=EDGE_CURVE('',#153868,#153868,#66213,.T.); #187646=EDGE_CURVE('',#153868,#153869,#74809,.T.); #187647=EDGE_CURVE('',#153869,#153869,#66214,.T.); #187648=EDGE_CURVE('',#153870,#153870,#66215,.T.); #187649=EDGE_CURVE('',#153870,#153871,#74810,.T.); #187650=EDGE_CURVE('',#153871,#153871,#66216,.T.); #187651=EDGE_CURVE('',#153872,#153872,#66217,.T.); #187652=EDGE_CURVE('',#153872,#153873,#74811,.T.); #187653=EDGE_CURVE('',#153873,#153873,#66218,.T.); #187654=EDGE_CURVE('',#153874,#153874,#66219,.T.); #187655=EDGE_CURVE('',#153874,#153875,#74812,.T.); #187656=EDGE_CURVE('',#153875,#153875,#66220,.T.); #187657=EDGE_CURVE('',#153876,#153876,#66221,.T.); #187658=EDGE_CURVE('',#153876,#153877,#74813,.T.); #187659=EDGE_CURVE('',#153877,#153877,#66222,.T.); #187660=EDGE_CURVE('',#153878,#153878,#66223,.T.); #187661=EDGE_CURVE('',#153878,#153879,#74814,.T.); #187662=EDGE_CURVE('',#153879,#153879,#66224,.T.); #187663=EDGE_CURVE('',#153880,#153880,#66225,.T.); #187664=EDGE_CURVE('',#153880,#153881,#74815,.T.); #187665=EDGE_CURVE('',#153881,#153881,#66226,.T.); #187666=EDGE_CURVE('',#153882,#153882,#66227,.T.); #187667=EDGE_CURVE('',#153882,#153883,#74816,.T.); #187668=EDGE_CURVE('',#153883,#153883,#66228,.T.); #187669=EDGE_CURVE('',#153884,#153884,#66229,.T.); #187670=EDGE_CURVE('',#153884,#153885,#74817,.T.); #187671=EDGE_CURVE('',#153885,#153885,#66230,.T.); #187672=EDGE_CURVE('',#153886,#153886,#66231,.T.); #187673=EDGE_CURVE('',#153886,#153887,#74818,.T.); #187674=EDGE_CURVE('',#153887,#153887,#66232,.T.); #187675=EDGE_CURVE('',#153888,#153888,#66233,.T.); #187676=EDGE_CURVE('',#153888,#153889,#74819,.T.); #187677=EDGE_CURVE('',#153889,#153889,#66234,.T.); #187678=EDGE_CURVE('',#153890,#153890,#66235,.T.); #187679=EDGE_CURVE('',#153890,#153891,#74820,.T.); #187680=EDGE_CURVE('',#153891,#153891,#66236,.T.); #187681=EDGE_CURVE('',#153892,#153892,#66237,.T.); #187682=EDGE_CURVE('',#153892,#153893,#74821,.T.); #187683=EDGE_CURVE('',#153893,#153893,#66238,.T.); #187684=EDGE_CURVE('',#153894,#153894,#66239,.T.); #187685=EDGE_CURVE('',#153894,#153895,#74822,.T.); #187686=EDGE_CURVE('',#153895,#153895,#66240,.T.); #187687=EDGE_CURVE('',#153896,#153896,#66241,.T.); #187688=EDGE_CURVE('',#153896,#153897,#74823,.T.); #187689=EDGE_CURVE('',#153897,#153897,#66242,.T.); #187690=EDGE_CURVE('',#153898,#153898,#66243,.T.); #187691=EDGE_CURVE('',#153898,#153899,#74824,.T.); #187692=EDGE_CURVE('',#153899,#153899,#66244,.T.); #187693=EDGE_CURVE('',#153900,#153900,#66245,.T.); #187694=EDGE_CURVE('',#153900,#153901,#74825,.T.); #187695=EDGE_CURVE('',#153901,#153901,#66246,.T.); #187696=EDGE_CURVE('',#153902,#153902,#66247,.T.); #187697=EDGE_CURVE('',#153902,#153903,#74826,.T.); #187698=EDGE_CURVE('',#153903,#153903,#66248,.T.); #187699=EDGE_CURVE('',#153904,#153904,#66249,.T.); #187700=EDGE_CURVE('',#153904,#153905,#74827,.T.); #187701=EDGE_CURVE('',#153905,#153905,#66250,.T.); #187702=EDGE_CURVE('',#153906,#153906,#66251,.T.); #187703=EDGE_CURVE('',#153906,#153907,#74828,.T.); #187704=EDGE_CURVE('',#153907,#153907,#66252,.T.); #187705=EDGE_CURVE('',#153908,#153908,#66253,.T.); #187706=EDGE_CURVE('',#153908,#153909,#74829,.T.); #187707=EDGE_CURVE('',#153909,#153909,#66254,.T.); #187708=EDGE_CURVE('',#153910,#153910,#66255,.T.); #187709=EDGE_CURVE('',#153910,#153911,#74830,.T.); #187710=EDGE_CURVE('',#153911,#153911,#66256,.T.); #187711=EDGE_CURVE('',#153912,#153912,#66257,.T.); #187712=EDGE_CURVE('',#153912,#153913,#74831,.T.); #187713=EDGE_CURVE('',#153913,#153913,#66258,.T.); #187714=EDGE_CURVE('',#153914,#153914,#66259,.T.); #187715=EDGE_CURVE('',#153914,#153915,#74832,.T.); #187716=EDGE_CURVE('',#153915,#153915,#66260,.T.); #187717=EDGE_CURVE('',#153916,#153916,#66261,.T.); #187718=EDGE_CURVE('',#153916,#153917,#74833,.T.); #187719=EDGE_CURVE('',#153917,#153917,#66262,.T.); #187720=EDGE_CURVE('',#153918,#153918,#66263,.T.); #187721=EDGE_CURVE('',#153918,#153919,#74834,.T.); #187722=EDGE_CURVE('',#153919,#153919,#66264,.T.); #187723=EDGE_CURVE('',#153920,#153920,#66265,.T.); #187724=EDGE_CURVE('',#153920,#153921,#74835,.T.); #187725=EDGE_CURVE('',#153921,#153921,#66266,.T.); #187726=EDGE_CURVE('',#153922,#153922,#66267,.T.); #187727=EDGE_CURVE('',#153922,#153923,#74836,.T.); #187728=EDGE_CURVE('',#153923,#153923,#66268,.T.); #187729=EDGE_CURVE('',#153924,#153924,#66269,.T.); #187730=EDGE_CURVE('',#153924,#153925,#74837,.T.); #187731=EDGE_CURVE('',#153925,#153925,#66270,.T.); #187732=EDGE_CURVE('',#153926,#153926,#66271,.T.); #187733=EDGE_CURVE('',#153926,#153927,#74838,.T.); #187734=EDGE_CURVE('',#153927,#153927,#66272,.T.); #187735=EDGE_CURVE('',#153928,#153928,#66273,.T.); #187736=EDGE_CURVE('',#153928,#153929,#74839,.T.); #187737=EDGE_CURVE('',#153929,#153929,#66274,.T.); #187738=EDGE_CURVE('',#153930,#153930,#66275,.T.); #187739=EDGE_CURVE('',#153930,#153931,#74840,.T.); #187740=EDGE_CURVE('',#153931,#153931,#66276,.T.); #187741=EDGE_CURVE('',#153932,#153932,#66277,.T.); #187742=EDGE_CURVE('',#153932,#153933,#74841,.T.); #187743=EDGE_CURVE('',#153933,#153933,#66278,.T.); #187744=EDGE_CURVE('',#153934,#153934,#66279,.T.); #187745=EDGE_CURVE('',#153934,#153935,#74842,.T.); #187746=EDGE_CURVE('',#153935,#153935,#66280,.T.); #187747=EDGE_CURVE('',#153936,#153936,#66281,.T.); #187748=EDGE_CURVE('',#153936,#153937,#74843,.T.); #187749=EDGE_CURVE('',#153937,#153937,#66282,.T.); #187750=EDGE_CURVE('',#153938,#153938,#66283,.T.); #187751=EDGE_CURVE('',#153938,#153939,#74844,.T.); #187752=EDGE_CURVE('',#153939,#153939,#66284,.T.); #187753=EDGE_CURVE('',#153940,#153940,#66285,.T.); #187754=EDGE_CURVE('',#153940,#153941,#74845,.T.); #187755=EDGE_CURVE('',#153941,#153941,#66286,.T.); #187756=EDGE_CURVE('',#153942,#153942,#66287,.T.); #187757=EDGE_CURVE('',#153942,#153943,#74846,.T.); #187758=EDGE_CURVE('',#153943,#153943,#66288,.T.); #187759=EDGE_CURVE('',#153944,#153944,#66289,.T.); #187760=EDGE_CURVE('',#153944,#153945,#74847,.T.); #187761=EDGE_CURVE('',#153945,#153945,#66290,.T.); #187762=EDGE_CURVE('',#153946,#153946,#66291,.T.); #187763=EDGE_CURVE('',#153946,#153947,#74848,.T.); #187764=EDGE_CURVE('',#153947,#153947,#66292,.T.); #187765=EDGE_CURVE('',#153948,#153948,#66293,.T.); #187766=EDGE_CURVE('',#153948,#153949,#74849,.T.); #187767=EDGE_CURVE('',#153949,#153949,#66294,.T.); #187768=EDGE_CURVE('',#153950,#153950,#66295,.T.); #187769=EDGE_CURVE('',#153950,#153951,#74850,.T.); #187770=EDGE_CURVE('',#153951,#153951,#66296,.T.); #187771=EDGE_CURVE('',#153952,#153952,#66297,.T.); #187772=EDGE_CURVE('',#153952,#153953,#74851,.T.); #187773=EDGE_CURVE('',#153953,#153953,#66298,.T.); #187774=EDGE_CURVE('',#153954,#153954,#66299,.T.); #187775=EDGE_CURVE('',#153954,#153955,#74852,.T.); #187776=EDGE_CURVE('',#153955,#153955,#66300,.T.); #187777=EDGE_CURVE('',#153956,#153956,#66301,.T.); #187778=EDGE_CURVE('',#153956,#153957,#74853,.T.); #187779=EDGE_CURVE('',#153957,#153957,#66302,.T.); #187780=EDGE_CURVE('',#153958,#153958,#66303,.T.); #187781=EDGE_CURVE('',#153958,#153959,#74854,.T.); #187782=EDGE_CURVE('',#153959,#153959,#66304,.T.); #187783=EDGE_CURVE('',#153960,#153960,#66305,.T.); #187784=EDGE_CURVE('',#153960,#153961,#74855,.T.); #187785=EDGE_CURVE('',#153961,#153961,#66306,.T.); #187786=EDGE_CURVE('',#153962,#153962,#66307,.T.); #187787=EDGE_CURVE('',#153962,#153963,#74856,.T.); #187788=EDGE_CURVE('',#153963,#153963,#66308,.T.); #187789=EDGE_CURVE('',#153964,#153964,#66309,.T.); #187790=EDGE_CURVE('',#153964,#153965,#74857,.T.); #187791=EDGE_CURVE('',#153965,#153965,#66310,.T.); #187792=EDGE_CURVE('',#153966,#153966,#66311,.T.); #187793=EDGE_CURVE('',#153966,#153967,#74858,.T.); #187794=EDGE_CURVE('',#153967,#153967,#66312,.T.); #187795=EDGE_CURVE('',#153968,#153968,#66313,.T.); #187796=EDGE_CURVE('',#153968,#153969,#74859,.T.); #187797=EDGE_CURVE('',#153969,#153969,#66314,.T.); #187798=EDGE_CURVE('',#153970,#153970,#66315,.T.); #187799=EDGE_CURVE('',#153970,#153971,#74860,.T.); #187800=EDGE_CURVE('',#153971,#153971,#66316,.T.); #187801=EDGE_CURVE('',#153972,#153972,#66317,.T.); #187802=EDGE_CURVE('',#153972,#153973,#74861,.T.); #187803=EDGE_CURVE('',#153973,#153973,#66318,.T.); #187804=EDGE_CURVE('',#153974,#153974,#66319,.T.); #187805=EDGE_CURVE('',#153974,#153975,#74862,.T.); #187806=EDGE_CURVE('',#153975,#153975,#66320,.T.); #187807=EDGE_CURVE('',#153976,#153976,#66321,.T.); #187808=EDGE_CURVE('',#153976,#153977,#74863,.T.); #187809=EDGE_CURVE('',#153977,#153977,#66322,.T.); #187810=EDGE_CURVE('',#153978,#153978,#66323,.T.); #187811=EDGE_CURVE('',#153978,#153979,#74864,.T.); #187812=EDGE_CURVE('',#153979,#153979,#66324,.T.); #187813=EDGE_CURVE('',#153980,#153980,#66325,.T.); #187814=EDGE_CURVE('',#153980,#153981,#74865,.T.); #187815=EDGE_CURVE('',#153981,#153981,#66326,.T.); #187816=EDGE_CURVE('',#153982,#153982,#66327,.T.); #187817=EDGE_CURVE('',#153982,#153983,#74866,.T.); #187818=EDGE_CURVE('',#153983,#153983,#66328,.T.); #187819=EDGE_CURVE('',#153984,#153984,#66329,.T.); #187820=EDGE_CURVE('',#153984,#153985,#74867,.T.); #187821=EDGE_CURVE('',#153985,#153985,#66330,.T.); #187822=EDGE_CURVE('',#153986,#153986,#66331,.T.); #187823=EDGE_CURVE('',#153986,#153987,#74868,.T.); #187824=EDGE_CURVE('',#153987,#153987,#66332,.T.); #187825=EDGE_CURVE('',#153988,#153988,#66333,.T.); #187826=EDGE_CURVE('',#153988,#153989,#74869,.T.); #187827=EDGE_CURVE('',#153989,#153989,#66334,.T.); #187828=EDGE_CURVE('',#153990,#153990,#66335,.T.); #187829=EDGE_CURVE('',#153990,#153991,#74870,.T.); #187830=EDGE_CURVE('',#153991,#153991,#66336,.T.); #187831=EDGE_CURVE('',#153992,#153992,#66337,.T.); #187832=EDGE_CURVE('',#153992,#153993,#74871,.T.); #187833=EDGE_CURVE('',#153993,#153993,#66338,.T.); #187834=EDGE_CURVE('',#153994,#153994,#66339,.T.); #187835=EDGE_CURVE('',#153994,#153995,#74872,.T.); #187836=EDGE_CURVE('',#153995,#153995,#66340,.T.); #187837=EDGE_CURVE('',#153996,#153996,#66341,.T.); #187838=EDGE_CURVE('',#153996,#153997,#74873,.T.); #187839=EDGE_CURVE('',#153997,#153997,#66342,.T.); #187840=EDGE_CURVE('',#153998,#153998,#66343,.T.); #187841=EDGE_CURVE('',#153998,#153999,#74874,.T.); #187842=EDGE_CURVE('',#153999,#153999,#66344,.T.); #187843=EDGE_CURVE('',#154000,#154000,#66345,.T.); #187844=EDGE_CURVE('',#154000,#154001,#74875,.T.); #187845=EDGE_CURVE('',#154001,#154001,#66346,.T.); #187846=EDGE_CURVE('',#154002,#154002,#66347,.T.); #187847=EDGE_CURVE('',#154002,#154003,#74876,.T.); #187848=EDGE_CURVE('',#154003,#154003,#66348,.T.); #187849=EDGE_CURVE('',#154004,#154004,#66349,.T.); #187850=EDGE_CURVE('',#154004,#154005,#74877,.T.); #187851=EDGE_CURVE('',#154005,#154005,#66350,.T.); #187852=EDGE_CURVE('',#154006,#154006,#66351,.T.); #187853=EDGE_CURVE('',#154006,#154007,#74878,.T.); #187854=EDGE_CURVE('',#154007,#154007,#66352,.T.); #187855=EDGE_CURVE('',#154008,#154008,#66353,.T.); #187856=EDGE_CURVE('',#154008,#154009,#74879,.T.); #187857=EDGE_CURVE('',#154009,#154009,#66354,.T.); #187858=EDGE_CURVE('',#154010,#154010,#66355,.T.); #187859=EDGE_CURVE('',#154010,#154011,#74880,.T.); #187860=EDGE_CURVE('',#154011,#154011,#66356,.T.); #187861=EDGE_CURVE('',#154012,#154012,#66357,.T.); #187862=EDGE_CURVE('',#154012,#154013,#74881,.T.); #187863=EDGE_CURVE('',#154013,#154013,#66358,.T.); #187864=EDGE_CURVE('',#154014,#154014,#66359,.T.); #187865=EDGE_CURVE('',#154014,#154015,#74882,.T.); #187866=EDGE_CURVE('',#154015,#154015,#66360,.T.); #187867=EDGE_CURVE('',#154016,#154016,#66361,.T.); #187868=EDGE_CURVE('',#154016,#154017,#74883,.T.); #187869=EDGE_CURVE('',#154017,#154017,#66362,.T.); #187870=EDGE_CURVE('',#154018,#154018,#66363,.T.); #187871=EDGE_CURVE('',#154018,#154019,#74884,.T.); #187872=EDGE_CURVE('',#154019,#154019,#66364,.T.); #187873=EDGE_CURVE('',#154020,#154020,#66365,.T.); #187874=EDGE_CURVE('',#154020,#154021,#74885,.T.); #187875=EDGE_CURVE('',#154021,#154021,#66366,.T.); #187876=EDGE_CURVE('',#154022,#154022,#66367,.T.); #187877=EDGE_CURVE('',#154022,#154023,#74886,.T.); #187878=EDGE_CURVE('',#154023,#154023,#66368,.T.); #187879=EDGE_CURVE('',#154024,#154024,#66369,.T.); #187880=EDGE_CURVE('',#154024,#154025,#74887,.T.); #187881=EDGE_CURVE('',#154025,#154025,#66370,.T.); #187882=EDGE_CURVE('',#154026,#154026,#66371,.T.); #187883=EDGE_CURVE('',#154026,#154027,#74888,.T.); #187884=EDGE_CURVE('',#154027,#154027,#66372,.T.); #187885=EDGE_CURVE('',#154028,#154028,#66373,.T.); #187886=EDGE_CURVE('',#154028,#154029,#74889,.T.); #187887=EDGE_CURVE('',#154029,#154029,#66374,.T.); #187888=EDGE_CURVE('',#154030,#154030,#66375,.T.); #187889=EDGE_CURVE('',#154030,#154031,#74890,.T.); #187890=EDGE_CURVE('',#154031,#154031,#66376,.T.); #187891=EDGE_CURVE('',#154032,#154032,#66377,.T.); #187892=EDGE_CURVE('',#154032,#154033,#74891,.T.); #187893=EDGE_CURVE('',#154033,#154033,#66378,.T.); #187894=EDGE_CURVE('',#154034,#154034,#66379,.T.); #187895=EDGE_CURVE('',#154034,#154035,#74892,.T.); #187896=EDGE_CURVE('',#154035,#154035,#66380,.T.); #187897=EDGE_CURVE('',#154036,#154036,#66381,.T.); #187898=EDGE_CURVE('',#154036,#154037,#74893,.T.); #187899=EDGE_CURVE('',#154037,#154037,#66382,.T.); #187900=EDGE_CURVE('',#154038,#154038,#66383,.T.); #187901=EDGE_CURVE('',#154038,#154039,#74894,.T.); #187902=EDGE_CURVE('',#154039,#154039,#66384,.T.); #187903=EDGE_CURVE('',#154040,#154040,#66385,.T.); #187904=EDGE_CURVE('',#154040,#154041,#74895,.T.); #187905=EDGE_CURVE('',#154041,#154041,#66386,.T.); #187906=EDGE_CURVE('',#154042,#154042,#66387,.T.); #187907=EDGE_CURVE('',#154042,#154043,#74896,.T.); #187908=EDGE_CURVE('',#154043,#154043,#66388,.T.); #187909=EDGE_CURVE('',#154044,#154044,#66389,.T.); #187910=EDGE_CURVE('',#154044,#154045,#74897,.T.); #187911=EDGE_CURVE('',#154045,#154045,#66390,.T.); #187912=EDGE_CURVE('',#154046,#154046,#66391,.T.); #187913=EDGE_CURVE('',#154046,#154047,#74898,.T.); #187914=EDGE_CURVE('',#154047,#154047,#66392,.T.); #187915=EDGE_CURVE('',#154048,#154048,#66393,.T.); #187916=EDGE_CURVE('',#154048,#154049,#74899,.T.); #187917=EDGE_CURVE('',#154049,#154049,#66394,.T.); #187918=EDGE_CURVE('',#154050,#154050,#66395,.T.); #187919=EDGE_CURVE('',#154050,#154051,#74900,.T.); #187920=EDGE_CURVE('',#154051,#154051,#66396,.T.); #187921=EDGE_CURVE('',#154052,#154052,#66397,.T.); #187922=EDGE_CURVE('',#154052,#154053,#74901,.T.); #187923=EDGE_CURVE('',#154053,#154053,#66398,.T.); #187924=EDGE_CURVE('',#154054,#154054,#66399,.T.); #187925=EDGE_CURVE('',#154054,#154055,#74902,.T.); #187926=EDGE_CURVE('',#154055,#154055,#66400,.T.); #187927=EDGE_CURVE('',#154056,#154056,#66401,.T.); #187928=EDGE_CURVE('',#154056,#154057,#74903,.T.); #187929=EDGE_CURVE('',#154057,#154057,#66402,.T.); #187930=EDGE_CURVE('',#154058,#154058,#66403,.T.); #187931=EDGE_CURVE('',#154058,#154059,#74904,.T.); #187932=EDGE_CURVE('',#154059,#154059,#66404,.T.); #187933=EDGE_CURVE('',#154060,#154060,#66405,.T.); #187934=EDGE_CURVE('',#154060,#154061,#74905,.T.); #187935=EDGE_CURVE('',#154061,#154061,#66406,.T.); #187936=EDGE_CURVE('',#154062,#154062,#66407,.T.); #187937=EDGE_CURVE('',#154062,#154063,#74906,.T.); #187938=EDGE_CURVE('',#154063,#154063,#66408,.T.); #187939=EDGE_CURVE('',#154064,#154064,#66409,.T.); #187940=EDGE_CURVE('',#154064,#154065,#74907,.T.); #187941=EDGE_CURVE('',#154065,#154065,#66410,.T.); #187942=EDGE_CURVE('',#154066,#154066,#66411,.T.); #187943=EDGE_CURVE('',#154066,#154067,#74908,.T.); #187944=EDGE_CURVE('',#154067,#154067,#66412,.T.); #187945=EDGE_CURVE('',#154068,#154068,#66413,.T.); #187946=EDGE_CURVE('',#154068,#154069,#74909,.T.); #187947=EDGE_CURVE('',#154069,#154069,#66414,.T.); #187948=EDGE_CURVE('',#154070,#154070,#66415,.T.); #187949=EDGE_CURVE('',#154070,#154071,#74910,.T.); #187950=EDGE_CURVE('',#154071,#154071,#66416,.T.); #187951=EDGE_CURVE('',#154072,#154072,#66417,.T.); #187952=EDGE_CURVE('',#154072,#154073,#74911,.T.); #187953=EDGE_CURVE('',#154073,#154073,#66418,.T.); #187954=EDGE_CURVE('',#154074,#154074,#66419,.T.); #187955=EDGE_CURVE('',#154074,#154075,#74912,.T.); #187956=EDGE_CURVE('',#154075,#154075,#66420,.T.); #187957=EDGE_CURVE('',#154076,#154076,#66421,.T.); #187958=EDGE_CURVE('',#154076,#154077,#74913,.T.); #187959=EDGE_CURVE('',#154077,#154077,#66422,.T.); #187960=EDGE_CURVE('',#154078,#154078,#66423,.T.); #187961=EDGE_CURVE('',#154078,#154079,#74914,.T.); #187962=EDGE_CURVE('',#154079,#154079,#66424,.T.); #187963=EDGE_CURVE('',#154080,#154080,#66425,.T.); #187964=EDGE_CURVE('',#154080,#154081,#74915,.T.); #187965=EDGE_CURVE('',#154081,#154081,#66426,.T.); #187966=EDGE_CURVE('',#154082,#154082,#66427,.T.); #187967=EDGE_CURVE('',#154082,#154083,#74916,.T.); #187968=EDGE_CURVE('',#154083,#154083,#66428,.T.); #187969=EDGE_CURVE('',#154084,#154084,#66429,.T.); #187970=EDGE_CURVE('',#154084,#154085,#74917,.T.); #187971=EDGE_CURVE('',#154085,#154085,#66430,.T.); #187972=EDGE_CURVE('',#154086,#154086,#66431,.T.); #187973=EDGE_CURVE('',#154086,#154087,#74918,.T.); #187974=EDGE_CURVE('',#154087,#154087,#66432,.T.); #187975=EDGE_CURVE('',#154088,#154088,#66433,.T.); #187976=EDGE_CURVE('',#154088,#154089,#74919,.T.); #187977=EDGE_CURVE('',#154089,#154089,#66434,.T.); #187978=EDGE_CURVE('',#154090,#154090,#66435,.T.); #187979=EDGE_CURVE('',#154090,#154091,#74920,.T.); #187980=EDGE_CURVE('',#154091,#154091,#66436,.T.); #187981=EDGE_CURVE('',#154092,#154092,#66437,.T.); #187982=EDGE_CURVE('',#154092,#154093,#74921,.T.); #187983=EDGE_CURVE('',#154093,#154093,#66438,.T.); #187984=EDGE_CURVE('',#154094,#154094,#66439,.T.); #187985=EDGE_CURVE('',#154094,#154095,#74922,.T.); #187986=EDGE_CURVE('',#154095,#154095,#66440,.T.); #187987=EDGE_CURVE('',#154096,#154096,#66441,.T.); #187988=EDGE_CURVE('',#154096,#154097,#74923,.T.); #187989=EDGE_CURVE('',#154097,#154097,#66442,.T.); #187990=EDGE_CURVE('',#154098,#154098,#66443,.T.); #187991=EDGE_CURVE('',#154098,#154099,#74924,.T.); #187992=EDGE_CURVE('',#154099,#154099,#66444,.T.); #187993=EDGE_CURVE('',#154100,#154100,#66445,.T.); #187994=EDGE_CURVE('',#154100,#154101,#74925,.T.); #187995=EDGE_CURVE('',#154101,#154101,#66446,.T.); #187996=EDGE_CURVE('',#154102,#154102,#66447,.T.); #187997=EDGE_CURVE('',#154102,#154103,#74926,.T.); #187998=EDGE_CURVE('',#154103,#154103,#66448,.T.); #187999=EDGE_CURVE('',#154104,#154104,#66449,.T.); #188000=EDGE_CURVE('',#154104,#154105,#74927,.T.); #188001=EDGE_CURVE('',#154105,#154105,#66450,.T.); #188002=EDGE_CURVE('',#154106,#154106,#66451,.T.); #188003=EDGE_CURVE('',#154106,#154107,#74928,.T.); #188004=EDGE_CURVE('',#154107,#154107,#66452,.T.); #188005=EDGE_CURVE('',#154108,#154108,#66453,.T.); #188006=EDGE_CURVE('',#154108,#154109,#74929,.T.); #188007=EDGE_CURVE('',#154109,#154109,#66454,.T.); #188008=EDGE_CURVE('',#154110,#154110,#66455,.T.); #188009=EDGE_CURVE('',#154110,#154111,#74930,.T.); #188010=EDGE_CURVE('',#154111,#154111,#66456,.T.); #188011=EDGE_CURVE('',#154112,#154112,#66457,.T.); #188012=EDGE_CURVE('',#154112,#154113,#74931,.T.); #188013=EDGE_CURVE('',#154113,#154113,#66458,.T.); #188014=EDGE_CURVE('',#154114,#154114,#66459,.T.); #188015=EDGE_CURVE('',#154114,#154115,#74932,.T.); #188016=EDGE_CURVE('',#154115,#154115,#66460,.T.); #188017=EDGE_CURVE('',#154116,#154116,#66461,.T.); #188018=EDGE_CURVE('',#154116,#154117,#74933,.T.); #188019=EDGE_CURVE('',#154117,#154117,#66462,.T.); #188020=EDGE_CURVE('',#154118,#154118,#66463,.T.); #188021=EDGE_CURVE('',#154118,#154119,#74934,.T.); #188022=EDGE_CURVE('',#154119,#154119,#66464,.T.); #188023=EDGE_CURVE('',#154120,#154120,#66465,.T.); #188024=EDGE_CURVE('',#154120,#154121,#74935,.T.); #188025=EDGE_CURVE('',#154121,#154121,#66466,.T.); #188026=EDGE_CURVE('',#154122,#154122,#66467,.T.); #188027=EDGE_CURVE('',#154122,#154123,#74936,.T.); #188028=EDGE_CURVE('',#154123,#154123,#66468,.T.); #188029=EDGE_CURVE('',#154124,#154124,#66469,.T.); #188030=EDGE_CURVE('',#154124,#154125,#74937,.T.); #188031=EDGE_CURVE('',#154125,#154125,#66470,.T.); #188032=EDGE_CURVE('',#154126,#154126,#66471,.T.); #188033=EDGE_CURVE('',#154126,#154127,#74938,.T.); #188034=EDGE_CURVE('',#154127,#154127,#66472,.T.); #188035=EDGE_CURVE('',#154128,#154128,#66473,.T.); #188036=EDGE_CURVE('',#154128,#154129,#74939,.T.); #188037=EDGE_CURVE('',#154129,#154129,#66474,.T.); #188038=EDGE_CURVE('',#154130,#154130,#66475,.T.); #188039=EDGE_CURVE('',#154130,#154131,#74940,.T.); #188040=EDGE_CURVE('',#154131,#154131,#66476,.T.); #188041=EDGE_CURVE('',#154132,#154132,#66477,.T.); #188042=EDGE_CURVE('',#154132,#154133,#74941,.T.); #188043=EDGE_CURVE('',#154133,#154133,#66478,.T.); #188044=EDGE_CURVE('',#154134,#154134,#66479,.T.); #188045=EDGE_CURVE('',#154134,#154135,#74942,.T.); #188046=EDGE_CURVE('',#154135,#154135,#66480,.T.); #188047=EDGE_CURVE('',#154136,#154136,#66481,.T.); #188048=EDGE_CURVE('',#154136,#154137,#74943,.T.); #188049=EDGE_CURVE('',#154137,#154137,#66482,.T.); #188050=EDGE_CURVE('',#154138,#154138,#66483,.T.); #188051=EDGE_CURVE('',#154138,#154139,#74944,.T.); #188052=EDGE_CURVE('',#154139,#154139,#66484,.T.); #188053=EDGE_CURVE('',#154140,#154140,#66485,.T.); #188054=EDGE_CURVE('',#154140,#154141,#74945,.T.); #188055=EDGE_CURVE('',#154141,#154141,#66486,.T.); #188056=EDGE_CURVE('',#154142,#154142,#66487,.T.); #188057=EDGE_CURVE('',#154142,#154143,#74946,.T.); #188058=EDGE_CURVE('',#154143,#154143,#66488,.T.); #188059=EDGE_CURVE('',#154144,#154144,#66489,.T.); #188060=EDGE_CURVE('',#154144,#154145,#74947,.T.); #188061=EDGE_CURVE('',#154145,#154145,#66490,.T.); #188062=EDGE_CURVE('',#154146,#154146,#66491,.T.); #188063=EDGE_CURVE('',#154146,#154147,#74948,.T.); #188064=EDGE_CURVE('',#154147,#154147,#66492,.T.); #188065=EDGE_CURVE('',#154148,#154148,#66493,.T.); #188066=EDGE_CURVE('',#154148,#154149,#74949,.T.); #188067=EDGE_CURVE('',#154149,#154149,#66494,.T.); #188068=EDGE_CURVE('',#154150,#154150,#66495,.T.); #188069=EDGE_CURVE('',#154150,#154151,#74950,.T.); #188070=EDGE_CURVE('',#154151,#154151,#66496,.T.); #188071=EDGE_CURVE('',#154152,#154152,#66497,.T.); #188072=EDGE_CURVE('',#154152,#154153,#74951,.T.); #188073=EDGE_CURVE('',#154153,#154153,#66498,.T.); #188074=EDGE_CURVE('',#154154,#154154,#66499,.T.); #188075=EDGE_CURVE('',#154154,#154155,#74952,.T.); #188076=EDGE_CURVE('',#154155,#154155,#66500,.T.); #188077=EDGE_CURVE('',#154156,#154156,#66501,.T.); #188078=EDGE_CURVE('',#154156,#154157,#74953,.T.); #188079=EDGE_CURVE('',#154157,#154157,#66502,.T.); #188080=EDGE_CURVE('',#154158,#154158,#66503,.T.); #188081=EDGE_CURVE('',#154158,#154159,#74954,.T.); #188082=EDGE_CURVE('',#154159,#154159,#66504,.T.); #188083=EDGE_CURVE('',#154160,#154160,#66505,.T.); #188084=EDGE_CURVE('',#154160,#154161,#74955,.T.); #188085=EDGE_CURVE('',#154161,#154161,#66506,.T.); #188086=EDGE_CURVE('',#154162,#154162,#66507,.T.); #188087=EDGE_CURVE('',#154162,#154163,#74956,.T.); #188088=EDGE_CURVE('',#154163,#154163,#66508,.T.); #188089=EDGE_CURVE('',#154164,#154164,#66509,.T.); #188090=EDGE_CURVE('',#154164,#154165,#74957,.T.); #188091=EDGE_CURVE('',#154165,#154165,#66510,.T.); #188092=EDGE_CURVE('',#154166,#154166,#66511,.T.); #188093=EDGE_CURVE('',#154166,#154167,#74958,.T.); #188094=EDGE_CURVE('',#154167,#154167,#66512,.T.); #188095=EDGE_CURVE('',#154168,#154168,#66513,.T.); #188096=EDGE_CURVE('',#154168,#154169,#74959,.T.); #188097=EDGE_CURVE('',#154169,#154169,#66514,.T.); #188098=EDGE_CURVE('',#154170,#154170,#66515,.T.); #188099=EDGE_CURVE('',#154170,#154171,#74960,.T.); #188100=EDGE_CURVE('',#154171,#154171,#66516,.T.); #188101=EDGE_CURVE('',#154172,#154172,#66517,.T.); #188102=EDGE_CURVE('',#154172,#154173,#74961,.T.); #188103=EDGE_CURVE('',#154173,#154173,#66518,.T.); #188104=EDGE_CURVE('',#154174,#154174,#66519,.T.); #188105=EDGE_CURVE('',#154174,#154175,#74962,.T.); #188106=EDGE_CURVE('',#154175,#154175,#66520,.T.); #188107=EDGE_CURVE('',#154176,#154176,#66521,.T.); #188108=EDGE_CURVE('',#154176,#154177,#74963,.T.); #188109=EDGE_CURVE('',#154177,#154177,#66522,.T.); #188110=EDGE_CURVE('',#154178,#154178,#66523,.T.); #188111=EDGE_CURVE('',#154178,#154179,#74964,.T.); #188112=EDGE_CURVE('',#154179,#154179,#66524,.T.); #188113=EDGE_CURVE('',#154180,#154180,#66525,.T.); #188114=EDGE_CURVE('',#154180,#154181,#74965,.T.); #188115=EDGE_CURVE('',#154181,#154181,#66526,.T.); #188116=EDGE_CURVE('',#154182,#154182,#66527,.T.); #188117=EDGE_CURVE('',#154182,#154183,#74966,.T.); #188118=EDGE_CURVE('',#154183,#154183,#66528,.T.); #188119=EDGE_CURVE('',#154184,#154184,#66529,.T.); #188120=EDGE_CURVE('',#154184,#154185,#74967,.T.); #188121=EDGE_CURVE('',#154185,#154185,#66530,.T.); #188122=EDGE_CURVE('',#154186,#154186,#66531,.T.); #188123=EDGE_CURVE('',#154186,#154187,#74968,.T.); #188124=EDGE_CURVE('',#154187,#154187,#66532,.T.); #188125=EDGE_CURVE('',#154188,#154188,#66533,.T.); #188126=EDGE_CURVE('',#154188,#154189,#74969,.T.); #188127=EDGE_CURVE('',#154189,#154189,#66534,.T.); #188128=EDGE_CURVE('',#154190,#154190,#66535,.T.); #188129=EDGE_CURVE('',#154190,#154191,#74970,.T.); #188130=EDGE_CURVE('',#154191,#154191,#66536,.T.); #188131=EDGE_CURVE('',#154192,#154192,#66537,.T.); #188132=EDGE_CURVE('',#154192,#154193,#74971,.T.); #188133=EDGE_CURVE('',#154193,#154193,#66538,.T.); #188134=EDGE_CURVE('',#154194,#154194,#66539,.T.); #188135=EDGE_CURVE('',#154194,#154195,#74972,.T.); #188136=EDGE_CURVE('',#154195,#154195,#66540,.T.); #188137=EDGE_CURVE('',#154196,#154196,#66541,.T.); #188138=EDGE_CURVE('',#154196,#154197,#74973,.T.); #188139=EDGE_CURVE('',#154197,#154197,#66542,.T.); #188140=EDGE_CURVE('',#154198,#154198,#66543,.T.); #188141=EDGE_CURVE('',#154198,#154199,#74974,.T.); #188142=EDGE_CURVE('',#154199,#154199,#66544,.T.); #188143=EDGE_CURVE('',#154200,#154200,#66545,.T.); #188144=EDGE_CURVE('',#154200,#154201,#74975,.T.); #188145=EDGE_CURVE('',#154201,#154201,#66546,.T.); #188146=EDGE_CURVE('',#154202,#154202,#66547,.T.); #188147=EDGE_CURVE('',#154202,#154203,#74976,.T.); #188148=EDGE_CURVE('',#154203,#154203,#66548,.T.); #188149=EDGE_CURVE('',#154204,#154204,#66549,.T.); #188150=EDGE_CURVE('',#154204,#154205,#74977,.T.); #188151=EDGE_CURVE('',#154205,#154205,#66550,.T.); #188152=EDGE_CURVE('',#154206,#154206,#66551,.T.); #188153=EDGE_CURVE('',#154206,#154207,#74978,.T.); #188154=EDGE_CURVE('',#154207,#154207,#66552,.T.); #188155=EDGE_CURVE('',#154208,#154208,#66553,.T.); #188156=EDGE_CURVE('',#154208,#154209,#74979,.T.); #188157=EDGE_CURVE('',#154209,#154209,#66554,.T.); #188158=EDGE_CURVE('',#154210,#154210,#66555,.T.); #188159=EDGE_CURVE('',#154210,#154211,#74980,.T.); #188160=EDGE_CURVE('',#154211,#154211,#66556,.T.); #188161=EDGE_CURVE('',#154212,#154212,#66557,.T.); #188162=EDGE_CURVE('',#154212,#154213,#74981,.T.); #188163=EDGE_CURVE('',#154213,#154213,#66558,.T.); #188164=EDGE_CURVE('',#154214,#154214,#66559,.T.); #188165=EDGE_CURVE('',#154214,#154215,#74982,.T.); #188166=EDGE_CURVE('',#154215,#154215,#66560,.T.); #188167=EDGE_CURVE('',#154216,#154216,#66561,.T.); #188168=EDGE_CURVE('',#154216,#154217,#74983,.T.); #188169=EDGE_CURVE('',#154217,#154217,#66562,.T.); #188170=EDGE_CURVE('',#154218,#154218,#66563,.T.); #188171=EDGE_CURVE('',#154218,#154219,#74984,.T.); #188172=EDGE_CURVE('',#154219,#154219,#66564,.T.); #188173=EDGE_CURVE('',#154220,#154220,#66565,.T.); #188174=EDGE_CURVE('',#154220,#154221,#74985,.T.); #188175=EDGE_CURVE('',#154221,#154221,#66566,.T.); #188176=EDGE_CURVE('',#154222,#154222,#66567,.T.); #188177=EDGE_CURVE('',#154222,#154223,#74986,.T.); #188178=EDGE_CURVE('',#154223,#154223,#66568,.T.); #188179=EDGE_CURVE('',#154224,#154224,#66569,.T.); #188180=EDGE_CURVE('',#154224,#154225,#74987,.T.); #188181=EDGE_CURVE('',#154225,#154225,#66570,.T.); #188182=EDGE_CURVE('',#154226,#154226,#66571,.T.); #188183=EDGE_CURVE('',#154226,#154227,#74988,.T.); #188184=EDGE_CURVE('',#154227,#154227,#66572,.T.); #188185=EDGE_CURVE('',#154228,#154228,#66573,.T.); #188186=EDGE_CURVE('',#154228,#154229,#74989,.T.); #188187=EDGE_CURVE('',#154229,#154229,#66574,.T.); #188188=EDGE_CURVE('',#154230,#154230,#66575,.T.); #188189=EDGE_CURVE('',#154230,#154231,#74990,.T.); #188190=EDGE_CURVE('',#154231,#154231,#66576,.T.); #188191=EDGE_CURVE('',#154232,#154232,#66577,.T.); #188192=EDGE_CURVE('',#154232,#154233,#74991,.T.); #188193=EDGE_CURVE('',#154233,#154233,#66578,.T.); #188194=EDGE_CURVE('',#154234,#154234,#66579,.T.); #188195=EDGE_CURVE('',#154234,#154235,#74992,.T.); #188196=EDGE_CURVE('',#154235,#154235,#66580,.T.); #188197=EDGE_CURVE('',#154236,#154236,#66581,.T.); #188198=EDGE_CURVE('',#154236,#154237,#74993,.T.); #188199=EDGE_CURVE('',#154237,#154237,#66582,.T.); #188200=EDGE_CURVE('',#154238,#154238,#66583,.T.); #188201=EDGE_CURVE('',#154238,#154239,#74994,.T.); #188202=EDGE_CURVE('',#154239,#154239,#66584,.T.); #188203=EDGE_CURVE('',#154240,#154241,#74995,.T.); #188204=EDGE_CURVE('',#154241,#154242,#74996,.T.); #188205=EDGE_CURVE('',#154243,#154242,#74997,.T.); #188206=EDGE_CURVE('',#154240,#154243,#74998,.T.); #188207=EDGE_CURVE('',#154244,#154240,#66585,.T.); #188208=EDGE_CURVE('',#154245,#154243,#66586,.T.); #188209=EDGE_CURVE('',#154244,#154245,#74999,.T.); #188210=EDGE_CURVE('',#154241,#154244,#66587,.T.); #188211=EDGE_CURVE('',#154242,#154245,#66588,.T.); #188212=EDGE_CURVE('',#154246,#154247,#75000,.T.); #188213=EDGE_CURVE('',#154247,#154248,#75001,.T.); #188214=EDGE_CURVE('',#154249,#154248,#75002,.T.); #188215=EDGE_CURVE('',#154246,#154249,#75003,.T.); #188216=EDGE_CURVE('',#154250,#154246,#75004,.T.); #188217=EDGE_CURVE('',#154251,#154249,#75005,.T.); #188218=EDGE_CURVE('',#154250,#154251,#75006,.T.); #188219=EDGE_CURVE('',#154252,#154250,#75007,.T.); #188220=EDGE_CURVE('',#154253,#154251,#75008,.T.); #188221=EDGE_CURVE('',#154252,#154253,#75009,.T.); #188222=EDGE_CURVE('',#154247,#154252,#75010,.T.); #188223=EDGE_CURVE('',#154248,#154253,#75011,.T.); #188224=EDGE_CURVE('',#154254,#154255,#75012,.T.); #188225=EDGE_CURVE('',#154255,#154256,#75013,.T.); #188226=EDGE_CURVE('',#154257,#154256,#75014,.T.); #188227=EDGE_CURVE('',#154254,#154257,#75015,.T.); #188228=EDGE_CURVE('',#154258,#154254,#75016,.T.); #188229=EDGE_CURVE('',#154259,#154257,#75017,.T.); #188230=EDGE_CURVE('',#154258,#154259,#75018,.T.); #188231=EDGE_CURVE('',#154260,#154258,#75019,.T.); #188232=EDGE_CURVE('',#154261,#154259,#75020,.T.); #188233=EDGE_CURVE('',#154260,#154261,#75021,.T.); #188234=EDGE_CURVE('',#154255,#154260,#75022,.T.); #188235=EDGE_CURVE('',#154256,#154261,#75023,.T.); #188236=EDGE_CURVE('',#154262,#154263,#75024,.T.); #188237=EDGE_CURVE('',#154263,#154264,#75025,.T.); #188238=EDGE_CURVE('',#154265,#154264,#75026,.T.); #188239=EDGE_CURVE('',#154262,#154265,#75027,.T.); #188240=EDGE_CURVE('',#154266,#154262,#75028,.T.); #188241=EDGE_CURVE('',#154267,#154265,#75029,.T.); #188242=EDGE_CURVE('',#154266,#154267,#75030,.T.); #188243=EDGE_CURVE('',#154268,#154266,#75031,.T.); #188244=EDGE_CURVE('',#154269,#154267,#75032,.T.); #188245=EDGE_CURVE('',#154268,#154269,#75033,.T.); #188246=EDGE_CURVE('',#154263,#154268,#75034,.T.); #188247=EDGE_CURVE('',#154264,#154269,#75035,.T.); #188248=EDGE_CURVE('',#154270,#154271,#75036,.T.); #188249=EDGE_CURVE('',#154271,#154272,#75037,.T.); #188250=EDGE_CURVE('',#154273,#154272,#75038,.T.); #188251=EDGE_CURVE('',#154270,#154273,#75039,.T.); #188252=EDGE_CURVE('',#154274,#154270,#75040,.T.); #188253=EDGE_CURVE('',#154275,#154273,#75041,.T.); #188254=EDGE_CURVE('',#154274,#154275,#75042,.T.); #188255=EDGE_CURVE('',#154276,#154274,#75043,.T.); #188256=EDGE_CURVE('',#154277,#154275,#75044,.T.); #188257=EDGE_CURVE('',#154276,#154277,#75045,.T.); #188258=EDGE_CURVE('',#154271,#154276,#75046,.T.); #188259=EDGE_CURVE('',#154272,#154277,#75047,.T.); #188260=EDGE_CURVE('',#154278,#154279,#75048,.T.); #188261=EDGE_CURVE('',#154279,#154280,#75049,.T.); #188262=EDGE_CURVE('',#154281,#154280,#75050,.T.); #188263=EDGE_CURVE('',#154278,#154281,#75051,.T.); #188264=EDGE_CURVE('',#154282,#154278,#75052,.T.); #188265=EDGE_CURVE('',#154283,#154281,#75053,.T.); #188266=EDGE_CURVE('',#154282,#154283,#75054,.T.); #188267=EDGE_CURVE('',#154284,#154282,#75055,.T.); #188268=EDGE_CURVE('',#154285,#154283,#75056,.T.); #188269=EDGE_CURVE('',#154284,#154285,#75057,.T.); #188270=EDGE_CURVE('',#154279,#154284,#75058,.T.); #188271=EDGE_CURVE('',#154280,#154285,#75059,.T.); #188272=EDGE_CURVE('',#154286,#154287,#75060,.T.); #188273=EDGE_CURVE('',#154287,#154288,#75061,.T.); #188274=EDGE_CURVE('',#154289,#154288,#75062,.T.); #188275=EDGE_CURVE('',#154286,#154289,#75063,.T.); #188276=EDGE_CURVE('',#154290,#154286,#75064,.T.); #188277=EDGE_CURVE('',#154291,#154289,#75065,.T.); #188278=EDGE_CURVE('',#154290,#154291,#75066,.T.); #188279=EDGE_CURVE('',#154292,#154290,#75067,.T.); #188280=EDGE_CURVE('',#154293,#154291,#75068,.T.); #188281=EDGE_CURVE('',#154292,#154293,#75069,.T.); #188282=EDGE_CURVE('',#154287,#154292,#75070,.T.); #188283=EDGE_CURVE('',#154288,#154293,#75071,.T.); #188284=EDGE_CURVE('',#154294,#154295,#75072,.T.); #188285=EDGE_CURVE('',#154295,#154296,#75073,.T.); #188286=EDGE_CURVE('',#154297,#154296,#75074,.T.); #188287=EDGE_CURVE('',#154294,#154297,#75075,.T.); #188288=EDGE_CURVE('',#154298,#154294,#75076,.T.); #188289=EDGE_CURVE('',#154299,#154297,#75077,.T.); #188290=EDGE_CURVE('',#154298,#154299,#75078,.T.); #188291=EDGE_CURVE('',#154300,#154298,#75079,.T.); #188292=EDGE_CURVE('',#154301,#154299,#75080,.T.); #188293=EDGE_CURVE('',#154300,#154301,#75081,.T.); #188294=EDGE_CURVE('',#154295,#154300,#75082,.T.); #188295=EDGE_CURVE('',#154296,#154301,#75083,.T.); #188296=EDGE_CURVE('',#154302,#154303,#75084,.T.); #188297=EDGE_CURVE('',#154303,#154304,#75085,.T.); #188298=EDGE_CURVE('',#154305,#154304,#75086,.T.); #188299=EDGE_CURVE('',#154302,#154305,#75087,.T.); #188300=EDGE_CURVE('',#154306,#154302,#75088,.T.); #188301=EDGE_CURVE('',#154307,#154305,#75089,.T.); #188302=EDGE_CURVE('',#154306,#154307,#75090,.T.); #188303=EDGE_CURVE('',#154308,#154306,#75091,.T.); #188304=EDGE_CURVE('',#154309,#154307,#75092,.T.); #188305=EDGE_CURVE('',#154308,#154309,#75093,.T.); #188306=EDGE_CURVE('',#154303,#154308,#75094,.T.); #188307=EDGE_CURVE('',#154304,#154309,#75095,.T.); #188308=EDGE_CURVE('',#154310,#154311,#75096,.T.); #188309=EDGE_CURVE('',#154311,#154312,#75097,.T.); #188310=EDGE_CURVE('',#154313,#154312,#75098,.T.); #188311=EDGE_CURVE('',#154310,#154313,#75099,.T.); #188312=EDGE_CURVE('',#154314,#154310,#75100,.T.); #188313=EDGE_CURVE('',#154315,#154313,#75101,.T.); #188314=EDGE_CURVE('',#154314,#154315,#75102,.T.); #188315=EDGE_CURVE('',#154316,#154314,#75103,.T.); #188316=EDGE_CURVE('',#154317,#154315,#75104,.T.); #188317=EDGE_CURVE('',#154316,#154317,#75105,.T.); #188318=EDGE_CURVE('',#154311,#154316,#75106,.T.); #188319=EDGE_CURVE('',#154312,#154317,#75107,.T.); #188320=EDGE_CURVE('',#154318,#154319,#75108,.T.); #188321=EDGE_CURVE('',#154319,#154320,#75109,.T.); #188322=EDGE_CURVE('',#154321,#154320,#75110,.T.); #188323=EDGE_CURVE('',#154318,#154321,#75111,.T.); #188324=EDGE_CURVE('',#154322,#154318,#75112,.T.); #188325=EDGE_CURVE('',#154323,#154321,#75113,.T.); #188326=EDGE_CURVE('',#154322,#154323,#75114,.T.); #188327=EDGE_CURVE('',#154324,#154322,#75115,.T.); #188328=EDGE_CURVE('',#154325,#154323,#75116,.T.); #188329=EDGE_CURVE('',#154324,#154325,#75117,.T.); #188330=EDGE_CURVE('',#154319,#154324,#75118,.T.); #188331=EDGE_CURVE('',#154320,#154325,#75119,.T.); #188332=EDGE_CURVE('',#154326,#154326,#66589,.T.); #188333=EDGE_CURVE('',#154326,#154327,#75120,.T.); #188334=EDGE_CURVE('',#154327,#154327,#66590,.T.); #188335=EDGE_CURVE('',#154328,#154329,#75121,.T.); #188336=EDGE_CURVE('',#154329,#154330,#75122,.T.); #188337=EDGE_CURVE('',#154331,#154330,#75123,.T.); #188338=EDGE_CURVE('',#154328,#154331,#75124,.T.); #188339=EDGE_CURVE('',#154332,#154328,#66591,.T.); #188340=EDGE_CURVE('',#154333,#154331,#66592,.T.); #188341=EDGE_CURVE('',#154332,#154333,#75125,.T.); #188342=EDGE_CURVE('',#154329,#154332,#66593,.T.); #188343=EDGE_CURVE('',#154330,#154333,#66594,.T.); #188344=EDGE_CURVE('',#154334,#154334,#66595,.T.); #188345=EDGE_CURVE('',#154334,#154335,#75126,.T.); #188346=EDGE_CURVE('',#154335,#154335,#66596,.T.); #188347=EDGE_CURVE('',#154336,#154336,#66597,.T.); #188348=EDGE_CURVE('',#154336,#154337,#75127,.T.); #188349=EDGE_CURVE('',#154337,#154337,#66598,.T.); #188350=EDGE_CURVE('',#154338,#154339,#75128,.T.); #188351=EDGE_CURVE('',#154339,#154340,#75129,.T.); #188352=EDGE_CURVE('',#154341,#154340,#75130,.T.); #188353=EDGE_CURVE('',#154338,#154341,#75131,.T.); #188354=EDGE_CURVE('',#154342,#154338,#66599,.T.); #188355=EDGE_CURVE('',#154343,#154341,#66600,.T.); #188356=EDGE_CURVE('',#154342,#154343,#75132,.T.); #188357=EDGE_CURVE('',#154344,#154342,#66601,.T.); #188358=EDGE_CURVE('',#154345,#154343,#66602,.T.); #188359=EDGE_CURVE('',#154344,#154345,#75133,.T.); #188360=EDGE_CURVE('',#154346,#154344,#75134,.T.); #188361=EDGE_CURVE('',#154347,#154345,#75135,.T.); #188362=EDGE_CURVE('',#154346,#154347,#75136,.T.); #188363=EDGE_CURVE('',#154348,#154346,#66603,.T.); #188364=EDGE_CURVE('',#154349,#154347,#66604,.T.); #188365=EDGE_CURVE('',#154348,#154349,#75137,.T.); #188366=EDGE_CURVE('',#154350,#154348,#66605,.T.); #188367=EDGE_CURVE('',#154351,#154349,#66606,.T.); #188368=EDGE_CURVE('',#154350,#154351,#75138,.T.); #188369=EDGE_CURVE('',#154352,#154350,#75139,.T.); #188370=EDGE_CURVE('',#154353,#154351,#75140,.T.); #188371=EDGE_CURVE('',#154352,#154353,#75141,.T.); #188372=EDGE_CURVE('',#154339,#154352,#66607,.T.); #188373=EDGE_CURVE('',#154340,#154353,#66608,.T.); #188374=EDGE_CURVE('',#154354,#154354,#66609,.T.); #188375=EDGE_CURVE('',#154354,#154355,#75142,.T.); #188376=EDGE_CURVE('',#154355,#154355,#66610,.T.); #188377=EDGE_CURVE('',#154356,#154357,#75143,.T.); #188378=EDGE_CURVE('',#154357,#154358,#75144,.T.); #188379=EDGE_CURVE('',#154359,#154358,#75145,.T.); #188380=EDGE_CURVE('',#154356,#154359,#75146,.T.); #188381=EDGE_CURVE('',#154360,#154356,#66611,.T.); #188382=EDGE_CURVE('',#154361,#154359,#66612,.T.); #188383=EDGE_CURVE('',#154360,#154361,#75147,.T.); #188384=EDGE_CURVE('',#154357,#154360,#66613,.T.); #188385=EDGE_CURVE('',#154358,#154361,#66614,.T.); #188386=EDGE_CURVE('',#154362,#154362,#66615,.T.); #188387=EDGE_CURVE('',#154362,#154363,#75148,.T.); #188388=EDGE_CURVE('',#154363,#154363,#66616,.T.); #188389=EDGE_CURVE('',#154364,#154365,#75149,.T.); #188390=EDGE_CURVE('',#154365,#154366,#75150,.T.); #188391=EDGE_CURVE('',#154367,#154366,#75151,.T.); #188392=EDGE_CURVE('',#154364,#154367,#75152,.T.); #188393=EDGE_CURVE('',#154368,#154364,#66617,.T.); #188394=EDGE_CURVE('',#154369,#154367,#66618,.T.); #188395=EDGE_CURVE('',#154368,#154369,#75153,.T.); #188396=EDGE_CURVE('',#154365,#154368,#66619,.T.); #188397=EDGE_CURVE('',#154366,#154369,#66620,.T.); #188398=EDGE_CURVE('',#154370,#154370,#66621,.T.); #188399=EDGE_CURVE('',#154370,#154371,#75154,.T.); #188400=EDGE_CURVE('',#154371,#154371,#66622,.T.); #188401=EDGE_CURVE('',#154372,#154373,#75155,.T.); #188402=EDGE_CURVE('',#154373,#154374,#75156,.T.); #188403=EDGE_CURVE('',#154375,#154374,#75157,.T.); #188404=EDGE_CURVE('',#154372,#154375,#75158,.T.); #188405=EDGE_CURVE('',#154376,#154372,#66623,.T.); #188406=EDGE_CURVE('',#154377,#154375,#66624,.T.); #188407=EDGE_CURVE('',#154376,#154377,#75159,.T.); #188408=EDGE_CURVE('',#154378,#154376,#75160,.T.); #188409=EDGE_CURVE('',#154379,#154377,#75161,.T.); #188410=EDGE_CURVE('',#154378,#154379,#75162,.T.); #188411=EDGE_CURVE('',#154380,#154378,#66625,.T.); #188412=EDGE_CURVE('',#154381,#154379,#66626,.T.); #188413=EDGE_CURVE('',#154380,#154381,#75163,.T.); #188414=EDGE_CURVE('',#154382,#154380,#75164,.T.); #188415=EDGE_CURVE('',#154383,#154381,#75165,.T.); #188416=EDGE_CURVE('',#154382,#154383,#75166,.T.); #188417=EDGE_CURVE('',#154384,#154382,#75167,.T.); #188418=EDGE_CURVE('',#154385,#154383,#75168,.T.); #188419=EDGE_CURVE('',#154384,#154385,#75169,.T.); #188420=EDGE_CURVE('',#154386,#154384,#75170,.T.); #188421=EDGE_CURVE('',#154387,#154385,#75171,.T.); #188422=EDGE_CURVE('',#154386,#154387,#75172,.T.); #188423=EDGE_CURVE('',#154388,#154386,#75173,.T.); #188424=EDGE_CURVE('',#154389,#154387,#75174,.T.); #188425=EDGE_CURVE('',#154388,#154389,#75175,.T.); #188426=EDGE_CURVE('',#154390,#154388,#75176,.T.); #188427=EDGE_CURVE('',#154391,#154389,#75177,.T.); #188428=EDGE_CURVE('',#154390,#154391,#75178,.T.); #188429=EDGE_CURVE('',#154392,#154390,#75179,.T.); #188430=EDGE_CURVE('',#154393,#154391,#75180,.T.); #188431=EDGE_CURVE('',#154392,#154393,#75181,.T.); #188432=EDGE_CURVE('',#154394,#154392,#75182,.T.); #188433=EDGE_CURVE('',#154395,#154393,#75183,.T.); #188434=EDGE_CURVE('',#154394,#154395,#75184,.T.); #188435=EDGE_CURVE('',#154396,#154394,#66627,.T.); #188436=EDGE_CURVE('',#154397,#154395,#66628,.T.); #188437=EDGE_CURVE('',#154396,#154397,#75185,.T.); #188438=EDGE_CURVE('',#154373,#154396,#66629,.T.); #188439=EDGE_CURVE('',#154374,#154397,#66630,.T.); #188440=EDGE_CURVE('',#154398,#154398,#66631,.T.); #188441=EDGE_CURVE('',#154398,#154399,#75186,.T.); #188442=EDGE_CURVE('',#154399,#154399,#66632,.T.); #188443=EDGE_CURVE('',#154400,#154401,#75187,.T.); #188444=EDGE_CURVE('',#154401,#154402,#75188,.T.); #188445=EDGE_CURVE('',#154403,#154402,#75189,.T.); #188446=EDGE_CURVE('',#154400,#154403,#75190,.T.); #188447=EDGE_CURVE('',#154404,#154400,#66633,.T.); #188448=EDGE_CURVE('',#154405,#154403,#66634,.T.); #188449=EDGE_CURVE('',#154404,#154405,#75191,.T.); #188450=EDGE_CURVE('',#154406,#154404,#75192,.T.); #188451=EDGE_CURVE('',#154407,#154405,#75193,.T.); #188452=EDGE_CURVE('',#154406,#154407,#75194,.T.); #188453=EDGE_CURVE('',#154408,#154406,#75195,.T.); #188454=EDGE_CURVE('',#154409,#154407,#75196,.T.); #188455=EDGE_CURVE('',#154408,#154409,#75197,.T.); #188456=EDGE_CURVE('',#154410,#154408,#75198,.T.); #188457=EDGE_CURVE('',#154411,#154409,#75199,.T.); #188458=EDGE_CURVE('',#154410,#154411,#75200,.T.); #188459=EDGE_CURVE('',#154412,#154410,#75201,.T.); #188460=EDGE_CURVE('',#154413,#154411,#75202,.T.); #188461=EDGE_CURVE('',#154412,#154413,#75203,.T.); #188462=EDGE_CURVE('',#154414,#154412,#75204,.T.); #188463=EDGE_CURVE('',#154415,#154413,#75205,.T.); #188464=EDGE_CURVE('',#154414,#154415,#75206,.T.); #188465=EDGE_CURVE('',#154416,#154414,#75207,.T.); #188466=EDGE_CURVE('',#154417,#154415,#75208,.T.); #188467=EDGE_CURVE('',#154416,#154417,#75209,.T.); #188468=EDGE_CURVE('',#154418,#154416,#75210,.T.); #188469=EDGE_CURVE('',#154419,#154417,#75211,.T.); #188470=EDGE_CURVE('',#154418,#154419,#75212,.T.); #188471=EDGE_CURVE('',#154401,#154418,#66635,.T.); #188472=EDGE_CURVE('',#154402,#154419,#66636,.T.); #188473=EDGE_CURVE('',#154420,#154421,#75213,.T.); #188474=EDGE_CURVE('',#154421,#154422,#75214,.T.); #188475=EDGE_CURVE('',#154423,#154422,#75215,.T.); #188476=EDGE_CURVE('',#154420,#154423,#75216,.T.); #188477=EDGE_CURVE('',#154424,#154420,#75217,.T.); #188478=EDGE_CURVE('',#154425,#154423,#75218,.T.); #188479=EDGE_CURVE('',#154424,#154425,#75219,.T.); #188480=EDGE_CURVE('',#154426,#154424,#75220,.T.); #188481=EDGE_CURVE('',#154427,#154425,#75221,.T.); #188482=EDGE_CURVE('',#154426,#154427,#75222,.T.); #188483=EDGE_CURVE('',#154428,#154426,#75223,.T.); #188484=EDGE_CURVE('',#154429,#154427,#75224,.T.); #188485=EDGE_CURVE('',#154428,#154429,#75225,.T.); #188486=EDGE_CURVE('',#154430,#154428,#75226,.T.); #188487=EDGE_CURVE('',#154431,#154429,#75227,.T.); #188488=EDGE_CURVE('',#154430,#154431,#75228,.T.); #188489=EDGE_CURVE('',#154432,#154430,#75229,.T.); #188490=EDGE_CURVE('',#154433,#154431,#75230,.T.); #188491=EDGE_CURVE('',#154432,#154433,#75231,.T.); #188492=EDGE_CURVE('',#154434,#154432,#75232,.T.); #188493=EDGE_CURVE('',#154435,#154433,#75233,.T.); #188494=EDGE_CURVE('',#154434,#154435,#75234,.T.); #188495=EDGE_CURVE('',#154436,#154434,#75235,.T.); #188496=EDGE_CURVE('',#154437,#154435,#75236,.T.); #188497=EDGE_CURVE('',#154436,#154437,#75237,.T.); #188498=EDGE_CURVE('',#154438,#154436,#66637,.T.); #188499=EDGE_CURVE('',#154439,#154437,#66638,.T.); #188500=EDGE_CURVE('',#154438,#154439,#75238,.T.); #188501=EDGE_CURVE('',#154440,#154438,#75239,.T.); #188502=EDGE_CURVE('',#154441,#154439,#75240,.T.); #188503=EDGE_CURVE('',#154440,#154441,#75241,.T.); #188504=EDGE_CURVE('',#154442,#154440,#66639,.T.); #188505=EDGE_CURVE('',#154443,#154441,#66640,.T.); #188506=EDGE_CURVE('',#154442,#154443,#75242,.T.); #188507=EDGE_CURVE('',#154444,#154442,#75243,.T.); #188508=EDGE_CURVE('',#154445,#154443,#75244,.T.); #188509=EDGE_CURVE('',#154444,#154445,#75245,.T.); #188510=EDGE_CURVE('',#154446,#154444,#75246,.T.); #188511=EDGE_CURVE('',#154447,#154445,#75247,.T.); #188512=EDGE_CURVE('',#154446,#154447,#75248,.T.); #188513=EDGE_CURVE('',#154448,#154446,#75249,.T.); #188514=EDGE_CURVE('',#154449,#154447,#75250,.T.); #188515=EDGE_CURVE('',#154448,#154449,#75251,.T.); #188516=EDGE_CURVE('',#154450,#154448,#75252,.T.); #188517=EDGE_CURVE('',#154451,#154449,#75253,.T.); #188518=EDGE_CURVE('',#154450,#154451,#75254,.T.); #188519=EDGE_CURVE('',#154452,#154450,#75255,.T.); #188520=EDGE_CURVE('',#154453,#154451,#75256,.T.); #188521=EDGE_CURVE('',#154452,#154453,#75257,.T.); #188522=EDGE_CURVE('',#154454,#154452,#75258,.T.); #188523=EDGE_CURVE('',#154455,#154453,#75259,.T.); #188524=EDGE_CURVE('',#154454,#154455,#75260,.T.); #188525=EDGE_CURVE('',#154456,#154454,#75261,.T.); #188526=EDGE_CURVE('',#154457,#154455,#75262,.T.); #188527=EDGE_CURVE('',#154456,#154457,#75263,.T.); #188528=EDGE_CURVE('',#154458,#154456,#66641,.T.); #188529=EDGE_CURVE('',#154459,#154457,#66642,.T.); #188530=EDGE_CURVE('',#154458,#154459,#75264,.T.); #188531=EDGE_CURVE('',#154421,#154458,#75265,.T.); #188532=EDGE_CURVE('',#154422,#154459,#75266,.T.); #188533=EDGE_CURVE('',#154460,#154461,#75267,.T.); #188534=EDGE_CURVE('',#154461,#154462,#75268,.T.); #188535=EDGE_CURVE('',#154463,#154462,#75269,.T.); #188536=EDGE_CURVE('',#154460,#154463,#75270,.T.); #188537=EDGE_CURVE('',#154464,#154460,#75271,.T.); #188538=EDGE_CURVE('',#154465,#154463,#75272,.T.); #188539=EDGE_CURVE('',#154464,#154465,#75273,.T.); #188540=EDGE_CURVE('',#154466,#154464,#75274,.T.); #188541=EDGE_CURVE('',#154467,#154465,#75275,.T.); #188542=EDGE_CURVE('',#154466,#154467,#75276,.T.); #188543=EDGE_CURVE('',#154468,#154466,#75277,.T.); #188544=EDGE_CURVE('',#154469,#154467,#75278,.T.); #188545=EDGE_CURVE('',#154468,#154469,#75279,.T.); #188546=EDGE_CURVE('',#154470,#154468,#75280,.T.); #188547=EDGE_CURVE('',#154471,#154469,#75281,.T.); #188548=EDGE_CURVE('',#154470,#154471,#75282,.T.); #188549=EDGE_CURVE('',#154472,#154470,#66643,.T.); #188550=EDGE_CURVE('',#154473,#154471,#66644,.T.); #188551=EDGE_CURVE('',#154472,#154473,#75283,.T.); #188552=EDGE_CURVE('',#154474,#154472,#75284,.T.); #188553=EDGE_CURVE('',#154475,#154473,#75285,.T.); #188554=EDGE_CURVE('',#154474,#154475,#75286,.T.); #188555=EDGE_CURVE('',#154476,#154474,#75287,.T.); #188556=EDGE_CURVE('',#154477,#154475,#75288,.T.); #188557=EDGE_CURVE('',#154476,#154477,#75289,.T.); #188558=EDGE_CURVE('',#154478,#154476,#75290,.T.); #188559=EDGE_CURVE('',#154479,#154477,#75291,.T.); #188560=EDGE_CURVE('',#154478,#154479,#75292,.T.); #188561=EDGE_CURVE('',#154480,#154478,#75293,.T.); #188562=EDGE_CURVE('',#154481,#154479,#75294,.T.); #188563=EDGE_CURVE('',#154480,#154481,#75295,.T.); #188564=EDGE_CURVE('',#154482,#154480,#75296,.T.); #188565=EDGE_CURVE('',#154483,#154481,#75297,.T.); #188566=EDGE_CURVE('',#154482,#154483,#75298,.T.); #188567=EDGE_CURVE('',#154484,#154482,#75299,.T.); #188568=EDGE_CURVE('',#154485,#154483,#75300,.T.); #188569=EDGE_CURVE('',#154484,#154485,#75301,.T.); #188570=EDGE_CURVE('',#154486,#154484,#75302,.T.); #188571=EDGE_CURVE('',#154487,#154485,#75303,.T.); #188572=EDGE_CURVE('',#154486,#154487,#75304,.T.); #188573=EDGE_CURVE('',#154488,#154486,#66645,.T.); #188574=EDGE_CURVE('',#154489,#154487,#66646,.T.); #188575=EDGE_CURVE('',#154488,#154489,#75305,.T.); #188576=EDGE_CURVE('',#154490,#154488,#75306,.T.); #188577=EDGE_CURVE('',#154491,#154489,#75307,.T.); #188578=EDGE_CURVE('',#154490,#154491,#75308,.T.); #188579=EDGE_CURVE('',#154492,#154490,#75309,.T.); #188580=EDGE_CURVE('',#154493,#154491,#75310,.T.); #188581=EDGE_CURVE('',#154492,#154493,#75311,.T.); #188582=EDGE_CURVE('',#154494,#154492,#66647,.T.); #188583=EDGE_CURVE('',#154495,#154493,#66648,.T.); #188584=EDGE_CURVE('',#154494,#154495,#75312,.T.); #188585=EDGE_CURVE('',#154496,#154494,#75313,.T.); #188586=EDGE_CURVE('',#154497,#154495,#75314,.T.); #188587=EDGE_CURVE('',#154496,#154497,#75315,.T.); #188588=EDGE_CURVE('',#154498,#154496,#75316,.T.); #188589=EDGE_CURVE('',#154499,#154497,#75317,.T.); #188590=EDGE_CURVE('',#154498,#154499,#75318,.T.); #188591=EDGE_CURVE('',#154500,#154498,#75319,.T.); #188592=EDGE_CURVE('',#154501,#154499,#75320,.T.); #188593=EDGE_CURVE('',#154500,#154501,#75321,.T.); #188594=EDGE_CURVE('',#154502,#154500,#75322,.T.); #188595=EDGE_CURVE('',#154503,#154501,#75323,.T.); #188596=EDGE_CURVE('',#154502,#154503,#75324,.T.); #188597=EDGE_CURVE('',#154504,#154502,#75325,.T.); #188598=EDGE_CURVE('',#154505,#154503,#75326,.T.); #188599=EDGE_CURVE('',#154504,#154505,#75327,.T.); #188600=EDGE_CURVE('',#154506,#154504,#75328,.T.); #188601=EDGE_CURVE('',#154507,#154505,#75329,.T.); #188602=EDGE_CURVE('',#154506,#154507,#75330,.T.); #188603=EDGE_CURVE('',#154508,#154506,#75331,.T.); #188604=EDGE_CURVE('',#154509,#154507,#75332,.T.); #188605=EDGE_CURVE('',#154508,#154509,#75333,.T.); #188606=EDGE_CURVE('',#154510,#154508,#66649,.T.); #188607=EDGE_CURVE('',#154511,#154509,#66650,.T.); #188608=EDGE_CURVE('',#154510,#154511,#75334,.T.); #188609=EDGE_CURVE('',#154512,#154510,#75335,.T.); #188610=EDGE_CURVE('',#154513,#154511,#75336,.T.); #188611=EDGE_CURVE('',#154512,#154513,#75337,.T.); #188612=EDGE_CURVE('',#154514,#154512,#75338,.T.); #188613=EDGE_CURVE('',#154515,#154513,#75339,.T.); #188614=EDGE_CURVE('',#154514,#154515,#75340,.T.); #188615=EDGE_CURVE('',#154516,#154514,#75341,.T.); #188616=EDGE_CURVE('',#154517,#154515,#75342,.T.); #188617=EDGE_CURVE('',#154516,#154517,#75343,.T.); #188618=EDGE_CURVE('',#154518,#154516,#75344,.T.); #188619=EDGE_CURVE('',#154519,#154517,#75345,.T.); #188620=EDGE_CURVE('',#154518,#154519,#75346,.T.); #188621=EDGE_CURVE('',#154520,#154518,#75347,.T.); #188622=EDGE_CURVE('',#154521,#154519,#75348,.T.); #188623=EDGE_CURVE('',#154520,#154521,#75349,.T.); #188624=EDGE_CURVE('',#154522,#154520,#66651,.T.); #188625=EDGE_CURVE('',#154523,#154521,#66652,.T.); #188626=EDGE_CURVE('',#154522,#154523,#75350,.T.); #188627=EDGE_CURVE('',#154524,#154522,#75351,.T.); #188628=EDGE_CURVE('',#154525,#154523,#75352,.T.); #188629=EDGE_CURVE('',#154524,#154525,#75353,.T.); #188630=EDGE_CURVE('',#154526,#154524,#66653,.T.); #188631=EDGE_CURVE('',#154527,#154525,#66654,.T.); #188632=EDGE_CURVE('',#154526,#154527,#75354,.T.); #188633=EDGE_CURVE('',#154528,#154526,#75355,.T.); #188634=EDGE_CURVE('',#154529,#154527,#75356,.T.); #188635=EDGE_CURVE('',#154528,#154529,#75357,.T.); #188636=EDGE_CURVE('',#154530,#154528,#75358,.T.); #188637=EDGE_CURVE('',#154531,#154529,#75359,.T.); #188638=EDGE_CURVE('',#154530,#154531,#75360,.T.); #188639=EDGE_CURVE('',#154461,#154530,#75361,.T.); #188640=EDGE_CURVE('',#154462,#154531,#75362,.T.); #188641=EDGE_CURVE('',#154532,#154533,#75363,.T.); #188642=EDGE_CURVE('',#154533,#154534,#75364,.T.); #188643=EDGE_CURVE('',#154535,#154534,#75365,.T.); #188644=EDGE_CURVE('',#154532,#154535,#75366,.T.); #188645=EDGE_CURVE('',#154536,#154532,#75367,.T.); #188646=EDGE_CURVE('',#154537,#154535,#75368,.T.); #188647=EDGE_CURVE('',#154536,#154537,#75369,.T.); #188648=EDGE_CURVE('',#154538,#154536,#75370,.T.); #188649=EDGE_CURVE('',#154539,#154537,#75371,.T.); #188650=EDGE_CURVE('',#154538,#154539,#75372,.T.); #188651=EDGE_CURVE('',#154540,#154538,#75373,.T.); #188652=EDGE_CURVE('',#154541,#154539,#75374,.T.); #188653=EDGE_CURVE('',#154540,#154541,#75375,.T.); #188654=EDGE_CURVE('',#154542,#154540,#75376,.T.); #188655=EDGE_CURVE('',#154543,#154541,#75377,.T.); #188656=EDGE_CURVE('',#154542,#154543,#75378,.T.); #188657=EDGE_CURVE('',#154544,#154542,#75379,.T.); #188658=EDGE_CURVE('',#154545,#154543,#75380,.T.); #188659=EDGE_CURVE('',#154544,#154545,#75381,.T.); #188660=EDGE_CURVE('',#154546,#154544,#66655,.T.); #188661=EDGE_CURVE('',#154547,#154545,#66656,.T.); #188662=EDGE_CURVE('',#154546,#154547,#75382,.T.); #188663=EDGE_CURVE('',#154548,#154546,#75383,.T.); #188664=EDGE_CURVE('',#154549,#154547,#75384,.T.); #188665=EDGE_CURVE('',#154548,#154549,#75385,.T.); #188666=EDGE_CURVE('',#154550,#154548,#66657,.T.); #188667=EDGE_CURVE('',#154551,#154549,#66658,.T.); #188668=EDGE_CURVE('',#154550,#154551,#75386,.T.); #188669=EDGE_CURVE('',#154552,#154550,#75387,.T.); #188670=EDGE_CURVE('',#154553,#154551,#75388,.T.); #188671=EDGE_CURVE('',#154552,#154553,#75389,.T.); #188672=EDGE_CURVE('',#154554,#154552,#75390,.T.); #188673=EDGE_CURVE('',#154555,#154553,#75391,.T.); #188674=EDGE_CURVE('',#154554,#154555,#75392,.T.); #188675=EDGE_CURVE('',#154556,#154554,#75393,.T.); #188676=EDGE_CURVE('',#154557,#154555,#75394,.T.); #188677=EDGE_CURVE('',#154556,#154557,#75395,.T.); #188678=EDGE_CURVE('',#154558,#154556,#75396,.T.); #188679=EDGE_CURVE('',#154559,#154557,#75397,.T.); #188680=EDGE_CURVE('',#154558,#154559,#75398,.T.); #188681=EDGE_CURVE('',#154560,#154558,#75399,.T.); #188682=EDGE_CURVE('',#154561,#154559,#75400,.T.); #188683=EDGE_CURVE('',#154560,#154561,#75401,.T.); #188684=EDGE_CURVE('',#154562,#154560,#66659,.T.); #188685=EDGE_CURVE('',#154563,#154561,#66660,.T.); #188686=EDGE_CURVE('',#154562,#154563,#75402,.T.); #188687=EDGE_CURVE('',#154564,#154562,#75403,.T.); #188688=EDGE_CURVE('',#154565,#154563,#75404,.T.); #188689=EDGE_CURVE('',#154564,#154565,#75405,.T.); #188690=EDGE_CURVE('',#154566,#154564,#75406,.T.); #188691=EDGE_CURVE('',#154567,#154565,#75407,.T.); #188692=EDGE_CURVE('',#154566,#154567,#75408,.T.); #188693=EDGE_CURVE('',#154568,#154566,#75409,.T.); #188694=EDGE_CURVE('',#154569,#154567,#75410,.T.); #188695=EDGE_CURVE('',#154568,#154569,#75411,.T.); #188696=EDGE_CURVE('',#154570,#154568,#75412,.T.); #188697=EDGE_CURVE('',#154571,#154569,#75413,.T.); #188698=EDGE_CURVE('',#154570,#154571,#75414,.T.); #188699=EDGE_CURVE('',#154572,#154570,#75415,.T.); #188700=EDGE_CURVE('',#154573,#154571,#75416,.T.); #188701=EDGE_CURVE('',#154572,#154573,#75417,.T.); #188702=EDGE_CURVE('',#154574,#154572,#75418,.T.); #188703=EDGE_CURVE('',#154575,#154573,#75419,.T.); #188704=EDGE_CURVE('',#154574,#154575,#75420,.T.); #188705=EDGE_CURVE('',#154576,#154574,#75421,.T.); #188706=EDGE_CURVE('',#154577,#154575,#75422,.T.); #188707=EDGE_CURVE('',#154576,#154577,#75423,.T.); #188708=EDGE_CURVE('',#154578,#154576,#66661,.T.); #188709=EDGE_CURVE('',#154579,#154577,#66662,.T.); #188710=EDGE_CURVE('',#154578,#154579,#75424,.T.); #188711=EDGE_CURVE('',#154580,#154578,#75425,.T.); #188712=EDGE_CURVE('',#154581,#154579,#75426,.T.); #188713=EDGE_CURVE('',#154580,#154581,#75427,.T.); #188714=EDGE_CURVE('',#154582,#154580,#75428,.T.); #188715=EDGE_CURVE('',#154583,#154581,#75429,.T.); #188716=EDGE_CURVE('',#154582,#154583,#75430,.T.); #188717=EDGE_CURVE('',#154584,#154582,#66663,.T.); #188718=EDGE_CURVE('',#154585,#154583,#66664,.T.); #188719=EDGE_CURVE('',#154584,#154585,#75431,.T.); #188720=EDGE_CURVE('',#154586,#154584,#75432,.T.); #188721=EDGE_CURVE('',#154587,#154585,#75433,.T.); #188722=EDGE_CURVE('',#154586,#154587,#75434,.T.); #188723=EDGE_CURVE('',#154588,#154586,#75435,.T.); #188724=EDGE_CURVE('',#154589,#154587,#75436,.T.); #188725=EDGE_CURVE('',#154588,#154589,#75437,.T.); #188726=EDGE_CURVE('',#154590,#154588,#75438,.T.); #188727=EDGE_CURVE('',#154591,#154589,#75439,.T.); #188728=EDGE_CURVE('',#154590,#154591,#75440,.T.); #188729=EDGE_CURVE('',#154592,#154590,#75441,.T.); #188730=EDGE_CURVE('',#154593,#154591,#75442,.T.); #188731=EDGE_CURVE('',#154592,#154593,#75443,.T.); #188732=EDGE_CURVE('',#154594,#154592,#75444,.T.); #188733=EDGE_CURVE('',#154595,#154593,#75445,.T.); #188734=EDGE_CURVE('',#154594,#154595,#75446,.T.); #188735=EDGE_CURVE('',#154596,#154594,#75447,.T.); #188736=EDGE_CURVE('',#154597,#154595,#75448,.T.); #188737=EDGE_CURVE('',#154596,#154597,#75449,.T.); #188738=EDGE_CURVE('',#154598,#154596,#75450,.T.); #188739=EDGE_CURVE('',#154599,#154597,#75451,.T.); #188740=EDGE_CURVE('',#154598,#154599,#75452,.T.); #188741=EDGE_CURVE('',#154600,#154598,#66665,.T.); #188742=EDGE_CURVE('',#154601,#154599,#66666,.T.); #188743=EDGE_CURVE('',#154600,#154601,#75453,.T.); #188744=EDGE_CURVE('',#154602,#154600,#75454,.T.); #188745=EDGE_CURVE('',#154603,#154601,#75455,.T.); #188746=EDGE_CURVE('',#154602,#154603,#75456,.T.); #188747=EDGE_CURVE('',#154533,#154602,#75457,.T.); #188748=EDGE_CURVE('',#154534,#154603,#75458,.T.); #188749=EDGE_CURVE('',#154604,#154604,#66667,.T.); #188750=EDGE_CURVE('',#154604,#154605,#75459,.T.); #188751=EDGE_CURVE('',#154605,#154605,#66668,.T.); #188752=EDGE_CURVE('',#154606,#154607,#75460,.T.); #188753=EDGE_CURVE('',#154607,#154608,#75461,.T.); #188754=EDGE_CURVE('',#154609,#154608,#75462,.T.); #188755=EDGE_CURVE('',#154606,#154609,#75463,.T.); #188756=EDGE_CURVE('',#154610,#154606,#66669,.T.); #188757=EDGE_CURVE('',#154611,#154609,#66670,.T.); #188758=EDGE_CURVE('',#154610,#154611,#75464,.T.); #188759=EDGE_CURVE('',#154612,#154610,#75465,.T.); #188760=EDGE_CURVE('',#154613,#154611,#75466,.T.); #188761=EDGE_CURVE('',#154612,#154613,#75467,.T.); #188762=EDGE_CURVE('',#154614,#154612,#75468,.T.); #188763=EDGE_CURVE('',#154615,#154613,#75469,.T.); #188764=EDGE_CURVE('',#154614,#154615,#75470,.T.); #188765=EDGE_CURVE('',#154616,#154614,#66671,.T.); #188766=EDGE_CURVE('',#154617,#154615,#66672,.T.); #188767=EDGE_CURVE('',#154616,#154617,#75471,.T.); #188768=EDGE_CURVE('',#154618,#154616,#75472,.T.); #188769=EDGE_CURVE('',#154619,#154617,#75473,.T.); #188770=EDGE_CURVE('',#154618,#154619,#75474,.T.); #188771=EDGE_CURVE('',#154620,#154618,#75475,.T.); #188772=EDGE_CURVE('',#154621,#154619,#75476,.T.); #188773=EDGE_CURVE('',#154620,#154621,#75477,.T.); #188774=EDGE_CURVE('',#154622,#154620,#66673,.T.); #188775=EDGE_CURVE('',#154623,#154621,#66674,.T.); #188776=EDGE_CURVE('',#154622,#154623,#75478,.T.); #188777=EDGE_CURVE('',#154624,#154622,#75479,.T.); #188778=EDGE_CURVE('',#154625,#154623,#75480,.T.); #188779=EDGE_CURVE('',#154624,#154625,#75481,.T.); #188780=EDGE_CURVE('',#154626,#154624,#75482,.T.); #188781=EDGE_CURVE('',#154627,#154625,#75483,.T.); #188782=EDGE_CURVE('',#154626,#154627,#75484,.T.); #188783=EDGE_CURVE('',#154628,#154626,#75485,.T.); #188784=EDGE_CURVE('',#154629,#154627,#75486,.T.); #188785=EDGE_CURVE('',#154628,#154629,#75487,.T.); #188786=EDGE_CURVE('',#154630,#154628,#75488,.T.); #188787=EDGE_CURVE('',#154631,#154629,#75489,.T.); #188788=EDGE_CURVE('',#154630,#154631,#75490,.T.); #188789=EDGE_CURVE('',#154632,#154630,#75491,.T.); #188790=EDGE_CURVE('',#154633,#154631,#75492,.T.); #188791=EDGE_CURVE('',#154632,#154633,#75493,.T.); #188792=EDGE_CURVE('',#154634,#154632,#75494,.T.); #188793=EDGE_CURVE('',#154635,#154633,#75495,.T.); #188794=EDGE_CURVE('',#154634,#154635,#75496,.T.); #188795=EDGE_CURVE('',#154636,#154634,#75497,.T.); #188796=EDGE_CURVE('',#154637,#154635,#75498,.T.); #188797=EDGE_CURVE('',#154636,#154637,#75499,.T.); #188798=EDGE_CURVE('',#154638,#154636,#75500,.T.); #188799=EDGE_CURVE('',#154639,#154637,#75501,.T.); #188800=EDGE_CURVE('',#154638,#154639,#75502,.T.); #188801=EDGE_CURVE('',#154640,#154638,#66675,.T.); #188802=EDGE_CURVE('',#154641,#154639,#66676,.T.); #188803=EDGE_CURVE('',#154640,#154641,#75503,.T.); #188804=EDGE_CURVE('',#154642,#154640,#75504,.T.); #188805=EDGE_CURVE('',#154643,#154641,#75505,.T.); #188806=EDGE_CURVE('',#154642,#154643,#75506,.T.); #188807=EDGE_CURVE('',#154644,#154642,#75507,.T.); #188808=EDGE_CURVE('',#154645,#154643,#75508,.T.); #188809=EDGE_CURVE('',#154644,#154645,#75509,.T.); #188810=EDGE_CURVE('',#154646,#154644,#66677,.T.); #188811=EDGE_CURVE('',#154647,#154645,#66678,.T.); #188812=EDGE_CURVE('',#154646,#154647,#75510,.T.); #188813=EDGE_CURVE('',#154648,#154646,#75511,.T.); #188814=EDGE_CURVE('',#154649,#154647,#75512,.T.); #188815=EDGE_CURVE('',#154648,#154649,#75513,.T.); #188816=EDGE_CURVE('',#154607,#154648,#66679,.T.); #188817=EDGE_CURVE('',#154608,#154649,#66680,.T.); #188818=EDGE_CURVE('',#154650,#154650,#66681,.T.); #188819=EDGE_CURVE('',#154650,#154651,#75514,.T.); #188820=EDGE_CURVE('',#154651,#154651,#66682,.T.); #188821=EDGE_CURVE('',#154652,#154653,#75515,.T.); #188822=EDGE_CURVE('',#154653,#154654,#75516,.T.); #188823=EDGE_CURVE('',#154655,#154654,#75517,.T.); #188824=EDGE_CURVE('',#154652,#154655,#75518,.T.); #188825=EDGE_CURVE('',#154656,#154652,#66683,.T.); #188826=EDGE_CURVE('',#154657,#154655,#66684,.T.); #188827=EDGE_CURVE('',#154656,#154657,#75519,.T.); #188828=EDGE_CURVE('',#154658,#154656,#75520,.T.); #188829=EDGE_CURVE('',#154659,#154657,#75521,.T.); #188830=EDGE_CURVE('',#154658,#154659,#75522,.T.); #188831=EDGE_CURVE('',#154660,#154658,#75523,.T.); #188832=EDGE_CURVE('',#154661,#154659,#75524,.T.); #188833=EDGE_CURVE('',#154660,#154661,#75525,.T.); #188834=EDGE_CURVE('',#154662,#154660,#66685,.T.); #188835=EDGE_CURVE('',#154663,#154661,#66686,.T.); #188836=EDGE_CURVE('',#154662,#154663,#75526,.T.); #188837=EDGE_CURVE('',#154664,#154662,#75527,.T.); #188838=EDGE_CURVE('',#154665,#154663,#75528,.T.); #188839=EDGE_CURVE('',#154664,#154665,#75529,.T.); #188840=EDGE_CURVE('',#154666,#154664,#75530,.T.); #188841=EDGE_CURVE('',#154667,#154665,#75531,.T.); #188842=EDGE_CURVE('',#154666,#154667,#75532,.T.); #188843=EDGE_CURVE('',#154668,#154666,#75533,.T.); #188844=EDGE_CURVE('',#154669,#154667,#75534,.T.); #188845=EDGE_CURVE('',#154668,#154669,#75535,.T.); #188846=EDGE_CURVE('',#154670,#154668,#75536,.T.); #188847=EDGE_CURVE('',#154671,#154669,#75537,.T.); #188848=EDGE_CURVE('',#154670,#154671,#75538,.T.); #188849=EDGE_CURVE('',#154672,#154670,#75539,.T.); #188850=EDGE_CURVE('',#154673,#154671,#75540,.T.); #188851=EDGE_CURVE('',#154672,#154673,#75541,.T.); #188852=EDGE_CURVE('',#154674,#154672,#75542,.T.); #188853=EDGE_CURVE('',#154675,#154673,#75543,.T.); #188854=EDGE_CURVE('',#154674,#154675,#75544,.T.); #188855=EDGE_CURVE('',#154676,#154674,#75545,.T.); #188856=EDGE_CURVE('',#154677,#154675,#75546,.T.); #188857=EDGE_CURVE('',#154676,#154677,#75547,.T.); #188858=EDGE_CURVE('',#154678,#154676,#75548,.T.); #188859=EDGE_CURVE('',#154679,#154677,#75549,.T.); #188860=EDGE_CURVE('',#154678,#154679,#75550,.T.); #188861=EDGE_CURVE('',#154680,#154678,#66687,.T.); #188862=EDGE_CURVE('',#154681,#154679,#66688,.T.); #188863=EDGE_CURVE('',#154680,#154681,#75551,.T.); #188864=EDGE_CURVE('',#154682,#154680,#75552,.T.); #188865=EDGE_CURVE('',#154683,#154681,#75553,.T.); #188866=EDGE_CURVE('',#154682,#154683,#75554,.T.); #188867=EDGE_CURVE('',#154653,#154682,#66689,.T.); #188868=EDGE_CURVE('',#154654,#154683,#66690,.T.); #188869=EDGE_CURVE('',#154684,#154684,#66691,.T.); #188870=EDGE_CURVE('',#154684,#154685,#75555,.T.); #188871=EDGE_CURVE('',#154685,#154685,#66692,.T.); #188872=EDGE_CURVE('',#154686,#154687,#75556,.T.); #188873=EDGE_CURVE('',#154687,#154688,#75557,.T.); #188874=EDGE_CURVE('',#154689,#154688,#75558,.T.); #188875=EDGE_CURVE('',#154686,#154689,#75559,.T.); #188876=EDGE_CURVE('',#154690,#154686,#66693,.T.); #188877=EDGE_CURVE('',#154691,#154689,#66694,.T.); #188878=EDGE_CURVE('',#154690,#154691,#75560,.T.); #188879=EDGE_CURVE('',#154692,#154690,#75561,.T.); #188880=EDGE_CURVE('',#154693,#154691,#75562,.T.); #188881=EDGE_CURVE('',#154692,#154693,#75563,.T.); #188882=EDGE_CURVE('',#154694,#154692,#75564,.T.); #188883=EDGE_CURVE('',#154695,#154693,#75565,.T.); #188884=EDGE_CURVE('',#154694,#154695,#75566,.T.); #188885=EDGE_CURVE('',#154696,#154694,#75567,.T.); #188886=EDGE_CURVE('',#154697,#154695,#75568,.T.); #188887=EDGE_CURVE('',#154696,#154697,#75569,.T.); #188888=EDGE_CURVE('',#154698,#154696,#75570,.T.); #188889=EDGE_CURVE('',#154699,#154697,#75571,.T.); #188890=EDGE_CURVE('',#154698,#154699,#75572,.T.); #188891=EDGE_CURVE('',#154700,#154698,#75573,.T.); #188892=EDGE_CURVE('',#154701,#154699,#75574,.T.); #188893=EDGE_CURVE('',#154700,#154701,#75575,.T.); #188894=EDGE_CURVE('',#154702,#154700,#75576,.T.); #188895=EDGE_CURVE('',#154703,#154701,#75577,.T.); #188896=EDGE_CURVE('',#154702,#154703,#75578,.T.); #188897=EDGE_CURVE('',#154704,#154702,#75579,.T.); #188898=EDGE_CURVE('',#154705,#154703,#75580,.T.); #188899=EDGE_CURVE('',#154704,#154705,#75581,.T.); #188900=EDGE_CURVE('',#154706,#154704,#66695,.T.); #188901=EDGE_CURVE('',#154707,#154705,#66696,.T.); #188902=EDGE_CURVE('',#154706,#154707,#75582,.T.); #188903=EDGE_CURVE('',#154708,#154706,#75583,.T.); #188904=EDGE_CURVE('',#154709,#154707,#75584,.T.); #188905=EDGE_CURVE('',#154708,#154709,#75585,.T.); #188906=EDGE_CURVE('',#154687,#154708,#66697,.T.); #188907=EDGE_CURVE('',#154688,#154709,#66698,.T.); #188908=EDGE_CURVE('',#154710,#154710,#66699,.T.); #188909=EDGE_CURVE('',#154710,#154711,#75586,.T.); #188910=EDGE_CURVE('',#154711,#154711,#66700,.T.); #188911=EDGE_CURVE('',#154712,#154713,#75587,.T.); #188912=EDGE_CURVE('',#154713,#154714,#75588,.T.); #188913=EDGE_CURVE('',#154715,#154714,#75589,.T.); #188914=EDGE_CURVE('',#154712,#154715,#75590,.T.); #188915=EDGE_CURVE('',#154716,#154712,#66701,.T.); #188916=EDGE_CURVE('',#154717,#154715,#66702,.T.); #188917=EDGE_CURVE('',#154716,#154717,#75591,.T.); #188918=EDGE_CURVE('',#154718,#154716,#75592,.T.); #188919=EDGE_CURVE('',#154719,#154717,#75593,.T.); #188920=EDGE_CURVE('',#154718,#154719,#75594,.T.); #188921=EDGE_CURVE('',#154720,#154718,#66703,.T.); #188922=EDGE_CURVE('',#154721,#154719,#66704,.T.); #188923=EDGE_CURVE('',#154720,#154721,#75595,.T.); #188924=EDGE_CURVE('',#154722,#154720,#75596,.T.); #188925=EDGE_CURVE('',#154723,#154721,#75597,.T.); #188926=EDGE_CURVE('',#154722,#154723,#75598,.T.); #188927=EDGE_CURVE('',#154724,#154722,#75599,.T.); #188928=EDGE_CURVE('',#154725,#154723,#75600,.T.); #188929=EDGE_CURVE('',#154724,#154725,#75601,.T.); #188930=EDGE_CURVE('',#154726,#154724,#75602,.T.); #188931=EDGE_CURVE('',#154727,#154725,#75603,.T.); #188932=EDGE_CURVE('',#154726,#154727,#75604,.T.); #188933=EDGE_CURVE('',#154728,#154726,#75605,.T.); #188934=EDGE_CURVE('',#154729,#154727,#75606,.T.); #188935=EDGE_CURVE('',#154728,#154729,#75607,.T.); #188936=EDGE_CURVE('',#154730,#154728,#75608,.T.); #188937=EDGE_CURVE('',#154731,#154729,#75609,.T.); #188938=EDGE_CURVE('',#154730,#154731,#75610,.T.); #188939=EDGE_CURVE('',#154732,#154730,#75611,.T.); #188940=EDGE_CURVE('',#154733,#154731,#75612,.T.); #188941=EDGE_CURVE('',#154732,#154733,#75613,.T.); #188942=EDGE_CURVE('',#154734,#154732,#75614,.T.); #188943=EDGE_CURVE('',#154735,#154733,#75615,.T.); #188944=EDGE_CURVE('',#154734,#154735,#75616,.T.); #188945=EDGE_CURVE('',#154736,#154734,#75617,.T.); #188946=EDGE_CURVE('',#154737,#154735,#75618,.T.); #188947=EDGE_CURVE('',#154736,#154737,#75619,.T.); #188948=EDGE_CURVE('',#154738,#154736,#75620,.T.); #188949=EDGE_CURVE('',#154739,#154737,#75621,.T.); #188950=EDGE_CURVE('',#154738,#154739,#75622,.T.); #188951=EDGE_CURVE('',#154713,#154738,#66705,.T.); #188952=EDGE_CURVE('',#154714,#154739,#66706,.T.); #188953=EDGE_CURVE('',#154740,#154740,#66707,.T.); #188954=EDGE_CURVE('',#154740,#154741,#75623,.T.); #188955=EDGE_CURVE('',#154741,#154741,#66708,.T.); #188956=EDGE_CURVE('',#154742,#154742,#66709,.T.); #188957=EDGE_CURVE('',#154742,#154743,#75624,.T.); #188958=EDGE_CURVE('',#154743,#154743,#66710,.T.); #188959=EDGE_CURVE('',#154744,#154745,#75625,.T.); #188960=EDGE_CURVE('',#154745,#154746,#75626,.T.); #188961=EDGE_CURVE('',#154747,#154746,#75627,.T.); #188962=EDGE_CURVE('',#154744,#154747,#75628,.T.); #188963=EDGE_CURVE('',#154748,#154744,#66711,.T.); #188964=EDGE_CURVE('',#154749,#154747,#66712,.T.); #188965=EDGE_CURVE('',#154748,#154749,#75629,.T.); #188966=EDGE_CURVE('',#154750,#154748,#66713,.T.); #188967=EDGE_CURVE('',#154751,#154749,#66714,.T.); #188968=EDGE_CURVE('',#154750,#154751,#75630,.T.); #188969=EDGE_CURVE('',#154752,#154750,#75631,.T.); #188970=EDGE_CURVE('',#154753,#154751,#75632,.T.); #188971=EDGE_CURVE('',#154752,#154753,#75633,.T.); #188972=EDGE_CURVE('',#154754,#154752,#66715,.T.); #188973=EDGE_CURVE('',#154755,#154753,#66716,.T.); #188974=EDGE_CURVE('',#154754,#154755,#75634,.T.); #188975=EDGE_CURVE('',#154756,#154754,#66717,.T.); #188976=EDGE_CURVE('',#154757,#154755,#66718,.T.); #188977=EDGE_CURVE('',#154756,#154757,#75635,.T.); #188978=EDGE_CURVE('',#154758,#154756,#75636,.T.); #188979=EDGE_CURVE('',#154759,#154757,#75637,.T.); #188980=EDGE_CURVE('',#154758,#154759,#75638,.T.); #188981=EDGE_CURVE('',#154745,#154758,#66719,.T.); #188982=EDGE_CURVE('',#154746,#154759,#66720,.T.); #188983=EDGE_CURVE('',#154760,#154760,#66721,.T.); #188984=EDGE_CURVE('',#154760,#154761,#75639,.T.); #188985=EDGE_CURVE('',#154761,#154761,#66722,.T.); #188986=EDGE_CURVE('',#154762,#154763,#75640,.T.); #188987=EDGE_CURVE('',#154763,#154764,#75641,.T.); #188988=EDGE_CURVE('',#154765,#154764,#75642,.T.); #188989=EDGE_CURVE('',#154762,#154765,#75643,.T.); #188990=EDGE_CURVE('',#154766,#154762,#66723,.T.); #188991=EDGE_CURVE('',#154767,#154765,#66724,.T.); #188992=EDGE_CURVE('',#154766,#154767,#75644,.T.); #188993=EDGE_CURVE('',#154768,#154766,#75645,.T.); #188994=EDGE_CURVE('',#154769,#154767,#75646,.T.); #188995=EDGE_CURVE('',#154768,#154769,#75647,.T.); #188996=EDGE_CURVE('',#154770,#154768,#75648,.T.); #188997=EDGE_CURVE('',#154771,#154769,#75649,.T.); #188998=EDGE_CURVE('',#154770,#154771,#75650,.T.); #188999=EDGE_CURVE('',#154772,#154770,#66725,.T.); #189000=EDGE_CURVE('',#154773,#154771,#66726,.T.); #189001=EDGE_CURVE('',#154772,#154773,#75651,.T.); #189002=EDGE_CURVE('',#154774,#154772,#75652,.T.); #189003=EDGE_CURVE('',#154775,#154773,#75653,.T.); #189004=EDGE_CURVE('',#154774,#154775,#75654,.T.); #189005=EDGE_CURVE('',#154776,#154774,#75655,.T.); #189006=EDGE_CURVE('',#154777,#154775,#75656,.T.); #189007=EDGE_CURVE('',#154776,#154777,#75657,.T.); #189008=EDGE_CURVE('',#154778,#154776,#75658,.T.); #189009=EDGE_CURVE('',#154779,#154777,#75659,.T.); #189010=EDGE_CURVE('',#154778,#154779,#75660,.T.); #189011=EDGE_CURVE('',#154780,#154778,#75661,.T.); #189012=EDGE_CURVE('',#154781,#154779,#75662,.T.); #189013=EDGE_CURVE('',#154780,#154781,#75663,.T.); #189014=EDGE_CURVE('',#154782,#154780,#75664,.T.); #189015=EDGE_CURVE('',#154783,#154781,#75665,.T.); #189016=EDGE_CURVE('',#154782,#154783,#75666,.T.); #189017=EDGE_CURVE('',#154784,#154782,#75667,.T.); #189018=EDGE_CURVE('',#154785,#154783,#75668,.T.); #189019=EDGE_CURVE('',#154784,#154785,#75669,.T.); #189020=EDGE_CURVE('',#154786,#154784,#75670,.T.); #189021=EDGE_CURVE('',#154787,#154785,#75671,.T.); #189022=EDGE_CURVE('',#154786,#154787,#75672,.T.); #189023=EDGE_CURVE('',#154788,#154786,#75673,.T.); #189024=EDGE_CURVE('',#154789,#154787,#75674,.T.); #189025=EDGE_CURVE('',#154788,#154789,#75675,.T.); #189026=EDGE_CURVE('',#154790,#154788,#66727,.T.); #189027=EDGE_CURVE('',#154791,#154789,#66728,.T.); #189028=EDGE_CURVE('',#154790,#154791,#75676,.T.); #189029=EDGE_CURVE('',#154792,#154790,#75677,.T.); #189030=EDGE_CURVE('',#154793,#154791,#75678,.T.); #189031=EDGE_CURVE('',#154792,#154793,#75679,.T.); #189032=EDGE_CURVE('',#154763,#154792,#66729,.T.); #189033=EDGE_CURVE('',#154764,#154793,#66730,.T.); #189034=EDGE_CURVE('',#154794,#154794,#66731,.T.); #189035=EDGE_CURVE('',#154794,#154795,#75680,.T.); #189036=EDGE_CURVE('',#154795,#154795,#66732,.T.); #189037=EDGE_CURVE('',#154796,#154797,#75681,.T.); #189038=EDGE_CURVE('',#154797,#154798,#75682,.T.); #189039=EDGE_CURVE('',#154799,#154798,#75683,.T.); #189040=EDGE_CURVE('',#154796,#154799,#75684,.T.); #189041=EDGE_CURVE('',#154800,#154796,#66733,.T.); #189042=EDGE_CURVE('',#154801,#154799,#66734,.T.); #189043=EDGE_CURVE('',#154800,#154801,#75685,.T.); #189044=EDGE_CURVE('',#154802,#154800,#75686,.T.); #189045=EDGE_CURVE('',#154803,#154801,#75687,.T.); #189046=EDGE_CURVE('',#154802,#154803,#75688,.T.); #189047=EDGE_CURVE('',#154804,#154802,#75689,.T.); #189048=EDGE_CURVE('',#154805,#154803,#75690,.T.); #189049=EDGE_CURVE('',#154804,#154805,#75691,.T.); #189050=EDGE_CURVE('',#154806,#154804,#66735,.T.); #189051=EDGE_CURVE('',#154807,#154805,#66736,.T.); #189052=EDGE_CURVE('',#154806,#154807,#75692,.T.); #189053=EDGE_CURVE('',#154808,#154806,#75693,.T.); #189054=EDGE_CURVE('',#154809,#154807,#75694,.T.); #189055=EDGE_CURVE('',#154808,#154809,#75695,.T.); #189056=EDGE_CURVE('',#154810,#154808,#75696,.T.); #189057=EDGE_CURVE('',#154811,#154809,#75697,.T.); #189058=EDGE_CURVE('',#154810,#154811,#75698,.T.); #189059=EDGE_CURVE('',#154812,#154810,#66737,.T.); #189060=EDGE_CURVE('',#154813,#154811,#66738,.T.); #189061=EDGE_CURVE('',#154812,#154813,#75699,.T.); #189062=EDGE_CURVE('',#154814,#154812,#75700,.T.); #189063=EDGE_CURVE('',#154815,#154813,#75701,.T.); #189064=EDGE_CURVE('',#154814,#154815,#75702,.T.); #189065=EDGE_CURVE('',#154816,#154814,#75703,.T.); #189066=EDGE_CURVE('',#154817,#154815,#75704,.T.); #189067=EDGE_CURVE('',#154816,#154817,#75705,.T.); #189068=EDGE_CURVE('',#154818,#154816,#75706,.T.); #189069=EDGE_CURVE('',#154819,#154817,#75707,.T.); #189070=EDGE_CURVE('',#154818,#154819,#75708,.T.); #189071=EDGE_CURVE('',#154820,#154818,#75709,.T.); #189072=EDGE_CURVE('',#154821,#154819,#75710,.T.); #189073=EDGE_CURVE('',#154820,#154821,#75711,.T.); #189074=EDGE_CURVE('',#154822,#154820,#75712,.T.); #189075=EDGE_CURVE('',#154823,#154821,#75713,.T.); #189076=EDGE_CURVE('',#154822,#154823,#75714,.T.); #189077=EDGE_CURVE('',#154824,#154822,#75715,.T.); #189078=EDGE_CURVE('',#154825,#154823,#75716,.T.); #189079=EDGE_CURVE('',#154824,#154825,#75717,.T.); #189080=EDGE_CURVE('',#154826,#154824,#75718,.T.); #189081=EDGE_CURVE('',#154827,#154825,#75719,.T.); #189082=EDGE_CURVE('',#154826,#154827,#75720,.T.); #189083=EDGE_CURVE('',#154828,#154826,#75721,.T.); #189084=EDGE_CURVE('',#154829,#154827,#75722,.T.); #189085=EDGE_CURVE('',#154828,#154829,#75723,.T.); #189086=EDGE_CURVE('',#154830,#154828,#66739,.T.); #189087=EDGE_CURVE('',#154831,#154829,#66740,.T.); #189088=EDGE_CURVE('',#154830,#154831,#75724,.T.); #189089=EDGE_CURVE('',#154832,#154830,#75725,.T.); #189090=EDGE_CURVE('',#154833,#154831,#75726,.T.); #189091=EDGE_CURVE('',#154832,#154833,#75727,.T.); #189092=EDGE_CURVE('',#154834,#154832,#75728,.T.); #189093=EDGE_CURVE('',#154835,#154833,#75729,.T.); #189094=EDGE_CURVE('',#154834,#154835,#75730,.T.); #189095=EDGE_CURVE('',#154836,#154834,#66741,.T.); #189096=EDGE_CURVE('',#154837,#154835,#66742,.T.); #189097=EDGE_CURVE('',#154836,#154837,#75731,.T.); #189098=EDGE_CURVE('',#154838,#154836,#75732,.T.); #189099=EDGE_CURVE('',#154839,#154837,#75733,.T.); #189100=EDGE_CURVE('',#154838,#154839,#75734,.T.); #189101=EDGE_CURVE('',#154797,#154838,#66743,.T.); #189102=EDGE_CURVE('',#154798,#154839,#66744,.T.); #189103=EDGE_CURVE('',#154840,#154841,#75735,.T.); #189104=EDGE_CURVE('',#154841,#154842,#75736,.T.); #189105=EDGE_CURVE('',#154843,#154842,#75737,.T.); #189106=EDGE_CURVE('',#154840,#154843,#75738,.T.); #189107=EDGE_CURVE('',#154844,#154840,#75739,.T.); #189108=EDGE_CURVE('',#154845,#154843,#75740,.T.); #189109=EDGE_CURVE('',#154844,#154845,#75741,.T.); #189110=EDGE_CURVE('',#154846,#154844,#75742,.T.); #189111=EDGE_CURVE('',#154847,#154845,#75743,.T.); #189112=EDGE_CURVE('',#154846,#154847,#75744,.T.); #189113=EDGE_CURVE('',#154848,#154846,#75745,.T.); #189114=EDGE_CURVE('',#154849,#154847,#75746,.T.); #189115=EDGE_CURVE('',#154848,#154849,#75747,.T.); #189116=EDGE_CURVE('',#154850,#154848,#66745,.T.); #189117=EDGE_CURVE('',#154851,#154849,#66746,.T.); #189118=EDGE_CURVE('',#154850,#154851,#75748,.T.); #189119=EDGE_CURVE('',#154852,#154850,#75749,.T.); #189120=EDGE_CURVE('',#154853,#154851,#75750,.T.); #189121=EDGE_CURVE('',#154852,#154853,#75751,.T.); #189122=EDGE_CURVE('',#154854,#154852,#66747,.T.); #189123=EDGE_CURVE('',#154855,#154853,#66748,.T.); #189124=EDGE_CURVE('',#154854,#154855,#75752,.T.); #189125=EDGE_CURVE('',#154856,#154854,#75753,.T.); #189126=EDGE_CURVE('',#154857,#154855,#75754,.T.); #189127=EDGE_CURVE('',#154856,#154857,#75755,.T.); #189128=EDGE_CURVE('',#154858,#154856,#75756,.T.); #189129=EDGE_CURVE('',#154859,#154857,#75757,.T.); #189130=EDGE_CURVE('',#154858,#154859,#75758,.T.); #189131=EDGE_CURVE('',#154860,#154858,#75759,.T.); #189132=EDGE_CURVE('',#154861,#154859,#75760,.T.); #189133=EDGE_CURVE('',#154860,#154861,#75761,.T.); #189134=EDGE_CURVE('',#154862,#154860,#66749,.T.); #189135=EDGE_CURVE('',#154863,#154861,#66750,.T.); #189136=EDGE_CURVE('',#154862,#154863,#75762,.T.); #189137=EDGE_CURVE('',#154864,#154862,#75763,.T.); #189138=EDGE_CURVE('',#154865,#154863,#75764,.T.); #189139=EDGE_CURVE('',#154864,#154865,#75765,.T.); #189140=EDGE_CURVE('',#154866,#154864,#75766,.T.); #189141=EDGE_CURVE('',#154867,#154865,#75767,.T.); #189142=EDGE_CURVE('',#154866,#154867,#75768,.T.); #189143=EDGE_CURVE('',#154868,#154866,#75769,.T.); #189144=EDGE_CURVE('',#154869,#154867,#75770,.T.); #189145=EDGE_CURVE('',#154868,#154869,#75771,.T.); #189146=EDGE_CURVE('',#154870,#154868,#75772,.T.); #189147=EDGE_CURVE('',#154871,#154869,#75773,.T.); #189148=EDGE_CURVE('',#154870,#154871,#75774,.T.); #189149=EDGE_CURVE('',#154872,#154870,#75775,.T.); #189150=EDGE_CURVE('',#154873,#154871,#75776,.T.); #189151=EDGE_CURVE('',#154872,#154873,#75777,.T.); #189152=EDGE_CURVE('',#154874,#154872,#75778,.T.); #189153=EDGE_CURVE('',#154875,#154873,#75779,.T.); #189154=EDGE_CURVE('',#154874,#154875,#75780,.T.); #189155=EDGE_CURVE('',#154876,#154874,#75781,.T.); #189156=EDGE_CURVE('',#154877,#154875,#75782,.T.); #189157=EDGE_CURVE('',#154876,#154877,#75783,.T.); #189158=EDGE_CURVE('',#154878,#154876,#66751,.T.); #189159=EDGE_CURVE('',#154879,#154877,#66752,.T.); #189160=EDGE_CURVE('',#154878,#154879,#75784,.T.); #189161=EDGE_CURVE('',#154880,#154878,#75785,.T.); #189162=EDGE_CURVE('',#154881,#154879,#75786,.T.); #189163=EDGE_CURVE('',#154880,#154881,#75787,.T.); #189164=EDGE_CURVE('',#154882,#154880,#66753,.T.); #189165=EDGE_CURVE('',#154883,#154881,#66754,.T.); #189166=EDGE_CURVE('',#154882,#154883,#75788,.T.); #189167=EDGE_CURVE('',#154884,#154882,#75789,.T.); #189168=EDGE_CURVE('',#154885,#154883,#75790,.T.); #189169=EDGE_CURVE('',#154884,#154885,#75791,.T.); #189170=EDGE_CURVE('',#154886,#154884,#75792,.T.); #189171=EDGE_CURVE('',#154887,#154885,#75793,.T.); #189172=EDGE_CURVE('',#154886,#154887,#75794,.T.); #189173=EDGE_CURVE('',#154888,#154886,#75795,.T.); #189174=EDGE_CURVE('',#154889,#154887,#75796,.T.); #189175=EDGE_CURVE('',#154888,#154889,#75797,.T.); #189176=EDGE_CURVE('',#154890,#154888,#75798,.T.); #189177=EDGE_CURVE('',#154891,#154889,#75799,.T.); #189178=EDGE_CURVE('',#154890,#154891,#75800,.T.); #189179=EDGE_CURVE('',#154841,#154890,#75801,.T.); #189180=EDGE_CURVE('',#154842,#154891,#75802,.T.); #189181=EDGE_CURVE('',#154892,#154892,#66755,.T.); #189182=EDGE_CURVE('',#154892,#154893,#75803,.T.); #189183=EDGE_CURVE('',#154893,#154893,#66756,.T.); #189184=EDGE_CURVE('',#154894,#154895,#75804,.T.); #189185=EDGE_CURVE('',#154895,#154896,#75805,.T.); #189186=EDGE_CURVE('',#154897,#154896,#75806,.T.); #189187=EDGE_CURVE('',#154894,#154897,#75807,.T.); #189188=EDGE_CURVE('',#154898,#154894,#66757,.T.); #189189=EDGE_CURVE('',#154899,#154897,#66758,.T.); #189190=EDGE_CURVE('',#154898,#154899,#75808,.T.); #189191=EDGE_CURVE('',#154900,#154898,#75809,.T.); #189192=EDGE_CURVE('',#154901,#154899,#75810,.T.); #189193=EDGE_CURVE('',#154900,#154901,#75811,.T.); #189194=EDGE_CURVE('',#154902,#154900,#75812,.T.); #189195=EDGE_CURVE('',#154903,#154901,#75813,.T.); #189196=EDGE_CURVE('',#154902,#154903,#75814,.T.); #189197=EDGE_CURVE('',#154904,#154902,#66759,.T.); #189198=EDGE_CURVE('',#154905,#154903,#66760,.T.); #189199=EDGE_CURVE('',#154904,#154905,#75815,.T.); #189200=EDGE_CURVE('',#154906,#154904,#75816,.T.); #189201=EDGE_CURVE('',#154907,#154905,#75817,.T.); #189202=EDGE_CURVE('',#154906,#154907,#75818,.T.); #189203=EDGE_CURVE('',#154908,#154906,#66761,.T.); #189204=EDGE_CURVE('',#154909,#154907,#66762,.T.); #189205=EDGE_CURVE('',#154908,#154909,#75819,.T.); #189206=EDGE_CURVE('',#154910,#154908,#75820,.T.); #189207=EDGE_CURVE('',#154911,#154909,#75821,.T.); #189208=EDGE_CURVE('',#154910,#154911,#75822,.T.); #189209=EDGE_CURVE('',#154912,#154910,#66763,.T.); #189210=EDGE_CURVE('',#154913,#154911,#66764,.T.); #189211=EDGE_CURVE('',#154912,#154913,#75823,.T.); #189212=EDGE_CURVE('',#154914,#154912,#75824,.T.); #189213=EDGE_CURVE('',#154915,#154913,#75825,.T.); #189214=EDGE_CURVE('',#154914,#154915,#75826,.T.); #189215=EDGE_CURVE('',#154916,#154914,#75827,.T.); #189216=EDGE_CURVE('',#154917,#154915,#75828,.T.); #189217=EDGE_CURVE('',#154916,#154917,#75829,.T.); #189218=EDGE_CURVE('',#154918,#154916,#75830,.T.); #189219=EDGE_CURVE('',#154919,#154917,#75831,.T.); #189220=EDGE_CURVE('',#154918,#154919,#75832,.T.); #189221=EDGE_CURVE('',#154920,#154918,#75833,.T.); #189222=EDGE_CURVE('',#154921,#154919,#75834,.T.); #189223=EDGE_CURVE('',#154920,#154921,#75835,.T.); #189224=EDGE_CURVE('',#154922,#154920,#75836,.T.); #189225=EDGE_CURVE('',#154923,#154921,#75837,.T.); #189226=EDGE_CURVE('',#154922,#154923,#75838,.T.); #189227=EDGE_CURVE('',#154924,#154922,#75839,.T.); #189228=EDGE_CURVE('',#154925,#154923,#75840,.T.); #189229=EDGE_CURVE('',#154924,#154925,#75841,.T.); #189230=EDGE_CURVE('',#154926,#154924,#75842,.T.); #189231=EDGE_CURVE('',#154927,#154925,#75843,.T.); #189232=EDGE_CURVE('',#154926,#154927,#75844,.T.); #189233=EDGE_CURVE('',#154928,#154926,#66765,.T.); #189234=EDGE_CURVE('',#154929,#154927,#66766,.T.); #189235=EDGE_CURVE('',#154928,#154929,#75845,.T.); #189236=EDGE_CURVE('',#154930,#154928,#75846,.T.); #189237=EDGE_CURVE('',#154931,#154929,#75847,.T.); #189238=EDGE_CURVE('',#154930,#154931,#75848,.T.); #189239=EDGE_CURVE('',#154932,#154930,#75849,.T.); #189240=EDGE_CURVE('',#154933,#154931,#75850,.T.); #189241=EDGE_CURVE('',#154932,#154933,#75851,.T.); #189242=EDGE_CURVE('',#154934,#154932,#75852,.T.); #189243=EDGE_CURVE('',#154935,#154933,#75853,.T.); #189244=EDGE_CURVE('',#154934,#154935,#75854,.T.); #189245=EDGE_CURVE('',#154936,#154934,#75855,.T.); #189246=EDGE_CURVE('',#154937,#154935,#75856,.T.); #189247=EDGE_CURVE('',#154936,#154937,#75857,.T.); #189248=EDGE_CURVE('',#154938,#154936,#66767,.T.); #189249=EDGE_CURVE('',#154939,#154937,#66768,.T.); #189250=EDGE_CURVE('',#154938,#154939,#75858,.T.); #189251=EDGE_CURVE('',#154940,#154938,#75859,.T.); #189252=EDGE_CURVE('',#154941,#154939,#75860,.T.); #189253=EDGE_CURVE('',#154940,#154941,#75861,.T.); #189254=EDGE_CURVE('',#154895,#154940,#66769,.T.); #189255=EDGE_CURVE('',#154896,#154941,#66770,.T.); #189256=EDGE_CURVE('',#154942,#154942,#66771,.T.); #189257=EDGE_CURVE('',#154942,#154943,#75862,.T.); #189258=EDGE_CURVE('',#154943,#154943,#66772,.T.); #189259=EDGE_CURVE('',#154944,#154945,#75863,.T.); #189260=EDGE_CURVE('',#154945,#154946,#75864,.T.); #189261=EDGE_CURVE('',#154947,#154946,#75865,.T.); #189262=EDGE_CURVE('',#154944,#154947,#75866,.T.); #189263=EDGE_CURVE('',#154948,#154944,#66773,.T.); #189264=EDGE_CURVE('',#154949,#154947,#66774,.T.); #189265=EDGE_CURVE('',#154948,#154949,#75867,.T.); #189266=EDGE_CURVE('',#154950,#154948,#75868,.T.); #189267=EDGE_CURVE('',#154951,#154949,#75869,.T.); #189268=EDGE_CURVE('',#154950,#154951,#75870,.T.); #189269=EDGE_CURVE('',#154952,#154950,#75871,.T.); #189270=EDGE_CURVE('',#154953,#154951,#75872,.T.); #189271=EDGE_CURVE('',#154952,#154953,#75873,.T.); #189272=EDGE_CURVE('',#154954,#154952,#75874,.T.); #189273=EDGE_CURVE('',#154955,#154953,#75875,.T.); #189274=EDGE_CURVE('',#154954,#154955,#75876,.T.); #189275=EDGE_CURVE('',#154956,#154954,#75877,.T.); #189276=EDGE_CURVE('',#154957,#154955,#75878,.T.); #189277=EDGE_CURVE('',#154956,#154957,#75879,.T.); #189278=EDGE_CURVE('',#154958,#154956,#75880,.T.); #189279=EDGE_CURVE('',#154959,#154957,#75881,.T.); #189280=EDGE_CURVE('',#154958,#154959,#75882,.T.); #189281=EDGE_CURVE('',#154960,#154958,#75883,.T.); #189282=EDGE_CURVE('',#154961,#154959,#75884,.T.); #189283=EDGE_CURVE('',#154960,#154961,#75885,.T.); #189284=EDGE_CURVE('',#154962,#154960,#75886,.T.); #189285=EDGE_CURVE('',#154963,#154961,#75887,.T.); #189286=EDGE_CURVE('',#154962,#154963,#75888,.T.); #189287=EDGE_CURVE('',#154964,#154962,#66775,.T.); #189288=EDGE_CURVE('',#154965,#154963,#66776,.T.); #189289=EDGE_CURVE('',#154964,#154965,#75889,.T.); #189290=EDGE_CURVE('',#154945,#154964,#66777,.T.); #189291=EDGE_CURVE('',#154946,#154965,#66778,.T.); #189292=EDGE_CURVE('',#154966,#154967,#75890,.T.); #189293=EDGE_CURVE('',#154967,#154968,#75891,.T.); #189294=EDGE_CURVE('',#154969,#154968,#75892,.T.); #189295=EDGE_CURVE('',#154966,#154969,#75893,.T.); #189296=EDGE_CURVE('',#154970,#154966,#75894,.T.); #189297=EDGE_CURVE('',#154971,#154969,#75895,.T.); #189298=EDGE_CURVE('',#154970,#154971,#75896,.T.); #189299=EDGE_CURVE('',#154972,#154970,#75897,.T.); #189300=EDGE_CURVE('',#154973,#154971,#75898,.T.); #189301=EDGE_CURVE('',#154972,#154973,#75899,.T.); #189302=EDGE_CURVE('',#154974,#154972,#75900,.T.); #189303=EDGE_CURVE('',#154975,#154973,#75901,.T.); #189304=EDGE_CURVE('',#154974,#154975,#75902,.T.); #189305=EDGE_CURVE('',#154976,#154974,#75903,.T.); #189306=EDGE_CURVE('',#154977,#154975,#75904,.T.); #189307=EDGE_CURVE('',#154976,#154977,#75905,.T.); #189308=EDGE_CURVE('',#154978,#154976,#66779,.T.); #189309=EDGE_CURVE('',#154979,#154977,#66780,.T.); #189310=EDGE_CURVE('',#154978,#154979,#75906,.T.); #189311=EDGE_CURVE('',#154980,#154978,#75907,.T.); #189312=EDGE_CURVE('',#154981,#154979,#75908,.T.); #189313=EDGE_CURVE('',#154980,#154981,#75909,.T.); #189314=EDGE_CURVE('',#154982,#154980,#75910,.T.); #189315=EDGE_CURVE('',#154983,#154981,#75911,.T.); #189316=EDGE_CURVE('',#154982,#154983,#75912,.T.); #189317=EDGE_CURVE('',#154984,#154982,#75913,.T.); #189318=EDGE_CURVE('',#154985,#154983,#75914,.T.); #189319=EDGE_CURVE('',#154984,#154985,#75915,.T.); #189320=EDGE_CURVE('',#154986,#154984,#66781,.T.); #189321=EDGE_CURVE('',#154987,#154985,#66782,.T.); #189322=EDGE_CURVE('',#154986,#154987,#75916,.T.); #189323=EDGE_CURVE('',#154988,#154986,#75917,.T.); #189324=EDGE_CURVE('',#154989,#154987,#75918,.T.); #189325=EDGE_CURVE('',#154988,#154989,#75919,.T.); #189326=EDGE_CURVE('',#154990,#154988,#66783,.T.); #189327=EDGE_CURVE('',#154991,#154989,#66784,.T.); #189328=EDGE_CURVE('',#154990,#154991,#75920,.T.); #189329=EDGE_CURVE('',#154992,#154990,#75921,.T.); #189330=EDGE_CURVE('',#154993,#154991,#75922,.T.); #189331=EDGE_CURVE('',#154992,#154993,#75923,.T.); #189332=EDGE_CURVE('',#154994,#154992,#66785,.T.); #189333=EDGE_CURVE('',#154995,#154993,#66786,.T.); #189334=EDGE_CURVE('',#154994,#154995,#75924,.T.); #189335=EDGE_CURVE('',#154996,#154994,#75925,.T.); #189336=EDGE_CURVE('',#154997,#154995,#75926,.T.); #189337=EDGE_CURVE('',#154996,#154997,#75927,.T.); #189338=EDGE_CURVE('',#154998,#154996,#75928,.T.); #189339=EDGE_CURVE('',#154999,#154997,#75929,.T.); #189340=EDGE_CURVE('',#154998,#154999,#75930,.T.); #189341=EDGE_CURVE('',#155000,#154998,#66787,.T.); #189342=EDGE_CURVE('',#155001,#154999,#66788,.T.); #189343=EDGE_CURVE('',#155000,#155001,#75931,.T.); #189344=EDGE_CURVE('',#155002,#155000,#75932,.T.); #189345=EDGE_CURVE('',#155003,#155001,#75933,.T.); #189346=EDGE_CURVE('',#155002,#155003,#75934,.T.); #189347=EDGE_CURVE('',#155004,#155002,#75935,.T.); #189348=EDGE_CURVE('',#155005,#155003,#75936,.T.); #189349=EDGE_CURVE('',#155004,#155005,#75937,.T.); #189350=EDGE_CURVE('',#155006,#155004,#75938,.T.); #189351=EDGE_CURVE('',#155007,#155005,#75939,.T.); #189352=EDGE_CURVE('',#155006,#155007,#75940,.T.); #189353=EDGE_CURVE('',#155008,#155006,#75941,.T.); #189354=EDGE_CURVE('',#155009,#155007,#75942,.T.); #189355=EDGE_CURVE('',#155008,#155009,#75943,.T.); #189356=EDGE_CURVE('',#155010,#155008,#75944,.T.); #189357=EDGE_CURVE('',#155011,#155009,#75945,.T.); #189358=EDGE_CURVE('',#155010,#155011,#75946,.T.); #189359=EDGE_CURVE('',#155012,#155010,#75947,.T.); #189360=EDGE_CURVE('',#155013,#155011,#75948,.T.); #189361=EDGE_CURVE('',#155012,#155013,#75949,.T.); #189362=EDGE_CURVE('',#155014,#155012,#75950,.T.); #189363=EDGE_CURVE('',#155015,#155013,#75951,.T.); #189364=EDGE_CURVE('',#155014,#155015,#75952,.T.); #189365=EDGE_CURVE('',#155016,#155014,#66789,.T.); #189366=EDGE_CURVE('',#155017,#155015,#66790,.T.); #189367=EDGE_CURVE('',#155016,#155017,#75953,.T.); #189368=EDGE_CURVE('',#155018,#155016,#75954,.T.); #189369=EDGE_CURVE('',#155019,#155017,#75955,.T.); #189370=EDGE_CURVE('',#155018,#155019,#75956,.T.); #189371=EDGE_CURVE('',#155020,#155018,#75957,.T.); #189372=EDGE_CURVE('',#155021,#155019,#75958,.T.); #189373=EDGE_CURVE('',#155020,#155021,#75959,.T.); #189374=EDGE_CURVE('',#155022,#155020,#66791,.T.); #189375=EDGE_CURVE('',#155023,#155021,#66792,.T.); #189376=EDGE_CURVE('',#155022,#155023,#75960,.T.); #189377=EDGE_CURVE('',#155024,#155022,#75961,.T.); #189378=EDGE_CURVE('',#155025,#155023,#75962,.T.); #189379=EDGE_CURVE('',#155024,#155025,#75963,.T.); #189380=EDGE_CURVE('',#155026,#155024,#75964,.T.); #189381=EDGE_CURVE('',#155027,#155025,#75965,.T.); #189382=EDGE_CURVE('',#155026,#155027,#75966,.T.); #189383=EDGE_CURVE('',#155028,#155026,#75967,.T.); #189384=EDGE_CURVE('',#155029,#155027,#75968,.T.); #189385=EDGE_CURVE('',#155028,#155029,#75969,.T.); #189386=EDGE_CURVE('',#155030,#155028,#75970,.T.); #189387=EDGE_CURVE('',#155031,#155029,#75971,.T.); #189388=EDGE_CURVE('',#155030,#155031,#75972,.T.); #189389=EDGE_CURVE('',#155032,#155030,#66793,.T.); #189390=EDGE_CURVE('',#155033,#155031,#66794,.T.); #189391=EDGE_CURVE('',#155032,#155033,#75973,.T.); #189392=EDGE_CURVE('',#155034,#155032,#75974,.T.); #189393=EDGE_CURVE('',#155035,#155033,#75975,.T.); #189394=EDGE_CURVE('',#155034,#155035,#75976,.T.); #189395=EDGE_CURVE('',#155036,#155034,#66795,.T.); #189396=EDGE_CURVE('',#155037,#155035,#66796,.T.); #189397=EDGE_CURVE('',#155036,#155037,#75977,.T.); #189398=EDGE_CURVE('',#155038,#155036,#75978,.T.); #189399=EDGE_CURVE('',#155039,#155037,#75979,.T.); #189400=EDGE_CURVE('',#155038,#155039,#75980,.T.); #189401=EDGE_CURVE('',#155040,#155038,#75981,.T.); #189402=EDGE_CURVE('',#155041,#155039,#75982,.T.); #189403=EDGE_CURVE('',#155040,#155041,#75983,.T.); #189404=EDGE_CURVE('',#154967,#155040,#75984,.T.); #189405=EDGE_CURVE('',#154968,#155041,#75985,.T.); #189406=EDGE_CURVE('',#155042,#155043,#75986,.T.); #189407=EDGE_CURVE('',#155043,#155044,#75987,.T.); #189408=EDGE_CURVE('',#155045,#155044,#75988,.T.); #189409=EDGE_CURVE('',#155042,#155045,#75989,.T.); #189410=EDGE_CURVE('',#155046,#155042,#75990,.T.); #189411=EDGE_CURVE('',#155047,#155045,#75991,.T.); #189412=EDGE_CURVE('',#155046,#155047,#75992,.T.); #189413=EDGE_CURVE('',#155048,#155046,#75993,.T.); #189414=EDGE_CURVE('',#155049,#155047,#75994,.T.); #189415=EDGE_CURVE('',#155048,#155049,#75995,.T.); #189416=EDGE_CURVE('',#155050,#155048,#75996,.T.); #189417=EDGE_CURVE('',#155051,#155049,#75997,.T.); #189418=EDGE_CURVE('',#155050,#155051,#75998,.T.); #189419=EDGE_CURVE('',#155052,#155050,#75999,.T.); #189420=EDGE_CURVE('',#155053,#155051,#76000,.T.); #189421=EDGE_CURVE('',#155052,#155053,#76001,.T.); #189422=EDGE_CURVE('',#155054,#155052,#76002,.T.); #189423=EDGE_CURVE('',#155055,#155053,#76003,.T.); #189424=EDGE_CURVE('',#155054,#155055,#76004,.T.); #189425=EDGE_CURVE('',#155056,#155054,#66797,.T.); #189426=EDGE_CURVE('',#155057,#155055,#66798,.T.); #189427=EDGE_CURVE('',#155056,#155057,#76005,.T.); #189428=EDGE_CURVE('',#155058,#155056,#76006,.T.); #189429=EDGE_CURVE('',#155059,#155057,#76007,.T.); #189430=EDGE_CURVE('',#155058,#155059,#76008,.T.); #189431=EDGE_CURVE('',#155060,#155058,#66799,.T.); #189432=EDGE_CURVE('',#155061,#155059,#66800,.T.); #189433=EDGE_CURVE('',#155060,#155061,#76009,.T.); #189434=EDGE_CURVE('',#155062,#155060,#76010,.T.); #189435=EDGE_CURVE('',#155063,#155061,#76011,.T.); #189436=EDGE_CURVE('',#155062,#155063,#76012,.T.); #189437=EDGE_CURVE('',#155064,#155062,#76013,.T.); #189438=EDGE_CURVE('',#155065,#155063,#76014,.T.); #189439=EDGE_CURVE('',#155064,#155065,#76015,.T.); #189440=EDGE_CURVE('',#155066,#155064,#76016,.T.); #189441=EDGE_CURVE('',#155067,#155065,#76017,.T.); #189442=EDGE_CURVE('',#155066,#155067,#76018,.T.); #189443=EDGE_CURVE('',#155068,#155066,#76019,.T.); #189444=EDGE_CURVE('',#155069,#155067,#76020,.T.); #189445=EDGE_CURVE('',#155068,#155069,#76021,.T.); #189446=EDGE_CURVE('',#155070,#155068,#66801,.T.); #189447=EDGE_CURVE('',#155071,#155069,#66802,.T.); #189448=EDGE_CURVE('',#155070,#155071,#76022,.T.); #189449=EDGE_CURVE('',#155072,#155070,#76023,.T.); #189450=EDGE_CURVE('',#155073,#155071,#76024,.T.); #189451=EDGE_CURVE('',#155072,#155073,#76025,.T.); #189452=EDGE_CURVE('',#155074,#155072,#76026,.T.); #189453=EDGE_CURVE('',#155075,#155073,#76027,.T.); #189454=EDGE_CURVE('',#155074,#155075,#76028,.T.); #189455=EDGE_CURVE('',#155076,#155074,#66803,.T.); #189456=EDGE_CURVE('',#155077,#155075,#66804,.T.); #189457=EDGE_CURVE('',#155076,#155077,#76029,.T.); #189458=EDGE_CURVE('',#155078,#155076,#76030,.T.); #189459=EDGE_CURVE('',#155079,#155077,#76031,.T.); #189460=EDGE_CURVE('',#155078,#155079,#76032,.T.); #189461=EDGE_CURVE('',#155080,#155078,#76033,.T.); #189462=EDGE_CURVE('',#155081,#155079,#76034,.T.); #189463=EDGE_CURVE('',#155080,#155081,#76035,.T.); #189464=EDGE_CURVE('',#155082,#155080,#76036,.T.); #189465=EDGE_CURVE('',#155083,#155081,#76037,.T.); #189466=EDGE_CURVE('',#155082,#155083,#76038,.T.); #189467=EDGE_CURVE('',#155084,#155082,#76039,.T.); #189468=EDGE_CURVE('',#155085,#155083,#76040,.T.); #189469=EDGE_CURVE('',#155084,#155085,#76041,.T.); #189470=EDGE_CURVE('',#155086,#155084,#76042,.T.); #189471=EDGE_CURVE('',#155087,#155085,#76043,.T.); #189472=EDGE_CURVE('',#155086,#155087,#76044,.T.); #189473=EDGE_CURVE('',#155088,#155086,#76045,.T.); #189474=EDGE_CURVE('',#155089,#155087,#76046,.T.); #189475=EDGE_CURVE('',#155088,#155089,#76047,.T.); #189476=EDGE_CURVE('',#155090,#155088,#76048,.T.); #189477=EDGE_CURVE('',#155091,#155089,#76049,.T.); #189478=EDGE_CURVE('',#155090,#155091,#76050,.T.); #189479=EDGE_CURVE('',#155092,#155090,#66805,.T.); #189480=EDGE_CURVE('',#155093,#155091,#66806,.T.); #189481=EDGE_CURVE('',#155092,#155093,#76051,.T.); #189482=EDGE_CURVE('',#155094,#155092,#76052,.T.); #189483=EDGE_CURVE('',#155095,#155093,#76053,.T.); #189484=EDGE_CURVE('',#155094,#155095,#76054,.T.); #189485=EDGE_CURVE('',#155096,#155094,#76055,.T.); #189486=EDGE_CURVE('',#155097,#155095,#76056,.T.); #189487=EDGE_CURVE('',#155096,#155097,#76057,.T.); #189488=EDGE_CURVE('',#155098,#155096,#66807,.T.); #189489=EDGE_CURVE('',#155099,#155097,#66808,.T.); #189490=EDGE_CURVE('',#155098,#155099,#76058,.T.); #189491=EDGE_CURVE('',#155100,#155098,#76059,.T.); #189492=EDGE_CURVE('',#155101,#155099,#76060,.T.); #189493=EDGE_CURVE('',#155100,#155101,#76061,.T.); #189494=EDGE_CURVE('',#155102,#155100,#66809,.T.); #189495=EDGE_CURVE('',#155103,#155101,#66810,.T.); #189496=EDGE_CURVE('',#155102,#155103,#76062,.T.); #189497=EDGE_CURVE('',#155104,#155102,#76063,.T.); #189498=EDGE_CURVE('',#155105,#155103,#76064,.T.); #189499=EDGE_CURVE('',#155104,#155105,#76065,.T.); #189500=EDGE_CURVE('',#155106,#155104,#66811,.T.); #189501=EDGE_CURVE('',#155107,#155105,#66812,.T.); #189502=EDGE_CURVE('',#155106,#155107,#76066,.T.); #189503=EDGE_CURVE('',#155108,#155106,#76067,.T.); #189504=EDGE_CURVE('',#155109,#155107,#76068,.T.); #189505=EDGE_CURVE('',#155108,#155109,#76069,.T.); #189506=EDGE_CURVE('',#155110,#155108,#76070,.T.); #189507=EDGE_CURVE('',#155111,#155109,#76071,.T.); #189508=EDGE_CURVE('',#155110,#155111,#76072,.T.); #189509=EDGE_CURVE('',#155112,#155110,#76073,.T.); #189510=EDGE_CURVE('',#155113,#155111,#76074,.T.); #189511=EDGE_CURVE('',#155112,#155113,#76075,.T.); #189512=EDGE_CURVE('',#155114,#155112,#66813,.T.); #189513=EDGE_CURVE('',#155115,#155113,#66814,.T.); #189514=EDGE_CURVE('',#155114,#155115,#76076,.T.); #189515=EDGE_CURVE('',#155116,#155114,#76077,.T.); #189516=EDGE_CURVE('',#155117,#155115,#76078,.T.); #189517=EDGE_CURVE('',#155116,#155117,#76079,.T.); #189518=EDGE_CURVE('',#155043,#155116,#76080,.T.); #189519=EDGE_CURVE('',#155044,#155117,#76081,.T.); #189520=EDGE_CURVE('',#155118,#155119,#76082,.T.); #189521=EDGE_CURVE('',#155119,#155120,#76083,.T.); #189522=EDGE_CURVE('',#155121,#155120,#76084,.T.); #189523=EDGE_CURVE('',#155118,#155121,#76085,.T.); #189524=EDGE_CURVE('',#155122,#155118,#76086,.T.); #189525=EDGE_CURVE('',#155123,#155121,#76087,.T.); #189526=EDGE_CURVE('',#155122,#155123,#76088,.T.); #189527=EDGE_CURVE('',#155124,#155122,#76089,.T.); #189528=EDGE_CURVE('',#155125,#155123,#76090,.T.); #189529=EDGE_CURVE('',#155124,#155125,#76091,.T.); #189530=EDGE_CURVE('',#155126,#155124,#76092,.T.); #189531=EDGE_CURVE('',#155127,#155125,#76093,.T.); #189532=EDGE_CURVE('',#155126,#155127,#76094,.T.); #189533=EDGE_CURVE('',#155128,#155126,#66815,.T.); #189534=EDGE_CURVE('',#155129,#155127,#66816,.T.); #189535=EDGE_CURVE('',#155128,#155129,#76095,.T.); #189536=EDGE_CURVE('',#155130,#155128,#76096,.T.); #189537=EDGE_CURVE('',#155131,#155129,#76097,.T.); #189538=EDGE_CURVE('',#155130,#155131,#76098,.T.); #189539=EDGE_CURVE('',#155132,#155130,#76099,.T.); #189540=EDGE_CURVE('',#155133,#155131,#76100,.T.); #189541=EDGE_CURVE('',#155132,#155133,#76101,.T.); #189542=EDGE_CURVE('',#155134,#155132,#66817,.T.); #189543=EDGE_CURVE('',#155135,#155133,#66818,.T.); #189544=EDGE_CURVE('',#155134,#155135,#76102,.T.); #189545=EDGE_CURVE('',#155136,#155134,#76103,.T.); #189546=EDGE_CURVE('',#155137,#155135,#76104,.T.); #189547=EDGE_CURVE('',#155136,#155137,#76105,.T.); #189548=EDGE_CURVE('',#155138,#155136,#76106,.T.); #189549=EDGE_CURVE('',#155139,#155137,#76107,.T.); #189550=EDGE_CURVE('',#155138,#155139,#76108,.T.); #189551=EDGE_CURVE('',#155140,#155138,#76109,.T.); #189552=EDGE_CURVE('',#155141,#155139,#76110,.T.); #189553=EDGE_CURVE('',#155140,#155141,#76111,.T.); #189554=EDGE_CURVE('',#155142,#155140,#76112,.T.); #189555=EDGE_CURVE('',#155143,#155141,#76113,.T.); #189556=EDGE_CURVE('',#155142,#155143,#76114,.T.); #189557=EDGE_CURVE('',#155144,#155142,#76115,.T.); #189558=EDGE_CURVE('',#155145,#155143,#76116,.T.); #189559=EDGE_CURVE('',#155144,#155145,#76117,.T.); #189560=EDGE_CURVE('',#155146,#155144,#76118,.T.); #189561=EDGE_CURVE('',#155147,#155145,#76119,.T.); #189562=EDGE_CURVE('',#155146,#155147,#76120,.T.); #189563=EDGE_CURVE('',#155148,#155146,#76121,.T.); #189564=EDGE_CURVE('',#155149,#155147,#76122,.T.); #189565=EDGE_CURVE('',#155148,#155149,#76123,.T.); #189566=EDGE_CURVE('',#155150,#155148,#66819,.T.); #189567=EDGE_CURVE('',#155151,#155149,#66820,.T.); #189568=EDGE_CURVE('',#155150,#155151,#76124,.T.); #189569=EDGE_CURVE('',#155152,#155150,#76125,.T.); #189570=EDGE_CURVE('',#155153,#155151,#76126,.T.); #189571=EDGE_CURVE('',#155152,#155153,#76127,.T.); #189572=EDGE_CURVE('',#155154,#155152,#76128,.T.); #189573=EDGE_CURVE('',#155155,#155153,#76129,.T.); #189574=EDGE_CURVE('',#155154,#155155,#76130,.T.); #189575=EDGE_CURVE('',#155156,#155154,#76131,.T.); #189576=EDGE_CURVE('',#155157,#155155,#76132,.T.); #189577=EDGE_CURVE('',#155156,#155157,#76133,.T.); #189578=EDGE_CURVE('',#155119,#155156,#76134,.T.); #189579=EDGE_CURVE('',#155120,#155157,#76135,.T.); #189580=EDGE_CURVE('',#155158,#155158,#66821,.T.); #189581=EDGE_CURVE('',#155158,#155159,#76136,.T.); #189582=EDGE_CURVE('',#155159,#155159,#66822,.T.); #189583=EDGE_CURVE('',#155160,#155161,#76137,.T.); #189584=EDGE_CURVE('',#155161,#155162,#76138,.T.); #189585=EDGE_CURVE('',#155163,#155162,#76139,.T.); #189586=EDGE_CURVE('',#155160,#155163,#76140,.T.); #189587=EDGE_CURVE('',#155164,#155160,#76141,.T.); #189588=EDGE_CURVE('',#155165,#155163,#76142,.T.); #189589=EDGE_CURVE('',#155164,#155165,#76143,.T.); #189590=EDGE_CURVE('',#155166,#155164,#66823,.T.); #189591=EDGE_CURVE('',#155167,#155165,#66824,.T.); #189592=EDGE_CURVE('',#155166,#155167,#76144,.T.); #189593=EDGE_CURVE('',#155168,#155166,#76145,.T.); #189594=EDGE_CURVE('',#155169,#155167,#76146,.T.); #189595=EDGE_CURVE('',#155168,#155169,#76147,.T.); #189596=EDGE_CURVE('',#155170,#155168,#76148,.T.); #189597=EDGE_CURVE('',#155171,#155169,#76149,.T.); #189598=EDGE_CURVE('',#155170,#155171,#76150,.T.); #189599=EDGE_CURVE('',#155172,#155170,#76151,.T.); #189600=EDGE_CURVE('',#155173,#155171,#76152,.T.); #189601=EDGE_CURVE('',#155172,#155173,#76153,.T.); #189602=EDGE_CURVE('',#155174,#155172,#66825,.T.); #189603=EDGE_CURVE('',#155175,#155173,#66826,.T.); #189604=EDGE_CURVE('',#155174,#155175,#76154,.T.); #189605=EDGE_CURVE('',#155176,#155174,#76155,.T.); #189606=EDGE_CURVE('',#155177,#155175,#76156,.T.); #189607=EDGE_CURVE('',#155176,#155177,#76157,.T.); #189608=EDGE_CURVE('',#155178,#155176,#76158,.T.); #189609=EDGE_CURVE('',#155179,#155177,#76159,.T.); #189610=EDGE_CURVE('',#155178,#155179,#76160,.T.); #189611=EDGE_CURVE('',#155180,#155178,#66827,.T.); #189612=EDGE_CURVE('',#155181,#155179,#66828,.T.); #189613=EDGE_CURVE('',#155180,#155181,#76161,.T.); #189614=EDGE_CURVE('',#155182,#155180,#66829,.T.); #189615=EDGE_CURVE('',#155183,#155181,#66830,.T.); #189616=EDGE_CURVE('',#155182,#155183,#76162,.T.); #189617=EDGE_CURVE('',#155184,#155182,#76163,.T.); #189618=EDGE_CURVE('',#155185,#155183,#76164,.T.); #189619=EDGE_CURVE('',#155184,#155185,#76165,.T.); #189620=EDGE_CURVE('',#155186,#155184,#66831,.T.); #189621=EDGE_CURVE('',#155187,#155185,#66832,.T.); #189622=EDGE_CURVE('',#155186,#155187,#76166,.T.); #189623=EDGE_CURVE('',#155188,#155186,#76167,.T.); #189624=EDGE_CURVE('',#155189,#155187,#76168,.T.); #189625=EDGE_CURVE('',#155188,#155189,#76169,.T.); #189626=EDGE_CURVE('',#155190,#155188,#76170,.T.); #189627=EDGE_CURVE('',#155191,#155189,#76171,.T.); #189628=EDGE_CURVE('',#155190,#155191,#76172,.T.); #189629=EDGE_CURVE('',#155192,#155190,#66833,.T.); #189630=EDGE_CURVE('',#155193,#155191,#66834,.T.); #189631=EDGE_CURVE('',#155192,#155193,#76173,.T.); #189632=EDGE_CURVE('',#155194,#155192,#76174,.T.); #189633=EDGE_CURVE('',#155195,#155193,#76175,.T.); #189634=EDGE_CURVE('',#155194,#155195,#76176,.T.); #189635=EDGE_CURVE('',#155196,#155194,#76177,.T.); #189636=EDGE_CURVE('',#155197,#155195,#76178,.T.); #189637=EDGE_CURVE('',#155196,#155197,#76179,.T.); #189638=EDGE_CURVE('',#155198,#155196,#76180,.T.); #189639=EDGE_CURVE('',#155199,#155197,#76181,.T.); #189640=EDGE_CURVE('',#155198,#155199,#76182,.T.); #189641=EDGE_CURVE('',#155200,#155198,#76183,.T.); #189642=EDGE_CURVE('',#155201,#155199,#76184,.T.); #189643=EDGE_CURVE('',#155200,#155201,#76185,.T.); #189644=EDGE_CURVE('',#155161,#155200,#76186,.T.); #189645=EDGE_CURVE('',#155162,#155201,#76187,.T.); #189646=EDGE_CURVE('',#155202,#155202,#66835,.T.); #189647=EDGE_CURVE('',#155202,#155203,#76188,.T.); #189648=EDGE_CURVE('',#155203,#155203,#66836,.T.); #189649=EDGE_CURVE('',#155204,#155205,#76189,.T.); #189650=EDGE_CURVE('',#155205,#155206,#76190,.T.); #189651=EDGE_CURVE('',#155207,#155206,#76191,.T.); #189652=EDGE_CURVE('',#155204,#155207,#76192,.T.); #189653=EDGE_CURVE('',#155208,#155204,#66837,.T.); #189654=EDGE_CURVE('',#155209,#155207,#66838,.T.); #189655=EDGE_CURVE('',#155208,#155209,#76193,.T.); #189656=EDGE_CURVE('',#155210,#155208,#76194,.T.); #189657=EDGE_CURVE('',#155211,#155209,#76195,.T.); #189658=EDGE_CURVE('',#155210,#155211,#76196,.T.); #189659=EDGE_CURVE('',#155212,#155210,#76197,.T.); #189660=EDGE_CURVE('',#155213,#155211,#76198,.T.); #189661=EDGE_CURVE('',#155212,#155213,#76199,.T.); #189662=EDGE_CURVE('',#155214,#155212,#66839,.T.); #189663=EDGE_CURVE('',#155215,#155213,#66840,.T.); #189664=EDGE_CURVE('',#155214,#155215,#76200,.T.); #189665=EDGE_CURVE('',#155216,#155214,#76201,.T.); #189666=EDGE_CURVE('',#155217,#155215,#76202,.T.); #189667=EDGE_CURVE('',#155216,#155217,#76203,.T.); #189668=EDGE_CURVE('',#155218,#155216,#76204,.T.); #189669=EDGE_CURVE('',#155219,#155217,#76205,.T.); #189670=EDGE_CURVE('',#155218,#155219,#76206,.T.); #189671=EDGE_CURVE('',#155220,#155218,#76207,.T.); #189672=EDGE_CURVE('',#155221,#155219,#76208,.T.); #189673=EDGE_CURVE('',#155220,#155221,#76209,.T.); #189674=EDGE_CURVE('',#155222,#155220,#76210,.T.); #189675=EDGE_CURVE('',#155223,#155221,#76211,.T.); #189676=EDGE_CURVE('',#155222,#155223,#76212,.T.); #189677=EDGE_CURVE('',#155224,#155222,#76213,.T.); #189678=EDGE_CURVE('',#155225,#155223,#76214,.T.); #189679=EDGE_CURVE('',#155224,#155225,#76215,.T.); #189680=EDGE_CURVE('',#155226,#155224,#76216,.T.); #189681=EDGE_CURVE('',#155227,#155225,#76217,.T.); #189682=EDGE_CURVE('',#155226,#155227,#76218,.T.); #189683=EDGE_CURVE('',#155228,#155226,#76219,.T.); #189684=EDGE_CURVE('',#155229,#155227,#76220,.T.); #189685=EDGE_CURVE('',#155228,#155229,#76221,.T.); #189686=EDGE_CURVE('',#155230,#155228,#76222,.T.); #189687=EDGE_CURVE('',#155231,#155229,#76223,.T.); #189688=EDGE_CURVE('',#155230,#155231,#76224,.T.); #189689=EDGE_CURVE('',#155232,#155230,#66841,.T.); #189690=EDGE_CURVE('',#155233,#155231,#66842,.T.); #189691=EDGE_CURVE('',#155232,#155233,#76225,.T.); #189692=EDGE_CURVE('',#155234,#155232,#76226,.T.); #189693=EDGE_CURVE('',#155235,#155233,#76227,.T.); #189694=EDGE_CURVE('',#155234,#155235,#76228,.T.); #189695=EDGE_CURVE('',#155205,#155234,#66843,.T.); #189696=EDGE_CURVE('',#155206,#155235,#66844,.T.); #189697=EDGE_CURVE('',#155236,#155236,#66845,.T.); #189698=EDGE_CURVE('',#155236,#155237,#76229,.T.); #189699=EDGE_CURVE('',#155237,#155237,#66846,.T.); #189700=EDGE_CURVE('',#155238,#155239,#76230,.T.); #189701=EDGE_CURVE('',#155239,#155240,#76231,.T.); #189702=EDGE_CURVE('',#155241,#155240,#76232,.T.); #189703=EDGE_CURVE('',#155238,#155241,#76233,.T.); #189704=EDGE_CURVE('',#155242,#155238,#66847,.T.); #189705=EDGE_CURVE('',#155243,#155241,#66848,.T.); #189706=EDGE_CURVE('',#155242,#155243,#76234,.T.); #189707=EDGE_CURVE('',#155244,#155242,#66849,.T.); #189708=EDGE_CURVE('',#155245,#155243,#66850,.T.); #189709=EDGE_CURVE('',#155244,#155245,#76235,.T.); #189710=EDGE_CURVE('',#155246,#155244,#76236,.T.); #189711=EDGE_CURVE('',#155247,#155245,#76237,.T.); #189712=EDGE_CURVE('',#155246,#155247,#76238,.T.); #189713=EDGE_CURVE('',#155248,#155246,#76239,.T.); #189714=EDGE_CURVE('',#155249,#155247,#76240,.T.); #189715=EDGE_CURVE('',#155248,#155249,#76241,.T.); #189716=EDGE_CURVE('',#155250,#155248,#76242,.T.); #189717=EDGE_CURVE('',#155251,#155249,#76243,.T.); #189718=EDGE_CURVE('',#155250,#155251,#76244,.T.); #189719=EDGE_CURVE('',#155252,#155250,#76245,.T.); #189720=EDGE_CURVE('',#155253,#155251,#76246,.T.); #189721=EDGE_CURVE('',#155252,#155253,#76247,.T.); #189722=EDGE_CURVE('',#155254,#155252,#76248,.T.); #189723=EDGE_CURVE('',#155255,#155253,#76249,.T.); #189724=EDGE_CURVE('',#155254,#155255,#76250,.T.); #189725=EDGE_CURVE('',#155256,#155254,#76251,.T.); #189726=EDGE_CURVE('',#155257,#155255,#76252,.T.); #189727=EDGE_CURVE('',#155256,#155257,#76253,.T.); #189728=EDGE_CURVE('',#155258,#155256,#76254,.T.); #189729=EDGE_CURVE('',#155259,#155257,#76255,.T.); #189730=EDGE_CURVE('',#155258,#155259,#76256,.T.); #189731=EDGE_CURVE('',#155260,#155258,#66851,.T.); #189732=EDGE_CURVE('',#155261,#155259,#66852,.T.); #189733=EDGE_CURVE('',#155260,#155261,#76257,.T.); #189734=EDGE_CURVE('',#155262,#155260,#76258,.T.); #189735=EDGE_CURVE('',#155263,#155261,#76259,.T.); #189736=EDGE_CURVE('',#155262,#155263,#76260,.T.); #189737=EDGE_CURVE('',#155239,#155262,#66853,.T.); #189738=EDGE_CURVE('',#155240,#155263,#66854,.T.); #189739=EDGE_CURVE('',#155264,#155264,#66855,.T.); #189740=EDGE_CURVE('',#155264,#155265,#76261,.T.); #189741=EDGE_CURVE('',#155265,#155265,#66856,.T.); #189742=EDGE_CURVE('',#155266,#155267,#76262,.T.); #189743=EDGE_CURVE('',#155267,#155268,#76263,.T.); #189744=EDGE_CURVE('',#155269,#155268,#76264,.T.); #189745=EDGE_CURVE('',#155266,#155269,#76265,.T.); #189746=EDGE_CURVE('',#155270,#155266,#76266,.T.); #189747=EDGE_CURVE('',#155271,#155269,#76267,.T.); #189748=EDGE_CURVE('',#155270,#155271,#76268,.T.); #189749=EDGE_CURVE('',#155272,#155270,#76269,.T.); #189750=EDGE_CURVE('',#155273,#155271,#76270,.T.); #189751=EDGE_CURVE('',#155272,#155273,#76271,.T.); #189752=EDGE_CURVE('',#155274,#155272,#76272,.T.); #189753=EDGE_CURVE('',#155275,#155273,#76273,.T.); #189754=EDGE_CURVE('',#155274,#155275,#76274,.T.); #189755=EDGE_CURVE('',#155276,#155274,#76275,.T.); #189756=EDGE_CURVE('',#155277,#155275,#76276,.T.); #189757=EDGE_CURVE('',#155276,#155277,#76277,.T.); #189758=EDGE_CURVE('',#155278,#155276,#66857,.T.); #189759=EDGE_CURVE('',#155279,#155277,#66858,.T.); #189760=EDGE_CURVE('',#155278,#155279,#76278,.T.); #189761=EDGE_CURVE('',#155280,#155278,#76279,.T.); #189762=EDGE_CURVE('',#155281,#155279,#76280,.T.); #189763=EDGE_CURVE('',#155280,#155281,#76281,.T.); #189764=EDGE_CURVE('',#155282,#155280,#76282,.T.); #189765=EDGE_CURVE('',#155283,#155281,#76283,.T.); #189766=EDGE_CURVE('',#155282,#155283,#76284,.T.); #189767=EDGE_CURVE('',#155284,#155282,#66859,.T.); #189768=EDGE_CURVE('',#155285,#155283,#66860,.T.); #189769=EDGE_CURVE('',#155284,#155285,#76285,.T.); #189770=EDGE_CURVE('',#155286,#155284,#76286,.T.); #189771=EDGE_CURVE('',#155287,#155285,#76287,.T.); #189772=EDGE_CURVE('',#155286,#155287,#76288,.T.); #189773=EDGE_CURVE('',#155288,#155286,#66861,.T.); #189774=EDGE_CURVE('',#155289,#155287,#66862,.T.); #189775=EDGE_CURVE('',#155288,#155289,#76289,.T.); #189776=EDGE_CURVE('',#155290,#155288,#66863,.T.); #189777=EDGE_CURVE('',#155291,#155289,#66864,.T.); #189778=EDGE_CURVE('',#155290,#155291,#76290,.T.); #189779=EDGE_CURVE('',#155292,#155290,#76291,.T.); #189780=EDGE_CURVE('',#155293,#155291,#76292,.T.); #189781=EDGE_CURVE('',#155292,#155293,#76293,.T.); #189782=EDGE_CURVE('',#155294,#155292,#76294,.T.); #189783=EDGE_CURVE('',#155295,#155293,#76295,.T.); #189784=EDGE_CURVE('',#155294,#155295,#76296,.T.); #189785=EDGE_CURVE('',#155296,#155294,#66865,.T.); #189786=EDGE_CURVE('',#155297,#155295,#66866,.T.); #189787=EDGE_CURVE('',#155296,#155297,#76297,.T.); #189788=EDGE_CURVE('',#155298,#155296,#76298,.T.); #189789=EDGE_CURVE('',#155299,#155297,#76299,.T.); #189790=EDGE_CURVE('',#155298,#155299,#76300,.T.); #189791=EDGE_CURVE('',#155300,#155298,#76301,.T.); #189792=EDGE_CURVE('',#155301,#155299,#76302,.T.); #189793=EDGE_CURVE('',#155300,#155301,#76303,.T.); #189794=EDGE_CURVE('',#155267,#155300,#76304,.T.); #189795=EDGE_CURVE('',#155268,#155301,#76305,.T.); #189796=EDGE_CURVE('',#155302,#155302,#66867,.T.); #189797=EDGE_CURVE('',#155302,#155303,#76306,.T.); #189798=EDGE_CURVE('',#155303,#155303,#66868,.T.); #189799=EDGE_CURVE('',#155304,#155305,#76307,.T.); #189800=EDGE_CURVE('',#155305,#155306,#76308,.T.); #189801=EDGE_CURVE('',#155307,#155306,#76309,.T.); #189802=EDGE_CURVE('',#155304,#155307,#76310,.T.); #189803=EDGE_CURVE('',#155308,#155304,#66869,.T.); #189804=EDGE_CURVE('',#155309,#155307,#66870,.T.); #189805=EDGE_CURVE('',#155308,#155309,#76311,.T.); #189806=EDGE_CURVE('',#155310,#155308,#76312,.T.); #189807=EDGE_CURVE('',#155311,#155309,#76313,.T.); #189808=EDGE_CURVE('',#155310,#155311,#76314,.T.); #189809=EDGE_CURVE('',#155312,#155310,#66871,.T.); #189810=EDGE_CURVE('',#155313,#155311,#66872,.T.); #189811=EDGE_CURVE('',#155312,#155313,#76315,.T.); #189812=EDGE_CURVE('',#155314,#155312,#76316,.T.); #189813=EDGE_CURVE('',#155315,#155313,#76317,.T.); #189814=EDGE_CURVE('',#155314,#155315,#76318,.T.); #189815=EDGE_CURVE('',#155316,#155314,#76319,.T.); #189816=EDGE_CURVE('',#155317,#155315,#76320,.T.); #189817=EDGE_CURVE('',#155316,#155317,#76321,.T.); #189818=EDGE_CURVE('',#155318,#155316,#76322,.T.); #189819=EDGE_CURVE('',#155319,#155317,#76323,.T.); #189820=EDGE_CURVE('',#155318,#155319,#76324,.T.); #189821=EDGE_CURVE('',#155320,#155318,#76325,.T.); #189822=EDGE_CURVE('',#155321,#155319,#76326,.T.); #189823=EDGE_CURVE('',#155320,#155321,#76327,.T.); #189824=EDGE_CURVE('',#155322,#155320,#76328,.T.); #189825=EDGE_CURVE('',#155323,#155321,#76329,.T.); #189826=EDGE_CURVE('',#155322,#155323,#76330,.T.); #189827=EDGE_CURVE('',#155324,#155322,#76331,.T.); #189828=EDGE_CURVE('',#155325,#155323,#76332,.T.); #189829=EDGE_CURVE('',#155324,#155325,#76333,.T.); #189830=EDGE_CURVE('',#155326,#155324,#76334,.T.); #189831=EDGE_CURVE('',#155327,#155325,#76335,.T.); #189832=EDGE_CURVE('',#155326,#155327,#76336,.T.); #189833=EDGE_CURVE('',#155328,#155326,#66873,.T.); #189834=EDGE_CURVE('',#155329,#155327,#66874,.T.); #189835=EDGE_CURVE('',#155328,#155329,#76337,.T.); #189836=EDGE_CURVE('',#155305,#155328,#66875,.T.); #189837=EDGE_CURVE('',#155306,#155329,#66876,.T.); #189838=EDGE_CURVE('',#155330,#155330,#66877,.T.); #189839=EDGE_CURVE('',#155330,#155331,#76338,.T.); #189840=EDGE_CURVE('',#155331,#155331,#66878,.T.); #189841=EDGE_CURVE('',#155332,#155333,#76339,.T.); #189842=EDGE_CURVE('',#155333,#155334,#76340,.T.); #189843=EDGE_CURVE('',#155335,#155334,#76341,.T.); #189844=EDGE_CURVE('',#155332,#155335,#76342,.T.); #189845=EDGE_CURVE('',#155336,#155332,#76343,.T.); #189846=EDGE_CURVE('',#155337,#155335,#76344,.T.); #189847=EDGE_CURVE('',#155336,#155337,#76345,.T.); #189848=EDGE_CURVE('',#155338,#155336,#76346,.T.); #189849=EDGE_CURVE('',#155339,#155337,#76347,.T.); #189850=EDGE_CURVE('',#155338,#155339,#76348,.T.); #189851=EDGE_CURVE('',#155340,#155338,#76349,.T.); #189852=EDGE_CURVE('',#155341,#155339,#76350,.T.); #189853=EDGE_CURVE('',#155340,#155341,#76351,.T.); #189854=EDGE_CURVE('',#155342,#155340,#76352,.T.); #189855=EDGE_CURVE('',#155343,#155341,#76353,.T.); #189856=EDGE_CURVE('',#155342,#155343,#76354,.T.); #189857=EDGE_CURVE('',#155344,#155342,#76355,.T.); #189858=EDGE_CURVE('',#155345,#155343,#76356,.T.); #189859=EDGE_CURVE('',#155344,#155345,#76357,.T.); #189860=EDGE_CURVE('',#155346,#155344,#66879,.T.); #189861=EDGE_CURVE('',#155347,#155345,#66880,.T.); #189862=EDGE_CURVE('',#155346,#155347,#76358,.T.); #189863=EDGE_CURVE('',#155348,#155346,#76359,.T.); #189864=EDGE_CURVE('',#155349,#155347,#76360,.T.); #189865=EDGE_CURVE('',#155348,#155349,#76361,.T.); #189866=EDGE_CURVE('',#155350,#155348,#76362,.T.); #189867=EDGE_CURVE('',#155351,#155349,#76363,.T.); #189868=EDGE_CURVE('',#155350,#155351,#76364,.T.); #189869=EDGE_CURVE('',#155352,#155350,#66881,.T.); #189870=EDGE_CURVE('',#155353,#155351,#66882,.T.); #189871=EDGE_CURVE('',#155352,#155353,#76365,.T.); #189872=EDGE_CURVE('',#155354,#155352,#66883,.T.); #189873=EDGE_CURVE('',#155355,#155353,#66884,.T.); #189874=EDGE_CURVE('',#155354,#155355,#76366,.T.); #189875=EDGE_CURVE('',#155356,#155354,#76367,.T.); #189876=EDGE_CURVE('',#155357,#155355,#76368,.T.); #189877=EDGE_CURVE('',#155356,#155357,#76369,.T.); #189878=EDGE_CURVE('',#155358,#155356,#66885,.T.); #189879=EDGE_CURVE('',#155359,#155357,#66886,.T.); #189880=EDGE_CURVE('',#155358,#155359,#76370,.T.); #189881=EDGE_CURVE('',#155360,#155358,#76371,.T.); #189882=EDGE_CURVE('',#155361,#155359,#76372,.T.); #189883=EDGE_CURVE('',#155360,#155361,#76373,.T.); #189884=EDGE_CURVE('',#155362,#155360,#76374,.T.); #189885=EDGE_CURVE('',#155363,#155361,#76375,.T.); #189886=EDGE_CURVE('',#155362,#155363,#76376,.T.); #189887=EDGE_CURVE('',#155364,#155362,#66887,.T.); #189888=EDGE_CURVE('',#155365,#155363,#66888,.T.); #189889=EDGE_CURVE('',#155364,#155365,#76377,.T.); #189890=EDGE_CURVE('',#155366,#155364,#76378,.T.); #189891=EDGE_CURVE('',#155367,#155365,#76379,.T.); #189892=EDGE_CURVE('',#155366,#155367,#76380,.T.); #189893=EDGE_CURVE('',#155333,#155366,#76381,.T.); #189894=EDGE_CURVE('',#155334,#155367,#76382,.T.); #189895=EDGE_CURVE('',#155368,#155368,#66889,.T.); #189896=EDGE_CURVE('',#155368,#155369,#76383,.T.); #189897=EDGE_CURVE('',#155369,#155369,#66890,.T.); #189898=EDGE_CURVE('',#155370,#155371,#76384,.T.); #189899=EDGE_CURVE('',#155371,#155372,#76385,.T.); #189900=EDGE_CURVE('',#155373,#155372,#76386,.T.); #189901=EDGE_CURVE('',#155370,#155373,#76387,.T.); #189902=EDGE_CURVE('',#155374,#155370,#66891,.T.); #189903=EDGE_CURVE('',#155375,#155373,#66892,.T.); #189904=EDGE_CURVE('',#155374,#155375,#76388,.T.); #189905=EDGE_CURVE('',#155376,#155374,#76389,.T.); #189906=EDGE_CURVE('',#155377,#155375,#76390,.T.); #189907=EDGE_CURVE('',#155376,#155377,#76391,.T.); #189908=EDGE_CURVE('',#155378,#155376,#76392,.T.); #189909=EDGE_CURVE('',#155379,#155377,#76393,.T.); #189910=EDGE_CURVE('',#155378,#155379,#76394,.T.); #189911=EDGE_CURVE('',#155380,#155378,#76395,.T.); #189912=EDGE_CURVE('',#155381,#155379,#76396,.T.); #189913=EDGE_CURVE('',#155380,#155381,#76397,.T.); #189914=EDGE_CURVE('',#155382,#155380,#76398,.T.); #189915=EDGE_CURVE('',#155383,#155381,#76399,.T.); #189916=EDGE_CURVE('',#155382,#155383,#76400,.T.); #189917=EDGE_CURVE('',#155384,#155382,#76401,.T.); #189918=EDGE_CURVE('',#155385,#155383,#76402,.T.); #189919=EDGE_CURVE('',#155384,#155385,#76403,.T.); #189920=EDGE_CURVE('',#155386,#155384,#76404,.T.); #189921=EDGE_CURVE('',#155387,#155385,#76405,.T.); #189922=EDGE_CURVE('',#155386,#155387,#76406,.T.); #189923=EDGE_CURVE('',#155388,#155386,#76407,.T.); #189924=EDGE_CURVE('',#155389,#155387,#76408,.T.); #189925=EDGE_CURVE('',#155388,#155389,#76409,.T.); #189926=EDGE_CURVE('',#155390,#155388,#66893,.T.); #189927=EDGE_CURVE('',#155391,#155389,#66894,.T.); #189928=EDGE_CURVE('',#155390,#155391,#76410,.T.); #189929=EDGE_CURVE('',#155371,#155390,#66895,.T.); #189930=EDGE_CURVE('',#155372,#155391,#66896,.T.); #189931=EDGE_CURVE('',#155392,#155392,#66897,.T.); #189932=EDGE_CURVE('',#155392,#155393,#76411,.T.); #189933=EDGE_CURVE('',#155393,#155393,#66898,.T.); #189934=EDGE_CURVE('',#155394,#155395,#76412,.T.); #189935=EDGE_CURVE('',#155395,#155396,#76413,.T.); #189936=EDGE_CURVE('',#155397,#155396,#76414,.T.); #189937=EDGE_CURVE('',#155394,#155397,#76415,.T.); #189938=EDGE_CURVE('',#155398,#155394,#66899,.T.); #189939=EDGE_CURVE('',#155399,#155397,#66900,.T.); #189940=EDGE_CURVE('',#155398,#155399,#76416,.T.); #189941=EDGE_CURVE('',#155400,#155398,#66901,.T.); #189942=EDGE_CURVE('',#155401,#155399,#66902,.T.); #189943=EDGE_CURVE('',#155400,#155401,#76417,.T.); #189944=EDGE_CURVE('',#155402,#155400,#76418,.T.); #189945=EDGE_CURVE('',#155403,#155401,#76419,.T.); #189946=EDGE_CURVE('',#155402,#155403,#76420,.T.); #189947=EDGE_CURVE('',#155404,#155402,#76421,.T.); #189948=EDGE_CURVE('',#155405,#155403,#76422,.T.); #189949=EDGE_CURVE('',#155404,#155405,#76423,.T.); #189950=EDGE_CURVE('',#155406,#155404,#76424,.T.); #189951=EDGE_CURVE('',#155407,#155405,#76425,.T.); #189952=EDGE_CURVE('',#155406,#155407,#76426,.T.); #189953=EDGE_CURVE('',#155408,#155406,#76427,.T.); #189954=EDGE_CURVE('',#155409,#155407,#76428,.T.); #189955=EDGE_CURVE('',#155408,#155409,#76429,.T.); #189956=EDGE_CURVE('',#155410,#155408,#76430,.T.); #189957=EDGE_CURVE('',#155411,#155409,#76431,.T.); #189958=EDGE_CURVE('',#155410,#155411,#76432,.T.); #189959=EDGE_CURVE('',#155412,#155410,#76433,.T.); #189960=EDGE_CURVE('',#155413,#155411,#76434,.T.); #189961=EDGE_CURVE('',#155412,#155413,#76435,.T.); #189962=EDGE_CURVE('',#155414,#155412,#76436,.T.); #189963=EDGE_CURVE('',#155415,#155413,#76437,.T.); #189964=EDGE_CURVE('',#155414,#155415,#76438,.T.); #189965=EDGE_CURVE('',#155395,#155414,#66903,.T.); #189966=EDGE_CURVE('',#155396,#155415,#66904,.T.); #189967=EDGE_CURVE('',#155416,#155416,#66905,.T.); #189968=EDGE_CURVE('',#155416,#155417,#76439,.T.); #189969=EDGE_CURVE('',#155417,#155417,#66906,.T.); #189970=EDGE_CURVE('',#155418,#155419,#76440,.T.); #189971=EDGE_CURVE('',#155419,#155420,#76441,.T.); #189972=EDGE_CURVE('',#155421,#155420,#76442,.T.); #189973=EDGE_CURVE('',#155418,#155421,#76443,.T.); #189974=EDGE_CURVE('',#155422,#155418,#76444,.T.); #189975=EDGE_CURVE('',#155423,#155421,#76445,.T.); #189976=EDGE_CURVE('',#155422,#155423,#76446,.T.); #189977=EDGE_CURVE('',#155424,#155422,#76447,.T.); #189978=EDGE_CURVE('',#155425,#155423,#76448,.T.); #189979=EDGE_CURVE('',#155424,#155425,#76449,.T.); #189980=EDGE_CURVE('',#155426,#155424,#76450,.T.); #189981=EDGE_CURVE('',#155427,#155425,#76451,.T.); #189982=EDGE_CURVE('',#155426,#155427,#76452,.T.); #189983=EDGE_CURVE('',#155428,#155426,#76453,.T.); #189984=EDGE_CURVE('',#155429,#155427,#76454,.T.); #189985=EDGE_CURVE('',#155428,#155429,#76455,.T.); #189986=EDGE_CURVE('',#155430,#155428,#66907,.T.); #189987=EDGE_CURVE('',#155431,#155429,#66908,.T.); #189988=EDGE_CURVE('',#155430,#155431,#76456,.T.); #189989=EDGE_CURVE('',#155432,#155430,#76457,.T.); #189990=EDGE_CURVE('',#155433,#155431,#76458,.T.); #189991=EDGE_CURVE('',#155432,#155433,#76459,.T.); #189992=EDGE_CURVE('',#155434,#155432,#76460,.T.); #189993=EDGE_CURVE('',#155435,#155433,#76461,.T.); #189994=EDGE_CURVE('',#155434,#155435,#76462,.T.); #189995=EDGE_CURVE('',#155436,#155434,#76463,.T.); #189996=EDGE_CURVE('',#155437,#155435,#76464,.T.); #189997=EDGE_CURVE('',#155436,#155437,#76465,.T.); #189998=EDGE_CURVE('',#155438,#155436,#76466,.T.); #189999=EDGE_CURVE('',#155439,#155437,#76467,.T.); #190000=EDGE_CURVE('',#155438,#155439,#76468,.T.); #190001=EDGE_CURVE('',#155440,#155438,#76469,.T.); #190002=EDGE_CURVE('',#155441,#155439,#76470,.T.); #190003=EDGE_CURVE('',#155440,#155441,#76471,.T.); #190004=EDGE_CURVE('',#155442,#155440,#66909,.T.); #190005=EDGE_CURVE('',#155443,#155441,#66910,.T.); #190006=EDGE_CURVE('',#155442,#155443,#76472,.T.); #190007=EDGE_CURVE('',#155444,#155442,#66911,.T.); #190008=EDGE_CURVE('',#155445,#155443,#66912,.T.); #190009=EDGE_CURVE('',#155444,#155445,#76473,.T.); #190010=EDGE_CURVE('',#155446,#155444,#76474,.T.); #190011=EDGE_CURVE('',#155447,#155445,#76475,.T.); #190012=EDGE_CURVE('',#155446,#155447,#76476,.T.); #190013=EDGE_CURVE('',#155448,#155446,#66913,.T.); #190014=EDGE_CURVE('',#155449,#155447,#66914,.T.); #190015=EDGE_CURVE('',#155448,#155449,#76477,.T.); #190016=EDGE_CURVE('',#155450,#155448,#76478,.T.); #190017=EDGE_CURVE('',#155451,#155449,#76479,.T.); #190018=EDGE_CURVE('',#155450,#155451,#76480,.T.); #190019=EDGE_CURVE('',#155452,#155450,#66915,.T.); #190020=EDGE_CURVE('',#155453,#155451,#66916,.T.); #190021=EDGE_CURVE('',#155452,#155453,#76481,.T.); #190022=EDGE_CURVE('',#155454,#155452,#76482,.T.); #190023=EDGE_CURVE('',#155455,#155453,#76483,.T.); #190024=EDGE_CURVE('',#155454,#155455,#76484,.T.); #190025=EDGE_CURVE('',#155456,#155454,#66917,.T.); #190026=EDGE_CURVE('',#155457,#155455,#66918,.T.); #190027=EDGE_CURVE('',#155456,#155457,#76485,.T.); #190028=EDGE_CURVE('',#155458,#155456,#76486,.T.); #190029=EDGE_CURVE('',#155459,#155457,#76487,.T.); #190030=EDGE_CURVE('',#155458,#155459,#76488,.T.); #190031=EDGE_CURVE('',#155460,#155458,#66919,.T.); #190032=EDGE_CURVE('',#155461,#155459,#66920,.T.); #190033=EDGE_CURVE('',#155460,#155461,#76489,.T.); #190034=EDGE_CURVE('',#155462,#155460,#76490,.T.); #190035=EDGE_CURVE('',#155463,#155461,#76491,.T.); #190036=EDGE_CURVE('',#155462,#155463,#76492,.T.); #190037=EDGE_CURVE('',#155419,#155462,#76493,.T.); #190038=EDGE_CURVE('',#155420,#155463,#76494,.T.); #190039=EDGE_CURVE('',#155464,#155464,#66921,.T.); #190040=EDGE_CURVE('',#155464,#155465,#76495,.T.); #190041=EDGE_CURVE('',#155465,#155465,#66922,.T.); #190042=EDGE_CURVE('',#155466,#155467,#76496,.T.); #190043=EDGE_CURVE('',#155467,#155468,#76497,.T.); #190044=EDGE_CURVE('',#155469,#155468,#76498,.T.); #190045=EDGE_CURVE('',#155466,#155469,#76499,.T.); #190046=EDGE_CURVE('',#155470,#155466,#76500,.T.); #190047=EDGE_CURVE('',#155471,#155469,#76501,.T.); #190048=EDGE_CURVE('',#155470,#155471,#76502,.T.); #190049=EDGE_CURVE('',#155472,#155470,#76503,.T.); #190050=EDGE_CURVE('',#155473,#155471,#76504,.T.); #190051=EDGE_CURVE('',#155472,#155473,#76505,.T.); #190052=EDGE_CURVE('',#155474,#155472,#76506,.T.); #190053=EDGE_CURVE('',#155475,#155473,#76507,.T.); #190054=EDGE_CURVE('',#155474,#155475,#76508,.T.); #190055=EDGE_CURVE('',#155476,#155474,#76509,.T.); #190056=EDGE_CURVE('',#155477,#155475,#76510,.T.); #190057=EDGE_CURVE('',#155476,#155477,#76511,.T.); #190058=EDGE_CURVE('',#155478,#155476,#76512,.T.); #190059=EDGE_CURVE('',#155479,#155477,#76513,.T.); #190060=EDGE_CURVE('',#155478,#155479,#76514,.T.); #190061=EDGE_CURVE('',#155480,#155478,#76515,.T.); #190062=EDGE_CURVE('',#155481,#155479,#76516,.T.); #190063=EDGE_CURVE('',#155480,#155481,#76517,.T.); #190064=EDGE_CURVE('',#155482,#155480,#76518,.T.); #190065=EDGE_CURVE('',#155483,#155481,#76519,.T.); #190066=EDGE_CURVE('',#155482,#155483,#76520,.T.); #190067=EDGE_CURVE('',#155484,#155482,#66923,.T.); #190068=EDGE_CURVE('',#155485,#155483,#66924,.T.); #190069=EDGE_CURVE('',#155484,#155485,#76521,.T.); #190070=EDGE_CURVE('',#155486,#155484,#66925,.T.); #190071=EDGE_CURVE('',#155487,#155485,#66926,.T.); #190072=EDGE_CURVE('',#155486,#155487,#76522,.T.); #190073=EDGE_CURVE('',#155488,#155486,#76523,.T.); #190074=EDGE_CURVE('',#155489,#155487,#76524,.T.); #190075=EDGE_CURVE('',#155488,#155489,#76525,.T.); #190076=EDGE_CURVE('',#155490,#155488,#66927,.T.); #190077=EDGE_CURVE('',#155491,#155489,#66928,.T.); #190078=EDGE_CURVE('',#155490,#155491,#76526,.T.); #190079=EDGE_CURVE('',#155492,#155490,#76527,.T.); #190080=EDGE_CURVE('',#155493,#155491,#76528,.T.); #190081=EDGE_CURVE('',#155492,#155493,#76529,.T.); #190082=EDGE_CURVE('',#155494,#155492,#66929,.T.); #190083=EDGE_CURVE('',#155495,#155493,#66930,.T.); #190084=EDGE_CURVE('',#155494,#155495,#76530,.T.); #190085=EDGE_CURVE('',#155496,#155494,#76531,.T.); #190086=EDGE_CURVE('',#155497,#155495,#76532,.T.); #190087=EDGE_CURVE('',#155496,#155497,#76533,.T.); #190088=EDGE_CURVE('',#155498,#155496,#66931,.T.); #190089=EDGE_CURVE('',#155499,#155497,#66932,.T.); #190090=EDGE_CURVE('',#155498,#155499,#76534,.T.); #190091=EDGE_CURVE('',#155467,#155498,#76535,.T.); #190092=EDGE_CURVE('',#155468,#155499,#76536,.T.); #190093=EDGE_CURVE('',#155500,#155500,#66933,.T.); #190094=EDGE_CURVE('',#155500,#155501,#76537,.T.); #190095=EDGE_CURVE('',#155501,#155501,#66934,.T.); #190096=EDGE_CURVE('',#155502,#155503,#76538,.T.); #190097=EDGE_CURVE('',#155503,#155504,#76539,.T.); #190098=EDGE_CURVE('',#155505,#155504,#76540,.T.); #190099=EDGE_CURVE('',#155502,#155505,#76541,.T.); #190100=EDGE_CURVE('',#155506,#155502,#76542,.T.); #190101=EDGE_CURVE('',#155507,#155505,#76543,.T.); #190102=EDGE_CURVE('',#155506,#155507,#76544,.T.); #190103=EDGE_CURVE('',#155508,#155506,#76545,.T.); #190104=EDGE_CURVE('',#155509,#155507,#76546,.T.); #190105=EDGE_CURVE('',#155508,#155509,#76547,.T.); #190106=EDGE_CURVE('',#155510,#155508,#76548,.T.); #190107=EDGE_CURVE('',#155511,#155509,#76549,.T.); #190108=EDGE_CURVE('',#155510,#155511,#76550,.T.); #190109=EDGE_CURVE('',#155512,#155510,#76551,.T.); #190110=EDGE_CURVE('',#155513,#155511,#76552,.T.); #190111=EDGE_CURVE('',#155512,#155513,#76553,.T.); #190112=EDGE_CURVE('',#155514,#155512,#66935,.T.); #190113=EDGE_CURVE('',#155515,#155513,#66936,.T.); #190114=EDGE_CURVE('',#155514,#155515,#76554,.T.); #190115=EDGE_CURVE('',#155516,#155514,#76555,.T.); #190116=EDGE_CURVE('',#155517,#155515,#76556,.T.); #190117=EDGE_CURVE('',#155516,#155517,#76557,.T.); #190118=EDGE_CURVE('',#155518,#155516,#66937,.T.); #190119=EDGE_CURVE('',#155519,#155517,#66938,.T.); #190120=EDGE_CURVE('',#155518,#155519,#76558,.T.); #190121=EDGE_CURVE('',#155520,#155518,#76559,.T.); #190122=EDGE_CURVE('',#155521,#155519,#76560,.T.); #190123=EDGE_CURVE('',#155520,#155521,#76561,.T.); #190124=EDGE_CURVE('',#155522,#155520,#66939,.T.); #190125=EDGE_CURVE('',#155523,#155521,#66940,.T.); #190126=EDGE_CURVE('',#155522,#155523,#76562,.T.); #190127=EDGE_CURVE('',#155524,#155522,#76563,.T.); #190128=EDGE_CURVE('',#155525,#155523,#76564,.T.); #190129=EDGE_CURVE('',#155524,#155525,#76565,.T.); #190130=EDGE_CURVE('',#155526,#155524,#66941,.T.); #190131=EDGE_CURVE('',#155527,#155525,#66942,.T.); #190132=EDGE_CURVE('',#155526,#155527,#76566,.T.); #190133=EDGE_CURVE('',#155528,#155526,#76567,.T.); #190134=EDGE_CURVE('',#155529,#155527,#76568,.T.); #190135=EDGE_CURVE('',#155528,#155529,#76569,.T.); #190136=EDGE_CURVE('',#155530,#155528,#66943,.T.); #190137=EDGE_CURVE('',#155531,#155529,#66944,.T.); #190138=EDGE_CURVE('',#155530,#155531,#76570,.T.); #190139=EDGE_CURVE('',#155532,#155530,#66945,.T.); #190140=EDGE_CURVE('',#155533,#155531,#66946,.T.); #190141=EDGE_CURVE('',#155532,#155533,#76571,.T.); #190142=EDGE_CURVE('',#155534,#155532,#76572,.T.); #190143=EDGE_CURVE('',#155535,#155533,#76573,.T.); #190144=EDGE_CURVE('',#155534,#155535,#76574,.T.); #190145=EDGE_CURVE('',#155536,#155534,#76575,.T.); #190146=EDGE_CURVE('',#155537,#155535,#76576,.T.); #190147=EDGE_CURVE('',#155536,#155537,#76577,.T.); #190148=EDGE_CURVE('',#155538,#155536,#76578,.T.); #190149=EDGE_CURVE('',#155539,#155537,#76579,.T.); #190150=EDGE_CURVE('',#155538,#155539,#76580,.T.); #190151=EDGE_CURVE('',#155540,#155538,#76581,.T.); #190152=EDGE_CURVE('',#155541,#155539,#76582,.T.); #190153=EDGE_CURVE('',#155540,#155541,#76583,.T.); #190154=EDGE_CURVE('',#155542,#155540,#76584,.T.); #190155=EDGE_CURVE('',#155543,#155541,#76585,.T.); #190156=EDGE_CURVE('',#155542,#155543,#76586,.T.); #190157=EDGE_CURVE('',#155544,#155542,#66947,.T.); #190158=EDGE_CURVE('',#155545,#155543,#66948,.T.); #190159=EDGE_CURVE('',#155544,#155545,#76587,.T.); #190160=EDGE_CURVE('',#155546,#155544,#76588,.T.); #190161=EDGE_CURVE('',#155547,#155545,#76589,.T.); #190162=EDGE_CURVE('',#155546,#155547,#76590,.T.); #190163=EDGE_CURVE('',#155503,#155546,#76591,.T.); #190164=EDGE_CURVE('',#155504,#155547,#76592,.T.); #190165=EDGE_CURVE('',#155548,#155549,#76593,.T.); #190166=EDGE_CURVE('',#155549,#155550,#76594,.T.); #190167=EDGE_CURVE('',#155551,#155550,#76595,.T.); #190168=EDGE_CURVE('',#155548,#155551,#76596,.T.); #190169=EDGE_CURVE('',#155552,#155548,#76597,.T.); #190170=EDGE_CURVE('',#155553,#155551,#76598,.T.); #190171=EDGE_CURVE('',#155552,#155553,#76599,.T.); #190172=EDGE_CURVE('',#155554,#155552,#76600,.T.); #190173=EDGE_CURVE('',#155555,#155553,#76601,.T.); #190174=EDGE_CURVE('',#155554,#155555,#76602,.T.); #190175=EDGE_CURVE('',#155556,#155554,#76603,.T.); #190176=EDGE_CURVE('',#155557,#155555,#76604,.T.); #190177=EDGE_CURVE('',#155556,#155557,#76605,.T.); #190178=EDGE_CURVE('',#155558,#155556,#66949,.T.); #190179=EDGE_CURVE('',#155559,#155557,#66950,.T.); #190180=EDGE_CURVE('',#155558,#155559,#76606,.T.); #190181=EDGE_CURVE('',#155560,#155558,#76607,.T.); #190182=EDGE_CURVE('',#155561,#155559,#76608,.T.); #190183=EDGE_CURVE('',#155560,#155561,#76609,.T.); #190184=EDGE_CURVE('',#155562,#155560,#66951,.T.); #190185=EDGE_CURVE('',#155563,#155561,#66952,.T.); #190186=EDGE_CURVE('',#155562,#155563,#76610,.T.); #190187=EDGE_CURVE('',#155564,#155562,#76611,.T.); #190188=EDGE_CURVE('',#155565,#155563,#76612,.T.); #190189=EDGE_CURVE('',#155564,#155565,#76613,.T.); #190190=EDGE_CURVE('',#155566,#155564,#76614,.T.); #190191=EDGE_CURVE('',#155567,#155565,#76615,.T.); #190192=EDGE_CURVE('',#155566,#155567,#76616,.T.); #190193=EDGE_CURVE('',#155568,#155566,#76617,.T.); #190194=EDGE_CURVE('',#155569,#155567,#76618,.T.); #190195=EDGE_CURVE('',#155568,#155569,#76619,.T.); #190196=EDGE_CURVE('',#155570,#155568,#76620,.T.); #190197=EDGE_CURVE('',#155571,#155569,#76621,.T.); #190198=EDGE_CURVE('',#155570,#155571,#76622,.T.); #190199=EDGE_CURVE('',#155572,#155570,#76623,.T.); #190200=EDGE_CURVE('',#155573,#155571,#76624,.T.); #190201=EDGE_CURVE('',#155572,#155573,#76625,.T.); #190202=EDGE_CURVE('',#155574,#155572,#76626,.T.); #190203=EDGE_CURVE('',#155575,#155573,#76627,.T.); #190204=EDGE_CURVE('',#155574,#155575,#76628,.T.); #190205=EDGE_CURVE('',#155576,#155574,#76629,.T.); #190206=EDGE_CURVE('',#155577,#155575,#76630,.T.); #190207=EDGE_CURVE('',#155576,#155577,#76631,.T.); #190208=EDGE_CURVE('',#155578,#155576,#76632,.T.); #190209=EDGE_CURVE('',#155579,#155577,#76633,.T.); #190210=EDGE_CURVE('',#155578,#155579,#76634,.T.); #190211=EDGE_CURVE('',#155580,#155578,#76635,.T.); #190212=EDGE_CURVE('',#155581,#155579,#76636,.T.); #190213=EDGE_CURVE('',#155580,#155581,#76637,.T.); #190214=EDGE_CURVE('',#155582,#155580,#66953,.T.); #190215=EDGE_CURVE('',#155583,#155581,#66954,.T.); #190216=EDGE_CURVE('',#155582,#155583,#76638,.T.); #190217=EDGE_CURVE('',#155584,#155582,#76639,.T.); #190218=EDGE_CURVE('',#155585,#155583,#76640,.T.); #190219=EDGE_CURVE('',#155584,#155585,#76641,.T.); #190220=EDGE_CURVE('',#155586,#155584,#66955,.T.); #190221=EDGE_CURVE('',#155587,#155585,#66956,.T.); #190222=EDGE_CURVE('',#155586,#155587,#76642,.T.); #190223=EDGE_CURVE('',#155588,#155586,#76643,.T.); #190224=EDGE_CURVE('',#155589,#155587,#76644,.T.); #190225=EDGE_CURVE('',#155588,#155589,#76645,.T.); #190226=EDGE_CURVE('',#155590,#155588,#76646,.T.); #190227=EDGE_CURVE('',#155591,#155589,#76647,.T.); #190228=EDGE_CURVE('',#155590,#155591,#76648,.T.); #190229=EDGE_CURVE('',#155592,#155590,#76649,.T.); #190230=EDGE_CURVE('',#155593,#155591,#76650,.T.); #190231=EDGE_CURVE('',#155592,#155593,#76651,.T.); #190232=EDGE_CURVE('',#155594,#155592,#76652,.T.); #190233=EDGE_CURVE('',#155595,#155593,#76653,.T.); #190234=EDGE_CURVE('',#155594,#155595,#76654,.T.); #190235=EDGE_CURVE('',#155549,#155594,#76655,.T.); #190236=EDGE_CURVE('',#155550,#155595,#76656,.T.); #190237=EDGE_CURVE('',#155596,#155596,#66957,.T.); #190238=EDGE_CURVE('',#155596,#155597,#76657,.T.); #190239=EDGE_CURVE('',#155597,#155597,#66958,.T.); #190240=EDGE_CURVE('',#155598,#155599,#76658,.T.); #190241=EDGE_CURVE('',#155599,#155600,#76659,.T.); #190242=EDGE_CURVE('',#155601,#155600,#76660,.T.); #190243=EDGE_CURVE('',#155598,#155601,#76661,.T.); #190244=EDGE_CURVE('',#155602,#155598,#76662,.T.); #190245=EDGE_CURVE('',#155603,#155601,#76663,.T.); #190246=EDGE_CURVE('',#155602,#155603,#76664,.T.); #190247=EDGE_CURVE('',#155604,#155602,#76665,.T.); #190248=EDGE_CURVE('',#155605,#155603,#76666,.T.); #190249=EDGE_CURVE('',#155604,#155605,#76667,.T.); #190250=EDGE_CURVE('',#155599,#155604,#76668,.T.); #190251=EDGE_CURVE('',#155600,#155605,#76669,.T.); #190252=EDGE_CURVE('',#155606,#155606,#66959,.T.); #190253=EDGE_CURVE('',#155606,#155607,#76670,.T.); #190254=EDGE_CURVE('',#155607,#155607,#66960,.T.); #190255=EDGE_CURVE('',#155608,#155609,#76671,.T.); #190256=EDGE_CURVE('',#155609,#155610,#76672,.T.); #190257=EDGE_CURVE('',#155611,#155610,#76673,.T.); #190258=EDGE_CURVE('',#155608,#155611,#76674,.T.); #190259=EDGE_CURVE('',#155612,#155608,#66961,.T.); #190260=EDGE_CURVE('',#155613,#155611,#66962,.T.); #190261=EDGE_CURVE('',#155612,#155613,#76675,.T.); #190262=EDGE_CURVE('',#155614,#155612,#76676,.T.); #190263=EDGE_CURVE('',#155615,#155613,#76677,.T.); #190264=EDGE_CURVE('',#155614,#155615,#76678,.T.); #190265=EDGE_CURVE('',#155616,#155614,#66963,.T.); #190266=EDGE_CURVE('',#155617,#155615,#66964,.T.); #190267=EDGE_CURVE('',#155616,#155617,#76679,.T.); #190268=EDGE_CURVE('',#155618,#155616,#76680,.T.); #190269=EDGE_CURVE('',#155619,#155617,#76681,.T.); #190270=EDGE_CURVE('',#155618,#155619,#76682,.T.); #190271=EDGE_CURVE('',#155620,#155618,#76683,.T.); #190272=EDGE_CURVE('',#155621,#155619,#76684,.T.); #190273=EDGE_CURVE('',#155620,#155621,#76685,.T.); #190274=EDGE_CURVE('',#155622,#155620,#76686,.T.); #190275=EDGE_CURVE('',#155623,#155621,#76687,.T.); #190276=EDGE_CURVE('',#155622,#155623,#76688,.T.); #190277=EDGE_CURVE('',#155624,#155622,#76689,.T.); #190278=EDGE_CURVE('',#155625,#155623,#76690,.T.); #190279=EDGE_CURVE('',#155624,#155625,#76691,.T.); #190280=EDGE_CURVE('',#155626,#155624,#66965,.T.); #190281=EDGE_CURVE('',#155627,#155625,#66966,.T.); #190282=EDGE_CURVE('',#155626,#155627,#76692,.T.); #190283=EDGE_CURVE('',#155628,#155626,#76693,.T.); #190284=EDGE_CURVE('',#155629,#155627,#76694,.T.); #190285=EDGE_CURVE('',#155628,#155629,#76695,.T.); #190286=EDGE_CURVE('',#155630,#155628,#76696,.T.); #190287=EDGE_CURVE('',#155631,#155629,#76697,.T.); #190288=EDGE_CURVE('',#155630,#155631,#76698,.T.); #190289=EDGE_CURVE('',#155632,#155630,#76699,.T.); #190290=EDGE_CURVE('',#155633,#155631,#76700,.T.); #190291=EDGE_CURVE('',#155632,#155633,#76701,.T.); #190292=EDGE_CURVE('',#155634,#155632,#76702,.T.); #190293=EDGE_CURVE('',#155635,#155633,#76703,.T.); #190294=EDGE_CURVE('',#155634,#155635,#76704,.T.); #190295=EDGE_CURVE('',#155636,#155634,#76705,.T.); #190296=EDGE_CURVE('',#155637,#155635,#76706,.T.); #190297=EDGE_CURVE('',#155636,#155637,#76707,.T.); #190298=EDGE_CURVE('',#155638,#155636,#76708,.T.); #190299=EDGE_CURVE('',#155639,#155637,#76709,.T.); #190300=EDGE_CURVE('',#155638,#155639,#76710,.T.); #190301=EDGE_CURVE('',#155640,#155638,#76711,.T.); #190302=EDGE_CURVE('',#155641,#155639,#76712,.T.); #190303=EDGE_CURVE('',#155640,#155641,#76713,.T.); #190304=EDGE_CURVE('',#155642,#155640,#66967,.T.); #190305=EDGE_CURVE('',#155643,#155641,#66968,.T.); #190306=EDGE_CURVE('',#155642,#155643,#76714,.T.); #190307=EDGE_CURVE('',#155644,#155642,#76715,.T.); #190308=EDGE_CURVE('',#155645,#155643,#76716,.T.); #190309=EDGE_CURVE('',#155644,#155645,#76717,.T.); #190310=EDGE_CURVE('',#155646,#155644,#66969,.T.); #190311=EDGE_CURVE('',#155647,#155645,#66970,.T.); #190312=EDGE_CURVE('',#155646,#155647,#76718,.T.); #190313=EDGE_CURVE('',#155648,#155646,#76719,.T.); #190314=EDGE_CURVE('',#155649,#155647,#76720,.T.); #190315=EDGE_CURVE('',#155648,#155649,#76721,.T.); #190316=EDGE_CURVE('',#155650,#155648,#66971,.T.); #190317=EDGE_CURVE('',#155651,#155649,#66972,.T.); #190318=EDGE_CURVE('',#155650,#155651,#76722,.T.); #190319=EDGE_CURVE('',#155652,#155650,#76723,.T.); #190320=EDGE_CURVE('',#155653,#155651,#76724,.T.); #190321=EDGE_CURVE('',#155652,#155653,#76725,.T.); #190322=EDGE_CURVE('',#155654,#155652,#76726,.T.); #190323=EDGE_CURVE('',#155655,#155653,#76727,.T.); #190324=EDGE_CURVE('',#155654,#155655,#76728,.T.); #190325=EDGE_CURVE('',#155609,#155654,#66973,.T.); #190326=EDGE_CURVE('',#155610,#155655,#66974,.T.); #190327=EDGE_CURVE('',#155656,#155656,#66975,.T.); #190328=EDGE_CURVE('',#155656,#155657,#76729,.T.); #190329=EDGE_CURVE('',#155657,#155657,#66976,.T.); #190330=EDGE_CURVE('',#155658,#155659,#76730,.T.); #190331=EDGE_CURVE('',#155659,#155660,#76731,.T.); #190332=EDGE_CURVE('',#155661,#155660,#76732,.T.); #190333=EDGE_CURVE('',#155658,#155661,#76733,.T.); #190334=EDGE_CURVE('',#155662,#155658,#76734,.T.); #190335=EDGE_CURVE('',#155663,#155661,#76735,.T.); #190336=EDGE_CURVE('',#155662,#155663,#76736,.T.); #190337=EDGE_CURVE('',#155664,#155662,#76737,.T.); #190338=EDGE_CURVE('',#155665,#155663,#76738,.T.); #190339=EDGE_CURVE('',#155664,#155665,#76739,.T.); #190340=EDGE_CURVE('',#155666,#155664,#76740,.T.); #190341=EDGE_CURVE('',#155667,#155665,#76741,.T.); #190342=EDGE_CURVE('',#155666,#155667,#76742,.T.); #190343=EDGE_CURVE('',#155668,#155666,#76743,.T.); #190344=EDGE_CURVE('',#155669,#155667,#76744,.T.); #190345=EDGE_CURVE('',#155668,#155669,#76745,.T.); #190346=EDGE_CURVE('',#155670,#155668,#76746,.T.); #190347=EDGE_CURVE('',#155671,#155669,#76747,.T.); #190348=EDGE_CURVE('',#155670,#155671,#76748,.T.); #190349=EDGE_CURVE('',#155672,#155670,#76749,.T.); #190350=EDGE_CURVE('',#155673,#155671,#76750,.T.); #190351=EDGE_CURVE('',#155672,#155673,#76751,.T.); #190352=EDGE_CURVE('',#155674,#155672,#66977,.T.); #190353=EDGE_CURVE('',#155675,#155673,#66978,.T.); #190354=EDGE_CURVE('',#155674,#155675,#76752,.T.); #190355=EDGE_CURVE('',#155676,#155674,#76753,.T.); #190356=EDGE_CURVE('',#155677,#155675,#76754,.T.); #190357=EDGE_CURVE('',#155676,#155677,#76755,.T.); #190358=EDGE_CURVE('',#155678,#155676,#66979,.T.); #190359=EDGE_CURVE('',#155679,#155677,#66980,.T.); #190360=EDGE_CURVE('',#155678,#155679,#76756,.T.); #190361=EDGE_CURVE('',#155680,#155678,#66981,.T.); #190362=EDGE_CURVE('',#155681,#155679,#66982,.T.); #190363=EDGE_CURVE('',#155680,#155681,#76757,.T.); #190364=EDGE_CURVE('',#155682,#155680,#76758,.T.); #190365=EDGE_CURVE('',#155683,#155681,#76759,.T.); #190366=EDGE_CURVE('',#155682,#155683,#76760,.T.); #190367=EDGE_CURVE('',#155684,#155682,#76761,.T.); #190368=EDGE_CURVE('',#155685,#155683,#76762,.T.); #190369=EDGE_CURVE('',#155684,#155685,#76763,.T.); #190370=EDGE_CURVE('',#155686,#155684,#66983,.T.); #190371=EDGE_CURVE('',#155687,#155685,#66984,.T.); #190372=EDGE_CURVE('',#155686,#155687,#76764,.T.); #190373=EDGE_CURVE('',#155659,#155686,#76765,.T.); #190374=EDGE_CURVE('',#155660,#155687,#76766,.T.); #190375=EDGE_CURVE('',#155688,#155688,#66985,.T.); #190376=EDGE_CURVE('',#155688,#155689,#76767,.T.); #190377=EDGE_CURVE('',#155689,#155689,#66986,.T.); #190378=EDGE_CURVE('',#155690,#155691,#76768,.T.); #190379=EDGE_CURVE('',#155691,#155692,#76769,.T.); #190380=EDGE_CURVE('',#155693,#155692,#76770,.T.); #190381=EDGE_CURVE('',#155690,#155693,#76771,.T.); #190382=EDGE_CURVE('',#155694,#155690,#76772,.T.); #190383=EDGE_CURVE('',#155695,#155693,#76773,.T.); #190384=EDGE_CURVE('',#155694,#155695,#76774,.T.); #190385=EDGE_CURVE('',#155696,#155694,#76775,.T.); #190386=EDGE_CURVE('',#155697,#155695,#76776,.T.); #190387=EDGE_CURVE('',#155696,#155697,#76777,.T.); #190388=EDGE_CURVE('',#155698,#155696,#76778,.T.); #190389=EDGE_CURVE('',#155699,#155697,#76779,.T.); #190390=EDGE_CURVE('',#155698,#155699,#76780,.T.); #190391=EDGE_CURVE('',#155700,#155698,#76781,.T.); #190392=EDGE_CURVE('',#155701,#155699,#76782,.T.); #190393=EDGE_CURVE('',#155700,#155701,#76783,.T.); #190394=EDGE_CURVE('',#155702,#155700,#76784,.T.); #190395=EDGE_CURVE('',#155703,#155701,#76785,.T.); #190396=EDGE_CURVE('',#155702,#155703,#76786,.T.); #190397=EDGE_CURVE('',#155704,#155702,#76787,.T.); #190398=EDGE_CURVE('',#155705,#155703,#76788,.T.); #190399=EDGE_CURVE('',#155704,#155705,#76789,.T.); #190400=EDGE_CURVE('',#155706,#155704,#76790,.T.); #190401=EDGE_CURVE('',#155707,#155705,#76791,.T.); #190402=EDGE_CURVE('',#155706,#155707,#76792,.T.); #190403=EDGE_CURVE('',#155708,#155706,#76793,.T.); #190404=EDGE_CURVE('',#155709,#155707,#76794,.T.); #190405=EDGE_CURVE('',#155708,#155709,#76795,.T.); #190406=EDGE_CURVE('',#155710,#155708,#76796,.T.); #190407=EDGE_CURVE('',#155711,#155709,#76797,.T.); #190408=EDGE_CURVE('',#155710,#155711,#76798,.T.); #190409=EDGE_CURVE('',#155712,#155710,#66987,.T.); #190410=EDGE_CURVE('',#155713,#155711,#66988,.T.); #190411=EDGE_CURVE('',#155712,#155713,#76799,.T.); #190412=EDGE_CURVE('',#155714,#155712,#76800,.T.); #190413=EDGE_CURVE('',#155715,#155713,#76801,.T.); #190414=EDGE_CURVE('',#155714,#155715,#76802,.T.); #190415=EDGE_CURVE('',#155716,#155714,#66989,.T.); #190416=EDGE_CURVE('',#155717,#155715,#66990,.T.); #190417=EDGE_CURVE('',#155716,#155717,#76803,.T.); #190418=EDGE_CURVE('',#155718,#155716,#76804,.T.); #190419=EDGE_CURVE('',#155719,#155717,#76805,.T.); #190420=EDGE_CURVE('',#155718,#155719,#76806,.T.); #190421=EDGE_CURVE('',#155720,#155718,#76807,.T.); #190422=EDGE_CURVE('',#155721,#155719,#76808,.T.); #190423=EDGE_CURVE('',#155720,#155721,#76809,.T.); #190424=EDGE_CURVE('',#155722,#155720,#76810,.T.); #190425=EDGE_CURVE('',#155723,#155721,#76811,.T.); #190426=EDGE_CURVE('',#155722,#155723,#76812,.T.); #190427=EDGE_CURVE('',#155724,#155722,#66991,.T.); #190428=EDGE_CURVE('',#155725,#155723,#66992,.T.); #190429=EDGE_CURVE('',#155724,#155725,#76813,.T.); #190430=EDGE_CURVE('',#155726,#155724,#76814,.T.); #190431=EDGE_CURVE('',#155727,#155725,#76815,.T.); #190432=EDGE_CURVE('',#155726,#155727,#76816,.T.); #190433=EDGE_CURVE('',#155728,#155726,#66993,.T.); #190434=EDGE_CURVE('',#155729,#155727,#66994,.T.); #190435=EDGE_CURVE('',#155728,#155729,#76817,.T.); #190436=EDGE_CURVE('',#155730,#155728,#76818,.T.); #190437=EDGE_CURVE('',#155731,#155729,#76819,.T.); #190438=EDGE_CURVE('',#155730,#155731,#76820,.T.); #190439=EDGE_CURVE('',#155732,#155730,#76821,.T.); #190440=EDGE_CURVE('',#155733,#155731,#76822,.T.); #190441=EDGE_CURVE('',#155732,#155733,#76823,.T.); #190442=EDGE_CURVE('',#155734,#155732,#76824,.T.); #190443=EDGE_CURVE('',#155735,#155733,#76825,.T.); #190444=EDGE_CURVE('',#155734,#155735,#76826,.T.); #190445=EDGE_CURVE('',#155736,#155734,#66995,.T.); #190446=EDGE_CURVE('',#155737,#155735,#66996,.T.); #190447=EDGE_CURVE('',#155736,#155737,#76827,.T.); #190448=EDGE_CURVE('',#155738,#155736,#66997,.T.); #190449=EDGE_CURVE('',#155739,#155737,#66998,.T.); #190450=EDGE_CURVE('',#155738,#155739,#76828,.T.); #190451=EDGE_CURVE('',#155740,#155738,#76829,.T.); #190452=EDGE_CURVE('',#155741,#155739,#76830,.T.); #190453=EDGE_CURVE('',#155740,#155741,#76831,.T.); #190454=EDGE_CURVE('',#155742,#155740,#66999,.T.); #190455=EDGE_CURVE('',#155743,#155741,#67000,.T.); #190456=EDGE_CURVE('',#155742,#155743,#76832,.T.); #190457=EDGE_CURVE('',#155744,#155742,#76833,.T.); #190458=EDGE_CURVE('',#155745,#155743,#76834,.T.); #190459=EDGE_CURVE('',#155744,#155745,#76835,.T.); #190460=EDGE_CURVE('',#155746,#155744,#67001,.T.); #190461=EDGE_CURVE('',#155747,#155745,#67002,.T.); #190462=EDGE_CURVE('',#155746,#155747,#76836,.T.); #190463=EDGE_CURVE('',#155748,#155746,#76837,.T.); #190464=EDGE_CURVE('',#155749,#155747,#76838,.T.); #190465=EDGE_CURVE('',#155748,#155749,#76839,.T.); #190466=EDGE_CURVE('',#155750,#155748,#76840,.T.); #190467=EDGE_CURVE('',#155751,#155749,#76841,.T.); #190468=EDGE_CURVE('',#155750,#155751,#76842,.T.); #190469=EDGE_CURVE('',#155752,#155750,#76843,.T.); #190470=EDGE_CURVE('',#155753,#155751,#76844,.T.); #190471=EDGE_CURVE('',#155752,#155753,#76845,.T.); #190472=EDGE_CURVE('',#155754,#155752,#67003,.T.); #190473=EDGE_CURVE('',#155755,#155753,#67004,.T.); #190474=EDGE_CURVE('',#155754,#155755,#76846,.T.); #190475=EDGE_CURVE('',#155756,#155754,#76847,.T.); #190476=EDGE_CURVE('',#155757,#155755,#76848,.T.); #190477=EDGE_CURVE('',#155756,#155757,#76849,.T.); #190478=EDGE_CURVE('',#155758,#155756,#67005,.T.); #190479=EDGE_CURVE('',#155759,#155757,#67006,.T.); #190480=EDGE_CURVE('',#155758,#155759,#76850,.T.); #190481=EDGE_CURVE('',#155760,#155758,#76851,.T.); #190482=EDGE_CURVE('',#155761,#155759,#76852,.T.); #190483=EDGE_CURVE('',#155760,#155761,#76853,.T.); #190484=EDGE_CURVE('',#155762,#155760,#76854,.T.); #190485=EDGE_CURVE('',#155763,#155761,#76855,.T.); #190486=EDGE_CURVE('',#155762,#155763,#76856,.T.); #190487=EDGE_CURVE('',#155764,#155762,#76857,.T.); #190488=EDGE_CURVE('',#155765,#155763,#76858,.T.); #190489=EDGE_CURVE('',#155764,#155765,#76859,.T.); #190490=EDGE_CURVE('',#155766,#155764,#76860,.T.); #190491=EDGE_CURVE('',#155767,#155765,#76861,.T.); #190492=EDGE_CURVE('',#155766,#155767,#76862,.T.); #190493=EDGE_CURVE('',#155768,#155766,#76863,.T.); #190494=EDGE_CURVE('',#155769,#155767,#76864,.T.); #190495=EDGE_CURVE('',#155768,#155769,#76865,.T.); #190496=EDGE_CURVE('',#155770,#155768,#76866,.T.); #190497=EDGE_CURVE('',#155771,#155769,#76867,.T.); #190498=EDGE_CURVE('',#155770,#155771,#76868,.T.); #190499=EDGE_CURVE('',#155772,#155770,#76869,.T.); #190500=EDGE_CURVE('',#155773,#155771,#76870,.T.); #190501=EDGE_CURVE('',#155772,#155773,#76871,.T.); #190502=EDGE_CURVE('',#155774,#155772,#76872,.T.); #190503=EDGE_CURVE('',#155775,#155773,#76873,.T.); #190504=EDGE_CURVE('',#155774,#155775,#76874,.T.); #190505=EDGE_CURVE('',#155691,#155774,#76875,.T.); #190506=EDGE_CURVE('',#155692,#155775,#76876,.T.); #190507=EDGE_CURVE('',#155776,#155776,#67007,.T.); #190508=EDGE_CURVE('',#155776,#155777,#76877,.T.); #190509=EDGE_CURVE('',#155777,#155777,#67008,.T.); #190510=EDGE_CURVE('',#155778,#155779,#76878,.T.); #190511=EDGE_CURVE('',#155779,#155780,#76879,.T.); #190512=EDGE_CURVE('',#155781,#155780,#76880,.T.); #190513=EDGE_CURVE('',#155778,#155781,#76881,.T.); #190514=EDGE_CURVE('',#155782,#155778,#76882,.T.); #190515=EDGE_CURVE('',#155783,#155781,#76883,.T.); #190516=EDGE_CURVE('',#155782,#155783,#76884,.T.); #190517=EDGE_CURVE('',#155784,#155782,#76885,.T.); #190518=EDGE_CURVE('',#155785,#155783,#76886,.T.); #190519=EDGE_CURVE('',#155784,#155785,#76887,.T.); #190520=EDGE_CURVE('',#155786,#155784,#76888,.T.); #190521=EDGE_CURVE('',#155787,#155785,#76889,.T.); #190522=EDGE_CURVE('',#155786,#155787,#76890,.T.); #190523=EDGE_CURVE('',#155788,#155786,#76891,.T.); #190524=EDGE_CURVE('',#155789,#155787,#76892,.T.); #190525=EDGE_CURVE('',#155788,#155789,#76893,.T.); #190526=EDGE_CURVE('',#155790,#155788,#67009,.T.); #190527=EDGE_CURVE('',#155791,#155789,#67010,.T.); #190528=EDGE_CURVE('',#155790,#155791,#76894,.T.); #190529=EDGE_CURVE('',#155792,#155790,#76895,.T.); #190530=EDGE_CURVE('',#155793,#155791,#76896,.T.); #190531=EDGE_CURVE('',#155792,#155793,#76897,.T.); #190532=EDGE_CURVE('',#155794,#155792,#76898,.T.); #190533=EDGE_CURVE('',#155795,#155793,#76899,.T.); #190534=EDGE_CURVE('',#155794,#155795,#76900,.T.); #190535=EDGE_CURVE('',#155796,#155794,#67011,.T.); #190536=EDGE_CURVE('',#155797,#155795,#67012,.T.); #190537=EDGE_CURVE('',#155796,#155797,#76901,.T.); #190538=EDGE_CURVE('',#155798,#155796,#76902,.T.); #190539=EDGE_CURVE('',#155799,#155797,#76903,.T.); #190540=EDGE_CURVE('',#155798,#155799,#76904,.T.); #190541=EDGE_CURVE('',#155800,#155798,#76905,.T.); #190542=EDGE_CURVE('',#155801,#155799,#76906,.T.); #190543=EDGE_CURVE('',#155800,#155801,#76907,.T.); #190544=EDGE_CURVE('',#155802,#155800,#67013,.T.); #190545=EDGE_CURVE('',#155803,#155801,#67014,.T.); #190546=EDGE_CURVE('',#155802,#155803,#76908,.T.); #190547=EDGE_CURVE('',#155804,#155802,#67015,.T.); #190548=EDGE_CURVE('',#155805,#155803,#67016,.T.); #190549=EDGE_CURVE('',#155804,#155805,#76909,.T.); #190550=EDGE_CURVE('',#155806,#155804,#76910,.T.); #190551=EDGE_CURVE('',#155807,#155805,#76911,.T.); #190552=EDGE_CURVE('',#155806,#155807,#76912,.T.); #190553=EDGE_CURVE('',#155808,#155806,#67017,.T.); #190554=EDGE_CURVE('',#155809,#155807,#67018,.T.); #190555=EDGE_CURVE('',#155808,#155809,#76913,.T.); #190556=EDGE_CURVE('',#155810,#155808,#76914,.T.); #190557=EDGE_CURVE('',#155811,#155809,#76915,.T.); #190558=EDGE_CURVE('',#155810,#155811,#76916,.T.); #190559=EDGE_CURVE('',#155812,#155810,#76917,.T.); #190560=EDGE_CURVE('',#155813,#155811,#76918,.T.); #190561=EDGE_CURVE('',#155812,#155813,#76919,.T.); #190562=EDGE_CURVE('',#155814,#155812,#67019,.T.); #190563=EDGE_CURVE('',#155815,#155813,#67020,.T.); #190564=EDGE_CURVE('',#155814,#155815,#76920,.T.); #190565=EDGE_CURVE('',#155816,#155814,#76921,.T.); #190566=EDGE_CURVE('',#155817,#155815,#76922,.T.); #190567=EDGE_CURVE('',#155816,#155817,#76923,.T.); #190568=EDGE_CURVE('',#155818,#155816,#76924,.T.); #190569=EDGE_CURVE('',#155819,#155817,#76925,.T.); #190570=EDGE_CURVE('',#155818,#155819,#76926,.T.); #190571=EDGE_CURVE('',#155779,#155818,#76927,.T.); #190572=EDGE_CURVE('',#155780,#155819,#76928,.T.); #190573=EDGE_CURVE('',#155820,#155820,#67021,.T.); #190574=EDGE_CURVE('',#155820,#155821,#76929,.T.); #190575=EDGE_CURVE('',#155821,#155821,#67022,.T.); #190576=EDGE_CURVE('',#155822,#155823,#76930,.T.); #190577=EDGE_CURVE('',#155823,#155824,#76931,.T.); #190578=EDGE_CURVE('',#155825,#155824,#76932,.T.); #190579=EDGE_CURVE('',#155822,#155825,#76933,.T.); #190580=EDGE_CURVE('',#155826,#155822,#76934,.T.); #190581=EDGE_CURVE('',#155827,#155825,#76935,.T.); #190582=EDGE_CURVE('',#155826,#155827,#76936,.T.); #190583=EDGE_CURVE('',#155828,#155826,#76937,.T.); #190584=EDGE_CURVE('',#155829,#155827,#76938,.T.); #190585=EDGE_CURVE('',#155828,#155829,#76939,.T.); #190586=EDGE_CURVE('',#155823,#155828,#76940,.T.); #190587=EDGE_CURVE('',#155824,#155829,#76941,.T.); #190588=EDGE_CURVE('',#155830,#155830,#67023,.T.); #190589=EDGE_CURVE('',#155830,#155831,#76942,.T.); #190590=EDGE_CURVE('',#155831,#155831,#67024,.T.); #190591=EDGE_CURVE('',#155832,#155833,#76943,.T.); #190592=EDGE_CURVE('',#155833,#155834,#76944,.T.); #190593=EDGE_CURVE('',#155835,#155834,#76945,.T.); #190594=EDGE_CURVE('',#155832,#155835,#76946,.T.); #190595=EDGE_CURVE('',#155836,#155832,#76947,.T.); #190596=EDGE_CURVE('',#155837,#155835,#76948,.T.); #190597=EDGE_CURVE('',#155836,#155837,#76949,.T.); #190598=EDGE_CURVE('',#155838,#155836,#76950,.T.); #190599=EDGE_CURVE('',#155839,#155837,#76951,.T.); #190600=EDGE_CURVE('',#155838,#155839,#76952,.T.); #190601=EDGE_CURVE('',#155833,#155838,#76953,.T.); #190602=EDGE_CURVE('',#155834,#155839,#76954,.T.); #190603=EDGE_CURVE('',#155840,#155840,#67025,.T.); #190604=EDGE_CURVE('',#155840,#155841,#76955,.T.); #190605=EDGE_CURVE('',#155841,#155841,#67026,.T.); #190606=EDGE_CURVE('',#155842,#155843,#76956,.T.); #190607=EDGE_CURVE('',#155843,#155844,#76957,.T.); #190608=EDGE_CURVE('',#155845,#155844,#76958,.T.); #190609=EDGE_CURVE('',#155842,#155845,#76959,.T.); #190610=EDGE_CURVE('',#155846,#155842,#67027,.T.); #190611=EDGE_CURVE('',#155847,#155845,#67028,.T.); #190612=EDGE_CURVE('',#155846,#155847,#76960,.T.); #190613=EDGE_CURVE('',#155848,#155846,#76961,.T.); #190614=EDGE_CURVE('',#155849,#155847,#76962,.T.); #190615=EDGE_CURVE('',#155848,#155849,#76963,.T.); #190616=EDGE_CURVE('',#155850,#155848,#67029,.T.); #190617=EDGE_CURVE('',#155851,#155849,#67030,.T.); #190618=EDGE_CURVE('',#155850,#155851,#76964,.T.); #190619=EDGE_CURVE('',#155852,#155850,#76965,.T.); #190620=EDGE_CURVE('',#155853,#155851,#76966,.T.); #190621=EDGE_CURVE('',#155852,#155853,#76967,.T.); #190622=EDGE_CURVE('',#155854,#155852,#76968,.T.); #190623=EDGE_CURVE('',#155855,#155853,#76969,.T.); #190624=EDGE_CURVE('',#155854,#155855,#76970,.T.); #190625=EDGE_CURVE('',#155856,#155854,#76971,.T.); #190626=EDGE_CURVE('',#155857,#155855,#76972,.T.); #190627=EDGE_CURVE('',#155856,#155857,#76973,.T.); #190628=EDGE_CURVE('',#155858,#155856,#76974,.T.); #190629=EDGE_CURVE('',#155859,#155857,#76975,.T.); #190630=EDGE_CURVE('',#155858,#155859,#76976,.T.); #190631=EDGE_CURVE('',#155860,#155858,#76977,.T.); #190632=EDGE_CURVE('',#155861,#155859,#76978,.T.); #190633=EDGE_CURVE('',#155860,#155861,#76979,.T.); #190634=EDGE_CURVE('',#155862,#155860,#76980,.T.); #190635=EDGE_CURVE('',#155863,#155861,#76981,.T.); #190636=EDGE_CURVE('',#155862,#155863,#76982,.T.); #190637=EDGE_CURVE('',#155864,#155862,#76983,.T.); #190638=EDGE_CURVE('',#155865,#155863,#76984,.T.); #190639=EDGE_CURVE('',#155864,#155865,#76985,.T.); #190640=EDGE_CURVE('',#155866,#155864,#76986,.T.); #190641=EDGE_CURVE('',#155867,#155865,#76987,.T.); #190642=EDGE_CURVE('',#155866,#155867,#76988,.T.); #190643=EDGE_CURVE('',#155868,#155866,#76989,.T.); #190644=EDGE_CURVE('',#155869,#155867,#76990,.T.); #190645=EDGE_CURVE('',#155868,#155869,#76991,.T.); #190646=EDGE_CURVE('',#155843,#155868,#67031,.T.); #190647=EDGE_CURVE('',#155844,#155869,#67032,.T.); #190648=EDGE_CURVE('',#155870,#155870,#67033,.T.); #190649=EDGE_CURVE('',#155870,#155871,#76992,.T.); #190650=EDGE_CURVE('',#155871,#155871,#67034,.T.); #190651=EDGE_CURVE('',#155872,#155873,#76993,.T.); #190652=EDGE_CURVE('',#155873,#155874,#76994,.T.); #190653=EDGE_CURVE('',#155875,#155874,#76995,.T.); #190654=EDGE_CURVE('',#155872,#155875,#76996,.T.); #190655=EDGE_CURVE('',#155876,#155872,#67035,.T.); #190656=EDGE_CURVE('',#155877,#155875,#67036,.T.); #190657=EDGE_CURVE('',#155876,#155877,#76997,.T.); #190658=EDGE_CURVE('',#155873,#155876,#67037,.T.); #190659=EDGE_CURVE('',#155874,#155877,#67038,.T.); #190660=EDGE_CURVE('',#155878,#155878,#67039,.T.); #190661=EDGE_CURVE('',#155878,#155879,#76998,.T.); #190662=EDGE_CURVE('',#155879,#155879,#67040,.T.); #190663=EDGE_CURVE('',#155880,#155880,#67041,.T.); #190664=EDGE_CURVE('',#155880,#155881,#76999,.T.); #190665=EDGE_CURVE('',#155881,#155881,#67042,.T.); #190666=EDGE_CURVE('',#155882,#155883,#77000,.T.); #190667=EDGE_CURVE('',#155883,#155884,#77001,.T.); #190668=EDGE_CURVE('',#155885,#155884,#77002,.T.); #190669=EDGE_CURVE('',#155882,#155885,#77003,.T.); #190670=EDGE_CURVE('',#155886,#155882,#67043,.T.); #190671=EDGE_CURVE('',#155887,#155885,#67044,.T.); #190672=EDGE_CURVE('',#155886,#155887,#77004,.T.); #190673=EDGE_CURVE('',#155888,#155886,#77005,.T.); #190674=EDGE_CURVE('',#155889,#155887,#77006,.T.); #190675=EDGE_CURVE('',#155888,#155889,#77007,.T.); #190676=EDGE_CURVE('',#155890,#155888,#67045,.T.); #190677=EDGE_CURVE('',#155891,#155889,#67046,.T.); #190678=EDGE_CURVE('',#155890,#155891,#77008,.T.); #190679=EDGE_CURVE('',#155892,#155890,#67047,.T.); #190680=EDGE_CURVE('',#155893,#155891,#67048,.T.); #190681=EDGE_CURVE('',#155892,#155893,#77009,.T.); #190682=EDGE_CURVE('',#155894,#155892,#77010,.T.); #190683=EDGE_CURVE('',#155895,#155893,#77011,.T.); #190684=EDGE_CURVE('',#155894,#155895,#77012,.T.); #190685=EDGE_CURVE('',#155883,#155894,#67049,.T.); #190686=EDGE_CURVE('',#155884,#155895,#67050,.T.); #190687=EDGE_CURVE('',#155896,#155896,#67051,.T.); #190688=EDGE_CURVE('',#155896,#155897,#77013,.T.); #190689=EDGE_CURVE('',#155897,#155897,#67052,.T.); #190690=EDGE_CURVE('',#155898,#155899,#77014,.T.); #190691=EDGE_CURVE('',#155899,#155900,#77015,.T.); #190692=EDGE_CURVE('',#155901,#155900,#77016,.T.); #190693=EDGE_CURVE('',#155898,#155901,#77017,.T.); #190694=EDGE_CURVE('',#155902,#155898,#67053,.T.); #190695=EDGE_CURVE('',#155903,#155901,#67054,.T.); #190696=EDGE_CURVE('',#155902,#155903,#77018,.T.); #190697=EDGE_CURVE('',#155899,#155902,#67055,.T.); #190698=EDGE_CURVE('',#155900,#155903,#67056,.T.); #190699=EDGE_CURVE('',#155904,#155904,#67057,.T.); #190700=EDGE_CURVE('',#155904,#155905,#77019,.T.); #190701=EDGE_CURVE('',#155905,#155905,#67058,.T.); #190702=EDGE_CURVE('',#155906,#155907,#77020,.T.); #190703=EDGE_CURVE('',#155907,#155908,#77021,.T.); #190704=EDGE_CURVE('',#155909,#155908,#77022,.T.); #190705=EDGE_CURVE('',#155906,#155909,#77023,.T.); #190706=EDGE_CURVE('',#155910,#155906,#77024,.T.); #190707=EDGE_CURVE('',#155911,#155909,#77025,.T.); #190708=EDGE_CURVE('',#155910,#155911,#77026,.T.); #190709=EDGE_CURVE('',#155912,#155910,#77027,.T.); #190710=EDGE_CURVE('',#155913,#155911,#77028,.T.); #190711=EDGE_CURVE('',#155912,#155913,#77029,.T.); #190712=EDGE_CURVE('',#155907,#155912,#77030,.T.); #190713=EDGE_CURVE('',#155908,#155913,#77031,.T.); #190714=EDGE_CURVE('',#155914,#155914,#67059,.T.); #190715=EDGE_CURVE('',#155914,#155915,#77032,.T.); #190716=EDGE_CURVE('',#155915,#155915,#67060,.T.); #190717=EDGE_CURVE('',#155916,#155917,#77033,.T.); #190718=EDGE_CURVE('',#155917,#155918,#77034,.T.); #190719=EDGE_CURVE('',#155919,#155918,#77035,.T.); #190720=EDGE_CURVE('',#155916,#155919,#77036,.T.); #190721=EDGE_CURVE('',#155920,#155916,#77037,.T.); #190722=EDGE_CURVE('',#155921,#155919,#77038,.T.); #190723=EDGE_CURVE('',#155920,#155921,#77039,.T.); #190724=EDGE_CURVE('',#155922,#155920,#77040,.T.); #190725=EDGE_CURVE('',#155923,#155921,#77041,.T.); #190726=EDGE_CURVE('',#155922,#155923,#77042,.T.); #190727=EDGE_CURVE('',#155924,#155922,#77043,.T.); #190728=EDGE_CURVE('',#155925,#155923,#77044,.T.); #190729=EDGE_CURVE('',#155924,#155925,#77045,.T.); #190730=EDGE_CURVE('',#155926,#155924,#77046,.T.); #190731=EDGE_CURVE('',#155927,#155925,#77047,.T.); #190732=EDGE_CURVE('',#155926,#155927,#77048,.T.); #190733=EDGE_CURVE('',#155928,#155926,#77049,.T.); #190734=EDGE_CURVE('',#155929,#155927,#77050,.T.); #190735=EDGE_CURVE('',#155928,#155929,#77051,.T.); #190736=EDGE_CURVE('',#155930,#155928,#77052,.T.); #190737=EDGE_CURVE('',#155931,#155929,#77053,.T.); #190738=EDGE_CURVE('',#155930,#155931,#77054,.T.); #190739=EDGE_CURVE('',#155932,#155930,#77055,.T.); #190740=EDGE_CURVE('',#155933,#155931,#77056,.T.); #190741=EDGE_CURVE('',#155932,#155933,#77057,.T.); #190742=EDGE_CURVE('',#155934,#155932,#77058,.T.); #190743=EDGE_CURVE('',#155935,#155933,#77059,.T.); #190744=EDGE_CURVE('',#155934,#155935,#77060,.T.); #190745=EDGE_CURVE('',#155936,#155934,#77061,.T.); #190746=EDGE_CURVE('',#155937,#155935,#77062,.T.); #190747=EDGE_CURVE('',#155936,#155937,#77063,.T.); #190748=EDGE_CURVE('',#155938,#155936,#77064,.T.); #190749=EDGE_CURVE('',#155939,#155937,#77065,.T.); #190750=EDGE_CURVE('',#155938,#155939,#77066,.T.); #190751=EDGE_CURVE('',#155940,#155938,#77067,.T.); #190752=EDGE_CURVE('',#155941,#155939,#77068,.T.); #190753=EDGE_CURVE('',#155940,#155941,#77069,.T.); #190754=EDGE_CURVE('',#155942,#155940,#67061,.T.); #190755=EDGE_CURVE('',#155943,#155941,#67062,.T.); #190756=EDGE_CURVE('',#155942,#155943,#77070,.T.); #190757=EDGE_CURVE('',#155944,#155942,#77071,.T.); #190758=EDGE_CURVE('',#155945,#155943,#77072,.T.); #190759=EDGE_CURVE('',#155944,#155945,#77073,.T.); #190760=EDGE_CURVE('',#155946,#155944,#67063,.T.); #190761=EDGE_CURVE('',#155947,#155945,#67064,.T.); #190762=EDGE_CURVE('',#155946,#155947,#77074,.T.); #190763=EDGE_CURVE('',#155948,#155946,#77075,.T.); #190764=EDGE_CURVE('',#155949,#155947,#77076,.T.); #190765=EDGE_CURVE('',#155948,#155949,#77077,.T.); #190766=EDGE_CURVE('',#155950,#155948,#77078,.T.); #190767=EDGE_CURVE('',#155951,#155949,#77079,.T.); #190768=EDGE_CURVE('',#155950,#155951,#77080,.T.); #190769=EDGE_CURVE('',#155952,#155950,#77081,.T.); #190770=EDGE_CURVE('',#155953,#155951,#77082,.T.); #190771=EDGE_CURVE('',#155952,#155953,#77083,.T.); #190772=EDGE_CURVE('',#155954,#155952,#67065,.T.); #190773=EDGE_CURVE('',#155955,#155953,#67066,.T.); #190774=EDGE_CURVE('',#155954,#155955,#77084,.T.); #190775=EDGE_CURVE('',#155956,#155954,#77085,.T.); #190776=EDGE_CURVE('',#155957,#155955,#77086,.T.); #190777=EDGE_CURVE('',#155956,#155957,#77087,.T.); #190778=EDGE_CURVE('',#155958,#155956,#67067,.T.); #190779=EDGE_CURVE('',#155959,#155957,#67068,.T.); #190780=EDGE_CURVE('',#155958,#155959,#77088,.T.); #190781=EDGE_CURVE('',#155960,#155958,#77089,.T.); #190782=EDGE_CURVE('',#155961,#155959,#77090,.T.); #190783=EDGE_CURVE('',#155960,#155961,#77091,.T.); #190784=EDGE_CURVE('',#155962,#155960,#67069,.T.); #190785=EDGE_CURVE('',#155963,#155961,#67070,.T.); #190786=EDGE_CURVE('',#155962,#155963,#77092,.T.); #190787=EDGE_CURVE('',#155964,#155962,#67071,.T.); #190788=EDGE_CURVE('',#155965,#155963,#67072,.T.); #190789=EDGE_CURVE('',#155964,#155965,#77093,.T.); #190790=EDGE_CURVE('',#155966,#155964,#77094,.T.); #190791=EDGE_CURVE('',#155967,#155965,#77095,.T.); #190792=EDGE_CURVE('',#155966,#155967,#77096,.T.); #190793=EDGE_CURVE('',#155968,#155966,#77097,.T.); #190794=EDGE_CURVE('',#155969,#155967,#77098,.T.); #190795=EDGE_CURVE('',#155968,#155969,#77099,.T.); #190796=EDGE_CURVE('',#155970,#155968,#77100,.T.); #190797=EDGE_CURVE('',#155971,#155969,#77101,.T.); #190798=EDGE_CURVE('',#155970,#155971,#77102,.T.); #190799=EDGE_CURVE('',#155972,#155970,#67073,.T.); #190800=EDGE_CURVE('',#155973,#155971,#67074,.T.); #190801=EDGE_CURVE('',#155972,#155973,#77103,.T.); #190802=EDGE_CURVE('',#155974,#155972,#77104,.T.); #190803=EDGE_CURVE('',#155975,#155973,#77105,.T.); #190804=EDGE_CURVE('',#155974,#155975,#77106,.T.); #190805=EDGE_CURVE('',#155976,#155974,#67075,.T.); #190806=EDGE_CURVE('',#155977,#155975,#67076,.T.); #190807=EDGE_CURVE('',#155976,#155977,#77107,.T.); #190808=EDGE_CURVE('',#155978,#155976,#77108,.T.); #190809=EDGE_CURVE('',#155979,#155977,#77109,.T.); #190810=EDGE_CURVE('',#155978,#155979,#77110,.T.); #190811=EDGE_CURVE('',#155980,#155978,#77111,.T.); #190812=EDGE_CURVE('',#155981,#155979,#77112,.T.); #190813=EDGE_CURVE('',#155980,#155981,#77113,.T.); #190814=EDGE_CURVE('',#155982,#155980,#77114,.T.); #190815=EDGE_CURVE('',#155983,#155981,#77115,.T.); #190816=EDGE_CURVE('',#155982,#155983,#77116,.T.); #190817=EDGE_CURVE('',#155984,#155982,#67077,.T.); #190818=EDGE_CURVE('',#155985,#155983,#67078,.T.); #190819=EDGE_CURVE('',#155984,#155985,#77117,.T.); #190820=EDGE_CURVE('',#155986,#155984,#77118,.T.); #190821=EDGE_CURVE('',#155987,#155985,#77119,.T.); #190822=EDGE_CURVE('',#155986,#155987,#77120,.T.); #190823=EDGE_CURVE('',#155988,#155986,#67079,.T.); #190824=EDGE_CURVE('',#155989,#155987,#67080,.T.); #190825=EDGE_CURVE('',#155988,#155989,#77121,.T.); #190826=EDGE_CURVE('',#155990,#155988,#77122,.T.); #190827=EDGE_CURVE('',#155991,#155989,#77123,.T.); #190828=EDGE_CURVE('',#155990,#155991,#77124,.T.); #190829=EDGE_CURVE('',#155992,#155990,#77125,.T.); #190830=EDGE_CURVE('',#155993,#155991,#77126,.T.); #190831=EDGE_CURVE('',#155992,#155993,#77127,.T.); #190832=EDGE_CURVE('',#155994,#155992,#77128,.T.); #190833=EDGE_CURVE('',#155995,#155993,#77129,.T.); #190834=EDGE_CURVE('',#155994,#155995,#77130,.T.); #190835=EDGE_CURVE('',#155996,#155994,#77131,.T.); #190836=EDGE_CURVE('',#155997,#155995,#77132,.T.); #190837=EDGE_CURVE('',#155996,#155997,#77133,.T.); #190838=EDGE_CURVE('',#155998,#155996,#77134,.T.); #190839=EDGE_CURVE('',#155999,#155997,#77135,.T.); #190840=EDGE_CURVE('',#155998,#155999,#77136,.T.); #190841=EDGE_CURVE('',#156000,#155998,#77137,.T.); #190842=EDGE_CURVE('',#156001,#155999,#77138,.T.); #190843=EDGE_CURVE('',#156000,#156001,#77139,.T.); #190844=EDGE_CURVE('',#155917,#156000,#77140,.T.); #190845=EDGE_CURVE('',#155918,#156001,#77141,.T.); #190846=EDGE_CURVE('',#156002,#156002,#67081,.T.); #190847=EDGE_CURVE('',#156002,#156003,#77142,.T.); #190848=EDGE_CURVE('',#156003,#156003,#67082,.T.); #190849=EDGE_CURVE('',#156004,#156005,#77143,.T.); #190850=EDGE_CURVE('',#156005,#156006,#77144,.T.); #190851=EDGE_CURVE('',#156007,#156006,#77145,.T.); #190852=EDGE_CURVE('',#156004,#156007,#77146,.T.); #190853=EDGE_CURVE('',#156008,#156004,#77147,.T.); #190854=EDGE_CURVE('',#156009,#156007,#77148,.T.); #190855=EDGE_CURVE('',#156008,#156009,#77149,.T.); #190856=EDGE_CURVE('',#156010,#156008,#77150,.T.); #190857=EDGE_CURVE('',#156011,#156009,#77151,.T.); #190858=EDGE_CURVE('',#156010,#156011,#77152,.T.); #190859=EDGE_CURVE('',#156012,#156010,#77153,.T.); #190860=EDGE_CURVE('',#156013,#156011,#77154,.T.); #190861=EDGE_CURVE('',#156012,#156013,#77155,.T.); #190862=EDGE_CURVE('',#156014,#156012,#67083,.T.); #190863=EDGE_CURVE('',#156015,#156013,#67084,.T.); #190864=EDGE_CURVE('',#156014,#156015,#77156,.T.); #190865=EDGE_CURVE('',#156016,#156014,#77157,.T.); #190866=EDGE_CURVE('',#156017,#156015,#77158,.T.); #190867=EDGE_CURVE('',#156016,#156017,#77159,.T.); #190868=EDGE_CURVE('',#156018,#156016,#67085,.T.); #190869=EDGE_CURVE('',#156019,#156017,#67086,.T.); #190870=EDGE_CURVE('',#156018,#156019,#77160,.T.); #190871=EDGE_CURVE('',#156020,#156018,#77161,.T.); #190872=EDGE_CURVE('',#156021,#156019,#77162,.T.); #190873=EDGE_CURVE('',#156020,#156021,#77163,.T.); #190874=EDGE_CURVE('',#156022,#156020,#77164,.T.); #190875=EDGE_CURVE('',#156023,#156021,#77165,.T.); #190876=EDGE_CURVE('',#156022,#156023,#77166,.T.); #190877=EDGE_CURVE('',#156024,#156022,#67087,.T.); #190878=EDGE_CURVE('',#156025,#156023,#67088,.T.); #190879=EDGE_CURVE('',#156024,#156025,#77167,.T.); #190880=EDGE_CURVE('',#156026,#156024,#77168,.T.); #190881=EDGE_CURVE('',#156027,#156025,#77169,.T.); #190882=EDGE_CURVE('',#156026,#156027,#77170,.T.); #190883=EDGE_CURVE('',#156028,#156026,#67089,.T.); #190884=EDGE_CURVE('',#156029,#156027,#67090,.T.); #190885=EDGE_CURVE('',#156028,#156029,#77171,.T.); #190886=EDGE_CURVE('',#156030,#156028,#67091,.T.); #190887=EDGE_CURVE('',#156031,#156029,#67092,.T.); #190888=EDGE_CURVE('',#156030,#156031,#77172,.T.); #190889=EDGE_CURVE('',#156032,#156030,#77173,.T.); #190890=EDGE_CURVE('',#156033,#156031,#77174,.T.); #190891=EDGE_CURVE('',#156032,#156033,#77175,.T.); #190892=EDGE_CURVE('',#156034,#156032,#77176,.T.); #190893=EDGE_CURVE('',#156035,#156033,#77177,.T.); #190894=EDGE_CURVE('',#156034,#156035,#77178,.T.); #190895=EDGE_CURVE('',#156036,#156034,#67093,.T.); #190896=EDGE_CURVE('',#156037,#156035,#67094,.T.); #190897=EDGE_CURVE('',#156036,#156037,#77179,.T.); #190898=EDGE_CURVE('',#156038,#156036,#77180,.T.); #190899=EDGE_CURVE('',#156039,#156037,#77181,.T.); #190900=EDGE_CURVE('',#156038,#156039,#77182,.T.); #190901=EDGE_CURVE('',#156040,#156038,#77183,.T.); #190902=EDGE_CURVE('',#156041,#156039,#77184,.T.); #190903=EDGE_CURVE('',#156040,#156041,#77185,.T.); #190904=EDGE_CURVE('',#156042,#156040,#77186,.T.); #190905=EDGE_CURVE('',#156043,#156041,#77187,.T.); #190906=EDGE_CURVE('',#156042,#156043,#77188,.T.); #190907=EDGE_CURVE('',#156044,#156042,#77189,.T.); #190908=EDGE_CURVE('',#156045,#156043,#77190,.T.); #190909=EDGE_CURVE('',#156044,#156045,#77191,.T.); #190910=EDGE_CURVE('',#156005,#156044,#77192,.T.); #190911=EDGE_CURVE('',#156006,#156045,#77193,.T.); #190912=EDGE_CURVE('',#156046,#156047,#77194,.T.); #190913=EDGE_CURVE('',#156047,#156048,#77195,.T.); #190914=EDGE_CURVE('',#156049,#156048,#77196,.T.); #190915=EDGE_CURVE('',#156046,#156049,#77197,.T.); #190916=EDGE_CURVE('',#156050,#156046,#77198,.T.); #190917=EDGE_CURVE('',#156051,#156049,#77199,.T.); #190918=EDGE_CURVE('',#156050,#156051,#77200,.T.); #190919=EDGE_CURVE('',#156052,#156050,#77201,.T.); #190920=EDGE_CURVE('',#156053,#156051,#77202,.T.); #190921=EDGE_CURVE('',#156052,#156053,#77203,.T.); #190922=EDGE_CURVE('',#156054,#156052,#77204,.T.); #190923=EDGE_CURVE('',#156055,#156053,#77205,.T.); #190924=EDGE_CURVE('',#156054,#156055,#77206,.T.); #190925=EDGE_CURVE('',#156056,#156054,#77207,.T.); #190926=EDGE_CURVE('',#156057,#156055,#77208,.T.); #190927=EDGE_CURVE('',#156056,#156057,#77209,.T.); #190928=EDGE_CURVE('',#156058,#156056,#77210,.T.); #190929=EDGE_CURVE('',#156059,#156057,#77211,.T.); #190930=EDGE_CURVE('',#156058,#156059,#77212,.T.); #190931=EDGE_CURVE('',#156060,#156058,#77213,.T.); #190932=EDGE_CURVE('',#156061,#156059,#77214,.T.); #190933=EDGE_CURVE('',#156060,#156061,#77215,.T.); #190934=EDGE_CURVE('',#156062,#156060,#77216,.T.); #190935=EDGE_CURVE('',#156063,#156061,#77217,.T.); #190936=EDGE_CURVE('',#156062,#156063,#77218,.T.); #190937=EDGE_CURVE('',#156064,#156062,#77219,.T.); #190938=EDGE_CURVE('',#156065,#156063,#77220,.T.); #190939=EDGE_CURVE('',#156064,#156065,#77221,.T.); #190940=EDGE_CURVE('',#156066,#156064,#77222,.T.); #190941=EDGE_CURVE('',#156067,#156065,#77223,.T.); #190942=EDGE_CURVE('',#156066,#156067,#77224,.T.); #190943=EDGE_CURVE('',#156068,#156066,#77225,.T.); #190944=EDGE_CURVE('',#156069,#156067,#77226,.T.); #190945=EDGE_CURVE('',#156068,#156069,#77227,.T.); #190946=EDGE_CURVE('',#156047,#156068,#77228,.T.); #190947=EDGE_CURVE('',#156048,#156069,#77229,.T.); #190948=EDGE_CURVE('',#156070,#156071,#77230,.T.); #190949=EDGE_CURVE('',#156071,#156072,#77231,.T.); #190950=EDGE_CURVE('',#156073,#156072,#77232,.T.); #190951=EDGE_CURVE('',#156070,#156073,#77233,.T.); #190952=EDGE_CURVE('',#156074,#156070,#77234,.T.); #190953=EDGE_CURVE('',#156075,#156073,#77235,.T.); #190954=EDGE_CURVE('',#156074,#156075,#77236,.T.); #190955=EDGE_CURVE('',#156076,#156074,#77237,.T.); #190956=EDGE_CURVE('',#156077,#156075,#77238,.T.); #190957=EDGE_CURVE('',#156076,#156077,#77239,.T.); #190958=EDGE_CURVE('',#156078,#156076,#77240,.T.); #190959=EDGE_CURVE('',#156079,#156077,#77241,.T.); #190960=EDGE_CURVE('',#156078,#156079,#77242,.T.); #190961=EDGE_CURVE('',#156080,#156078,#77243,.T.); #190962=EDGE_CURVE('',#156081,#156079,#77244,.T.); #190963=EDGE_CURVE('',#156080,#156081,#77245,.T.); #190964=EDGE_CURVE('',#156082,#156080,#77246,.T.); #190965=EDGE_CURVE('',#156083,#156081,#77247,.T.); #190966=EDGE_CURVE('',#156082,#156083,#77248,.T.); #190967=EDGE_CURVE('',#156084,#156082,#77249,.T.); #190968=EDGE_CURVE('',#156085,#156083,#77250,.T.); #190969=EDGE_CURVE('',#156084,#156085,#77251,.T.); #190970=EDGE_CURVE('',#156086,#156084,#77252,.T.); #190971=EDGE_CURVE('',#156087,#156085,#77253,.T.); #190972=EDGE_CURVE('',#156086,#156087,#77254,.T.); #190973=EDGE_CURVE('',#156088,#156086,#77255,.T.); #190974=EDGE_CURVE('',#156089,#156087,#77256,.T.); #190975=EDGE_CURVE('',#156088,#156089,#77257,.T.); #190976=EDGE_CURVE('',#156090,#156088,#77258,.T.); #190977=EDGE_CURVE('',#156091,#156089,#77259,.T.); #190978=EDGE_CURVE('',#156090,#156091,#77260,.T.); #190979=EDGE_CURVE('',#156092,#156090,#77261,.T.); #190980=EDGE_CURVE('',#156093,#156091,#77262,.T.); #190981=EDGE_CURVE('',#156092,#156093,#77263,.T.); #190982=EDGE_CURVE('',#156071,#156092,#77264,.T.); #190983=EDGE_CURVE('',#156072,#156093,#77265,.T.); #190984=EDGE_CURVE('',#156094,#156094,#67095,.T.); #190985=EDGE_CURVE('',#156094,#156095,#77266,.T.); #190986=EDGE_CURVE('',#156095,#156095,#67096,.T.); #190987=EDGE_CURVE('',#156096,#156097,#77267,.T.); #190988=EDGE_CURVE('',#156097,#156098,#77268,.T.); #190989=EDGE_CURVE('',#156099,#156098,#77269,.T.); #190990=EDGE_CURVE('',#156096,#156099,#77270,.T.); #190991=EDGE_CURVE('',#156100,#156096,#77271,.T.); #190992=EDGE_CURVE('',#156101,#156099,#77272,.T.); #190993=EDGE_CURVE('',#156100,#156101,#77273,.T.); #190994=EDGE_CURVE('',#156102,#156100,#77274,.T.); #190995=EDGE_CURVE('',#156103,#156101,#77275,.T.); #190996=EDGE_CURVE('',#156102,#156103,#77276,.T.); #190997=EDGE_CURVE('',#156104,#156102,#77277,.T.); #190998=EDGE_CURVE('',#156105,#156103,#77278,.T.); #190999=EDGE_CURVE('',#156104,#156105,#77279,.T.); #191000=EDGE_CURVE('',#156106,#156104,#67097,.T.); #191001=EDGE_CURVE('',#156107,#156105,#67098,.T.); #191002=EDGE_CURVE('',#156106,#156107,#77280,.T.); #191003=EDGE_CURVE('',#156108,#156106,#77281,.T.); #191004=EDGE_CURVE('',#156109,#156107,#77282,.T.); #191005=EDGE_CURVE('',#156108,#156109,#77283,.T.); #191006=EDGE_CURVE('',#156110,#156108,#67099,.T.); #191007=EDGE_CURVE('',#156111,#156109,#67100,.T.); #191008=EDGE_CURVE('',#156110,#156111,#77284,.T.); #191009=EDGE_CURVE('',#156112,#156110,#77285,.T.); #191010=EDGE_CURVE('',#156113,#156111,#77286,.T.); #191011=EDGE_CURVE('',#156112,#156113,#77287,.T.); #191012=EDGE_CURVE('',#156114,#156112,#67101,.T.); #191013=EDGE_CURVE('',#156115,#156113,#67102,.T.); #191014=EDGE_CURVE('',#156114,#156115,#77288,.T.); #191015=EDGE_CURVE('',#156116,#156114,#77289,.T.); #191016=EDGE_CURVE('',#156117,#156115,#77290,.T.); #191017=EDGE_CURVE('',#156116,#156117,#77291,.T.); #191018=EDGE_CURVE('',#156118,#156116,#67103,.T.); #191019=EDGE_CURVE('',#156119,#156117,#67104,.T.); #191020=EDGE_CURVE('',#156118,#156119,#77292,.T.); #191021=EDGE_CURVE('',#156120,#156118,#67105,.T.); #191022=EDGE_CURVE('',#156121,#156119,#67106,.T.); #191023=EDGE_CURVE('',#156120,#156121,#77293,.T.); #191024=EDGE_CURVE('',#156122,#156120,#77294,.T.); #191025=EDGE_CURVE('',#156123,#156121,#77295,.T.); #191026=EDGE_CURVE('',#156122,#156123,#77296,.T.); #191027=EDGE_CURVE('',#156124,#156122,#77297,.T.); #191028=EDGE_CURVE('',#156125,#156123,#77298,.T.); #191029=EDGE_CURVE('',#156124,#156125,#77299,.T.); #191030=EDGE_CURVE('',#156126,#156124,#77300,.T.); #191031=EDGE_CURVE('',#156127,#156125,#77301,.T.); #191032=EDGE_CURVE('',#156126,#156127,#77302,.T.); #191033=EDGE_CURVE('',#156128,#156126,#77303,.T.); #191034=EDGE_CURVE('',#156129,#156127,#77304,.T.); #191035=EDGE_CURVE('',#156128,#156129,#77305,.T.); #191036=EDGE_CURVE('',#156097,#156128,#77306,.T.); #191037=EDGE_CURVE('',#156098,#156129,#77307,.T.); #191038=EDGE_CURVE('',#156130,#156130,#67107,.T.); #191039=EDGE_CURVE('',#156130,#156131,#77308,.T.); #191040=EDGE_CURVE('',#156131,#156131,#67108,.T.); #191041=EDGE_CURVE('',#156132,#156133,#77309,.T.); #191042=EDGE_CURVE('',#156133,#156134,#77310,.T.); #191043=EDGE_CURVE('',#156135,#156134,#77311,.T.); #191044=EDGE_CURVE('',#156132,#156135,#77312,.T.); #191045=EDGE_CURVE('',#156136,#156132,#67109,.T.); #191046=EDGE_CURVE('',#156137,#156135,#67110,.T.); #191047=EDGE_CURVE('',#156136,#156137,#77313,.T.); #191048=EDGE_CURVE('',#156138,#156136,#77314,.T.); #191049=EDGE_CURVE('',#156139,#156137,#77315,.T.); #191050=EDGE_CURVE('',#156138,#156139,#77316,.T.); #191051=EDGE_CURVE('',#156140,#156138,#67111,.T.); #191052=EDGE_CURVE('',#156141,#156139,#67112,.T.); #191053=EDGE_CURVE('',#156140,#156141,#77317,.T.); #191054=EDGE_CURVE('',#156142,#156140,#77318,.T.); #191055=EDGE_CURVE('',#156143,#156141,#77319,.T.); #191056=EDGE_CURVE('',#156142,#156143,#77320,.T.); #191057=EDGE_CURVE('',#156144,#156142,#67113,.T.); #191058=EDGE_CURVE('',#156145,#156143,#67114,.T.); #191059=EDGE_CURVE('',#156144,#156145,#77321,.T.); #191060=EDGE_CURVE('',#156146,#156144,#77322,.T.); #191061=EDGE_CURVE('',#156147,#156145,#77323,.T.); #191062=EDGE_CURVE('',#156146,#156147,#77324,.T.); #191063=EDGE_CURVE('',#156148,#156146,#77325,.T.); #191064=EDGE_CURVE('',#156149,#156147,#77326,.T.); #191065=EDGE_CURVE('',#156148,#156149,#77327,.T.); #191066=EDGE_CURVE('',#156150,#156148,#77328,.T.); #191067=EDGE_CURVE('',#156151,#156149,#77329,.T.); #191068=EDGE_CURVE('',#156150,#156151,#77330,.T.); #191069=EDGE_CURVE('',#156152,#156150,#77331,.T.); #191070=EDGE_CURVE('',#156153,#156151,#77332,.T.); #191071=EDGE_CURVE('',#156152,#156153,#77333,.T.); #191072=EDGE_CURVE('',#156154,#156152,#77334,.T.); #191073=EDGE_CURVE('',#156155,#156153,#77335,.T.); #191074=EDGE_CURVE('',#156154,#156155,#77336,.T.); #191075=EDGE_CURVE('',#156156,#156154,#77337,.T.); #191076=EDGE_CURVE('',#156157,#156155,#77338,.T.); #191077=EDGE_CURVE('',#156156,#156157,#77339,.T.); #191078=EDGE_CURVE('',#156158,#156156,#77340,.T.); #191079=EDGE_CURVE('',#156159,#156157,#77341,.T.); #191080=EDGE_CURVE('',#156158,#156159,#77342,.T.); #191081=EDGE_CURVE('',#156160,#156158,#77343,.T.); #191082=EDGE_CURVE('',#156161,#156159,#77344,.T.); #191083=EDGE_CURVE('',#156160,#156161,#77345,.T.); #191084=EDGE_CURVE('',#156162,#156160,#77346,.T.); #191085=EDGE_CURVE('',#156163,#156161,#77347,.T.); #191086=EDGE_CURVE('',#156162,#156163,#77348,.T.); #191087=EDGE_CURVE('',#156164,#156162,#67115,.T.); #191088=EDGE_CURVE('',#156165,#156163,#67116,.T.); #191089=EDGE_CURVE('',#156164,#156165,#77349,.T.); #191090=EDGE_CURVE('',#156166,#156164,#77350,.T.); #191091=EDGE_CURVE('',#156167,#156165,#77351,.T.); #191092=EDGE_CURVE('',#156166,#156167,#77352,.T.); #191093=EDGE_CURVE('',#156168,#156166,#67117,.T.); #191094=EDGE_CURVE('',#156169,#156167,#67118,.T.); #191095=EDGE_CURVE('',#156168,#156169,#77353,.T.); #191096=EDGE_CURVE('',#156170,#156168,#77354,.T.); #191097=EDGE_CURVE('',#156171,#156169,#77355,.T.); #191098=EDGE_CURVE('',#156170,#156171,#77356,.T.); #191099=EDGE_CURVE('',#156172,#156170,#77357,.T.); #191100=EDGE_CURVE('',#156173,#156171,#77358,.T.); #191101=EDGE_CURVE('',#156172,#156173,#77359,.T.); #191102=EDGE_CURVE('',#156174,#156172,#77360,.T.); #191103=EDGE_CURVE('',#156175,#156173,#77361,.T.); #191104=EDGE_CURVE('',#156174,#156175,#77362,.T.); #191105=EDGE_CURVE('',#156133,#156174,#67119,.T.); #191106=EDGE_CURVE('',#156134,#156175,#67120,.T.); #191107=EDGE_CURVE('',#156176,#156176,#67121,.T.); #191108=EDGE_CURVE('',#156176,#156177,#77363,.T.); #191109=EDGE_CURVE('',#156177,#156177,#67122,.T.); #191110=EDGE_CURVE('',#156178,#156179,#77364,.T.); #191111=EDGE_CURVE('',#156179,#156180,#77365,.T.); #191112=EDGE_CURVE('',#156181,#156180,#77366,.T.); #191113=EDGE_CURVE('',#156178,#156181,#77367,.T.); #191114=EDGE_CURVE('',#156182,#156178,#67123,.T.); #191115=EDGE_CURVE('',#156183,#156181,#67124,.T.); #191116=EDGE_CURVE('',#156182,#156183,#77368,.T.); #191117=EDGE_CURVE('',#156184,#156182,#67125,.T.); #191118=EDGE_CURVE('',#156185,#156183,#67126,.T.); #191119=EDGE_CURVE('',#156184,#156185,#77369,.T.); #191120=EDGE_CURVE('',#156186,#156184,#77370,.T.); #191121=EDGE_CURVE('',#156187,#156185,#77371,.T.); #191122=EDGE_CURVE('',#156186,#156187,#77372,.T.); #191123=EDGE_CURVE('',#156188,#156186,#77373,.T.); #191124=EDGE_CURVE('',#156189,#156187,#77374,.T.); #191125=EDGE_CURVE('',#156188,#156189,#77375,.T.); #191126=EDGE_CURVE('',#156190,#156188,#77376,.T.); #191127=EDGE_CURVE('',#156191,#156189,#77377,.T.); #191128=EDGE_CURVE('',#156190,#156191,#77378,.T.); #191129=EDGE_CURVE('',#156192,#156190,#77379,.T.); #191130=EDGE_CURVE('',#156193,#156191,#77380,.T.); #191131=EDGE_CURVE('',#156192,#156193,#77381,.T.); #191132=EDGE_CURVE('',#156194,#156192,#77382,.T.); #191133=EDGE_CURVE('',#156195,#156193,#77383,.T.); #191134=EDGE_CURVE('',#156194,#156195,#77384,.T.); #191135=EDGE_CURVE('',#156196,#156194,#77385,.T.); #191136=EDGE_CURVE('',#156197,#156195,#77386,.T.); #191137=EDGE_CURVE('',#156196,#156197,#77387,.T.); #191138=EDGE_CURVE('',#156198,#156196,#67127,.T.); #191139=EDGE_CURVE('',#156199,#156197,#67128,.T.); #191140=EDGE_CURVE('',#156198,#156199,#77388,.T.); #191141=EDGE_CURVE('',#156200,#156198,#77389,.T.); #191142=EDGE_CURVE('',#156201,#156199,#77390,.T.); #191143=EDGE_CURVE('',#156200,#156201,#77391,.T.); #191144=EDGE_CURVE('',#156179,#156200,#67129,.T.); #191145=EDGE_CURVE('',#156180,#156201,#67130,.T.); #191146=EDGE_CURVE('',#156202,#156203,#77392,.T.); #191147=EDGE_CURVE('',#156203,#156204,#77393,.T.); #191148=EDGE_CURVE('',#156205,#156204,#77394,.T.); #191149=EDGE_CURVE('',#156202,#156205,#77395,.T.); #191150=EDGE_CURVE('',#156206,#156202,#77396,.T.); #191151=EDGE_CURVE('',#156207,#156205,#77397,.T.); #191152=EDGE_CURVE('',#156206,#156207,#77398,.T.); #191153=EDGE_CURVE('',#156208,#156206,#77399,.T.); #191154=EDGE_CURVE('',#156209,#156207,#77400,.T.); #191155=EDGE_CURVE('',#156208,#156209,#77401,.T.); #191156=EDGE_CURVE('',#156210,#156208,#77402,.T.); #191157=EDGE_CURVE('',#156211,#156209,#77403,.T.); #191158=EDGE_CURVE('',#156210,#156211,#77404,.T.); #191159=EDGE_CURVE('',#156212,#156210,#67131,.T.); #191160=EDGE_CURVE('',#156213,#156211,#67132,.T.); #191161=EDGE_CURVE('',#156212,#156213,#77405,.T.); #191162=EDGE_CURVE('',#156214,#156212,#77406,.T.); #191163=EDGE_CURVE('',#156215,#156213,#77407,.T.); #191164=EDGE_CURVE('',#156214,#156215,#77408,.T.); #191165=EDGE_CURVE('',#156216,#156214,#67133,.T.); #191166=EDGE_CURVE('',#156217,#156215,#67134,.T.); #191167=EDGE_CURVE('',#156216,#156217,#77409,.T.); #191168=EDGE_CURVE('',#156218,#156216,#77410,.T.); #191169=EDGE_CURVE('',#156219,#156217,#77411,.T.); #191170=EDGE_CURVE('',#156218,#156219,#77412,.T.); #191171=EDGE_CURVE('',#156220,#156218,#77413,.T.); #191172=EDGE_CURVE('',#156221,#156219,#77414,.T.); #191173=EDGE_CURVE('',#156220,#156221,#77415,.T.); #191174=EDGE_CURVE('',#156222,#156220,#77416,.T.); #191175=EDGE_CURVE('',#156223,#156221,#77417,.T.); #191176=EDGE_CURVE('',#156222,#156223,#77418,.T.); #191177=EDGE_CURVE('',#156224,#156222,#67135,.T.); #191178=EDGE_CURVE('',#156225,#156223,#67136,.T.); #191179=EDGE_CURVE('',#156224,#156225,#77419,.T.); #191180=EDGE_CURVE('',#156226,#156224,#77420,.T.); #191181=EDGE_CURVE('',#156227,#156225,#77421,.T.); #191182=EDGE_CURVE('',#156226,#156227,#77422,.T.); #191183=EDGE_CURVE('',#156228,#156226,#67137,.T.); #191184=EDGE_CURVE('',#156229,#156227,#67138,.T.); #191185=EDGE_CURVE('',#156228,#156229,#77423,.T.); #191186=EDGE_CURVE('',#156230,#156228,#77424,.T.); #191187=EDGE_CURVE('',#156231,#156229,#77425,.T.); #191188=EDGE_CURVE('',#156230,#156231,#77426,.T.); #191189=EDGE_CURVE('',#156232,#156230,#77427,.T.); #191190=EDGE_CURVE('',#156233,#156231,#77428,.T.); #191191=EDGE_CURVE('',#156232,#156233,#77429,.T.); #191192=EDGE_CURVE('',#156234,#156232,#77430,.T.); #191193=EDGE_CURVE('',#156235,#156233,#77431,.T.); #191194=EDGE_CURVE('',#156234,#156235,#77432,.T.); #191195=EDGE_CURVE('',#156236,#156234,#77433,.T.); #191196=EDGE_CURVE('',#156237,#156235,#77434,.T.); #191197=EDGE_CURVE('',#156236,#156237,#77435,.T.); #191198=EDGE_CURVE('',#156238,#156236,#77436,.T.); #191199=EDGE_CURVE('',#156239,#156237,#77437,.T.); #191200=EDGE_CURVE('',#156238,#156239,#77438,.T.); #191201=EDGE_CURVE('',#156240,#156238,#77439,.T.); #191202=EDGE_CURVE('',#156241,#156239,#77440,.T.); #191203=EDGE_CURVE('',#156240,#156241,#77441,.T.); #191204=EDGE_CURVE('',#156242,#156240,#77442,.T.); #191205=EDGE_CURVE('',#156243,#156241,#77443,.T.); #191206=EDGE_CURVE('',#156242,#156243,#77444,.T.); #191207=EDGE_CURVE('',#156244,#156242,#77445,.T.); #191208=EDGE_CURVE('',#156245,#156243,#77446,.T.); #191209=EDGE_CURVE('',#156244,#156245,#77447,.T.); #191210=EDGE_CURVE('',#156246,#156244,#77448,.T.); #191211=EDGE_CURVE('',#156247,#156245,#77449,.T.); #191212=EDGE_CURVE('',#156246,#156247,#77450,.T.); #191213=EDGE_CURVE('',#156248,#156246,#67139,.T.); #191214=EDGE_CURVE('',#156249,#156247,#67140,.T.); #191215=EDGE_CURVE('',#156248,#156249,#77451,.T.); #191216=EDGE_CURVE('',#156250,#156248,#77452,.T.); #191217=EDGE_CURVE('',#156251,#156249,#77453,.T.); #191218=EDGE_CURVE('',#156250,#156251,#77454,.T.); #191219=EDGE_CURVE('',#156252,#156250,#67141,.T.); #191220=EDGE_CURVE('',#156253,#156251,#67142,.T.); #191221=EDGE_CURVE('',#156252,#156253,#77455,.T.); #191222=EDGE_CURVE('',#156254,#156252,#77456,.T.); #191223=EDGE_CURVE('',#156255,#156253,#77457,.T.); #191224=EDGE_CURVE('',#156254,#156255,#77458,.T.); #191225=EDGE_CURVE('',#156256,#156254,#77459,.T.); #191226=EDGE_CURVE('',#156257,#156255,#77460,.T.); #191227=EDGE_CURVE('',#156256,#156257,#77461,.T.); #191228=EDGE_CURVE('',#156258,#156256,#77462,.T.); #191229=EDGE_CURVE('',#156259,#156257,#77463,.T.); #191230=EDGE_CURVE('',#156258,#156259,#77464,.T.); #191231=EDGE_CURVE('',#156260,#156258,#67143,.T.); #191232=EDGE_CURVE('',#156261,#156259,#67144,.T.); #191233=EDGE_CURVE('',#156260,#156261,#77465,.T.); #191234=EDGE_CURVE('',#156262,#156260,#77466,.T.); #191235=EDGE_CURVE('',#156263,#156261,#77467,.T.); #191236=EDGE_CURVE('',#156262,#156263,#77468,.T.); #191237=EDGE_CURVE('',#156264,#156262,#67145,.T.); #191238=EDGE_CURVE('',#156265,#156263,#67146,.T.); #191239=EDGE_CURVE('',#156264,#156265,#77469,.T.); #191240=EDGE_CURVE('',#156266,#156264,#77470,.T.); #191241=EDGE_CURVE('',#156267,#156265,#77471,.T.); #191242=EDGE_CURVE('',#156266,#156267,#77472,.T.); #191243=EDGE_CURVE('',#156268,#156266,#77473,.T.); #191244=EDGE_CURVE('',#156269,#156267,#77474,.T.); #191245=EDGE_CURVE('',#156268,#156269,#77475,.T.); #191246=EDGE_CURVE('',#156270,#156268,#77476,.T.); #191247=EDGE_CURVE('',#156271,#156269,#77477,.T.); #191248=EDGE_CURVE('',#156270,#156271,#77478,.T.); #191249=EDGE_CURVE('',#156272,#156270,#77479,.T.); #191250=EDGE_CURVE('',#156273,#156271,#77480,.T.); #191251=EDGE_CURVE('',#156272,#156273,#77481,.T.); #191252=EDGE_CURVE('',#156203,#156272,#77482,.T.); #191253=EDGE_CURVE('',#156204,#156273,#77483,.T.); #191254=EDGE_CURVE('',#156274,#156274,#67147,.T.); #191255=EDGE_CURVE('',#156274,#156275,#77484,.T.); #191256=EDGE_CURVE('',#156275,#156275,#67148,.T.); #191257=EDGE_CURVE('',#156276,#156277,#77485,.T.); #191258=EDGE_CURVE('',#156277,#156278,#77486,.T.); #191259=EDGE_CURVE('',#156279,#156278,#77487,.T.); #191260=EDGE_CURVE('',#156276,#156279,#77488,.T.); #191261=EDGE_CURVE('',#156280,#156276,#67149,.T.); #191262=EDGE_CURVE('',#156281,#156279,#67150,.T.); #191263=EDGE_CURVE('',#156280,#156281,#77489,.T.); #191264=EDGE_CURVE('',#156282,#156280,#77490,.T.); #191265=EDGE_CURVE('',#156283,#156281,#77491,.T.); #191266=EDGE_CURVE('',#156282,#156283,#77492,.T.); #191267=EDGE_CURVE('',#156284,#156282,#77493,.T.); #191268=EDGE_CURVE('',#156285,#156283,#77494,.T.); #191269=EDGE_CURVE('',#156284,#156285,#77495,.T.); #191270=EDGE_CURVE('',#156286,#156284,#77496,.T.); #191271=EDGE_CURVE('',#156287,#156285,#77497,.T.); #191272=EDGE_CURVE('',#156286,#156287,#77498,.T.); #191273=EDGE_CURVE('',#156288,#156286,#77499,.T.); #191274=EDGE_CURVE('',#156289,#156287,#77500,.T.); #191275=EDGE_CURVE('',#156288,#156289,#77501,.T.); #191276=EDGE_CURVE('',#156290,#156288,#77502,.T.); #191277=EDGE_CURVE('',#156291,#156289,#77503,.T.); #191278=EDGE_CURVE('',#156290,#156291,#77504,.T.); #191279=EDGE_CURVE('',#156292,#156290,#77505,.T.); #191280=EDGE_CURVE('',#156293,#156291,#77506,.T.); #191281=EDGE_CURVE('',#156292,#156293,#77507,.T.); #191282=EDGE_CURVE('',#156294,#156292,#77508,.T.); #191283=EDGE_CURVE('',#156295,#156293,#77509,.T.); #191284=EDGE_CURVE('',#156294,#156295,#77510,.T.); #191285=EDGE_CURVE('',#156277,#156294,#67151,.T.); #191286=EDGE_CURVE('',#156278,#156295,#67152,.T.); #191287=EDGE_CURVE('',#156296,#156296,#67153,.T.); #191288=EDGE_CURVE('',#156296,#156297,#77511,.T.); #191289=EDGE_CURVE('',#156297,#156297,#67154,.T.); #191290=EDGE_CURVE('',#156298,#156298,#67155,.T.); #191291=EDGE_CURVE('',#156298,#156299,#77512,.T.); #191292=EDGE_CURVE('',#156299,#156299,#67156,.T.); #191293=EDGE_CURVE('',#156300,#156301,#77513,.T.); #191294=EDGE_CURVE('',#156301,#156302,#77514,.T.); #191295=EDGE_CURVE('',#156303,#156302,#77515,.T.); #191296=EDGE_CURVE('',#156300,#156303,#77516,.T.); #191297=EDGE_CURVE('',#156304,#156300,#77517,.T.); #191298=EDGE_CURVE('',#156305,#156303,#77518,.T.); #191299=EDGE_CURVE('',#156304,#156305,#77519,.T.); #191300=EDGE_CURVE('',#156306,#156304,#67157,.T.); #191301=EDGE_CURVE('',#156307,#156305,#67158,.T.); #191302=EDGE_CURVE('',#156306,#156307,#77520,.T.); #191303=EDGE_CURVE('',#156308,#156306,#67159,.T.); #191304=EDGE_CURVE('',#156309,#156307,#67160,.T.); #191305=EDGE_CURVE('',#156308,#156309,#77521,.T.); #191306=EDGE_CURVE('',#156310,#156308,#77522,.T.); #191307=EDGE_CURVE('',#156311,#156309,#77523,.T.); #191308=EDGE_CURVE('',#156310,#156311,#77524,.T.); #191309=EDGE_CURVE('',#156312,#156310,#77525,.T.); #191310=EDGE_CURVE('',#156313,#156311,#77526,.T.); #191311=EDGE_CURVE('',#156312,#156313,#77527,.T.); #191312=EDGE_CURVE('',#156314,#156312,#67161,.T.); #191313=EDGE_CURVE('',#156315,#156313,#67162,.T.); #191314=EDGE_CURVE('',#156314,#156315,#77528,.T.); #191315=EDGE_CURVE('',#156316,#156314,#67163,.T.); #191316=EDGE_CURVE('',#156317,#156315,#67164,.T.); #191317=EDGE_CURVE('',#156316,#156317,#77529,.T.); #191318=EDGE_CURVE('',#156318,#156316,#77530,.T.); #191319=EDGE_CURVE('',#156319,#156317,#77531,.T.); #191320=EDGE_CURVE('',#156318,#156319,#77532,.T.); #191321=EDGE_CURVE('',#156320,#156318,#77533,.T.); #191322=EDGE_CURVE('',#156321,#156319,#77534,.T.); #191323=EDGE_CURVE('',#156320,#156321,#77535,.T.); #191324=EDGE_CURVE('',#156322,#156320,#77536,.T.); #191325=EDGE_CURVE('',#156323,#156321,#77537,.T.); #191326=EDGE_CURVE('',#156322,#156323,#77538,.T.); #191327=EDGE_CURVE('',#156324,#156322,#77539,.T.); #191328=EDGE_CURVE('',#156325,#156323,#77540,.T.); #191329=EDGE_CURVE('',#156324,#156325,#77541,.T.); #191330=EDGE_CURVE('',#156326,#156324,#77542,.T.); #191331=EDGE_CURVE('',#156327,#156325,#77543,.T.); #191332=EDGE_CURVE('',#156326,#156327,#77544,.T.); #191333=EDGE_CURVE('',#156328,#156326,#77545,.T.); #191334=EDGE_CURVE('',#156329,#156327,#77546,.T.); #191335=EDGE_CURVE('',#156328,#156329,#77547,.T.); #191336=EDGE_CURVE('',#156330,#156328,#77548,.T.); #191337=EDGE_CURVE('',#156331,#156329,#77549,.T.); #191338=EDGE_CURVE('',#156330,#156331,#77550,.T.); #191339=EDGE_CURVE('',#156332,#156330,#77551,.T.); #191340=EDGE_CURVE('',#156333,#156331,#77552,.T.); #191341=EDGE_CURVE('',#156332,#156333,#77553,.T.); #191342=EDGE_CURVE('',#156334,#156332,#77554,.T.); #191343=EDGE_CURVE('',#156335,#156333,#77555,.T.); #191344=EDGE_CURVE('',#156334,#156335,#77556,.T.); #191345=EDGE_CURVE('',#156336,#156334,#77557,.T.); #191346=EDGE_CURVE('',#156337,#156335,#77558,.T.); #191347=EDGE_CURVE('',#156336,#156337,#77559,.T.); #191348=EDGE_CURVE('',#156338,#156336,#67165,.T.); #191349=EDGE_CURVE('',#156339,#156337,#67166,.T.); #191350=EDGE_CURVE('',#156338,#156339,#77560,.T.); #191351=EDGE_CURVE('',#156340,#156338,#77561,.T.); #191352=EDGE_CURVE('',#156341,#156339,#77562,.T.); #191353=EDGE_CURVE('',#156340,#156341,#77563,.T.); #191354=EDGE_CURVE('',#156301,#156340,#67167,.T.); #191355=EDGE_CURVE('',#156302,#156341,#67168,.T.); #191356=EDGE_CURVE('',#156342,#156343,#77564,.T.); #191357=EDGE_CURVE('',#156343,#156344,#77565,.T.); #191358=EDGE_CURVE('',#156345,#156344,#77566,.T.); #191359=EDGE_CURVE('',#156342,#156345,#77567,.T.); #191360=EDGE_CURVE('',#156346,#156342,#77568,.T.); #191361=EDGE_CURVE('',#156347,#156345,#77569,.T.); #191362=EDGE_CURVE('',#156346,#156347,#77570,.T.); #191363=EDGE_CURVE('',#156348,#156346,#77571,.T.); #191364=EDGE_CURVE('',#156349,#156347,#77572,.T.); #191365=EDGE_CURVE('',#156348,#156349,#77573,.T.); #191366=EDGE_CURVE('',#156350,#156348,#77574,.T.); #191367=EDGE_CURVE('',#156351,#156349,#77575,.T.); #191368=EDGE_CURVE('',#156350,#156351,#77576,.T.); #191369=EDGE_CURVE('',#156352,#156350,#67169,.T.); #191370=EDGE_CURVE('',#156353,#156351,#67170,.T.); #191371=EDGE_CURVE('',#156352,#156353,#77577,.T.); #191372=EDGE_CURVE('',#156354,#156352,#77578,.T.); #191373=EDGE_CURVE('',#156355,#156353,#77579,.T.); #191374=EDGE_CURVE('',#156354,#156355,#77580,.T.); #191375=EDGE_CURVE('',#156356,#156354,#77581,.T.); #191376=EDGE_CURVE('',#156357,#156355,#77582,.T.); #191377=EDGE_CURVE('',#156356,#156357,#77583,.T.); #191378=EDGE_CURVE('',#156358,#156356,#77584,.T.); #191379=EDGE_CURVE('',#156359,#156357,#77585,.T.); #191380=EDGE_CURVE('',#156358,#156359,#77586,.T.); #191381=EDGE_CURVE('',#156360,#156358,#77587,.T.); #191382=EDGE_CURVE('',#156361,#156359,#77588,.T.); #191383=EDGE_CURVE('',#156360,#156361,#77589,.T.); #191384=EDGE_CURVE('',#156362,#156360,#77590,.T.); #191385=EDGE_CURVE('',#156363,#156361,#77591,.T.); #191386=EDGE_CURVE('',#156362,#156363,#77592,.T.); #191387=EDGE_CURVE('',#156364,#156362,#77593,.T.); #191388=EDGE_CURVE('',#156365,#156363,#77594,.T.); #191389=EDGE_CURVE('',#156364,#156365,#77595,.T.); #191390=EDGE_CURVE('',#156366,#156364,#77596,.T.); #191391=EDGE_CURVE('',#156367,#156365,#77597,.T.); #191392=EDGE_CURVE('',#156366,#156367,#77598,.T.); #191393=EDGE_CURVE('',#156368,#156366,#77599,.T.); #191394=EDGE_CURVE('',#156369,#156367,#77600,.T.); #191395=EDGE_CURVE('',#156368,#156369,#77601,.T.); #191396=EDGE_CURVE('',#156343,#156368,#77602,.T.); #191397=EDGE_CURVE('',#156344,#156369,#77603,.T.); #191398=EDGE_CURVE('',#156370,#156370,#67171,.T.); #191399=EDGE_CURVE('',#156370,#156371,#77604,.T.); #191400=EDGE_CURVE('',#156371,#156371,#67172,.T.); #191401=EDGE_CURVE('',#156372,#156372,#67173,.T.); #191402=EDGE_CURVE('',#156372,#156373,#77605,.T.); #191403=EDGE_CURVE('',#156373,#156373,#67174,.T.); #191404=EDGE_CURVE('',#156374,#156374,#67175,.T.); #191405=EDGE_CURVE('',#156374,#156375,#77606,.T.); #191406=EDGE_CURVE('',#156375,#156375,#67176,.T.); #191407=EDGE_CURVE('',#156376,#156376,#67177,.T.); #191408=EDGE_CURVE('',#156376,#156377,#77607,.T.); #191409=EDGE_CURVE('',#156377,#156377,#67178,.T.); #191410=EDGE_CURVE('',#156378,#156378,#67179,.T.); #191411=EDGE_CURVE('',#156378,#156379,#77608,.T.); #191412=EDGE_CURVE('',#156379,#156379,#67180,.T.); #191413=EDGE_CURVE('',#156380,#156380,#67181,.T.); #191414=EDGE_CURVE('',#156380,#156381,#77609,.T.); #191415=EDGE_CURVE('',#156381,#156381,#67182,.T.); #191416=EDGE_CURVE('',#156382,#156382,#67183,.T.); #191417=EDGE_CURVE('',#156382,#156383,#77610,.T.); #191418=EDGE_CURVE('',#156383,#156383,#67184,.T.); #191419=EDGE_CURVE('',#156384,#156384,#67185,.T.); #191420=EDGE_CURVE('',#156384,#156385,#77611,.T.); #191421=EDGE_CURVE('',#156385,#156385,#67186,.T.); #191422=EDGE_CURVE('',#156386,#156386,#67187,.T.); #191423=EDGE_CURVE('',#156386,#156387,#77612,.T.); #191424=EDGE_CURVE('',#156387,#156387,#67188,.T.); #191425=EDGE_CURVE('',#156388,#156388,#67189,.T.); #191426=EDGE_CURVE('',#156388,#156389,#77613,.T.); #191427=EDGE_CURVE('',#156389,#156389,#67190,.T.); #191428=EDGE_CURVE('',#156390,#156390,#67191,.T.); #191429=EDGE_CURVE('',#156390,#156391,#77614,.T.); #191430=EDGE_CURVE('',#156391,#156391,#67192,.T.); #191431=EDGE_CURVE('',#156392,#156393,#77615,.T.); #191432=EDGE_CURVE('',#156393,#156394,#77616,.T.); #191433=EDGE_CURVE('',#156395,#156394,#77617,.T.); #191434=EDGE_CURVE('',#156392,#156395,#77618,.T.); #191435=EDGE_CURVE('',#156396,#156392,#77619,.T.); #191436=EDGE_CURVE('',#156397,#156395,#77620,.T.); #191437=EDGE_CURVE('',#156396,#156397,#77621,.T.); #191438=EDGE_CURVE('',#156398,#156396,#77622,.T.); #191439=EDGE_CURVE('',#156399,#156397,#77623,.T.); #191440=EDGE_CURVE('',#156398,#156399,#77624,.T.); #191441=EDGE_CURVE('',#156400,#156398,#77625,.T.); #191442=EDGE_CURVE('',#156401,#156399,#77626,.T.); #191443=EDGE_CURVE('',#156400,#156401,#77627,.T.); #191444=EDGE_CURVE('',#156402,#156400,#67193,.T.); #191445=EDGE_CURVE('',#156403,#156401,#67194,.T.); #191446=EDGE_CURVE('',#156402,#156403,#77628,.T.); #191447=EDGE_CURVE('',#156404,#156402,#77629,.T.); #191448=EDGE_CURVE('',#156405,#156403,#77630,.T.); #191449=EDGE_CURVE('',#156404,#156405,#77631,.T.); #191450=EDGE_CURVE('',#156406,#156404,#67195,.T.); #191451=EDGE_CURVE('',#156407,#156405,#67196,.T.); #191452=EDGE_CURVE('',#156406,#156407,#77632,.T.); #191453=EDGE_CURVE('',#156408,#156406,#77633,.T.); #191454=EDGE_CURVE('',#156409,#156407,#77634,.T.); #191455=EDGE_CURVE('',#156408,#156409,#77635,.T.); #191456=EDGE_CURVE('',#156410,#156408,#77636,.T.); #191457=EDGE_CURVE('',#156411,#156409,#77637,.T.); #191458=EDGE_CURVE('',#156410,#156411,#77638,.T.); #191459=EDGE_CURVE('',#156412,#156410,#77639,.T.); #191460=EDGE_CURVE('',#156413,#156411,#77640,.T.); #191461=EDGE_CURVE('',#156412,#156413,#77641,.T.); #191462=EDGE_CURVE('',#156414,#156412,#77642,.T.); #191463=EDGE_CURVE('',#156415,#156413,#77643,.T.); #191464=EDGE_CURVE('',#156414,#156415,#77644,.T.); #191465=EDGE_CURVE('',#156416,#156414,#77645,.T.); #191466=EDGE_CURVE('',#156417,#156415,#77646,.T.); #191467=EDGE_CURVE('',#156416,#156417,#77647,.T.); #191468=EDGE_CURVE('',#156418,#156416,#77648,.T.); #191469=EDGE_CURVE('',#156419,#156417,#77649,.T.); #191470=EDGE_CURVE('',#156418,#156419,#77650,.T.); #191471=EDGE_CURVE('',#156420,#156418,#77651,.T.); #191472=EDGE_CURVE('',#156421,#156419,#77652,.T.); #191473=EDGE_CURVE('',#156420,#156421,#77653,.T.); #191474=EDGE_CURVE('',#156422,#156420,#77654,.T.); #191475=EDGE_CURVE('',#156423,#156421,#77655,.T.); #191476=EDGE_CURVE('',#156422,#156423,#77656,.T.); #191477=EDGE_CURVE('',#156424,#156422,#77657,.T.); #191478=EDGE_CURVE('',#156425,#156423,#77658,.T.); #191479=EDGE_CURVE('',#156424,#156425,#77659,.T.); #191480=EDGE_CURVE('',#156426,#156424,#77660,.T.); #191481=EDGE_CURVE('',#156427,#156425,#77661,.T.); #191482=EDGE_CURVE('',#156426,#156427,#77662,.T.); #191483=EDGE_CURVE('',#156428,#156426,#77663,.T.); #191484=EDGE_CURVE('',#156429,#156427,#77664,.T.); #191485=EDGE_CURVE('',#156428,#156429,#77665,.T.); #191486=EDGE_CURVE('',#156430,#156428,#77666,.T.); #191487=EDGE_CURVE('',#156431,#156429,#77667,.T.); #191488=EDGE_CURVE('',#156430,#156431,#77668,.T.); #191489=EDGE_CURVE('',#156432,#156430,#77669,.T.); #191490=EDGE_CURVE('',#156433,#156431,#77670,.T.); #191491=EDGE_CURVE('',#156432,#156433,#77671,.T.); #191492=EDGE_CURVE('',#156434,#156432,#77672,.T.); #191493=EDGE_CURVE('',#156435,#156433,#77673,.T.); #191494=EDGE_CURVE('',#156434,#156435,#77674,.T.); #191495=EDGE_CURVE('',#156436,#156434,#77675,.T.); #191496=EDGE_CURVE('',#156437,#156435,#77676,.T.); #191497=EDGE_CURVE('',#156436,#156437,#77677,.T.); #191498=EDGE_CURVE('',#156438,#156436,#77678,.T.); #191499=EDGE_CURVE('',#156439,#156437,#77679,.T.); #191500=EDGE_CURVE('',#156438,#156439,#77680,.T.); #191501=EDGE_CURVE('',#156440,#156438,#77681,.T.); #191502=EDGE_CURVE('',#156441,#156439,#77682,.T.); #191503=EDGE_CURVE('',#156440,#156441,#77683,.T.); #191504=EDGE_CURVE('',#156442,#156440,#77684,.T.); #191505=EDGE_CURVE('',#156443,#156441,#77685,.T.); #191506=EDGE_CURVE('',#156442,#156443,#77686,.T.); #191507=EDGE_CURVE('',#156444,#156442,#77687,.T.); #191508=EDGE_CURVE('',#156445,#156443,#77688,.T.); #191509=EDGE_CURVE('',#156444,#156445,#77689,.T.); #191510=EDGE_CURVE('',#156446,#156444,#77690,.T.); #191511=EDGE_CURVE('',#156447,#156445,#77691,.T.); #191512=EDGE_CURVE('',#156446,#156447,#77692,.T.); #191513=EDGE_CURVE('',#156448,#156446,#77693,.T.); #191514=EDGE_CURVE('',#156449,#156447,#77694,.T.); #191515=EDGE_CURVE('',#156448,#156449,#77695,.T.); #191516=EDGE_CURVE('',#156450,#156448,#77696,.T.); #191517=EDGE_CURVE('',#156451,#156449,#77697,.T.); #191518=EDGE_CURVE('',#156450,#156451,#77698,.T.); #191519=EDGE_CURVE('',#156452,#156450,#77699,.T.); #191520=EDGE_CURVE('',#156453,#156451,#77700,.T.); #191521=EDGE_CURVE('',#156452,#156453,#77701,.T.); #191522=EDGE_CURVE('',#156454,#156452,#77702,.T.); #191523=EDGE_CURVE('',#156455,#156453,#77703,.T.); #191524=EDGE_CURVE('',#156454,#156455,#77704,.T.); #191525=EDGE_CURVE('',#156456,#156454,#77705,.T.); #191526=EDGE_CURVE('',#156457,#156455,#77706,.T.); #191527=EDGE_CURVE('',#156456,#156457,#77707,.T.); #191528=EDGE_CURVE('',#156458,#156456,#77708,.T.); #191529=EDGE_CURVE('',#156459,#156457,#77709,.T.); #191530=EDGE_CURVE('',#156458,#156459,#77710,.T.); #191531=EDGE_CURVE('',#156460,#156458,#77711,.T.); #191532=EDGE_CURVE('',#156461,#156459,#77712,.T.); #191533=EDGE_CURVE('',#156460,#156461,#77713,.T.); #191534=EDGE_CURVE('',#156462,#156460,#77714,.T.); #191535=EDGE_CURVE('',#156463,#156461,#77715,.T.); #191536=EDGE_CURVE('',#156462,#156463,#77716,.T.); #191537=EDGE_CURVE('',#156464,#156462,#77717,.T.); #191538=EDGE_CURVE('',#156465,#156463,#77718,.T.); #191539=EDGE_CURVE('',#156464,#156465,#77719,.T.); #191540=EDGE_CURVE('',#156466,#156464,#77720,.T.); #191541=EDGE_CURVE('',#156467,#156465,#77721,.T.); #191542=EDGE_CURVE('',#156466,#156467,#77722,.T.); #191543=EDGE_CURVE('',#156468,#156466,#77723,.T.); #191544=EDGE_CURVE('',#156469,#156467,#77724,.T.); #191545=EDGE_CURVE('',#156468,#156469,#77725,.T.); #191546=EDGE_CURVE('',#156470,#156468,#77726,.T.); #191547=EDGE_CURVE('',#156471,#156469,#77727,.T.); #191548=EDGE_CURVE('',#156470,#156471,#77728,.T.); #191549=EDGE_CURVE('',#156472,#156470,#77729,.T.); #191550=EDGE_CURVE('',#156473,#156471,#77730,.T.); #191551=EDGE_CURVE('',#156472,#156473,#77731,.T.); #191552=EDGE_CURVE('',#156474,#156472,#77732,.T.); #191553=EDGE_CURVE('',#156475,#156473,#77733,.T.); #191554=EDGE_CURVE('',#156474,#156475,#77734,.T.); #191555=EDGE_CURVE('',#156476,#156474,#77735,.T.); #191556=EDGE_CURVE('',#156477,#156475,#77736,.T.); #191557=EDGE_CURVE('',#156476,#156477,#77737,.T.); #191558=EDGE_CURVE('',#156478,#156476,#77738,.T.); #191559=EDGE_CURVE('',#156479,#156477,#77739,.T.); #191560=EDGE_CURVE('',#156478,#156479,#77740,.T.); #191561=EDGE_CURVE('',#156480,#156478,#77741,.T.); #191562=EDGE_CURVE('',#156481,#156479,#77742,.T.); #191563=EDGE_CURVE('',#156480,#156481,#77743,.T.); #191564=EDGE_CURVE('',#156482,#156480,#77744,.T.); #191565=EDGE_CURVE('',#156483,#156481,#77745,.T.); #191566=EDGE_CURVE('',#156482,#156483,#77746,.T.); #191567=EDGE_CURVE('',#156484,#156482,#77747,.T.); #191568=EDGE_CURVE('',#156485,#156483,#77748,.T.); #191569=EDGE_CURVE('',#156484,#156485,#77749,.T.); #191570=EDGE_CURVE('',#156486,#156484,#77750,.T.); #191571=EDGE_CURVE('',#156487,#156485,#77751,.T.); #191572=EDGE_CURVE('',#156486,#156487,#77752,.T.); #191573=EDGE_CURVE('',#156488,#156486,#77753,.T.); #191574=EDGE_CURVE('',#156489,#156487,#77754,.T.); #191575=EDGE_CURVE('',#156488,#156489,#77755,.T.); #191576=EDGE_CURVE('',#156490,#156488,#77756,.T.); #191577=EDGE_CURVE('',#156491,#156489,#77757,.T.); #191578=EDGE_CURVE('',#156490,#156491,#77758,.T.); #191579=EDGE_CURVE('',#156492,#156490,#77759,.T.); #191580=EDGE_CURVE('',#156493,#156491,#77760,.T.); #191581=EDGE_CURVE('',#156492,#156493,#77761,.T.); #191582=EDGE_CURVE('',#156494,#156492,#77762,.T.); #191583=EDGE_CURVE('',#156495,#156493,#77763,.T.); #191584=EDGE_CURVE('',#156494,#156495,#77764,.T.); #191585=EDGE_CURVE('',#156496,#156494,#77765,.T.); #191586=EDGE_CURVE('',#156497,#156495,#77766,.T.); #191587=EDGE_CURVE('',#156496,#156497,#77767,.T.); #191588=EDGE_CURVE('',#156498,#156496,#77768,.T.); #191589=EDGE_CURVE('',#156499,#156497,#77769,.T.); #191590=EDGE_CURVE('',#156498,#156499,#77770,.T.); #191591=EDGE_CURVE('',#156500,#156498,#77771,.T.); #191592=EDGE_CURVE('',#156501,#156499,#77772,.T.); #191593=EDGE_CURVE('',#156500,#156501,#77773,.T.); #191594=EDGE_CURVE('',#156502,#156500,#77774,.T.); #191595=EDGE_CURVE('',#156503,#156501,#77775,.T.); #191596=EDGE_CURVE('',#156502,#156503,#77776,.T.); #191597=EDGE_CURVE('',#156504,#156502,#77777,.T.); #191598=EDGE_CURVE('',#156505,#156503,#77778,.T.); #191599=EDGE_CURVE('',#156504,#156505,#77779,.T.); #191600=EDGE_CURVE('',#156506,#156504,#77780,.T.); #191601=EDGE_CURVE('',#156507,#156505,#77781,.T.); #191602=EDGE_CURVE('',#156506,#156507,#77782,.T.); #191603=EDGE_CURVE('',#156508,#156506,#77783,.T.); #191604=EDGE_CURVE('',#156509,#156507,#77784,.T.); #191605=EDGE_CURVE('',#156508,#156509,#77785,.T.); #191606=EDGE_CURVE('',#156510,#156508,#77786,.T.); #191607=EDGE_CURVE('',#156511,#156509,#77787,.T.); #191608=EDGE_CURVE('',#156510,#156511,#77788,.T.); #191609=EDGE_CURVE('',#156512,#156510,#77789,.T.); #191610=EDGE_CURVE('',#156513,#156511,#77790,.T.); #191611=EDGE_CURVE('',#156512,#156513,#77791,.T.); #191612=EDGE_CURVE('',#156514,#156512,#77792,.T.); #191613=EDGE_CURVE('',#156515,#156513,#77793,.T.); #191614=EDGE_CURVE('',#156514,#156515,#77794,.T.); #191615=EDGE_CURVE('',#156516,#156514,#77795,.T.); #191616=EDGE_CURVE('',#156517,#156515,#77796,.T.); #191617=EDGE_CURVE('',#156516,#156517,#77797,.T.); #191618=EDGE_CURVE('',#156518,#156516,#77798,.T.); #191619=EDGE_CURVE('',#156519,#156517,#77799,.T.); #191620=EDGE_CURVE('',#156518,#156519,#77800,.T.); #191621=EDGE_CURVE('',#156520,#156518,#77801,.T.); #191622=EDGE_CURVE('',#156521,#156519,#77802,.T.); #191623=EDGE_CURVE('',#156520,#156521,#77803,.T.); #191624=EDGE_CURVE('',#156522,#156520,#77804,.T.); #191625=EDGE_CURVE('',#156523,#156521,#77805,.T.); #191626=EDGE_CURVE('',#156522,#156523,#77806,.T.); #191627=EDGE_CURVE('',#156524,#156522,#77807,.T.); #191628=EDGE_CURVE('',#156525,#156523,#77808,.T.); #191629=EDGE_CURVE('',#156524,#156525,#77809,.T.); #191630=EDGE_CURVE('',#156526,#156524,#77810,.T.); #191631=EDGE_CURVE('',#156527,#156525,#77811,.T.); #191632=EDGE_CURVE('',#156526,#156527,#77812,.T.); #191633=EDGE_CURVE('',#156528,#156526,#77813,.T.); #191634=EDGE_CURVE('',#156529,#156527,#77814,.T.); #191635=EDGE_CURVE('',#156528,#156529,#77815,.T.); #191636=EDGE_CURVE('',#156530,#156528,#77816,.T.); #191637=EDGE_CURVE('',#156531,#156529,#77817,.T.); #191638=EDGE_CURVE('',#156530,#156531,#77818,.T.); #191639=EDGE_CURVE('',#156532,#156530,#77819,.T.); #191640=EDGE_CURVE('',#156533,#156531,#77820,.T.); #191641=EDGE_CURVE('',#156532,#156533,#77821,.T.); #191642=EDGE_CURVE('',#156534,#156532,#77822,.T.); #191643=EDGE_CURVE('',#156535,#156533,#77823,.T.); #191644=EDGE_CURVE('',#156534,#156535,#77824,.T.); #191645=EDGE_CURVE('',#156536,#156534,#77825,.T.); #191646=EDGE_CURVE('',#156537,#156535,#77826,.T.); #191647=EDGE_CURVE('',#156536,#156537,#77827,.T.); #191648=EDGE_CURVE('',#156538,#156536,#77828,.T.); #191649=EDGE_CURVE('',#156539,#156537,#77829,.T.); #191650=EDGE_CURVE('',#156538,#156539,#77830,.T.); #191651=EDGE_CURVE('',#156540,#156538,#77831,.T.); #191652=EDGE_CURVE('',#156541,#156539,#77832,.T.); #191653=EDGE_CURVE('',#156540,#156541,#77833,.T.); #191654=EDGE_CURVE('',#156542,#156540,#77834,.T.); #191655=EDGE_CURVE('',#156543,#156541,#77835,.T.); #191656=EDGE_CURVE('',#156542,#156543,#77836,.T.); #191657=EDGE_CURVE('',#156544,#156542,#77837,.T.); #191658=EDGE_CURVE('',#156545,#156543,#77838,.T.); #191659=EDGE_CURVE('',#156544,#156545,#77839,.T.); #191660=EDGE_CURVE('',#156546,#156544,#77840,.T.); #191661=EDGE_CURVE('',#156547,#156545,#77841,.T.); #191662=EDGE_CURVE('',#156546,#156547,#77842,.T.); #191663=EDGE_CURVE('',#156548,#156546,#77843,.T.); #191664=EDGE_CURVE('',#156549,#156547,#77844,.T.); #191665=EDGE_CURVE('',#156548,#156549,#77845,.T.); #191666=EDGE_CURVE('',#156550,#156548,#77846,.T.); #191667=EDGE_CURVE('',#156551,#156549,#77847,.T.); #191668=EDGE_CURVE('',#156550,#156551,#77848,.T.); #191669=EDGE_CURVE('',#156552,#156550,#77849,.T.); #191670=EDGE_CURVE('',#156553,#156551,#77850,.T.); #191671=EDGE_CURVE('',#156552,#156553,#77851,.T.); #191672=EDGE_CURVE('',#156554,#156552,#77852,.T.); #191673=EDGE_CURVE('',#156555,#156553,#77853,.T.); #191674=EDGE_CURVE('',#156554,#156555,#77854,.T.); #191675=EDGE_CURVE('',#156556,#156554,#77855,.T.); #191676=EDGE_CURVE('',#156557,#156555,#77856,.T.); #191677=EDGE_CURVE('',#156556,#156557,#77857,.T.); #191678=EDGE_CURVE('',#156558,#156556,#77858,.T.); #191679=EDGE_CURVE('',#156559,#156557,#77859,.T.); #191680=EDGE_CURVE('',#156558,#156559,#77860,.T.); #191681=EDGE_CURVE('',#156560,#156558,#77861,.T.); #191682=EDGE_CURVE('',#156561,#156559,#77862,.T.); #191683=EDGE_CURVE('',#156560,#156561,#77863,.T.); #191684=EDGE_CURVE('',#156562,#156560,#77864,.T.); #191685=EDGE_CURVE('',#156563,#156561,#77865,.T.); #191686=EDGE_CURVE('',#156562,#156563,#77866,.T.); #191687=EDGE_CURVE('',#156564,#156562,#77867,.T.); #191688=EDGE_CURVE('',#156565,#156563,#77868,.T.); #191689=EDGE_CURVE('',#156564,#156565,#77869,.T.); #191690=EDGE_CURVE('',#156566,#156564,#77870,.T.); #191691=EDGE_CURVE('',#156567,#156565,#77871,.T.); #191692=EDGE_CURVE('',#156566,#156567,#77872,.T.); #191693=EDGE_CURVE('',#156568,#156566,#77873,.T.); #191694=EDGE_CURVE('',#156569,#156567,#77874,.T.); #191695=EDGE_CURVE('',#156568,#156569,#77875,.T.); #191696=EDGE_CURVE('',#156570,#156568,#77876,.T.); #191697=EDGE_CURVE('',#156571,#156569,#77877,.T.); #191698=EDGE_CURVE('',#156570,#156571,#77878,.T.); #191699=EDGE_CURVE('',#156572,#156570,#77879,.T.); #191700=EDGE_CURVE('',#156573,#156571,#77880,.T.); #191701=EDGE_CURVE('',#156572,#156573,#77881,.T.); #191702=EDGE_CURVE('',#156574,#156572,#77882,.T.); #191703=EDGE_CURVE('',#156575,#156573,#77883,.T.); #191704=EDGE_CURVE('',#156574,#156575,#77884,.T.); #191705=EDGE_CURVE('',#156576,#156574,#77885,.T.); #191706=EDGE_CURVE('',#156577,#156575,#77886,.T.); #191707=EDGE_CURVE('',#156576,#156577,#77887,.T.); #191708=EDGE_CURVE('',#156578,#156576,#77888,.T.); #191709=EDGE_CURVE('',#156579,#156577,#77889,.T.); #191710=EDGE_CURVE('',#156578,#156579,#77890,.T.); #191711=EDGE_CURVE('',#156580,#156578,#77891,.T.); #191712=EDGE_CURVE('',#156581,#156579,#77892,.T.); #191713=EDGE_CURVE('',#156580,#156581,#77893,.T.); #191714=EDGE_CURVE('',#156582,#156580,#77894,.T.); #191715=EDGE_CURVE('',#156583,#156581,#77895,.T.); #191716=EDGE_CURVE('',#156582,#156583,#77896,.T.); #191717=EDGE_CURVE('',#156584,#156582,#77897,.T.); #191718=EDGE_CURVE('',#156585,#156583,#77898,.T.); #191719=EDGE_CURVE('',#156584,#156585,#77899,.T.); #191720=EDGE_CURVE('',#156586,#156584,#77900,.T.); #191721=EDGE_CURVE('',#156587,#156585,#77901,.T.); #191722=EDGE_CURVE('',#156586,#156587,#77902,.T.); #191723=EDGE_CURVE('',#156588,#156586,#77903,.T.); #191724=EDGE_CURVE('',#156589,#156587,#77904,.T.); #191725=EDGE_CURVE('',#156588,#156589,#77905,.T.); #191726=EDGE_CURVE('',#156590,#156588,#77906,.T.); #191727=EDGE_CURVE('',#156591,#156589,#77907,.T.); #191728=EDGE_CURVE('',#156590,#156591,#77908,.T.); #191729=EDGE_CURVE('',#156592,#156590,#77909,.T.); #191730=EDGE_CURVE('',#156593,#156591,#77910,.T.); #191731=EDGE_CURVE('',#156592,#156593,#77911,.T.); #191732=EDGE_CURVE('',#156594,#156592,#77912,.T.); #191733=EDGE_CURVE('',#156595,#156593,#77913,.T.); #191734=EDGE_CURVE('',#156594,#156595,#77914,.T.); #191735=EDGE_CURVE('',#156596,#156594,#77915,.T.); #191736=EDGE_CURVE('',#156597,#156595,#77916,.T.); #191737=EDGE_CURVE('',#156596,#156597,#77917,.T.); #191738=EDGE_CURVE('',#156598,#156596,#77918,.T.); #191739=EDGE_CURVE('',#156599,#156597,#77919,.T.); #191740=EDGE_CURVE('',#156598,#156599,#77920,.T.); #191741=EDGE_CURVE('',#156600,#156598,#77921,.T.); #191742=EDGE_CURVE('',#156601,#156599,#77922,.T.); #191743=EDGE_CURVE('',#156600,#156601,#77923,.T.); #191744=EDGE_CURVE('',#156602,#156600,#77924,.T.); #191745=EDGE_CURVE('',#156603,#156601,#77925,.T.); #191746=EDGE_CURVE('',#156602,#156603,#77926,.T.); #191747=EDGE_CURVE('',#156604,#156602,#77927,.T.); #191748=EDGE_CURVE('',#156605,#156603,#77928,.T.); #191749=EDGE_CURVE('',#156604,#156605,#77929,.T.); #191750=EDGE_CURVE('',#156606,#156604,#77930,.T.); #191751=EDGE_CURVE('',#156607,#156605,#77931,.T.); #191752=EDGE_CURVE('',#156606,#156607,#77932,.T.); #191753=EDGE_CURVE('',#156608,#156606,#77933,.T.); #191754=EDGE_CURVE('',#156609,#156607,#77934,.T.); #191755=EDGE_CURVE('',#156608,#156609,#77935,.T.); #191756=EDGE_CURVE('',#156610,#156608,#77936,.T.); #191757=EDGE_CURVE('',#156611,#156609,#77937,.T.); #191758=EDGE_CURVE('',#156610,#156611,#77938,.T.); #191759=EDGE_CURVE('',#156612,#156610,#77939,.T.); #191760=EDGE_CURVE('',#156613,#156611,#77940,.T.); #191761=EDGE_CURVE('',#156612,#156613,#77941,.T.); #191762=EDGE_CURVE('',#156614,#156612,#77942,.T.); #191763=EDGE_CURVE('',#156615,#156613,#77943,.T.); #191764=EDGE_CURVE('',#156614,#156615,#77944,.T.); #191765=EDGE_CURVE('',#156616,#156614,#77945,.T.); #191766=EDGE_CURVE('',#156617,#156615,#77946,.T.); #191767=EDGE_CURVE('',#156616,#156617,#77947,.T.); #191768=EDGE_CURVE('',#156618,#156616,#77948,.T.); #191769=EDGE_CURVE('',#156619,#156617,#77949,.T.); #191770=EDGE_CURVE('',#156618,#156619,#77950,.T.); #191771=EDGE_CURVE('',#156620,#156618,#77951,.T.); #191772=EDGE_CURVE('',#156621,#156619,#77952,.T.); #191773=EDGE_CURVE('',#156620,#156621,#77953,.T.); #191774=EDGE_CURVE('',#156622,#156620,#77954,.T.); #191775=EDGE_CURVE('',#156623,#156621,#77955,.T.); #191776=EDGE_CURVE('',#156622,#156623,#77956,.T.); #191777=EDGE_CURVE('',#156624,#156622,#77957,.T.); #191778=EDGE_CURVE('',#156625,#156623,#77958,.T.); #191779=EDGE_CURVE('',#156624,#156625,#77959,.T.); #191780=EDGE_CURVE('',#156626,#156624,#77960,.T.); #191781=EDGE_CURVE('',#156627,#156625,#77961,.T.); #191782=EDGE_CURVE('',#156626,#156627,#77962,.T.); #191783=EDGE_CURVE('',#156628,#156626,#77963,.T.); #191784=EDGE_CURVE('',#156629,#156627,#77964,.T.); #191785=EDGE_CURVE('',#156628,#156629,#77965,.T.); #191786=EDGE_CURVE('',#156630,#156628,#77966,.T.); #191787=EDGE_CURVE('',#156631,#156629,#77967,.T.); #191788=EDGE_CURVE('',#156630,#156631,#77968,.T.); #191789=EDGE_CURVE('',#156632,#156630,#77969,.T.); #191790=EDGE_CURVE('',#156633,#156631,#77970,.T.); #191791=EDGE_CURVE('',#156632,#156633,#77971,.T.); #191792=EDGE_CURVE('',#156634,#156632,#77972,.T.); #191793=EDGE_CURVE('',#156635,#156633,#77973,.T.); #191794=EDGE_CURVE('',#156634,#156635,#77974,.T.); #191795=EDGE_CURVE('',#156636,#156634,#77975,.T.); #191796=EDGE_CURVE('',#156637,#156635,#77976,.T.); #191797=EDGE_CURVE('',#156636,#156637,#77977,.T.); #191798=EDGE_CURVE('',#156638,#156636,#77978,.T.); #191799=EDGE_CURVE('',#156639,#156637,#77979,.T.); #191800=EDGE_CURVE('',#156638,#156639,#77980,.T.); #191801=EDGE_CURVE('',#156640,#156638,#77981,.T.); #191802=EDGE_CURVE('',#156641,#156639,#77982,.T.); #191803=EDGE_CURVE('',#156640,#156641,#77983,.T.); #191804=EDGE_CURVE('',#156642,#156640,#77984,.T.); #191805=EDGE_CURVE('',#156643,#156641,#77985,.T.); #191806=EDGE_CURVE('',#156642,#156643,#77986,.T.); #191807=EDGE_CURVE('',#156644,#156642,#67197,.T.); #191808=EDGE_CURVE('',#156645,#156643,#67198,.T.); #191809=EDGE_CURVE('',#156644,#156645,#77987,.T.); #191810=EDGE_CURVE('',#156646,#156644,#77988,.T.); #191811=EDGE_CURVE('',#156647,#156645,#77989,.T.); #191812=EDGE_CURVE('',#156646,#156647,#77990,.T.); #191813=EDGE_CURVE('',#156648,#156646,#67199,.T.); #191814=EDGE_CURVE('',#156649,#156647,#67200,.T.); #191815=EDGE_CURVE('',#156648,#156649,#77991,.T.); #191816=EDGE_CURVE('',#156650,#156648,#77992,.T.); #191817=EDGE_CURVE('',#156651,#156649,#77993,.T.); #191818=EDGE_CURVE('',#156650,#156651,#77994,.T.); #191819=EDGE_CURVE('',#156652,#156650,#77995,.T.); #191820=EDGE_CURVE('',#156653,#156651,#77996,.T.); #191821=EDGE_CURVE('',#156652,#156653,#77997,.T.); #191822=EDGE_CURVE('',#156654,#156652,#77998,.T.); #191823=EDGE_CURVE('',#156655,#156653,#77999,.T.); #191824=EDGE_CURVE('',#156654,#156655,#78000,.T.); #191825=EDGE_CURVE('',#156656,#156654,#78001,.T.); #191826=EDGE_CURVE('',#156657,#156655,#78002,.T.); #191827=EDGE_CURVE('',#156656,#156657,#78003,.T.); #191828=EDGE_CURVE('',#156393,#156656,#78004,.T.); #191829=EDGE_CURVE('',#156394,#156657,#78005,.T.); #191830=EDGE_CURVE('',#156658,#156658,#67201,.T.); #191831=EDGE_CURVE('',#156658,#156659,#78006,.T.); #191832=EDGE_CURVE('',#156659,#156659,#67202,.T.); #191833=EDGE_CURVE('',#156660,#156661,#78007,.T.); #191834=EDGE_CURVE('',#156661,#156662,#78008,.T.); #191835=EDGE_CURVE('',#156663,#156662,#78009,.T.); #191836=EDGE_CURVE('',#156660,#156663,#78010,.T.); #191837=EDGE_CURVE('',#156664,#156660,#67203,.T.); #191838=EDGE_CURVE('',#156665,#156663,#67204,.T.); #191839=EDGE_CURVE('',#156664,#156665,#78011,.T.); #191840=EDGE_CURVE('',#156661,#156664,#67205,.T.); #191841=EDGE_CURVE('',#156662,#156665,#67206,.T.); #191842=EDGE_CURVE('',#156666,#156667,#78012,.T.); #191843=EDGE_CURVE('',#156667,#156668,#78013,.T.); #191844=EDGE_CURVE('',#156669,#156668,#78014,.T.); #191845=EDGE_CURVE('',#156666,#156669,#78015,.T.); #191846=EDGE_CURVE('',#156670,#156666,#78016,.T.); #191847=EDGE_CURVE('',#156671,#156669,#78017,.T.); #191848=EDGE_CURVE('',#156670,#156671,#78018,.T.); #191849=EDGE_CURVE('',#156672,#156670,#78019,.T.); #191850=EDGE_CURVE('',#156673,#156671,#78020,.T.); #191851=EDGE_CURVE('',#156672,#156673,#78021,.T.); #191852=EDGE_CURVE('',#156674,#156672,#78022,.T.); #191853=EDGE_CURVE('',#156675,#156673,#78023,.T.); #191854=EDGE_CURVE('',#156674,#156675,#78024,.T.); #191855=EDGE_CURVE('',#156676,#156674,#78025,.T.); #191856=EDGE_CURVE('',#156677,#156675,#78026,.T.); #191857=EDGE_CURVE('',#156676,#156677,#78027,.T.); #191858=EDGE_CURVE('',#156678,#156676,#78028,.T.); #191859=EDGE_CURVE('',#156679,#156677,#78029,.T.); #191860=EDGE_CURVE('',#156678,#156679,#78030,.T.); #191861=EDGE_CURVE('',#156680,#156678,#78031,.T.); #191862=EDGE_CURVE('',#156681,#156679,#78032,.T.); #191863=EDGE_CURVE('',#156680,#156681,#78033,.T.); #191864=EDGE_CURVE('',#156682,#156680,#78034,.T.); #191865=EDGE_CURVE('',#156683,#156681,#78035,.T.); #191866=EDGE_CURVE('',#156682,#156683,#78036,.T.); #191867=EDGE_CURVE('',#156684,#156682,#78037,.T.); #191868=EDGE_CURVE('',#156685,#156683,#78038,.T.); #191869=EDGE_CURVE('',#156684,#156685,#78039,.T.); #191870=EDGE_CURVE('',#156686,#156684,#78040,.T.); #191871=EDGE_CURVE('',#156687,#156685,#78041,.T.); #191872=EDGE_CURVE('',#156686,#156687,#78042,.T.); #191873=EDGE_CURVE('',#156688,#156686,#78043,.T.); #191874=EDGE_CURVE('',#156689,#156687,#78044,.T.); #191875=EDGE_CURVE('',#156688,#156689,#78045,.T.); #191876=EDGE_CURVE('',#156690,#156688,#78046,.T.); #191877=EDGE_CURVE('',#156691,#156689,#78047,.T.); #191878=EDGE_CURVE('',#156690,#156691,#78048,.T.); #191879=EDGE_CURVE('',#156692,#156690,#78049,.T.); #191880=EDGE_CURVE('',#156693,#156691,#78050,.T.); #191881=EDGE_CURVE('',#156692,#156693,#78051,.T.); #191882=EDGE_CURVE('',#156694,#156692,#78052,.T.); #191883=EDGE_CURVE('',#156695,#156693,#78053,.T.); #191884=EDGE_CURVE('',#156694,#156695,#78054,.T.); #191885=EDGE_CURVE('',#156696,#156694,#78055,.T.); #191886=EDGE_CURVE('',#156697,#156695,#78056,.T.); #191887=EDGE_CURVE('',#156696,#156697,#78057,.T.); #191888=EDGE_CURVE('',#156698,#156696,#78058,.T.); #191889=EDGE_CURVE('',#156699,#156697,#78059,.T.); #191890=EDGE_CURVE('',#156698,#156699,#78060,.T.); #191891=EDGE_CURVE('',#156700,#156698,#78061,.T.); #191892=EDGE_CURVE('',#156701,#156699,#78062,.T.); #191893=EDGE_CURVE('',#156700,#156701,#78063,.T.); #191894=EDGE_CURVE('',#156702,#156700,#78064,.T.); #191895=EDGE_CURVE('',#156703,#156701,#78065,.T.); #191896=EDGE_CURVE('',#156702,#156703,#78066,.T.); #191897=EDGE_CURVE('',#156704,#156702,#78067,.T.); #191898=EDGE_CURVE('',#156705,#156703,#78068,.T.); #191899=EDGE_CURVE('',#156704,#156705,#78069,.T.); #191900=EDGE_CURVE('',#156667,#156704,#78070,.T.); #191901=EDGE_CURVE('',#156668,#156705,#78071,.T.); #191902=EDGE_CURVE('',#156706,#156707,#78072,.T.); #191903=EDGE_CURVE('',#156707,#156708,#78073,.T.); #191904=EDGE_CURVE('',#156709,#156708,#78074,.T.); #191905=EDGE_CURVE('',#156706,#156709,#78075,.T.); #191906=EDGE_CURVE('',#156710,#156706,#78076,.T.); #191907=EDGE_CURVE('',#156711,#156709,#78077,.T.); #191908=EDGE_CURVE('',#156710,#156711,#78078,.T.); #191909=EDGE_CURVE('',#156712,#156710,#78079,.T.); #191910=EDGE_CURVE('',#156713,#156711,#78080,.T.); #191911=EDGE_CURVE('',#156712,#156713,#78081,.T.); #191912=EDGE_CURVE('',#156714,#156712,#78082,.T.); #191913=EDGE_CURVE('',#156715,#156713,#78083,.T.); #191914=EDGE_CURVE('',#156714,#156715,#78084,.T.); #191915=EDGE_CURVE('',#156716,#156714,#78085,.T.); #191916=EDGE_CURVE('',#156717,#156715,#78086,.T.); #191917=EDGE_CURVE('',#156716,#156717,#78087,.T.); #191918=EDGE_CURVE('',#156718,#156716,#78088,.T.); #191919=EDGE_CURVE('',#156719,#156717,#78089,.T.); #191920=EDGE_CURVE('',#156718,#156719,#78090,.T.); #191921=EDGE_CURVE('',#156720,#156718,#78091,.T.); #191922=EDGE_CURVE('',#156721,#156719,#78092,.T.); #191923=EDGE_CURVE('',#156720,#156721,#78093,.T.); #191924=EDGE_CURVE('',#156722,#156720,#78094,.T.); #191925=EDGE_CURVE('',#156723,#156721,#78095,.T.); #191926=EDGE_CURVE('',#156722,#156723,#78096,.T.); #191927=EDGE_CURVE('',#156724,#156722,#78097,.T.); #191928=EDGE_CURVE('',#156725,#156723,#78098,.T.); #191929=EDGE_CURVE('',#156724,#156725,#78099,.T.); #191930=EDGE_CURVE('',#156726,#156724,#78100,.T.); #191931=EDGE_CURVE('',#156727,#156725,#78101,.T.); #191932=EDGE_CURVE('',#156726,#156727,#78102,.T.); #191933=EDGE_CURVE('',#156728,#156726,#78103,.T.); #191934=EDGE_CURVE('',#156729,#156727,#78104,.T.); #191935=EDGE_CURVE('',#156728,#156729,#78105,.T.); #191936=EDGE_CURVE('',#156730,#156728,#78106,.T.); #191937=EDGE_CURVE('',#156731,#156729,#78107,.T.); #191938=EDGE_CURVE('',#156730,#156731,#78108,.T.); #191939=EDGE_CURVE('',#156732,#156730,#78109,.T.); #191940=EDGE_CURVE('',#156733,#156731,#78110,.T.); #191941=EDGE_CURVE('',#156732,#156733,#78111,.T.); #191942=EDGE_CURVE('',#156734,#156732,#78112,.T.); #191943=EDGE_CURVE('',#156735,#156733,#78113,.T.); #191944=EDGE_CURVE('',#156734,#156735,#78114,.T.); #191945=EDGE_CURVE('',#156736,#156734,#78115,.T.); #191946=EDGE_CURVE('',#156737,#156735,#78116,.T.); #191947=EDGE_CURVE('',#156736,#156737,#78117,.T.); #191948=EDGE_CURVE('',#156738,#156736,#78118,.T.); #191949=EDGE_CURVE('',#156739,#156737,#78119,.T.); #191950=EDGE_CURVE('',#156738,#156739,#78120,.T.); #191951=EDGE_CURVE('',#156740,#156738,#78121,.T.); #191952=EDGE_CURVE('',#156741,#156739,#78122,.T.); #191953=EDGE_CURVE('',#156740,#156741,#78123,.T.); #191954=EDGE_CURVE('',#156742,#156740,#78124,.T.); #191955=EDGE_CURVE('',#156743,#156741,#78125,.T.); #191956=EDGE_CURVE('',#156742,#156743,#78126,.T.); #191957=EDGE_CURVE('',#156744,#156742,#78127,.T.); #191958=EDGE_CURVE('',#156745,#156743,#78128,.T.); #191959=EDGE_CURVE('',#156744,#156745,#78129,.T.); #191960=EDGE_CURVE('',#156746,#156744,#78130,.T.); #191961=EDGE_CURVE('',#156747,#156745,#78131,.T.); #191962=EDGE_CURVE('',#156746,#156747,#78132,.T.); #191963=EDGE_CURVE('',#156707,#156746,#78133,.T.); #191964=EDGE_CURVE('',#156708,#156747,#78134,.T.); #191965=EDGE_CURVE('',#156748,#156749,#78135,.T.); #191966=EDGE_CURVE('',#156749,#156750,#78136,.T.); #191967=EDGE_CURVE('',#156751,#156750,#78137,.T.); #191968=EDGE_CURVE('',#156748,#156751,#78138,.T.); #191969=EDGE_CURVE('',#156752,#156748,#78139,.T.); #191970=EDGE_CURVE('',#156753,#156751,#78140,.T.); #191971=EDGE_CURVE('',#156752,#156753,#78141,.T.); #191972=EDGE_CURVE('',#156754,#156752,#78142,.T.); #191973=EDGE_CURVE('',#156755,#156753,#78143,.T.); #191974=EDGE_CURVE('',#156754,#156755,#78144,.T.); #191975=EDGE_CURVE('',#156756,#156754,#78145,.T.); #191976=EDGE_CURVE('',#156757,#156755,#78146,.T.); #191977=EDGE_CURVE('',#156756,#156757,#78147,.T.); #191978=EDGE_CURVE('',#156758,#156756,#78148,.T.); #191979=EDGE_CURVE('',#156759,#156757,#78149,.T.); #191980=EDGE_CURVE('',#156758,#156759,#78150,.T.); #191981=EDGE_CURVE('',#156760,#156758,#78151,.T.); #191982=EDGE_CURVE('',#156761,#156759,#78152,.T.); #191983=EDGE_CURVE('',#156760,#156761,#78153,.T.); #191984=EDGE_CURVE('',#156762,#156760,#78154,.T.); #191985=EDGE_CURVE('',#156763,#156761,#78155,.T.); #191986=EDGE_CURVE('',#156762,#156763,#78156,.T.); #191987=EDGE_CURVE('',#156764,#156762,#78157,.T.); #191988=EDGE_CURVE('',#156765,#156763,#78158,.T.); #191989=EDGE_CURVE('',#156764,#156765,#78159,.T.); #191990=EDGE_CURVE('',#156766,#156764,#78160,.T.); #191991=EDGE_CURVE('',#156767,#156765,#78161,.T.); #191992=EDGE_CURVE('',#156766,#156767,#78162,.T.); #191993=EDGE_CURVE('',#156768,#156766,#78163,.T.); #191994=EDGE_CURVE('',#156769,#156767,#78164,.T.); #191995=EDGE_CURVE('',#156768,#156769,#78165,.T.); #191996=EDGE_CURVE('',#156770,#156768,#78166,.T.); #191997=EDGE_CURVE('',#156771,#156769,#78167,.T.); #191998=EDGE_CURVE('',#156770,#156771,#78168,.T.); #191999=EDGE_CURVE('',#156772,#156770,#78169,.T.); #192000=EDGE_CURVE('',#156773,#156771,#78170,.T.); #192001=EDGE_CURVE('',#156772,#156773,#78171,.T.); #192002=EDGE_CURVE('',#156774,#156772,#78172,.T.); #192003=EDGE_CURVE('',#156775,#156773,#78173,.T.); #192004=EDGE_CURVE('',#156774,#156775,#78174,.T.); #192005=EDGE_CURVE('',#156776,#156774,#78175,.T.); #192006=EDGE_CURVE('',#156777,#156775,#78176,.T.); #192007=EDGE_CURVE('',#156776,#156777,#78177,.T.); #192008=EDGE_CURVE('',#156778,#156776,#78178,.T.); #192009=EDGE_CURVE('',#156779,#156777,#78179,.T.); #192010=EDGE_CURVE('',#156778,#156779,#78180,.T.); #192011=EDGE_CURVE('',#156780,#156778,#78181,.T.); #192012=EDGE_CURVE('',#156781,#156779,#78182,.T.); #192013=EDGE_CURVE('',#156780,#156781,#78183,.T.); #192014=EDGE_CURVE('',#156782,#156780,#78184,.T.); #192015=EDGE_CURVE('',#156783,#156781,#78185,.T.); #192016=EDGE_CURVE('',#156782,#156783,#78186,.T.); #192017=EDGE_CURVE('',#156784,#156782,#78187,.T.); #192018=EDGE_CURVE('',#156785,#156783,#78188,.T.); #192019=EDGE_CURVE('',#156784,#156785,#78189,.T.); #192020=EDGE_CURVE('',#156786,#156784,#78190,.T.); #192021=EDGE_CURVE('',#156787,#156785,#78191,.T.); #192022=EDGE_CURVE('',#156786,#156787,#78192,.T.); #192023=EDGE_CURVE('',#156749,#156786,#78193,.T.); #192024=EDGE_CURVE('',#156750,#156787,#78194,.T.); #192025=EDGE_CURVE('',#156788,#156789,#78195,.T.); #192026=EDGE_CURVE('',#156789,#156790,#78196,.T.); #192027=EDGE_CURVE('',#156791,#156790,#78197,.T.); #192028=EDGE_CURVE('',#156788,#156791,#78198,.T.); #192029=EDGE_CURVE('',#156792,#156788,#78199,.T.); #192030=EDGE_CURVE('',#156793,#156791,#78200,.T.); #192031=EDGE_CURVE('',#156792,#156793,#78201,.T.); #192032=EDGE_CURVE('',#156794,#156792,#78202,.T.); #192033=EDGE_CURVE('',#156795,#156793,#78203,.T.); #192034=EDGE_CURVE('',#156794,#156795,#78204,.T.); #192035=EDGE_CURVE('',#156796,#156794,#78205,.T.); #192036=EDGE_CURVE('',#156797,#156795,#78206,.T.); #192037=EDGE_CURVE('',#156796,#156797,#78207,.T.); #192038=EDGE_CURVE('',#156798,#156796,#78208,.T.); #192039=EDGE_CURVE('',#156799,#156797,#78209,.T.); #192040=EDGE_CURVE('',#156798,#156799,#78210,.T.); #192041=EDGE_CURVE('',#156800,#156798,#78211,.T.); #192042=EDGE_CURVE('',#156801,#156799,#78212,.T.); #192043=EDGE_CURVE('',#156800,#156801,#78213,.T.); #192044=EDGE_CURVE('',#156802,#156800,#78214,.T.); #192045=EDGE_CURVE('',#156803,#156801,#78215,.T.); #192046=EDGE_CURVE('',#156802,#156803,#78216,.T.); #192047=EDGE_CURVE('',#156804,#156802,#78217,.T.); #192048=EDGE_CURVE('',#156805,#156803,#78218,.T.); #192049=EDGE_CURVE('',#156804,#156805,#78219,.T.); #192050=EDGE_CURVE('',#156806,#156804,#78220,.T.); #192051=EDGE_CURVE('',#156807,#156805,#78221,.T.); #192052=EDGE_CURVE('',#156806,#156807,#78222,.T.); #192053=EDGE_CURVE('',#156808,#156806,#78223,.T.); #192054=EDGE_CURVE('',#156809,#156807,#78224,.T.); #192055=EDGE_CURVE('',#156808,#156809,#78225,.T.); #192056=EDGE_CURVE('',#156810,#156808,#78226,.T.); #192057=EDGE_CURVE('',#156811,#156809,#78227,.T.); #192058=EDGE_CURVE('',#156810,#156811,#78228,.T.); #192059=EDGE_CURVE('',#156812,#156810,#78229,.T.); #192060=EDGE_CURVE('',#156813,#156811,#78230,.T.); #192061=EDGE_CURVE('',#156812,#156813,#78231,.T.); #192062=EDGE_CURVE('',#156814,#156812,#78232,.T.); #192063=EDGE_CURVE('',#156815,#156813,#78233,.T.); #192064=EDGE_CURVE('',#156814,#156815,#78234,.T.); #192065=EDGE_CURVE('',#156816,#156814,#78235,.T.); #192066=EDGE_CURVE('',#156817,#156815,#78236,.T.); #192067=EDGE_CURVE('',#156816,#156817,#78237,.T.); #192068=EDGE_CURVE('',#156818,#156816,#78238,.T.); #192069=EDGE_CURVE('',#156819,#156817,#78239,.T.); #192070=EDGE_CURVE('',#156818,#156819,#78240,.T.); #192071=EDGE_CURVE('',#156820,#156818,#78241,.T.); #192072=EDGE_CURVE('',#156821,#156819,#78242,.T.); #192073=EDGE_CURVE('',#156820,#156821,#78243,.T.); #192074=EDGE_CURVE('',#156822,#156820,#78244,.T.); #192075=EDGE_CURVE('',#156823,#156821,#78245,.T.); #192076=EDGE_CURVE('',#156822,#156823,#78246,.T.); #192077=EDGE_CURVE('',#156824,#156822,#78247,.T.); #192078=EDGE_CURVE('',#156825,#156823,#78248,.T.); #192079=EDGE_CURVE('',#156824,#156825,#78249,.T.); #192080=EDGE_CURVE('',#156826,#156824,#78250,.T.); #192081=EDGE_CURVE('',#156827,#156825,#78251,.T.); #192082=EDGE_CURVE('',#156826,#156827,#78252,.T.); #192083=EDGE_CURVE('',#156828,#156826,#78253,.T.); #192084=EDGE_CURVE('',#156829,#156827,#78254,.T.); #192085=EDGE_CURVE('',#156828,#156829,#78255,.T.); #192086=EDGE_CURVE('',#156789,#156828,#78256,.T.); #192087=EDGE_CURVE('',#156790,#156829,#78257,.T.); #192088=EDGE_CURVE('',#156830,#156830,#67207,.T.); #192089=EDGE_CURVE('',#156830,#156831,#78258,.T.); #192090=EDGE_CURVE('',#156831,#156831,#67208,.T.); #192091=EDGE_CURVE('',#156832,#156832,#67209,.T.); #192092=EDGE_CURVE('',#156832,#156833,#78259,.T.); #192093=EDGE_CURVE('',#156833,#156833,#67210,.T.); #192094=EDGE_CURVE('',#156834,#156834,#67211,.T.); #192095=EDGE_CURVE('',#156834,#156835,#78260,.T.); #192096=EDGE_CURVE('',#156835,#156835,#67212,.T.); #192097=EDGE_CURVE('',#156836,#156837,#78261,.T.); #192098=EDGE_CURVE('',#156837,#156838,#78262,.T.); #192099=EDGE_CURVE('',#156839,#156838,#78263,.T.); #192100=EDGE_CURVE('',#156836,#156839,#78264,.T.); #192101=EDGE_CURVE('',#156840,#156836,#78265,.T.); #192102=EDGE_CURVE('',#156841,#156839,#78266,.T.); #192103=EDGE_CURVE('',#156840,#156841,#78267,.T.); #192104=EDGE_CURVE('',#156842,#156840,#78268,.T.); #192105=EDGE_CURVE('',#156843,#156841,#78269,.T.); #192106=EDGE_CURVE('',#156842,#156843,#78270,.T.); #192107=EDGE_CURVE('',#156844,#156842,#78271,.T.); #192108=EDGE_CURVE('',#156845,#156843,#78272,.T.); #192109=EDGE_CURVE('',#156844,#156845,#78273,.T.); #192110=EDGE_CURVE('',#156846,#156844,#78274,.T.); #192111=EDGE_CURVE('',#156847,#156845,#78275,.T.); #192112=EDGE_CURVE('',#156846,#156847,#78276,.T.); #192113=EDGE_CURVE('',#156848,#156846,#78277,.T.); #192114=EDGE_CURVE('',#156849,#156847,#78278,.T.); #192115=EDGE_CURVE('',#156848,#156849,#78279,.T.); #192116=EDGE_CURVE('',#156850,#156848,#78280,.T.); #192117=EDGE_CURVE('',#156851,#156849,#78281,.T.); #192118=EDGE_CURVE('',#156850,#156851,#78282,.T.); #192119=EDGE_CURVE('',#156852,#156850,#78283,.T.); #192120=EDGE_CURVE('',#156853,#156851,#78284,.T.); #192121=EDGE_CURVE('',#156852,#156853,#78285,.T.); #192122=EDGE_CURVE('',#156854,#156852,#78286,.T.); #192123=EDGE_CURVE('',#156855,#156853,#78287,.T.); #192124=EDGE_CURVE('',#156854,#156855,#78288,.T.); #192125=EDGE_CURVE('',#156856,#156854,#78289,.T.); #192126=EDGE_CURVE('',#156857,#156855,#78290,.T.); #192127=EDGE_CURVE('',#156856,#156857,#78291,.T.); #192128=EDGE_CURVE('',#156858,#156856,#78292,.T.); #192129=EDGE_CURVE('',#156859,#156857,#78293,.T.); #192130=EDGE_CURVE('',#156858,#156859,#78294,.T.); #192131=EDGE_CURVE('',#156860,#156858,#78295,.T.); #192132=EDGE_CURVE('',#156861,#156859,#78296,.T.); #192133=EDGE_CURVE('',#156860,#156861,#78297,.T.); #192134=EDGE_CURVE('',#156862,#156860,#78298,.T.); #192135=EDGE_CURVE('',#156863,#156861,#78299,.T.); #192136=EDGE_CURVE('',#156862,#156863,#78300,.T.); #192137=EDGE_CURVE('',#156864,#156862,#78301,.T.); #192138=EDGE_CURVE('',#156865,#156863,#78302,.T.); #192139=EDGE_CURVE('',#156864,#156865,#78303,.T.); #192140=EDGE_CURVE('',#156866,#156864,#78304,.T.); #192141=EDGE_CURVE('',#156867,#156865,#78305,.T.); #192142=EDGE_CURVE('',#156866,#156867,#78306,.T.); #192143=EDGE_CURVE('',#156868,#156866,#78307,.T.); #192144=EDGE_CURVE('',#156869,#156867,#78308,.T.); #192145=EDGE_CURVE('',#156868,#156869,#78309,.T.); #192146=EDGE_CURVE('',#156870,#156868,#78310,.T.); #192147=EDGE_CURVE('',#156871,#156869,#78311,.T.); #192148=EDGE_CURVE('',#156870,#156871,#78312,.T.); #192149=EDGE_CURVE('',#156872,#156870,#78313,.T.); #192150=EDGE_CURVE('',#156873,#156871,#78314,.T.); #192151=EDGE_CURVE('',#156872,#156873,#78315,.T.); #192152=EDGE_CURVE('',#156874,#156872,#78316,.T.); #192153=EDGE_CURVE('',#156875,#156873,#78317,.T.); #192154=EDGE_CURVE('',#156874,#156875,#78318,.T.); #192155=EDGE_CURVE('',#156876,#156874,#78319,.T.); #192156=EDGE_CURVE('',#156877,#156875,#78320,.T.); #192157=EDGE_CURVE('',#156876,#156877,#78321,.T.); #192158=EDGE_CURVE('',#156878,#156876,#78322,.T.); #192159=EDGE_CURVE('',#156879,#156877,#78323,.T.); #192160=EDGE_CURVE('',#156878,#156879,#78324,.T.); #192161=EDGE_CURVE('',#156880,#156878,#78325,.T.); #192162=EDGE_CURVE('',#156881,#156879,#78326,.T.); #192163=EDGE_CURVE('',#156880,#156881,#78327,.T.); #192164=EDGE_CURVE('',#156882,#156880,#78328,.T.); #192165=EDGE_CURVE('',#156883,#156881,#78329,.T.); #192166=EDGE_CURVE('',#156882,#156883,#78330,.T.); #192167=EDGE_CURVE('',#156884,#156882,#78331,.T.); #192168=EDGE_CURVE('',#156885,#156883,#78332,.T.); #192169=EDGE_CURVE('',#156884,#156885,#78333,.T.); #192170=EDGE_CURVE('',#156886,#156884,#78334,.T.); #192171=EDGE_CURVE('',#156887,#156885,#78335,.T.); #192172=EDGE_CURVE('',#156886,#156887,#78336,.T.); #192173=EDGE_CURVE('',#156888,#156886,#78337,.T.); #192174=EDGE_CURVE('',#156889,#156887,#78338,.T.); #192175=EDGE_CURVE('',#156888,#156889,#78339,.T.); #192176=EDGE_CURVE('',#156890,#156888,#78340,.T.); #192177=EDGE_CURVE('',#156891,#156889,#78341,.T.); #192178=EDGE_CURVE('',#156890,#156891,#78342,.T.); #192179=EDGE_CURVE('',#156892,#156890,#78343,.T.); #192180=EDGE_CURVE('',#156893,#156891,#78344,.T.); #192181=EDGE_CURVE('',#156892,#156893,#78345,.T.); #192182=EDGE_CURVE('',#156894,#156892,#78346,.T.); #192183=EDGE_CURVE('',#156895,#156893,#78347,.T.); #192184=EDGE_CURVE('',#156894,#156895,#78348,.T.); #192185=EDGE_CURVE('',#156896,#156894,#78349,.T.); #192186=EDGE_CURVE('',#156897,#156895,#78350,.T.); #192187=EDGE_CURVE('',#156896,#156897,#78351,.T.); #192188=EDGE_CURVE('',#156898,#156896,#78352,.T.); #192189=EDGE_CURVE('',#156899,#156897,#78353,.T.); #192190=EDGE_CURVE('',#156898,#156899,#78354,.T.); #192191=EDGE_CURVE('',#156900,#156898,#78355,.T.); #192192=EDGE_CURVE('',#156901,#156899,#78356,.T.); #192193=EDGE_CURVE('',#156900,#156901,#78357,.T.); #192194=EDGE_CURVE('',#156902,#156900,#78358,.T.); #192195=EDGE_CURVE('',#156903,#156901,#78359,.T.); #192196=EDGE_CURVE('',#156902,#156903,#78360,.T.); #192197=EDGE_CURVE('',#156904,#156902,#78361,.T.); #192198=EDGE_CURVE('',#156905,#156903,#78362,.T.); #192199=EDGE_CURVE('',#156904,#156905,#78363,.T.); #192200=EDGE_CURVE('',#156906,#156904,#78364,.T.); #192201=EDGE_CURVE('',#156907,#156905,#78365,.T.); #192202=EDGE_CURVE('',#156906,#156907,#78366,.T.); #192203=EDGE_CURVE('',#156908,#156906,#78367,.T.); #192204=EDGE_CURVE('',#156909,#156907,#78368,.T.); #192205=EDGE_CURVE('',#156908,#156909,#78369,.T.); #192206=EDGE_CURVE('',#156910,#156908,#78370,.T.); #192207=EDGE_CURVE('',#156911,#156909,#78371,.T.); #192208=EDGE_CURVE('',#156910,#156911,#78372,.T.); #192209=EDGE_CURVE('',#156912,#156910,#78373,.T.); #192210=EDGE_CURVE('',#156913,#156911,#78374,.T.); #192211=EDGE_CURVE('',#156912,#156913,#78375,.T.); #192212=EDGE_CURVE('',#156914,#156912,#78376,.T.); #192213=EDGE_CURVE('',#156915,#156913,#78377,.T.); #192214=EDGE_CURVE('',#156914,#156915,#78378,.T.); #192215=EDGE_CURVE('',#156916,#156914,#78379,.T.); #192216=EDGE_CURVE('',#156917,#156915,#78380,.T.); #192217=EDGE_CURVE('',#156916,#156917,#78381,.T.); #192218=EDGE_CURVE('',#156918,#156916,#78382,.T.); #192219=EDGE_CURVE('',#156919,#156917,#78383,.T.); #192220=EDGE_CURVE('',#156918,#156919,#78384,.T.); #192221=EDGE_CURVE('',#156920,#156918,#78385,.T.); #192222=EDGE_CURVE('',#156921,#156919,#78386,.T.); #192223=EDGE_CURVE('',#156920,#156921,#78387,.T.); #192224=EDGE_CURVE('',#156922,#156920,#78388,.T.); #192225=EDGE_CURVE('',#156923,#156921,#78389,.T.); #192226=EDGE_CURVE('',#156922,#156923,#78390,.T.); #192227=EDGE_CURVE('',#156924,#156922,#78391,.T.); #192228=EDGE_CURVE('',#156925,#156923,#78392,.T.); #192229=EDGE_CURVE('',#156924,#156925,#78393,.T.); #192230=EDGE_CURVE('',#156926,#156924,#78394,.T.); #192231=EDGE_CURVE('',#156927,#156925,#78395,.T.); #192232=EDGE_CURVE('',#156926,#156927,#78396,.T.); #192233=EDGE_CURVE('',#156928,#156926,#78397,.T.); #192234=EDGE_CURVE('',#156929,#156927,#78398,.T.); #192235=EDGE_CURVE('',#156928,#156929,#78399,.T.); #192236=EDGE_CURVE('',#156930,#156928,#78400,.T.); #192237=EDGE_CURVE('',#156931,#156929,#78401,.T.); #192238=EDGE_CURVE('',#156930,#156931,#78402,.T.); #192239=EDGE_CURVE('',#156932,#156930,#78403,.T.); #192240=EDGE_CURVE('',#156933,#156931,#78404,.T.); #192241=EDGE_CURVE('',#156932,#156933,#78405,.T.); #192242=EDGE_CURVE('',#156934,#156932,#78406,.T.); #192243=EDGE_CURVE('',#156935,#156933,#78407,.T.); #192244=EDGE_CURVE('',#156934,#156935,#78408,.T.); #192245=EDGE_CURVE('',#156936,#156934,#78409,.T.); #192246=EDGE_CURVE('',#156937,#156935,#78410,.T.); #192247=EDGE_CURVE('',#156936,#156937,#78411,.T.); #192248=EDGE_CURVE('',#156938,#156936,#78412,.T.); #192249=EDGE_CURVE('',#156939,#156937,#78413,.T.); #192250=EDGE_CURVE('',#156938,#156939,#78414,.T.); #192251=EDGE_CURVE('',#156940,#156938,#78415,.T.); #192252=EDGE_CURVE('',#156941,#156939,#78416,.T.); #192253=EDGE_CURVE('',#156940,#156941,#78417,.T.); #192254=EDGE_CURVE('',#156942,#156940,#78418,.T.); #192255=EDGE_CURVE('',#156943,#156941,#78419,.T.); #192256=EDGE_CURVE('',#156942,#156943,#78420,.T.); #192257=EDGE_CURVE('',#156944,#156942,#78421,.T.); #192258=EDGE_CURVE('',#156945,#156943,#78422,.T.); #192259=EDGE_CURVE('',#156944,#156945,#78423,.T.); #192260=EDGE_CURVE('',#156946,#156944,#78424,.T.); #192261=EDGE_CURVE('',#156947,#156945,#78425,.T.); #192262=EDGE_CURVE('',#156946,#156947,#78426,.T.); #192263=EDGE_CURVE('',#156948,#156946,#78427,.T.); #192264=EDGE_CURVE('',#156949,#156947,#78428,.T.); #192265=EDGE_CURVE('',#156948,#156949,#78429,.T.); #192266=EDGE_CURVE('',#156950,#156948,#78430,.T.); #192267=EDGE_CURVE('',#156951,#156949,#78431,.T.); #192268=EDGE_CURVE('',#156950,#156951,#78432,.T.); #192269=EDGE_CURVE('',#156952,#156950,#78433,.T.); #192270=EDGE_CURVE('',#156953,#156951,#78434,.T.); #192271=EDGE_CURVE('',#156952,#156953,#78435,.T.); #192272=EDGE_CURVE('',#156954,#156952,#78436,.T.); #192273=EDGE_CURVE('',#156955,#156953,#78437,.T.); #192274=EDGE_CURVE('',#156954,#156955,#78438,.T.); #192275=EDGE_CURVE('',#156956,#156954,#78439,.T.); #192276=EDGE_CURVE('',#156957,#156955,#78440,.T.); #192277=EDGE_CURVE('',#156956,#156957,#78441,.T.); #192278=EDGE_CURVE('',#156958,#156956,#78442,.T.); #192279=EDGE_CURVE('',#156959,#156957,#78443,.T.); #192280=EDGE_CURVE('',#156958,#156959,#78444,.T.); #192281=EDGE_CURVE('',#156960,#156958,#78445,.T.); #192282=EDGE_CURVE('',#156961,#156959,#78446,.T.); #192283=EDGE_CURVE('',#156960,#156961,#78447,.T.); #192284=EDGE_CURVE('',#156962,#156960,#78448,.T.); #192285=EDGE_CURVE('',#156963,#156961,#78449,.T.); #192286=EDGE_CURVE('',#156962,#156963,#78450,.T.); #192287=EDGE_CURVE('',#156964,#156962,#78451,.T.); #192288=EDGE_CURVE('',#156965,#156963,#78452,.T.); #192289=EDGE_CURVE('',#156964,#156965,#78453,.T.); #192290=EDGE_CURVE('',#156966,#156964,#78454,.T.); #192291=EDGE_CURVE('',#156967,#156965,#78455,.T.); #192292=EDGE_CURVE('',#156966,#156967,#78456,.T.); #192293=EDGE_CURVE('',#156968,#156966,#78457,.T.); #192294=EDGE_CURVE('',#156969,#156967,#78458,.T.); #192295=EDGE_CURVE('',#156968,#156969,#78459,.T.); #192296=EDGE_CURVE('',#156970,#156968,#78460,.T.); #192297=EDGE_CURVE('',#156971,#156969,#78461,.T.); #192298=EDGE_CURVE('',#156970,#156971,#78462,.T.); #192299=EDGE_CURVE('',#156972,#156970,#78463,.T.); #192300=EDGE_CURVE('',#156973,#156971,#78464,.T.); #192301=EDGE_CURVE('',#156972,#156973,#78465,.T.); #192302=EDGE_CURVE('',#156974,#156972,#78466,.T.); #192303=EDGE_CURVE('',#156975,#156973,#78467,.T.); #192304=EDGE_CURVE('',#156974,#156975,#78468,.T.); #192305=EDGE_CURVE('',#156976,#156974,#78469,.T.); #192306=EDGE_CURVE('',#156977,#156975,#78470,.T.); #192307=EDGE_CURVE('',#156976,#156977,#78471,.T.); #192308=EDGE_CURVE('',#156978,#156976,#78472,.T.); #192309=EDGE_CURVE('',#156979,#156977,#78473,.T.); #192310=EDGE_CURVE('',#156978,#156979,#78474,.T.); #192311=EDGE_CURVE('',#156980,#156978,#78475,.T.); #192312=EDGE_CURVE('',#156981,#156979,#78476,.T.); #192313=EDGE_CURVE('',#156980,#156981,#78477,.T.); #192314=EDGE_CURVE('',#156982,#156980,#78478,.T.); #192315=EDGE_CURVE('',#156983,#156981,#78479,.T.); #192316=EDGE_CURVE('',#156982,#156983,#78480,.T.); #192317=EDGE_CURVE('',#156984,#156982,#78481,.T.); #192318=EDGE_CURVE('',#156985,#156983,#78482,.T.); #192319=EDGE_CURVE('',#156984,#156985,#78483,.T.); #192320=EDGE_CURVE('',#156986,#156984,#78484,.T.); #192321=EDGE_CURVE('',#156987,#156985,#78485,.T.); #192322=EDGE_CURVE('',#156986,#156987,#78486,.T.); #192323=EDGE_CURVE('',#156988,#156986,#78487,.T.); #192324=EDGE_CURVE('',#156989,#156987,#78488,.T.); #192325=EDGE_CURVE('',#156988,#156989,#78489,.T.); #192326=EDGE_CURVE('',#156990,#156988,#78490,.T.); #192327=EDGE_CURVE('',#156991,#156989,#78491,.T.); #192328=EDGE_CURVE('',#156990,#156991,#78492,.T.); #192329=EDGE_CURVE('',#156992,#156990,#78493,.T.); #192330=EDGE_CURVE('',#156993,#156991,#78494,.T.); #192331=EDGE_CURVE('',#156992,#156993,#78495,.T.); #192332=EDGE_CURVE('',#156994,#156992,#78496,.T.); #192333=EDGE_CURVE('',#156995,#156993,#78497,.T.); #192334=EDGE_CURVE('',#156994,#156995,#78498,.T.); #192335=EDGE_CURVE('',#156996,#156994,#78499,.T.); #192336=EDGE_CURVE('',#156997,#156995,#78500,.T.); #192337=EDGE_CURVE('',#156996,#156997,#78501,.T.); #192338=EDGE_CURVE('',#156998,#156996,#78502,.T.); #192339=EDGE_CURVE('',#156999,#156997,#78503,.T.); #192340=EDGE_CURVE('',#156998,#156999,#78504,.T.); #192341=EDGE_CURVE('',#157000,#156998,#78505,.T.); #192342=EDGE_CURVE('',#157001,#156999,#78506,.T.); #192343=EDGE_CURVE('',#157000,#157001,#78507,.T.); #192344=EDGE_CURVE('',#157002,#157000,#78508,.T.); #192345=EDGE_CURVE('',#157003,#157001,#78509,.T.); #192346=EDGE_CURVE('',#157002,#157003,#78510,.T.); #192347=EDGE_CURVE('',#157004,#157002,#78511,.T.); #192348=EDGE_CURVE('',#157005,#157003,#78512,.T.); #192349=EDGE_CURVE('',#157004,#157005,#78513,.T.); #192350=EDGE_CURVE('',#157006,#157004,#78514,.T.); #192351=EDGE_CURVE('',#157007,#157005,#78515,.T.); #192352=EDGE_CURVE('',#157006,#157007,#78516,.T.); #192353=EDGE_CURVE('',#157008,#157006,#78517,.T.); #192354=EDGE_CURVE('',#157009,#157007,#78518,.T.); #192355=EDGE_CURVE('',#157008,#157009,#78519,.T.); #192356=EDGE_CURVE('',#157010,#157008,#78520,.T.); #192357=EDGE_CURVE('',#157011,#157009,#78521,.T.); #192358=EDGE_CURVE('',#157010,#157011,#78522,.T.); #192359=EDGE_CURVE('',#157012,#157010,#78523,.T.); #192360=EDGE_CURVE('',#157013,#157011,#78524,.T.); #192361=EDGE_CURVE('',#157012,#157013,#78525,.T.); #192362=EDGE_CURVE('',#157014,#157012,#78526,.T.); #192363=EDGE_CURVE('',#157015,#157013,#78527,.T.); #192364=EDGE_CURVE('',#157014,#157015,#78528,.T.); #192365=EDGE_CURVE('',#156837,#157014,#78529,.T.); #192366=EDGE_CURVE('',#156838,#157015,#78530,.T.); #192367=EDGE_CURVE('',#157016,#157017,#78531,.T.); #192368=EDGE_CURVE('',#157017,#157018,#78532,.T.); #192369=EDGE_CURVE('',#157019,#157018,#78533,.T.); #192370=EDGE_CURVE('',#157016,#157019,#78534,.T.); #192371=EDGE_CURVE('',#157020,#157016,#78535,.T.); #192372=EDGE_CURVE('',#157021,#157019,#78536,.T.); #192373=EDGE_CURVE('',#157020,#157021,#78537,.T.); #192374=EDGE_CURVE('',#157022,#157020,#78538,.T.); #192375=EDGE_CURVE('',#157023,#157021,#78539,.T.); #192376=EDGE_CURVE('',#157022,#157023,#78540,.T.); #192377=EDGE_CURVE('',#157024,#157022,#78541,.T.); #192378=EDGE_CURVE('',#157025,#157023,#78542,.T.); #192379=EDGE_CURVE('',#157024,#157025,#78543,.T.); #192380=EDGE_CURVE('',#157026,#157024,#78544,.T.); #192381=EDGE_CURVE('',#157027,#157025,#78545,.T.); #192382=EDGE_CURVE('',#157026,#157027,#78546,.T.); #192383=EDGE_CURVE('',#157028,#157026,#78547,.T.); #192384=EDGE_CURVE('',#157029,#157027,#78548,.T.); #192385=EDGE_CURVE('',#157028,#157029,#78549,.T.); #192386=EDGE_CURVE('',#157030,#157028,#78550,.T.); #192387=EDGE_CURVE('',#157031,#157029,#78551,.T.); #192388=EDGE_CURVE('',#157030,#157031,#78552,.T.); #192389=EDGE_CURVE('',#157032,#157030,#78553,.T.); #192390=EDGE_CURVE('',#157033,#157031,#78554,.T.); #192391=EDGE_CURVE('',#157032,#157033,#78555,.T.); #192392=EDGE_CURVE('',#157034,#157032,#78556,.T.); #192393=EDGE_CURVE('',#157035,#157033,#78557,.T.); #192394=EDGE_CURVE('',#157034,#157035,#78558,.T.); #192395=EDGE_CURVE('',#157036,#157034,#78559,.T.); #192396=EDGE_CURVE('',#157037,#157035,#78560,.T.); #192397=EDGE_CURVE('',#157036,#157037,#78561,.T.); #192398=EDGE_CURVE('',#157038,#157036,#78562,.T.); #192399=EDGE_CURVE('',#157039,#157037,#78563,.T.); #192400=EDGE_CURVE('',#157038,#157039,#78564,.T.); #192401=EDGE_CURVE('',#157040,#157038,#78565,.T.); #192402=EDGE_CURVE('',#157041,#157039,#78566,.T.); #192403=EDGE_CURVE('',#157040,#157041,#78567,.T.); #192404=EDGE_CURVE('',#157042,#157040,#78568,.T.); #192405=EDGE_CURVE('',#157043,#157041,#78569,.T.); #192406=EDGE_CURVE('',#157042,#157043,#78570,.T.); #192407=EDGE_CURVE('',#157044,#157042,#78571,.T.); #192408=EDGE_CURVE('',#157045,#157043,#78572,.T.); #192409=EDGE_CURVE('',#157044,#157045,#78573,.T.); #192410=EDGE_CURVE('',#157046,#157044,#78574,.T.); #192411=EDGE_CURVE('',#157047,#157045,#78575,.T.); #192412=EDGE_CURVE('',#157046,#157047,#78576,.T.); #192413=EDGE_CURVE('',#157048,#157046,#78577,.T.); #192414=EDGE_CURVE('',#157049,#157047,#78578,.T.); #192415=EDGE_CURVE('',#157048,#157049,#78579,.T.); #192416=EDGE_CURVE('',#157050,#157048,#78580,.T.); #192417=EDGE_CURVE('',#157051,#157049,#78581,.T.); #192418=EDGE_CURVE('',#157050,#157051,#78582,.T.); #192419=EDGE_CURVE('',#157052,#157050,#78583,.T.); #192420=EDGE_CURVE('',#157053,#157051,#78584,.T.); #192421=EDGE_CURVE('',#157052,#157053,#78585,.T.); #192422=EDGE_CURVE('',#157054,#157052,#78586,.T.); #192423=EDGE_CURVE('',#157055,#157053,#78587,.T.); #192424=EDGE_CURVE('',#157054,#157055,#78588,.T.); #192425=EDGE_CURVE('',#157056,#157054,#78589,.T.); #192426=EDGE_CURVE('',#157057,#157055,#78590,.T.); #192427=EDGE_CURVE('',#157056,#157057,#78591,.T.); #192428=EDGE_CURVE('',#157058,#157056,#78592,.T.); #192429=EDGE_CURVE('',#157059,#157057,#78593,.T.); #192430=EDGE_CURVE('',#157058,#157059,#78594,.T.); #192431=EDGE_CURVE('',#157060,#157058,#78595,.T.); #192432=EDGE_CURVE('',#157061,#157059,#78596,.T.); #192433=EDGE_CURVE('',#157060,#157061,#78597,.T.); #192434=EDGE_CURVE('',#157062,#157060,#78598,.T.); #192435=EDGE_CURVE('',#157063,#157061,#78599,.T.); #192436=EDGE_CURVE('',#157062,#157063,#78600,.T.); #192437=EDGE_CURVE('',#157064,#157062,#78601,.T.); #192438=EDGE_CURVE('',#157065,#157063,#78602,.T.); #192439=EDGE_CURVE('',#157064,#157065,#78603,.T.); #192440=EDGE_CURVE('',#157066,#157064,#78604,.T.); #192441=EDGE_CURVE('',#157067,#157065,#78605,.T.); #192442=EDGE_CURVE('',#157066,#157067,#78606,.T.); #192443=EDGE_CURVE('',#157068,#157066,#78607,.T.); #192444=EDGE_CURVE('',#157069,#157067,#78608,.T.); #192445=EDGE_CURVE('',#157068,#157069,#78609,.T.); #192446=EDGE_CURVE('',#157070,#157068,#78610,.T.); #192447=EDGE_CURVE('',#157071,#157069,#78611,.T.); #192448=EDGE_CURVE('',#157070,#157071,#78612,.T.); #192449=EDGE_CURVE('',#157072,#157070,#78613,.T.); #192450=EDGE_CURVE('',#157073,#157071,#78614,.T.); #192451=EDGE_CURVE('',#157072,#157073,#78615,.T.); #192452=EDGE_CURVE('',#157074,#157072,#78616,.T.); #192453=EDGE_CURVE('',#157075,#157073,#78617,.T.); #192454=EDGE_CURVE('',#157074,#157075,#78618,.T.); #192455=EDGE_CURVE('',#157076,#157074,#78619,.T.); #192456=EDGE_CURVE('',#157077,#157075,#78620,.T.); #192457=EDGE_CURVE('',#157076,#157077,#78621,.T.); #192458=EDGE_CURVE('',#157078,#157076,#78622,.T.); #192459=EDGE_CURVE('',#157079,#157077,#78623,.T.); #192460=EDGE_CURVE('',#157078,#157079,#78624,.T.); #192461=EDGE_CURVE('',#157080,#157078,#78625,.T.); #192462=EDGE_CURVE('',#157081,#157079,#78626,.T.); #192463=EDGE_CURVE('',#157080,#157081,#78627,.T.); #192464=EDGE_CURVE('',#157082,#157080,#78628,.T.); #192465=EDGE_CURVE('',#157083,#157081,#78629,.T.); #192466=EDGE_CURVE('',#157082,#157083,#78630,.T.); #192467=EDGE_CURVE('',#157017,#157082,#78631,.T.); #192468=EDGE_CURVE('',#157018,#157083,#78632,.T.); #192469=EDGE_CURVE('',#157084,#157085,#78633,.T.); #192470=EDGE_CURVE('',#157085,#157086,#78634,.T.); #192471=EDGE_CURVE('',#157087,#157086,#78635,.T.); #192472=EDGE_CURVE('',#157084,#157087,#78636,.T.); #192473=EDGE_CURVE('',#157088,#157084,#78637,.T.); #192474=EDGE_CURVE('',#157089,#157087,#78638,.T.); #192475=EDGE_CURVE('',#157088,#157089,#78639,.T.); #192476=EDGE_CURVE('',#157090,#157088,#78640,.T.); #192477=EDGE_CURVE('',#157091,#157089,#78641,.T.); #192478=EDGE_CURVE('',#157090,#157091,#78642,.T.); #192479=EDGE_CURVE('',#157092,#157090,#78643,.T.); #192480=EDGE_CURVE('',#157093,#157091,#78644,.T.); #192481=EDGE_CURVE('',#157092,#157093,#78645,.T.); #192482=EDGE_CURVE('',#157094,#157092,#78646,.T.); #192483=EDGE_CURVE('',#157095,#157093,#78647,.T.); #192484=EDGE_CURVE('',#157094,#157095,#78648,.T.); #192485=EDGE_CURVE('',#157096,#157094,#78649,.T.); #192486=EDGE_CURVE('',#157097,#157095,#78650,.T.); #192487=EDGE_CURVE('',#157096,#157097,#78651,.T.); #192488=EDGE_CURVE('',#157098,#157096,#78652,.T.); #192489=EDGE_CURVE('',#157099,#157097,#78653,.T.); #192490=EDGE_CURVE('',#157098,#157099,#78654,.T.); #192491=EDGE_CURVE('',#157100,#157098,#78655,.T.); #192492=EDGE_CURVE('',#157101,#157099,#78656,.T.); #192493=EDGE_CURVE('',#157100,#157101,#78657,.T.); #192494=EDGE_CURVE('',#157102,#157100,#78658,.T.); #192495=EDGE_CURVE('',#157103,#157101,#78659,.T.); #192496=EDGE_CURVE('',#157102,#157103,#78660,.T.); #192497=EDGE_CURVE('',#157104,#157102,#78661,.T.); #192498=EDGE_CURVE('',#157105,#157103,#78662,.T.); #192499=EDGE_CURVE('',#157104,#157105,#78663,.T.); #192500=EDGE_CURVE('',#157106,#157104,#78664,.T.); #192501=EDGE_CURVE('',#157107,#157105,#78665,.T.); #192502=EDGE_CURVE('',#157106,#157107,#78666,.T.); #192503=EDGE_CURVE('',#157108,#157106,#78667,.T.); #192504=EDGE_CURVE('',#157109,#157107,#78668,.T.); #192505=EDGE_CURVE('',#157108,#157109,#78669,.T.); #192506=EDGE_CURVE('',#157110,#157108,#78670,.T.); #192507=EDGE_CURVE('',#157111,#157109,#78671,.T.); #192508=EDGE_CURVE('',#157110,#157111,#78672,.T.); #192509=EDGE_CURVE('',#157112,#157110,#78673,.T.); #192510=EDGE_CURVE('',#157113,#157111,#78674,.T.); #192511=EDGE_CURVE('',#157112,#157113,#78675,.T.); #192512=EDGE_CURVE('',#157114,#157112,#78676,.T.); #192513=EDGE_CURVE('',#157115,#157113,#78677,.T.); #192514=EDGE_CURVE('',#157114,#157115,#78678,.T.); #192515=EDGE_CURVE('',#157116,#157114,#78679,.T.); #192516=EDGE_CURVE('',#157117,#157115,#78680,.T.); #192517=EDGE_CURVE('',#157116,#157117,#78681,.T.); #192518=EDGE_CURVE('',#157118,#157116,#78682,.T.); #192519=EDGE_CURVE('',#157119,#157117,#78683,.T.); #192520=EDGE_CURVE('',#157118,#157119,#78684,.T.); #192521=EDGE_CURVE('',#157120,#157118,#78685,.T.); #192522=EDGE_CURVE('',#157121,#157119,#78686,.T.); #192523=EDGE_CURVE('',#157120,#157121,#78687,.T.); #192524=EDGE_CURVE('',#157122,#157120,#78688,.T.); #192525=EDGE_CURVE('',#157123,#157121,#78689,.T.); #192526=EDGE_CURVE('',#157122,#157123,#78690,.T.); #192527=EDGE_CURVE('',#157085,#157122,#78691,.T.); #192528=EDGE_CURVE('',#157086,#157123,#78692,.T.); #192529=EDGE_CURVE('',#157124,#157125,#78693,.T.); #192530=EDGE_CURVE('',#157125,#157126,#78694,.T.); #192531=EDGE_CURVE('',#157127,#157126,#78695,.T.); #192532=EDGE_CURVE('',#157124,#157127,#78696,.T.); #192533=EDGE_CURVE('',#157128,#157124,#78697,.T.); #192534=EDGE_CURVE('',#157129,#157127,#78698,.T.); #192535=EDGE_CURVE('',#157128,#157129,#78699,.T.); #192536=EDGE_CURVE('',#157130,#157128,#78700,.T.); #192537=EDGE_CURVE('',#157131,#157129,#78701,.T.); #192538=EDGE_CURVE('',#157130,#157131,#78702,.T.); #192539=EDGE_CURVE('',#157132,#157130,#78703,.T.); #192540=EDGE_CURVE('',#157133,#157131,#78704,.T.); #192541=EDGE_CURVE('',#157132,#157133,#78705,.T.); #192542=EDGE_CURVE('',#157134,#157132,#78706,.T.); #192543=EDGE_CURVE('',#157135,#157133,#78707,.T.); #192544=EDGE_CURVE('',#157134,#157135,#78708,.T.); #192545=EDGE_CURVE('',#157136,#157134,#78709,.T.); #192546=EDGE_CURVE('',#157137,#157135,#78710,.T.); #192547=EDGE_CURVE('',#157136,#157137,#78711,.T.); #192548=EDGE_CURVE('',#157138,#157136,#78712,.T.); #192549=EDGE_CURVE('',#157139,#157137,#78713,.T.); #192550=EDGE_CURVE('',#157138,#157139,#78714,.T.); #192551=EDGE_CURVE('',#157140,#157138,#78715,.T.); #192552=EDGE_CURVE('',#157141,#157139,#78716,.T.); #192553=EDGE_CURVE('',#157140,#157141,#78717,.T.); #192554=EDGE_CURVE('',#157142,#157140,#78718,.T.); #192555=EDGE_CURVE('',#157143,#157141,#78719,.T.); #192556=EDGE_CURVE('',#157142,#157143,#78720,.T.); #192557=EDGE_CURVE('',#157144,#157142,#78721,.T.); #192558=EDGE_CURVE('',#157145,#157143,#78722,.T.); #192559=EDGE_CURVE('',#157144,#157145,#78723,.T.); #192560=EDGE_CURVE('',#157146,#157144,#78724,.T.); #192561=EDGE_CURVE('',#157147,#157145,#78725,.T.); #192562=EDGE_CURVE('',#157146,#157147,#78726,.T.); #192563=EDGE_CURVE('',#157148,#157146,#78727,.T.); #192564=EDGE_CURVE('',#157149,#157147,#78728,.T.); #192565=EDGE_CURVE('',#157148,#157149,#78729,.T.); #192566=EDGE_CURVE('',#157150,#157148,#78730,.T.); #192567=EDGE_CURVE('',#157151,#157149,#78731,.T.); #192568=EDGE_CURVE('',#157150,#157151,#78732,.T.); #192569=EDGE_CURVE('',#157152,#157150,#78733,.T.); #192570=EDGE_CURVE('',#157153,#157151,#78734,.T.); #192571=EDGE_CURVE('',#157152,#157153,#78735,.T.); #192572=EDGE_CURVE('',#157154,#157152,#78736,.T.); #192573=EDGE_CURVE('',#157155,#157153,#78737,.T.); #192574=EDGE_CURVE('',#157154,#157155,#78738,.T.); #192575=EDGE_CURVE('',#157156,#157154,#78739,.T.); #192576=EDGE_CURVE('',#157157,#157155,#78740,.T.); #192577=EDGE_CURVE('',#157156,#157157,#78741,.T.); #192578=EDGE_CURVE('',#157158,#157156,#78742,.T.); #192579=EDGE_CURVE('',#157159,#157157,#78743,.T.); #192580=EDGE_CURVE('',#157158,#157159,#78744,.T.); #192581=EDGE_CURVE('',#157160,#157158,#78745,.T.); #192582=EDGE_CURVE('',#157161,#157159,#78746,.T.); #192583=EDGE_CURVE('',#157160,#157161,#78747,.T.); #192584=EDGE_CURVE('',#157162,#157160,#78748,.T.); #192585=EDGE_CURVE('',#157163,#157161,#78749,.T.); #192586=EDGE_CURVE('',#157162,#157163,#78750,.T.); #192587=EDGE_CURVE('',#157164,#157162,#78751,.T.); #192588=EDGE_CURVE('',#157165,#157163,#78752,.T.); #192589=EDGE_CURVE('',#157164,#157165,#78753,.T.); #192590=EDGE_CURVE('',#157125,#157164,#78754,.T.); #192591=EDGE_CURVE('',#157126,#157165,#78755,.T.); #192592=EDGE_CURVE('',#157166,#157166,#67213,.T.); #192593=EDGE_CURVE('',#157166,#157167,#78756,.T.); #192594=EDGE_CURVE('',#157167,#157167,#67214,.T.); #192595=EDGE_CURVE('',#157168,#157169,#78757,.T.); #192596=EDGE_CURVE('',#157170,#157168,#78758,.T.); #192597=EDGE_CURVE('',#157171,#157170,#78759,.T.); #192598=EDGE_CURVE('',#157171,#157169,#78760,.T.); #192599=EDGE_CURVE('',#157169,#157172,#67215,.T.); #192600=EDGE_CURVE('',#157173,#157171,#67216,.T.); #192601=EDGE_CURVE('',#157173,#157172,#78761,.T.); #192602=EDGE_CURVE('',#157172,#157174,#78762,.T.); #192603=EDGE_CURVE('',#157175,#157173,#78763,.T.); #192604=EDGE_CURVE('',#157175,#157174,#78764,.T.); #192605=EDGE_CURVE('',#157174,#157168,#67217,.T.); #192606=EDGE_CURVE('',#157170,#157175,#67218,.T.); #192607=EDGE_CURVE('',#157176,#157176,#67219,.T.); #192608=EDGE_CURVE('',#157176,#157177,#78765,.T.); #192609=EDGE_CURVE('',#157177,#157177,#67220,.T.); #192610=EDGE_CURVE('',#157178,#157178,#67221,.T.); #192611=EDGE_CURVE('',#157178,#157179,#78766,.T.); #192612=EDGE_CURVE('',#157179,#157179,#67222,.T.); #192613=EDGE_CURVE('',#157180,#157180,#67223,.T.); #192614=EDGE_CURVE('',#157180,#157181,#78767,.T.); #192615=EDGE_CURVE('',#157181,#157181,#67224,.T.); #192616=EDGE_CURVE('',#157182,#157182,#67225,.T.); #192617=EDGE_CURVE('',#157182,#157183,#78768,.T.); #192618=EDGE_CURVE('',#157183,#157183,#67226,.T.); #192619=EDGE_CURVE('',#157184,#157184,#67227,.T.); #192620=EDGE_CURVE('',#157184,#157185,#78769,.T.); #192621=EDGE_CURVE('',#157185,#157185,#67228,.T.); #192622=EDGE_CURVE('',#157186,#157186,#67229,.T.); #192623=EDGE_CURVE('',#157186,#157187,#78770,.T.); #192624=EDGE_CURVE('',#157187,#157187,#67230,.T.); #192625=EDGE_CURVE('',#157188,#157188,#67231,.T.); #192626=EDGE_CURVE('',#157188,#157189,#78771,.T.); #192627=EDGE_CURVE('',#157189,#157189,#67232,.T.); #192628=EDGE_CURVE('',#157190,#157190,#67233,.T.); #192629=EDGE_CURVE('',#157190,#157191,#78772,.T.); #192630=EDGE_CURVE('',#157191,#157191,#67234,.T.); #192631=EDGE_CURVE('',#157192,#157192,#67235,.T.); #192632=EDGE_CURVE('',#157192,#157193,#78773,.T.); #192633=EDGE_CURVE('',#157193,#157193,#67236,.T.); #192634=EDGE_CURVE('',#157194,#157195,#78774,.T.); #192635=EDGE_CURVE('',#157195,#157196,#78775,.T.); #192636=EDGE_CURVE('',#157197,#157196,#78776,.T.); #192637=EDGE_CURVE('',#157194,#157197,#78777,.T.); #192638=EDGE_CURVE('',#157198,#157194,#78778,.T.); #192639=EDGE_CURVE('',#157199,#157197,#78779,.T.); #192640=EDGE_CURVE('',#157198,#157199,#78780,.T.); #192641=EDGE_CURVE('',#157200,#157198,#78781,.T.); #192642=EDGE_CURVE('',#157201,#157199,#78782,.T.); #192643=EDGE_CURVE('',#157200,#157201,#78783,.T.); #192644=EDGE_CURVE('',#157202,#157200,#78784,.T.); #192645=EDGE_CURVE('',#157203,#157201,#78785,.T.); #192646=EDGE_CURVE('',#157202,#157203,#78786,.T.); #192647=EDGE_CURVE('',#157204,#157202,#78787,.T.); #192648=EDGE_CURVE('',#157205,#157203,#78788,.T.); #192649=EDGE_CURVE('',#157204,#157205,#78789,.T.); #192650=EDGE_CURVE('',#157206,#157204,#78790,.T.); #192651=EDGE_CURVE('',#157207,#157205,#78791,.T.); #192652=EDGE_CURVE('',#157206,#157207,#78792,.T.); #192653=EDGE_CURVE('',#157208,#157206,#78793,.T.); #192654=EDGE_CURVE('',#157209,#157207,#78794,.T.); #192655=EDGE_CURVE('',#157208,#157209,#78795,.T.); #192656=EDGE_CURVE('',#157210,#157208,#78796,.T.); #192657=EDGE_CURVE('',#157211,#157209,#78797,.T.); #192658=EDGE_CURVE('',#157210,#157211,#78798,.T.); #192659=EDGE_CURVE('',#157212,#157210,#78799,.T.); #192660=EDGE_CURVE('',#157213,#157211,#78800,.T.); #192661=EDGE_CURVE('',#157212,#157213,#78801,.T.); #192662=EDGE_CURVE('',#157214,#157212,#78802,.T.); #192663=EDGE_CURVE('',#157215,#157213,#78803,.T.); #192664=EDGE_CURVE('',#157214,#157215,#78804,.T.); #192665=EDGE_CURVE('',#157216,#157214,#78805,.T.); #192666=EDGE_CURVE('',#157217,#157215,#78806,.T.); #192667=EDGE_CURVE('',#157216,#157217,#78807,.T.); #192668=EDGE_CURVE('',#157218,#157216,#78808,.T.); #192669=EDGE_CURVE('',#157219,#157217,#78809,.T.); #192670=EDGE_CURVE('',#157218,#157219,#78810,.T.); #192671=EDGE_CURVE('',#157220,#157218,#78811,.T.); #192672=EDGE_CURVE('',#157221,#157219,#78812,.T.); #192673=EDGE_CURVE('',#157220,#157221,#78813,.T.); #192674=EDGE_CURVE('',#157222,#157220,#78814,.T.); #192675=EDGE_CURVE('',#157223,#157221,#78815,.T.); #192676=EDGE_CURVE('',#157222,#157223,#78816,.T.); #192677=EDGE_CURVE('',#157224,#157222,#78817,.T.); #192678=EDGE_CURVE('',#157225,#157223,#78818,.T.); #192679=EDGE_CURVE('',#157224,#157225,#78819,.T.); #192680=EDGE_CURVE('',#157195,#157224,#78820,.T.); #192681=EDGE_CURVE('',#157196,#157225,#78821,.T.); #192682=EDGE_CURVE('',#157226,#157227,#78822,.T.); #192683=EDGE_CURVE('',#157227,#157228,#78823,.T.); #192684=EDGE_CURVE('',#157229,#157228,#78824,.T.); #192685=EDGE_CURVE('',#157226,#157229,#78825,.T.); #192686=EDGE_CURVE('',#157230,#157226,#78826,.T.); #192687=EDGE_CURVE('',#157231,#157229,#78827,.T.); #192688=EDGE_CURVE('',#157230,#157231,#78828,.T.); #192689=EDGE_CURVE('',#157232,#157230,#78829,.T.); #192690=EDGE_CURVE('',#157233,#157231,#78830,.T.); #192691=EDGE_CURVE('',#157232,#157233,#78831,.T.); #192692=EDGE_CURVE('',#157234,#157232,#78832,.T.); #192693=EDGE_CURVE('',#157235,#157233,#78833,.T.); #192694=EDGE_CURVE('',#157234,#157235,#78834,.T.); #192695=EDGE_CURVE('',#157236,#157234,#78835,.T.); #192696=EDGE_CURVE('',#157237,#157235,#78836,.T.); #192697=EDGE_CURVE('',#157236,#157237,#78837,.T.); #192698=EDGE_CURVE('',#157238,#157236,#78838,.T.); #192699=EDGE_CURVE('',#157239,#157237,#78839,.T.); #192700=EDGE_CURVE('',#157238,#157239,#78840,.T.); #192701=EDGE_CURVE('',#157240,#157238,#78841,.T.); #192702=EDGE_CURVE('',#157241,#157239,#78842,.T.); #192703=EDGE_CURVE('',#157240,#157241,#78843,.T.); #192704=EDGE_CURVE('',#157242,#157240,#78844,.T.); #192705=EDGE_CURVE('',#157243,#157241,#78845,.T.); #192706=EDGE_CURVE('',#157242,#157243,#78846,.T.); #192707=EDGE_CURVE('',#157244,#157242,#78847,.T.); #192708=EDGE_CURVE('',#157245,#157243,#78848,.T.); #192709=EDGE_CURVE('',#157244,#157245,#78849,.T.); #192710=EDGE_CURVE('',#157246,#157244,#78850,.T.); #192711=EDGE_CURVE('',#157247,#157245,#78851,.T.); #192712=EDGE_CURVE('',#157246,#157247,#78852,.T.); #192713=EDGE_CURVE('',#157248,#157246,#78853,.T.); #192714=EDGE_CURVE('',#157249,#157247,#78854,.T.); #192715=EDGE_CURVE('',#157248,#157249,#78855,.T.); #192716=EDGE_CURVE('',#157250,#157248,#78856,.T.); #192717=EDGE_CURVE('',#157251,#157249,#78857,.T.); #192718=EDGE_CURVE('',#157250,#157251,#78858,.T.); #192719=EDGE_CURVE('',#157252,#157250,#78859,.T.); #192720=EDGE_CURVE('',#157253,#157251,#78860,.T.); #192721=EDGE_CURVE('',#157252,#157253,#78861,.T.); #192722=EDGE_CURVE('',#157254,#157252,#78862,.T.); #192723=EDGE_CURVE('',#157255,#157253,#78863,.T.); #192724=EDGE_CURVE('',#157254,#157255,#78864,.T.); #192725=EDGE_CURVE('',#157256,#157254,#78865,.T.); #192726=EDGE_CURVE('',#157257,#157255,#78866,.T.); #192727=EDGE_CURVE('',#157256,#157257,#78867,.T.); #192728=EDGE_CURVE('',#157258,#157256,#78868,.T.); #192729=EDGE_CURVE('',#157259,#157257,#78869,.T.); #192730=EDGE_CURVE('',#157258,#157259,#78870,.T.); #192731=EDGE_CURVE('',#157260,#157258,#78871,.T.); #192732=EDGE_CURVE('',#157261,#157259,#78872,.T.); #192733=EDGE_CURVE('',#157260,#157261,#78873,.T.); #192734=EDGE_CURVE('',#157262,#157260,#78874,.T.); #192735=EDGE_CURVE('',#157263,#157261,#78875,.T.); #192736=EDGE_CURVE('',#157262,#157263,#78876,.T.); #192737=EDGE_CURVE('',#157264,#157262,#78877,.T.); #192738=EDGE_CURVE('',#157265,#157263,#78878,.T.); #192739=EDGE_CURVE('',#157264,#157265,#78879,.T.); #192740=EDGE_CURVE('',#157266,#157264,#78880,.T.); #192741=EDGE_CURVE('',#157267,#157265,#78881,.T.); #192742=EDGE_CURVE('',#157266,#157267,#78882,.T.); #192743=EDGE_CURVE('',#157268,#157266,#78883,.T.); #192744=EDGE_CURVE('',#157269,#157267,#78884,.T.); #192745=EDGE_CURVE('',#157268,#157269,#78885,.T.); #192746=EDGE_CURVE('',#157270,#157268,#78886,.T.); #192747=EDGE_CURVE('',#157271,#157269,#78887,.T.); #192748=EDGE_CURVE('',#157270,#157271,#78888,.T.); #192749=EDGE_CURVE('',#157272,#157270,#78889,.T.); #192750=EDGE_CURVE('',#157273,#157271,#78890,.T.); #192751=EDGE_CURVE('',#157272,#157273,#78891,.T.); #192752=EDGE_CURVE('',#157274,#157272,#78892,.T.); #192753=EDGE_CURVE('',#157275,#157273,#78893,.T.); #192754=EDGE_CURVE('',#157274,#157275,#78894,.T.); #192755=EDGE_CURVE('',#157276,#157274,#78895,.T.); #192756=EDGE_CURVE('',#157277,#157275,#78896,.T.); #192757=EDGE_CURVE('',#157276,#157277,#78897,.T.); #192758=EDGE_CURVE('',#157278,#157276,#78898,.T.); #192759=EDGE_CURVE('',#157279,#157277,#78899,.T.); #192760=EDGE_CURVE('',#157278,#157279,#78900,.T.); #192761=EDGE_CURVE('',#157280,#157278,#78901,.T.); #192762=EDGE_CURVE('',#157281,#157279,#78902,.T.); #192763=EDGE_CURVE('',#157280,#157281,#78903,.T.); #192764=EDGE_CURVE('',#157282,#157280,#78904,.T.); #192765=EDGE_CURVE('',#157283,#157281,#78905,.T.); #192766=EDGE_CURVE('',#157282,#157283,#78906,.T.); #192767=EDGE_CURVE('',#157284,#157282,#78907,.T.); #192768=EDGE_CURVE('',#157285,#157283,#78908,.T.); #192769=EDGE_CURVE('',#157284,#157285,#78909,.T.); #192770=EDGE_CURVE('',#157286,#157284,#78910,.T.); #192771=EDGE_CURVE('',#157287,#157285,#78911,.T.); #192772=EDGE_CURVE('',#157286,#157287,#78912,.T.); #192773=EDGE_CURVE('',#157288,#157286,#78913,.T.); #192774=EDGE_CURVE('',#157289,#157287,#78914,.T.); #192775=EDGE_CURVE('',#157288,#157289,#78915,.T.); #192776=EDGE_CURVE('',#157290,#157288,#78916,.T.); #192777=EDGE_CURVE('',#157291,#157289,#78917,.T.); #192778=EDGE_CURVE('',#157290,#157291,#78918,.T.); #192779=EDGE_CURVE('',#157292,#157290,#78919,.T.); #192780=EDGE_CURVE('',#157293,#157291,#78920,.T.); #192781=EDGE_CURVE('',#157292,#157293,#78921,.T.); #192782=EDGE_CURVE('',#157294,#157292,#78922,.T.); #192783=EDGE_CURVE('',#157295,#157293,#78923,.T.); #192784=EDGE_CURVE('',#157294,#157295,#78924,.T.); #192785=EDGE_CURVE('',#157296,#157294,#78925,.T.); #192786=EDGE_CURVE('',#157297,#157295,#78926,.T.); #192787=EDGE_CURVE('',#157296,#157297,#78927,.T.); #192788=EDGE_CURVE('',#157298,#157296,#78928,.T.); #192789=EDGE_CURVE('',#157299,#157297,#78929,.T.); #192790=EDGE_CURVE('',#157298,#157299,#78930,.T.); #192791=EDGE_CURVE('',#157300,#157298,#78931,.T.); #192792=EDGE_CURVE('',#157301,#157299,#78932,.T.); #192793=EDGE_CURVE('',#157300,#157301,#78933,.T.); #192794=EDGE_CURVE('',#157302,#157300,#78934,.T.); #192795=EDGE_CURVE('',#157303,#157301,#78935,.T.); #192796=EDGE_CURVE('',#157302,#157303,#78936,.T.); #192797=EDGE_CURVE('',#157304,#157302,#78937,.T.); #192798=EDGE_CURVE('',#157305,#157303,#78938,.T.); #192799=EDGE_CURVE('',#157304,#157305,#78939,.T.); #192800=EDGE_CURVE('',#157306,#157304,#78940,.T.); #192801=EDGE_CURVE('',#157307,#157305,#78941,.T.); #192802=EDGE_CURVE('',#157306,#157307,#78942,.T.); #192803=EDGE_CURVE('',#157308,#157306,#78943,.T.); #192804=EDGE_CURVE('',#157309,#157307,#78944,.T.); #192805=EDGE_CURVE('',#157308,#157309,#78945,.T.); #192806=EDGE_CURVE('',#157310,#157308,#78946,.T.); #192807=EDGE_CURVE('',#157311,#157309,#78947,.T.); #192808=EDGE_CURVE('',#157310,#157311,#78948,.T.); #192809=EDGE_CURVE('',#157312,#157310,#78949,.T.); #192810=EDGE_CURVE('',#157313,#157311,#78950,.T.); #192811=EDGE_CURVE('',#157312,#157313,#78951,.T.); #192812=EDGE_CURVE('',#157314,#157312,#78952,.T.); #192813=EDGE_CURVE('',#157315,#157313,#78953,.T.); #192814=EDGE_CURVE('',#157314,#157315,#78954,.T.); #192815=EDGE_CURVE('',#157316,#157314,#78955,.T.); #192816=EDGE_CURVE('',#157317,#157315,#78956,.T.); #192817=EDGE_CURVE('',#157316,#157317,#78957,.T.); #192818=EDGE_CURVE('',#157318,#157316,#78958,.T.); #192819=EDGE_CURVE('',#157319,#157317,#78959,.T.); #192820=EDGE_CURVE('',#157318,#157319,#78960,.T.); #192821=EDGE_CURVE('',#157320,#157318,#78961,.T.); #192822=EDGE_CURVE('',#157321,#157319,#78962,.T.); #192823=EDGE_CURVE('',#157320,#157321,#78963,.T.); #192824=EDGE_CURVE('',#157322,#157320,#78964,.T.); #192825=EDGE_CURVE('',#157323,#157321,#78965,.T.); #192826=EDGE_CURVE('',#157322,#157323,#78966,.T.); #192827=EDGE_CURVE('',#157324,#157322,#78967,.T.); #192828=EDGE_CURVE('',#157325,#157323,#78968,.T.); #192829=EDGE_CURVE('',#157324,#157325,#78969,.T.); #192830=EDGE_CURVE('',#157326,#157324,#78970,.T.); #192831=EDGE_CURVE('',#157327,#157325,#78971,.T.); #192832=EDGE_CURVE('',#157326,#157327,#78972,.T.); #192833=EDGE_CURVE('',#157328,#157326,#78973,.T.); #192834=EDGE_CURVE('',#157329,#157327,#78974,.T.); #192835=EDGE_CURVE('',#157328,#157329,#78975,.T.); #192836=EDGE_CURVE('',#157330,#157328,#78976,.T.); #192837=EDGE_CURVE('',#157331,#157329,#78977,.T.); #192838=EDGE_CURVE('',#157330,#157331,#78978,.T.); #192839=EDGE_CURVE('',#157332,#157330,#78979,.T.); #192840=EDGE_CURVE('',#157333,#157331,#78980,.T.); #192841=EDGE_CURVE('',#157332,#157333,#78981,.T.); #192842=EDGE_CURVE('',#157334,#157332,#78982,.T.); #192843=EDGE_CURVE('',#157335,#157333,#78983,.T.); #192844=EDGE_CURVE('',#157334,#157335,#78984,.T.); #192845=EDGE_CURVE('',#157336,#157334,#78985,.T.); #192846=EDGE_CURVE('',#157337,#157335,#78986,.T.); #192847=EDGE_CURVE('',#157336,#157337,#78987,.T.); #192848=EDGE_CURVE('',#157338,#157336,#78988,.T.); #192849=EDGE_CURVE('',#157339,#157337,#78989,.T.); #192850=EDGE_CURVE('',#157338,#157339,#78990,.T.); #192851=EDGE_CURVE('',#157340,#157338,#78991,.T.); #192852=EDGE_CURVE('',#157341,#157339,#78992,.T.); #192853=EDGE_CURVE('',#157340,#157341,#78993,.T.); #192854=EDGE_CURVE('',#157342,#157340,#78994,.T.); #192855=EDGE_CURVE('',#157343,#157341,#78995,.T.); #192856=EDGE_CURVE('',#157342,#157343,#78996,.T.); #192857=EDGE_CURVE('',#157344,#157342,#78997,.T.); #192858=EDGE_CURVE('',#157345,#157343,#78998,.T.); #192859=EDGE_CURVE('',#157344,#157345,#78999,.T.); #192860=EDGE_CURVE('',#157346,#157344,#79000,.T.); #192861=EDGE_CURVE('',#157347,#157345,#79001,.T.); #192862=EDGE_CURVE('',#157346,#157347,#79002,.T.); #192863=EDGE_CURVE('',#157348,#157346,#79003,.T.); #192864=EDGE_CURVE('',#157349,#157347,#79004,.T.); #192865=EDGE_CURVE('',#157348,#157349,#79005,.T.); #192866=EDGE_CURVE('',#157350,#157348,#79006,.T.); #192867=EDGE_CURVE('',#157351,#157349,#79007,.T.); #192868=EDGE_CURVE('',#157350,#157351,#79008,.T.); #192869=EDGE_CURVE('',#157352,#157350,#79009,.T.); #192870=EDGE_CURVE('',#157353,#157351,#79010,.T.); #192871=EDGE_CURVE('',#157352,#157353,#79011,.T.); #192872=EDGE_CURVE('',#157354,#157352,#79012,.T.); #192873=EDGE_CURVE('',#157355,#157353,#79013,.T.); #192874=EDGE_CURVE('',#157354,#157355,#79014,.T.); #192875=EDGE_CURVE('',#157356,#157354,#79015,.T.); #192876=EDGE_CURVE('',#157357,#157355,#79016,.T.); #192877=EDGE_CURVE('',#157356,#157357,#79017,.T.); #192878=EDGE_CURVE('',#157358,#157356,#79018,.T.); #192879=EDGE_CURVE('',#157359,#157357,#79019,.T.); #192880=EDGE_CURVE('',#157358,#157359,#79020,.T.); #192881=EDGE_CURVE('',#157360,#157358,#79021,.T.); #192882=EDGE_CURVE('',#157361,#157359,#79022,.T.); #192883=EDGE_CURVE('',#157360,#157361,#79023,.T.); #192884=EDGE_CURVE('',#157362,#157360,#79024,.T.); #192885=EDGE_CURVE('',#157363,#157361,#79025,.T.); #192886=EDGE_CURVE('',#157362,#157363,#79026,.T.); #192887=EDGE_CURVE('',#157364,#157362,#79027,.T.); #192888=EDGE_CURVE('',#157365,#157363,#79028,.T.); #192889=EDGE_CURVE('',#157364,#157365,#79029,.T.); #192890=EDGE_CURVE('',#157366,#157364,#79030,.T.); #192891=EDGE_CURVE('',#157367,#157365,#79031,.T.); #192892=EDGE_CURVE('',#157366,#157367,#79032,.T.); #192893=EDGE_CURVE('',#157368,#157366,#79033,.T.); #192894=EDGE_CURVE('',#157369,#157367,#79034,.T.); #192895=EDGE_CURVE('',#157368,#157369,#79035,.T.); #192896=EDGE_CURVE('',#157370,#157368,#79036,.T.); #192897=EDGE_CURVE('',#157371,#157369,#79037,.T.); #192898=EDGE_CURVE('',#157370,#157371,#79038,.T.); #192899=EDGE_CURVE('',#157372,#157370,#79039,.T.); #192900=EDGE_CURVE('',#157373,#157371,#79040,.T.); #192901=EDGE_CURVE('',#157372,#157373,#79041,.T.); #192902=EDGE_CURVE('',#157374,#157372,#79042,.T.); #192903=EDGE_CURVE('',#157375,#157373,#79043,.T.); #192904=EDGE_CURVE('',#157374,#157375,#79044,.T.); #192905=EDGE_CURVE('',#157376,#157374,#79045,.T.); #192906=EDGE_CURVE('',#157377,#157375,#79046,.T.); #192907=EDGE_CURVE('',#157376,#157377,#79047,.T.); #192908=EDGE_CURVE('',#157378,#157376,#79048,.T.); #192909=EDGE_CURVE('',#157379,#157377,#79049,.T.); #192910=EDGE_CURVE('',#157378,#157379,#79050,.T.); #192911=EDGE_CURVE('',#157380,#157378,#79051,.T.); #192912=EDGE_CURVE('',#157381,#157379,#79052,.T.); #192913=EDGE_CURVE('',#157380,#157381,#79053,.T.); #192914=EDGE_CURVE('',#157382,#157380,#79054,.T.); #192915=EDGE_CURVE('',#157383,#157381,#79055,.T.); #192916=EDGE_CURVE('',#157382,#157383,#79056,.T.); #192917=EDGE_CURVE('',#157384,#157382,#79057,.T.); #192918=EDGE_CURVE('',#157385,#157383,#79058,.T.); #192919=EDGE_CURVE('',#157384,#157385,#79059,.T.); #192920=EDGE_CURVE('',#157386,#157384,#79060,.T.); #192921=EDGE_CURVE('',#157387,#157385,#79061,.T.); #192922=EDGE_CURVE('',#157386,#157387,#79062,.T.); #192923=EDGE_CURVE('',#157388,#157386,#79063,.T.); #192924=EDGE_CURVE('',#157389,#157387,#79064,.T.); #192925=EDGE_CURVE('',#157388,#157389,#79065,.T.); #192926=EDGE_CURVE('',#157390,#157388,#79066,.T.); #192927=EDGE_CURVE('',#157391,#157389,#79067,.T.); #192928=EDGE_CURVE('',#157390,#157391,#79068,.T.); #192929=EDGE_CURVE('',#157392,#157390,#79069,.T.); #192930=EDGE_CURVE('',#157393,#157391,#79070,.T.); #192931=EDGE_CURVE('',#157392,#157393,#79071,.T.); #192932=EDGE_CURVE('',#157394,#157392,#79072,.T.); #192933=EDGE_CURVE('',#157395,#157393,#79073,.T.); #192934=EDGE_CURVE('',#157394,#157395,#79074,.T.); #192935=EDGE_CURVE('',#157396,#157394,#79075,.T.); #192936=EDGE_CURVE('',#157397,#157395,#79076,.T.); #192937=EDGE_CURVE('',#157396,#157397,#79077,.T.); #192938=EDGE_CURVE('',#157398,#157396,#79078,.T.); #192939=EDGE_CURVE('',#157399,#157397,#79079,.T.); #192940=EDGE_CURVE('',#157398,#157399,#79080,.T.); #192941=EDGE_CURVE('',#157400,#157398,#79081,.T.); #192942=EDGE_CURVE('',#157401,#157399,#79082,.T.); #192943=EDGE_CURVE('',#157400,#157401,#79083,.T.); #192944=EDGE_CURVE('',#157402,#157400,#79084,.T.); #192945=EDGE_CURVE('',#157403,#157401,#79085,.T.); #192946=EDGE_CURVE('',#157402,#157403,#79086,.T.); #192947=EDGE_CURVE('',#157404,#157402,#79087,.T.); #192948=EDGE_CURVE('',#157405,#157403,#79088,.T.); #192949=EDGE_CURVE('',#157404,#157405,#79089,.T.); #192950=EDGE_CURVE('',#157406,#157404,#79090,.T.); #192951=EDGE_CURVE('',#157407,#157405,#79091,.T.); #192952=EDGE_CURVE('',#157406,#157407,#79092,.T.); #192953=EDGE_CURVE('',#157408,#157406,#79093,.T.); #192954=EDGE_CURVE('',#157409,#157407,#79094,.T.); #192955=EDGE_CURVE('',#157408,#157409,#79095,.T.); #192956=EDGE_CURVE('',#157410,#157408,#79096,.T.); #192957=EDGE_CURVE('',#157411,#157409,#79097,.T.); #192958=EDGE_CURVE('',#157410,#157411,#79098,.T.); #192959=EDGE_CURVE('',#157412,#157410,#79099,.T.); #192960=EDGE_CURVE('',#157413,#157411,#79100,.T.); #192961=EDGE_CURVE('',#157412,#157413,#79101,.T.); #192962=EDGE_CURVE('',#157414,#157412,#79102,.T.); #192963=EDGE_CURVE('',#157415,#157413,#79103,.T.); #192964=EDGE_CURVE('',#157414,#157415,#79104,.T.); #192965=EDGE_CURVE('',#157416,#157414,#79105,.T.); #192966=EDGE_CURVE('',#157417,#157415,#79106,.T.); #192967=EDGE_CURVE('',#157416,#157417,#79107,.T.); #192968=EDGE_CURVE('',#157418,#157416,#79108,.T.); #192969=EDGE_CURVE('',#157419,#157417,#79109,.T.); #192970=EDGE_CURVE('',#157418,#157419,#79110,.T.); #192971=EDGE_CURVE('',#157420,#157418,#79111,.T.); #192972=EDGE_CURVE('',#157421,#157419,#79112,.T.); #192973=EDGE_CURVE('',#157420,#157421,#79113,.T.); #192974=EDGE_CURVE('',#157422,#157420,#79114,.T.); #192975=EDGE_CURVE('',#157423,#157421,#79115,.T.); #192976=EDGE_CURVE('',#157422,#157423,#79116,.T.); #192977=EDGE_CURVE('',#157424,#157422,#79117,.T.); #192978=EDGE_CURVE('',#157425,#157423,#79118,.T.); #192979=EDGE_CURVE('',#157424,#157425,#79119,.T.); #192980=EDGE_CURVE('',#157426,#157424,#79120,.T.); #192981=EDGE_CURVE('',#157427,#157425,#79121,.T.); #192982=EDGE_CURVE('',#157426,#157427,#79122,.T.); #192983=EDGE_CURVE('',#157428,#157426,#79123,.T.); #192984=EDGE_CURVE('',#157429,#157427,#79124,.T.); #192985=EDGE_CURVE('',#157428,#157429,#79125,.T.); #192986=EDGE_CURVE('',#157430,#157428,#79126,.T.); #192987=EDGE_CURVE('',#157431,#157429,#79127,.T.); #192988=EDGE_CURVE('',#157430,#157431,#79128,.T.); #192989=EDGE_CURVE('',#157432,#157430,#79129,.T.); #192990=EDGE_CURVE('',#157433,#157431,#79130,.T.); #192991=EDGE_CURVE('',#157432,#157433,#79131,.T.); #192992=EDGE_CURVE('',#157434,#157432,#79132,.T.); #192993=EDGE_CURVE('',#157435,#157433,#79133,.T.); #192994=EDGE_CURVE('',#157434,#157435,#79134,.T.); #192995=EDGE_CURVE('',#157436,#157434,#79135,.T.); #192996=EDGE_CURVE('',#157437,#157435,#79136,.T.); #192997=EDGE_CURVE('',#157436,#157437,#79137,.T.); #192998=EDGE_CURVE('',#157438,#157436,#79138,.T.); #192999=EDGE_CURVE('',#157439,#157437,#79139,.T.); #193000=EDGE_CURVE('',#157438,#157439,#79140,.T.); #193001=EDGE_CURVE('',#157440,#157438,#79141,.T.); #193002=EDGE_CURVE('',#157441,#157439,#79142,.T.); #193003=EDGE_CURVE('',#157440,#157441,#79143,.T.); #193004=EDGE_CURVE('',#157442,#157440,#79144,.T.); #193005=EDGE_CURVE('',#157443,#157441,#79145,.T.); #193006=EDGE_CURVE('',#157442,#157443,#79146,.T.); #193007=EDGE_CURVE('',#157444,#157442,#79147,.T.); #193008=EDGE_CURVE('',#157445,#157443,#79148,.T.); #193009=EDGE_CURVE('',#157444,#157445,#79149,.T.); #193010=EDGE_CURVE('',#157446,#157444,#79150,.T.); #193011=EDGE_CURVE('',#157447,#157445,#79151,.T.); #193012=EDGE_CURVE('',#157446,#157447,#79152,.T.); #193013=EDGE_CURVE('',#157448,#157446,#79153,.T.); #193014=EDGE_CURVE('',#157449,#157447,#79154,.T.); #193015=EDGE_CURVE('',#157448,#157449,#79155,.T.); #193016=EDGE_CURVE('',#157450,#157448,#79156,.T.); #193017=EDGE_CURVE('',#157451,#157449,#79157,.T.); #193018=EDGE_CURVE('',#157450,#157451,#79158,.T.); #193019=EDGE_CURVE('',#157452,#157450,#79159,.T.); #193020=EDGE_CURVE('',#157453,#157451,#79160,.T.); #193021=EDGE_CURVE('',#157452,#157453,#79161,.T.); #193022=EDGE_CURVE('',#157454,#157452,#79162,.T.); #193023=EDGE_CURVE('',#157455,#157453,#79163,.T.); #193024=EDGE_CURVE('',#157454,#157455,#79164,.T.); #193025=EDGE_CURVE('',#157456,#157454,#79165,.T.); #193026=EDGE_CURVE('',#157457,#157455,#79166,.T.); #193027=EDGE_CURVE('',#157456,#157457,#79167,.T.); #193028=EDGE_CURVE('',#157458,#157456,#79168,.T.); #193029=EDGE_CURVE('',#157459,#157457,#79169,.T.); #193030=EDGE_CURVE('',#157458,#157459,#79170,.T.); #193031=EDGE_CURVE('',#157460,#157458,#79171,.T.); #193032=EDGE_CURVE('',#157461,#157459,#79172,.T.); #193033=EDGE_CURVE('',#157460,#157461,#79173,.T.); #193034=EDGE_CURVE('',#157462,#157460,#79174,.T.); #193035=EDGE_CURVE('',#157463,#157461,#79175,.T.); #193036=EDGE_CURVE('',#157462,#157463,#79176,.T.); #193037=EDGE_CURVE('',#157464,#157462,#79177,.T.); #193038=EDGE_CURVE('',#157465,#157463,#79178,.T.); #193039=EDGE_CURVE('',#157464,#157465,#79179,.T.); #193040=EDGE_CURVE('',#157466,#157464,#79180,.T.); #193041=EDGE_CURVE('',#157467,#157465,#79181,.T.); #193042=EDGE_CURVE('',#157466,#157467,#79182,.T.); #193043=EDGE_CURVE('',#157468,#157466,#79183,.T.); #193044=EDGE_CURVE('',#157469,#157467,#79184,.T.); #193045=EDGE_CURVE('',#157468,#157469,#79185,.T.); #193046=EDGE_CURVE('',#157470,#157468,#79186,.T.); #193047=EDGE_CURVE('',#157471,#157469,#79187,.T.); #193048=EDGE_CURVE('',#157470,#157471,#79188,.T.); #193049=EDGE_CURVE('',#157472,#157470,#79189,.T.); #193050=EDGE_CURVE('',#157473,#157471,#79190,.T.); #193051=EDGE_CURVE('',#157472,#157473,#79191,.T.); #193052=EDGE_CURVE('',#157474,#157472,#79192,.T.); #193053=EDGE_CURVE('',#157475,#157473,#79193,.T.); #193054=EDGE_CURVE('',#157474,#157475,#79194,.T.); #193055=EDGE_CURVE('',#157476,#157474,#79195,.T.); #193056=EDGE_CURVE('',#157477,#157475,#79196,.T.); #193057=EDGE_CURVE('',#157476,#157477,#79197,.T.); #193058=EDGE_CURVE('',#157478,#157476,#79198,.T.); #193059=EDGE_CURVE('',#157479,#157477,#79199,.T.); #193060=EDGE_CURVE('',#157478,#157479,#79200,.T.); #193061=EDGE_CURVE('',#157480,#157478,#79201,.T.); #193062=EDGE_CURVE('',#157481,#157479,#79202,.T.); #193063=EDGE_CURVE('',#157480,#157481,#79203,.T.); #193064=EDGE_CURVE('',#157482,#157480,#79204,.T.); #193065=EDGE_CURVE('',#157483,#157481,#79205,.T.); #193066=EDGE_CURVE('',#157482,#157483,#79206,.T.); #193067=EDGE_CURVE('',#157484,#157482,#79207,.T.); #193068=EDGE_CURVE('',#157485,#157483,#79208,.T.); #193069=EDGE_CURVE('',#157484,#157485,#79209,.T.); #193070=EDGE_CURVE('',#157486,#157484,#79210,.T.); #193071=EDGE_CURVE('',#157487,#157485,#79211,.T.); #193072=EDGE_CURVE('',#157486,#157487,#79212,.T.); #193073=EDGE_CURVE('',#157488,#157486,#79213,.T.); #193074=EDGE_CURVE('',#157489,#157487,#79214,.T.); #193075=EDGE_CURVE('',#157488,#157489,#79215,.T.); #193076=EDGE_CURVE('',#157490,#157488,#79216,.T.); #193077=EDGE_CURVE('',#157491,#157489,#79217,.T.); #193078=EDGE_CURVE('',#157490,#157491,#79218,.T.); #193079=EDGE_CURVE('',#157492,#157490,#79219,.T.); #193080=EDGE_CURVE('',#157493,#157491,#79220,.T.); #193081=EDGE_CURVE('',#157492,#157493,#79221,.T.); #193082=EDGE_CURVE('',#157494,#157492,#79222,.T.); #193083=EDGE_CURVE('',#157495,#157493,#79223,.T.); #193084=EDGE_CURVE('',#157494,#157495,#79224,.T.); #193085=EDGE_CURVE('',#157496,#157494,#79225,.T.); #193086=EDGE_CURVE('',#157497,#157495,#79226,.T.); #193087=EDGE_CURVE('',#157496,#157497,#79227,.T.); #193088=EDGE_CURVE('',#157498,#157496,#79228,.T.); #193089=EDGE_CURVE('',#157499,#157497,#79229,.T.); #193090=EDGE_CURVE('',#157498,#157499,#79230,.T.); #193091=EDGE_CURVE('',#157500,#157498,#79231,.T.); #193092=EDGE_CURVE('',#157501,#157499,#79232,.T.); #193093=EDGE_CURVE('',#157500,#157501,#79233,.T.); #193094=EDGE_CURVE('',#157502,#157500,#79234,.T.); #193095=EDGE_CURVE('',#157503,#157501,#79235,.T.); #193096=EDGE_CURVE('',#157502,#157503,#79236,.T.); #193097=EDGE_CURVE('',#157504,#157502,#79237,.T.); #193098=EDGE_CURVE('',#157505,#157503,#79238,.T.); #193099=EDGE_CURVE('',#157504,#157505,#79239,.T.); #193100=EDGE_CURVE('',#157506,#157504,#79240,.T.); #193101=EDGE_CURVE('',#157507,#157505,#79241,.T.); #193102=EDGE_CURVE('',#157506,#157507,#79242,.T.); #193103=EDGE_CURVE('',#157508,#157506,#79243,.T.); #193104=EDGE_CURVE('',#157509,#157507,#79244,.T.); #193105=EDGE_CURVE('',#157508,#157509,#79245,.T.); #193106=EDGE_CURVE('',#157510,#157508,#79246,.T.); #193107=EDGE_CURVE('',#157511,#157509,#79247,.T.); #193108=EDGE_CURVE('',#157510,#157511,#79248,.T.); #193109=EDGE_CURVE('',#157512,#157510,#79249,.T.); #193110=EDGE_CURVE('',#157513,#157511,#79250,.T.); #193111=EDGE_CURVE('',#157512,#157513,#79251,.T.); #193112=EDGE_CURVE('',#157514,#157512,#79252,.T.); #193113=EDGE_CURVE('',#157515,#157513,#79253,.T.); #193114=EDGE_CURVE('',#157514,#157515,#79254,.T.); #193115=EDGE_CURVE('',#157516,#157514,#79255,.T.); #193116=EDGE_CURVE('',#157517,#157515,#79256,.T.); #193117=EDGE_CURVE('',#157516,#157517,#79257,.T.); #193118=EDGE_CURVE('',#157518,#157516,#79258,.T.); #193119=EDGE_CURVE('',#157519,#157517,#79259,.T.); #193120=EDGE_CURVE('',#157518,#157519,#79260,.T.); #193121=EDGE_CURVE('',#157520,#157518,#79261,.T.); #193122=EDGE_CURVE('',#157521,#157519,#79262,.T.); #193123=EDGE_CURVE('',#157520,#157521,#79263,.T.); #193124=EDGE_CURVE('',#157522,#157520,#79264,.T.); #193125=EDGE_CURVE('',#157523,#157521,#79265,.T.); #193126=EDGE_CURVE('',#157522,#157523,#79266,.T.); #193127=EDGE_CURVE('',#157524,#157522,#79267,.T.); #193128=EDGE_CURVE('',#157525,#157523,#79268,.T.); #193129=EDGE_CURVE('',#157524,#157525,#79269,.T.); #193130=EDGE_CURVE('',#157526,#157524,#79270,.T.); #193131=EDGE_CURVE('',#157527,#157525,#79271,.T.); #193132=EDGE_CURVE('',#157526,#157527,#79272,.T.); #193133=EDGE_CURVE('',#157528,#157526,#79273,.T.); #193134=EDGE_CURVE('',#157529,#157527,#79274,.T.); #193135=EDGE_CURVE('',#157528,#157529,#79275,.T.); #193136=EDGE_CURVE('',#157530,#157528,#79276,.T.); #193137=EDGE_CURVE('',#157531,#157529,#79277,.T.); #193138=EDGE_CURVE('',#157530,#157531,#79278,.T.); #193139=EDGE_CURVE('',#157532,#157530,#79279,.T.); #193140=EDGE_CURVE('',#157533,#157531,#79280,.T.); #193141=EDGE_CURVE('',#157532,#157533,#79281,.T.); #193142=EDGE_CURVE('',#157534,#157532,#79282,.T.); #193143=EDGE_CURVE('',#157535,#157533,#79283,.T.); #193144=EDGE_CURVE('',#157534,#157535,#79284,.T.); #193145=EDGE_CURVE('',#157536,#157534,#79285,.T.); #193146=EDGE_CURVE('',#157537,#157535,#79286,.T.); #193147=EDGE_CURVE('',#157536,#157537,#79287,.T.); #193148=EDGE_CURVE('',#157538,#157536,#79288,.T.); #193149=EDGE_CURVE('',#157539,#157537,#79289,.T.); #193150=EDGE_CURVE('',#157538,#157539,#79290,.T.); #193151=EDGE_CURVE('',#157540,#157538,#79291,.T.); #193152=EDGE_CURVE('',#157541,#157539,#79292,.T.); #193153=EDGE_CURVE('',#157540,#157541,#79293,.T.); #193154=EDGE_CURVE('',#157542,#157540,#79294,.T.); #193155=EDGE_CURVE('',#157543,#157541,#79295,.T.); #193156=EDGE_CURVE('',#157542,#157543,#79296,.T.); #193157=EDGE_CURVE('',#157544,#157542,#79297,.T.); #193158=EDGE_CURVE('',#157545,#157543,#79298,.T.); #193159=EDGE_CURVE('',#157544,#157545,#79299,.T.); #193160=EDGE_CURVE('',#157546,#157544,#79300,.T.); #193161=EDGE_CURVE('',#157547,#157545,#79301,.T.); #193162=EDGE_CURVE('',#157546,#157547,#79302,.T.); #193163=EDGE_CURVE('',#157548,#157546,#79303,.T.); #193164=EDGE_CURVE('',#157549,#157547,#79304,.T.); #193165=EDGE_CURVE('',#157548,#157549,#79305,.T.); #193166=EDGE_CURVE('',#157550,#157548,#79306,.T.); #193167=EDGE_CURVE('',#157551,#157549,#79307,.T.); #193168=EDGE_CURVE('',#157550,#157551,#79308,.T.); #193169=EDGE_CURVE('',#157552,#157550,#79309,.T.); #193170=EDGE_CURVE('',#157553,#157551,#79310,.T.); #193171=EDGE_CURVE('',#157552,#157553,#79311,.T.); #193172=EDGE_CURVE('',#157554,#157552,#79312,.T.); #193173=EDGE_CURVE('',#157555,#157553,#79313,.T.); #193174=EDGE_CURVE('',#157554,#157555,#79314,.T.); #193175=EDGE_CURVE('',#157556,#157554,#79315,.T.); #193176=EDGE_CURVE('',#157557,#157555,#79316,.T.); #193177=EDGE_CURVE('',#157556,#157557,#79317,.T.); #193178=EDGE_CURVE('',#157558,#157556,#79318,.T.); #193179=EDGE_CURVE('',#157559,#157557,#79319,.T.); #193180=EDGE_CURVE('',#157558,#157559,#79320,.T.); #193181=EDGE_CURVE('',#157560,#157558,#79321,.T.); #193182=EDGE_CURVE('',#157561,#157559,#79322,.T.); #193183=EDGE_CURVE('',#157560,#157561,#79323,.T.); #193184=EDGE_CURVE('',#157562,#157560,#79324,.T.); #193185=EDGE_CURVE('',#157563,#157561,#79325,.T.); #193186=EDGE_CURVE('',#157562,#157563,#79326,.T.); #193187=EDGE_CURVE('',#157564,#157562,#79327,.T.); #193188=EDGE_CURVE('',#157565,#157563,#79328,.T.); #193189=EDGE_CURVE('',#157564,#157565,#79329,.T.); #193190=EDGE_CURVE('',#157566,#157564,#79330,.T.); #193191=EDGE_CURVE('',#157567,#157565,#79331,.T.); #193192=EDGE_CURVE('',#157566,#157567,#79332,.T.); #193193=EDGE_CURVE('',#157568,#157566,#79333,.T.); #193194=EDGE_CURVE('',#157569,#157567,#79334,.T.); #193195=EDGE_CURVE('',#157568,#157569,#79335,.T.); #193196=EDGE_CURVE('',#157570,#157568,#79336,.T.); #193197=EDGE_CURVE('',#157571,#157569,#79337,.T.); #193198=EDGE_CURVE('',#157570,#157571,#79338,.T.); #193199=EDGE_CURVE('',#157572,#157570,#79339,.T.); #193200=EDGE_CURVE('',#157573,#157571,#79340,.T.); #193201=EDGE_CURVE('',#157572,#157573,#79341,.T.); #193202=EDGE_CURVE('',#157574,#157572,#79342,.T.); #193203=EDGE_CURVE('',#157575,#157573,#79343,.T.); #193204=EDGE_CURVE('',#157574,#157575,#79344,.T.); #193205=EDGE_CURVE('',#157576,#157574,#79345,.T.); #193206=EDGE_CURVE('',#157577,#157575,#79346,.T.); #193207=EDGE_CURVE('',#157576,#157577,#79347,.T.); #193208=EDGE_CURVE('',#157578,#157576,#79348,.T.); #193209=EDGE_CURVE('',#157579,#157577,#79349,.T.); #193210=EDGE_CURVE('',#157578,#157579,#79350,.T.); #193211=EDGE_CURVE('',#157580,#157578,#79351,.T.); #193212=EDGE_CURVE('',#157581,#157579,#79352,.T.); #193213=EDGE_CURVE('',#157580,#157581,#79353,.T.); #193214=EDGE_CURVE('',#157582,#157580,#79354,.T.); #193215=EDGE_CURVE('',#157583,#157581,#79355,.T.); #193216=EDGE_CURVE('',#157582,#157583,#79356,.T.); #193217=EDGE_CURVE('',#157584,#157582,#79357,.T.); #193218=EDGE_CURVE('',#157585,#157583,#79358,.T.); #193219=EDGE_CURVE('',#157584,#157585,#79359,.T.); #193220=EDGE_CURVE('',#157586,#157584,#79360,.T.); #193221=EDGE_CURVE('',#157587,#157585,#79361,.T.); #193222=EDGE_CURVE('',#157586,#157587,#79362,.T.); #193223=EDGE_CURVE('',#157588,#157586,#79363,.T.); #193224=EDGE_CURVE('',#157589,#157587,#79364,.T.); #193225=EDGE_CURVE('',#157588,#157589,#79365,.T.); #193226=EDGE_CURVE('',#157590,#157588,#79366,.T.); #193227=EDGE_CURVE('',#157591,#157589,#79367,.T.); #193228=EDGE_CURVE('',#157590,#157591,#79368,.T.); #193229=EDGE_CURVE('',#157592,#157590,#79369,.T.); #193230=EDGE_CURVE('',#157593,#157591,#79370,.T.); #193231=EDGE_CURVE('',#157592,#157593,#79371,.T.); #193232=EDGE_CURVE('',#157594,#157592,#79372,.T.); #193233=EDGE_CURVE('',#157595,#157593,#79373,.T.); #193234=EDGE_CURVE('',#157594,#157595,#79374,.T.); #193235=EDGE_CURVE('',#157596,#157594,#79375,.T.); #193236=EDGE_CURVE('',#157597,#157595,#79376,.T.); #193237=EDGE_CURVE('',#157596,#157597,#79377,.T.); #193238=EDGE_CURVE('',#157598,#157596,#79378,.T.); #193239=EDGE_CURVE('',#157599,#157597,#79379,.T.); #193240=EDGE_CURVE('',#157598,#157599,#79380,.T.); #193241=EDGE_CURVE('',#157600,#157598,#79381,.T.); #193242=EDGE_CURVE('',#157601,#157599,#79382,.T.); #193243=EDGE_CURVE('',#157600,#157601,#79383,.T.); #193244=EDGE_CURVE('',#157602,#157600,#79384,.T.); #193245=EDGE_CURVE('',#157603,#157601,#79385,.T.); #193246=EDGE_CURVE('',#157602,#157603,#79386,.T.); #193247=EDGE_CURVE('',#157604,#157602,#79387,.T.); #193248=EDGE_CURVE('',#157605,#157603,#79388,.T.); #193249=EDGE_CURVE('',#157604,#157605,#79389,.T.); #193250=EDGE_CURVE('',#157606,#157604,#79390,.T.); #193251=EDGE_CURVE('',#157607,#157605,#79391,.T.); #193252=EDGE_CURVE('',#157606,#157607,#79392,.T.); #193253=EDGE_CURVE('',#157608,#157606,#79393,.T.); #193254=EDGE_CURVE('',#157609,#157607,#79394,.T.); #193255=EDGE_CURVE('',#157608,#157609,#79395,.T.); #193256=EDGE_CURVE('',#157610,#157608,#79396,.T.); #193257=EDGE_CURVE('',#157611,#157609,#79397,.T.); #193258=EDGE_CURVE('',#157610,#157611,#79398,.T.); #193259=EDGE_CURVE('',#157612,#157610,#79399,.T.); #193260=EDGE_CURVE('',#157613,#157611,#79400,.T.); #193261=EDGE_CURVE('',#157612,#157613,#79401,.T.); #193262=EDGE_CURVE('',#157614,#157612,#79402,.T.); #193263=EDGE_CURVE('',#157615,#157613,#79403,.T.); #193264=EDGE_CURVE('',#157614,#157615,#79404,.T.); #193265=EDGE_CURVE('',#157616,#157614,#79405,.T.); #193266=EDGE_CURVE('',#157617,#157615,#79406,.T.); #193267=EDGE_CURVE('',#157616,#157617,#79407,.T.); #193268=EDGE_CURVE('',#157618,#157616,#79408,.T.); #193269=EDGE_CURVE('',#157619,#157617,#79409,.T.); #193270=EDGE_CURVE('',#157618,#157619,#79410,.T.); #193271=EDGE_CURVE('',#157620,#157618,#79411,.T.); #193272=EDGE_CURVE('',#157621,#157619,#79412,.T.); #193273=EDGE_CURVE('',#157620,#157621,#79413,.T.); #193274=EDGE_CURVE('',#157622,#157620,#79414,.T.); #193275=EDGE_CURVE('',#157623,#157621,#79415,.T.); #193276=EDGE_CURVE('',#157622,#157623,#79416,.T.); #193277=EDGE_CURVE('',#157624,#157622,#79417,.T.); #193278=EDGE_CURVE('',#157625,#157623,#79418,.T.); #193279=EDGE_CURVE('',#157624,#157625,#79419,.T.); #193280=EDGE_CURVE('',#157626,#157624,#79420,.T.); #193281=EDGE_CURVE('',#157627,#157625,#79421,.T.); #193282=EDGE_CURVE('',#157626,#157627,#79422,.T.); #193283=EDGE_CURVE('',#157628,#157626,#79423,.T.); #193284=EDGE_CURVE('',#157629,#157627,#79424,.T.); #193285=EDGE_CURVE('',#157628,#157629,#79425,.T.); #193286=EDGE_CURVE('',#157630,#157628,#79426,.T.); #193287=EDGE_CURVE('',#157631,#157629,#79427,.T.); #193288=EDGE_CURVE('',#157630,#157631,#79428,.T.); #193289=EDGE_CURVE('',#157632,#157630,#79429,.T.); #193290=EDGE_CURVE('',#157633,#157631,#79430,.T.); #193291=EDGE_CURVE('',#157632,#157633,#79431,.T.); #193292=EDGE_CURVE('',#157634,#157632,#79432,.T.); #193293=EDGE_CURVE('',#157635,#157633,#79433,.T.); #193294=EDGE_CURVE('',#157634,#157635,#79434,.T.); #193295=EDGE_CURVE('',#157636,#157634,#79435,.T.); #193296=EDGE_CURVE('',#157637,#157635,#79436,.T.); #193297=EDGE_CURVE('',#157636,#157637,#79437,.T.); #193298=EDGE_CURVE('',#157638,#157636,#79438,.T.); #193299=EDGE_CURVE('',#157639,#157637,#79439,.T.); #193300=EDGE_CURVE('',#157638,#157639,#79440,.T.); #193301=EDGE_CURVE('',#157640,#157638,#79441,.T.); #193302=EDGE_CURVE('',#157641,#157639,#79442,.T.); #193303=EDGE_CURVE('',#157640,#157641,#79443,.T.); #193304=EDGE_CURVE('',#157642,#157640,#79444,.T.); #193305=EDGE_CURVE('',#157643,#157641,#79445,.T.); #193306=EDGE_CURVE('',#157642,#157643,#79446,.T.); #193307=EDGE_CURVE('',#157644,#157642,#79447,.T.); #193308=EDGE_CURVE('',#157645,#157643,#79448,.T.); #193309=EDGE_CURVE('',#157644,#157645,#79449,.T.); #193310=EDGE_CURVE('',#157646,#157644,#79450,.T.); #193311=EDGE_CURVE('',#157647,#157645,#79451,.T.); #193312=EDGE_CURVE('',#157646,#157647,#79452,.T.); #193313=EDGE_CURVE('',#157227,#157646,#79453,.T.); #193314=EDGE_CURVE('',#157228,#157647,#79454,.T.); #193315=EDGE_CURVE('',#157648,#157649,#79455,.T.); #193316=EDGE_CURVE('',#157649,#157650,#79456,.T.); #193317=EDGE_CURVE('',#157651,#157650,#79457,.T.); #193318=EDGE_CURVE('',#157648,#157651,#79458,.T.); #193319=EDGE_CURVE('',#157652,#157648,#79459,.T.); #193320=EDGE_CURVE('',#157653,#157651,#79460,.T.); #193321=EDGE_CURVE('',#157652,#157653,#79461,.T.); #193322=EDGE_CURVE('',#157654,#157652,#79462,.T.); #193323=EDGE_CURVE('',#157655,#157653,#79463,.T.); #193324=EDGE_CURVE('',#157654,#157655,#79464,.T.); #193325=EDGE_CURVE('',#157656,#157654,#79465,.T.); #193326=EDGE_CURVE('',#157657,#157655,#79466,.T.); #193327=EDGE_CURVE('',#157656,#157657,#79467,.T.); #193328=EDGE_CURVE('',#157658,#157656,#79468,.T.); #193329=EDGE_CURVE('',#157659,#157657,#79469,.T.); #193330=EDGE_CURVE('',#157658,#157659,#79470,.T.); #193331=EDGE_CURVE('',#157660,#157658,#79471,.T.); #193332=EDGE_CURVE('',#157661,#157659,#79472,.T.); #193333=EDGE_CURVE('',#157660,#157661,#79473,.T.); #193334=EDGE_CURVE('',#157662,#157660,#79474,.T.); #193335=EDGE_CURVE('',#157663,#157661,#79475,.T.); #193336=EDGE_CURVE('',#157662,#157663,#79476,.T.); #193337=EDGE_CURVE('',#157664,#157662,#79477,.T.); #193338=EDGE_CURVE('',#157665,#157663,#79478,.T.); #193339=EDGE_CURVE('',#157664,#157665,#79479,.T.); #193340=EDGE_CURVE('',#157666,#157664,#79480,.T.); #193341=EDGE_CURVE('',#157667,#157665,#79481,.T.); #193342=EDGE_CURVE('',#157666,#157667,#79482,.T.); #193343=EDGE_CURVE('',#157668,#157666,#79483,.T.); #193344=EDGE_CURVE('',#157669,#157667,#79484,.T.); #193345=EDGE_CURVE('',#157668,#157669,#79485,.T.); #193346=EDGE_CURVE('',#157670,#157668,#79486,.T.); #193347=EDGE_CURVE('',#157671,#157669,#79487,.T.); #193348=EDGE_CURVE('',#157670,#157671,#79488,.T.); #193349=EDGE_CURVE('',#157672,#157670,#79489,.T.); #193350=EDGE_CURVE('',#157673,#157671,#79490,.T.); #193351=EDGE_CURVE('',#157672,#157673,#79491,.T.); #193352=EDGE_CURVE('',#157674,#157672,#79492,.T.); #193353=EDGE_CURVE('',#157675,#157673,#79493,.T.); #193354=EDGE_CURVE('',#157674,#157675,#79494,.T.); #193355=EDGE_CURVE('',#157676,#157674,#79495,.T.); #193356=EDGE_CURVE('',#157677,#157675,#79496,.T.); #193357=EDGE_CURVE('',#157676,#157677,#79497,.T.); #193358=EDGE_CURVE('',#157678,#157676,#79498,.T.); #193359=EDGE_CURVE('',#157679,#157677,#79499,.T.); #193360=EDGE_CURVE('',#157678,#157679,#79500,.T.); #193361=EDGE_CURVE('',#157680,#157678,#79501,.T.); #193362=EDGE_CURVE('',#157681,#157679,#79502,.T.); #193363=EDGE_CURVE('',#157680,#157681,#79503,.T.); #193364=EDGE_CURVE('',#157682,#157680,#79504,.T.); #193365=EDGE_CURVE('',#157683,#157681,#79505,.T.); #193366=EDGE_CURVE('',#157682,#157683,#79506,.T.); #193367=EDGE_CURVE('',#157684,#157682,#79507,.T.); #193368=EDGE_CURVE('',#157685,#157683,#79508,.T.); #193369=EDGE_CURVE('',#157684,#157685,#79509,.T.); #193370=EDGE_CURVE('',#157686,#157684,#79510,.T.); #193371=EDGE_CURVE('',#157687,#157685,#79511,.T.); #193372=EDGE_CURVE('',#157686,#157687,#79512,.T.); #193373=EDGE_CURVE('',#157688,#157686,#79513,.T.); #193374=EDGE_CURVE('',#157689,#157687,#79514,.T.); #193375=EDGE_CURVE('',#157688,#157689,#79515,.T.); #193376=EDGE_CURVE('',#157649,#157688,#79516,.T.); #193377=EDGE_CURVE('',#157650,#157689,#79517,.T.); #193378=EDGE_CURVE('',#157690,#157691,#79518,.T.); #193379=EDGE_CURVE('',#157691,#157692,#79519,.T.); #193380=EDGE_CURVE('',#157693,#157692,#79520,.T.); #193381=EDGE_CURVE('',#157690,#157693,#79521,.T.); #193382=EDGE_CURVE('',#157694,#157690,#79522,.T.); #193383=EDGE_CURVE('',#157695,#157693,#79523,.T.); #193384=EDGE_CURVE('',#157694,#157695,#79524,.T.); #193385=EDGE_CURVE('',#157696,#157694,#79525,.T.); #193386=EDGE_CURVE('',#157697,#157695,#79526,.T.); #193387=EDGE_CURVE('',#157696,#157697,#79527,.T.); #193388=EDGE_CURVE('',#157698,#157696,#79528,.T.); #193389=EDGE_CURVE('',#157699,#157697,#79529,.T.); #193390=EDGE_CURVE('',#157698,#157699,#79530,.T.); #193391=EDGE_CURVE('',#157700,#157698,#79531,.T.); #193392=EDGE_CURVE('',#157701,#157699,#79532,.T.); #193393=EDGE_CURVE('',#157700,#157701,#79533,.T.); #193394=EDGE_CURVE('',#157702,#157700,#79534,.T.); #193395=EDGE_CURVE('',#157703,#157701,#79535,.T.); #193396=EDGE_CURVE('',#157702,#157703,#79536,.T.); #193397=EDGE_CURVE('',#157704,#157702,#79537,.T.); #193398=EDGE_CURVE('',#157705,#157703,#79538,.T.); #193399=EDGE_CURVE('',#157704,#157705,#79539,.T.); #193400=EDGE_CURVE('',#157706,#157704,#79540,.T.); #193401=EDGE_CURVE('',#157707,#157705,#79541,.T.); #193402=EDGE_CURVE('',#157706,#157707,#79542,.T.); #193403=EDGE_CURVE('',#157708,#157706,#79543,.T.); #193404=EDGE_CURVE('',#157709,#157707,#79544,.T.); #193405=EDGE_CURVE('',#157708,#157709,#79545,.T.); #193406=EDGE_CURVE('',#157710,#157708,#79546,.T.); #193407=EDGE_CURVE('',#157711,#157709,#79547,.T.); #193408=EDGE_CURVE('',#157710,#157711,#79548,.T.); #193409=EDGE_CURVE('',#157712,#157710,#79549,.T.); #193410=EDGE_CURVE('',#157713,#157711,#79550,.T.); #193411=EDGE_CURVE('',#157712,#157713,#79551,.T.); #193412=EDGE_CURVE('',#157714,#157712,#79552,.T.); #193413=EDGE_CURVE('',#157715,#157713,#79553,.T.); #193414=EDGE_CURVE('',#157714,#157715,#79554,.T.); #193415=EDGE_CURVE('',#157716,#157714,#79555,.T.); #193416=EDGE_CURVE('',#157717,#157715,#79556,.T.); #193417=EDGE_CURVE('',#157716,#157717,#79557,.T.); #193418=EDGE_CURVE('',#157718,#157716,#79558,.T.); #193419=EDGE_CURVE('',#157719,#157717,#79559,.T.); #193420=EDGE_CURVE('',#157718,#157719,#79560,.T.); #193421=EDGE_CURVE('',#157720,#157718,#79561,.T.); #193422=EDGE_CURVE('',#157721,#157719,#79562,.T.); #193423=EDGE_CURVE('',#157720,#157721,#79563,.T.); #193424=EDGE_CURVE('',#157722,#157720,#79564,.T.); #193425=EDGE_CURVE('',#157723,#157721,#79565,.T.); #193426=EDGE_CURVE('',#157722,#157723,#79566,.T.); #193427=EDGE_CURVE('',#157724,#157722,#79567,.T.); #193428=EDGE_CURVE('',#157725,#157723,#79568,.T.); #193429=EDGE_CURVE('',#157724,#157725,#79569,.T.); #193430=EDGE_CURVE('',#157726,#157724,#79570,.T.); #193431=EDGE_CURVE('',#157727,#157725,#79571,.T.); #193432=EDGE_CURVE('',#157726,#157727,#79572,.T.); #193433=EDGE_CURVE('',#157728,#157726,#79573,.T.); #193434=EDGE_CURVE('',#157729,#157727,#79574,.T.); #193435=EDGE_CURVE('',#157728,#157729,#79575,.T.); #193436=EDGE_CURVE('',#157691,#157728,#79576,.T.); #193437=EDGE_CURVE('',#157692,#157729,#79577,.T.); #193438=EDGE_CURVE('',#157730,#157730,#67237,.T.); #193439=EDGE_CURVE('',#157730,#157731,#79578,.T.); #193440=EDGE_CURVE('',#157731,#157731,#67238,.T.); #193441=EDGE_CURVE('',#157732,#157732,#67239,.T.); #193442=EDGE_CURVE('',#157732,#157733,#79579,.T.); #193443=EDGE_CURVE('',#157733,#157733,#67240,.T.); #193444=EDGE_CURVE('',#157734,#157734,#67241,.T.); #193445=EDGE_CURVE('',#157734,#157735,#79580,.T.); #193446=EDGE_CURVE('',#157735,#157735,#67242,.T.); #193447=EDGE_CURVE('',#157736,#157736,#67243,.T.); #193448=EDGE_CURVE('',#157736,#157737,#79581,.T.); #193449=EDGE_CURVE('',#157737,#157737,#67244,.T.); #193450=EDGE_CURVE('',#157738,#157738,#67245,.T.); #193451=EDGE_CURVE('',#157738,#157739,#79582,.T.); #193452=EDGE_CURVE('',#157739,#157739,#67246,.T.); #193453=EDGE_CURVE('',#157740,#157740,#67247,.T.); #193454=EDGE_CURVE('',#157740,#157741,#79583,.T.); #193455=EDGE_CURVE('',#157741,#157741,#67248,.T.); #193456=EDGE_CURVE('',#157742,#157742,#67249,.T.); #193457=EDGE_CURVE('',#157742,#157743,#79584,.T.); #193458=EDGE_CURVE('',#157743,#157743,#67250,.T.); #193459=EDGE_CURVE('',#157744,#157744,#67251,.T.); #193460=EDGE_CURVE('',#157744,#157745,#79585,.T.); #193461=EDGE_CURVE('',#157745,#157745,#67252,.T.); #193462=EDGE_CURVE('',#157746,#157746,#67253,.T.); #193463=EDGE_CURVE('',#157746,#157747,#79586,.T.); #193464=EDGE_CURVE('',#157747,#157747,#67254,.T.); #193465=EDGE_CURVE('',#157748,#157748,#67255,.T.); #193466=EDGE_CURVE('',#157748,#157749,#79587,.T.); #193467=EDGE_CURVE('',#157749,#157749,#67256,.T.); #193468=EDGE_CURVE('',#157750,#157750,#67257,.T.); #193469=EDGE_CURVE('',#157750,#157751,#79588,.T.); #193470=EDGE_CURVE('',#157751,#157751,#67258,.T.); #193471=EDGE_CURVE('',#157752,#157752,#67259,.T.); #193472=EDGE_CURVE('',#157752,#157753,#79589,.T.); #193473=EDGE_CURVE('',#157753,#157753,#67260,.T.); #193474=EDGE_CURVE('',#157754,#157754,#67261,.T.); #193475=EDGE_CURVE('',#157754,#157755,#79590,.T.); #193476=EDGE_CURVE('',#157755,#157755,#67262,.T.); #193477=EDGE_CURVE('',#157756,#157756,#67263,.T.); #193478=EDGE_CURVE('',#157756,#157757,#79591,.T.); #193479=EDGE_CURVE('',#157757,#157757,#67264,.T.); #193480=EDGE_CURVE('',#157758,#157758,#67265,.T.); #193481=EDGE_CURVE('',#157758,#157759,#79592,.T.); #193482=EDGE_CURVE('',#157759,#157759,#67266,.T.); #193483=EDGE_CURVE('',#157760,#157760,#67267,.T.); #193484=EDGE_CURVE('',#157760,#157761,#79593,.T.); #193485=EDGE_CURVE('',#157761,#157761,#67268,.T.); #193486=EDGE_CURVE('',#157762,#157762,#67269,.T.); #193487=EDGE_CURVE('',#157762,#157763,#79594,.T.); #193488=EDGE_CURVE('',#157763,#157763,#67270,.T.); #193489=EDGE_CURVE('',#157764,#157764,#67271,.T.); #193490=EDGE_CURVE('',#157764,#157765,#79595,.T.); #193491=EDGE_CURVE('',#157765,#157765,#67272,.T.); #193492=EDGE_CURVE('',#157766,#157766,#67273,.T.); #193493=EDGE_CURVE('',#157766,#157767,#79596,.T.); #193494=EDGE_CURVE('',#157767,#157767,#67274,.T.); #193495=EDGE_CURVE('',#157768,#157768,#67275,.T.); #193496=EDGE_CURVE('',#157768,#157769,#79597,.T.); #193497=EDGE_CURVE('',#157769,#157769,#67276,.T.); #193498=EDGE_CURVE('',#157770,#157770,#67277,.T.); #193499=EDGE_CURVE('',#157770,#157771,#79598,.T.); #193500=EDGE_CURVE('',#157771,#157771,#67278,.T.); #193501=EDGE_CURVE('',#157772,#157772,#67279,.T.); #193502=EDGE_CURVE('',#157772,#157773,#79599,.T.); #193503=EDGE_CURVE('',#157773,#157773,#67280,.T.); #193504=EDGE_CURVE('',#157774,#157774,#67281,.T.); #193505=EDGE_CURVE('',#157774,#157775,#79600,.T.); #193506=EDGE_CURVE('',#157775,#157775,#67282,.T.); #193507=EDGE_CURVE('',#157776,#157776,#67283,.T.); #193508=EDGE_CURVE('',#157776,#157777,#79601,.T.); #193509=EDGE_CURVE('',#157777,#157777,#67284,.T.); #193510=EDGE_CURVE('',#157778,#157778,#67285,.T.); #193511=EDGE_CURVE('',#157778,#157779,#79602,.T.); #193512=EDGE_CURVE('',#157779,#157779,#67286,.T.); #193513=EDGE_CURVE('',#157780,#157780,#67287,.T.); #193514=EDGE_CURVE('',#157780,#157781,#79603,.T.); #193515=EDGE_CURVE('',#157781,#157781,#67288,.T.); #193516=EDGE_CURVE('',#157782,#157782,#67289,.T.); #193517=EDGE_CURVE('',#157782,#157783,#79604,.T.); #193518=EDGE_CURVE('',#157783,#157783,#67290,.T.); #193519=EDGE_CURVE('',#157784,#157784,#67291,.T.); #193520=EDGE_CURVE('',#157784,#157785,#79605,.T.); #193521=EDGE_CURVE('',#157785,#157785,#67292,.T.); #193522=EDGE_CURVE('',#157786,#157786,#67293,.T.); #193523=EDGE_CURVE('',#157786,#157787,#79606,.T.); #193524=EDGE_CURVE('',#157787,#157787,#67294,.T.); #193525=EDGE_CURVE('',#157788,#157788,#67295,.T.); #193526=EDGE_CURVE('',#157788,#157789,#79607,.T.); #193527=EDGE_CURVE('',#157789,#157789,#67296,.T.); #193528=EDGE_CURVE('',#157790,#157790,#67297,.T.); #193529=EDGE_CURVE('',#157790,#157791,#79608,.T.); #193530=EDGE_CURVE('',#157791,#157791,#67298,.T.); #193531=EDGE_CURVE('',#157792,#157792,#67299,.T.); #193532=EDGE_CURVE('',#157792,#157793,#79609,.T.); #193533=EDGE_CURVE('',#157793,#157793,#67300,.T.); #193534=EDGE_CURVE('',#157794,#157794,#67301,.T.); #193535=EDGE_CURVE('',#157794,#157795,#79610,.T.); #193536=EDGE_CURVE('',#157795,#157795,#67302,.T.); #193537=EDGE_CURVE('',#157796,#157796,#67303,.T.); #193538=EDGE_CURVE('',#157796,#157797,#79611,.T.); #193539=EDGE_CURVE('',#157797,#157797,#67304,.T.); #193540=EDGE_CURVE('',#157798,#157798,#67305,.T.); #193541=EDGE_CURVE('',#157798,#157799,#79612,.T.); #193542=EDGE_CURVE('',#157799,#157799,#67306,.T.); #193543=EDGE_CURVE('',#157800,#157801,#79613,.T.); #193544=EDGE_CURVE('',#157802,#157800,#79614,.T.); #193545=EDGE_CURVE('',#157803,#157802,#79615,.T.); #193546=EDGE_CURVE('',#157803,#157801,#79616,.T.); #193547=EDGE_CURVE('',#157801,#157804,#67307,.T.); #193548=EDGE_CURVE('',#157805,#157803,#67308,.T.); #193549=EDGE_CURVE('',#157805,#157804,#79617,.T.); #193550=EDGE_CURVE('',#157804,#157806,#79618,.T.); #193551=EDGE_CURVE('',#157807,#157805,#79619,.T.); #193552=EDGE_CURVE('',#157807,#157806,#79620,.T.); #193553=EDGE_CURVE('',#157806,#157800,#67309,.T.); #193554=EDGE_CURVE('',#157802,#157807,#67310,.T.); #193555=EDGE_CURVE('',#157808,#157808,#67311,.T.); #193556=EDGE_CURVE('',#157808,#157809,#79621,.T.); #193557=EDGE_CURVE('',#157809,#157809,#67312,.T.); #193558=EDGE_CURVE('',#157810,#157810,#67313,.T.); #193559=EDGE_CURVE('',#157810,#157811,#79622,.T.); #193560=EDGE_CURVE('',#157811,#157811,#67314,.T.); #193561=EDGE_CURVE('',#157812,#157812,#67315,.T.); #193562=EDGE_CURVE('',#157812,#157813,#79623,.T.); #193563=EDGE_CURVE('',#157813,#157813,#67316,.T.); #193564=EDGE_CURVE('',#157814,#157814,#67317,.T.); #193565=EDGE_CURVE('',#157814,#157815,#79624,.T.); #193566=EDGE_CURVE('',#157815,#157815,#67318,.T.); #193567=EDGE_CURVE('',#157816,#157817,#79625,.T.); #193568=EDGE_CURVE('',#157818,#157816,#79626,.T.); #193569=EDGE_CURVE('',#157819,#157818,#79627,.T.); #193570=EDGE_CURVE('',#157819,#157817,#79628,.T.); #193571=EDGE_CURVE('',#157817,#157820,#67319,.T.); #193572=EDGE_CURVE('',#157821,#157819,#67320,.T.); #193573=EDGE_CURVE('',#157821,#157820,#79629,.T.); #193574=EDGE_CURVE('',#157820,#157822,#79630,.T.); #193575=EDGE_CURVE('',#157823,#157821,#79631,.T.); #193576=EDGE_CURVE('',#157823,#157822,#79632,.T.); #193577=EDGE_CURVE('',#157822,#157816,#67321,.T.); #193578=EDGE_CURVE('',#157818,#157823,#67322,.T.); #193579=EDGE_CURVE('',#157824,#157825,#79633,.T.); #193580=EDGE_CURVE('',#157826,#157824,#79634,.T.); #193581=EDGE_CURVE('',#157827,#157826,#79635,.T.); #193582=EDGE_CURVE('',#157827,#157825,#79636,.T.); #193583=EDGE_CURVE('',#157825,#157828,#67323,.T.); #193584=EDGE_CURVE('',#157829,#157827,#67324,.T.); #193585=EDGE_CURVE('',#157829,#157828,#79637,.T.); #193586=EDGE_CURVE('',#157828,#157830,#79638,.T.); #193587=EDGE_CURVE('',#157831,#157829,#79639,.T.); #193588=EDGE_CURVE('',#157831,#157830,#79640,.T.); #193589=EDGE_CURVE('',#157830,#157824,#67325,.T.); #193590=EDGE_CURVE('',#157826,#157831,#67326,.T.); #193591=EDGE_CURVE('',#157832,#157833,#79641,.T.); #193592=EDGE_CURVE('',#157834,#157832,#79642,.T.); #193593=EDGE_CURVE('',#157835,#157834,#79643,.T.); #193594=EDGE_CURVE('',#157835,#157833,#79644,.T.); #193595=EDGE_CURVE('',#157833,#157836,#67327,.T.); #193596=EDGE_CURVE('',#157837,#157835,#67328,.T.); #193597=EDGE_CURVE('',#157837,#157836,#79645,.T.); #193598=EDGE_CURVE('',#157836,#157838,#79646,.T.); #193599=EDGE_CURVE('',#157839,#157837,#79647,.T.); #193600=EDGE_CURVE('',#157839,#157838,#79648,.T.); #193601=EDGE_CURVE('',#157838,#157832,#67329,.T.); #193602=EDGE_CURVE('',#157834,#157839,#67330,.T.); #193603=EDGE_CURVE('',#157840,#157840,#67331,.T.); #193604=EDGE_CURVE('',#157840,#157841,#79649,.T.); #193605=EDGE_CURVE('',#157841,#157841,#67332,.T.); #193606=EDGE_CURVE('',#157842,#157842,#67333,.T.); #193607=EDGE_CURVE('',#157842,#157843,#79650,.T.); #193608=EDGE_CURVE('',#157843,#157843,#67334,.T.); #193609=EDGE_CURVE('',#157844,#157844,#67335,.T.); #193610=EDGE_CURVE('',#157844,#157845,#79651,.T.); #193611=EDGE_CURVE('',#157845,#157845,#67336,.T.); #193612=EDGE_CURVE('',#157846,#157846,#67337,.T.); #193613=EDGE_CURVE('',#157846,#157847,#79652,.T.); #193614=EDGE_CURVE('',#157847,#157847,#67338,.T.); #193615=EDGE_CURVE('',#157848,#157848,#67339,.T.); #193616=EDGE_CURVE('',#157848,#157849,#79653,.T.); #193617=EDGE_CURVE('',#157849,#157849,#67340,.T.); #193618=EDGE_CURVE('',#157850,#157850,#67341,.T.); #193619=EDGE_CURVE('',#157850,#157851,#79654,.T.); #193620=EDGE_CURVE('',#157851,#157851,#67342,.T.); #193621=EDGE_CURVE('',#157852,#157852,#67343,.T.); #193622=EDGE_CURVE('',#157852,#157853,#79655,.T.); #193623=EDGE_CURVE('',#157853,#157853,#67344,.T.); #193624=EDGE_CURVE('',#157854,#157854,#67345,.T.); #193625=EDGE_CURVE('',#157854,#157855,#79656,.T.); #193626=EDGE_CURVE('',#157855,#157855,#67346,.T.); #193627=EDGE_CURVE('',#157856,#157856,#67347,.T.); #193628=EDGE_CURVE('',#157856,#157857,#79657,.T.); #193629=EDGE_CURVE('',#157857,#157857,#67348,.T.); #193630=EDGE_CURVE('',#157858,#157858,#67349,.T.); #193631=EDGE_CURVE('',#157858,#157859,#79658,.T.); #193632=EDGE_CURVE('',#157859,#157859,#67350,.T.); #193633=EDGE_CURVE('',#157860,#157860,#67351,.T.); #193634=EDGE_CURVE('',#157860,#157861,#79659,.T.); #193635=EDGE_CURVE('',#157861,#157861,#67352,.T.); #193636=EDGE_CURVE('',#157862,#157862,#67353,.T.); #193637=EDGE_CURVE('',#157862,#157863,#79660,.T.); #193638=EDGE_CURVE('',#157863,#157863,#67354,.T.); #193639=EDGE_CURVE('',#157864,#157864,#67355,.T.); #193640=EDGE_CURVE('',#157864,#157865,#79661,.T.); #193641=EDGE_CURVE('',#157865,#157865,#67356,.T.); #193642=EDGE_CURVE('',#157866,#157866,#67357,.T.); #193643=EDGE_CURVE('',#157866,#157867,#79662,.T.); #193644=EDGE_CURVE('',#157867,#157867,#67358,.T.); #193645=EDGE_CURVE('',#157868,#157868,#67359,.T.); #193646=EDGE_CURVE('',#157868,#157869,#79663,.T.); #193647=EDGE_CURVE('',#157869,#157869,#67360,.T.); #193648=EDGE_CURVE('',#157870,#157870,#67361,.T.); #193649=EDGE_CURVE('',#157870,#157871,#79664,.T.); #193650=EDGE_CURVE('',#157871,#157871,#67362,.T.); #193651=EDGE_CURVE('',#157872,#157872,#67363,.T.); #193652=EDGE_CURVE('',#157872,#157873,#79665,.T.); #193653=EDGE_CURVE('',#157873,#157873,#67364,.T.); #193654=EDGE_CURVE('',#157874,#157874,#67365,.T.); #193655=EDGE_CURVE('',#157874,#157875,#79666,.T.); #193656=EDGE_CURVE('',#157875,#157875,#67366,.T.); #193657=EDGE_CURVE('',#157876,#157876,#67367,.T.); #193658=EDGE_CURVE('',#157876,#157877,#79667,.T.); #193659=EDGE_CURVE('',#157877,#157877,#67368,.T.); #193660=EDGE_CURVE('',#157878,#157879,#79668,.T.); #193661=EDGE_CURVE('',#157879,#157880,#79669,.T.); #193662=EDGE_CURVE('',#157881,#157880,#79670,.T.); #193663=EDGE_CURVE('',#157878,#157881,#79671,.T.); #193664=EDGE_CURVE('',#157882,#157878,#79672,.T.); #193665=EDGE_CURVE('',#157883,#157881,#79673,.T.); #193666=EDGE_CURVE('',#157882,#157883,#79674,.T.); #193667=EDGE_CURVE('',#157884,#157882,#79675,.T.); #193668=EDGE_CURVE('',#157885,#157883,#79676,.T.); #193669=EDGE_CURVE('',#157884,#157885,#79677,.T.); #193670=EDGE_CURVE('',#157886,#157884,#79678,.T.); #193671=EDGE_CURVE('',#157887,#157885,#79679,.T.); #193672=EDGE_CURVE('',#157886,#157887,#79680,.T.); #193673=EDGE_CURVE('',#157888,#157886,#79681,.T.); #193674=EDGE_CURVE('',#157889,#157887,#79682,.T.); #193675=EDGE_CURVE('',#157888,#157889,#79683,.T.); #193676=EDGE_CURVE('',#157890,#157888,#79684,.T.); #193677=EDGE_CURVE('',#157891,#157889,#79685,.T.); #193678=EDGE_CURVE('',#157890,#157891,#79686,.T.); #193679=EDGE_CURVE('',#157892,#157890,#79687,.T.); #193680=EDGE_CURVE('',#157893,#157891,#79688,.T.); #193681=EDGE_CURVE('',#157892,#157893,#79689,.T.); #193682=EDGE_CURVE('',#157879,#157892,#79690,.T.); #193683=EDGE_CURVE('',#157880,#157893,#79691,.T.); #193684=EDGE_CURVE('',#157894,#157895,#79692,.T.); #193685=EDGE_CURVE('',#157895,#157896,#79693,.T.); #193686=EDGE_CURVE('',#157897,#157896,#79694,.T.); #193687=EDGE_CURVE('',#157894,#157897,#79695,.T.); #193688=EDGE_CURVE('',#157898,#157894,#79696,.T.); #193689=EDGE_CURVE('',#157899,#157897,#79697,.T.); #193690=EDGE_CURVE('',#157898,#157899,#79698,.T.); #193691=EDGE_CURVE('',#157900,#157898,#79699,.T.); #193692=EDGE_CURVE('',#157901,#157899,#79700,.T.); #193693=EDGE_CURVE('',#157900,#157901,#79701,.T.); #193694=EDGE_CURVE('',#157902,#157900,#79702,.T.); #193695=EDGE_CURVE('',#157903,#157901,#79703,.T.); #193696=EDGE_CURVE('',#157902,#157903,#79704,.T.); #193697=EDGE_CURVE('',#157904,#157902,#79705,.T.); #193698=EDGE_CURVE('',#157905,#157903,#79706,.T.); #193699=EDGE_CURVE('',#157904,#157905,#79707,.T.); #193700=EDGE_CURVE('',#157906,#157904,#79708,.T.); #193701=EDGE_CURVE('',#157907,#157905,#79709,.T.); #193702=EDGE_CURVE('',#157906,#157907,#79710,.T.); #193703=EDGE_CURVE('',#157908,#157906,#79711,.T.); #193704=EDGE_CURVE('',#157909,#157907,#79712,.T.); #193705=EDGE_CURVE('',#157908,#157909,#79713,.T.); #193706=EDGE_CURVE('',#157910,#157908,#79714,.T.); #193707=EDGE_CURVE('',#157911,#157909,#79715,.T.); #193708=EDGE_CURVE('',#157910,#157911,#79716,.T.); #193709=EDGE_CURVE('',#157912,#157910,#79717,.T.); #193710=EDGE_CURVE('',#157913,#157911,#79718,.T.); #193711=EDGE_CURVE('',#157912,#157913,#79719,.T.); #193712=EDGE_CURVE('',#157914,#157912,#79720,.T.); #193713=EDGE_CURVE('',#157915,#157913,#79721,.T.); #193714=EDGE_CURVE('',#157914,#157915,#79722,.T.); #193715=EDGE_CURVE('',#157916,#157914,#79723,.T.); #193716=EDGE_CURVE('',#157917,#157915,#79724,.T.); #193717=EDGE_CURVE('',#157916,#157917,#79725,.T.); #193718=EDGE_CURVE('',#157918,#157916,#79726,.T.); #193719=EDGE_CURVE('',#157919,#157917,#79727,.T.); #193720=EDGE_CURVE('',#157918,#157919,#79728,.T.); #193721=EDGE_CURVE('',#157920,#157918,#79729,.T.); #193722=EDGE_CURVE('',#157921,#157919,#79730,.T.); #193723=EDGE_CURVE('',#157920,#157921,#79731,.T.); #193724=EDGE_CURVE('',#157922,#157920,#79732,.T.); #193725=EDGE_CURVE('',#157923,#157921,#79733,.T.); #193726=EDGE_CURVE('',#157922,#157923,#79734,.T.); #193727=EDGE_CURVE('',#157924,#157922,#79735,.T.); #193728=EDGE_CURVE('',#157925,#157923,#79736,.T.); #193729=EDGE_CURVE('',#157924,#157925,#79737,.T.); #193730=EDGE_CURVE('',#157895,#157924,#79738,.T.); #193731=EDGE_CURVE('',#157896,#157925,#79739,.T.); #193732=EDGE_CURVE('',#157926,#157927,#79740,.T.); #193733=EDGE_CURVE('',#157927,#157928,#79741,.T.); #193734=EDGE_CURVE('',#157929,#157928,#79742,.T.); #193735=EDGE_CURVE('',#157926,#157929,#79743,.T.); #193736=EDGE_CURVE('',#157930,#157926,#79744,.T.); #193737=EDGE_CURVE('',#157931,#157929,#79745,.T.); #193738=EDGE_CURVE('',#157930,#157931,#79746,.T.); #193739=EDGE_CURVE('',#157932,#157930,#79747,.T.); #193740=EDGE_CURVE('',#157933,#157931,#79748,.T.); #193741=EDGE_CURVE('',#157932,#157933,#79749,.T.); #193742=EDGE_CURVE('',#157934,#157932,#79750,.T.); #193743=EDGE_CURVE('',#157935,#157933,#79751,.T.); #193744=EDGE_CURVE('',#157934,#157935,#79752,.T.); #193745=EDGE_CURVE('',#157936,#157934,#79753,.T.); #193746=EDGE_CURVE('',#157937,#157935,#79754,.T.); #193747=EDGE_CURVE('',#157936,#157937,#79755,.T.); #193748=EDGE_CURVE('',#157938,#157936,#79756,.T.); #193749=EDGE_CURVE('',#157939,#157937,#79757,.T.); #193750=EDGE_CURVE('',#157938,#157939,#79758,.T.); #193751=EDGE_CURVE('',#157940,#157938,#79759,.T.); #193752=EDGE_CURVE('',#157941,#157939,#79760,.T.); #193753=EDGE_CURVE('',#157940,#157941,#79761,.T.); #193754=EDGE_CURVE('',#157942,#157940,#79762,.T.); #193755=EDGE_CURVE('',#157943,#157941,#79763,.T.); #193756=EDGE_CURVE('',#157942,#157943,#79764,.T.); #193757=EDGE_CURVE('',#157944,#157942,#79765,.T.); #193758=EDGE_CURVE('',#157945,#157943,#79766,.T.); #193759=EDGE_CURVE('',#157944,#157945,#79767,.T.); #193760=EDGE_CURVE('',#157946,#157944,#79768,.T.); #193761=EDGE_CURVE('',#157947,#157945,#79769,.T.); #193762=EDGE_CURVE('',#157946,#157947,#79770,.T.); #193763=EDGE_CURVE('',#157948,#157946,#79771,.T.); #193764=EDGE_CURVE('',#157949,#157947,#79772,.T.); #193765=EDGE_CURVE('',#157948,#157949,#79773,.T.); #193766=EDGE_CURVE('',#157950,#157948,#79774,.T.); #193767=EDGE_CURVE('',#157951,#157949,#79775,.T.); #193768=EDGE_CURVE('',#157950,#157951,#79776,.T.); #193769=EDGE_CURVE('',#157952,#157950,#79777,.T.); #193770=EDGE_CURVE('',#157953,#157951,#79778,.T.); #193771=EDGE_CURVE('',#157952,#157953,#79779,.T.); #193772=EDGE_CURVE('',#157954,#157952,#79780,.T.); #193773=EDGE_CURVE('',#157955,#157953,#79781,.T.); #193774=EDGE_CURVE('',#157954,#157955,#79782,.T.); #193775=EDGE_CURVE('',#157956,#157954,#79783,.T.); #193776=EDGE_CURVE('',#157957,#157955,#79784,.T.); #193777=EDGE_CURVE('',#157956,#157957,#79785,.T.); #193778=EDGE_CURVE('',#157927,#157956,#79786,.T.); #193779=EDGE_CURVE('',#157928,#157957,#79787,.T.); #193780=EDGE_CURVE('',#157958,#157959,#79788,.T.); #193781=EDGE_CURVE('',#157959,#157960,#79789,.T.); #193782=EDGE_CURVE('',#157961,#157960,#79790,.T.); #193783=EDGE_CURVE('',#157958,#157961,#79791,.T.); #193784=EDGE_CURVE('',#157962,#157958,#79792,.T.); #193785=EDGE_CURVE('',#157963,#157961,#79793,.T.); #193786=EDGE_CURVE('',#157962,#157963,#79794,.T.); #193787=EDGE_CURVE('',#157964,#157962,#79795,.T.); #193788=EDGE_CURVE('',#157965,#157963,#79796,.T.); #193789=EDGE_CURVE('',#157964,#157965,#79797,.T.); #193790=EDGE_CURVE('',#157966,#157964,#79798,.T.); #193791=EDGE_CURVE('',#157967,#157965,#79799,.T.); #193792=EDGE_CURVE('',#157966,#157967,#79800,.T.); #193793=EDGE_CURVE('',#157968,#157966,#79801,.T.); #193794=EDGE_CURVE('',#157969,#157967,#79802,.T.); #193795=EDGE_CURVE('',#157968,#157969,#79803,.T.); #193796=EDGE_CURVE('',#157970,#157968,#79804,.T.); #193797=EDGE_CURVE('',#157971,#157969,#79805,.T.); #193798=EDGE_CURVE('',#157970,#157971,#79806,.T.); #193799=EDGE_CURVE('',#157972,#157970,#79807,.T.); #193800=EDGE_CURVE('',#157973,#157971,#79808,.T.); #193801=EDGE_CURVE('',#157972,#157973,#79809,.T.); #193802=EDGE_CURVE('',#157974,#157972,#79810,.T.); #193803=EDGE_CURVE('',#157975,#157973,#79811,.T.); #193804=EDGE_CURVE('',#157974,#157975,#79812,.T.); #193805=EDGE_CURVE('',#157976,#157974,#79813,.T.); #193806=EDGE_CURVE('',#157977,#157975,#79814,.T.); #193807=EDGE_CURVE('',#157976,#157977,#79815,.T.); #193808=EDGE_CURVE('',#157978,#157976,#79816,.T.); #193809=EDGE_CURVE('',#157979,#157977,#79817,.T.); #193810=EDGE_CURVE('',#157978,#157979,#79818,.T.); #193811=EDGE_CURVE('',#157980,#157978,#79819,.T.); #193812=EDGE_CURVE('',#157981,#157979,#79820,.T.); #193813=EDGE_CURVE('',#157980,#157981,#79821,.T.); #193814=EDGE_CURVE('',#157982,#157980,#79822,.T.); #193815=EDGE_CURVE('',#157983,#157981,#79823,.T.); #193816=EDGE_CURVE('',#157982,#157983,#79824,.T.); #193817=EDGE_CURVE('',#157984,#157982,#79825,.T.); #193818=EDGE_CURVE('',#157985,#157983,#79826,.T.); #193819=EDGE_CURVE('',#157984,#157985,#79827,.T.); #193820=EDGE_CURVE('',#157986,#157984,#79828,.T.); #193821=EDGE_CURVE('',#157987,#157985,#79829,.T.); #193822=EDGE_CURVE('',#157986,#157987,#79830,.T.); #193823=EDGE_CURVE('',#157988,#157986,#79831,.T.); #193824=EDGE_CURVE('',#157989,#157987,#79832,.T.); #193825=EDGE_CURVE('',#157988,#157989,#79833,.T.); #193826=EDGE_CURVE('',#157990,#157988,#79834,.T.); #193827=EDGE_CURVE('',#157991,#157989,#79835,.T.); #193828=EDGE_CURVE('',#157990,#157991,#79836,.T.); #193829=EDGE_CURVE('',#157992,#157990,#79837,.T.); #193830=EDGE_CURVE('',#157993,#157991,#79838,.T.); #193831=EDGE_CURVE('',#157992,#157993,#79839,.T.); #193832=EDGE_CURVE('',#157994,#157992,#79840,.T.); #193833=EDGE_CURVE('',#157995,#157993,#79841,.T.); #193834=EDGE_CURVE('',#157994,#157995,#79842,.T.); #193835=EDGE_CURVE('',#157996,#157994,#79843,.T.); #193836=EDGE_CURVE('',#157997,#157995,#79844,.T.); #193837=EDGE_CURVE('',#157996,#157997,#79845,.T.); #193838=EDGE_CURVE('',#157998,#157996,#79846,.T.); #193839=EDGE_CURVE('',#157999,#157997,#79847,.T.); #193840=EDGE_CURVE('',#157998,#157999,#79848,.T.); #193841=EDGE_CURVE('',#158000,#157998,#79849,.T.); #193842=EDGE_CURVE('',#158001,#157999,#79850,.T.); #193843=EDGE_CURVE('',#158000,#158001,#79851,.T.); #193844=EDGE_CURVE('',#158002,#158000,#79852,.T.); #193845=EDGE_CURVE('',#158003,#158001,#79853,.T.); #193846=EDGE_CURVE('',#158002,#158003,#79854,.T.); #193847=EDGE_CURVE('',#158004,#158002,#79855,.T.); #193848=EDGE_CURVE('',#158005,#158003,#79856,.T.); #193849=EDGE_CURVE('',#158004,#158005,#79857,.T.); #193850=EDGE_CURVE('',#158006,#158004,#79858,.T.); #193851=EDGE_CURVE('',#158007,#158005,#79859,.T.); #193852=EDGE_CURVE('',#158006,#158007,#79860,.T.); #193853=EDGE_CURVE('',#158008,#158006,#79861,.T.); #193854=EDGE_CURVE('',#158009,#158007,#79862,.T.); #193855=EDGE_CURVE('',#158008,#158009,#79863,.T.); #193856=EDGE_CURVE('',#158010,#158008,#79864,.T.); #193857=EDGE_CURVE('',#158011,#158009,#79865,.T.); #193858=EDGE_CURVE('',#158010,#158011,#79866,.T.); #193859=EDGE_CURVE('',#158012,#158010,#79867,.T.); #193860=EDGE_CURVE('',#158013,#158011,#79868,.T.); #193861=EDGE_CURVE('',#158012,#158013,#79869,.T.); #193862=EDGE_CURVE('',#158014,#158012,#79870,.T.); #193863=EDGE_CURVE('',#158015,#158013,#79871,.T.); #193864=EDGE_CURVE('',#158014,#158015,#79872,.T.); #193865=EDGE_CURVE('',#158016,#158014,#79873,.T.); #193866=EDGE_CURVE('',#158017,#158015,#79874,.T.); #193867=EDGE_CURVE('',#158016,#158017,#79875,.T.); #193868=EDGE_CURVE('',#158018,#158016,#79876,.T.); #193869=EDGE_CURVE('',#158019,#158017,#79877,.T.); #193870=EDGE_CURVE('',#158018,#158019,#79878,.T.); #193871=EDGE_CURVE('',#158020,#158018,#79879,.T.); #193872=EDGE_CURVE('',#158021,#158019,#79880,.T.); #193873=EDGE_CURVE('',#158020,#158021,#79881,.T.); #193874=EDGE_CURVE('',#158022,#158020,#79882,.T.); #193875=EDGE_CURVE('',#158023,#158021,#79883,.T.); #193876=EDGE_CURVE('',#158022,#158023,#79884,.T.); #193877=EDGE_CURVE('',#158024,#158022,#79885,.T.); #193878=EDGE_CURVE('',#158025,#158023,#79886,.T.); #193879=EDGE_CURVE('',#158024,#158025,#79887,.T.); #193880=EDGE_CURVE('',#158026,#158024,#79888,.T.); #193881=EDGE_CURVE('',#158027,#158025,#79889,.T.); #193882=EDGE_CURVE('',#158026,#158027,#79890,.T.); #193883=EDGE_CURVE('',#158028,#158026,#79891,.T.); #193884=EDGE_CURVE('',#158029,#158027,#79892,.T.); #193885=EDGE_CURVE('',#158028,#158029,#79893,.T.); #193886=EDGE_CURVE('',#157959,#158028,#79894,.T.); #193887=EDGE_CURVE('',#157960,#158029,#79895,.T.); #193888=EDGE_CURVE('',#158030,#158031,#79896,.T.); #193889=EDGE_CURVE('',#158031,#158032,#79897,.T.); #193890=EDGE_CURVE('',#158033,#158032,#79898,.T.); #193891=EDGE_CURVE('',#158030,#158033,#79899,.T.); #193892=EDGE_CURVE('',#158034,#158030,#79900,.T.); #193893=EDGE_CURVE('',#158035,#158033,#79901,.T.); #193894=EDGE_CURVE('',#158034,#158035,#79902,.T.); #193895=EDGE_CURVE('',#158036,#158034,#79903,.T.); #193896=EDGE_CURVE('',#158037,#158035,#79904,.T.); #193897=EDGE_CURVE('',#158036,#158037,#79905,.T.); #193898=EDGE_CURVE('',#158038,#158036,#79906,.T.); #193899=EDGE_CURVE('',#158039,#158037,#79907,.T.); #193900=EDGE_CURVE('',#158038,#158039,#79908,.T.); #193901=EDGE_CURVE('',#158040,#158038,#79909,.T.); #193902=EDGE_CURVE('',#158041,#158039,#79910,.T.); #193903=EDGE_CURVE('',#158040,#158041,#79911,.T.); #193904=EDGE_CURVE('',#158042,#158040,#79912,.T.); #193905=EDGE_CURVE('',#158043,#158041,#79913,.T.); #193906=EDGE_CURVE('',#158042,#158043,#79914,.T.); #193907=EDGE_CURVE('',#158044,#158042,#79915,.T.); #193908=EDGE_CURVE('',#158045,#158043,#79916,.T.); #193909=EDGE_CURVE('',#158044,#158045,#79917,.T.); #193910=EDGE_CURVE('',#158046,#158044,#79918,.T.); #193911=EDGE_CURVE('',#158047,#158045,#79919,.T.); #193912=EDGE_CURVE('',#158046,#158047,#79920,.T.); #193913=EDGE_CURVE('',#158048,#158046,#79921,.T.); #193914=EDGE_CURVE('',#158049,#158047,#79922,.T.); #193915=EDGE_CURVE('',#158048,#158049,#79923,.T.); #193916=EDGE_CURVE('',#158050,#158048,#79924,.T.); #193917=EDGE_CURVE('',#158051,#158049,#79925,.T.); #193918=EDGE_CURVE('',#158050,#158051,#79926,.T.); #193919=EDGE_CURVE('',#158052,#158050,#79927,.T.); #193920=EDGE_CURVE('',#158053,#158051,#79928,.T.); #193921=EDGE_CURVE('',#158052,#158053,#79929,.T.); #193922=EDGE_CURVE('',#158054,#158052,#79930,.T.); #193923=EDGE_CURVE('',#158055,#158053,#79931,.T.); #193924=EDGE_CURVE('',#158054,#158055,#79932,.T.); #193925=EDGE_CURVE('',#158056,#158054,#79933,.T.); #193926=EDGE_CURVE('',#158057,#158055,#79934,.T.); #193927=EDGE_CURVE('',#158056,#158057,#79935,.T.); #193928=EDGE_CURVE('',#158058,#158056,#79936,.T.); #193929=EDGE_CURVE('',#158059,#158057,#79937,.T.); #193930=EDGE_CURVE('',#158058,#158059,#79938,.T.); #193931=EDGE_CURVE('',#158060,#158058,#79939,.T.); #193932=EDGE_CURVE('',#158061,#158059,#79940,.T.); #193933=EDGE_CURVE('',#158060,#158061,#79941,.T.); #193934=EDGE_CURVE('',#158062,#158060,#79942,.T.); #193935=EDGE_CURVE('',#158063,#158061,#79943,.T.); #193936=EDGE_CURVE('',#158062,#158063,#79944,.T.); #193937=EDGE_CURVE('',#158064,#158062,#79945,.T.); #193938=EDGE_CURVE('',#158065,#158063,#79946,.T.); #193939=EDGE_CURVE('',#158064,#158065,#79947,.T.); #193940=EDGE_CURVE('',#158066,#158064,#79948,.T.); #193941=EDGE_CURVE('',#158067,#158065,#79949,.T.); #193942=EDGE_CURVE('',#158066,#158067,#79950,.T.); #193943=EDGE_CURVE('',#158068,#158066,#79951,.T.); #193944=EDGE_CURVE('',#158069,#158067,#79952,.T.); #193945=EDGE_CURVE('',#158068,#158069,#79953,.T.); #193946=EDGE_CURVE('',#158070,#158068,#79954,.T.); #193947=EDGE_CURVE('',#158071,#158069,#79955,.T.); #193948=EDGE_CURVE('',#158070,#158071,#79956,.T.); #193949=EDGE_CURVE('',#158072,#158070,#79957,.T.); #193950=EDGE_CURVE('',#158073,#158071,#79958,.T.); #193951=EDGE_CURVE('',#158072,#158073,#79959,.T.); #193952=EDGE_CURVE('',#158074,#158072,#79960,.T.); #193953=EDGE_CURVE('',#158075,#158073,#79961,.T.); #193954=EDGE_CURVE('',#158074,#158075,#79962,.T.); #193955=EDGE_CURVE('',#158076,#158074,#79963,.T.); #193956=EDGE_CURVE('',#158077,#158075,#79964,.T.); #193957=EDGE_CURVE('',#158076,#158077,#79965,.T.); #193958=EDGE_CURVE('',#158078,#158076,#79966,.T.); #193959=EDGE_CURVE('',#158079,#158077,#79967,.T.); #193960=EDGE_CURVE('',#158078,#158079,#79968,.T.); #193961=EDGE_CURVE('',#158080,#158078,#79969,.T.); #193962=EDGE_CURVE('',#158081,#158079,#79970,.T.); #193963=EDGE_CURVE('',#158080,#158081,#79971,.T.); #193964=EDGE_CURVE('',#158082,#158080,#79972,.T.); #193965=EDGE_CURVE('',#158083,#158081,#79973,.T.); #193966=EDGE_CURVE('',#158082,#158083,#79974,.T.); #193967=EDGE_CURVE('',#158084,#158082,#79975,.T.); #193968=EDGE_CURVE('',#158085,#158083,#79976,.T.); #193969=EDGE_CURVE('',#158084,#158085,#79977,.T.); #193970=EDGE_CURVE('',#158086,#158084,#79978,.T.); #193971=EDGE_CURVE('',#158087,#158085,#79979,.T.); #193972=EDGE_CURVE('',#158086,#158087,#79980,.T.); #193973=EDGE_CURVE('',#158088,#158086,#79981,.T.); #193974=EDGE_CURVE('',#158089,#158087,#79982,.T.); #193975=EDGE_CURVE('',#158088,#158089,#79983,.T.); #193976=EDGE_CURVE('',#158090,#158088,#79984,.T.); #193977=EDGE_CURVE('',#158091,#158089,#79985,.T.); #193978=EDGE_CURVE('',#158090,#158091,#79986,.T.); #193979=EDGE_CURVE('',#158092,#158090,#79987,.T.); #193980=EDGE_CURVE('',#158093,#158091,#79988,.T.); #193981=EDGE_CURVE('',#158092,#158093,#79989,.T.); #193982=EDGE_CURVE('',#158094,#158092,#79990,.T.); #193983=EDGE_CURVE('',#158095,#158093,#79991,.T.); #193984=EDGE_CURVE('',#158094,#158095,#79992,.T.); #193985=EDGE_CURVE('',#158096,#158094,#79993,.T.); #193986=EDGE_CURVE('',#158097,#158095,#79994,.T.); #193987=EDGE_CURVE('',#158096,#158097,#79995,.T.); #193988=EDGE_CURVE('',#158098,#158096,#79996,.T.); #193989=EDGE_CURVE('',#158099,#158097,#79997,.T.); #193990=EDGE_CURVE('',#158098,#158099,#79998,.T.); #193991=EDGE_CURVE('',#158100,#158098,#79999,.T.); #193992=EDGE_CURVE('',#158101,#158099,#80000,.T.); #193993=EDGE_CURVE('',#158100,#158101,#80001,.T.); #193994=EDGE_CURVE('',#158031,#158100,#80002,.T.); #193995=EDGE_CURVE('',#158032,#158101,#80003,.T.); #193996=EDGE_CURVE('',#158102,#158103,#80004,.T.); #193997=EDGE_CURVE('',#158103,#158104,#80005,.T.); #193998=EDGE_CURVE('',#158105,#158104,#80006,.T.); #193999=EDGE_CURVE('',#158102,#158105,#80007,.T.); #194000=EDGE_CURVE('',#158106,#158102,#80008,.T.); #194001=EDGE_CURVE('',#158107,#158105,#80009,.T.); #194002=EDGE_CURVE('',#158106,#158107,#80010,.T.); #194003=EDGE_CURVE('',#158108,#158106,#80011,.T.); #194004=EDGE_CURVE('',#158109,#158107,#80012,.T.); #194005=EDGE_CURVE('',#158108,#158109,#80013,.T.); #194006=EDGE_CURVE('',#158110,#158108,#80014,.T.); #194007=EDGE_CURVE('',#158111,#158109,#80015,.T.); #194008=EDGE_CURVE('',#158110,#158111,#80016,.T.); #194009=EDGE_CURVE('',#158112,#158110,#80017,.T.); #194010=EDGE_CURVE('',#158113,#158111,#80018,.T.); #194011=EDGE_CURVE('',#158112,#158113,#80019,.T.); #194012=EDGE_CURVE('',#158114,#158112,#80020,.T.); #194013=EDGE_CURVE('',#158115,#158113,#80021,.T.); #194014=EDGE_CURVE('',#158114,#158115,#80022,.T.); #194015=EDGE_CURVE('',#158116,#158114,#80023,.T.); #194016=EDGE_CURVE('',#158117,#158115,#80024,.T.); #194017=EDGE_CURVE('',#158116,#158117,#80025,.T.); #194018=EDGE_CURVE('',#158118,#158116,#80026,.T.); #194019=EDGE_CURVE('',#158119,#158117,#80027,.T.); #194020=EDGE_CURVE('',#158118,#158119,#80028,.T.); #194021=EDGE_CURVE('',#158120,#158118,#80029,.T.); #194022=EDGE_CURVE('',#158121,#158119,#80030,.T.); #194023=EDGE_CURVE('',#158120,#158121,#80031,.T.); #194024=EDGE_CURVE('',#158122,#158120,#80032,.T.); #194025=EDGE_CURVE('',#158123,#158121,#80033,.T.); #194026=EDGE_CURVE('',#158122,#158123,#80034,.T.); #194027=EDGE_CURVE('',#158124,#158122,#80035,.T.); #194028=EDGE_CURVE('',#158125,#158123,#80036,.T.); #194029=EDGE_CURVE('',#158124,#158125,#80037,.T.); #194030=EDGE_CURVE('',#158126,#158124,#80038,.T.); #194031=EDGE_CURVE('',#158127,#158125,#80039,.T.); #194032=EDGE_CURVE('',#158126,#158127,#80040,.T.); #194033=EDGE_CURVE('',#158128,#158126,#80041,.T.); #194034=EDGE_CURVE('',#158129,#158127,#80042,.T.); #194035=EDGE_CURVE('',#158128,#158129,#80043,.T.); #194036=EDGE_CURVE('',#158130,#158128,#80044,.T.); #194037=EDGE_CURVE('',#158131,#158129,#80045,.T.); #194038=EDGE_CURVE('',#158130,#158131,#80046,.T.); #194039=EDGE_CURVE('',#158132,#158130,#80047,.T.); #194040=EDGE_CURVE('',#158133,#158131,#80048,.T.); #194041=EDGE_CURVE('',#158132,#158133,#80049,.T.); #194042=EDGE_CURVE('',#158134,#158132,#80050,.T.); #194043=EDGE_CURVE('',#158135,#158133,#80051,.T.); #194044=EDGE_CURVE('',#158134,#158135,#80052,.T.); #194045=EDGE_CURVE('',#158136,#158134,#80053,.T.); #194046=EDGE_CURVE('',#158137,#158135,#80054,.T.); #194047=EDGE_CURVE('',#158136,#158137,#80055,.T.); #194048=EDGE_CURVE('',#158138,#158136,#80056,.T.); #194049=EDGE_CURVE('',#158139,#158137,#80057,.T.); #194050=EDGE_CURVE('',#158138,#158139,#80058,.T.); #194051=EDGE_CURVE('',#158140,#158138,#80059,.T.); #194052=EDGE_CURVE('',#158141,#158139,#80060,.T.); #194053=EDGE_CURVE('',#158140,#158141,#80061,.T.); #194054=EDGE_CURVE('',#158142,#158140,#80062,.T.); #194055=EDGE_CURVE('',#158143,#158141,#80063,.T.); #194056=EDGE_CURVE('',#158142,#158143,#80064,.T.); #194057=EDGE_CURVE('',#158144,#158142,#80065,.T.); #194058=EDGE_CURVE('',#158145,#158143,#80066,.T.); #194059=EDGE_CURVE('',#158144,#158145,#80067,.T.); #194060=EDGE_CURVE('',#158146,#158144,#80068,.T.); #194061=EDGE_CURVE('',#158147,#158145,#80069,.T.); #194062=EDGE_CURVE('',#158146,#158147,#80070,.T.); #194063=EDGE_CURVE('',#158148,#158146,#80071,.T.); #194064=EDGE_CURVE('',#158149,#158147,#80072,.T.); #194065=EDGE_CURVE('',#158148,#158149,#80073,.T.); #194066=EDGE_CURVE('',#158150,#158148,#80074,.T.); #194067=EDGE_CURVE('',#158151,#158149,#80075,.T.); #194068=EDGE_CURVE('',#158150,#158151,#80076,.T.); #194069=EDGE_CURVE('',#158152,#158150,#80077,.T.); #194070=EDGE_CURVE('',#158153,#158151,#80078,.T.); #194071=EDGE_CURVE('',#158152,#158153,#80079,.T.); #194072=EDGE_CURVE('',#158154,#158152,#80080,.T.); #194073=EDGE_CURVE('',#158155,#158153,#80081,.T.); #194074=EDGE_CURVE('',#158154,#158155,#80082,.T.); #194075=EDGE_CURVE('',#158156,#158154,#80083,.T.); #194076=EDGE_CURVE('',#158157,#158155,#80084,.T.); #194077=EDGE_CURVE('',#158156,#158157,#80085,.T.); #194078=EDGE_CURVE('',#158158,#158156,#80086,.T.); #194079=EDGE_CURVE('',#158159,#158157,#80087,.T.); #194080=EDGE_CURVE('',#158158,#158159,#80088,.T.); #194081=EDGE_CURVE('',#158160,#158158,#80089,.T.); #194082=EDGE_CURVE('',#158161,#158159,#80090,.T.); #194083=EDGE_CURVE('',#158160,#158161,#80091,.T.); #194084=EDGE_CURVE('',#158162,#158160,#80092,.T.); #194085=EDGE_CURVE('',#158163,#158161,#80093,.T.); #194086=EDGE_CURVE('',#158162,#158163,#80094,.T.); #194087=EDGE_CURVE('',#158164,#158162,#80095,.T.); #194088=EDGE_CURVE('',#158165,#158163,#80096,.T.); #194089=EDGE_CURVE('',#158164,#158165,#80097,.T.); #194090=EDGE_CURVE('',#158166,#158164,#80098,.T.); #194091=EDGE_CURVE('',#158167,#158165,#80099,.T.); #194092=EDGE_CURVE('',#158166,#158167,#80100,.T.); #194093=EDGE_CURVE('',#158168,#158166,#80101,.T.); #194094=EDGE_CURVE('',#158169,#158167,#80102,.T.); #194095=EDGE_CURVE('',#158168,#158169,#80103,.T.); #194096=EDGE_CURVE('',#158170,#158168,#80104,.T.); #194097=EDGE_CURVE('',#158171,#158169,#80105,.T.); #194098=EDGE_CURVE('',#158170,#158171,#80106,.T.); #194099=EDGE_CURVE('',#158172,#158170,#80107,.T.); #194100=EDGE_CURVE('',#158173,#158171,#80108,.T.); #194101=EDGE_CURVE('',#158172,#158173,#80109,.T.); #194102=EDGE_CURVE('',#158174,#158172,#80110,.T.); #194103=EDGE_CURVE('',#158175,#158173,#80111,.T.); #194104=EDGE_CURVE('',#158174,#158175,#80112,.T.); #194105=EDGE_CURVE('',#158176,#158174,#80113,.T.); #194106=EDGE_CURVE('',#158177,#158175,#80114,.T.); #194107=EDGE_CURVE('',#158176,#158177,#80115,.T.); #194108=EDGE_CURVE('',#158178,#158176,#80116,.T.); #194109=EDGE_CURVE('',#158179,#158177,#80117,.T.); #194110=EDGE_CURVE('',#158178,#158179,#80118,.T.); #194111=EDGE_CURVE('',#158180,#158178,#80119,.T.); #194112=EDGE_CURVE('',#158181,#158179,#80120,.T.); #194113=EDGE_CURVE('',#158180,#158181,#80121,.T.); #194114=EDGE_CURVE('',#158182,#158180,#80122,.T.); #194115=EDGE_CURVE('',#158183,#158181,#80123,.T.); #194116=EDGE_CURVE('',#158182,#158183,#80124,.T.); #194117=EDGE_CURVE('',#158184,#158182,#80125,.T.); #194118=EDGE_CURVE('',#158185,#158183,#80126,.T.); #194119=EDGE_CURVE('',#158184,#158185,#80127,.T.); #194120=EDGE_CURVE('',#158186,#158184,#80128,.T.); #194121=EDGE_CURVE('',#158187,#158185,#80129,.T.); #194122=EDGE_CURVE('',#158186,#158187,#80130,.T.); #194123=EDGE_CURVE('',#158188,#158186,#80131,.T.); #194124=EDGE_CURVE('',#158189,#158187,#80132,.T.); #194125=EDGE_CURVE('',#158188,#158189,#80133,.T.); #194126=EDGE_CURVE('',#158190,#158188,#80134,.T.); #194127=EDGE_CURVE('',#158191,#158189,#80135,.T.); #194128=EDGE_CURVE('',#158190,#158191,#80136,.T.); #194129=EDGE_CURVE('',#158192,#158190,#80137,.T.); #194130=EDGE_CURVE('',#158193,#158191,#80138,.T.); #194131=EDGE_CURVE('',#158192,#158193,#80139,.T.); #194132=EDGE_CURVE('',#158194,#158192,#80140,.T.); #194133=EDGE_CURVE('',#158195,#158193,#80141,.T.); #194134=EDGE_CURVE('',#158194,#158195,#80142,.T.); #194135=EDGE_CURVE('',#158196,#158194,#80143,.T.); #194136=EDGE_CURVE('',#158197,#158195,#80144,.T.); #194137=EDGE_CURVE('',#158196,#158197,#80145,.T.); #194138=EDGE_CURVE('',#158198,#158196,#80146,.T.); #194139=EDGE_CURVE('',#158199,#158197,#80147,.T.); #194140=EDGE_CURVE('',#158198,#158199,#80148,.T.); #194141=EDGE_CURVE('',#158200,#158198,#80149,.T.); #194142=EDGE_CURVE('',#158201,#158199,#80150,.T.); #194143=EDGE_CURVE('',#158200,#158201,#80151,.T.); #194144=EDGE_CURVE('',#158202,#158200,#80152,.T.); #194145=EDGE_CURVE('',#158203,#158201,#80153,.T.); #194146=EDGE_CURVE('',#158202,#158203,#80154,.T.); #194147=EDGE_CURVE('',#158204,#158202,#80155,.T.); #194148=EDGE_CURVE('',#158205,#158203,#80156,.T.); #194149=EDGE_CURVE('',#158204,#158205,#80157,.T.); #194150=EDGE_CURVE('',#158206,#158204,#80158,.T.); #194151=EDGE_CURVE('',#158207,#158205,#80159,.T.); #194152=EDGE_CURVE('',#158206,#158207,#80160,.T.); #194153=EDGE_CURVE('',#158208,#158206,#80161,.T.); #194154=EDGE_CURVE('',#158209,#158207,#80162,.T.); #194155=EDGE_CURVE('',#158208,#158209,#80163,.T.); #194156=EDGE_CURVE('',#158210,#158208,#80164,.T.); #194157=EDGE_CURVE('',#158211,#158209,#80165,.T.); #194158=EDGE_CURVE('',#158210,#158211,#80166,.T.); #194159=EDGE_CURVE('',#158212,#158210,#80167,.T.); #194160=EDGE_CURVE('',#158213,#158211,#80168,.T.); #194161=EDGE_CURVE('',#158212,#158213,#80169,.T.); #194162=EDGE_CURVE('',#158214,#158212,#80170,.T.); #194163=EDGE_CURVE('',#158215,#158213,#80171,.T.); #194164=EDGE_CURVE('',#158214,#158215,#80172,.T.); #194165=EDGE_CURVE('',#158216,#158214,#80173,.T.); #194166=EDGE_CURVE('',#158217,#158215,#80174,.T.); #194167=EDGE_CURVE('',#158216,#158217,#80175,.T.); #194168=EDGE_CURVE('',#158218,#158216,#80176,.T.); #194169=EDGE_CURVE('',#158219,#158217,#80177,.T.); #194170=EDGE_CURVE('',#158218,#158219,#80178,.T.); #194171=EDGE_CURVE('',#158220,#158218,#80179,.T.); #194172=EDGE_CURVE('',#158221,#158219,#80180,.T.); #194173=EDGE_CURVE('',#158220,#158221,#80181,.T.); #194174=EDGE_CURVE('',#158222,#158220,#80182,.T.); #194175=EDGE_CURVE('',#158223,#158221,#80183,.T.); #194176=EDGE_CURVE('',#158222,#158223,#80184,.T.); #194177=EDGE_CURVE('',#158224,#158222,#80185,.T.); #194178=EDGE_CURVE('',#158225,#158223,#80186,.T.); #194179=EDGE_CURVE('',#158224,#158225,#80187,.T.); #194180=EDGE_CURVE('',#158226,#158224,#80188,.T.); #194181=EDGE_CURVE('',#158227,#158225,#80189,.T.); #194182=EDGE_CURVE('',#158226,#158227,#80190,.T.); #194183=EDGE_CURVE('',#158228,#158226,#80191,.T.); #194184=EDGE_CURVE('',#158229,#158227,#80192,.T.); #194185=EDGE_CURVE('',#158228,#158229,#80193,.T.); #194186=EDGE_CURVE('',#158230,#158228,#80194,.T.); #194187=EDGE_CURVE('',#158231,#158229,#80195,.T.); #194188=EDGE_CURVE('',#158230,#158231,#80196,.T.); #194189=EDGE_CURVE('',#158232,#158230,#80197,.T.); #194190=EDGE_CURVE('',#158233,#158231,#80198,.T.); #194191=EDGE_CURVE('',#158232,#158233,#80199,.T.); #194192=EDGE_CURVE('',#158234,#158232,#80200,.T.); #194193=EDGE_CURVE('',#158235,#158233,#80201,.T.); #194194=EDGE_CURVE('',#158234,#158235,#80202,.T.); #194195=EDGE_CURVE('',#158236,#158234,#80203,.T.); #194196=EDGE_CURVE('',#158237,#158235,#80204,.T.); #194197=EDGE_CURVE('',#158236,#158237,#80205,.T.); #194198=EDGE_CURVE('',#158238,#158236,#80206,.T.); #194199=EDGE_CURVE('',#158239,#158237,#80207,.T.); #194200=EDGE_CURVE('',#158238,#158239,#80208,.T.); #194201=EDGE_CURVE('',#158240,#158238,#80209,.T.); #194202=EDGE_CURVE('',#158241,#158239,#80210,.T.); #194203=EDGE_CURVE('',#158240,#158241,#80211,.T.); #194204=EDGE_CURVE('',#158242,#158240,#80212,.T.); #194205=EDGE_CURVE('',#158243,#158241,#80213,.T.); #194206=EDGE_CURVE('',#158242,#158243,#80214,.T.); #194207=EDGE_CURVE('',#158244,#158242,#80215,.T.); #194208=EDGE_CURVE('',#158245,#158243,#80216,.T.); #194209=EDGE_CURVE('',#158244,#158245,#80217,.T.); #194210=EDGE_CURVE('',#158246,#158244,#80218,.T.); #194211=EDGE_CURVE('',#158247,#158245,#80219,.T.); #194212=EDGE_CURVE('',#158246,#158247,#80220,.T.); #194213=EDGE_CURVE('',#158248,#158246,#80221,.T.); #194214=EDGE_CURVE('',#158249,#158247,#80222,.T.); #194215=EDGE_CURVE('',#158248,#158249,#80223,.T.); #194216=EDGE_CURVE('',#158250,#158248,#80224,.T.); #194217=EDGE_CURVE('',#158251,#158249,#80225,.T.); #194218=EDGE_CURVE('',#158250,#158251,#80226,.T.); #194219=EDGE_CURVE('',#158252,#158250,#80227,.T.); #194220=EDGE_CURVE('',#158253,#158251,#80228,.T.); #194221=EDGE_CURVE('',#158252,#158253,#80229,.T.); #194222=EDGE_CURVE('',#158254,#158252,#80230,.T.); #194223=EDGE_CURVE('',#158255,#158253,#80231,.T.); #194224=EDGE_CURVE('',#158254,#158255,#80232,.T.); #194225=EDGE_CURVE('',#158256,#158254,#80233,.T.); #194226=EDGE_CURVE('',#158257,#158255,#80234,.T.); #194227=EDGE_CURVE('',#158256,#158257,#80235,.T.); #194228=EDGE_CURVE('',#158258,#158256,#80236,.T.); #194229=EDGE_CURVE('',#158259,#158257,#80237,.T.); #194230=EDGE_CURVE('',#158258,#158259,#80238,.T.); #194231=EDGE_CURVE('',#158260,#158258,#80239,.T.); #194232=EDGE_CURVE('',#158261,#158259,#80240,.T.); #194233=EDGE_CURVE('',#158260,#158261,#80241,.T.); #194234=EDGE_CURVE('',#158262,#158260,#80242,.T.); #194235=EDGE_CURVE('',#158263,#158261,#80243,.T.); #194236=EDGE_CURVE('',#158262,#158263,#80244,.T.); #194237=EDGE_CURVE('',#158264,#158262,#80245,.T.); #194238=EDGE_CURVE('',#158265,#158263,#80246,.T.); #194239=EDGE_CURVE('',#158264,#158265,#80247,.T.); #194240=EDGE_CURVE('',#158266,#158264,#80248,.T.); #194241=EDGE_CURVE('',#158267,#158265,#80249,.T.); #194242=EDGE_CURVE('',#158266,#158267,#80250,.T.); #194243=EDGE_CURVE('',#158268,#158266,#80251,.T.); #194244=EDGE_CURVE('',#158269,#158267,#80252,.T.); #194245=EDGE_CURVE('',#158268,#158269,#80253,.T.); #194246=EDGE_CURVE('',#158270,#158268,#80254,.T.); #194247=EDGE_CURVE('',#158271,#158269,#80255,.T.); #194248=EDGE_CURVE('',#158270,#158271,#80256,.T.); #194249=EDGE_CURVE('',#158272,#158270,#80257,.T.); #194250=EDGE_CURVE('',#158273,#158271,#80258,.T.); #194251=EDGE_CURVE('',#158272,#158273,#80259,.T.); #194252=EDGE_CURVE('',#158274,#158272,#80260,.T.); #194253=EDGE_CURVE('',#158275,#158273,#80261,.T.); #194254=EDGE_CURVE('',#158274,#158275,#80262,.T.); #194255=EDGE_CURVE('',#158276,#158274,#80263,.T.); #194256=EDGE_CURVE('',#158277,#158275,#80264,.T.); #194257=EDGE_CURVE('',#158276,#158277,#80265,.T.); #194258=EDGE_CURVE('',#158278,#158276,#80266,.T.); #194259=EDGE_CURVE('',#158279,#158277,#80267,.T.); #194260=EDGE_CURVE('',#158278,#158279,#80268,.T.); #194261=EDGE_CURVE('',#158280,#158278,#80269,.T.); #194262=EDGE_CURVE('',#158281,#158279,#80270,.T.); #194263=EDGE_CURVE('',#158280,#158281,#80271,.T.); #194264=EDGE_CURVE('',#158282,#158280,#80272,.T.); #194265=EDGE_CURVE('',#158283,#158281,#80273,.T.); #194266=EDGE_CURVE('',#158282,#158283,#80274,.T.); #194267=EDGE_CURVE('',#158284,#158282,#80275,.T.); #194268=EDGE_CURVE('',#158285,#158283,#80276,.T.); #194269=EDGE_CURVE('',#158284,#158285,#80277,.T.); #194270=EDGE_CURVE('',#158286,#158284,#80278,.T.); #194271=EDGE_CURVE('',#158287,#158285,#80279,.T.); #194272=EDGE_CURVE('',#158286,#158287,#80280,.T.); #194273=EDGE_CURVE('',#158288,#158286,#80281,.T.); #194274=EDGE_CURVE('',#158289,#158287,#80282,.T.); #194275=EDGE_CURVE('',#158288,#158289,#80283,.T.); #194276=EDGE_CURVE('',#158290,#158288,#80284,.T.); #194277=EDGE_CURVE('',#158291,#158289,#80285,.T.); #194278=EDGE_CURVE('',#158290,#158291,#80286,.T.); #194279=EDGE_CURVE('',#158292,#158290,#80287,.T.); #194280=EDGE_CURVE('',#158293,#158291,#80288,.T.); #194281=EDGE_CURVE('',#158292,#158293,#80289,.T.); #194282=EDGE_CURVE('',#158294,#158292,#80290,.T.); #194283=EDGE_CURVE('',#158295,#158293,#80291,.T.); #194284=EDGE_CURVE('',#158294,#158295,#80292,.T.); #194285=EDGE_CURVE('',#158296,#158294,#80293,.T.); #194286=EDGE_CURVE('',#158297,#158295,#80294,.T.); #194287=EDGE_CURVE('',#158296,#158297,#80295,.T.); #194288=EDGE_CURVE('',#158298,#158296,#80296,.T.); #194289=EDGE_CURVE('',#158299,#158297,#80297,.T.); #194290=EDGE_CURVE('',#158298,#158299,#80298,.T.); #194291=EDGE_CURVE('',#158300,#158298,#80299,.T.); #194292=EDGE_CURVE('',#158301,#158299,#80300,.T.); #194293=EDGE_CURVE('',#158300,#158301,#80301,.T.); #194294=EDGE_CURVE('',#158302,#158300,#80302,.T.); #194295=EDGE_CURVE('',#158303,#158301,#80303,.T.); #194296=EDGE_CURVE('',#158302,#158303,#80304,.T.); #194297=EDGE_CURVE('',#158304,#158302,#80305,.T.); #194298=EDGE_CURVE('',#158305,#158303,#80306,.T.); #194299=EDGE_CURVE('',#158304,#158305,#80307,.T.); #194300=EDGE_CURVE('',#158306,#158304,#80308,.T.); #194301=EDGE_CURVE('',#158307,#158305,#80309,.T.); #194302=EDGE_CURVE('',#158306,#158307,#80310,.T.); #194303=EDGE_CURVE('',#158308,#158306,#80311,.T.); #194304=EDGE_CURVE('',#158309,#158307,#80312,.T.); #194305=EDGE_CURVE('',#158308,#158309,#80313,.T.); #194306=EDGE_CURVE('',#158310,#158308,#80314,.T.); #194307=EDGE_CURVE('',#158311,#158309,#80315,.T.); #194308=EDGE_CURVE('',#158310,#158311,#80316,.T.); #194309=EDGE_CURVE('',#158312,#158310,#80317,.T.); #194310=EDGE_CURVE('',#158313,#158311,#80318,.T.); #194311=EDGE_CURVE('',#158312,#158313,#80319,.T.); #194312=EDGE_CURVE('',#158314,#158312,#80320,.T.); #194313=EDGE_CURVE('',#158315,#158313,#80321,.T.); #194314=EDGE_CURVE('',#158314,#158315,#80322,.T.); #194315=EDGE_CURVE('',#158316,#158314,#80323,.T.); #194316=EDGE_CURVE('',#158317,#158315,#80324,.T.); #194317=EDGE_CURVE('',#158316,#158317,#80325,.T.); #194318=EDGE_CURVE('',#158318,#158316,#80326,.T.); #194319=EDGE_CURVE('',#158319,#158317,#80327,.T.); #194320=EDGE_CURVE('',#158318,#158319,#80328,.T.); #194321=EDGE_CURVE('',#158320,#158318,#80329,.T.); #194322=EDGE_CURVE('',#158321,#158319,#80330,.T.); #194323=EDGE_CURVE('',#158320,#158321,#80331,.T.); #194324=EDGE_CURVE('',#158322,#158320,#80332,.T.); #194325=EDGE_CURVE('',#158323,#158321,#80333,.T.); #194326=EDGE_CURVE('',#158322,#158323,#80334,.T.); #194327=EDGE_CURVE('',#158324,#158322,#80335,.T.); #194328=EDGE_CURVE('',#158325,#158323,#80336,.T.); #194329=EDGE_CURVE('',#158324,#158325,#80337,.T.); #194330=EDGE_CURVE('',#158326,#158324,#80338,.T.); #194331=EDGE_CURVE('',#158327,#158325,#80339,.T.); #194332=EDGE_CURVE('',#158326,#158327,#80340,.T.); #194333=EDGE_CURVE('',#158328,#158326,#80341,.T.); #194334=EDGE_CURVE('',#158329,#158327,#80342,.T.); #194335=EDGE_CURVE('',#158328,#158329,#80343,.T.); #194336=EDGE_CURVE('',#158330,#158328,#80344,.T.); #194337=EDGE_CURVE('',#158331,#158329,#80345,.T.); #194338=EDGE_CURVE('',#158330,#158331,#80346,.T.); #194339=EDGE_CURVE('',#158332,#158330,#80347,.T.); #194340=EDGE_CURVE('',#158333,#158331,#80348,.T.); #194341=EDGE_CURVE('',#158332,#158333,#80349,.T.); #194342=EDGE_CURVE('',#158334,#158332,#80350,.T.); #194343=EDGE_CURVE('',#158335,#158333,#80351,.T.); #194344=EDGE_CURVE('',#158334,#158335,#80352,.T.); #194345=EDGE_CURVE('',#158336,#158334,#80353,.T.); #194346=EDGE_CURVE('',#158337,#158335,#80354,.T.); #194347=EDGE_CURVE('',#158336,#158337,#80355,.T.); #194348=EDGE_CURVE('',#158338,#158336,#80356,.T.); #194349=EDGE_CURVE('',#158339,#158337,#80357,.T.); #194350=EDGE_CURVE('',#158338,#158339,#80358,.T.); #194351=EDGE_CURVE('',#158340,#158338,#80359,.T.); #194352=EDGE_CURVE('',#158341,#158339,#80360,.T.); #194353=EDGE_CURVE('',#158340,#158341,#80361,.T.); #194354=EDGE_CURVE('',#158342,#158340,#80362,.T.); #194355=EDGE_CURVE('',#158343,#158341,#80363,.T.); #194356=EDGE_CURVE('',#158342,#158343,#80364,.T.); #194357=EDGE_CURVE('',#158344,#158342,#80365,.T.); #194358=EDGE_CURVE('',#158345,#158343,#80366,.T.); #194359=EDGE_CURVE('',#158344,#158345,#80367,.T.); #194360=EDGE_CURVE('',#158346,#158344,#80368,.T.); #194361=EDGE_CURVE('',#158347,#158345,#80369,.T.); #194362=EDGE_CURVE('',#158346,#158347,#80370,.T.); #194363=EDGE_CURVE('',#158348,#158346,#80371,.T.); #194364=EDGE_CURVE('',#158349,#158347,#80372,.T.); #194365=EDGE_CURVE('',#158348,#158349,#80373,.T.); #194366=EDGE_CURVE('',#158350,#158348,#80374,.T.); #194367=EDGE_CURVE('',#158351,#158349,#80375,.T.); #194368=EDGE_CURVE('',#158350,#158351,#80376,.T.); #194369=EDGE_CURVE('',#158352,#158350,#80377,.T.); #194370=EDGE_CURVE('',#158353,#158351,#80378,.T.); #194371=EDGE_CURVE('',#158352,#158353,#80379,.T.); #194372=EDGE_CURVE('',#158354,#158352,#80380,.T.); #194373=EDGE_CURVE('',#158355,#158353,#80381,.T.); #194374=EDGE_CURVE('',#158354,#158355,#80382,.T.); #194375=EDGE_CURVE('',#158356,#158354,#80383,.T.); #194376=EDGE_CURVE('',#158357,#158355,#80384,.T.); #194377=EDGE_CURVE('',#158356,#158357,#80385,.T.); #194378=EDGE_CURVE('',#158358,#158356,#80386,.T.); #194379=EDGE_CURVE('',#158359,#158357,#80387,.T.); #194380=EDGE_CURVE('',#158358,#158359,#80388,.T.); #194381=EDGE_CURVE('',#158360,#158358,#80389,.T.); #194382=EDGE_CURVE('',#158361,#158359,#80390,.T.); #194383=EDGE_CURVE('',#158360,#158361,#80391,.T.); #194384=EDGE_CURVE('',#158362,#158360,#80392,.T.); #194385=EDGE_CURVE('',#158363,#158361,#80393,.T.); #194386=EDGE_CURVE('',#158362,#158363,#80394,.T.); #194387=EDGE_CURVE('',#158364,#158362,#80395,.T.); #194388=EDGE_CURVE('',#158365,#158363,#80396,.T.); #194389=EDGE_CURVE('',#158364,#158365,#80397,.T.); #194390=EDGE_CURVE('',#158366,#158364,#80398,.T.); #194391=EDGE_CURVE('',#158367,#158365,#80399,.T.); #194392=EDGE_CURVE('',#158366,#158367,#80400,.T.); #194393=EDGE_CURVE('',#158368,#158366,#80401,.T.); #194394=EDGE_CURVE('',#158369,#158367,#80402,.T.); #194395=EDGE_CURVE('',#158368,#158369,#80403,.T.); #194396=EDGE_CURVE('',#158370,#158368,#80404,.T.); #194397=EDGE_CURVE('',#158371,#158369,#80405,.T.); #194398=EDGE_CURVE('',#158370,#158371,#80406,.T.); #194399=EDGE_CURVE('',#158372,#158370,#80407,.T.); #194400=EDGE_CURVE('',#158373,#158371,#80408,.T.); #194401=EDGE_CURVE('',#158372,#158373,#80409,.T.); #194402=EDGE_CURVE('',#158374,#158372,#80410,.T.); #194403=EDGE_CURVE('',#158375,#158373,#80411,.T.); #194404=EDGE_CURVE('',#158374,#158375,#80412,.T.); #194405=EDGE_CURVE('',#158376,#158374,#80413,.T.); #194406=EDGE_CURVE('',#158377,#158375,#80414,.T.); #194407=EDGE_CURVE('',#158376,#158377,#80415,.T.); #194408=EDGE_CURVE('',#158378,#158376,#80416,.T.); #194409=EDGE_CURVE('',#158379,#158377,#80417,.T.); #194410=EDGE_CURVE('',#158378,#158379,#80418,.T.); #194411=EDGE_CURVE('',#158380,#158378,#80419,.T.); #194412=EDGE_CURVE('',#158381,#158379,#80420,.T.); #194413=EDGE_CURVE('',#158380,#158381,#80421,.T.); #194414=EDGE_CURVE('',#158382,#158380,#80422,.T.); #194415=EDGE_CURVE('',#158383,#158381,#80423,.T.); #194416=EDGE_CURVE('',#158382,#158383,#80424,.T.); #194417=EDGE_CURVE('',#158384,#158382,#80425,.T.); #194418=EDGE_CURVE('',#158385,#158383,#80426,.T.); #194419=EDGE_CURVE('',#158384,#158385,#80427,.T.); #194420=EDGE_CURVE('',#158386,#158384,#80428,.T.); #194421=EDGE_CURVE('',#158387,#158385,#80429,.T.); #194422=EDGE_CURVE('',#158386,#158387,#80430,.T.); #194423=EDGE_CURVE('',#158388,#158386,#80431,.T.); #194424=EDGE_CURVE('',#158389,#158387,#80432,.T.); #194425=EDGE_CURVE('',#158388,#158389,#80433,.T.); #194426=EDGE_CURVE('',#158390,#158388,#80434,.T.); #194427=EDGE_CURVE('',#158391,#158389,#80435,.T.); #194428=EDGE_CURVE('',#158390,#158391,#80436,.T.); #194429=EDGE_CURVE('',#158392,#158390,#80437,.T.); #194430=EDGE_CURVE('',#158393,#158391,#80438,.T.); #194431=EDGE_CURVE('',#158392,#158393,#80439,.T.); #194432=EDGE_CURVE('',#158394,#158392,#80440,.T.); #194433=EDGE_CURVE('',#158395,#158393,#80441,.T.); #194434=EDGE_CURVE('',#158394,#158395,#80442,.T.); #194435=EDGE_CURVE('',#158396,#158394,#80443,.T.); #194436=EDGE_CURVE('',#158397,#158395,#80444,.T.); #194437=EDGE_CURVE('',#158396,#158397,#80445,.T.); #194438=EDGE_CURVE('',#158398,#158396,#80446,.T.); #194439=EDGE_CURVE('',#158399,#158397,#80447,.T.); #194440=EDGE_CURVE('',#158398,#158399,#80448,.T.); #194441=EDGE_CURVE('',#158400,#158398,#80449,.T.); #194442=EDGE_CURVE('',#158401,#158399,#80450,.T.); #194443=EDGE_CURVE('',#158400,#158401,#80451,.T.); #194444=EDGE_CURVE('',#158402,#158400,#80452,.T.); #194445=EDGE_CURVE('',#158403,#158401,#80453,.T.); #194446=EDGE_CURVE('',#158402,#158403,#80454,.T.); #194447=EDGE_CURVE('',#158404,#158402,#80455,.T.); #194448=EDGE_CURVE('',#158405,#158403,#80456,.T.); #194449=EDGE_CURVE('',#158404,#158405,#80457,.T.); #194450=EDGE_CURVE('',#158406,#158404,#80458,.T.); #194451=EDGE_CURVE('',#158407,#158405,#80459,.T.); #194452=EDGE_CURVE('',#158406,#158407,#80460,.T.); #194453=EDGE_CURVE('',#158408,#158406,#80461,.T.); #194454=EDGE_CURVE('',#158409,#158407,#80462,.T.); #194455=EDGE_CURVE('',#158408,#158409,#80463,.T.); #194456=EDGE_CURVE('',#158410,#158408,#80464,.T.); #194457=EDGE_CURVE('',#158411,#158409,#80465,.T.); #194458=EDGE_CURVE('',#158410,#158411,#80466,.T.); #194459=EDGE_CURVE('',#158412,#158410,#80467,.T.); #194460=EDGE_CURVE('',#158413,#158411,#80468,.T.); #194461=EDGE_CURVE('',#158412,#158413,#80469,.T.); #194462=EDGE_CURVE('',#158414,#158412,#80470,.T.); #194463=EDGE_CURVE('',#158415,#158413,#80471,.T.); #194464=EDGE_CURVE('',#158414,#158415,#80472,.T.); #194465=EDGE_CURVE('',#158416,#158414,#80473,.T.); #194466=EDGE_CURVE('',#158417,#158415,#80474,.T.); #194467=EDGE_CURVE('',#158416,#158417,#80475,.T.); #194468=EDGE_CURVE('',#158418,#158416,#80476,.T.); #194469=EDGE_CURVE('',#158419,#158417,#80477,.T.); #194470=EDGE_CURVE('',#158418,#158419,#80478,.T.); #194471=EDGE_CURVE('',#158420,#158418,#80479,.T.); #194472=EDGE_CURVE('',#158421,#158419,#80480,.T.); #194473=EDGE_CURVE('',#158420,#158421,#80481,.T.); #194474=EDGE_CURVE('',#158422,#158420,#80482,.T.); #194475=EDGE_CURVE('',#158423,#158421,#80483,.T.); #194476=EDGE_CURVE('',#158422,#158423,#80484,.T.); #194477=EDGE_CURVE('',#158424,#158422,#80485,.T.); #194478=EDGE_CURVE('',#158425,#158423,#80486,.T.); #194479=EDGE_CURVE('',#158424,#158425,#80487,.T.); #194480=EDGE_CURVE('',#158426,#158424,#80488,.T.); #194481=EDGE_CURVE('',#158427,#158425,#80489,.T.); #194482=EDGE_CURVE('',#158426,#158427,#80490,.T.); #194483=EDGE_CURVE('',#158428,#158426,#80491,.T.); #194484=EDGE_CURVE('',#158429,#158427,#80492,.T.); #194485=EDGE_CURVE('',#158428,#158429,#80493,.T.); #194486=EDGE_CURVE('',#158430,#158428,#80494,.T.); #194487=EDGE_CURVE('',#158431,#158429,#80495,.T.); #194488=EDGE_CURVE('',#158430,#158431,#80496,.T.); #194489=EDGE_CURVE('',#158432,#158430,#80497,.T.); #194490=EDGE_CURVE('',#158433,#158431,#80498,.T.); #194491=EDGE_CURVE('',#158432,#158433,#80499,.T.); #194492=EDGE_CURVE('',#158434,#158432,#80500,.T.); #194493=EDGE_CURVE('',#158435,#158433,#80501,.T.); #194494=EDGE_CURVE('',#158434,#158435,#80502,.T.); #194495=EDGE_CURVE('',#158436,#158434,#80503,.T.); #194496=EDGE_CURVE('',#158437,#158435,#80504,.T.); #194497=EDGE_CURVE('',#158436,#158437,#80505,.T.); #194498=EDGE_CURVE('',#158438,#158436,#80506,.T.); #194499=EDGE_CURVE('',#158439,#158437,#80507,.T.); #194500=EDGE_CURVE('',#158438,#158439,#80508,.T.); #194501=EDGE_CURVE('',#158440,#158438,#80509,.T.); #194502=EDGE_CURVE('',#158441,#158439,#80510,.T.); #194503=EDGE_CURVE('',#158440,#158441,#80511,.T.); #194504=EDGE_CURVE('',#158442,#158440,#80512,.T.); #194505=EDGE_CURVE('',#158443,#158441,#80513,.T.); #194506=EDGE_CURVE('',#158442,#158443,#80514,.T.); #194507=EDGE_CURVE('',#158444,#158442,#80515,.T.); #194508=EDGE_CURVE('',#158445,#158443,#80516,.T.); #194509=EDGE_CURVE('',#158444,#158445,#80517,.T.); #194510=EDGE_CURVE('',#158446,#158444,#80518,.T.); #194511=EDGE_CURVE('',#158447,#158445,#80519,.T.); #194512=EDGE_CURVE('',#158446,#158447,#80520,.T.); #194513=EDGE_CURVE('',#158448,#158446,#80521,.T.); #194514=EDGE_CURVE('',#158449,#158447,#80522,.T.); #194515=EDGE_CURVE('',#158448,#158449,#80523,.T.); #194516=EDGE_CURVE('',#158450,#158448,#80524,.T.); #194517=EDGE_CURVE('',#158451,#158449,#80525,.T.); #194518=EDGE_CURVE('',#158450,#158451,#80526,.T.); #194519=EDGE_CURVE('',#158452,#158450,#80527,.T.); #194520=EDGE_CURVE('',#158453,#158451,#80528,.T.); #194521=EDGE_CURVE('',#158452,#158453,#80529,.T.); #194522=EDGE_CURVE('',#158454,#158452,#80530,.T.); #194523=EDGE_CURVE('',#158455,#158453,#80531,.T.); #194524=EDGE_CURVE('',#158454,#158455,#80532,.T.); #194525=EDGE_CURVE('',#158456,#158454,#80533,.T.); #194526=EDGE_CURVE('',#158457,#158455,#80534,.T.); #194527=EDGE_CURVE('',#158456,#158457,#80535,.T.); #194528=EDGE_CURVE('',#158458,#158456,#80536,.T.); #194529=EDGE_CURVE('',#158459,#158457,#80537,.T.); #194530=EDGE_CURVE('',#158458,#158459,#80538,.T.); #194531=EDGE_CURVE('',#158460,#158458,#80539,.T.); #194532=EDGE_CURVE('',#158461,#158459,#80540,.T.); #194533=EDGE_CURVE('',#158460,#158461,#80541,.T.); #194534=EDGE_CURVE('',#158462,#158460,#80542,.T.); #194535=EDGE_CURVE('',#158463,#158461,#80543,.T.); #194536=EDGE_CURVE('',#158462,#158463,#80544,.T.); #194537=EDGE_CURVE('',#158464,#158462,#80545,.T.); #194538=EDGE_CURVE('',#158465,#158463,#80546,.T.); #194539=EDGE_CURVE('',#158464,#158465,#80547,.T.); #194540=EDGE_CURVE('',#158466,#158464,#80548,.T.); #194541=EDGE_CURVE('',#158467,#158465,#80549,.T.); #194542=EDGE_CURVE('',#158466,#158467,#80550,.T.); #194543=EDGE_CURVE('',#158468,#158466,#80551,.T.); #194544=EDGE_CURVE('',#158469,#158467,#80552,.T.); #194545=EDGE_CURVE('',#158468,#158469,#80553,.T.); #194546=EDGE_CURVE('',#158470,#158468,#80554,.T.); #194547=EDGE_CURVE('',#158471,#158469,#80555,.T.); #194548=EDGE_CURVE('',#158470,#158471,#80556,.T.); #194549=EDGE_CURVE('',#158472,#158470,#80557,.T.); #194550=EDGE_CURVE('',#158473,#158471,#80558,.T.); #194551=EDGE_CURVE('',#158472,#158473,#80559,.T.); #194552=EDGE_CURVE('',#158474,#158472,#80560,.T.); #194553=EDGE_CURVE('',#158475,#158473,#80561,.T.); #194554=EDGE_CURVE('',#158474,#158475,#80562,.T.); #194555=EDGE_CURVE('',#158476,#158474,#80563,.T.); #194556=EDGE_CURVE('',#158477,#158475,#80564,.T.); #194557=EDGE_CURVE('',#158476,#158477,#80565,.T.); #194558=EDGE_CURVE('',#158478,#158476,#80566,.T.); #194559=EDGE_CURVE('',#158479,#158477,#80567,.T.); #194560=EDGE_CURVE('',#158478,#158479,#80568,.T.); #194561=EDGE_CURVE('',#158480,#158478,#80569,.T.); #194562=EDGE_CURVE('',#158481,#158479,#80570,.T.); #194563=EDGE_CURVE('',#158480,#158481,#80571,.T.); #194564=EDGE_CURVE('',#158482,#158480,#80572,.T.); #194565=EDGE_CURVE('',#158483,#158481,#80573,.T.); #194566=EDGE_CURVE('',#158482,#158483,#80574,.T.); #194567=EDGE_CURVE('',#158484,#158482,#80575,.T.); #194568=EDGE_CURVE('',#158485,#158483,#80576,.T.); #194569=EDGE_CURVE('',#158484,#158485,#80577,.T.); #194570=EDGE_CURVE('',#158486,#158484,#80578,.T.); #194571=EDGE_CURVE('',#158487,#158485,#80579,.T.); #194572=EDGE_CURVE('',#158486,#158487,#80580,.T.); #194573=EDGE_CURVE('',#158488,#158486,#80581,.T.); #194574=EDGE_CURVE('',#158489,#158487,#80582,.T.); #194575=EDGE_CURVE('',#158488,#158489,#80583,.T.); #194576=EDGE_CURVE('',#158490,#158488,#80584,.T.); #194577=EDGE_CURVE('',#158491,#158489,#80585,.T.); #194578=EDGE_CURVE('',#158490,#158491,#80586,.T.); #194579=EDGE_CURVE('',#158492,#158490,#80587,.T.); #194580=EDGE_CURVE('',#158493,#158491,#80588,.T.); #194581=EDGE_CURVE('',#158492,#158493,#80589,.T.); #194582=EDGE_CURVE('',#158494,#158492,#80590,.T.); #194583=EDGE_CURVE('',#158495,#158493,#80591,.T.); #194584=EDGE_CURVE('',#158494,#158495,#80592,.T.); #194585=EDGE_CURVE('',#158496,#158494,#80593,.T.); #194586=EDGE_CURVE('',#158497,#158495,#80594,.T.); #194587=EDGE_CURVE('',#158496,#158497,#80595,.T.); #194588=EDGE_CURVE('',#158498,#158496,#80596,.T.); #194589=EDGE_CURVE('',#158499,#158497,#80597,.T.); #194590=EDGE_CURVE('',#158498,#158499,#80598,.T.); #194591=EDGE_CURVE('',#158500,#158498,#80599,.T.); #194592=EDGE_CURVE('',#158501,#158499,#80600,.T.); #194593=EDGE_CURVE('',#158500,#158501,#80601,.T.); #194594=EDGE_CURVE('',#158502,#158500,#80602,.T.); #194595=EDGE_CURVE('',#158503,#158501,#80603,.T.); #194596=EDGE_CURVE('',#158502,#158503,#80604,.T.); #194597=EDGE_CURVE('',#158504,#158502,#80605,.T.); #194598=EDGE_CURVE('',#158505,#158503,#80606,.T.); #194599=EDGE_CURVE('',#158504,#158505,#80607,.T.); #194600=EDGE_CURVE('',#158506,#158504,#80608,.T.); #194601=EDGE_CURVE('',#158507,#158505,#80609,.T.); #194602=EDGE_CURVE('',#158506,#158507,#80610,.T.); #194603=EDGE_CURVE('',#158508,#158506,#80611,.T.); #194604=EDGE_CURVE('',#158509,#158507,#80612,.T.); #194605=EDGE_CURVE('',#158508,#158509,#80613,.T.); #194606=EDGE_CURVE('',#158510,#158508,#80614,.T.); #194607=EDGE_CURVE('',#158511,#158509,#80615,.T.); #194608=EDGE_CURVE('',#158510,#158511,#80616,.T.); #194609=EDGE_CURVE('',#158512,#158510,#80617,.T.); #194610=EDGE_CURVE('',#158513,#158511,#80618,.T.); #194611=EDGE_CURVE('',#158512,#158513,#80619,.T.); #194612=EDGE_CURVE('',#158514,#158512,#80620,.T.); #194613=EDGE_CURVE('',#158515,#158513,#80621,.T.); #194614=EDGE_CURVE('',#158514,#158515,#80622,.T.); #194615=EDGE_CURVE('',#158516,#158514,#80623,.T.); #194616=EDGE_CURVE('',#158517,#158515,#80624,.T.); #194617=EDGE_CURVE('',#158516,#158517,#80625,.T.); #194618=EDGE_CURVE('',#158518,#158516,#80626,.T.); #194619=EDGE_CURVE('',#158519,#158517,#80627,.T.); #194620=EDGE_CURVE('',#158518,#158519,#80628,.T.); #194621=EDGE_CURVE('',#158520,#158518,#80629,.T.); #194622=EDGE_CURVE('',#158521,#158519,#80630,.T.); #194623=EDGE_CURVE('',#158520,#158521,#80631,.T.); #194624=EDGE_CURVE('',#158522,#158520,#80632,.T.); #194625=EDGE_CURVE('',#158523,#158521,#80633,.T.); #194626=EDGE_CURVE('',#158522,#158523,#80634,.T.); #194627=EDGE_CURVE('',#158524,#158522,#80635,.T.); #194628=EDGE_CURVE('',#158525,#158523,#80636,.T.); #194629=EDGE_CURVE('',#158524,#158525,#80637,.T.); #194630=EDGE_CURVE('',#158526,#158524,#80638,.T.); #194631=EDGE_CURVE('',#158527,#158525,#80639,.T.); #194632=EDGE_CURVE('',#158526,#158527,#80640,.T.); #194633=EDGE_CURVE('',#158528,#158526,#80641,.T.); #194634=EDGE_CURVE('',#158529,#158527,#80642,.T.); #194635=EDGE_CURVE('',#158528,#158529,#80643,.T.); #194636=EDGE_CURVE('',#158530,#158528,#80644,.T.); #194637=EDGE_CURVE('',#158531,#158529,#80645,.T.); #194638=EDGE_CURVE('',#158530,#158531,#80646,.T.); #194639=EDGE_CURVE('',#158532,#158530,#80647,.T.); #194640=EDGE_CURVE('',#158533,#158531,#80648,.T.); #194641=EDGE_CURVE('',#158532,#158533,#80649,.T.); #194642=EDGE_CURVE('',#158534,#158532,#80650,.T.); #194643=EDGE_CURVE('',#158535,#158533,#80651,.T.); #194644=EDGE_CURVE('',#158534,#158535,#80652,.T.); #194645=EDGE_CURVE('',#158536,#158534,#80653,.T.); #194646=EDGE_CURVE('',#158537,#158535,#80654,.T.); #194647=EDGE_CURVE('',#158536,#158537,#80655,.T.); #194648=EDGE_CURVE('',#158538,#158536,#80656,.T.); #194649=EDGE_CURVE('',#158539,#158537,#80657,.T.); #194650=EDGE_CURVE('',#158538,#158539,#80658,.T.); #194651=EDGE_CURVE('',#158540,#158538,#80659,.T.); #194652=EDGE_CURVE('',#158541,#158539,#80660,.T.); #194653=EDGE_CURVE('',#158540,#158541,#80661,.T.); #194654=EDGE_CURVE('',#158542,#158540,#80662,.T.); #194655=EDGE_CURVE('',#158543,#158541,#80663,.T.); #194656=EDGE_CURVE('',#158542,#158543,#80664,.T.); #194657=EDGE_CURVE('',#158544,#158542,#80665,.T.); #194658=EDGE_CURVE('',#158545,#158543,#80666,.T.); #194659=EDGE_CURVE('',#158544,#158545,#80667,.T.); #194660=EDGE_CURVE('',#158546,#158544,#80668,.T.); #194661=EDGE_CURVE('',#158547,#158545,#80669,.T.); #194662=EDGE_CURVE('',#158546,#158547,#80670,.T.); #194663=EDGE_CURVE('',#158548,#158546,#80671,.T.); #194664=EDGE_CURVE('',#158549,#158547,#80672,.T.); #194665=EDGE_CURVE('',#158548,#158549,#80673,.T.); #194666=EDGE_CURVE('',#158550,#158548,#80674,.T.); #194667=EDGE_CURVE('',#158551,#158549,#80675,.T.); #194668=EDGE_CURVE('',#158550,#158551,#80676,.T.); #194669=EDGE_CURVE('',#158552,#158550,#80677,.T.); #194670=EDGE_CURVE('',#158553,#158551,#80678,.T.); #194671=EDGE_CURVE('',#158552,#158553,#80679,.T.); #194672=EDGE_CURVE('',#158554,#158552,#80680,.T.); #194673=EDGE_CURVE('',#158555,#158553,#80681,.T.); #194674=EDGE_CURVE('',#158554,#158555,#80682,.T.); #194675=EDGE_CURVE('',#158556,#158554,#80683,.T.); #194676=EDGE_CURVE('',#158557,#158555,#80684,.T.); #194677=EDGE_CURVE('',#158556,#158557,#80685,.T.); #194678=EDGE_CURVE('',#158558,#158556,#80686,.T.); #194679=EDGE_CURVE('',#158559,#158557,#80687,.T.); #194680=EDGE_CURVE('',#158558,#158559,#80688,.T.); #194681=EDGE_CURVE('',#158560,#158558,#80689,.T.); #194682=EDGE_CURVE('',#158561,#158559,#80690,.T.); #194683=EDGE_CURVE('',#158560,#158561,#80691,.T.); #194684=EDGE_CURVE('',#158562,#158560,#80692,.T.); #194685=EDGE_CURVE('',#158563,#158561,#80693,.T.); #194686=EDGE_CURVE('',#158562,#158563,#80694,.T.); #194687=EDGE_CURVE('',#158564,#158562,#80695,.T.); #194688=EDGE_CURVE('',#158565,#158563,#80696,.T.); #194689=EDGE_CURVE('',#158564,#158565,#80697,.T.); #194690=EDGE_CURVE('',#158566,#158564,#80698,.T.); #194691=EDGE_CURVE('',#158567,#158565,#80699,.T.); #194692=EDGE_CURVE('',#158566,#158567,#80700,.T.); #194693=EDGE_CURVE('',#158568,#158566,#80701,.T.); #194694=EDGE_CURVE('',#158569,#158567,#80702,.T.); #194695=EDGE_CURVE('',#158568,#158569,#80703,.T.); #194696=EDGE_CURVE('',#158570,#158568,#80704,.T.); #194697=EDGE_CURVE('',#158571,#158569,#80705,.T.); #194698=EDGE_CURVE('',#158570,#158571,#80706,.T.); #194699=EDGE_CURVE('',#158572,#158570,#80707,.T.); #194700=EDGE_CURVE('',#158573,#158571,#80708,.T.); #194701=EDGE_CURVE('',#158572,#158573,#80709,.T.); #194702=EDGE_CURVE('',#158574,#158572,#80710,.T.); #194703=EDGE_CURVE('',#158575,#158573,#80711,.T.); #194704=EDGE_CURVE('',#158574,#158575,#80712,.T.); #194705=EDGE_CURVE('',#158576,#158574,#80713,.T.); #194706=EDGE_CURVE('',#158577,#158575,#80714,.T.); #194707=EDGE_CURVE('',#158576,#158577,#80715,.T.); #194708=EDGE_CURVE('',#158578,#158576,#80716,.T.); #194709=EDGE_CURVE('',#158579,#158577,#80717,.T.); #194710=EDGE_CURVE('',#158578,#158579,#80718,.T.); #194711=EDGE_CURVE('',#158580,#158578,#80719,.T.); #194712=EDGE_CURVE('',#158581,#158579,#80720,.T.); #194713=EDGE_CURVE('',#158580,#158581,#80721,.T.); #194714=EDGE_CURVE('',#158582,#158580,#80722,.T.); #194715=EDGE_CURVE('',#158583,#158581,#80723,.T.); #194716=EDGE_CURVE('',#158582,#158583,#80724,.T.); #194717=EDGE_CURVE('',#158584,#158582,#80725,.T.); #194718=EDGE_CURVE('',#158585,#158583,#80726,.T.); #194719=EDGE_CURVE('',#158584,#158585,#80727,.T.); #194720=EDGE_CURVE('',#158586,#158584,#80728,.T.); #194721=EDGE_CURVE('',#158587,#158585,#80729,.T.); #194722=EDGE_CURVE('',#158586,#158587,#80730,.T.); #194723=EDGE_CURVE('',#158588,#158586,#80731,.T.); #194724=EDGE_CURVE('',#158589,#158587,#80732,.T.); #194725=EDGE_CURVE('',#158588,#158589,#80733,.T.); #194726=EDGE_CURVE('',#158590,#158588,#80734,.T.); #194727=EDGE_CURVE('',#158591,#158589,#80735,.T.); #194728=EDGE_CURVE('',#158590,#158591,#80736,.T.); #194729=EDGE_CURVE('',#158592,#158590,#80737,.T.); #194730=EDGE_CURVE('',#158593,#158591,#80738,.T.); #194731=EDGE_CURVE('',#158592,#158593,#80739,.T.); #194732=EDGE_CURVE('',#158594,#158592,#80740,.T.); #194733=EDGE_CURVE('',#158595,#158593,#80741,.T.); #194734=EDGE_CURVE('',#158594,#158595,#80742,.T.); #194735=EDGE_CURVE('',#158596,#158594,#80743,.T.); #194736=EDGE_CURVE('',#158597,#158595,#80744,.T.); #194737=EDGE_CURVE('',#158596,#158597,#80745,.T.); #194738=EDGE_CURVE('',#158598,#158596,#80746,.T.); #194739=EDGE_CURVE('',#158599,#158597,#80747,.T.); #194740=EDGE_CURVE('',#158598,#158599,#80748,.T.); #194741=EDGE_CURVE('',#158600,#158598,#80749,.T.); #194742=EDGE_CURVE('',#158601,#158599,#80750,.T.); #194743=EDGE_CURVE('',#158600,#158601,#80751,.T.); #194744=EDGE_CURVE('',#158602,#158600,#80752,.T.); #194745=EDGE_CURVE('',#158603,#158601,#80753,.T.); #194746=EDGE_CURVE('',#158602,#158603,#80754,.T.); #194747=EDGE_CURVE('',#158604,#158602,#80755,.T.); #194748=EDGE_CURVE('',#158605,#158603,#80756,.T.); #194749=EDGE_CURVE('',#158604,#158605,#80757,.T.); #194750=EDGE_CURVE('',#158606,#158604,#80758,.T.); #194751=EDGE_CURVE('',#158607,#158605,#80759,.T.); #194752=EDGE_CURVE('',#158606,#158607,#80760,.T.); #194753=EDGE_CURVE('',#158608,#158606,#80761,.T.); #194754=EDGE_CURVE('',#158609,#158607,#80762,.T.); #194755=EDGE_CURVE('',#158608,#158609,#80763,.T.); #194756=EDGE_CURVE('',#158610,#158608,#80764,.T.); #194757=EDGE_CURVE('',#158611,#158609,#80765,.T.); #194758=EDGE_CURVE('',#158610,#158611,#80766,.T.); #194759=EDGE_CURVE('',#158612,#158610,#80767,.T.); #194760=EDGE_CURVE('',#158613,#158611,#80768,.T.); #194761=EDGE_CURVE('',#158612,#158613,#80769,.T.); #194762=EDGE_CURVE('',#158614,#158612,#80770,.T.); #194763=EDGE_CURVE('',#158615,#158613,#80771,.T.); #194764=EDGE_CURVE('',#158614,#158615,#80772,.T.); #194765=EDGE_CURVE('',#158616,#158614,#80773,.T.); #194766=EDGE_CURVE('',#158617,#158615,#80774,.T.); #194767=EDGE_CURVE('',#158616,#158617,#80775,.T.); #194768=EDGE_CURVE('',#158618,#158616,#80776,.T.); #194769=EDGE_CURVE('',#158619,#158617,#80777,.T.); #194770=EDGE_CURVE('',#158618,#158619,#80778,.T.); #194771=EDGE_CURVE('',#158620,#158618,#80779,.T.); #194772=EDGE_CURVE('',#158621,#158619,#80780,.T.); #194773=EDGE_CURVE('',#158620,#158621,#80781,.T.); #194774=EDGE_CURVE('',#158622,#158620,#80782,.T.); #194775=EDGE_CURVE('',#158623,#158621,#80783,.T.); #194776=EDGE_CURVE('',#158622,#158623,#80784,.T.); #194777=EDGE_CURVE('',#158624,#158622,#80785,.T.); #194778=EDGE_CURVE('',#158625,#158623,#80786,.T.); #194779=EDGE_CURVE('',#158624,#158625,#80787,.T.); #194780=EDGE_CURVE('',#158626,#158624,#80788,.T.); #194781=EDGE_CURVE('',#158627,#158625,#80789,.T.); #194782=EDGE_CURVE('',#158626,#158627,#80790,.T.); #194783=EDGE_CURVE('',#158628,#158626,#80791,.T.); #194784=EDGE_CURVE('',#158629,#158627,#80792,.T.); #194785=EDGE_CURVE('',#158628,#158629,#80793,.T.); #194786=EDGE_CURVE('',#158630,#158628,#80794,.T.); #194787=EDGE_CURVE('',#158631,#158629,#80795,.T.); #194788=EDGE_CURVE('',#158630,#158631,#80796,.T.); #194789=EDGE_CURVE('',#158632,#158630,#80797,.T.); #194790=EDGE_CURVE('',#158633,#158631,#80798,.T.); #194791=EDGE_CURVE('',#158632,#158633,#80799,.T.); #194792=EDGE_CURVE('',#158634,#158632,#80800,.T.); #194793=EDGE_CURVE('',#158635,#158633,#80801,.T.); #194794=EDGE_CURVE('',#158634,#158635,#80802,.T.); #194795=EDGE_CURVE('',#158636,#158634,#80803,.T.); #194796=EDGE_CURVE('',#158637,#158635,#80804,.T.); #194797=EDGE_CURVE('',#158636,#158637,#80805,.T.); #194798=EDGE_CURVE('',#158638,#158636,#80806,.T.); #194799=EDGE_CURVE('',#158639,#158637,#80807,.T.); #194800=EDGE_CURVE('',#158638,#158639,#80808,.T.); #194801=EDGE_CURVE('',#158640,#158638,#80809,.T.); #194802=EDGE_CURVE('',#158641,#158639,#80810,.T.); #194803=EDGE_CURVE('',#158640,#158641,#80811,.T.); #194804=EDGE_CURVE('',#158642,#158640,#80812,.T.); #194805=EDGE_CURVE('',#158643,#158641,#80813,.T.); #194806=EDGE_CURVE('',#158642,#158643,#80814,.T.); #194807=EDGE_CURVE('',#158644,#158642,#80815,.T.); #194808=EDGE_CURVE('',#158645,#158643,#80816,.T.); #194809=EDGE_CURVE('',#158644,#158645,#80817,.T.); #194810=EDGE_CURVE('',#158646,#158644,#80818,.T.); #194811=EDGE_CURVE('',#158647,#158645,#80819,.T.); #194812=EDGE_CURVE('',#158646,#158647,#80820,.T.); #194813=EDGE_CURVE('',#158648,#158646,#80821,.T.); #194814=EDGE_CURVE('',#158649,#158647,#80822,.T.); #194815=EDGE_CURVE('',#158648,#158649,#80823,.T.); #194816=EDGE_CURVE('',#158650,#158648,#80824,.T.); #194817=EDGE_CURVE('',#158651,#158649,#80825,.T.); #194818=EDGE_CURVE('',#158650,#158651,#80826,.T.); #194819=EDGE_CURVE('',#158652,#158650,#80827,.T.); #194820=EDGE_CURVE('',#158653,#158651,#80828,.T.); #194821=EDGE_CURVE('',#158652,#158653,#80829,.T.); #194822=EDGE_CURVE('',#158654,#158652,#80830,.T.); #194823=EDGE_CURVE('',#158655,#158653,#80831,.T.); #194824=EDGE_CURVE('',#158654,#158655,#80832,.T.); #194825=EDGE_CURVE('',#158656,#158654,#80833,.T.); #194826=EDGE_CURVE('',#158657,#158655,#80834,.T.); #194827=EDGE_CURVE('',#158656,#158657,#80835,.T.); #194828=EDGE_CURVE('',#158658,#158656,#80836,.T.); #194829=EDGE_CURVE('',#158659,#158657,#80837,.T.); #194830=EDGE_CURVE('',#158658,#158659,#80838,.T.); #194831=EDGE_CURVE('',#158660,#158658,#80839,.T.); #194832=EDGE_CURVE('',#158661,#158659,#80840,.T.); #194833=EDGE_CURVE('',#158660,#158661,#80841,.T.); #194834=EDGE_CURVE('',#158662,#158660,#80842,.T.); #194835=EDGE_CURVE('',#158663,#158661,#80843,.T.); #194836=EDGE_CURVE('',#158662,#158663,#80844,.T.); #194837=EDGE_CURVE('',#158664,#158662,#80845,.T.); #194838=EDGE_CURVE('',#158665,#158663,#80846,.T.); #194839=EDGE_CURVE('',#158664,#158665,#80847,.T.); #194840=EDGE_CURVE('',#158666,#158664,#80848,.T.); #194841=EDGE_CURVE('',#158667,#158665,#80849,.T.); #194842=EDGE_CURVE('',#158666,#158667,#80850,.T.); #194843=EDGE_CURVE('',#158668,#158666,#80851,.T.); #194844=EDGE_CURVE('',#158669,#158667,#80852,.T.); #194845=EDGE_CURVE('',#158668,#158669,#80853,.T.); #194846=EDGE_CURVE('',#158670,#158668,#80854,.T.); #194847=EDGE_CURVE('',#158671,#158669,#80855,.T.); #194848=EDGE_CURVE('',#158670,#158671,#80856,.T.); #194849=EDGE_CURVE('',#158672,#158670,#80857,.T.); #194850=EDGE_CURVE('',#158673,#158671,#80858,.T.); #194851=EDGE_CURVE('',#158672,#158673,#80859,.T.); #194852=EDGE_CURVE('',#158674,#158672,#80860,.T.); #194853=EDGE_CURVE('',#158675,#158673,#80861,.T.); #194854=EDGE_CURVE('',#158674,#158675,#80862,.T.); #194855=EDGE_CURVE('',#158676,#158674,#80863,.T.); #194856=EDGE_CURVE('',#158677,#158675,#80864,.T.); #194857=EDGE_CURVE('',#158676,#158677,#80865,.T.); #194858=EDGE_CURVE('',#158678,#158676,#80866,.T.); #194859=EDGE_CURVE('',#158679,#158677,#80867,.T.); #194860=EDGE_CURVE('',#158678,#158679,#80868,.T.); #194861=EDGE_CURVE('',#158680,#158678,#80869,.T.); #194862=EDGE_CURVE('',#158681,#158679,#80870,.T.); #194863=EDGE_CURVE('',#158680,#158681,#80871,.T.); #194864=EDGE_CURVE('',#158682,#158680,#80872,.T.); #194865=EDGE_CURVE('',#158683,#158681,#80873,.T.); #194866=EDGE_CURVE('',#158682,#158683,#80874,.T.); #194867=EDGE_CURVE('',#158684,#158682,#80875,.T.); #194868=EDGE_CURVE('',#158685,#158683,#80876,.T.); #194869=EDGE_CURVE('',#158684,#158685,#80877,.T.); #194870=EDGE_CURVE('',#158686,#158684,#80878,.T.); #194871=EDGE_CURVE('',#158687,#158685,#80879,.T.); #194872=EDGE_CURVE('',#158686,#158687,#80880,.T.); #194873=EDGE_CURVE('',#158688,#158686,#80881,.T.); #194874=EDGE_CURVE('',#158689,#158687,#80882,.T.); #194875=EDGE_CURVE('',#158688,#158689,#80883,.T.); #194876=EDGE_CURVE('',#158690,#158688,#80884,.T.); #194877=EDGE_CURVE('',#158691,#158689,#80885,.T.); #194878=EDGE_CURVE('',#158690,#158691,#80886,.T.); #194879=EDGE_CURVE('',#158692,#158690,#80887,.T.); #194880=EDGE_CURVE('',#158693,#158691,#80888,.T.); #194881=EDGE_CURVE('',#158692,#158693,#80889,.T.); #194882=EDGE_CURVE('',#158694,#158692,#80890,.T.); #194883=EDGE_CURVE('',#158695,#158693,#80891,.T.); #194884=EDGE_CURVE('',#158694,#158695,#80892,.T.); #194885=EDGE_CURVE('',#158696,#158694,#80893,.T.); #194886=EDGE_CURVE('',#158697,#158695,#80894,.T.); #194887=EDGE_CURVE('',#158696,#158697,#80895,.T.); #194888=EDGE_CURVE('',#158698,#158696,#80896,.T.); #194889=EDGE_CURVE('',#158699,#158697,#80897,.T.); #194890=EDGE_CURVE('',#158698,#158699,#80898,.T.); #194891=EDGE_CURVE('',#158700,#158698,#80899,.T.); #194892=EDGE_CURVE('',#158701,#158699,#80900,.T.); #194893=EDGE_CURVE('',#158700,#158701,#80901,.T.); #194894=EDGE_CURVE('',#158702,#158700,#80902,.T.); #194895=EDGE_CURVE('',#158703,#158701,#80903,.T.); #194896=EDGE_CURVE('',#158702,#158703,#80904,.T.); #194897=EDGE_CURVE('',#158704,#158702,#80905,.T.); #194898=EDGE_CURVE('',#158705,#158703,#80906,.T.); #194899=EDGE_CURVE('',#158704,#158705,#80907,.T.); #194900=EDGE_CURVE('',#158706,#158704,#80908,.T.); #194901=EDGE_CURVE('',#158707,#158705,#80909,.T.); #194902=EDGE_CURVE('',#158706,#158707,#80910,.T.); #194903=EDGE_CURVE('',#158708,#158706,#80911,.T.); #194904=EDGE_CURVE('',#158709,#158707,#80912,.T.); #194905=EDGE_CURVE('',#158708,#158709,#80913,.T.); #194906=EDGE_CURVE('',#158710,#158708,#80914,.T.); #194907=EDGE_CURVE('',#158711,#158709,#80915,.T.); #194908=EDGE_CURVE('',#158710,#158711,#80916,.T.); #194909=EDGE_CURVE('',#158712,#158710,#80917,.T.); #194910=EDGE_CURVE('',#158713,#158711,#80918,.T.); #194911=EDGE_CURVE('',#158712,#158713,#80919,.T.); #194912=EDGE_CURVE('',#158714,#158712,#80920,.T.); #194913=EDGE_CURVE('',#158715,#158713,#80921,.T.); #194914=EDGE_CURVE('',#158714,#158715,#80922,.T.); #194915=EDGE_CURVE('',#158716,#158714,#80923,.T.); #194916=EDGE_CURVE('',#158717,#158715,#80924,.T.); #194917=EDGE_CURVE('',#158716,#158717,#80925,.T.); #194918=EDGE_CURVE('',#158718,#158716,#80926,.T.); #194919=EDGE_CURVE('',#158719,#158717,#80927,.T.); #194920=EDGE_CURVE('',#158718,#158719,#80928,.T.); #194921=EDGE_CURVE('',#158720,#158718,#80929,.T.); #194922=EDGE_CURVE('',#158721,#158719,#80930,.T.); #194923=EDGE_CURVE('',#158720,#158721,#80931,.T.); #194924=EDGE_CURVE('',#158722,#158720,#80932,.T.); #194925=EDGE_CURVE('',#158723,#158721,#80933,.T.); #194926=EDGE_CURVE('',#158722,#158723,#80934,.T.); #194927=EDGE_CURVE('',#158724,#158722,#80935,.T.); #194928=EDGE_CURVE('',#158725,#158723,#80936,.T.); #194929=EDGE_CURVE('',#158724,#158725,#80937,.T.); #194930=EDGE_CURVE('',#158726,#158724,#80938,.T.); #194931=EDGE_CURVE('',#158727,#158725,#80939,.T.); #194932=EDGE_CURVE('',#158726,#158727,#80940,.T.); #194933=EDGE_CURVE('',#158728,#158726,#80941,.T.); #194934=EDGE_CURVE('',#158729,#158727,#80942,.T.); #194935=EDGE_CURVE('',#158728,#158729,#80943,.T.); #194936=EDGE_CURVE('',#158730,#158728,#80944,.T.); #194937=EDGE_CURVE('',#158731,#158729,#80945,.T.); #194938=EDGE_CURVE('',#158730,#158731,#80946,.T.); #194939=EDGE_CURVE('',#158732,#158730,#80947,.T.); #194940=EDGE_CURVE('',#158733,#158731,#80948,.T.); #194941=EDGE_CURVE('',#158732,#158733,#80949,.T.); #194942=EDGE_CURVE('',#158734,#158732,#80950,.T.); #194943=EDGE_CURVE('',#158735,#158733,#80951,.T.); #194944=EDGE_CURVE('',#158734,#158735,#80952,.T.); #194945=EDGE_CURVE('',#158736,#158734,#80953,.T.); #194946=EDGE_CURVE('',#158737,#158735,#80954,.T.); #194947=EDGE_CURVE('',#158736,#158737,#80955,.T.); #194948=EDGE_CURVE('',#158738,#158736,#80956,.T.); #194949=EDGE_CURVE('',#158739,#158737,#80957,.T.); #194950=EDGE_CURVE('',#158738,#158739,#80958,.T.); #194951=EDGE_CURVE('',#158740,#158738,#80959,.T.); #194952=EDGE_CURVE('',#158741,#158739,#80960,.T.); #194953=EDGE_CURVE('',#158740,#158741,#80961,.T.); #194954=EDGE_CURVE('',#158742,#158740,#80962,.T.); #194955=EDGE_CURVE('',#158743,#158741,#80963,.T.); #194956=EDGE_CURVE('',#158742,#158743,#80964,.T.); #194957=EDGE_CURVE('',#158744,#158742,#80965,.T.); #194958=EDGE_CURVE('',#158745,#158743,#80966,.T.); #194959=EDGE_CURVE('',#158744,#158745,#80967,.T.); #194960=EDGE_CURVE('',#158746,#158744,#80968,.T.); #194961=EDGE_CURVE('',#158747,#158745,#80969,.T.); #194962=EDGE_CURVE('',#158746,#158747,#80970,.T.); #194963=EDGE_CURVE('',#158748,#158746,#80971,.T.); #194964=EDGE_CURVE('',#158749,#158747,#80972,.T.); #194965=EDGE_CURVE('',#158748,#158749,#80973,.T.); #194966=EDGE_CURVE('',#158750,#158748,#80974,.T.); #194967=EDGE_CURVE('',#158751,#158749,#80975,.T.); #194968=EDGE_CURVE('',#158750,#158751,#80976,.T.); #194969=EDGE_CURVE('',#158752,#158750,#80977,.T.); #194970=EDGE_CURVE('',#158753,#158751,#80978,.T.); #194971=EDGE_CURVE('',#158752,#158753,#80979,.T.); #194972=EDGE_CURVE('',#158754,#158752,#80980,.T.); #194973=EDGE_CURVE('',#158755,#158753,#80981,.T.); #194974=EDGE_CURVE('',#158754,#158755,#80982,.T.); #194975=EDGE_CURVE('',#158756,#158754,#80983,.T.); #194976=EDGE_CURVE('',#158757,#158755,#80984,.T.); #194977=EDGE_CURVE('',#158756,#158757,#80985,.T.); #194978=EDGE_CURVE('',#158758,#158756,#80986,.T.); #194979=EDGE_CURVE('',#158759,#158757,#80987,.T.); #194980=EDGE_CURVE('',#158758,#158759,#80988,.T.); #194981=EDGE_CURVE('',#158760,#158758,#80989,.T.); #194982=EDGE_CURVE('',#158761,#158759,#80990,.T.); #194983=EDGE_CURVE('',#158760,#158761,#80991,.T.); #194984=EDGE_CURVE('',#158762,#158760,#80992,.T.); #194985=EDGE_CURVE('',#158763,#158761,#80993,.T.); #194986=EDGE_CURVE('',#158762,#158763,#80994,.T.); #194987=EDGE_CURVE('',#158764,#158762,#80995,.T.); #194988=EDGE_CURVE('',#158765,#158763,#80996,.T.); #194989=EDGE_CURVE('',#158764,#158765,#80997,.T.); #194990=EDGE_CURVE('',#158766,#158764,#80998,.T.); #194991=EDGE_CURVE('',#158767,#158765,#80999,.T.); #194992=EDGE_CURVE('',#158766,#158767,#81000,.T.); #194993=EDGE_CURVE('',#158768,#158766,#81001,.T.); #194994=EDGE_CURVE('',#158769,#158767,#81002,.T.); #194995=EDGE_CURVE('',#158768,#158769,#81003,.T.); #194996=EDGE_CURVE('',#158770,#158768,#81004,.T.); #194997=EDGE_CURVE('',#158771,#158769,#81005,.T.); #194998=EDGE_CURVE('',#158770,#158771,#81006,.T.); #194999=EDGE_CURVE('',#158772,#158770,#81007,.T.); #195000=EDGE_CURVE('',#158773,#158771,#81008,.T.); #195001=EDGE_CURVE('',#158772,#158773,#81009,.T.); #195002=EDGE_CURVE('',#158774,#158772,#81010,.T.); #195003=EDGE_CURVE('',#158775,#158773,#81011,.T.); #195004=EDGE_CURVE('',#158774,#158775,#81012,.T.); #195005=EDGE_CURVE('',#158776,#158774,#81013,.T.); #195006=EDGE_CURVE('',#158777,#158775,#81014,.T.); #195007=EDGE_CURVE('',#158776,#158777,#81015,.T.); #195008=EDGE_CURVE('',#158778,#158776,#81016,.T.); #195009=EDGE_CURVE('',#158779,#158777,#81017,.T.); #195010=EDGE_CURVE('',#158778,#158779,#81018,.T.); #195011=EDGE_CURVE('',#158780,#158778,#81019,.T.); #195012=EDGE_CURVE('',#158781,#158779,#81020,.T.); #195013=EDGE_CURVE('',#158780,#158781,#81021,.T.); #195014=EDGE_CURVE('',#158782,#158780,#81022,.T.); #195015=EDGE_CURVE('',#158783,#158781,#81023,.T.); #195016=EDGE_CURVE('',#158782,#158783,#81024,.T.); #195017=EDGE_CURVE('',#158784,#158782,#81025,.T.); #195018=EDGE_CURVE('',#158785,#158783,#81026,.T.); #195019=EDGE_CURVE('',#158784,#158785,#81027,.T.); #195020=EDGE_CURVE('',#158786,#158784,#81028,.T.); #195021=EDGE_CURVE('',#158787,#158785,#81029,.T.); #195022=EDGE_CURVE('',#158786,#158787,#81030,.T.); #195023=EDGE_CURVE('',#158788,#158786,#81031,.T.); #195024=EDGE_CURVE('',#158789,#158787,#81032,.T.); #195025=EDGE_CURVE('',#158788,#158789,#81033,.T.); #195026=EDGE_CURVE('',#158790,#158788,#81034,.T.); #195027=EDGE_CURVE('',#158791,#158789,#81035,.T.); #195028=EDGE_CURVE('',#158790,#158791,#81036,.T.); #195029=EDGE_CURVE('',#158792,#158790,#81037,.T.); #195030=EDGE_CURVE('',#158793,#158791,#81038,.T.); #195031=EDGE_CURVE('',#158792,#158793,#81039,.T.); #195032=EDGE_CURVE('',#158794,#158792,#81040,.T.); #195033=EDGE_CURVE('',#158795,#158793,#81041,.T.); #195034=EDGE_CURVE('',#158794,#158795,#81042,.T.); #195035=EDGE_CURVE('',#158796,#158794,#81043,.T.); #195036=EDGE_CURVE('',#158797,#158795,#81044,.T.); #195037=EDGE_CURVE('',#158796,#158797,#81045,.T.); #195038=EDGE_CURVE('',#158798,#158796,#81046,.T.); #195039=EDGE_CURVE('',#158799,#158797,#81047,.T.); #195040=EDGE_CURVE('',#158798,#158799,#81048,.T.); #195041=EDGE_CURVE('',#158800,#158798,#81049,.T.); #195042=EDGE_CURVE('',#158801,#158799,#81050,.T.); #195043=EDGE_CURVE('',#158800,#158801,#81051,.T.); #195044=EDGE_CURVE('',#158802,#158800,#81052,.T.); #195045=EDGE_CURVE('',#158803,#158801,#81053,.T.); #195046=EDGE_CURVE('',#158802,#158803,#81054,.T.); #195047=EDGE_CURVE('',#158804,#158802,#81055,.T.); #195048=EDGE_CURVE('',#158805,#158803,#81056,.T.); #195049=EDGE_CURVE('',#158804,#158805,#81057,.T.); #195050=EDGE_CURVE('',#158806,#158804,#81058,.T.); #195051=EDGE_CURVE('',#158807,#158805,#81059,.T.); #195052=EDGE_CURVE('',#158806,#158807,#81060,.T.); #195053=EDGE_CURVE('',#158808,#158806,#81061,.T.); #195054=EDGE_CURVE('',#158809,#158807,#81062,.T.); #195055=EDGE_CURVE('',#158808,#158809,#81063,.T.); #195056=EDGE_CURVE('',#158810,#158808,#81064,.T.); #195057=EDGE_CURVE('',#158811,#158809,#81065,.T.); #195058=EDGE_CURVE('',#158810,#158811,#81066,.T.); #195059=EDGE_CURVE('',#158812,#158810,#81067,.T.); #195060=EDGE_CURVE('',#158813,#158811,#81068,.T.); #195061=EDGE_CURVE('',#158812,#158813,#81069,.T.); #195062=EDGE_CURVE('',#158814,#158812,#81070,.T.); #195063=EDGE_CURVE('',#158815,#158813,#81071,.T.); #195064=EDGE_CURVE('',#158814,#158815,#81072,.T.); #195065=EDGE_CURVE('',#158816,#158814,#81073,.T.); #195066=EDGE_CURVE('',#158817,#158815,#81074,.T.); #195067=EDGE_CURVE('',#158816,#158817,#81075,.T.); #195068=EDGE_CURVE('',#158818,#158816,#81076,.T.); #195069=EDGE_CURVE('',#158819,#158817,#81077,.T.); #195070=EDGE_CURVE('',#158818,#158819,#81078,.T.); #195071=EDGE_CURVE('',#158820,#158818,#81079,.T.); #195072=EDGE_CURVE('',#158821,#158819,#81080,.T.); #195073=EDGE_CURVE('',#158820,#158821,#81081,.T.); #195074=EDGE_CURVE('',#158822,#158820,#81082,.T.); #195075=EDGE_CURVE('',#158823,#158821,#81083,.T.); #195076=EDGE_CURVE('',#158822,#158823,#81084,.T.); #195077=EDGE_CURVE('',#158824,#158822,#81085,.T.); #195078=EDGE_CURVE('',#158825,#158823,#81086,.T.); #195079=EDGE_CURVE('',#158824,#158825,#81087,.T.); #195080=EDGE_CURVE('',#158826,#158824,#81088,.T.); #195081=EDGE_CURVE('',#158827,#158825,#81089,.T.); #195082=EDGE_CURVE('',#158826,#158827,#81090,.T.); #195083=EDGE_CURVE('',#158828,#158826,#81091,.T.); #195084=EDGE_CURVE('',#158829,#158827,#81092,.T.); #195085=EDGE_CURVE('',#158828,#158829,#81093,.T.); #195086=EDGE_CURVE('',#158830,#158828,#81094,.T.); #195087=EDGE_CURVE('',#158831,#158829,#81095,.T.); #195088=EDGE_CURVE('',#158830,#158831,#81096,.T.); #195089=EDGE_CURVE('',#158832,#158830,#81097,.T.); #195090=EDGE_CURVE('',#158833,#158831,#81098,.T.); #195091=EDGE_CURVE('',#158832,#158833,#81099,.T.); #195092=EDGE_CURVE('',#158834,#158832,#81100,.T.); #195093=EDGE_CURVE('',#158835,#158833,#81101,.T.); #195094=EDGE_CURVE('',#158834,#158835,#81102,.T.); #195095=EDGE_CURVE('',#158836,#158834,#81103,.T.); #195096=EDGE_CURVE('',#158837,#158835,#81104,.T.); #195097=EDGE_CURVE('',#158836,#158837,#81105,.T.); #195098=EDGE_CURVE('',#158838,#158836,#81106,.T.); #195099=EDGE_CURVE('',#158839,#158837,#81107,.T.); #195100=EDGE_CURVE('',#158838,#158839,#81108,.T.); #195101=EDGE_CURVE('',#158840,#158838,#81109,.T.); #195102=EDGE_CURVE('',#158841,#158839,#81110,.T.); #195103=EDGE_CURVE('',#158840,#158841,#81111,.T.); #195104=EDGE_CURVE('',#158842,#158840,#81112,.T.); #195105=EDGE_CURVE('',#158843,#158841,#81113,.T.); #195106=EDGE_CURVE('',#158842,#158843,#81114,.T.); #195107=EDGE_CURVE('',#158844,#158842,#81115,.T.); #195108=EDGE_CURVE('',#158845,#158843,#81116,.T.); #195109=EDGE_CURVE('',#158844,#158845,#81117,.T.); #195110=EDGE_CURVE('',#158846,#158844,#81118,.T.); #195111=EDGE_CURVE('',#158847,#158845,#81119,.T.); #195112=EDGE_CURVE('',#158846,#158847,#81120,.T.); #195113=EDGE_CURVE('',#158848,#158846,#81121,.T.); #195114=EDGE_CURVE('',#158849,#158847,#81122,.T.); #195115=EDGE_CURVE('',#158848,#158849,#81123,.T.); #195116=EDGE_CURVE('',#158850,#158848,#81124,.T.); #195117=EDGE_CURVE('',#158851,#158849,#81125,.T.); #195118=EDGE_CURVE('',#158850,#158851,#81126,.T.); #195119=EDGE_CURVE('',#158852,#158850,#81127,.T.); #195120=EDGE_CURVE('',#158853,#158851,#81128,.T.); #195121=EDGE_CURVE('',#158852,#158853,#81129,.T.); #195122=EDGE_CURVE('',#158854,#158852,#81130,.T.); #195123=EDGE_CURVE('',#158855,#158853,#81131,.T.); #195124=EDGE_CURVE('',#158854,#158855,#81132,.T.); #195125=EDGE_CURVE('',#158856,#158854,#81133,.T.); #195126=EDGE_CURVE('',#158857,#158855,#81134,.T.); #195127=EDGE_CURVE('',#158856,#158857,#81135,.T.); #195128=EDGE_CURVE('',#158858,#158856,#81136,.T.); #195129=EDGE_CURVE('',#158859,#158857,#81137,.T.); #195130=EDGE_CURVE('',#158858,#158859,#81138,.T.); #195131=EDGE_CURVE('',#158860,#158858,#81139,.T.); #195132=EDGE_CURVE('',#158861,#158859,#81140,.T.); #195133=EDGE_CURVE('',#158860,#158861,#81141,.T.); #195134=EDGE_CURVE('',#158862,#158860,#81142,.T.); #195135=EDGE_CURVE('',#158863,#158861,#81143,.T.); #195136=EDGE_CURVE('',#158862,#158863,#81144,.T.); #195137=EDGE_CURVE('',#158864,#158862,#81145,.T.); #195138=EDGE_CURVE('',#158865,#158863,#81146,.T.); #195139=EDGE_CURVE('',#158864,#158865,#81147,.T.); #195140=EDGE_CURVE('',#158866,#158864,#81148,.T.); #195141=EDGE_CURVE('',#158867,#158865,#81149,.T.); #195142=EDGE_CURVE('',#158866,#158867,#81150,.T.); #195143=EDGE_CURVE('',#158868,#158866,#81151,.T.); #195144=EDGE_CURVE('',#158869,#158867,#81152,.T.); #195145=EDGE_CURVE('',#158868,#158869,#81153,.T.); #195146=EDGE_CURVE('',#158870,#158868,#81154,.T.); #195147=EDGE_CURVE('',#158871,#158869,#81155,.T.); #195148=EDGE_CURVE('',#158870,#158871,#81156,.T.); #195149=EDGE_CURVE('',#158872,#158870,#81157,.T.); #195150=EDGE_CURVE('',#158873,#158871,#81158,.T.); #195151=EDGE_CURVE('',#158872,#158873,#81159,.T.); #195152=EDGE_CURVE('',#158874,#158872,#81160,.T.); #195153=EDGE_CURVE('',#158875,#158873,#81161,.T.); #195154=EDGE_CURVE('',#158874,#158875,#81162,.T.); #195155=EDGE_CURVE('',#158876,#158874,#81163,.T.); #195156=EDGE_CURVE('',#158877,#158875,#81164,.T.); #195157=EDGE_CURVE('',#158876,#158877,#81165,.T.); #195158=EDGE_CURVE('',#158878,#158876,#81166,.T.); #195159=EDGE_CURVE('',#158879,#158877,#81167,.T.); #195160=EDGE_CURVE('',#158878,#158879,#81168,.T.); #195161=EDGE_CURVE('',#158880,#158878,#81169,.T.); #195162=EDGE_CURVE('',#158881,#158879,#81170,.T.); #195163=EDGE_CURVE('',#158880,#158881,#81171,.T.); #195164=EDGE_CURVE('',#158882,#158880,#81172,.T.); #195165=EDGE_CURVE('',#158883,#158881,#81173,.T.); #195166=EDGE_CURVE('',#158882,#158883,#81174,.T.); #195167=EDGE_CURVE('',#158884,#158882,#81175,.T.); #195168=EDGE_CURVE('',#158885,#158883,#81176,.T.); #195169=EDGE_CURVE('',#158884,#158885,#81177,.T.); #195170=EDGE_CURVE('',#158886,#158884,#81178,.T.); #195171=EDGE_CURVE('',#158887,#158885,#81179,.T.); #195172=EDGE_CURVE('',#158886,#158887,#81180,.T.); #195173=EDGE_CURVE('',#158888,#158886,#81181,.T.); #195174=EDGE_CURVE('',#158889,#158887,#81182,.T.); #195175=EDGE_CURVE('',#158888,#158889,#81183,.T.); #195176=EDGE_CURVE('',#158890,#158888,#81184,.T.); #195177=EDGE_CURVE('',#158891,#158889,#81185,.T.); #195178=EDGE_CURVE('',#158890,#158891,#81186,.T.); #195179=EDGE_CURVE('',#158892,#158890,#81187,.T.); #195180=EDGE_CURVE('',#158893,#158891,#81188,.T.); #195181=EDGE_CURVE('',#158892,#158893,#81189,.T.); #195182=EDGE_CURVE('',#158894,#158892,#81190,.T.); #195183=EDGE_CURVE('',#158895,#158893,#81191,.T.); #195184=EDGE_CURVE('',#158894,#158895,#81192,.T.); #195185=EDGE_CURVE('',#158896,#158894,#81193,.T.); #195186=EDGE_CURVE('',#158897,#158895,#81194,.T.); #195187=EDGE_CURVE('',#158896,#158897,#81195,.T.); #195188=EDGE_CURVE('',#158898,#158896,#81196,.T.); #195189=EDGE_CURVE('',#158899,#158897,#81197,.T.); #195190=EDGE_CURVE('',#158898,#158899,#81198,.T.); #195191=EDGE_CURVE('',#158900,#158898,#81199,.T.); #195192=EDGE_CURVE('',#158901,#158899,#81200,.T.); #195193=EDGE_CURVE('',#158900,#158901,#81201,.T.); #195194=EDGE_CURVE('',#158902,#158900,#81202,.T.); #195195=EDGE_CURVE('',#158903,#158901,#81203,.T.); #195196=EDGE_CURVE('',#158902,#158903,#81204,.T.); #195197=EDGE_CURVE('',#158904,#158902,#81205,.T.); #195198=EDGE_CURVE('',#158905,#158903,#81206,.T.); #195199=EDGE_CURVE('',#158904,#158905,#81207,.T.); #195200=EDGE_CURVE('',#158906,#158904,#81208,.T.); #195201=EDGE_CURVE('',#158907,#158905,#81209,.T.); #195202=EDGE_CURVE('',#158906,#158907,#81210,.T.); #195203=EDGE_CURVE('',#158908,#158906,#81211,.T.); #195204=EDGE_CURVE('',#158909,#158907,#81212,.T.); #195205=EDGE_CURVE('',#158908,#158909,#81213,.T.); #195206=EDGE_CURVE('',#158910,#158908,#81214,.T.); #195207=EDGE_CURVE('',#158911,#158909,#81215,.T.); #195208=EDGE_CURVE('',#158910,#158911,#81216,.T.); #195209=EDGE_CURVE('',#158912,#158910,#81217,.T.); #195210=EDGE_CURVE('',#158913,#158911,#81218,.T.); #195211=EDGE_CURVE('',#158912,#158913,#81219,.T.); #195212=EDGE_CURVE('',#158914,#158912,#81220,.T.); #195213=EDGE_CURVE('',#158915,#158913,#81221,.T.); #195214=EDGE_CURVE('',#158914,#158915,#81222,.T.); #195215=EDGE_CURVE('',#158916,#158914,#81223,.T.); #195216=EDGE_CURVE('',#158917,#158915,#81224,.T.); #195217=EDGE_CURVE('',#158916,#158917,#81225,.T.); #195218=EDGE_CURVE('',#158918,#158916,#81226,.T.); #195219=EDGE_CURVE('',#158919,#158917,#81227,.T.); #195220=EDGE_CURVE('',#158918,#158919,#81228,.T.); #195221=EDGE_CURVE('',#158920,#158918,#81229,.T.); #195222=EDGE_CURVE('',#158921,#158919,#81230,.T.); #195223=EDGE_CURVE('',#158920,#158921,#81231,.T.); #195224=EDGE_CURVE('',#158922,#158920,#81232,.T.); #195225=EDGE_CURVE('',#158923,#158921,#81233,.T.); #195226=EDGE_CURVE('',#158922,#158923,#81234,.T.); #195227=EDGE_CURVE('',#158924,#158922,#81235,.T.); #195228=EDGE_CURVE('',#158925,#158923,#81236,.T.); #195229=EDGE_CURVE('',#158924,#158925,#81237,.T.); #195230=EDGE_CURVE('',#158926,#158924,#81238,.T.); #195231=EDGE_CURVE('',#158927,#158925,#81239,.T.); #195232=EDGE_CURVE('',#158926,#158927,#81240,.T.); #195233=EDGE_CURVE('',#158928,#158926,#81241,.T.); #195234=EDGE_CURVE('',#158929,#158927,#81242,.T.); #195235=EDGE_CURVE('',#158928,#158929,#81243,.T.); #195236=EDGE_CURVE('',#158930,#158928,#81244,.T.); #195237=EDGE_CURVE('',#158931,#158929,#81245,.T.); #195238=EDGE_CURVE('',#158930,#158931,#81246,.T.); #195239=EDGE_CURVE('',#158932,#158930,#81247,.T.); #195240=EDGE_CURVE('',#158933,#158931,#81248,.T.); #195241=EDGE_CURVE('',#158932,#158933,#81249,.T.); #195242=EDGE_CURVE('',#158934,#158932,#81250,.T.); #195243=EDGE_CURVE('',#158935,#158933,#81251,.T.); #195244=EDGE_CURVE('',#158934,#158935,#81252,.T.); #195245=EDGE_CURVE('',#158936,#158934,#81253,.T.); #195246=EDGE_CURVE('',#158937,#158935,#81254,.T.); #195247=EDGE_CURVE('',#158936,#158937,#81255,.T.); #195248=EDGE_CURVE('',#158938,#158936,#81256,.T.); #195249=EDGE_CURVE('',#158939,#158937,#81257,.T.); #195250=EDGE_CURVE('',#158938,#158939,#81258,.T.); #195251=EDGE_CURVE('',#158940,#158938,#81259,.T.); #195252=EDGE_CURVE('',#158941,#158939,#81260,.T.); #195253=EDGE_CURVE('',#158940,#158941,#81261,.T.); #195254=EDGE_CURVE('',#158942,#158940,#81262,.T.); #195255=EDGE_CURVE('',#158943,#158941,#81263,.T.); #195256=EDGE_CURVE('',#158942,#158943,#81264,.T.); #195257=EDGE_CURVE('',#158944,#158942,#81265,.T.); #195258=EDGE_CURVE('',#158945,#158943,#81266,.T.); #195259=EDGE_CURVE('',#158944,#158945,#81267,.T.); #195260=EDGE_CURVE('',#158946,#158944,#81268,.T.); #195261=EDGE_CURVE('',#158947,#158945,#81269,.T.); #195262=EDGE_CURVE('',#158946,#158947,#81270,.T.); #195263=EDGE_CURVE('',#158948,#158946,#81271,.T.); #195264=EDGE_CURVE('',#158949,#158947,#81272,.T.); #195265=EDGE_CURVE('',#158948,#158949,#81273,.T.); #195266=EDGE_CURVE('',#158950,#158948,#81274,.T.); #195267=EDGE_CURVE('',#158951,#158949,#81275,.T.); #195268=EDGE_CURVE('',#158950,#158951,#81276,.T.); #195269=EDGE_CURVE('',#158952,#158950,#81277,.T.); #195270=EDGE_CURVE('',#158953,#158951,#81278,.T.); #195271=EDGE_CURVE('',#158952,#158953,#81279,.T.); #195272=EDGE_CURVE('',#158954,#158952,#81280,.T.); #195273=EDGE_CURVE('',#158955,#158953,#81281,.T.); #195274=EDGE_CURVE('',#158954,#158955,#81282,.T.); #195275=EDGE_CURVE('',#158956,#158954,#81283,.T.); #195276=EDGE_CURVE('',#158957,#158955,#81284,.T.); #195277=EDGE_CURVE('',#158956,#158957,#81285,.T.); #195278=EDGE_CURVE('',#158958,#158956,#81286,.T.); #195279=EDGE_CURVE('',#158959,#158957,#81287,.T.); #195280=EDGE_CURVE('',#158958,#158959,#81288,.T.); #195281=EDGE_CURVE('',#158960,#158958,#81289,.T.); #195282=EDGE_CURVE('',#158961,#158959,#81290,.T.); #195283=EDGE_CURVE('',#158960,#158961,#81291,.T.); #195284=EDGE_CURVE('',#158962,#158960,#81292,.T.); #195285=EDGE_CURVE('',#158963,#158961,#81293,.T.); #195286=EDGE_CURVE('',#158962,#158963,#81294,.T.); #195287=EDGE_CURVE('',#158964,#158962,#81295,.T.); #195288=EDGE_CURVE('',#158965,#158963,#81296,.T.); #195289=EDGE_CURVE('',#158964,#158965,#81297,.T.); #195290=EDGE_CURVE('',#158966,#158964,#81298,.T.); #195291=EDGE_CURVE('',#158967,#158965,#81299,.T.); #195292=EDGE_CURVE('',#158966,#158967,#81300,.T.); #195293=EDGE_CURVE('',#158968,#158966,#81301,.T.); #195294=EDGE_CURVE('',#158969,#158967,#81302,.T.); #195295=EDGE_CURVE('',#158968,#158969,#81303,.T.); #195296=EDGE_CURVE('',#158970,#158968,#81304,.T.); #195297=EDGE_CURVE('',#158971,#158969,#81305,.T.); #195298=EDGE_CURVE('',#158970,#158971,#81306,.T.); #195299=EDGE_CURVE('',#158972,#158970,#81307,.T.); #195300=EDGE_CURVE('',#158973,#158971,#81308,.T.); #195301=EDGE_CURVE('',#158972,#158973,#81309,.T.); #195302=EDGE_CURVE('',#158974,#158972,#81310,.T.); #195303=EDGE_CURVE('',#158975,#158973,#81311,.T.); #195304=EDGE_CURVE('',#158974,#158975,#81312,.T.); #195305=EDGE_CURVE('',#158976,#158974,#81313,.T.); #195306=EDGE_CURVE('',#158977,#158975,#81314,.T.); #195307=EDGE_CURVE('',#158976,#158977,#81315,.T.); #195308=EDGE_CURVE('',#158978,#158976,#81316,.T.); #195309=EDGE_CURVE('',#158979,#158977,#81317,.T.); #195310=EDGE_CURVE('',#158978,#158979,#81318,.T.); #195311=EDGE_CURVE('',#158980,#158978,#81319,.T.); #195312=EDGE_CURVE('',#158981,#158979,#81320,.T.); #195313=EDGE_CURVE('',#158980,#158981,#81321,.T.); #195314=EDGE_CURVE('',#158982,#158980,#81322,.T.); #195315=EDGE_CURVE('',#158983,#158981,#81323,.T.); #195316=EDGE_CURVE('',#158982,#158983,#81324,.T.); #195317=EDGE_CURVE('',#158984,#158982,#81325,.T.); #195318=EDGE_CURVE('',#158985,#158983,#81326,.T.); #195319=EDGE_CURVE('',#158984,#158985,#81327,.T.); #195320=EDGE_CURVE('',#158986,#158984,#81328,.T.); #195321=EDGE_CURVE('',#158987,#158985,#81329,.T.); #195322=EDGE_CURVE('',#158986,#158987,#81330,.T.); #195323=EDGE_CURVE('',#158988,#158986,#81331,.T.); #195324=EDGE_CURVE('',#158989,#158987,#81332,.T.); #195325=EDGE_CURVE('',#158988,#158989,#81333,.T.); #195326=EDGE_CURVE('',#158990,#158988,#81334,.T.); #195327=EDGE_CURVE('',#158991,#158989,#81335,.T.); #195328=EDGE_CURVE('',#158990,#158991,#81336,.T.); #195329=EDGE_CURVE('',#158992,#158990,#81337,.T.); #195330=EDGE_CURVE('',#158993,#158991,#81338,.T.); #195331=EDGE_CURVE('',#158992,#158993,#81339,.T.); #195332=EDGE_CURVE('',#158994,#158992,#81340,.T.); #195333=EDGE_CURVE('',#158995,#158993,#81341,.T.); #195334=EDGE_CURVE('',#158994,#158995,#81342,.T.); #195335=EDGE_CURVE('',#158996,#158994,#81343,.T.); #195336=EDGE_CURVE('',#158997,#158995,#81344,.T.); #195337=EDGE_CURVE('',#158996,#158997,#81345,.T.); #195338=EDGE_CURVE('',#158998,#158996,#81346,.T.); #195339=EDGE_CURVE('',#158999,#158997,#81347,.T.); #195340=EDGE_CURVE('',#158998,#158999,#81348,.T.); #195341=EDGE_CURVE('',#159000,#158998,#81349,.T.); #195342=EDGE_CURVE('',#159001,#158999,#81350,.T.); #195343=EDGE_CURVE('',#159000,#159001,#81351,.T.); #195344=EDGE_CURVE('',#159002,#159000,#81352,.T.); #195345=EDGE_CURVE('',#159003,#159001,#81353,.T.); #195346=EDGE_CURVE('',#159002,#159003,#81354,.T.); #195347=EDGE_CURVE('',#159004,#159002,#81355,.T.); #195348=EDGE_CURVE('',#159005,#159003,#81356,.T.); #195349=EDGE_CURVE('',#159004,#159005,#81357,.T.); #195350=EDGE_CURVE('',#159006,#159004,#81358,.T.); #195351=EDGE_CURVE('',#159007,#159005,#81359,.T.); #195352=EDGE_CURVE('',#159006,#159007,#81360,.T.); #195353=EDGE_CURVE('',#159008,#159006,#81361,.T.); #195354=EDGE_CURVE('',#159009,#159007,#81362,.T.); #195355=EDGE_CURVE('',#159008,#159009,#81363,.T.); #195356=EDGE_CURVE('',#159010,#159008,#81364,.T.); #195357=EDGE_CURVE('',#159011,#159009,#81365,.T.); #195358=EDGE_CURVE('',#159010,#159011,#81366,.T.); #195359=EDGE_CURVE('',#159012,#159010,#81367,.T.); #195360=EDGE_CURVE('',#159013,#159011,#81368,.T.); #195361=EDGE_CURVE('',#159012,#159013,#81369,.T.); #195362=EDGE_CURVE('',#159014,#159012,#81370,.T.); #195363=EDGE_CURVE('',#159015,#159013,#81371,.T.); #195364=EDGE_CURVE('',#159014,#159015,#81372,.T.); #195365=EDGE_CURVE('',#159016,#159014,#81373,.T.); #195366=EDGE_CURVE('',#159017,#159015,#81374,.T.); #195367=EDGE_CURVE('',#159016,#159017,#81375,.T.); #195368=EDGE_CURVE('',#159018,#159016,#81376,.T.); #195369=EDGE_CURVE('',#159019,#159017,#81377,.T.); #195370=EDGE_CURVE('',#159018,#159019,#81378,.T.); #195371=EDGE_CURVE('',#159020,#159018,#81379,.T.); #195372=EDGE_CURVE('',#159021,#159019,#81380,.T.); #195373=EDGE_CURVE('',#159020,#159021,#81381,.T.); #195374=EDGE_CURVE('',#159022,#159020,#81382,.T.); #195375=EDGE_CURVE('',#159023,#159021,#81383,.T.); #195376=EDGE_CURVE('',#159022,#159023,#81384,.T.); #195377=EDGE_CURVE('',#159024,#159022,#81385,.T.); #195378=EDGE_CURVE('',#159025,#159023,#81386,.T.); #195379=EDGE_CURVE('',#159024,#159025,#81387,.T.); #195380=EDGE_CURVE('',#159026,#159024,#81388,.T.); #195381=EDGE_CURVE('',#159027,#159025,#81389,.T.); #195382=EDGE_CURVE('',#159026,#159027,#81390,.T.); #195383=EDGE_CURVE('',#159028,#159026,#81391,.T.); #195384=EDGE_CURVE('',#159029,#159027,#81392,.T.); #195385=EDGE_CURVE('',#159028,#159029,#81393,.T.); #195386=EDGE_CURVE('',#159030,#159028,#81394,.T.); #195387=EDGE_CURVE('',#159031,#159029,#81395,.T.); #195388=EDGE_CURVE('',#159030,#159031,#81396,.T.); #195389=EDGE_CURVE('',#159032,#159030,#81397,.T.); #195390=EDGE_CURVE('',#159033,#159031,#81398,.T.); #195391=EDGE_CURVE('',#159032,#159033,#81399,.T.); #195392=EDGE_CURVE('',#159034,#159032,#81400,.T.); #195393=EDGE_CURVE('',#159035,#159033,#81401,.T.); #195394=EDGE_CURVE('',#159034,#159035,#81402,.T.); #195395=EDGE_CURVE('',#159036,#159034,#81403,.T.); #195396=EDGE_CURVE('',#159037,#159035,#81404,.T.); #195397=EDGE_CURVE('',#159036,#159037,#81405,.T.); #195398=EDGE_CURVE('',#159038,#159036,#81406,.T.); #195399=EDGE_CURVE('',#159039,#159037,#81407,.T.); #195400=EDGE_CURVE('',#159038,#159039,#81408,.T.); #195401=EDGE_CURVE('',#159040,#159038,#81409,.T.); #195402=EDGE_CURVE('',#159041,#159039,#81410,.T.); #195403=EDGE_CURVE('',#159040,#159041,#81411,.T.); #195404=EDGE_CURVE('',#159042,#159040,#81412,.T.); #195405=EDGE_CURVE('',#159043,#159041,#81413,.T.); #195406=EDGE_CURVE('',#159042,#159043,#81414,.T.); #195407=EDGE_CURVE('',#159044,#159042,#81415,.T.); #195408=EDGE_CURVE('',#159045,#159043,#81416,.T.); #195409=EDGE_CURVE('',#159044,#159045,#81417,.T.); #195410=EDGE_CURVE('',#159046,#159044,#81418,.T.); #195411=EDGE_CURVE('',#159047,#159045,#81419,.T.); #195412=EDGE_CURVE('',#159046,#159047,#81420,.T.); #195413=EDGE_CURVE('',#159048,#159046,#81421,.T.); #195414=EDGE_CURVE('',#159049,#159047,#81422,.T.); #195415=EDGE_CURVE('',#159048,#159049,#81423,.T.); #195416=EDGE_CURVE('',#159050,#159048,#81424,.T.); #195417=EDGE_CURVE('',#159051,#159049,#81425,.T.); #195418=EDGE_CURVE('',#159050,#159051,#81426,.T.); #195419=EDGE_CURVE('',#159052,#159050,#81427,.T.); #195420=EDGE_CURVE('',#159053,#159051,#81428,.T.); #195421=EDGE_CURVE('',#159052,#159053,#81429,.T.); #195422=EDGE_CURVE('',#159054,#159052,#81430,.T.); #195423=EDGE_CURVE('',#159055,#159053,#81431,.T.); #195424=EDGE_CURVE('',#159054,#159055,#81432,.T.); #195425=EDGE_CURVE('',#159056,#159054,#81433,.T.); #195426=EDGE_CURVE('',#159057,#159055,#81434,.T.); #195427=EDGE_CURVE('',#159056,#159057,#81435,.T.); #195428=EDGE_CURVE('',#159058,#159056,#81436,.T.); #195429=EDGE_CURVE('',#159059,#159057,#81437,.T.); #195430=EDGE_CURVE('',#159058,#159059,#81438,.T.); #195431=EDGE_CURVE('',#159060,#159058,#81439,.T.); #195432=EDGE_CURVE('',#159061,#159059,#81440,.T.); #195433=EDGE_CURVE('',#159060,#159061,#81441,.T.); #195434=EDGE_CURVE('',#159062,#159060,#81442,.T.); #195435=EDGE_CURVE('',#159063,#159061,#81443,.T.); #195436=EDGE_CURVE('',#159062,#159063,#81444,.T.); #195437=EDGE_CURVE('',#159064,#159062,#81445,.T.); #195438=EDGE_CURVE('',#159065,#159063,#81446,.T.); #195439=EDGE_CURVE('',#159064,#159065,#81447,.T.); #195440=EDGE_CURVE('',#159066,#159064,#81448,.T.); #195441=EDGE_CURVE('',#159067,#159065,#81449,.T.); #195442=EDGE_CURVE('',#159066,#159067,#81450,.T.); #195443=EDGE_CURVE('',#159068,#159066,#81451,.T.); #195444=EDGE_CURVE('',#159069,#159067,#81452,.T.); #195445=EDGE_CURVE('',#159068,#159069,#81453,.T.); #195446=EDGE_CURVE('',#159070,#159068,#81454,.T.); #195447=EDGE_CURVE('',#159071,#159069,#81455,.T.); #195448=EDGE_CURVE('',#159070,#159071,#81456,.T.); #195449=EDGE_CURVE('',#159072,#159070,#81457,.T.); #195450=EDGE_CURVE('',#159073,#159071,#81458,.T.); #195451=EDGE_CURVE('',#159072,#159073,#81459,.T.); #195452=EDGE_CURVE('',#159074,#159072,#81460,.T.); #195453=EDGE_CURVE('',#159075,#159073,#81461,.T.); #195454=EDGE_CURVE('',#159074,#159075,#81462,.T.); #195455=EDGE_CURVE('',#159076,#159074,#81463,.T.); #195456=EDGE_CURVE('',#159077,#159075,#81464,.T.); #195457=EDGE_CURVE('',#159076,#159077,#81465,.T.); #195458=EDGE_CURVE('',#159078,#159076,#81466,.T.); #195459=EDGE_CURVE('',#159079,#159077,#81467,.T.); #195460=EDGE_CURVE('',#159078,#159079,#81468,.T.); #195461=EDGE_CURVE('',#159080,#159078,#81469,.T.); #195462=EDGE_CURVE('',#159081,#159079,#81470,.T.); #195463=EDGE_CURVE('',#159080,#159081,#81471,.T.); #195464=EDGE_CURVE('',#159082,#159080,#81472,.T.); #195465=EDGE_CURVE('',#159083,#159081,#81473,.T.); #195466=EDGE_CURVE('',#159082,#159083,#81474,.T.); #195467=EDGE_CURVE('',#159084,#159082,#81475,.T.); #195468=EDGE_CURVE('',#159085,#159083,#81476,.T.); #195469=EDGE_CURVE('',#159084,#159085,#81477,.T.); #195470=EDGE_CURVE('',#159086,#159084,#81478,.T.); #195471=EDGE_CURVE('',#159087,#159085,#81479,.T.); #195472=EDGE_CURVE('',#159086,#159087,#81480,.T.); #195473=EDGE_CURVE('',#159088,#159086,#81481,.T.); #195474=EDGE_CURVE('',#159089,#159087,#81482,.T.); #195475=EDGE_CURVE('',#159088,#159089,#81483,.T.); #195476=EDGE_CURVE('',#159090,#159088,#81484,.T.); #195477=EDGE_CURVE('',#159091,#159089,#81485,.T.); #195478=EDGE_CURVE('',#159090,#159091,#81486,.T.); #195479=EDGE_CURVE('',#159092,#159090,#81487,.T.); #195480=EDGE_CURVE('',#159093,#159091,#81488,.T.); #195481=EDGE_CURVE('',#159092,#159093,#81489,.T.); #195482=EDGE_CURVE('',#159094,#159092,#81490,.T.); #195483=EDGE_CURVE('',#159095,#159093,#81491,.T.); #195484=EDGE_CURVE('',#159094,#159095,#81492,.T.); #195485=EDGE_CURVE('',#159096,#159094,#81493,.T.); #195486=EDGE_CURVE('',#159097,#159095,#81494,.T.); #195487=EDGE_CURVE('',#159096,#159097,#81495,.T.); #195488=EDGE_CURVE('',#159098,#159096,#81496,.T.); #195489=EDGE_CURVE('',#159099,#159097,#81497,.T.); #195490=EDGE_CURVE('',#159098,#159099,#81498,.T.); #195491=EDGE_CURVE('',#159100,#159098,#81499,.T.); #195492=EDGE_CURVE('',#159101,#159099,#81500,.T.); #195493=EDGE_CURVE('',#159100,#159101,#81501,.T.); #195494=EDGE_CURVE('',#159102,#159100,#81502,.T.); #195495=EDGE_CURVE('',#159103,#159101,#81503,.T.); #195496=EDGE_CURVE('',#159102,#159103,#81504,.T.); #195497=EDGE_CURVE('',#159104,#159102,#81505,.T.); #195498=EDGE_CURVE('',#159105,#159103,#81506,.T.); #195499=EDGE_CURVE('',#159104,#159105,#81507,.T.); #195500=EDGE_CURVE('',#159106,#159104,#81508,.T.); #195501=EDGE_CURVE('',#159107,#159105,#81509,.T.); #195502=EDGE_CURVE('',#159106,#159107,#81510,.T.); #195503=EDGE_CURVE('',#159108,#159106,#81511,.T.); #195504=EDGE_CURVE('',#159109,#159107,#81512,.T.); #195505=EDGE_CURVE('',#159108,#159109,#81513,.T.); #195506=EDGE_CURVE('',#159110,#159108,#81514,.T.); #195507=EDGE_CURVE('',#159111,#159109,#81515,.T.); #195508=EDGE_CURVE('',#159110,#159111,#81516,.T.); #195509=EDGE_CURVE('',#159112,#159110,#81517,.T.); #195510=EDGE_CURVE('',#159113,#159111,#81518,.T.); #195511=EDGE_CURVE('',#159112,#159113,#81519,.T.); #195512=EDGE_CURVE('',#159114,#159112,#81520,.T.); #195513=EDGE_CURVE('',#159115,#159113,#81521,.T.); #195514=EDGE_CURVE('',#159114,#159115,#81522,.T.); #195515=EDGE_CURVE('',#159116,#159114,#81523,.T.); #195516=EDGE_CURVE('',#159117,#159115,#81524,.T.); #195517=EDGE_CURVE('',#159116,#159117,#81525,.T.); #195518=EDGE_CURVE('',#159118,#159116,#81526,.T.); #195519=EDGE_CURVE('',#159119,#159117,#81527,.T.); #195520=EDGE_CURVE('',#159118,#159119,#81528,.T.); #195521=EDGE_CURVE('',#159120,#159118,#81529,.T.); #195522=EDGE_CURVE('',#159121,#159119,#81530,.T.); #195523=EDGE_CURVE('',#159120,#159121,#81531,.T.); #195524=EDGE_CURVE('',#159122,#159120,#81532,.T.); #195525=EDGE_CURVE('',#159123,#159121,#81533,.T.); #195526=EDGE_CURVE('',#159122,#159123,#81534,.T.); #195527=EDGE_CURVE('',#159124,#159122,#81535,.T.); #195528=EDGE_CURVE('',#159125,#159123,#81536,.T.); #195529=EDGE_CURVE('',#159124,#159125,#81537,.T.); #195530=EDGE_CURVE('',#159126,#159124,#81538,.T.); #195531=EDGE_CURVE('',#159127,#159125,#81539,.T.); #195532=EDGE_CURVE('',#159126,#159127,#81540,.T.); #195533=EDGE_CURVE('',#159128,#159126,#81541,.T.); #195534=EDGE_CURVE('',#159129,#159127,#81542,.T.); #195535=EDGE_CURVE('',#159128,#159129,#81543,.T.); #195536=EDGE_CURVE('',#159130,#159128,#81544,.T.); #195537=EDGE_CURVE('',#159131,#159129,#81545,.T.); #195538=EDGE_CURVE('',#159130,#159131,#81546,.T.); #195539=EDGE_CURVE('',#159132,#159130,#81547,.T.); #195540=EDGE_CURVE('',#159133,#159131,#81548,.T.); #195541=EDGE_CURVE('',#159132,#159133,#81549,.T.); #195542=EDGE_CURVE('',#159134,#159132,#81550,.T.); #195543=EDGE_CURVE('',#159135,#159133,#81551,.T.); #195544=EDGE_CURVE('',#159134,#159135,#81552,.T.); #195545=EDGE_CURVE('',#159136,#159134,#81553,.T.); #195546=EDGE_CURVE('',#159137,#159135,#81554,.T.); #195547=EDGE_CURVE('',#159136,#159137,#81555,.T.); #195548=EDGE_CURVE('',#159138,#159136,#81556,.T.); #195549=EDGE_CURVE('',#159139,#159137,#81557,.T.); #195550=EDGE_CURVE('',#159138,#159139,#81558,.T.); #195551=EDGE_CURVE('',#159140,#159138,#81559,.T.); #195552=EDGE_CURVE('',#159141,#159139,#81560,.T.); #195553=EDGE_CURVE('',#159140,#159141,#81561,.T.); #195554=EDGE_CURVE('',#159142,#159140,#81562,.T.); #195555=EDGE_CURVE('',#159143,#159141,#81563,.T.); #195556=EDGE_CURVE('',#159142,#159143,#81564,.T.); #195557=EDGE_CURVE('',#159144,#159142,#81565,.T.); #195558=EDGE_CURVE('',#159145,#159143,#81566,.T.); #195559=EDGE_CURVE('',#159144,#159145,#81567,.T.); #195560=EDGE_CURVE('',#159146,#159144,#81568,.T.); #195561=EDGE_CURVE('',#159147,#159145,#81569,.T.); #195562=EDGE_CURVE('',#159146,#159147,#81570,.T.); #195563=EDGE_CURVE('',#159148,#159146,#81571,.T.); #195564=EDGE_CURVE('',#159149,#159147,#81572,.T.); #195565=EDGE_CURVE('',#159148,#159149,#81573,.T.); #195566=EDGE_CURVE('',#159150,#159148,#81574,.T.); #195567=EDGE_CURVE('',#159151,#159149,#81575,.T.); #195568=EDGE_CURVE('',#159150,#159151,#81576,.T.); #195569=EDGE_CURVE('',#159152,#159150,#81577,.T.); #195570=EDGE_CURVE('',#159153,#159151,#81578,.T.); #195571=EDGE_CURVE('',#159152,#159153,#81579,.T.); #195572=EDGE_CURVE('',#159154,#159152,#81580,.T.); #195573=EDGE_CURVE('',#159155,#159153,#81581,.T.); #195574=EDGE_CURVE('',#159154,#159155,#81582,.T.); #195575=EDGE_CURVE('',#159156,#159154,#81583,.T.); #195576=EDGE_CURVE('',#159157,#159155,#81584,.T.); #195577=EDGE_CURVE('',#159156,#159157,#81585,.T.); #195578=EDGE_CURVE('',#159158,#159156,#81586,.T.); #195579=EDGE_CURVE('',#159159,#159157,#81587,.T.); #195580=EDGE_CURVE('',#159158,#159159,#81588,.T.); #195581=EDGE_CURVE('',#159160,#159158,#81589,.T.); #195582=EDGE_CURVE('',#159161,#159159,#81590,.T.); #195583=EDGE_CURVE('',#159160,#159161,#81591,.T.); #195584=EDGE_CURVE('',#159162,#159160,#81592,.T.); #195585=EDGE_CURVE('',#159163,#159161,#81593,.T.); #195586=EDGE_CURVE('',#159162,#159163,#81594,.T.); #195587=EDGE_CURVE('',#159164,#159162,#81595,.T.); #195588=EDGE_CURVE('',#159165,#159163,#81596,.T.); #195589=EDGE_CURVE('',#159164,#159165,#81597,.T.); #195590=EDGE_CURVE('',#159166,#159164,#81598,.T.); #195591=EDGE_CURVE('',#159167,#159165,#81599,.T.); #195592=EDGE_CURVE('',#159166,#159167,#81600,.T.); #195593=EDGE_CURVE('',#159168,#159166,#81601,.T.); #195594=EDGE_CURVE('',#159169,#159167,#81602,.T.); #195595=EDGE_CURVE('',#159168,#159169,#81603,.T.); #195596=EDGE_CURVE('',#159170,#159168,#81604,.T.); #195597=EDGE_CURVE('',#159171,#159169,#81605,.T.); #195598=EDGE_CURVE('',#159170,#159171,#81606,.T.); #195599=EDGE_CURVE('',#159172,#159170,#81607,.T.); #195600=EDGE_CURVE('',#159173,#159171,#81608,.T.); #195601=EDGE_CURVE('',#159172,#159173,#81609,.T.); #195602=EDGE_CURVE('',#159174,#159172,#81610,.T.); #195603=EDGE_CURVE('',#159175,#159173,#81611,.T.); #195604=EDGE_CURVE('',#159174,#159175,#81612,.T.); #195605=EDGE_CURVE('',#159176,#159174,#81613,.T.); #195606=EDGE_CURVE('',#159177,#159175,#81614,.T.); #195607=EDGE_CURVE('',#159176,#159177,#81615,.T.); #195608=EDGE_CURVE('',#159178,#159176,#81616,.T.); #195609=EDGE_CURVE('',#159179,#159177,#81617,.T.); #195610=EDGE_CURVE('',#159178,#159179,#81618,.T.); #195611=EDGE_CURVE('',#159180,#159178,#81619,.T.); #195612=EDGE_CURVE('',#159181,#159179,#81620,.T.); #195613=EDGE_CURVE('',#159180,#159181,#81621,.T.); #195614=EDGE_CURVE('',#159182,#159180,#81622,.T.); #195615=EDGE_CURVE('',#159183,#159181,#81623,.T.); #195616=EDGE_CURVE('',#159182,#159183,#81624,.T.); #195617=EDGE_CURVE('',#159184,#159182,#81625,.T.); #195618=EDGE_CURVE('',#159185,#159183,#81626,.T.); #195619=EDGE_CURVE('',#159184,#159185,#81627,.T.); #195620=EDGE_CURVE('',#159186,#159184,#81628,.T.); #195621=EDGE_CURVE('',#159187,#159185,#81629,.T.); #195622=EDGE_CURVE('',#159186,#159187,#81630,.T.); #195623=EDGE_CURVE('',#159188,#159186,#81631,.T.); #195624=EDGE_CURVE('',#159189,#159187,#81632,.T.); #195625=EDGE_CURVE('',#159188,#159189,#81633,.T.); #195626=EDGE_CURVE('',#159190,#159188,#81634,.T.); #195627=EDGE_CURVE('',#159191,#159189,#81635,.T.); #195628=EDGE_CURVE('',#159190,#159191,#81636,.T.); #195629=EDGE_CURVE('',#159192,#159190,#81637,.T.); #195630=EDGE_CURVE('',#159193,#159191,#81638,.T.); #195631=EDGE_CURVE('',#159192,#159193,#81639,.T.); #195632=EDGE_CURVE('',#159194,#159192,#81640,.T.); #195633=EDGE_CURVE('',#159195,#159193,#81641,.T.); #195634=EDGE_CURVE('',#159194,#159195,#81642,.T.); #195635=EDGE_CURVE('',#159196,#159194,#81643,.T.); #195636=EDGE_CURVE('',#159197,#159195,#81644,.T.); #195637=EDGE_CURVE('',#159196,#159197,#81645,.T.); #195638=EDGE_CURVE('',#159198,#159196,#81646,.T.); #195639=EDGE_CURVE('',#159199,#159197,#81647,.T.); #195640=EDGE_CURVE('',#159198,#159199,#81648,.T.); #195641=EDGE_CURVE('',#159200,#159198,#81649,.T.); #195642=EDGE_CURVE('',#159201,#159199,#81650,.T.); #195643=EDGE_CURVE('',#159200,#159201,#81651,.T.); #195644=EDGE_CURVE('',#159202,#159200,#81652,.T.); #195645=EDGE_CURVE('',#159203,#159201,#81653,.T.); #195646=EDGE_CURVE('',#159202,#159203,#81654,.T.); #195647=EDGE_CURVE('',#159204,#159202,#81655,.T.); #195648=EDGE_CURVE('',#159205,#159203,#81656,.T.); #195649=EDGE_CURVE('',#159204,#159205,#81657,.T.); #195650=EDGE_CURVE('',#159206,#159204,#81658,.T.); #195651=EDGE_CURVE('',#159207,#159205,#81659,.T.); #195652=EDGE_CURVE('',#159206,#159207,#81660,.T.); #195653=EDGE_CURVE('',#159208,#159206,#81661,.T.); #195654=EDGE_CURVE('',#159209,#159207,#81662,.T.); #195655=EDGE_CURVE('',#159208,#159209,#81663,.T.); #195656=EDGE_CURVE('',#159210,#159208,#81664,.T.); #195657=EDGE_CURVE('',#159211,#159209,#81665,.T.); #195658=EDGE_CURVE('',#159210,#159211,#81666,.T.); #195659=EDGE_CURVE('',#159212,#159210,#81667,.T.); #195660=EDGE_CURVE('',#159213,#159211,#81668,.T.); #195661=EDGE_CURVE('',#159212,#159213,#81669,.T.); #195662=EDGE_CURVE('',#159214,#159212,#81670,.T.); #195663=EDGE_CURVE('',#159215,#159213,#81671,.T.); #195664=EDGE_CURVE('',#159214,#159215,#81672,.T.); #195665=EDGE_CURVE('',#159216,#159214,#81673,.T.); #195666=EDGE_CURVE('',#159217,#159215,#81674,.T.); #195667=EDGE_CURVE('',#159216,#159217,#81675,.T.); #195668=EDGE_CURVE('',#159218,#159216,#81676,.T.); #195669=EDGE_CURVE('',#159219,#159217,#81677,.T.); #195670=EDGE_CURVE('',#159218,#159219,#81678,.T.); #195671=EDGE_CURVE('',#159220,#159218,#81679,.T.); #195672=EDGE_CURVE('',#159221,#159219,#81680,.T.); #195673=EDGE_CURVE('',#159220,#159221,#81681,.T.); #195674=EDGE_CURVE('',#159222,#159220,#81682,.T.); #195675=EDGE_CURVE('',#159223,#159221,#81683,.T.); #195676=EDGE_CURVE('',#159222,#159223,#81684,.T.); #195677=EDGE_CURVE('',#159224,#159222,#81685,.T.); #195678=EDGE_CURVE('',#159225,#159223,#81686,.T.); #195679=EDGE_CURVE('',#159224,#159225,#81687,.T.); #195680=EDGE_CURVE('',#159226,#159224,#81688,.T.); #195681=EDGE_CURVE('',#159227,#159225,#81689,.T.); #195682=EDGE_CURVE('',#159226,#159227,#81690,.T.); #195683=EDGE_CURVE('',#159228,#159226,#81691,.T.); #195684=EDGE_CURVE('',#159229,#159227,#81692,.T.); #195685=EDGE_CURVE('',#159228,#159229,#81693,.T.); #195686=EDGE_CURVE('',#159230,#159228,#81694,.T.); #195687=EDGE_CURVE('',#159231,#159229,#81695,.T.); #195688=EDGE_CURVE('',#159230,#159231,#81696,.T.); #195689=EDGE_CURVE('',#159232,#159230,#81697,.T.); #195690=EDGE_CURVE('',#159233,#159231,#81698,.T.); #195691=EDGE_CURVE('',#159232,#159233,#81699,.T.); #195692=EDGE_CURVE('',#159234,#159232,#81700,.T.); #195693=EDGE_CURVE('',#159235,#159233,#81701,.T.); #195694=EDGE_CURVE('',#159234,#159235,#81702,.T.); #195695=EDGE_CURVE('',#159236,#159234,#81703,.T.); #195696=EDGE_CURVE('',#159237,#159235,#81704,.T.); #195697=EDGE_CURVE('',#159236,#159237,#81705,.T.); #195698=EDGE_CURVE('',#159238,#159236,#81706,.T.); #195699=EDGE_CURVE('',#159239,#159237,#81707,.T.); #195700=EDGE_CURVE('',#159238,#159239,#81708,.T.); #195701=EDGE_CURVE('',#159240,#159238,#81709,.T.); #195702=EDGE_CURVE('',#159241,#159239,#81710,.T.); #195703=EDGE_CURVE('',#159240,#159241,#81711,.T.); #195704=EDGE_CURVE('',#159242,#159240,#81712,.T.); #195705=EDGE_CURVE('',#159243,#159241,#81713,.T.); #195706=EDGE_CURVE('',#159242,#159243,#81714,.T.); #195707=EDGE_CURVE('',#159244,#159242,#81715,.T.); #195708=EDGE_CURVE('',#159245,#159243,#81716,.T.); #195709=EDGE_CURVE('',#159244,#159245,#81717,.T.); #195710=EDGE_CURVE('',#159246,#159244,#81718,.T.); #195711=EDGE_CURVE('',#159247,#159245,#81719,.T.); #195712=EDGE_CURVE('',#159246,#159247,#81720,.T.); #195713=EDGE_CURVE('',#159248,#159246,#81721,.T.); #195714=EDGE_CURVE('',#159249,#159247,#81722,.T.); #195715=EDGE_CURVE('',#159248,#159249,#81723,.T.); #195716=EDGE_CURVE('',#159250,#159248,#81724,.T.); #195717=EDGE_CURVE('',#159251,#159249,#81725,.T.); #195718=EDGE_CURVE('',#159250,#159251,#81726,.T.); #195719=EDGE_CURVE('',#159252,#159250,#81727,.T.); #195720=EDGE_CURVE('',#159253,#159251,#81728,.T.); #195721=EDGE_CURVE('',#159252,#159253,#81729,.T.); #195722=EDGE_CURVE('',#159254,#159252,#81730,.T.); #195723=EDGE_CURVE('',#159255,#159253,#81731,.T.); #195724=EDGE_CURVE('',#159254,#159255,#81732,.T.); #195725=EDGE_CURVE('',#159256,#159254,#81733,.T.); #195726=EDGE_CURVE('',#159257,#159255,#81734,.T.); #195727=EDGE_CURVE('',#159256,#159257,#81735,.T.); #195728=EDGE_CURVE('',#158103,#159256,#81736,.T.); #195729=EDGE_CURVE('',#158104,#159257,#81737,.T.); #195730=EDGE_CURVE('',#159258,#159259,#81738,.T.); #195731=EDGE_CURVE('',#159259,#159260,#81739,.T.); #195732=EDGE_CURVE('',#159261,#159260,#81740,.T.); #195733=EDGE_CURVE('',#159258,#159261,#81741,.T.); #195734=EDGE_CURVE('',#159262,#159258,#81742,.T.); #195735=EDGE_CURVE('',#159263,#159261,#81743,.T.); #195736=EDGE_CURVE('',#159262,#159263,#81744,.T.); #195737=EDGE_CURVE('',#159264,#159262,#81745,.T.); #195738=EDGE_CURVE('',#159265,#159263,#81746,.T.); #195739=EDGE_CURVE('',#159264,#159265,#81747,.T.); #195740=EDGE_CURVE('',#159266,#159264,#81748,.T.); #195741=EDGE_CURVE('',#159267,#159265,#81749,.T.); #195742=EDGE_CURVE('',#159266,#159267,#81750,.T.); #195743=EDGE_CURVE('',#159268,#159266,#81751,.T.); #195744=EDGE_CURVE('',#159269,#159267,#81752,.T.); #195745=EDGE_CURVE('',#159268,#159269,#81753,.T.); #195746=EDGE_CURVE('',#159270,#159268,#81754,.T.); #195747=EDGE_CURVE('',#159271,#159269,#81755,.T.); #195748=EDGE_CURVE('',#159270,#159271,#81756,.T.); #195749=EDGE_CURVE('',#159272,#159270,#81757,.T.); #195750=EDGE_CURVE('',#159273,#159271,#81758,.T.); #195751=EDGE_CURVE('',#159272,#159273,#81759,.T.); #195752=EDGE_CURVE('',#159274,#159272,#81760,.T.); #195753=EDGE_CURVE('',#159275,#159273,#81761,.T.); #195754=EDGE_CURVE('',#159274,#159275,#81762,.T.); #195755=EDGE_CURVE('',#159276,#159274,#81763,.T.); #195756=EDGE_CURVE('',#159277,#159275,#81764,.T.); #195757=EDGE_CURVE('',#159276,#159277,#81765,.T.); #195758=EDGE_CURVE('',#159278,#159276,#81766,.T.); #195759=EDGE_CURVE('',#159279,#159277,#81767,.T.); #195760=EDGE_CURVE('',#159278,#159279,#81768,.T.); #195761=EDGE_CURVE('',#159280,#159278,#81769,.T.); #195762=EDGE_CURVE('',#159281,#159279,#81770,.T.); #195763=EDGE_CURVE('',#159280,#159281,#81771,.T.); #195764=EDGE_CURVE('',#159282,#159280,#81772,.T.); #195765=EDGE_CURVE('',#159283,#159281,#81773,.T.); #195766=EDGE_CURVE('',#159282,#159283,#81774,.T.); #195767=EDGE_CURVE('',#159284,#159282,#81775,.T.); #195768=EDGE_CURVE('',#159285,#159283,#81776,.T.); #195769=EDGE_CURVE('',#159284,#159285,#81777,.T.); #195770=EDGE_CURVE('',#159286,#159284,#81778,.T.); #195771=EDGE_CURVE('',#159287,#159285,#81779,.T.); #195772=EDGE_CURVE('',#159286,#159287,#81780,.T.); #195773=EDGE_CURVE('',#159288,#159286,#81781,.T.); #195774=EDGE_CURVE('',#159289,#159287,#81782,.T.); #195775=EDGE_CURVE('',#159288,#159289,#81783,.T.); #195776=EDGE_CURVE('',#159290,#159288,#81784,.T.); #195777=EDGE_CURVE('',#159291,#159289,#81785,.T.); #195778=EDGE_CURVE('',#159290,#159291,#81786,.T.); #195779=EDGE_CURVE('',#159292,#159290,#81787,.T.); #195780=EDGE_CURVE('',#159293,#159291,#81788,.T.); #195781=EDGE_CURVE('',#159292,#159293,#81789,.T.); #195782=EDGE_CURVE('',#159294,#159292,#81790,.T.); #195783=EDGE_CURVE('',#159295,#159293,#81791,.T.); #195784=EDGE_CURVE('',#159294,#159295,#81792,.T.); #195785=EDGE_CURVE('',#159296,#159294,#81793,.T.); #195786=EDGE_CURVE('',#159297,#159295,#81794,.T.); #195787=EDGE_CURVE('',#159296,#159297,#81795,.T.); #195788=EDGE_CURVE('',#159298,#159296,#81796,.T.); #195789=EDGE_CURVE('',#159299,#159297,#81797,.T.); #195790=EDGE_CURVE('',#159298,#159299,#81798,.T.); #195791=EDGE_CURVE('',#159300,#159298,#81799,.T.); #195792=EDGE_CURVE('',#159301,#159299,#81800,.T.); #195793=EDGE_CURVE('',#159300,#159301,#81801,.T.); #195794=EDGE_CURVE('',#159302,#159300,#81802,.T.); #195795=EDGE_CURVE('',#159303,#159301,#81803,.T.); #195796=EDGE_CURVE('',#159302,#159303,#81804,.T.); #195797=EDGE_CURVE('',#159304,#159302,#81805,.T.); #195798=EDGE_CURVE('',#159305,#159303,#81806,.T.); #195799=EDGE_CURVE('',#159304,#159305,#81807,.T.); #195800=EDGE_CURVE('',#159306,#159304,#81808,.T.); #195801=EDGE_CURVE('',#159307,#159305,#81809,.T.); #195802=EDGE_CURVE('',#159306,#159307,#81810,.T.); #195803=EDGE_CURVE('',#159308,#159306,#81811,.T.); #195804=EDGE_CURVE('',#159309,#159307,#81812,.T.); #195805=EDGE_CURVE('',#159308,#159309,#81813,.T.); #195806=EDGE_CURVE('',#159310,#159308,#81814,.T.); #195807=EDGE_CURVE('',#159311,#159309,#81815,.T.); #195808=EDGE_CURVE('',#159310,#159311,#81816,.T.); #195809=EDGE_CURVE('',#159312,#159310,#81817,.T.); #195810=EDGE_CURVE('',#159313,#159311,#81818,.T.); #195811=EDGE_CURVE('',#159312,#159313,#81819,.T.); #195812=EDGE_CURVE('',#159314,#159312,#81820,.T.); #195813=EDGE_CURVE('',#159315,#159313,#81821,.T.); #195814=EDGE_CURVE('',#159314,#159315,#81822,.T.); #195815=EDGE_CURVE('',#159316,#159314,#81823,.T.); #195816=EDGE_CURVE('',#159317,#159315,#81824,.T.); #195817=EDGE_CURVE('',#159316,#159317,#81825,.T.); #195818=EDGE_CURVE('',#159318,#159316,#81826,.T.); #195819=EDGE_CURVE('',#159319,#159317,#81827,.T.); #195820=EDGE_CURVE('',#159318,#159319,#81828,.T.); #195821=EDGE_CURVE('',#159320,#159318,#81829,.T.); #195822=EDGE_CURVE('',#159321,#159319,#81830,.T.); #195823=EDGE_CURVE('',#159320,#159321,#81831,.T.); #195824=EDGE_CURVE('',#159322,#159320,#81832,.T.); #195825=EDGE_CURVE('',#159323,#159321,#81833,.T.); #195826=EDGE_CURVE('',#159322,#159323,#81834,.T.); #195827=EDGE_CURVE('',#159324,#159322,#81835,.T.); #195828=EDGE_CURVE('',#159325,#159323,#81836,.T.); #195829=EDGE_CURVE('',#159324,#159325,#81837,.T.); #195830=EDGE_CURVE('',#159326,#159324,#81838,.T.); #195831=EDGE_CURVE('',#159327,#159325,#81839,.T.); #195832=EDGE_CURVE('',#159326,#159327,#81840,.T.); #195833=EDGE_CURVE('',#159328,#159326,#81841,.T.); #195834=EDGE_CURVE('',#159329,#159327,#81842,.T.); #195835=EDGE_CURVE('',#159328,#159329,#81843,.T.); #195836=EDGE_CURVE('',#159330,#159328,#81844,.T.); #195837=EDGE_CURVE('',#159331,#159329,#81845,.T.); #195838=EDGE_CURVE('',#159330,#159331,#81846,.T.); #195839=EDGE_CURVE('',#159332,#159330,#81847,.T.); #195840=EDGE_CURVE('',#159333,#159331,#81848,.T.); #195841=EDGE_CURVE('',#159332,#159333,#81849,.T.); #195842=EDGE_CURVE('',#159334,#159332,#81850,.T.); #195843=EDGE_CURVE('',#159335,#159333,#81851,.T.); #195844=EDGE_CURVE('',#159334,#159335,#81852,.T.); #195845=EDGE_CURVE('',#159336,#159334,#81853,.T.); #195846=EDGE_CURVE('',#159337,#159335,#81854,.T.); #195847=EDGE_CURVE('',#159336,#159337,#81855,.T.); #195848=EDGE_CURVE('',#159338,#159336,#81856,.T.); #195849=EDGE_CURVE('',#159339,#159337,#81857,.T.); #195850=EDGE_CURVE('',#159338,#159339,#81858,.T.); #195851=EDGE_CURVE('',#159340,#159338,#81859,.T.); #195852=EDGE_CURVE('',#159341,#159339,#81860,.T.); #195853=EDGE_CURVE('',#159340,#159341,#81861,.T.); #195854=EDGE_CURVE('',#159342,#159340,#81862,.T.); #195855=EDGE_CURVE('',#159343,#159341,#81863,.T.); #195856=EDGE_CURVE('',#159342,#159343,#81864,.T.); #195857=EDGE_CURVE('',#159344,#159342,#81865,.T.); #195858=EDGE_CURVE('',#159345,#159343,#81866,.T.); #195859=EDGE_CURVE('',#159344,#159345,#81867,.T.); #195860=EDGE_CURVE('',#159346,#159344,#81868,.T.); #195861=EDGE_CURVE('',#159347,#159345,#81869,.T.); #195862=EDGE_CURVE('',#159346,#159347,#81870,.T.); #195863=EDGE_CURVE('',#159348,#159346,#81871,.T.); #195864=EDGE_CURVE('',#159349,#159347,#81872,.T.); #195865=EDGE_CURVE('',#159348,#159349,#81873,.T.); #195866=EDGE_CURVE('',#159350,#159348,#81874,.T.); #195867=EDGE_CURVE('',#159351,#159349,#81875,.T.); #195868=EDGE_CURVE('',#159350,#159351,#81876,.T.); #195869=EDGE_CURVE('',#159352,#159350,#81877,.T.); #195870=EDGE_CURVE('',#159353,#159351,#81878,.T.); #195871=EDGE_CURVE('',#159352,#159353,#81879,.T.); #195872=EDGE_CURVE('',#159354,#159352,#81880,.T.); #195873=EDGE_CURVE('',#159355,#159353,#81881,.T.); #195874=EDGE_CURVE('',#159354,#159355,#81882,.T.); #195875=EDGE_CURVE('',#159356,#159354,#81883,.T.); #195876=EDGE_CURVE('',#159357,#159355,#81884,.T.); #195877=EDGE_CURVE('',#159356,#159357,#81885,.T.); #195878=EDGE_CURVE('',#159358,#159356,#81886,.T.); #195879=EDGE_CURVE('',#159359,#159357,#81887,.T.); #195880=EDGE_CURVE('',#159358,#159359,#81888,.T.); #195881=EDGE_CURVE('',#159360,#159358,#81889,.T.); #195882=EDGE_CURVE('',#159361,#159359,#81890,.T.); #195883=EDGE_CURVE('',#159360,#159361,#81891,.T.); #195884=EDGE_CURVE('',#159362,#159360,#81892,.T.); #195885=EDGE_CURVE('',#159363,#159361,#81893,.T.); #195886=EDGE_CURVE('',#159362,#159363,#81894,.T.); #195887=EDGE_CURVE('',#159364,#159362,#81895,.T.); #195888=EDGE_CURVE('',#159365,#159363,#81896,.T.); #195889=EDGE_CURVE('',#159364,#159365,#81897,.T.); #195890=EDGE_CURVE('',#159366,#159364,#81898,.T.); #195891=EDGE_CURVE('',#159367,#159365,#81899,.T.); #195892=EDGE_CURVE('',#159366,#159367,#81900,.T.); #195893=EDGE_CURVE('',#159368,#159366,#81901,.T.); #195894=EDGE_CURVE('',#159369,#159367,#81902,.T.); #195895=EDGE_CURVE('',#159368,#159369,#81903,.T.); #195896=EDGE_CURVE('',#159370,#159368,#81904,.T.); #195897=EDGE_CURVE('',#159371,#159369,#81905,.T.); #195898=EDGE_CURVE('',#159370,#159371,#81906,.T.); #195899=EDGE_CURVE('',#159372,#159370,#81907,.T.); #195900=EDGE_CURVE('',#159373,#159371,#81908,.T.); #195901=EDGE_CURVE('',#159372,#159373,#81909,.T.); #195902=EDGE_CURVE('',#159374,#159372,#81910,.T.); #195903=EDGE_CURVE('',#159375,#159373,#81911,.T.); #195904=EDGE_CURVE('',#159374,#159375,#81912,.T.); #195905=EDGE_CURVE('',#159376,#159374,#81913,.T.); #195906=EDGE_CURVE('',#159377,#159375,#81914,.T.); #195907=EDGE_CURVE('',#159376,#159377,#81915,.T.); #195908=EDGE_CURVE('',#159378,#159376,#81916,.T.); #195909=EDGE_CURVE('',#159379,#159377,#81917,.T.); #195910=EDGE_CURVE('',#159378,#159379,#81918,.T.); #195911=EDGE_CURVE('',#159380,#159378,#81919,.T.); #195912=EDGE_CURVE('',#159381,#159379,#81920,.T.); #195913=EDGE_CURVE('',#159380,#159381,#81921,.T.); #195914=EDGE_CURVE('',#159382,#159380,#81922,.T.); #195915=EDGE_CURVE('',#159383,#159381,#81923,.T.); #195916=EDGE_CURVE('',#159382,#159383,#81924,.T.); #195917=EDGE_CURVE('',#159384,#159382,#81925,.T.); #195918=EDGE_CURVE('',#159385,#159383,#81926,.T.); #195919=EDGE_CURVE('',#159384,#159385,#81927,.T.); #195920=EDGE_CURVE('',#159386,#159384,#81928,.T.); #195921=EDGE_CURVE('',#159387,#159385,#81929,.T.); #195922=EDGE_CURVE('',#159386,#159387,#81930,.T.); #195923=EDGE_CURVE('',#159388,#159386,#81931,.T.); #195924=EDGE_CURVE('',#159389,#159387,#81932,.T.); #195925=EDGE_CURVE('',#159388,#159389,#81933,.T.); #195926=EDGE_CURVE('',#159390,#159388,#81934,.T.); #195927=EDGE_CURVE('',#159391,#159389,#81935,.T.); #195928=EDGE_CURVE('',#159390,#159391,#81936,.T.); #195929=EDGE_CURVE('',#159392,#159390,#81937,.T.); #195930=EDGE_CURVE('',#159393,#159391,#81938,.T.); #195931=EDGE_CURVE('',#159392,#159393,#81939,.T.); #195932=EDGE_CURVE('',#159394,#159392,#81940,.T.); #195933=EDGE_CURVE('',#159395,#159393,#81941,.T.); #195934=EDGE_CURVE('',#159394,#159395,#81942,.T.); #195935=EDGE_CURVE('',#159396,#159394,#81943,.T.); #195936=EDGE_CURVE('',#159397,#159395,#81944,.T.); #195937=EDGE_CURVE('',#159396,#159397,#81945,.T.); #195938=EDGE_CURVE('',#159398,#159396,#81946,.T.); #195939=EDGE_CURVE('',#159399,#159397,#81947,.T.); #195940=EDGE_CURVE('',#159398,#159399,#81948,.T.); #195941=EDGE_CURVE('',#159400,#159398,#81949,.T.); #195942=EDGE_CURVE('',#159401,#159399,#81950,.T.); #195943=EDGE_CURVE('',#159400,#159401,#81951,.T.); #195944=EDGE_CURVE('',#159402,#159400,#81952,.T.); #195945=EDGE_CURVE('',#159403,#159401,#81953,.T.); #195946=EDGE_CURVE('',#159402,#159403,#81954,.T.); #195947=EDGE_CURVE('',#159404,#159402,#81955,.T.); #195948=EDGE_CURVE('',#159405,#159403,#81956,.T.); #195949=EDGE_CURVE('',#159404,#159405,#81957,.T.); #195950=EDGE_CURVE('',#159406,#159404,#81958,.T.); #195951=EDGE_CURVE('',#159407,#159405,#81959,.T.); #195952=EDGE_CURVE('',#159406,#159407,#81960,.T.); #195953=EDGE_CURVE('',#159408,#159406,#81961,.T.); #195954=EDGE_CURVE('',#159409,#159407,#81962,.T.); #195955=EDGE_CURVE('',#159408,#159409,#81963,.T.); #195956=EDGE_CURVE('',#159410,#159408,#81964,.T.); #195957=EDGE_CURVE('',#159411,#159409,#81965,.T.); #195958=EDGE_CURVE('',#159410,#159411,#81966,.T.); #195959=EDGE_CURVE('',#159412,#159410,#81967,.T.); #195960=EDGE_CURVE('',#159413,#159411,#81968,.T.); #195961=EDGE_CURVE('',#159412,#159413,#81969,.T.); #195962=EDGE_CURVE('',#159414,#159412,#81970,.T.); #195963=EDGE_CURVE('',#159415,#159413,#81971,.T.); #195964=EDGE_CURVE('',#159414,#159415,#81972,.T.); #195965=EDGE_CURVE('',#159416,#159414,#81973,.T.); #195966=EDGE_CURVE('',#159417,#159415,#81974,.T.); #195967=EDGE_CURVE('',#159416,#159417,#81975,.T.); #195968=EDGE_CURVE('',#159418,#159416,#81976,.T.); #195969=EDGE_CURVE('',#159419,#159417,#81977,.T.); #195970=EDGE_CURVE('',#159418,#159419,#81978,.T.); #195971=EDGE_CURVE('',#159420,#159418,#81979,.T.); #195972=EDGE_CURVE('',#159421,#159419,#81980,.T.); #195973=EDGE_CURVE('',#159420,#159421,#81981,.T.); #195974=EDGE_CURVE('',#159422,#159420,#81982,.T.); #195975=EDGE_CURVE('',#159423,#159421,#81983,.T.); #195976=EDGE_CURVE('',#159422,#159423,#81984,.T.); #195977=EDGE_CURVE('',#159424,#159422,#81985,.T.); #195978=EDGE_CURVE('',#159425,#159423,#81986,.T.); #195979=EDGE_CURVE('',#159424,#159425,#81987,.T.); #195980=EDGE_CURVE('',#159426,#159424,#81988,.T.); #195981=EDGE_CURVE('',#159427,#159425,#81989,.T.); #195982=EDGE_CURVE('',#159426,#159427,#81990,.T.); #195983=EDGE_CURVE('',#159428,#159426,#81991,.T.); #195984=EDGE_CURVE('',#159429,#159427,#81992,.T.); #195985=EDGE_CURVE('',#159428,#159429,#81993,.T.); #195986=EDGE_CURVE('',#159430,#159428,#81994,.T.); #195987=EDGE_CURVE('',#159431,#159429,#81995,.T.); #195988=EDGE_CURVE('',#159430,#159431,#81996,.T.); #195989=EDGE_CURVE('',#159432,#159430,#81997,.T.); #195990=EDGE_CURVE('',#159433,#159431,#81998,.T.); #195991=EDGE_CURVE('',#159432,#159433,#81999,.T.); #195992=EDGE_CURVE('',#159434,#159432,#82000,.T.); #195993=EDGE_CURVE('',#159435,#159433,#82001,.T.); #195994=EDGE_CURVE('',#159434,#159435,#82002,.T.); #195995=EDGE_CURVE('',#159436,#159434,#82003,.T.); #195996=EDGE_CURVE('',#159437,#159435,#82004,.T.); #195997=EDGE_CURVE('',#159436,#159437,#82005,.T.); #195998=EDGE_CURVE('',#159438,#159436,#82006,.T.); #195999=EDGE_CURVE('',#159439,#159437,#82007,.T.); #196000=EDGE_CURVE('',#159438,#159439,#82008,.T.); #196001=EDGE_CURVE('',#159440,#159438,#82009,.T.); #196002=EDGE_CURVE('',#159441,#159439,#82010,.T.); #196003=EDGE_CURVE('',#159440,#159441,#82011,.T.); #196004=EDGE_CURVE('',#159442,#159440,#82012,.T.); #196005=EDGE_CURVE('',#159443,#159441,#82013,.T.); #196006=EDGE_CURVE('',#159442,#159443,#82014,.T.); #196007=EDGE_CURVE('',#159444,#159442,#82015,.T.); #196008=EDGE_CURVE('',#159445,#159443,#82016,.T.); #196009=EDGE_CURVE('',#159444,#159445,#82017,.T.); #196010=EDGE_CURVE('',#159446,#159444,#82018,.T.); #196011=EDGE_CURVE('',#159447,#159445,#82019,.T.); #196012=EDGE_CURVE('',#159446,#159447,#82020,.T.); #196013=EDGE_CURVE('',#159448,#159446,#82021,.T.); #196014=EDGE_CURVE('',#159449,#159447,#82022,.T.); #196015=EDGE_CURVE('',#159448,#159449,#82023,.T.); #196016=EDGE_CURVE('',#159450,#159448,#82024,.T.); #196017=EDGE_CURVE('',#159451,#159449,#82025,.T.); #196018=EDGE_CURVE('',#159450,#159451,#82026,.T.); #196019=EDGE_CURVE('',#159452,#159450,#82027,.T.); #196020=EDGE_CURVE('',#159453,#159451,#82028,.T.); #196021=EDGE_CURVE('',#159452,#159453,#82029,.T.); #196022=EDGE_CURVE('',#159454,#159452,#82030,.T.); #196023=EDGE_CURVE('',#159455,#159453,#82031,.T.); #196024=EDGE_CURVE('',#159454,#159455,#82032,.T.); #196025=EDGE_CURVE('',#159456,#159454,#82033,.T.); #196026=EDGE_CURVE('',#159457,#159455,#82034,.T.); #196027=EDGE_CURVE('',#159456,#159457,#82035,.T.); #196028=EDGE_CURVE('',#159458,#159456,#82036,.T.); #196029=EDGE_CURVE('',#159459,#159457,#82037,.T.); #196030=EDGE_CURVE('',#159458,#159459,#82038,.T.); #196031=EDGE_CURVE('',#159460,#159458,#82039,.T.); #196032=EDGE_CURVE('',#159461,#159459,#82040,.T.); #196033=EDGE_CURVE('',#159460,#159461,#82041,.T.); #196034=EDGE_CURVE('',#159462,#159460,#82042,.T.); #196035=EDGE_CURVE('',#159463,#159461,#82043,.T.); #196036=EDGE_CURVE('',#159462,#159463,#82044,.T.); #196037=EDGE_CURVE('',#159464,#159462,#82045,.T.); #196038=EDGE_CURVE('',#159465,#159463,#82046,.T.); #196039=EDGE_CURVE('',#159464,#159465,#82047,.T.); #196040=EDGE_CURVE('',#159466,#159464,#82048,.T.); #196041=EDGE_CURVE('',#159467,#159465,#82049,.T.); #196042=EDGE_CURVE('',#159466,#159467,#82050,.T.); #196043=EDGE_CURVE('',#159468,#159466,#82051,.T.); #196044=EDGE_CURVE('',#159469,#159467,#82052,.T.); #196045=EDGE_CURVE('',#159468,#159469,#82053,.T.); #196046=EDGE_CURVE('',#159470,#159468,#82054,.T.); #196047=EDGE_CURVE('',#159471,#159469,#82055,.T.); #196048=EDGE_CURVE('',#159470,#159471,#82056,.T.); #196049=EDGE_CURVE('',#159472,#159470,#82057,.T.); #196050=EDGE_CURVE('',#159473,#159471,#82058,.T.); #196051=EDGE_CURVE('',#159472,#159473,#82059,.T.); #196052=EDGE_CURVE('',#159474,#159472,#82060,.T.); #196053=EDGE_CURVE('',#159475,#159473,#82061,.T.); #196054=EDGE_CURVE('',#159474,#159475,#82062,.T.); #196055=EDGE_CURVE('',#159476,#159474,#82063,.T.); #196056=EDGE_CURVE('',#159477,#159475,#82064,.T.); #196057=EDGE_CURVE('',#159476,#159477,#82065,.T.); #196058=EDGE_CURVE('',#159478,#159476,#82066,.T.); #196059=EDGE_CURVE('',#159479,#159477,#82067,.T.); #196060=EDGE_CURVE('',#159478,#159479,#82068,.T.); #196061=EDGE_CURVE('',#159480,#159478,#82069,.T.); #196062=EDGE_CURVE('',#159481,#159479,#82070,.T.); #196063=EDGE_CURVE('',#159480,#159481,#82071,.T.); #196064=EDGE_CURVE('',#159482,#159480,#82072,.T.); #196065=EDGE_CURVE('',#159483,#159481,#82073,.T.); #196066=EDGE_CURVE('',#159482,#159483,#82074,.T.); #196067=EDGE_CURVE('',#159484,#159482,#82075,.T.); #196068=EDGE_CURVE('',#159485,#159483,#82076,.T.); #196069=EDGE_CURVE('',#159484,#159485,#82077,.T.); #196070=EDGE_CURVE('',#159486,#159484,#82078,.T.); #196071=EDGE_CURVE('',#159487,#159485,#82079,.T.); #196072=EDGE_CURVE('',#159486,#159487,#82080,.T.); #196073=EDGE_CURVE('',#159488,#159486,#82081,.T.); #196074=EDGE_CURVE('',#159489,#159487,#82082,.T.); #196075=EDGE_CURVE('',#159488,#159489,#82083,.T.); #196076=EDGE_CURVE('',#159490,#159488,#82084,.T.); #196077=EDGE_CURVE('',#159491,#159489,#82085,.T.); #196078=EDGE_CURVE('',#159490,#159491,#82086,.T.); #196079=EDGE_CURVE('',#159492,#159490,#82087,.T.); #196080=EDGE_CURVE('',#159493,#159491,#82088,.T.); #196081=EDGE_CURVE('',#159492,#159493,#82089,.T.); #196082=EDGE_CURVE('',#159494,#159492,#82090,.T.); #196083=EDGE_CURVE('',#159495,#159493,#82091,.T.); #196084=EDGE_CURVE('',#159494,#159495,#82092,.T.); #196085=EDGE_CURVE('',#159259,#159494,#82093,.T.); #196086=EDGE_CURVE('',#159260,#159495,#82094,.T.); #196087=EDGE_CURVE('',#159496,#159497,#82095,.T.); #196088=EDGE_CURVE('',#159497,#159498,#82096,.T.); #196089=EDGE_CURVE('',#159499,#159498,#82097,.T.); #196090=EDGE_CURVE('',#159496,#159499,#82098,.T.); #196091=EDGE_CURVE('',#159500,#159496,#82099,.T.); #196092=EDGE_CURVE('',#159501,#159499,#82100,.T.); #196093=EDGE_CURVE('',#159500,#159501,#82101,.T.); #196094=EDGE_CURVE('',#159502,#159500,#82102,.T.); #196095=EDGE_CURVE('',#159503,#159501,#82103,.T.); #196096=EDGE_CURVE('',#159502,#159503,#82104,.T.); #196097=EDGE_CURVE('',#159504,#159502,#82105,.T.); #196098=EDGE_CURVE('',#159505,#159503,#82106,.T.); #196099=EDGE_CURVE('',#159504,#159505,#82107,.T.); #196100=EDGE_CURVE('',#159506,#159504,#82108,.T.); #196101=EDGE_CURVE('',#159507,#159505,#82109,.T.); #196102=EDGE_CURVE('',#159506,#159507,#82110,.T.); #196103=EDGE_CURVE('',#159508,#159506,#82111,.T.); #196104=EDGE_CURVE('',#159509,#159507,#82112,.T.); #196105=EDGE_CURVE('',#159508,#159509,#82113,.T.); #196106=EDGE_CURVE('',#159510,#159508,#82114,.T.); #196107=EDGE_CURVE('',#159511,#159509,#82115,.T.); #196108=EDGE_CURVE('',#159510,#159511,#82116,.T.); #196109=EDGE_CURVE('',#159497,#159510,#82117,.T.); #196110=EDGE_CURVE('',#159498,#159511,#82118,.T.); #196111=EDGE_CURVE('',#159512,#159513,#82119,.T.); #196112=EDGE_CURVE('',#159513,#159514,#82120,.T.); #196113=EDGE_CURVE('',#159515,#159514,#82121,.T.); #196114=EDGE_CURVE('',#159512,#159515,#82122,.T.); #196115=EDGE_CURVE('',#159516,#159512,#82123,.T.); #196116=EDGE_CURVE('',#159517,#159515,#82124,.T.); #196117=EDGE_CURVE('',#159516,#159517,#82125,.T.); #196118=EDGE_CURVE('',#159518,#159516,#82126,.T.); #196119=EDGE_CURVE('',#159519,#159517,#82127,.T.); #196120=EDGE_CURVE('',#159518,#159519,#82128,.T.); #196121=EDGE_CURVE('',#159520,#159518,#82129,.T.); #196122=EDGE_CURVE('',#159521,#159519,#82130,.T.); #196123=EDGE_CURVE('',#159520,#159521,#82131,.T.); #196124=EDGE_CURVE('',#159522,#159520,#82132,.T.); #196125=EDGE_CURVE('',#159523,#159521,#82133,.T.); #196126=EDGE_CURVE('',#159522,#159523,#82134,.T.); #196127=EDGE_CURVE('',#159524,#159522,#82135,.T.); #196128=EDGE_CURVE('',#159525,#159523,#82136,.T.); #196129=EDGE_CURVE('',#159524,#159525,#82137,.T.); #196130=EDGE_CURVE('',#159526,#159524,#82138,.T.); #196131=EDGE_CURVE('',#159527,#159525,#82139,.T.); #196132=EDGE_CURVE('',#159526,#159527,#82140,.T.); #196133=EDGE_CURVE('',#159528,#159526,#82141,.T.); #196134=EDGE_CURVE('',#159529,#159527,#82142,.T.); #196135=EDGE_CURVE('',#159528,#159529,#82143,.T.); #196136=EDGE_CURVE('',#159530,#159528,#82144,.T.); #196137=EDGE_CURVE('',#159531,#159529,#82145,.T.); #196138=EDGE_CURVE('',#159530,#159531,#82146,.T.); #196139=EDGE_CURVE('',#159532,#159530,#82147,.T.); #196140=EDGE_CURVE('',#159533,#159531,#82148,.T.); #196141=EDGE_CURVE('',#159532,#159533,#82149,.T.); #196142=EDGE_CURVE('',#159534,#159532,#82150,.T.); #196143=EDGE_CURVE('',#159535,#159533,#82151,.T.); #196144=EDGE_CURVE('',#159534,#159535,#82152,.T.); #196145=EDGE_CURVE('',#159536,#159534,#82153,.T.); #196146=EDGE_CURVE('',#159537,#159535,#82154,.T.); #196147=EDGE_CURVE('',#159536,#159537,#82155,.T.); #196148=EDGE_CURVE('',#159538,#159536,#82156,.T.); #196149=EDGE_CURVE('',#159539,#159537,#82157,.T.); #196150=EDGE_CURVE('',#159538,#159539,#82158,.T.); #196151=EDGE_CURVE('',#159540,#159538,#82159,.T.); #196152=EDGE_CURVE('',#159541,#159539,#82160,.T.); #196153=EDGE_CURVE('',#159540,#159541,#82161,.T.); #196154=EDGE_CURVE('',#159542,#159540,#82162,.T.); #196155=EDGE_CURVE('',#159543,#159541,#82163,.T.); #196156=EDGE_CURVE('',#159542,#159543,#82164,.T.); #196157=EDGE_CURVE('',#159544,#159542,#82165,.T.); #196158=EDGE_CURVE('',#159545,#159543,#82166,.T.); #196159=EDGE_CURVE('',#159544,#159545,#82167,.T.); #196160=EDGE_CURVE('',#159546,#159544,#82168,.T.); #196161=EDGE_CURVE('',#159547,#159545,#82169,.T.); #196162=EDGE_CURVE('',#159546,#159547,#82170,.T.); #196163=EDGE_CURVE('',#159548,#159546,#82171,.T.); #196164=EDGE_CURVE('',#159549,#159547,#82172,.T.); #196165=EDGE_CURVE('',#159548,#159549,#82173,.T.); #196166=EDGE_CURVE('',#159550,#159548,#82174,.T.); #196167=EDGE_CURVE('',#159551,#159549,#82175,.T.); #196168=EDGE_CURVE('',#159550,#159551,#82176,.T.); #196169=EDGE_CURVE('',#159552,#159550,#82177,.T.); #196170=EDGE_CURVE('',#159553,#159551,#82178,.T.); #196171=EDGE_CURVE('',#159552,#159553,#82179,.T.); #196172=EDGE_CURVE('',#159554,#159552,#82180,.T.); #196173=EDGE_CURVE('',#159555,#159553,#82181,.T.); #196174=EDGE_CURVE('',#159554,#159555,#82182,.T.); #196175=EDGE_CURVE('',#159556,#159554,#82183,.T.); #196176=EDGE_CURVE('',#159557,#159555,#82184,.T.); #196177=EDGE_CURVE('',#159556,#159557,#82185,.T.); #196178=EDGE_CURVE('',#159558,#159556,#82186,.T.); #196179=EDGE_CURVE('',#159559,#159557,#82187,.T.); #196180=EDGE_CURVE('',#159558,#159559,#82188,.T.); #196181=EDGE_CURVE('',#159560,#159558,#82189,.T.); #196182=EDGE_CURVE('',#159561,#159559,#82190,.T.); #196183=EDGE_CURVE('',#159560,#159561,#82191,.T.); #196184=EDGE_CURVE('',#159562,#159560,#82192,.T.); #196185=EDGE_CURVE('',#159563,#159561,#82193,.T.); #196186=EDGE_CURVE('',#159562,#159563,#82194,.T.); #196187=EDGE_CURVE('',#159564,#159562,#82195,.T.); #196188=EDGE_CURVE('',#159565,#159563,#82196,.T.); #196189=EDGE_CURVE('',#159564,#159565,#82197,.T.); #196190=EDGE_CURVE('',#159566,#159564,#82198,.T.); #196191=EDGE_CURVE('',#159567,#159565,#82199,.T.); #196192=EDGE_CURVE('',#159566,#159567,#82200,.T.); #196193=EDGE_CURVE('',#159568,#159566,#82201,.T.); #196194=EDGE_CURVE('',#159569,#159567,#82202,.T.); #196195=EDGE_CURVE('',#159568,#159569,#82203,.T.); #196196=EDGE_CURVE('',#159570,#159568,#82204,.T.); #196197=EDGE_CURVE('',#159571,#159569,#82205,.T.); #196198=EDGE_CURVE('',#159570,#159571,#82206,.T.); #196199=EDGE_CURVE('',#159572,#159570,#82207,.T.); #196200=EDGE_CURVE('',#159573,#159571,#82208,.T.); #196201=EDGE_CURVE('',#159572,#159573,#82209,.T.); #196202=EDGE_CURVE('',#159574,#159572,#82210,.T.); #196203=EDGE_CURVE('',#159575,#159573,#82211,.T.); #196204=EDGE_CURVE('',#159574,#159575,#82212,.T.); #196205=EDGE_CURVE('',#159576,#159574,#82213,.T.); #196206=EDGE_CURVE('',#159577,#159575,#82214,.T.); #196207=EDGE_CURVE('',#159576,#159577,#82215,.T.); #196208=EDGE_CURVE('',#159578,#159576,#82216,.T.); #196209=EDGE_CURVE('',#159579,#159577,#82217,.T.); #196210=EDGE_CURVE('',#159578,#159579,#82218,.T.); #196211=EDGE_CURVE('',#159580,#159578,#82219,.T.); #196212=EDGE_CURVE('',#159581,#159579,#82220,.T.); #196213=EDGE_CURVE('',#159580,#159581,#82221,.T.); #196214=EDGE_CURVE('',#159582,#159580,#82222,.T.); #196215=EDGE_CURVE('',#159583,#159581,#82223,.T.); #196216=EDGE_CURVE('',#159582,#159583,#82224,.T.); #196217=EDGE_CURVE('',#159584,#159582,#82225,.T.); #196218=EDGE_CURVE('',#159585,#159583,#82226,.T.); #196219=EDGE_CURVE('',#159584,#159585,#82227,.T.); #196220=EDGE_CURVE('',#159586,#159584,#82228,.T.); #196221=EDGE_CURVE('',#159587,#159585,#82229,.T.); #196222=EDGE_CURVE('',#159586,#159587,#82230,.T.); #196223=EDGE_CURVE('',#159588,#159586,#82231,.T.); #196224=EDGE_CURVE('',#159589,#159587,#82232,.T.); #196225=EDGE_CURVE('',#159588,#159589,#82233,.T.); #196226=EDGE_CURVE('',#159590,#159588,#82234,.T.); #196227=EDGE_CURVE('',#159591,#159589,#82235,.T.); #196228=EDGE_CURVE('',#159590,#159591,#82236,.T.); #196229=EDGE_CURVE('',#159592,#159590,#82237,.T.); #196230=EDGE_CURVE('',#159593,#159591,#82238,.T.); #196231=EDGE_CURVE('',#159592,#159593,#82239,.T.); #196232=EDGE_CURVE('',#159594,#159592,#82240,.T.); #196233=EDGE_CURVE('',#159595,#159593,#82241,.T.); #196234=EDGE_CURVE('',#159594,#159595,#82242,.T.); #196235=EDGE_CURVE('',#159596,#159594,#82243,.T.); #196236=EDGE_CURVE('',#159597,#159595,#82244,.T.); #196237=EDGE_CURVE('',#159596,#159597,#82245,.T.); #196238=EDGE_CURVE('',#159598,#159596,#82246,.T.); #196239=EDGE_CURVE('',#159599,#159597,#82247,.T.); #196240=EDGE_CURVE('',#159598,#159599,#82248,.T.); #196241=EDGE_CURVE('',#159600,#159598,#82249,.T.); #196242=EDGE_CURVE('',#159601,#159599,#82250,.T.); #196243=EDGE_CURVE('',#159600,#159601,#82251,.T.); #196244=EDGE_CURVE('',#159602,#159600,#82252,.T.); #196245=EDGE_CURVE('',#159603,#159601,#82253,.T.); #196246=EDGE_CURVE('',#159602,#159603,#82254,.T.); #196247=EDGE_CURVE('',#159604,#159602,#82255,.T.); #196248=EDGE_CURVE('',#159605,#159603,#82256,.T.); #196249=EDGE_CURVE('',#159604,#159605,#82257,.T.); #196250=EDGE_CURVE('',#159606,#159604,#82258,.T.); #196251=EDGE_CURVE('',#159607,#159605,#82259,.T.); #196252=EDGE_CURVE('',#159606,#159607,#82260,.T.); #196253=EDGE_CURVE('',#159608,#159606,#82261,.T.); #196254=EDGE_CURVE('',#159609,#159607,#82262,.T.); #196255=EDGE_CURVE('',#159608,#159609,#82263,.T.); #196256=EDGE_CURVE('',#159610,#159608,#82264,.T.); #196257=EDGE_CURVE('',#159611,#159609,#82265,.T.); #196258=EDGE_CURVE('',#159610,#159611,#82266,.T.); #196259=EDGE_CURVE('',#159612,#159610,#82267,.T.); #196260=EDGE_CURVE('',#159613,#159611,#82268,.T.); #196261=EDGE_CURVE('',#159612,#159613,#82269,.T.); #196262=EDGE_CURVE('',#159614,#159612,#82270,.T.); #196263=EDGE_CURVE('',#159615,#159613,#82271,.T.); #196264=EDGE_CURVE('',#159614,#159615,#82272,.T.); #196265=EDGE_CURVE('',#159616,#159614,#82273,.T.); #196266=EDGE_CURVE('',#159617,#159615,#82274,.T.); #196267=EDGE_CURVE('',#159616,#159617,#82275,.T.); #196268=EDGE_CURVE('',#159618,#159616,#82276,.T.); #196269=EDGE_CURVE('',#159619,#159617,#82277,.T.); #196270=EDGE_CURVE('',#159618,#159619,#82278,.T.); #196271=EDGE_CURVE('',#159620,#159618,#82279,.T.); #196272=EDGE_CURVE('',#159621,#159619,#82280,.T.); #196273=EDGE_CURVE('',#159620,#159621,#82281,.T.); #196274=EDGE_CURVE('',#159622,#159620,#82282,.T.); #196275=EDGE_CURVE('',#159623,#159621,#82283,.T.); #196276=EDGE_CURVE('',#159622,#159623,#82284,.T.); #196277=EDGE_CURVE('',#159624,#159622,#82285,.T.); #196278=EDGE_CURVE('',#159625,#159623,#82286,.T.); #196279=EDGE_CURVE('',#159624,#159625,#82287,.T.); #196280=EDGE_CURVE('',#159626,#159624,#82288,.T.); #196281=EDGE_CURVE('',#159627,#159625,#82289,.T.); #196282=EDGE_CURVE('',#159626,#159627,#82290,.T.); #196283=EDGE_CURVE('',#159628,#159626,#82291,.T.); #196284=EDGE_CURVE('',#159629,#159627,#82292,.T.); #196285=EDGE_CURVE('',#159628,#159629,#82293,.T.); #196286=EDGE_CURVE('',#159630,#159628,#82294,.T.); #196287=EDGE_CURVE('',#159631,#159629,#82295,.T.); #196288=EDGE_CURVE('',#159630,#159631,#82296,.T.); #196289=EDGE_CURVE('',#159632,#159630,#82297,.T.); #196290=EDGE_CURVE('',#159633,#159631,#82298,.T.); #196291=EDGE_CURVE('',#159632,#159633,#82299,.T.); #196292=EDGE_CURVE('',#159634,#159632,#82300,.T.); #196293=EDGE_CURVE('',#159635,#159633,#82301,.T.); #196294=EDGE_CURVE('',#159634,#159635,#82302,.T.); #196295=EDGE_CURVE('',#159636,#159634,#82303,.T.); #196296=EDGE_CURVE('',#159637,#159635,#82304,.T.); #196297=EDGE_CURVE('',#159636,#159637,#82305,.T.); #196298=EDGE_CURVE('',#159638,#159636,#82306,.T.); #196299=EDGE_CURVE('',#159639,#159637,#82307,.T.); #196300=EDGE_CURVE('',#159638,#159639,#82308,.T.); #196301=EDGE_CURVE('',#159640,#159638,#82309,.T.); #196302=EDGE_CURVE('',#159641,#159639,#82310,.T.); #196303=EDGE_CURVE('',#159640,#159641,#82311,.T.); #196304=EDGE_CURVE('',#159642,#159640,#82312,.T.); #196305=EDGE_CURVE('',#159643,#159641,#82313,.T.); #196306=EDGE_CURVE('',#159642,#159643,#82314,.T.); #196307=EDGE_CURVE('',#159644,#159642,#82315,.T.); #196308=EDGE_CURVE('',#159645,#159643,#82316,.T.); #196309=EDGE_CURVE('',#159644,#159645,#82317,.T.); #196310=EDGE_CURVE('',#159646,#159644,#82318,.T.); #196311=EDGE_CURVE('',#159647,#159645,#82319,.T.); #196312=EDGE_CURVE('',#159646,#159647,#82320,.T.); #196313=EDGE_CURVE('',#159648,#159646,#82321,.T.); #196314=EDGE_CURVE('',#159649,#159647,#82322,.T.); #196315=EDGE_CURVE('',#159648,#159649,#82323,.T.); #196316=EDGE_CURVE('',#159650,#159648,#82324,.T.); #196317=EDGE_CURVE('',#159651,#159649,#82325,.T.); #196318=EDGE_CURVE('',#159650,#159651,#82326,.T.); #196319=EDGE_CURVE('',#159652,#159650,#82327,.T.); #196320=EDGE_CURVE('',#159653,#159651,#82328,.T.); #196321=EDGE_CURVE('',#159652,#159653,#82329,.T.); #196322=EDGE_CURVE('',#159654,#159652,#82330,.T.); #196323=EDGE_CURVE('',#159655,#159653,#82331,.T.); #196324=EDGE_CURVE('',#159654,#159655,#82332,.T.); #196325=EDGE_CURVE('',#159656,#159654,#82333,.T.); #196326=EDGE_CURVE('',#159657,#159655,#82334,.T.); #196327=EDGE_CURVE('',#159656,#159657,#82335,.T.); #196328=EDGE_CURVE('',#159658,#159656,#82336,.T.); #196329=EDGE_CURVE('',#159659,#159657,#82337,.T.); #196330=EDGE_CURVE('',#159658,#159659,#82338,.T.); #196331=EDGE_CURVE('',#159660,#159658,#82339,.T.); #196332=EDGE_CURVE('',#159661,#159659,#82340,.T.); #196333=EDGE_CURVE('',#159660,#159661,#82341,.T.); #196334=EDGE_CURVE('',#159662,#159660,#82342,.T.); #196335=EDGE_CURVE('',#159663,#159661,#82343,.T.); #196336=EDGE_CURVE('',#159662,#159663,#82344,.T.); #196337=EDGE_CURVE('',#159664,#159662,#82345,.T.); #196338=EDGE_CURVE('',#159665,#159663,#82346,.T.); #196339=EDGE_CURVE('',#159664,#159665,#82347,.T.); #196340=EDGE_CURVE('',#159666,#159664,#82348,.T.); #196341=EDGE_CURVE('',#159667,#159665,#82349,.T.); #196342=EDGE_CURVE('',#159666,#159667,#82350,.T.); #196343=EDGE_CURVE('',#159668,#159666,#82351,.T.); #196344=EDGE_CURVE('',#159669,#159667,#82352,.T.); #196345=EDGE_CURVE('',#159668,#159669,#82353,.T.); #196346=EDGE_CURVE('',#159670,#159668,#82354,.T.); #196347=EDGE_CURVE('',#159671,#159669,#82355,.T.); #196348=EDGE_CURVE('',#159670,#159671,#82356,.T.); #196349=EDGE_CURVE('',#159672,#159670,#82357,.T.); #196350=EDGE_CURVE('',#159673,#159671,#82358,.T.); #196351=EDGE_CURVE('',#159672,#159673,#82359,.T.); #196352=EDGE_CURVE('',#159674,#159672,#82360,.T.); #196353=EDGE_CURVE('',#159675,#159673,#82361,.T.); #196354=EDGE_CURVE('',#159674,#159675,#82362,.T.); #196355=EDGE_CURVE('',#159676,#159674,#82363,.T.); #196356=EDGE_CURVE('',#159677,#159675,#82364,.T.); #196357=EDGE_CURVE('',#159676,#159677,#82365,.T.); #196358=EDGE_CURVE('',#159678,#159676,#82366,.T.); #196359=EDGE_CURVE('',#159679,#159677,#82367,.T.); #196360=EDGE_CURVE('',#159678,#159679,#82368,.T.); #196361=EDGE_CURVE('',#159680,#159678,#82369,.T.); #196362=EDGE_CURVE('',#159681,#159679,#82370,.T.); #196363=EDGE_CURVE('',#159680,#159681,#82371,.T.); #196364=EDGE_CURVE('',#159682,#159680,#82372,.T.); #196365=EDGE_CURVE('',#159683,#159681,#82373,.T.); #196366=EDGE_CURVE('',#159682,#159683,#82374,.T.); #196367=EDGE_CURVE('',#159684,#159682,#82375,.T.); #196368=EDGE_CURVE('',#159685,#159683,#82376,.T.); #196369=EDGE_CURVE('',#159684,#159685,#82377,.T.); #196370=EDGE_CURVE('',#159686,#159684,#82378,.T.); #196371=EDGE_CURVE('',#159687,#159685,#82379,.T.); #196372=EDGE_CURVE('',#159686,#159687,#82380,.T.); #196373=EDGE_CURVE('',#159688,#159686,#82381,.T.); #196374=EDGE_CURVE('',#159689,#159687,#82382,.T.); #196375=EDGE_CURVE('',#159688,#159689,#82383,.T.); #196376=EDGE_CURVE('',#159690,#159688,#82384,.T.); #196377=EDGE_CURVE('',#159691,#159689,#82385,.T.); #196378=EDGE_CURVE('',#159690,#159691,#82386,.T.); #196379=EDGE_CURVE('',#159692,#159690,#82387,.T.); #196380=EDGE_CURVE('',#159693,#159691,#82388,.T.); #196381=EDGE_CURVE('',#159692,#159693,#82389,.T.); #196382=EDGE_CURVE('',#159694,#159692,#82390,.T.); #196383=EDGE_CURVE('',#159695,#159693,#82391,.T.); #196384=EDGE_CURVE('',#159694,#159695,#82392,.T.); #196385=EDGE_CURVE('',#159696,#159694,#82393,.T.); #196386=EDGE_CURVE('',#159697,#159695,#82394,.T.); #196387=EDGE_CURVE('',#159696,#159697,#82395,.T.); #196388=EDGE_CURVE('',#159698,#159696,#82396,.T.); #196389=EDGE_CURVE('',#159699,#159697,#82397,.T.); #196390=EDGE_CURVE('',#159698,#159699,#82398,.T.); #196391=EDGE_CURVE('',#159700,#159698,#82399,.T.); #196392=EDGE_CURVE('',#159701,#159699,#82400,.T.); #196393=EDGE_CURVE('',#159700,#159701,#82401,.T.); #196394=EDGE_CURVE('',#159702,#159700,#82402,.T.); #196395=EDGE_CURVE('',#159703,#159701,#82403,.T.); #196396=EDGE_CURVE('',#159702,#159703,#82404,.T.); #196397=EDGE_CURVE('',#159704,#159702,#82405,.T.); #196398=EDGE_CURVE('',#159705,#159703,#82406,.T.); #196399=EDGE_CURVE('',#159704,#159705,#82407,.T.); #196400=EDGE_CURVE('',#159706,#159704,#82408,.T.); #196401=EDGE_CURVE('',#159707,#159705,#82409,.T.); #196402=EDGE_CURVE('',#159706,#159707,#82410,.T.); #196403=EDGE_CURVE('',#159708,#159706,#82411,.T.); #196404=EDGE_CURVE('',#159709,#159707,#82412,.T.); #196405=EDGE_CURVE('',#159708,#159709,#82413,.T.); #196406=EDGE_CURVE('',#159710,#159708,#82414,.T.); #196407=EDGE_CURVE('',#159711,#159709,#82415,.T.); #196408=EDGE_CURVE('',#159710,#159711,#82416,.T.); #196409=EDGE_CURVE('',#159712,#159710,#82417,.T.); #196410=EDGE_CURVE('',#159713,#159711,#82418,.T.); #196411=EDGE_CURVE('',#159712,#159713,#82419,.T.); #196412=EDGE_CURVE('',#159714,#159712,#82420,.T.); #196413=EDGE_CURVE('',#159715,#159713,#82421,.T.); #196414=EDGE_CURVE('',#159714,#159715,#82422,.T.); #196415=EDGE_CURVE('',#159716,#159714,#82423,.T.); #196416=EDGE_CURVE('',#159717,#159715,#82424,.T.); #196417=EDGE_CURVE('',#159716,#159717,#82425,.T.); #196418=EDGE_CURVE('',#159718,#159716,#82426,.T.); #196419=EDGE_CURVE('',#159719,#159717,#82427,.T.); #196420=EDGE_CURVE('',#159718,#159719,#82428,.T.); #196421=EDGE_CURVE('',#159720,#159718,#82429,.T.); #196422=EDGE_CURVE('',#159721,#159719,#82430,.T.); #196423=EDGE_CURVE('',#159720,#159721,#82431,.T.); #196424=EDGE_CURVE('',#159722,#159720,#82432,.T.); #196425=EDGE_CURVE('',#159723,#159721,#82433,.T.); #196426=EDGE_CURVE('',#159722,#159723,#82434,.T.); #196427=EDGE_CURVE('',#159724,#159722,#82435,.T.); #196428=EDGE_CURVE('',#159725,#159723,#82436,.T.); #196429=EDGE_CURVE('',#159724,#159725,#82437,.T.); #196430=EDGE_CURVE('',#159726,#159724,#82438,.T.); #196431=EDGE_CURVE('',#159727,#159725,#82439,.T.); #196432=EDGE_CURVE('',#159726,#159727,#82440,.T.); #196433=EDGE_CURVE('',#159728,#159726,#82441,.T.); #196434=EDGE_CURVE('',#159729,#159727,#82442,.T.); #196435=EDGE_CURVE('',#159728,#159729,#82443,.T.); #196436=EDGE_CURVE('',#159730,#159728,#82444,.T.); #196437=EDGE_CURVE('',#159731,#159729,#82445,.T.); #196438=EDGE_CURVE('',#159730,#159731,#82446,.T.); #196439=EDGE_CURVE('',#159732,#159730,#82447,.T.); #196440=EDGE_CURVE('',#159733,#159731,#82448,.T.); #196441=EDGE_CURVE('',#159732,#159733,#82449,.T.); #196442=EDGE_CURVE('',#159734,#159732,#82450,.T.); #196443=EDGE_CURVE('',#159735,#159733,#82451,.T.); #196444=EDGE_CURVE('',#159734,#159735,#82452,.T.); #196445=EDGE_CURVE('',#159736,#159734,#82453,.T.); #196446=EDGE_CURVE('',#159737,#159735,#82454,.T.); #196447=EDGE_CURVE('',#159736,#159737,#82455,.T.); #196448=EDGE_CURVE('',#159738,#159736,#82456,.T.); #196449=EDGE_CURVE('',#159739,#159737,#82457,.T.); #196450=EDGE_CURVE('',#159738,#159739,#82458,.T.); #196451=EDGE_CURVE('',#159740,#159738,#82459,.T.); #196452=EDGE_CURVE('',#159741,#159739,#82460,.T.); #196453=EDGE_CURVE('',#159740,#159741,#82461,.T.); #196454=EDGE_CURVE('',#159742,#159740,#82462,.T.); #196455=EDGE_CURVE('',#159743,#159741,#82463,.T.); #196456=EDGE_CURVE('',#159742,#159743,#82464,.T.); #196457=EDGE_CURVE('',#159744,#159742,#82465,.T.); #196458=EDGE_CURVE('',#159745,#159743,#82466,.T.); #196459=EDGE_CURVE('',#159744,#159745,#82467,.T.); #196460=EDGE_CURVE('',#159746,#159744,#82468,.T.); #196461=EDGE_CURVE('',#159747,#159745,#82469,.T.); #196462=EDGE_CURVE('',#159746,#159747,#82470,.T.); #196463=EDGE_CURVE('',#159748,#159746,#82471,.T.); #196464=EDGE_CURVE('',#159749,#159747,#82472,.T.); #196465=EDGE_CURVE('',#159748,#159749,#82473,.T.); #196466=EDGE_CURVE('',#159750,#159748,#82474,.T.); #196467=EDGE_CURVE('',#159751,#159749,#82475,.T.); #196468=EDGE_CURVE('',#159750,#159751,#82476,.T.); #196469=EDGE_CURVE('',#159513,#159750,#82477,.T.); #196470=EDGE_CURVE('',#159514,#159751,#82478,.T.); #196471=EDGE_CURVE('',#159752,#159753,#82479,.T.); #196472=EDGE_CURVE('',#159753,#159754,#82480,.T.); #196473=EDGE_CURVE('',#159755,#159754,#82481,.T.); #196474=EDGE_CURVE('',#159752,#159755,#82482,.T.); #196475=EDGE_CURVE('',#159756,#159752,#82483,.T.); #196476=EDGE_CURVE('',#159757,#159755,#82484,.T.); #196477=EDGE_CURVE('',#159756,#159757,#82485,.T.); #196478=EDGE_CURVE('',#159758,#159756,#82486,.T.); #196479=EDGE_CURVE('',#159759,#159757,#82487,.T.); #196480=EDGE_CURVE('',#159758,#159759,#82488,.T.); #196481=EDGE_CURVE('',#159760,#159758,#82489,.T.); #196482=EDGE_CURVE('',#159761,#159759,#82490,.T.); #196483=EDGE_CURVE('',#159760,#159761,#82491,.T.); #196484=EDGE_CURVE('',#159762,#159760,#82492,.T.); #196485=EDGE_CURVE('',#159763,#159761,#82493,.T.); #196486=EDGE_CURVE('',#159762,#159763,#82494,.T.); #196487=EDGE_CURVE('',#159764,#159762,#82495,.T.); #196488=EDGE_CURVE('',#159765,#159763,#82496,.T.); #196489=EDGE_CURVE('',#159764,#159765,#82497,.T.); #196490=EDGE_CURVE('',#159766,#159764,#82498,.T.); #196491=EDGE_CURVE('',#159767,#159765,#82499,.T.); #196492=EDGE_CURVE('',#159766,#159767,#82500,.T.); #196493=EDGE_CURVE('',#159768,#159766,#82501,.T.); #196494=EDGE_CURVE('',#159769,#159767,#82502,.T.); #196495=EDGE_CURVE('',#159768,#159769,#82503,.T.); #196496=EDGE_CURVE('',#159770,#159768,#82504,.T.); #196497=EDGE_CURVE('',#159771,#159769,#82505,.T.); #196498=EDGE_CURVE('',#159770,#159771,#82506,.T.); #196499=EDGE_CURVE('',#159772,#159770,#82507,.T.); #196500=EDGE_CURVE('',#159773,#159771,#82508,.T.); #196501=EDGE_CURVE('',#159772,#159773,#82509,.T.); #196502=EDGE_CURVE('',#159774,#159772,#82510,.T.); #196503=EDGE_CURVE('',#159775,#159773,#82511,.T.); #196504=EDGE_CURVE('',#159774,#159775,#82512,.T.); #196505=EDGE_CURVE('',#159776,#159774,#82513,.T.); #196506=EDGE_CURVE('',#159777,#159775,#82514,.T.); #196507=EDGE_CURVE('',#159776,#159777,#82515,.T.); #196508=EDGE_CURVE('',#159778,#159776,#82516,.T.); #196509=EDGE_CURVE('',#159779,#159777,#82517,.T.); #196510=EDGE_CURVE('',#159778,#159779,#82518,.T.); #196511=EDGE_CURVE('',#159780,#159778,#82519,.T.); #196512=EDGE_CURVE('',#159781,#159779,#82520,.T.); #196513=EDGE_CURVE('',#159780,#159781,#82521,.T.); #196514=EDGE_CURVE('',#159782,#159780,#82522,.T.); #196515=EDGE_CURVE('',#159783,#159781,#82523,.T.); #196516=EDGE_CURVE('',#159782,#159783,#82524,.T.); #196517=EDGE_CURVE('',#159784,#159782,#82525,.T.); #196518=EDGE_CURVE('',#159785,#159783,#82526,.T.); #196519=EDGE_CURVE('',#159784,#159785,#82527,.T.); #196520=EDGE_CURVE('',#159786,#159784,#82528,.T.); #196521=EDGE_CURVE('',#159787,#159785,#82529,.T.); #196522=EDGE_CURVE('',#159786,#159787,#82530,.T.); #196523=EDGE_CURVE('',#159788,#159786,#82531,.T.); #196524=EDGE_CURVE('',#159789,#159787,#82532,.T.); #196525=EDGE_CURVE('',#159788,#159789,#82533,.T.); #196526=EDGE_CURVE('',#159790,#159788,#82534,.T.); #196527=EDGE_CURVE('',#159791,#159789,#82535,.T.); #196528=EDGE_CURVE('',#159790,#159791,#82536,.T.); #196529=EDGE_CURVE('',#159792,#159790,#82537,.T.); #196530=EDGE_CURVE('',#159793,#159791,#82538,.T.); #196531=EDGE_CURVE('',#159792,#159793,#82539,.T.); #196532=EDGE_CURVE('',#159753,#159792,#82540,.T.); #196533=EDGE_CURVE('',#159754,#159793,#82541,.T.); #196534=EDGE_CURVE('',#159794,#159795,#82542,.T.); #196535=EDGE_CURVE('',#159795,#159796,#82543,.T.); #196536=EDGE_CURVE('',#159797,#159796,#82544,.T.); #196537=EDGE_CURVE('',#159794,#159797,#82545,.T.); #196538=EDGE_CURVE('',#159798,#159794,#82546,.T.); #196539=EDGE_CURVE('',#159799,#159797,#82547,.T.); #196540=EDGE_CURVE('',#159798,#159799,#82548,.T.); #196541=EDGE_CURVE('',#159800,#159798,#82549,.T.); #196542=EDGE_CURVE('',#159801,#159799,#82550,.T.); #196543=EDGE_CURVE('',#159800,#159801,#82551,.T.); #196544=EDGE_CURVE('',#159802,#159800,#82552,.T.); #196545=EDGE_CURVE('',#159803,#159801,#82553,.T.); #196546=EDGE_CURVE('',#159802,#159803,#82554,.T.); #196547=EDGE_CURVE('',#159804,#159802,#82555,.T.); #196548=EDGE_CURVE('',#159805,#159803,#82556,.T.); #196549=EDGE_CURVE('',#159804,#159805,#82557,.T.); #196550=EDGE_CURVE('',#159806,#159804,#82558,.T.); #196551=EDGE_CURVE('',#159807,#159805,#82559,.T.); #196552=EDGE_CURVE('',#159806,#159807,#82560,.T.); #196553=EDGE_CURVE('',#159808,#159806,#82561,.T.); #196554=EDGE_CURVE('',#159809,#159807,#82562,.T.); #196555=EDGE_CURVE('',#159808,#159809,#82563,.T.); #196556=EDGE_CURVE('',#159810,#159808,#82564,.T.); #196557=EDGE_CURVE('',#159811,#159809,#82565,.T.); #196558=EDGE_CURVE('',#159810,#159811,#82566,.T.); #196559=EDGE_CURVE('',#159812,#159810,#82567,.T.); #196560=EDGE_CURVE('',#159813,#159811,#82568,.T.); #196561=EDGE_CURVE('',#159812,#159813,#82569,.T.); #196562=EDGE_CURVE('',#159814,#159812,#82570,.T.); #196563=EDGE_CURVE('',#159815,#159813,#82571,.T.); #196564=EDGE_CURVE('',#159814,#159815,#82572,.T.); #196565=EDGE_CURVE('',#159816,#159814,#82573,.T.); #196566=EDGE_CURVE('',#159817,#159815,#82574,.T.); #196567=EDGE_CURVE('',#159816,#159817,#82575,.T.); #196568=EDGE_CURVE('',#159818,#159816,#82576,.T.); #196569=EDGE_CURVE('',#159819,#159817,#82577,.T.); #196570=EDGE_CURVE('',#159818,#159819,#82578,.T.); #196571=EDGE_CURVE('',#159820,#159818,#82579,.T.); #196572=EDGE_CURVE('',#159821,#159819,#82580,.T.); #196573=EDGE_CURVE('',#159820,#159821,#82581,.T.); #196574=EDGE_CURVE('',#159822,#159820,#82582,.T.); #196575=EDGE_CURVE('',#159823,#159821,#82583,.T.); #196576=EDGE_CURVE('',#159822,#159823,#82584,.T.); #196577=EDGE_CURVE('',#159824,#159822,#82585,.T.); #196578=EDGE_CURVE('',#159825,#159823,#82586,.T.); #196579=EDGE_CURVE('',#159824,#159825,#82587,.T.); #196580=EDGE_CURVE('',#159826,#159824,#82588,.T.); #196581=EDGE_CURVE('',#159827,#159825,#82589,.T.); #196582=EDGE_CURVE('',#159826,#159827,#82590,.T.); #196583=EDGE_CURVE('',#159828,#159826,#82591,.T.); #196584=EDGE_CURVE('',#159829,#159827,#82592,.T.); #196585=EDGE_CURVE('',#159828,#159829,#82593,.T.); #196586=EDGE_CURVE('',#159830,#159828,#82594,.T.); #196587=EDGE_CURVE('',#159831,#159829,#82595,.T.); #196588=EDGE_CURVE('',#159830,#159831,#82596,.T.); #196589=EDGE_CURVE('',#159832,#159830,#82597,.T.); #196590=EDGE_CURVE('',#159833,#159831,#82598,.T.); #196591=EDGE_CURVE('',#159832,#159833,#82599,.T.); #196592=EDGE_CURVE('',#159834,#159832,#82600,.T.); #196593=EDGE_CURVE('',#159835,#159833,#82601,.T.); #196594=EDGE_CURVE('',#159834,#159835,#82602,.T.); #196595=EDGE_CURVE('',#159836,#159834,#82603,.T.); #196596=EDGE_CURVE('',#159837,#159835,#82604,.T.); #196597=EDGE_CURVE('',#159836,#159837,#82605,.T.); #196598=EDGE_CURVE('',#159838,#159836,#82606,.T.); #196599=EDGE_CURVE('',#159839,#159837,#82607,.T.); #196600=EDGE_CURVE('',#159838,#159839,#82608,.T.); #196601=EDGE_CURVE('',#159840,#159838,#82609,.T.); #196602=EDGE_CURVE('',#159841,#159839,#82610,.T.); #196603=EDGE_CURVE('',#159840,#159841,#82611,.T.); #196604=EDGE_CURVE('',#159842,#159840,#82612,.T.); #196605=EDGE_CURVE('',#159843,#159841,#82613,.T.); #196606=EDGE_CURVE('',#159842,#159843,#82614,.T.); #196607=EDGE_CURVE('',#159844,#159842,#82615,.T.); #196608=EDGE_CURVE('',#159845,#159843,#82616,.T.); #196609=EDGE_CURVE('',#159844,#159845,#82617,.T.); #196610=EDGE_CURVE('',#159795,#159844,#82618,.T.); #196611=EDGE_CURVE('',#159796,#159845,#82619,.T.); #196612=EDGE_CURVE('',#159846,#159847,#82620,.T.); #196613=EDGE_CURVE('',#159847,#159848,#82621,.T.); #196614=EDGE_CURVE('',#159849,#159848,#82622,.T.); #196615=EDGE_CURVE('',#159846,#159849,#82623,.T.); #196616=EDGE_CURVE('',#159850,#159846,#82624,.T.); #196617=EDGE_CURVE('',#159851,#159849,#82625,.T.); #196618=EDGE_CURVE('',#159850,#159851,#82626,.T.); #196619=EDGE_CURVE('',#159852,#159850,#82627,.T.); #196620=EDGE_CURVE('',#159853,#159851,#82628,.T.); #196621=EDGE_CURVE('',#159852,#159853,#82629,.T.); #196622=EDGE_CURVE('',#159854,#159852,#82630,.T.); #196623=EDGE_CURVE('',#159855,#159853,#82631,.T.); #196624=EDGE_CURVE('',#159854,#159855,#82632,.T.); #196625=EDGE_CURVE('',#159856,#159854,#82633,.T.); #196626=EDGE_CURVE('',#159857,#159855,#82634,.T.); #196627=EDGE_CURVE('',#159856,#159857,#82635,.T.); #196628=EDGE_CURVE('',#159858,#159856,#82636,.T.); #196629=EDGE_CURVE('',#159859,#159857,#82637,.T.); #196630=EDGE_CURVE('',#159858,#159859,#82638,.T.); #196631=EDGE_CURVE('',#159860,#159858,#82639,.T.); #196632=EDGE_CURVE('',#159861,#159859,#82640,.T.); #196633=EDGE_CURVE('',#159860,#159861,#82641,.T.); #196634=EDGE_CURVE('',#159862,#159860,#82642,.T.); #196635=EDGE_CURVE('',#159863,#159861,#82643,.T.); #196636=EDGE_CURVE('',#159862,#159863,#82644,.T.); #196637=EDGE_CURVE('',#159864,#159862,#82645,.T.); #196638=EDGE_CURVE('',#159865,#159863,#82646,.T.); #196639=EDGE_CURVE('',#159864,#159865,#82647,.T.); #196640=EDGE_CURVE('',#159866,#159864,#82648,.T.); #196641=EDGE_CURVE('',#159867,#159865,#82649,.T.); #196642=EDGE_CURVE('',#159866,#159867,#82650,.T.); #196643=EDGE_CURVE('',#159868,#159866,#82651,.T.); #196644=EDGE_CURVE('',#159869,#159867,#82652,.T.); #196645=EDGE_CURVE('',#159868,#159869,#82653,.T.); #196646=EDGE_CURVE('',#159870,#159868,#82654,.T.); #196647=EDGE_CURVE('',#159871,#159869,#82655,.T.); #196648=EDGE_CURVE('',#159870,#159871,#82656,.T.); #196649=EDGE_CURVE('',#159872,#159870,#82657,.T.); #196650=EDGE_CURVE('',#159873,#159871,#82658,.T.); #196651=EDGE_CURVE('',#159872,#159873,#82659,.T.); #196652=EDGE_CURVE('',#159874,#159872,#82660,.T.); #196653=EDGE_CURVE('',#159875,#159873,#82661,.T.); #196654=EDGE_CURVE('',#159874,#159875,#82662,.T.); #196655=EDGE_CURVE('',#159876,#159874,#82663,.T.); #196656=EDGE_CURVE('',#159877,#159875,#82664,.T.); #196657=EDGE_CURVE('',#159876,#159877,#82665,.T.); #196658=EDGE_CURVE('',#159878,#159876,#82666,.T.); #196659=EDGE_CURVE('',#159879,#159877,#82667,.T.); #196660=EDGE_CURVE('',#159878,#159879,#82668,.T.); #196661=EDGE_CURVE('',#159880,#159878,#82669,.T.); #196662=EDGE_CURVE('',#159881,#159879,#82670,.T.); #196663=EDGE_CURVE('',#159880,#159881,#82671,.T.); #196664=EDGE_CURVE('',#159882,#159880,#82672,.T.); #196665=EDGE_CURVE('',#159883,#159881,#82673,.T.); #196666=EDGE_CURVE('',#159882,#159883,#82674,.T.); #196667=EDGE_CURVE('',#159884,#159882,#82675,.T.); #196668=EDGE_CURVE('',#159885,#159883,#82676,.T.); #196669=EDGE_CURVE('',#159884,#159885,#82677,.T.); #196670=EDGE_CURVE('',#159847,#159884,#82678,.T.); #196671=EDGE_CURVE('',#159848,#159885,#82679,.T.); #196672=EDGE_CURVE('',#159886,#159887,#82680,.T.); #196673=EDGE_CURVE('',#159887,#159888,#82681,.T.); #196674=EDGE_CURVE('',#159889,#159888,#82682,.T.); #196675=EDGE_CURVE('',#159886,#159889,#82683,.T.); #196676=EDGE_CURVE('',#159890,#159886,#82684,.T.); #196677=EDGE_CURVE('',#159891,#159889,#82685,.T.); #196678=EDGE_CURVE('',#159890,#159891,#82686,.T.); #196679=EDGE_CURVE('',#159892,#159890,#82687,.T.); #196680=EDGE_CURVE('',#159893,#159891,#82688,.T.); #196681=EDGE_CURVE('',#159892,#159893,#82689,.T.); #196682=EDGE_CURVE('',#159894,#159892,#82690,.T.); #196683=EDGE_CURVE('',#159895,#159893,#82691,.T.); #196684=EDGE_CURVE('',#159894,#159895,#82692,.T.); #196685=EDGE_CURVE('',#159896,#159894,#82693,.T.); #196686=EDGE_CURVE('',#159897,#159895,#82694,.T.); #196687=EDGE_CURVE('',#159896,#159897,#82695,.T.); #196688=EDGE_CURVE('',#159898,#159896,#82696,.T.); #196689=EDGE_CURVE('',#159899,#159897,#82697,.T.); #196690=EDGE_CURVE('',#159898,#159899,#82698,.T.); #196691=EDGE_CURVE('',#159900,#159898,#82699,.T.); #196692=EDGE_CURVE('',#159901,#159899,#82700,.T.); #196693=EDGE_CURVE('',#159900,#159901,#82701,.T.); #196694=EDGE_CURVE('',#159902,#159900,#82702,.T.); #196695=EDGE_CURVE('',#159903,#159901,#82703,.T.); #196696=EDGE_CURVE('',#159902,#159903,#82704,.T.); #196697=EDGE_CURVE('',#159904,#159902,#82705,.T.); #196698=EDGE_CURVE('',#159905,#159903,#82706,.T.); #196699=EDGE_CURVE('',#159904,#159905,#82707,.T.); #196700=EDGE_CURVE('',#159906,#159904,#82708,.T.); #196701=EDGE_CURVE('',#159907,#159905,#82709,.T.); #196702=EDGE_CURVE('',#159906,#159907,#82710,.T.); #196703=EDGE_CURVE('',#159908,#159906,#82711,.T.); #196704=EDGE_CURVE('',#159909,#159907,#82712,.T.); #196705=EDGE_CURVE('',#159908,#159909,#82713,.T.); #196706=EDGE_CURVE('',#159910,#159908,#82714,.T.); #196707=EDGE_CURVE('',#159911,#159909,#82715,.T.); #196708=EDGE_CURVE('',#159910,#159911,#82716,.T.); #196709=EDGE_CURVE('',#159912,#159910,#82717,.T.); #196710=EDGE_CURVE('',#159913,#159911,#82718,.T.); #196711=EDGE_CURVE('',#159912,#159913,#82719,.T.); #196712=EDGE_CURVE('',#159914,#159912,#82720,.T.); #196713=EDGE_CURVE('',#159915,#159913,#82721,.T.); #196714=EDGE_CURVE('',#159914,#159915,#82722,.T.); #196715=EDGE_CURVE('',#159916,#159914,#82723,.T.); #196716=EDGE_CURVE('',#159917,#159915,#82724,.T.); #196717=EDGE_CURVE('',#159916,#159917,#82725,.T.); #196718=EDGE_CURVE('',#159918,#159916,#82726,.T.); #196719=EDGE_CURVE('',#159919,#159917,#82727,.T.); #196720=EDGE_CURVE('',#159918,#159919,#82728,.T.); #196721=EDGE_CURVE('',#159920,#159918,#82729,.T.); #196722=EDGE_CURVE('',#159921,#159919,#82730,.T.); #196723=EDGE_CURVE('',#159920,#159921,#82731,.T.); #196724=EDGE_CURVE('',#159922,#159920,#82732,.T.); #196725=EDGE_CURVE('',#159923,#159921,#82733,.T.); #196726=EDGE_CURVE('',#159922,#159923,#82734,.T.); #196727=EDGE_CURVE('',#159924,#159922,#82735,.T.); #196728=EDGE_CURVE('',#159925,#159923,#82736,.T.); #196729=EDGE_CURVE('',#159924,#159925,#82737,.T.); #196730=EDGE_CURVE('',#159926,#159924,#82738,.T.); #196731=EDGE_CURVE('',#159927,#159925,#82739,.T.); #196732=EDGE_CURVE('',#159926,#159927,#82740,.T.); #196733=EDGE_CURVE('',#159928,#159926,#82741,.T.); #196734=EDGE_CURVE('',#159929,#159927,#82742,.T.); #196735=EDGE_CURVE('',#159928,#159929,#82743,.T.); #196736=EDGE_CURVE('',#159930,#159928,#82744,.T.); #196737=EDGE_CURVE('',#159931,#159929,#82745,.T.); #196738=EDGE_CURVE('',#159930,#159931,#82746,.T.); #196739=EDGE_CURVE('',#159887,#159930,#82747,.T.); #196740=EDGE_CURVE('',#159888,#159931,#82748,.T.); #196741=EDGE_CURVE('',#159932,#159933,#82749,.T.); #196742=EDGE_CURVE('',#159933,#159934,#82750,.T.); #196743=EDGE_CURVE('',#159935,#159934,#82751,.T.); #196744=EDGE_CURVE('',#159932,#159935,#82752,.T.); #196745=EDGE_CURVE('',#159936,#159932,#82753,.T.); #196746=EDGE_CURVE('',#159937,#159935,#82754,.T.); #196747=EDGE_CURVE('',#159936,#159937,#82755,.T.); #196748=EDGE_CURVE('',#159938,#159936,#82756,.T.); #196749=EDGE_CURVE('',#159939,#159937,#82757,.T.); #196750=EDGE_CURVE('',#159938,#159939,#82758,.T.); #196751=EDGE_CURVE('',#159940,#159938,#82759,.T.); #196752=EDGE_CURVE('',#159941,#159939,#82760,.T.); #196753=EDGE_CURVE('',#159940,#159941,#82761,.T.); #196754=EDGE_CURVE('',#159942,#159940,#82762,.T.); #196755=EDGE_CURVE('',#159943,#159941,#82763,.T.); #196756=EDGE_CURVE('',#159942,#159943,#82764,.T.); #196757=EDGE_CURVE('',#159944,#159942,#82765,.T.); #196758=EDGE_CURVE('',#159945,#159943,#82766,.T.); #196759=EDGE_CURVE('',#159944,#159945,#82767,.T.); #196760=EDGE_CURVE('',#159946,#159944,#82768,.T.); #196761=EDGE_CURVE('',#159947,#159945,#82769,.T.); #196762=EDGE_CURVE('',#159946,#159947,#82770,.T.); #196763=EDGE_CURVE('',#159948,#159946,#82771,.T.); #196764=EDGE_CURVE('',#159949,#159947,#82772,.T.); #196765=EDGE_CURVE('',#159948,#159949,#82773,.T.); #196766=EDGE_CURVE('',#159950,#159948,#82774,.T.); #196767=EDGE_CURVE('',#159951,#159949,#82775,.T.); #196768=EDGE_CURVE('',#159950,#159951,#82776,.T.); #196769=EDGE_CURVE('',#159952,#159950,#82777,.T.); #196770=EDGE_CURVE('',#159953,#159951,#82778,.T.); #196771=EDGE_CURVE('',#159952,#159953,#82779,.T.); #196772=EDGE_CURVE('',#159954,#159952,#82780,.T.); #196773=EDGE_CURVE('',#159955,#159953,#82781,.T.); #196774=EDGE_CURVE('',#159954,#159955,#82782,.T.); #196775=EDGE_CURVE('',#159956,#159954,#82783,.T.); #196776=EDGE_CURVE('',#159957,#159955,#82784,.T.); #196777=EDGE_CURVE('',#159956,#159957,#82785,.T.); #196778=EDGE_CURVE('',#159958,#159956,#82786,.T.); #196779=EDGE_CURVE('',#159959,#159957,#82787,.T.); #196780=EDGE_CURVE('',#159958,#159959,#82788,.T.); #196781=EDGE_CURVE('',#159960,#159958,#82789,.T.); #196782=EDGE_CURVE('',#159961,#159959,#82790,.T.); #196783=EDGE_CURVE('',#159960,#159961,#82791,.T.); #196784=EDGE_CURVE('',#159962,#159960,#82792,.T.); #196785=EDGE_CURVE('',#159963,#159961,#82793,.T.); #196786=EDGE_CURVE('',#159962,#159963,#82794,.T.); #196787=EDGE_CURVE('',#159964,#159962,#82795,.T.); #196788=EDGE_CURVE('',#159965,#159963,#82796,.T.); #196789=EDGE_CURVE('',#159964,#159965,#82797,.T.); #196790=EDGE_CURVE('',#159966,#159964,#82798,.T.); #196791=EDGE_CURVE('',#159967,#159965,#82799,.T.); #196792=EDGE_CURVE('',#159966,#159967,#82800,.T.); #196793=EDGE_CURVE('',#159968,#159966,#82801,.T.); #196794=EDGE_CURVE('',#159969,#159967,#82802,.T.); #196795=EDGE_CURVE('',#159968,#159969,#82803,.T.); #196796=EDGE_CURVE('',#159970,#159968,#82804,.T.); #196797=EDGE_CURVE('',#159971,#159969,#82805,.T.); #196798=EDGE_CURVE('',#159970,#159971,#82806,.T.); #196799=EDGE_CURVE('',#159972,#159970,#82807,.T.); #196800=EDGE_CURVE('',#159973,#159971,#82808,.T.); #196801=EDGE_CURVE('',#159972,#159973,#82809,.T.); #196802=EDGE_CURVE('',#159933,#159972,#82810,.T.); #196803=EDGE_CURVE('',#159934,#159973,#82811,.T.); #196804=EDGE_CURVE('',#159974,#159975,#82812,.T.); #196805=EDGE_CURVE('',#159975,#159976,#82813,.T.); #196806=EDGE_CURVE('',#159977,#159976,#82814,.T.); #196807=EDGE_CURVE('',#159974,#159977,#82815,.T.); #196808=EDGE_CURVE('',#159978,#159974,#82816,.T.); #196809=EDGE_CURVE('',#159979,#159977,#82817,.T.); #196810=EDGE_CURVE('',#159978,#159979,#82818,.T.); #196811=EDGE_CURVE('',#159980,#159978,#82819,.T.); #196812=EDGE_CURVE('',#159981,#159979,#82820,.T.); #196813=EDGE_CURVE('',#159980,#159981,#82821,.T.); #196814=EDGE_CURVE('',#159982,#159980,#82822,.T.); #196815=EDGE_CURVE('',#159983,#159981,#82823,.T.); #196816=EDGE_CURVE('',#159982,#159983,#82824,.T.); #196817=EDGE_CURVE('',#159984,#159982,#82825,.T.); #196818=EDGE_CURVE('',#159985,#159983,#82826,.T.); #196819=EDGE_CURVE('',#159984,#159985,#82827,.T.); #196820=EDGE_CURVE('',#159986,#159984,#82828,.T.); #196821=EDGE_CURVE('',#159987,#159985,#82829,.T.); #196822=EDGE_CURVE('',#159986,#159987,#82830,.T.); #196823=EDGE_CURVE('',#159988,#159986,#82831,.T.); #196824=EDGE_CURVE('',#159989,#159987,#82832,.T.); #196825=EDGE_CURVE('',#159988,#159989,#82833,.T.); #196826=EDGE_CURVE('',#159990,#159988,#82834,.T.); #196827=EDGE_CURVE('',#159991,#159989,#82835,.T.); #196828=EDGE_CURVE('',#159990,#159991,#82836,.T.); #196829=EDGE_CURVE('',#159992,#159990,#82837,.T.); #196830=EDGE_CURVE('',#159993,#159991,#82838,.T.); #196831=EDGE_CURVE('',#159992,#159993,#82839,.T.); #196832=EDGE_CURVE('',#159994,#159992,#82840,.T.); #196833=EDGE_CURVE('',#159995,#159993,#82841,.T.); #196834=EDGE_CURVE('',#159994,#159995,#82842,.T.); #196835=EDGE_CURVE('',#159996,#159994,#82843,.T.); #196836=EDGE_CURVE('',#159997,#159995,#82844,.T.); #196837=EDGE_CURVE('',#159996,#159997,#82845,.T.); #196838=EDGE_CURVE('',#159998,#159996,#82846,.T.); #196839=EDGE_CURVE('',#159999,#159997,#82847,.T.); #196840=EDGE_CURVE('',#159998,#159999,#82848,.T.); #196841=EDGE_CURVE('',#160000,#159998,#82849,.T.); #196842=EDGE_CURVE('',#160001,#159999,#82850,.T.); #196843=EDGE_CURVE('',#160000,#160001,#82851,.T.); #196844=EDGE_CURVE('',#160002,#160000,#82852,.T.); #196845=EDGE_CURVE('',#160003,#160001,#82853,.T.); #196846=EDGE_CURVE('',#160002,#160003,#82854,.T.); #196847=EDGE_CURVE('',#160004,#160002,#82855,.T.); #196848=EDGE_CURVE('',#160005,#160003,#82856,.T.); #196849=EDGE_CURVE('',#160004,#160005,#82857,.T.); #196850=EDGE_CURVE('',#160006,#160004,#82858,.T.); #196851=EDGE_CURVE('',#160007,#160005,#82859,.T.); #196852=EDGE_CURVE('',#160006,#160007,#82860,.T.); #196853=EDGE_CURVE('',#160008,#160006,#82861,.T.); #196854=EDGE_CURVE('',#160009,#160007,#82862,.T.); #196855=EDGE_CURVE('',#160008,#160009,#82863,.T.); #196856=EDGE_CURVE('',#160010,#160008,#82864,.T.); #196857=EDGE_CURVE('',#160011,#160009,#82865,.T.); #196858=EDGE_CURVE('',#160010,#160011,#82866,.T.); #196859=EDGE_CURVE('',#160012,#160010,#82867,.T.); #196860=EDGE_CURVE('',#160013,#160011,#82868,.T.); #196861=EDGE_CURVE('',#160012,#160013,#82869,.T.); #196862=EDGE_CURVE('',#160014,#160012,#82870,.T.); #196863=EDGE_CURVE('',#160015,#160013,#82871,.T.); #196864=EDGE_CURVE('',#160014,#160015,#82872,.T.); #196865=EDGE_CURVE('',#160016,#160014,#82873,.T.); #196866=EDGE_CURVE('',#160017,#160015,#82874,.T.); #196867=EDGE_CURVE('',#160016,#160017,#82875,.T.); #196868=EDGE_CURVE('',#160018,#160016,#82876,.T.); #196869=EDGE_CURVE('',#160019,#160017,#82877,.T.); #196870=EDGE_CURVE('',#160018,#160019,#82878,.T.); #196871=EDGE_CURVE('',#160020,#160018,#82879,.T.); #196872=EDGE_CURVE('',#160021,#160019,#82880,.T.); #196873=EDGE_CURVE('',#160020,#160021,#82881,.T.); #196874=EDGE_CURVE('',#160022,#160020,#82882,.T.); #196875=EDGE_CURVE('',#160023,#160021,#82883,.T.); #196876=EDGE_CURVE('',#160022,#160023,#82884,.T.); #196877=EDGE_CURVE('',#160024,#160022,#82885,.T.); #196878=EDGE_CURVE('',#160025,#160023,#82886,.T.); #196879=EDGE_CURVE('',#160024,#160025,#82887,.T.); #196880=EDGE_CURVE('',#160026,#160024,#82888,.T.); #196881=EDGE_CURVE('',#160027,#160025,#82889,.T.); #196882=EDGE_CURVE('',#160026,#160027,#82890,.T.); #196883=EDGE_CURVE('',#160028,#160026,#82891,.T.); #196884=EDGE_CURVE('',#160029,#160027,#82892,.T.); #196885=EDGE_CURVE('',#160028,#160029,#82893,.T.); #196886=EDGE_CURVE('',#160030,#160028,#82894,.T.); #196887=EDGE_CURVE('',#160031,#160029,#82895,.T.); #196888=EDGE_CURVE('',#160030,#160031,#82896,.T.); #196889=EDGE_CURVE('',#160032,#160030,#82897,.T.); #196890=EDGE_CURVE('',#160033,#160031,#82898,.T.); #196891=EDGE_CURVE('',#160032,#160033,#82899,.T.); #196892=EDGE_CURVE('',#159975,#160032,#82900,.T.); #196893=EDGE_CURVE('',#159976,#160033,#82901,.T.); #196894=EDGE_CURVE('',#160034,#160035,#82902,.T.); #196895=EDGE_CURVE('',#160035,#160036,#82903,.T.); #196896=EDGE_CURVE('',#160037,#160036,#82904,.T.); #196897=EDGE_CURVE('',#160034,#160037,#82905,.T.); #196898=EDGE_CURVE('',#160038,#160034,#82906,.T.); #196899=EDGE_CURVE('',#160039,#160037,#82907,.T.); #196900=EDGE_CURVE('',#160038,#160039,#82908,.T.); #196901=EDGE_CURVE('',#160040,#160038,#82909,.T.); #196902=EDGE_CURVE('',#160041,#160039,#82910,.T.); #196903=EDGE_CURVE('',#160040,#160041,#82911,.T.); #196904=EDGE_CURVE('',#160042,#160040,#82912,.T.); #196905=EDGE_CURVE('',#160043,#160041,#82913,.T.); #196906=EDGE_CURVE('',#160042,#160043,#82914,.T.); #196907=EDGE_CURVE('',#160044,#160042,#82915,.T.); #196908=EDGE_CURVE('',#160045,#160043,#82916,.T.); #196909=EDGE_CURVE('',#160044,#160045,#82917,.T.); #196910=EDGE_CURVE('',#160046,#160044,#82918,.T.); #196911=EDGE_CURVE('',#160047,#160045,#82919,.T.); #196912=EDGE_CURVE('',#160046,#160047,#82920,.T.); #196913=EDGE_CURVE('',#160048,#160046,#82921,.T.); #196914=EDGE_CURVE('',#160049,#160047,#82922,.T.); #196915=EDGE_CURVE('',#160048,#160049,#82923,.T.); #196916=EDGE_CURVE('',#160050,#160048,#82924,.T.); #196917=EDGE_CURVE('',#160051,#160049,#82925,.T.); #196918=EDGE_CURVE('',#160050,#160051,#82926,.T.); #196919=EDGE_CURVE('',#160052,#160050,#82927,.T.); #196920=EDGE_CURVE('',#160053,#160051,#82928,.T.); #196921=EDGE_CURVE('',#160052,#160053,#82929,.T.); #196922=EDGE_CURVE('',#160054,#160052,#82930,.T.); #196923=EDGE_CURVE('',#160055,#160053,#82931,.T.); #196924=EDGE_CURVE('',#160054,#160055,#82932,.T.); #196925=EDGE_CURVE('',#160056,#160054,#82933,.T.); #196926=EDGE_CURVE('',#160057,#160055,#82934,.T.); #196927=EDGE_CURVE('',#160056,#160057,#82935,.T.); #196928=EDGE_CURVE('',#160058,#160056,#82936,.T.); #196929=EDGE_CURVE('',#160059,#160057,#82937,.T.); #196930=EDGE_CURVE('',#160058,#160059,#82938,.T.); #196931=EDGE_CURVE('',#160060,#160058,#82939,.T.); #196932=EDGE_CURVE('',#160061,#160059,#82940,.T.); #196933=EDGE_CURVE('',#160060,#160061,#82941,.T.); #196934=EDGE_CURVE('',#160062,#160060,#82942,.T.); #196935=EDGE_CURVE('',#160063,#160061,#82943,.T.); #196936=EDGE_CURVE('',#160062,#160063,#82944,.T.); #196937=EDGE_CURVE('',#160064,#160062,#82945,.T.); #196938=EDGE_CURVE('',#160065,#160063,#82946,.T.); #196939=EDGE_CURVE('',#160064,#160065,#82947,.T.); #196940=EDGE_CURVE('',#160066,#160064,#82948,.T.); #196941=EDGE_CURVE('',#160067,#160065,#82949,.T.); #196942=EDGE_CURVE('',#160066,#160067,#82950,.T.); #196943=EDGE_CURVE('',#160068,#160066,#82951,.T.); #196944=EDGE_CURVE('',#160069,#160067,#82952,.T.); #196945=EDGE_CURVE('',#160068,#160069,#82953,.T.); #196946=EDGE_CURVE('',#160070,#160068,#82954,.T.); #196947=EDGE_CURVE('',#160071,#160069,#82955,.T.); #196948=EDGE_CURVE('',#160070,#160071,#82956,.T.); #196949=EDGE_CURVE('',#160072,#160070,#82957,.T.); #196950=EDGE_CURVE('',#160073,#160071,#82958,.T.); #196951=EDGE_CURVE('',#160072,#160073,#82959,.T.); #196952=EDGE_CURVE('',#160035,#160072,#82960,.T.); #196953=EDGE_CURVE('',#160036,#160073,#82961,.T.); #196954=EDGE_CURVE('',#160074,#160075,#82962,.T.); #196955=EDGE_CURVE('',#160075,#160076,#82963,.T.); #196956=EDGE_CURVE('',#160077,#160076,#82964,.T.); #196957=EDGE_CURVE('',#160074,#160077,#82965,.T.); #196958=EDGE_CURVE('',#160078,#160074,#82966,.T.); #196959=EDGE_CURVE('',#160079,#160077,#82967,.T.); #196960=EDGE_CURVE('',#160078,#160079,#82968,.T.); #196961=EDGE_CURVE('',#160080,#160078,#82969,.T.); #196962=EDGE_CURVE('',#160081,#160079,#82970,.T.); #196963=EDGE_CURVE('',#160080,#160081,#82971,.T.); #196964=EDGE_CURVE('',#160082,#160080,#82972,.T.); #196965=EDGE_CURVE('',#160083,#160081,#82973,.T.); #196966=EDGE_CURVE('',#160082,#160083,#82974,.T.); #196967=EDGE_CURVE('',#160084,#160082,#82975,.T.); #196968=EDGE_CURVE('',#160085,#160083,#82976,.T.); #196969=EDGE_CURVE('',#160084,#160085,#82977,.T.); #196970=EDGE_CURVE('',#160086,#160084,#82978,.T.); #196971=EDGE_CURVE('',#160087,#160085,#82979,.T.); #196972=EDGE_CURVE('',#160086,#160087,#82980,.T.); #196973=EDGE_CURVE('',#160088,#160086,#82981,.T.); #196974=EDGE_CURVE('',#160089,#160087,#82982,.T.); #196975=EDGE_CURVE('',#160088,#160089,#82983,.T.); #196976=EDGE_CURVE('',#160090,#160088,#82984,.T.); #196977=EDGE_CURVE('',#160091,#160089,#82985,.T.); #196978=EDGE_CURVE('',#160090,#160091,#82986,.T.); #196979=EDGE_CURVE('',#160092,#160090,#82987,.T.); #196980=EDGE_CURVE('',#160093,#160091,#82988,.T.); #196981=EDGE_CURVE('',#160092,#160093,#82989,.T.); #196982=EDGE_CURVE('',#160094,#160092,#82990,.T.); #196983=EDGE_CURVE('',#160095,#160093,#82991,.T.); #196984=EDGE_CURVE('',#160094,#160095,#82992,.T.); #196985=EDGE_CURVE('',#160096,#160094,#82993,.T.); #196986=EDGE_CURVE('',#160097,#160095,#82994,.T.); #196987=EDGE_CURVE('',#160096,#160097,#82995,.T.); #196988=EDGE_CURVE('',#160098,#160096,#82996,.T.); #196989=EDGE_CURVE('',#160099,#160097,#82997,.T.); #196990=EDGE_CURVE('',#160098,#160099,#82998,.T.); #196991=EDGE_CURVE('',#160100,#160098,#82999,.T.); #196992=EDGE_CURVE('',#160101,#160099,#83000,.T.); #196993=EDGE_CURVE('',#160100,#160101,#83001,.T.); #196994=EDGE_CURVE('',#160102,#160100,#83002,.T.); #196995=EDGE_CURVE('',#160103,#160101,#83003,.T.); #196996=EDGE_CURVE('',#160102,#160103,#83004,.T.); #196997=EDGE_CURVE('',#160104,#160102,#83005,.T.); #196998=EDGE_CURVE('',#160105,#160103,#83006,.T.); #196999=EDGE_CURVE('',#160104,#160105,#83007,.T.); #197000=EDGE_CURVE('',#160106,#160104,#83008,.T.); #197001=EDGE_CURVE('',#160107,#160105,#83009,.T.); #197002=EDGE_CURVE('',#160106,#160107,#83010,.T.); #197003=EDGE_CURVE('',#160108,#160106,#83011,.T.); #197004=EDGE_CURVE('',#160109,#160107,#83012,.T.); #197005=EDGE_CURVE('',#160108,#160109,#83013,.T.); #197006=EDGE_CURVE('',#160110,#160108,#83014,.T.); #197007=EDGE_CURVE('',#160111,#160109,#83015,.T.); #197008=EDGE_CURVE('',#160110,#160111,#83016,.T.); #197009=EDGE_CURVE('',#160112,#160110,#83017,.T.); #197010=EDGE_CURVE('',#160113,#160111,#83018,.T.); #197011=EDGE_CURVE('',#160112,#160113,#83019,.T.); #197012=EDGE_CURVE('',#160114,#160112,#83020,.T.); #197013=EDGE_CURVE('',#160115,#160113,#83021,.T.); #197014=EDGE_CURVE('',#160114,#160115,#83022,.T.); #197015=EDGE_CURVE('',#160116,#160114,#83023,.T.); #197016=EDGE_CURVE('',#160117,#160115,#83024,.T.); #197017=EDGE_CURVE('',#160116,#160117,#83025,.T.); #197018=EDGE_CURVE('',#160118,#160116,#83026,.T.); #197019=EDGE_CURVE('',#160119,#160117,#83027,.T.); #197020=EDGE_CURVE('',#160118,#160119,#83028,.T.); #197021=EDGE_CURVE('',#160120,#160118,#83029,.T.); #197022=EDGE_CURVE('',#160121,#160119,#83030,.T.); #197023=EDGE_CURVE('',#160120,#160121,#83031,.T.); #197024=EDGE_CURVE('',#160122,#160120,#83032,.T.); #197025=EDGE_CURVE('',#160123,#160121,#83033,.T.); #197026=EDGE_CURVE('',#160122,#160123,#83034,.T.); #197027=EDGE_CURVE('',#160124,#160122,#83035,.T.); #197028=EDGE_CURVE('',#160125,#160123,#83036,.T.); #197029=EDGE_CURVE('',#160124,#160125,#83037,.T.); #197030=EDGE_CURVE('',#160126,#160124,#83038,.T.); #197031=EDGE_CURVE('',#160127,#160125,#83039,.T.); #197032=EDGE_CURVE('',#160126,#160127,#83040,.T.); #197033=EDGE_CURVE('',#160128,#160126,#83041,.T.); #197034=EDGE_CURVE('',#160129,#160127,#83042,.T.); #197035=EDGE_CURVE('',#160128,#160129,#83043,.T.); #197036=EDGE_CURVE('',#160130,#160128,#83044,.T.); #197037=EDGE_CURVE('',#160131,#160129,#83045,.T.); #197038=EDGE_CURVE('',#160130,#160131,#83046,.T.); #197039=EDGE_CURVE('',#160132,#160130,#83047,.T.); #197040=EDGE_CURVE('',#160133,#160131,#83048,.T.); #197041=EDGE_CURVE('',#160132,#160133,#83049,.T.); #197042=EDGE_CURVE('',#160134,#160132,#83050,.T.); #197043=EDGE_CURVE('',#160135,#160133,#83051,.T.); #197044=EDGE_CURVE('',#160134,#160135,#83052,.T.); #197045=EDGE_CURVE('',#160136,#160134,#83053,.T.); #197046=EDGE_CURVE('',#160137,#160135,#83054,.T.); #197047=EDGE_CURVE('',#160136,#160137,#83055,.T.); #197048=EDGE_CURVE('',#160138,#160136,#83056,.T.); #197049=EDGE_CURVE('',#160139,#160137,#83057,.T.); #197050=EDGE_CURVE('',#160138,#160139,#83058,.T.); #197051=EDGE_CURVE('',#160075,#160138,#83059,.T.); #197052=EDGE_CURVE('',#160076,#160139,#83060,.T.); #197053=EDGE_CURVE('',#160140,#160140,#67369,.T.); #197054=EDGE_CURVE('',#160140,#160141,#83061,.T.); #197055=EDGE_CURVE('',#160141,#160141,#67370,.T.); #197056=EDGE_CURVE('',#160142,#160142,#67371,.T.); #197057=EDGE_CURVE('',#160142,#160143,#83062,.T.); #197058=EDGE_CURVE('',#160143,#160143,#67372,.T.); #197059=EDGE_CURVE('',#160144,#160144,#67373,.T.); #197060=EDGE_CURVE('',#160144,#160145,#83063,.T.); #197061=EDGE_CURVE('',#160145,#160145,#67374,.T.); #197062=EDGE_CURVE('',#160146,#160147,#83064,.T.); #197063=EDGE_CURVE('',#160147,#160148,#83065,.T.); #197064=EDGE_CURVE('',#160149,#160148,#83066,.T.); #197065=EDGE_CURVE('',#160146,#160149,#83067,.T.); #197066=EDGE_CURVE('',#160150,#160146,#83068,.T.); #197067=EDGE_CURVE('',#160151,#160149,#83069,.T.); #197068=EDGE_CURVE('',#160150,#160151,#83070,.T.); #197069=EDGE_CURVE('',#160152,#160150,#83071,.T.); #197070=EDGE_CURVE('',#160153,#160151,#83072,.T.); #197071=EDGE_CURVE('',#160152,#160153,#83073,.T.); #197072=EDGE_CURVE('',#160154,#160152,#83074,.T.); #197073=EDGE_CURVE('',#160155,#160153,#83075,.T.); #197074=EDGE_CURVE('',#160154,#160155,#83076,.T.); #197075=EDGE_CURVE('',#160156,#160154,#83077,.T.); #197076=EDGE_CURVE('',#160157,#160155,#83078,.T.); #197077=EDGE_CURVE('',#160156,#160157,#83079,.T.); #197078=EDGE_CURVE('',#160158,#160156,#83080,.T.); #197079=EDGE_CURVE('',#160159,#160157,#83081,.T.); #197080=EDGE_CURVE('',#160158,#160159,#83082,.T.); #197081=EDGE_CURVE('',#160160,#160158,#83083,.T.); #197082=EDGE_CURVE('',#160161,#160159,#83084,.T.); #197083=EDGE_CURVE('',#160160,#160161,#83085,.T.); #197084=EDGE_CURVE('',#160162,#160160,#83086,.T.); #197085=EDGE_CURVE('',#160163,#160161,#83087,.T.); #197086=EDGE_CURVE('',#160162,#160163,#83088,.T.); #197087=EDGE_CURVE('',#160164,#160162,#83089,.T.); #197088=EDGE_CURVE('',#160165,#160163,#83090,.T.); #197089=EDGE_CURVE('',#160164,#160165,#83091,.T.); #197090=EDGE_CURVE('',#160166,#160164,#83092,.T.); #197091=EDGE_CURVE('',#160167,#160165,#83093,.T.); #197092=EDGE_CURVE('',#160166,#160167,#83094,.T.); #197093=EDGE_CURVE('',#160168,#160166,#83095,.T.); #197094=EDGE_CURVE('',#160169,#160167,#83096,.T.); #197095=EDGE_CURVE('',#160168,#160169,#83097,.T.); #197096=EDGE_CURVE('',#160170,#160168,#83098,.T.); #197097=EDGE_CURVE('',#160171,#160169,#83099,.T.); #197098=EDGE_CURVE('',#160170,#160171,#83100,.T.); #197099=EDGE_CURVE('',#160172,#160170,#83101,.T.); #197100=EDGE_CURVE('',#160173,#160171,#83102,.T.); #197101=EDGE_CURVE('',#160172,#160173,#83103,.T.); #197102=EDGE_CURVE('',#160174,#160172,#83104,.T.); #197103=EDGE_CURVE('',#160175,#160173,#83105,.T.); #197104=EDGE_CURVE('',#160174,#160175,#83106,.T.); #197105=EDGE_CURVE('',#160176,#160174,#83107,.T.); #197106=EDGE_CURVE('',#160177,#160175,#83108,.T.); #197107=EDGE_CURVE('',#160176,#160177,#83109,.T.); #197108=EDGE_CURVE('',#160178,#160176,#83110,.T.); #197109=EDGE_CURVE('',#160179,#160177,#83111,.T.); #197110=EDGE_CURVE('',#160178,#160179,#83112,.T.); #197111=EDGE_CURVE('',#160180,#160178,#83113,.T.); #197112=EDGE_CURVE('',#160181,#160179,#83114,.T.); #197113=EDGE_CURVE('',#160180,#160181,#83115,.T.); #197114=EDGE_CURVE('',#160182,#160180,#83116,.T.); #197115=EDGE_CURVE('',#160183,#160181,#83117,.T.); #197116=EDGE_CURVE('',#160182,#160183,#83118,.T.); #197117=EDGE_CURVE('',#160184,#160182,#83119,.T.); #197118=EDGE_CURVE('',#160185,#160183,#83120,.T.); #197119=EDGE_CURVE('',#160184,#160185,#83121,.T.); #197120=EDGE_CURVE('',#160186,#160184,#83122,.T.); #197121=EDGE_CURVE('',#160187,#160185,#83123,.T.); #197122=EDGE_CURVE('',#160186,#160187,#83124,.T.); #197123=EDGE_CURVE('',#160188,#160186,#83125,.T.); #197124=EDGE_CURVE('',#160189,#160187,#83126,.T.); #197125=EDGE_CURVE('',#160188,#160189,#83127,.T.); #197126=EDGE_CURVE('',#160190,#160188,#83128,.T.); #197127=EDGE_CURVE('',#160191,#160189,#83129,.T.); #197128=EDGE_CURVE('',#160190,#160191,#83130,.T.); #197129=EDGE_CURVE('',#160192,#160190,#83131,.T.); #197130=EDGE_CURVE('',#160193,#160191,#83132,.T.); #197131=EDGE_CURVE('',#160192,#160193,#83133,.T.); #197132=EDGE_CURVE('',#160194,#160192,#83134,.T.); #197133=EDGE_CURVE('',#160195,#160193,#83135,.T.); #197134=EDGE_CURVE('',#160194,#160195,#83136,.T.); #197135=EDGE_CURVE('',#160196,#160194,#83137,.T.); #197136=EDGE_CURVE('',#160197,#160195,#83138,.T.); #197137=EDGE_CURVE('',#160196,#160197,#83139,.T.); #197138=EDGE_CURVE('',#160198,#160196,#83140,.T.); #197139=EDGE_CURVE('',#160199,#160197,#83141,.T.); #197140=EDGE_CURVE('',#160198,#160199,#83142,.T.); #197141=EDGE_CURVE('',#160200,#160198,#83143,.T.); #197142=EDGE_CURVE('',#160201,#160199,#83144,.T.); #197143=EDGE_CURVE('',#160200,#160201,#83145,.T.); #197144=EDGE_CURVE('',#160202,#160200,#83146,.T.); #197145=EDGE_CURVE('',#160203,#160201,#83147,.T.); #197146=EDGE_CURVE('',#160202,#160203,#83148,.T.); #197147=EDGE_CURVE('',#160204,#160202,#83149,.T.); #197148=EDGE_CURVE('',#160205,#160203,#83150,.T.); #197149=EDGE_CURVE('',#160204,#160205,#83151,.T.); #197150=EDGE_CURVE('',#160206,#160204,#83152,.T.); #197151=EDGE_CURVE('',#160207,#160205,#83153,.T.); #197152=EDGE_CURVE('',#160206,#160207,#83154,.T.); #197153=EDGE_CURVE('',#160208,#160206,#83155,.T.); #197154=EDGE_CURVE('',#160209,#160207,#83156,.T.); #197155=EDGE_CURVE('',#160208,#160209,#83157,.T.); #197156=EDGE_CURVE('',#160210,#160208,#83158,.T.); #197157=EDGE_CURVE('',#160211,#160209,#83159,.T.); #197158=EDGE_CURVE('',#160210,#160211,#83160,.T.); #197159=EDGE_CURVE('',#160212,#160210,#83161,.T.); #197160=EDGE_CURVE('',#160213,#160211,#83162,.T.); #197161=EDGE_CURVE('',#160212,#160213,#83163,.T.); #197162=EDGE_CURVE('',#160214,#160212,#83164,.T.); #197163=EDGE_CURVE('',#160215,#160213,#83165,.T.); #197164=EDGE_CURVE('',#160214,#160215,#83166,.T.); #197165=EDGE_CURVE('',#160216,#160214,#83167,.T.); #197166=EDGE_CURVE('',#160217,#160215,#83168,.T.); #197167=EDGE_CURVE('',#160216,#160217,#83169,.T.); #197168=EDGE_CURVE('',#160218,#160216,#83170,.T.); #197169=EDGE_CURVE('',#160219,#160217,#83171,.T.); #197170=EDGE_CURVE('',#160218,#160219,#83172,.T.); #197171=EDGE_CURVE('',#160220,#160218,#83173,.T.); #197172=EDGE_CURVE('',#160221,#160219,#83174,.T.); #197173=EDGE_CURVE('',#160220,#160221,#83175,.T.); #197174=EDGE_CURVE('',#160222,#160220,#83176,.T.); #197175=EDGE_CURVE('',#160223,#160221,#83177,.T.); #197176=EDGE_CURVE('',#160222,#160223,#83178,.T.); #197177=EDGE_CURVE('',#160224,#160222,#83179,.T.); #197178=EDGE_CURVE('',#160225,#160223,#83180,.T.); #197179=EDGE_CURVE('',#160224,#160225,#83181,.T.); #197180=EDGE_CURVE('',#160226,#160224,#83182,.T.); #197181=EDGE_CURVE('',#160227,#160225,#83183,.T.); #197182=EDGE_CURVE('',#160226,#160227,#83184,.T.); #197183=EDGE_CURVE('',#160228,#160226,#83185,.T.); #197184=EDGE_CURVE('',#160229,#160227,#83186,.T.); #197185=EDGE_CURVE('',#160228,#160229,#83187,.T.); #197186=EDGE_CURVE('',#160230,#160228,#83188,.T.); #197187=EDGE_CURVE('',#160231,#160229,#83189,.T.); #197188=EDGE_CURVE('',#160230,#160231,#83190,.T.); #197189=EDGE_CURVE('',#160232,#160230,#83191,.T.); #197190=EDGE_CURVE('',#160233,#160231,#83192,.T.); #197191=EDGE_CURVE('',#160232,#160233,#83193,.T.); #197192=EDGE_CURVE('',#160234,#160232,#83194,.T.); #197193=EDGE_CURVE('',#160235,#160233,#83195,.T.); #197194=EDGE_CURVE('',#160234,#160235,#83196,.T.); #197195=EDGE_CURVE('',#160236,#160234,#83197,.T.); #197196=EDGE_CURVE('',#160237,#160235,#83198,.T.); #197197=EDGE_CURVE('',#160236,#160237,#83199,.T.); #197198=EDGE_CURVE('',#160238,#160236,#83200,.T.); #197199=EDGE_CURVE('',#160239,#160237,#83201,.T.); #197200=EDGE_CURVE('',#160238,#160239,#83202,.T.); #197201=EDGE_CURVE('',#160240,#160238,#83203,.T.); #197202=EDGE_CURVE('',#160241,#160239,#83204,.T.); #197203=EDGE_CURVE('',#160240,#160241,#83205,.T.); #197204=EDGE_CURVE('',#160242,#160240,#83206,.T.); #197205=EDGE_CURVE('',#160243,#160241,#83207,.T.); #197206=EDGE_CURVE('',#160242,#160243,#83208,.T.); #197207=EDGE_CURVE('',#160244,#160242,#83209,.T.); #197208=EDGE_CURVE('',#160245,#160243,#83210,.T.); #197209=EDGE_CURVE('',#160244,#160245,#83211,.T.); #197210=EDGE_CURVE('',#160246,#160244,#83212,.T.); #197211=EDGE_CURVE('',#160247,#160245,#83213,.T.); #197212=EDGE_CURVE('',#160246,#160247,#83214,.T.); #197213=EDGE_CURVE('',#160248,#160246,#83215,.T.); #197214=EDGE_CURVE('',#160249,#160247,#83216,.T.); #197215=EDGE_CURVE('',#160248,#160249,#83217,.T.); #197216=EDGE_CURVE('',#160250,#160248,#83218,.T.); #197217=EDGE_CURVE('',#160251,#160249,#83219,.T.); #197218=EDGE_CURVE('',#160250,#160251,#83220,.T.); #197219=EDGE_CURVE('',#160252,#160250,#83221,.T.); #197220=EDGE_CURVE('',#160253,#160251,#83222,.T.); #197221=EDGE_CURVE('',#160252,#160253,#83223,.T.); #197222=EDGE_CURVE('',#160254,#160252,#83224,.T.); #197223=EDGE_CURVE('',#160255,#160253,#83225,.T.); #197224=EDGE_CURVE('',#160254,#160255,#83226,.T.); #197225=EDGE_CURVE('',#160256,#160254,#83227,.T.); #197226=EDGE_CURVE('',#160257,#160255,#83228,.T.); #197227=EDGE_CURVE('',#160256,#160257,#83229,.T.); #197228=EDGE_CURVE('',#160258,#160256,#83230,.T.); #197229=EDGE_CURVE('',#160259,#160257,#83231,.T.); #197230=EDGE_CURVE('',#160258,#160259,#83232,.T.); #197231=EDGE_CURVE('',#160260,#160258,#83233,.T.); #197232=EDGE_CURVE('',#160261,#160259,#83234,.T.); #197233=EDGE_CURVE('',#160260,#160261,#83235,.T.); #197234=EDGE_CURVE('',#160262,#160260,#83236,.T.); #197235=EDGE_CURVE('',#160263,#160261,#83237,.T.); #197236=EDGE_CURVE('',#160262,#160263,#83238,.T.); #197237=EDGE_CURVE('',#160264,#160262,#83239,.T.); #197238=EDGE_CURVE('',#160265,#160263,#83240,.T.); #197239=EDGE_CURVE('',#160264,#160265,#83241,.T.); #197240=EDGE_CURVE('',#160266,#160264,#83242,.T.); #197241=EDGE_CURVE('',#160267,#160265,#83243,.T.); #197242=EDGE_CURVE('',#160266,#160267,#83244,.T.); #197243=EDGE_CURVE('',#160268,#160266,#83245,.T.); #197244=EDGE_CURVE('',#160269,#160267,#83246,.T.); #197245=EDGE_CURVE('',#160268,#160269,#83247,.T.); #197246=EDGE_CURVE('',#160270,#160268,#83248,.T.); #197247=EDGE_CURVE('',#160271,#160269,#83249,.T.); #197248=EDGE_CURVE('',#160270,#160271,#83250,.T.); #197249=EDGE_CURVE('',#160272,#160270,#83251,.T.); #197250=EDGE_CURVE('',#160273,#160271,#83252,.T.); #197251=EDGE_CURVE('',#160272,#160273,#83253,.T.); #197252=EDGE_CURVE('',#160274,#160272,#83254,.T.); #197253=EDGE_CURVE('',#160275,#160273,#83255,.T.); #197254=EDGE_CURVE('',#160274,#160275,#83256,.T.); #197255=EDGE_CURVE('',#160276,#160274,#83257,.T.); #197256=EDGE_CURVE('',#160277,#160275,#83258,.T.); #197257=EDGE_CURVE('',#160276,#160277,#83259,.T.); #197258=EDGE_CURVE('',#160278,#160276,#83260,.T.); #197259=EDGE_CURVE('',#160279,#160277,#83261,.T.); #197260=EDGE_CURVE('',#160278,#160279,#83262,.T.); #197261=EDGE_CURVE('',#160280,#160278,#83263,.T.); #197262=EDGE_CURVE('',#160281,#160279,#83264,.T.); #197263=EDGE_CURVE('',#160280,#160281,#83265,.T.); #197264=EDGE_CURVE('',#160282,#160280,#83266,.T.); #197265=EDGE_CURVE('',#160283,#160281,#83267,.T.); #197266=EDGE_CURVE('',#160282,#160283,#83268,.T.); #197267=EDGE_CURVE('',#160284,#160282,#83269,.T.); #197268=EDGE_CURVE('',#160285,#160283,#83270,.T.); #197269=EDGE_CURVE('',#160284,#160285,#83271,.T.); #197270=EDGE_CURVE('',#160286,#160284,#83272,.T.); #197271=EDGE_CURVE('',#160287,#160285,#83273,.T.); #197272=EDGE_CURVE('',#160286,#160287,#83274,.T.); #197273=EDGE_CURVE('',#160288,#160286,#83275,.T.); #197274=EDGE_CURVE('',#160289,#160287,#83276,.T.); #197275=EDGE_CURVE('',#160288,#160289,#83277,.T.); #197276=EDGE_CURVE('',#160290,#160288,#83278,.T.); #197277=EDGE_CURVE('',#160291,#160289,#83279,.T.); #197278=EDGE_CURVE('',#160290,#160291,#83280,.T.); #197279=EDGE_CURVE('',#160292,#160290,#83281,.T.); #197280=EDGE_CURVE('',#160293,#160291,#83282,.T.); #197281=EDGE_CURVE('',#160292,#160293,#83283,.T.); #197282=EDGE_CURVE('',#160294,#160292,#83284,.T.); #197283=EDGE_CURVE('',#160295,#160293,#83285,.T.); #197284=EDGE_CURVE('',#160294,#160295,#83286,.T.); #197285=EDGE_CURVE('',#160296,#160294,#83287,.T.); #197286=EDGE_CURVE('',#160297,#160295,#83288,.T.); #197287=EDGE_CURVE('',#160296,#160297,#83289,.T.); #197288=EDGE_CURVE('',#160298,#160296,#83290,.T.); #197289=EDGE_CURVE('',#160299,#160297,#83291,.T.); #197290=EDGE_CURVE('',#160298,#160299,#83292,.T.); #197291=EDGE_CURVE('',#160300,#160298,#83293,.T.); #197292=EDGE_CURVE('',#160301,#160299,#83294,.T.); #197293=EDGE_CURVE('',#160300,#160301,#83295,.T.); #197294=EDGE_CURVE('',#160302,#160300,#83296,.T.); #197295=EDGE_CURVE('',#160303,#160301,#83297,.T.); #197296=EDGE_CURVE('',#160302,#160303,#83298,.T.); #197297=EDGE_CURVE('',#160304,#160302,#83299,.T.); #197298=EDGE_CURVE('',#160305,#160303,#83300,.T.); #197299=EDGE_CURVE('',#160304,#160305,#83301,.T.); #197300=EDGE_CURVE('',#160306,#160304,#83302,.T.); #197301=EDGE_CURVE('',#160307,#160305,#83303,.T.); #197302=EDGE_CURVE('',#160306,#160307,#83304,.T.); #197303=EDGE_CURVE('',#160308,#160306,#83305,.T.); #197304=EDGE_CURVE('',#160309,#160307,#83306,.T.); #197305=EDGE_CURVE('',#160308,#160309,#83307,.T.); #197306=EDGE_CURVE('',#160310,#160308,#83308,.T.); #197307=EDGE_CURVE('',#160311,#160309,#83309,.T.); #197308=EDGE_CURVE('',#160310,#160311,#83310,.T.); #197309=EDGE_CURVE('',#160312,#160310,#83311,.T.); #197310=EDGE_CURVE('',#160313,#160311,#83312,.T.); #197311=EDGE_CURVE('',#160312,#160313,#83313,.T.); #197312=EDGE_CURVE('',#160314,#160312,#83314,.T.); #197313=EDGE_CURVE('',#160315,#160313,#83315,.T.); #197314=EDGE_CURVE('',#160314,#160315,#83316,.T.); #197315=EDGE_CURVE('',#160316,#160314,#83317,.T.); #197316=EDGE_CURVE('',#160317,#160315,#83318,.T.); #197317=EDGE_CURVE('',#160316,#160317,#83319,.T.); #197318=EDGE_CURVE('',#160318,#160316,#83320,.T.); #197319=EDGE_CURVE('',#160319,#160317,#83321,.T.); #197320=EDGE_CURVE('',#160318,#160319,#83322,.T.); #197321=EDGE_CURVE('',#160147,#160318,#83323,.T.); #197322=EDGE_CURVE('',#160148,#160319,#83324,.T.); #197323=EDGE_CURVE('',#160320,#160321,#83325,.T.); #197324=EDGE_CURVE('',#160321,#160322,#83326,.T.); #197325=EDGE_CURVE('',#160323,#160322,#83327,.T.); #197326=EDGE_CURVE('',#160320,#160323,#83328,.T.); #197327=EDGE_CURVE('',#160324,#160320,#83329,.T.); #197328=EDGE_CURVE('',#160325,#160323,#83330,.T.); #197329=EDGE_CURVE('',#160324,#160325,#83331,.T.); #197330=EDGE_CURVE('',#160326,#160324,#83332,.T.); #197331=EDGE_CURVE('',#160327,#160325,#83333,.T.); #197332=EDGE_CURVE('',#160326,#160327,#83334,.T.); #197333=EDGE_CURVE('',#160328,#160326,#83335,.T.); #197334=EDGE_CURVE('',#160329,#160327,#83336,.T.); #197335=EDGE_CURVE('',#160328,#160329,#83337,.T.); #197336=EDGE_CURVE('',#160330,#160328,#83338,.T.); #197337=EDGE_CURVE('',#160331,#160329,#83339,.T.); #197338=EDGE_CURVE('',#160330,#160331,#83340,.T.); #197339=EDGE_CURVE('',#160332,#160330,#83341,.T.); #197340=EDGE_CURVE('',#160333,#160331,#83342,.T.); #197341=EDGE_CURVE('',#160332,#160333,#83343,.T.); #197342=EDGE_CURVE('',#160334,#160332,#83344,.T.); #197343=EDGE_CURVE('',#160335,#160333,#83345,.T.); #197344=EDGE_CURVE('',#160334,#160335,#83346,.T.); #197345=EDGE_CURVE('',#160336,#160334,#83347,.T.); #197346=EDGE_CURVE('',#160337,#160335,#83348,.T.); #197347=EDGE_CURVE('',#160336,#160337,#83349,.T.); #197348=EDGE_CURVE('',#160338,#160336,#83350,.T.); #197349=EDGE_CURVE('',#160339,#160337,#83351,.T.); #197350=EDGE_CURVE('',#160338,#160339,#83352,.T.); #197351=EDGE_CURVE('',#160340,#160338,#83353,.T.); #197352=EDGE_CURVE('',#160341,#160339,#83354,.T.); #197353=EDGE_CURVE('',#160340,#160341,#83355,.T.); #197354=EDGE_CURVE('',#160342,#160340,#83356,.T.); #197355=EDGE_CURVE('',#160343,#160341,#83357,.T.); #197356=EDGE_CURVE('',#160342,#160343,#83358,.T.); #197357=EDGE_CURVE('',#160344,#160342,#83359,.T.); #197358=EDGE_CURVE('',#160345,#160343,#83360,.T.); #197359=EDGE_CURVE('',#160344,#160345,#83361,.T.); #197360=EDGE_CURVE('',#160346,#160344,#83362,.T.); #197361=EDGE_CURVE('',#160347,#160345,#83363,.T.); #197362=EDGE_CURVE('',#160346,#160347,#83364,.T.); #197363=EDGE_CURVE('',#160348,#160346,#83365,.T.); #197364=EDGE_CURVE('',#160349,#160347,#83366,.T.); #197365=EDGE_CURVE('',#160348,#160349,#83367,.T.); #197366=EDGE_CURVE('',#160350,#160348,#83368,.T.); #197367=EDGE_CURVE('',#160351,#160349,#83369,.T.); #197368=EDGE_CURVE('',#160350,#160351,#83370,.T.); #197369=EDGE_CURVE('',#160352,#160350,#83371,.T.); #197370=EDGE_CURVE('',#160353,#160351,#83372,.T.); #197371=EDGE_CURVE('',#160352,#160353,#83373,.T.); #197372=EDGE_CURVE('',#160354,#160352,#83374,.T.); #197373=EDGE_CURVE('',#160355,#160353,#83375,.T.); #197374=EDGE_CURVE('',#160354,#160355,#83376,.T.); #197375=EDGE_CURVE('',#160356,#160354,#83377,.T.); #197376=EDGE_CURVE('',#160357,#160355,#83378,.T.); #197377=EDGE_CURVE('',#160356,#160357,#83379,.T.); #197378=EDGE_CURVE('',#160358,#160356,#83380,.T.); #197379=EDGE_CURVE('',#160359,#160357,#83381,.T.); #197380=EDGE_CURVE('',#160358,#160359,#83382,.T.); #197381=EDGE_CURVE('',#160360,#160358,#83383,.T.); #197382=EDGE_CURVE('',#160361,#160359,#83384,.T.); #197383=EDGE_CURVE('',#160360,#160361,#83385,.T.); #197384=EDGE_CURVE('',#160362,#160360,#83386,.T.); #197385=EDGE_CURVE('',#160363,#160361,#83387,.T.); #197386=EDGE_CURVE('',#160362,#160363,#83388,.T.); #197387=EDGE_CURVE('',#160364,#160362,#83389,.T.); #197388=EDGE_CURVE('',#160365,#160363,#83390,.T.); #197389=EDGE_CURVE('',#160364,#160365,#83391,.T.); #197390=EDGE_CURVE('',#160366,#160364,#83392,.T.); #197391=EDGE_CURVE('',#160367,#160365,#83393,.T.); #197392=EDGE_CURVE('',#160366,#160367,#83394,.T.); #197393=EDGE_CURVE('',#160368,#160366,#83395,.T.); #197394=EDGE_CURVE('',#160369,#160367,#83396,.T.); #197395=EDGE_CURVE('',#160368,#160369,#83397,.T.); #197396=EDGE_CURVE('',#160370,#160368,#83398,.T.); #197397=EDGE_CURVE('',#160371,#160369,#83399,.T.); #197398=EDGE_CURVE('',#160370,#160371,#83400,.T.); #197399=EDGE_CURVE('',#160372,#160370,#83401,.T.); #197400=EDGE_CURVE('',#160373,#160371,#83402,.T.); #197401=EDGE_CURVE('',#160372,#160373,#83403,.T.); #197402=EDGE_CURVE('',#160374,#160372,#83404,.T.); #197403=EDGE_CURVE('',#160375,#160373,#83405,.T.); #197404=EDGE_CURVE('',#160374,#160375,#83406,.T.); #197405=EDGE_CURVE('',#160376,#160374,#83407,.T.); #197406=EDGE_CURVE('',#160377,#160375,#83408,.T.); #197407=EDGE_CURVE('',#160376,#160377,#83409,.T.); #197408=EDGE_CURVE('',#160321,#160376,#83410,.T.); #197409=EDGE_CURVE('',#160322,#160377,#83411,.T.); #197410=EDGE_CURVE('',#160378,#160379,#83412,.T.); #197411=EDGE_CURVE('',#160379,#160380,#83413,.T.); #197412=EDGE_CURVE('',#160381,#160380,#83414,.T.); #197413=EDGE_CURVE('',#160378,#160381,#83415,.T.); #197414=EDGE_CURVE('',#160382,#160378,#83416,.T.); #197415=EDGE_CURVE('',#160383,#160381,#83417,.T.); #197416=EDGE_CURVE('',#160382,#160383,#83418,.T.); #197417=EDGE_CURVE('',#160384,#160382,#83419,.T.); #197418=EDGE_CURVE('',#160385,#160383,#83420,.T.); #197419=EDGE_CURVE('',#160384,#160385,#83421,.T.); #197420=EDGE_CURVE('',#160386,#160384,#83422,.T.); #197421=EDGE_CURVE('',#160387,#160385,#83423,.T.); #197422=EDGE_CURVE('',#160386,#160387,#83424,.T.); #197423=EDGE_CURVE('',#160388,#160386,#83425,.T.); #197424=EDGE_CURVE('',#160389,#160387,#83426,.T.); #197425=EDGE_CURVE('',#160388,#160389,#83427,.T.); #197426=EDGE_CURVE('',#160390,#160388,#83428,.T.); #197427=EDGE_CURVE('',#160391,#160389,#83429,.T.); #197428=EDGE_CURVE('',#160390,#160391,#83430,.T.); #197429=EDGE_CURVE('',#160392,#160390,#83431,.T.); #197430=EDGE_CURVE('',#160393,#160391,#83432,.T.); #197431=EDGE_CURVE('',#160392,#160393,#83433,.T.); #197432=EDGE_CURVE('',#160394,#160392,#83434,.T.); #197433=EDGE_CURVE('',#160395,#160393,#83435,.T.); #197434=EDGE_CURVE('',#160394,#160395,#83436,.T.); #197435=EDGE_CURVE('',#160396,#160394,#83437,.T.); #197436=EDGE_CURVE('',#160397,#160395,#83438,.T.); #197437=EDGE_CURVE('',#160396,#160397,#83439,.T.); #197438=EDGE_CURVE('',#160398,#160396,#83440,.T.); #197439=EDGE_CURVE('',#160399,#160397,#83441,.T.); #197440=EDGE_CURVE('',#160398,#160399,#83442,.T.); #197441=EDGE_CURVE('',#160400,#160398,#83443,.T.); #197442=EDGE_CURVE('',#160401,#160399,#83444,.T.); #197443=EDGE_CURVE('',#160400,#160401,#83445,.T.); #197444=EDGE_CURVE('',#160402,#160400,#83446,.T.); #197445=EDGE_CURVE('',#160403,#160401,#83447,.T.); #197446=EDGE_CURVE('',#160402,#160403,#83448,.T.); #197447=EDGE_CURVE('',#160404,#160402,#83449,.T.); #197448=EDGE_CURVE('',#160405,#160403,#83450,.T.); #197449=EDGE_CURVE('',#160404,#160405,#83451,.T.); #197450=EDGE_CURVE('',#160406,#160404,#83452,.T.); #197451=EDGE_CURVE('',#160407,#160405,#83453,.T.); #197452=EDGE_CURVE('',#160406,#160407,#83454,.T.); #197453=EDGE_CURVE('',#160408,#160406,#83455,.T.); #197454=EDGE_CURVE('',#160409,#160407,#83456,.T.); #197455=EDGE_CURVE('',#160408,#160409,#83457,.T.); #197456=EDGE_CURVE('',#160410,#160408,#83458,.T.); #197457=EDGE_CURVE('',#160411,#160409,#83459,.T.); #197458=EDGE_CURVE('',#160410,#160411,#83460,.T.); #197459=EDGE_CURVE('',#160412,#160410,#83461,.T.); #197460=EDGE_CURVE('',#160413,#160411,#83462,.T.); #197461=EDGE_CURVE('',#160412,#160413,#83463,.T.); #197462=EDGE_CURVE('',#160414,#160412,#83464,.T.); #197463=EDGE_CURVE('',#160415,#160413,#83465,.T.); #197464=EDGE_CURVE('',#160414,#160415,#83466,.T.); #197465=EDGE_CURVE('',#160379,#160414,#83467,.T.); #197466=EDGE_CURVE('',#160380,#160415,#83468,.T.); #197467=EDGE_CURVE('',#160416,#160416,#67375,.T.); #197468=EDGE_CURVE('',#160416,#160417,#83469,.T.); #197469=EDGE_CURVE('',#160417,#160417,#67376,.T.); #197470=EDGE_CURVE('',#160418,#160418,#67377,.T.); #197471=EDGE_CURVE('',#160418,#160419,#83470,.T.); #197472=EDGE_CURVE('',#160419,#160419,#67378,.T.); #197473=EDGE_CURVE('',#160420,#160420,#67379,.T.); #197474=EDGE_CURVE('',#160420,#160421,#83471,.T.); #197475=EDGE_CURVE('',#160421,#160421,#67380,.T.); #197476=EDGE_CURVE('',#160422,#160422,#67381,.T.); #197477=EDGE_CURVE('',#160422,#160423,#83472,.T.); #197478=EDGE_CURVE('',#160423,#160423,#67382,.T.); #197479=EDGE_CURVE('',#160424,#160424,#67383,.T.); #197480=EDGE_CURVE('',#160424,#160425,#83473,.T.); #197481=EDGE_CURVE('',#160425,#160425,#67384,.T.); #197482=EDGE_CURVE('',#160426,#160426,#67385,.T.); #197483=EDGE_CURVE('',#160426,#160427,#83474,.T.); #197484=EDGE_CURVE('',#160427,#160427,#67386,.T.); #197485=EDGE_CURVE('',#160428,#160428,#67387,.T.); #197486=EDGE_CURVE('',#160428,#160429,#83475,.T.); #197487=EDGE_CURVE('',#160429,#160429,#67388,.T.); #197488=EDGE_CURVE('',#160430,#160430,#67389,.T.); #197489=EDGE_CURVE('',#160430,#160431,#83476,.T.); #197490=EDGE_CURVE('',#160431,#160431,#67390,.T.); #197491=EDGE_CURVE('',#160432,#160432,#67391,.T.); #197492=EDGE_CURVE('',#160432,#160433,#83477,.T.); #197493=EDGE_CURVE('',#160433,#160433,#67392,.T.); #197494=EDGE_CURVE('',#160434,#160434,#67393,.T.); #197495=EDGE_CURVE('',#160434,#160435,#83478,.T.); #197496=EDGE_CURVE('',#160435,#160435,#67394,.T.); #197497=EDGE_CURVE('',#160436,#160436,#67395,.T.); #197498=EDGE_CURVE('',#160436,#160437,#83479,.T.); #197499=EDGE_CURVE('',#160437,#160437,#67396,.T.); #197500=EDGE_CURVE('',#160438,#160438,#67397,.T.); #197501=EDGE_CURVE('',#160438,#160439,#83480,.T.); #197502=EDGE_CURVE('',#160439,#160439,#67398,.T.); #197503=EDGE_CURVE('',#160440,#160440,#67399,.T.); #197504=EDGE_CURVE('',#160440,#160441,#83481,.T.); #197505=EDGE_CURVE('',#160441,#160441,#67400,.T.); #197506=EDGE_CURVE('',#160442,#160442,#67401,.T.); #197507=EDGE_CURVE('',#160442,#160443,#83482,.T.); #197508=EDGE_CURVE('',#160443,#160443,#67402,.T.); #197509=EDGE_CURVE('',#160444,#160444,#67403,.T.); #197510=EDGE_CURVE('',#160444,#160445,#83483,.T.); #197511=EDGE_CURVE('',#160445,#160445,#67404,.T.); #197512=EDGE_CURVE('',#160446,#160446,#67405,.T.); #197513=EDGE_CURVE('',#160446,#160447,#83484,.T.); #197514=EDGE_CURVE('',#160447,#160447,#67406,.T.); #197515=EDGE_CURVE('',#160448,#160448,#67407,.T.); #197516=EDGE_CURVE('',#160448,#160449,#83485,.T.); #197517=EDGE_CURVE('',#160449,#160449,#67408,.T.); #197518=EDGE_CURVE('',#160450,#160450,#67409,.T.); #197519=EDGE_CURVE('',#160450,#160451,#83486,.T.); #197520=EDGE_CURVE('',#160451,#160451,#67410,.T.); #197521=EDGE_CURVE('',#160452,#160452,#67411,.T.); #197522=EDGE_CURVE('',#160452,#160453,#83487,.T.); #197523=EDGE_CURVE('',#160453,#160453,#67412,.T.); #197524=EDGE_CURVE('',#160454,#160454,#67413,.T.); #197525=EDGE_CURVE('',#160454,#160455,#83488,.T.); #197526=EDGE_CURVE('',#160455,#160455,#67414,.T.); #197527=EDGE_CURVE('',#160456,#160456,#67415,.T.); #197528=EDGE_CURVE('',#160456,#160457,#83489,.T.); #197529=EDGE_CURVE('',#160457,#160457,#67416,.T.); #197530=EDGE_CURVE('',#160458,#160458,#67417,.T.); #197531=EDGE_CURVE('',#160458,#160459,#83490,.T.); #197532=EDGE_CURVE('',#160459,#160459,#67418,.T.); #197533=EDGE_CURVE('',#160460,#160460,#67419,.T.); #197534=EDGE_CURVE('',#160460,#160461,#83491,.T.); #197535=EDGE_CURVE('',#160461,#160461,#67420,.T.); #197536=EDGE_CURVE('',#160462,#160462,#67421,.T.); #197537=EDGE_CURVE('',#160462,#160463,#83492,.T.); #197538=EDGE_CURVE('',#160463,#160463,#67422,.T.); #197539=EDGE_CURVE('',#160464,#160464,#67423,.T.); #197540=EDGE_CURVE('',#160464,#160465,#83493,.T.); #197541=EDGE_CURVE('',#160465,#160465,#67424,.T.); #197542=EDGE_CURVE('',#160466,#160466,#67425,.T.); #197543=EDGE_CURVE('',#160466,#160467,#83494,.T.); #197544=EDGE_CURVE('',#160467,#160467,#67426,.T.); #197545=EDGE_CURVE('',#160468,#160468,#67427,.T.); #197546=EDGE_CURVE('',#160468,#160469,#83495,.T.); #197547=EDGE_CURVE('',#160469,#160469,#67428,.T.); #197548=EDGE_CURVE('',#160470,#160470,#67429,.T.); #197549=EDGE_CURVE('',#160470,#160471,#83496,.T.); #197550=EDGE_CURVE('',#160471,#160471,#67430,.T.); #197551=EDGE_CURVE('',#160472,#160472,#67431,.T.); #197552=EDGE_CURVE('',#160472,#160473,#83497,.T.); #197553=EDGE_CURVE('',#160473,#160473,#67432,.T.); #197554=EDGE_CURVE('',#160474,#160474,#67433,.T.); #197555=EDGE_CURVE('',#160474,#160475,#83498,.T.); #197556=EDGE_CURVE('',#160475,#160475,#67434,.T.); #197557=EDGE_CURVE('',#160476,#160476,#67435,.T.); #197558=EDGE_CURVE('',#160476,#160477,#83499,.T.); #197559=EDGE_CURVE('',#160477,#160477,#67436,.T.); #197560=EDGE_CURVE('',#160478,#160478,#67437,.T.); #197561=EDGE_CURVE('',#160478,#160479,#83500,.T.); #197562=EDGE_CURVE('',#160479,#160479,#67438,.T.); #197563=EDGE_CURVE('',#160480,#160480,#67439,.T.); #197564=EDGE_CURVE('',#160480,#160481,#83501,.T.); #197565=EDGE_CURVE('',#160481,#160481,#67440,.T.); #197566=EDGE_CURVE('',#160482,#160482,#67441,.T.); #197567=EDGE_CURVE('',#160482,#160483,#83502,.T.); #197568=EDGE_CURVE('',#160483,#160483,#67442,.T.); #197569=EDGE_CURVE('',#160484,#160484,#67443,.T.); #197570=EDGE_CURVE('',#160484,#160485,#83503,.T.); #197571=EDGE_CURVE('',#160485,#160485,#67444,.T.); #197572=EDGE_CURVE('',#160486,#160486,#67445,.T.); #197573=EDGE_CURVE('',#160486,#160487,#83504,.T.); #197574=EDGE_CURVE('',#160487,#160487,#67446,.T.); #197575=EDGE_CURVE('',#160488,#160488,#67447,.T.); #197576=EDGE_CURVE('',#160488,#160489,#83505,.T.); #197577=EDGE_CURVE('',#160489,#160489,#67448,.T.); #197578=EDGE_CURVE('',#160490,#160490,#67449,.T.); #197579=EDGE_CURVE('',#160490,#160491,#83506,.T.); #197580=EDGE_CURVE('',#160491,#160491,#67450,.T.); #197581=EDGE_CURVE('',#160492,#160492,#67451,.T.); #197582=EDGE_CURVE('',#160492,#160493,#83507,.T.); #197583=EDGE_CURVE('',#160493,#160493,#67452,.T.); #197584=EDGE_CURVE('',#160494,#160494,#67453,.T.); #197585=EDGE_CURVE('',#160494,#160495,#83508,.T.); #197586=EDGE_CURVE('',#160495,#160495,#67454,.T.); #197587=EDGE_CURVE('',#160496,#160496,#67455,.T.); #197588=EDGE_CURVE('',#160496,#160497,#83509,.T.); #197589=EDGE_CURVE('',#160497,#160497,#67456,.T.); #197590=EDGE_CURVE('',#160498,#160498,#67457,.T.); #197591=EDGE_CURVE('',#160498,#160499,#83510,.T.); #197592=EDGE_CURVE('',#160499,#160499,#67458,.T.); #197593=EDGE_CURVE('',#160500,#160500,#67459,.T.); #197594=EDGE_CURVE('',#160500,#160501,#83511,.T.); #197595=EDGE_CURVE('',#160501,#160501,#67460,.T.); #197596=EDGE_CURVE('',#160502,#160502,#67461,.T.); #197597=EDGE_CURVE('',#160502,#160503,#83512,.T.); #197598=EDGE_CURVE('',#160503,#160503,#67462,.T.); #197599=EDGE_CURVE('',#160504,#160504,#67463,.T.); #197600=EDGE_CURVE('',#160504,#160505,#83513,.T.); #197601=EDGE_CURVE('',#160505,#160505,#67464,.T.); #197602=EDGE_CURVE('',#160506,#160506,#67465,.T.); #197603=EDGE_CURVE('',#160506,#160507,#83514,.T.); #197604=EDGE_CURVE('',#160507,#160507,#67466,.T.); #197605=EDGE_CURVE('',#160508,#160508,#67467,.T.); #197606=EDGE_CURVE('',#160508,#160509,#83515,.T.); #197607=EDGE_CURVE('',#160509,#160509,#67468,.T.); #197608=EDGE_CURVE('',#160510,#160510,#67469,.T.); #197609=EDGE_CURVE('',#160510,#160511,#83516,.T.); #197610=EDGE_CURVE('',#160511,#160511,#67470,.T.); #197611=EDGE_CURVE('',#160512,#160512,#67471,.T.); #197612=EDGE_CURVE('',#160512,#160513,#83517,.T.); #197613=EDGE_CURVE('',#160513,#160513,#67472,.T.); #197614=EDGE_CURVE('',#160514,#160515,#83518,.T.); #197615=EDGE_CURVE('',#160515,#160516,#83519,.T.); #197616=EDGE_CURVE('',#160517,#160516,#83520,.T.); #197617=EDGE_CURVE('',#160514,#160517,#83521,.T.); #197618=EDGE_CURVE('',#160518,#160514,#83522,.T.); #197619=EDGE_CURVE('',#160519,#160517,#83523,.T.); #197620=EDGE_CURVE('',#160518,#160519,#83524,.T.); #197621=EDGE_CURVE('',#160520,#160518,#83525,.T.); #197622=EDGE_CURVE('',#160521,#160519,#83526,.T.); #197623=EDGE_CURVE('',#160520,#160521,#83527,.T.); #197624=EDGE_CURVE('',#160522,#160520,#83528,.T.); #197625=EDGE_CURVE('',#160523,#160521,#83529,.T.); #197626=EDGE_CURVE('',#160522,#160523,#83530,.T.); #197627=EDGE_CURVE('',#160524,#160522,#83531,.T.); #197628=EDGE_CURVE('',#160525,#160523,#83532,.T.); #197629=EDGE_CURVE('',#160524,#160525,#83533,.T.); #197630=EDGE_CURVE('',#160526,#160524,#83534,.T.); #197631=EDGE_CURVE('',#160527,#160525,#83535,.T.); #197632=EDGE_CURVE('',#160526,#160527,#83536,.T.); #197633=EDGE_CURVE('',#160528,#160526,#83537,.T.); #197634=EDGE_CURVE('',#160529,#160527,#83538,.T.); #197635=EDGE_CURVE('',#160528,#160529,#83539,.T.); #197636=EDGE_CURVE('',#160530,#160528,#83540,.T.); #197637=EDGE_CURVE('',#160531,#160529,#83541,.T.); #197638=EDGE_CURVE('',#160530,#160531,#83542,.T.); #197639=EDGE_CURVE('',#160532,#160530,#83543,.T.); #197640=EDGE_CURVE('',#160533,#160531,#83544,.T.); #197641=EDGE_CURVE('',#160532,#160533,#83545,.T.); #197642=EDGE_CURVE('',#160534,#160532,#83546,.T.); #197643=EDGE_CURVE('',#160535,#160533,#83547,.T.); #197644=EDGE_CURVE('',#160534,#160535,#83548,.T.); #197645=EDGE_CURVE('',#160536,#160534,#83549,.T.); #197646=EDGE_CURVE('',#160537,#160535,#83550,.T.); #197647=EDGE_CURVE('',#160536,#160537,#83551,.T.); #197648=EDGE_CURVE('',#160538,#160536,#83552,.T.); #197649=EDGE_CURVE('',#160539,#160537,#83553,.T.); #197650=EDGE_CURVE('',#160538,#160539,#83554,.T.); #197651=EDGE_CURVE('',#160540,#160538,#83555,.T.); #197652=EDGE_CURVE('',#160541,#160539,#83556,.T.); #197653=EDGE_CURVE('',#160540,#160541,#83557,.T.); #197654=EDGE_CURVE('',#160542,#160540,#83558,.T.); #197655=EDGE_CURVE('',#160543,#160541,#83559,.T.); #197656=EDGE_CURVE('',#160542,#160543,#83560,.T.); #197657=EDGE_CURVE('',#160544,#160542,#83561,.T.); #197658=EDGE_CURVE('',#160545,#160543,#83562,.T.); #197659=EDGE_CURVE('',#160544,#160545,#83563,.T.); #197660=EDGE_CURVE('',#160546,#160544,#83564,.T.); #197661=EDGE_CURVE('',#160547,#160545,#83565,.T.); #197662=EDGE_CURVE('',#160546,#160547,#83566,.T.); #197663=EDGE_CURVE('',#160548,#160546,#83567,.T.); #197664=EDGE_CURVE('',#160549,#160547,#83568,.T.); #197665=EDGE_CURVE('',#160548,#160549,#83569,.T.); #197666=EDGE_CURVE('',#160550,#160548,#83570,.T.); #197667=EDGE_CURVE('',#160551,#160549,#83571,.T.); #197668=EDGE_CURVE('',#160550,#160551,#83572,.T.); #197669=EDGE_CURVE('',#160552,#160550,#83573,.T.); #197670=EDGE_CURVE('',#160553,#160551,#83574,.T.); #197671=EDGE_CURVE('',#160552,#160553,#83575,.T.); #197672=EDGE_CURVE('',#160554,#160552,#83576,.T.); #197673=EDGE_CURVE('',#160555,#160553,#83577,.T.); #197674=EDGE_CURVE('',#160554,#160555,#83578,.T.); #197675=EDGE_CURVE('',#160556,#160554,#83579,.T.); #197676=EDGE_CURVE('',#160557,#160555,#83580,.T.); #197677=EDGE_CURVE('',#160556,#160557,#83581,.T.); #197678=EDGE_CURVE('',#160558,#160556,#83582,.T.); #197679=EDGE_CURVE('',#160559,#160557,#83583,.T.); #197680=EDGE_CURVE('',#160558,#160559,#83584,.T.); #197681=EDGE_CURVE('',#160560,#160558,#83585,.T.); #197682=EDGE_CURVE('',#160561,#160559,#83586,.T.); #197683=EDGE_CURVE('',#160560,#160561,#83587,.T.); #197684=EDGE_CURVE('',#160562,#160560,#83588,.T.); #197685=EDGE_CURVE('',#160563,#160561,#83589,.T.); #197686=EDGE_CURVE('',#160562,#160563,#83590,.T.); #197687=EDGE_CURVE('',#160564,#160562,#83591,.T.); #197688=EDGE_CURVE('',#160565,#160563,#83592,.T.); #197689=EDGE_CURVE('',#160564,#160565,#83593,.T.); #197690=EDGE_CURVE('',#160566,#160564,#83594,.T.); #197691=EDGE_CURVE('',#160567,#160565,#83595,.T.); #197692=EDGE_CURVE('',#160566,#160567,#83596,.T.); #197693=EDGE_CURVE('',#160568,#160566,#83597,.T.); #197694=EDGE_CURVE('',#160569,#160567,#83598,.T.); #197695=EDGE_CURVE('',#160568,#160569,#83599,.T.); #197696=EDGE_CURVE('',#160570,#160568,#83600,.T.); #197697=EDGE_CURVE('',#160571,#160569,#83601,.T.); #197698=EDGE_CURVE('',#160570,#160571,#83602,.T.); #197699=EDGE_CURVE('',#160572,#160570,#83603,.T.); #197700=EDGE_CURVE('',#160573,#160571,#83604,.T.); #197701=EDGE_CURVE('',#160572,#160573,#83605,.T.); #197702=EDGE_CURVE('',#160574,#160572,#83606,.T.); #197703=EDGE_CURVE('',#160575,#160573,#83607,.T.); #197704=EDGE_CURVE('',#160574,#160575,#83608,.T.); #197705=EDGE_CURVE('',#160576,#160574,#83609,.T.); #197706=EDGE_CURVE('',#160577,#160575,#83610,.T.); #197707=EDGE_CURVE('',#160576,#160577,#83611,.T.); #197708=EDGE_CURVE('',#160578,#160576,#83612,.T.); #197709=EDGE_CURVE('',#160579,#160577,#83613,.T.); #197710=EDGE_CURVE('',#160578,#160579,#83614,.T.); #197711=EDGE_CURVE('',#160580,#160578,#83615,.T.); #197712=EDGE_CURVE('',#160581,#160579,#83616,.T.); #197713=EDGE_CURVE('',#160580,#160581,#83617,.T.); #197714=EDGE_CURVE('',#160582,#160580,#83618,.T.); #197715=EDGE_CURVE('',#160583,#160581,#83619,.T.); #197716=EDGE_CURVE('',#160582,#160583,#83620,.T.); #197717=EDGE_CURVE('',#160584,#160582,#83621,.T.); #197718=EDGE_CURVE('',#160585,#160583,#83622,.T.); #197719=EDGE_CURVE('',#160584,#160585,#83623,.T.); #197720=EDGE_CURVE('',#160586,#160584,#83624,.T.); #197721=EDGE_CURVE('',#160587,#160585,#83625,.T.); #197722=EDGE_CURVE('',#160586,#160587,#83626,.T.); #197723=EDGE_CURVE('',#160588,#160586,#83627,.T.); #197724=EDGE_CURVE('',#160589,#160587,#83628,.T.); #197725=EDGE_CURVE('',#160588,#160589,#83629,.T.); #197726=EDGE_CURVE('',#160590,#160588,#83630,.T.); #197727=EDGE_CURVE('',#160591,#160589,#83631,.T.); #197728=EDGE_CURVE('',#160590,#160591,#83632,.T.); #197729=EDGE_CURVE('',#160592,#160590,#83633,.T.); #197730=EDGE_CURVE('',#160593,#160591,#83634,.T.); #197731=EDGE_CURVE('',#160592,#160593,#83635,.T.); #197732=EDGE_CURVE('',#160594,#160592,#83636,.T.); #197733=EDGE_CURVE('',#160595,#160593,#83637,.T.); #197734=EDGE_CURVE('',#160594,#160595,#83638,.T.); #197735=EDGE_CURVE('',#160596,#160594,#83639,.T.); #197736=EDGE_CURVE('',#160597,#160595,#83640,.T.); #197737=EDGE_CURVE('',#160596,#160597,#83641,.T.); #197738=EDGE_CURVE('',#160598,#160596,#83642,.T.); #197739=EDGE_CURVE('',#160599,#160597,#83643,.T.); #197740=EDGE_CURVE('',#160598,#160599,#83644,.T.); #197741=EDGE_CURVE('',#160600,#160598,#83645,.T.); #197742=EDGE_CURVE('',#160601,#160599,#83646,.T.); #197743=EDGE_CURVE('',#160600,#160601,#83647,.T.); #197744=EDGE_CURVE('',#160602,#160600,#83648,.T.); #197745=EDGE_CURVE('',#160603,#160601,#83649,.T.); #197746=EDGE_CURVE('',#160602,#160603,#83650,.T.); #197747=EDGE_CURVE('',#160604,#160602,#83651,.T.); #197748=EDGE_CURVE('',#160605,#160603,#83652,.T.); #197749=EDGE_CURVE('',#160604,#160605,#83653,.T.); #197750=EDGE_CURVE('',#160606,#160604,#83654,.T.); #197751=EDGE_CURVE('',#160607,#160605,#83655,.T.); #197752=EDGE_CURVE('',#160606,#160607,#83656,.T.); #197753=EDGE_CURVE('',#160608,#160606,#83657,.T.); #197754=EDGE_CURVE('',#160609,#160607,#83658,.T.); #197755=EDGE_CURVE('',#160608,#160609,#83659,.T.); #197756=EDGE_CURVE('',#160610,#160608,#83660,.T.); #197757=EDGE_CURVE('',#160611,#160609,#83661,.T.); #197758=EDGE_CURVE('',#160610,#160611,#83662,.T.); #197759=EDGE_CURVE('',#160612,#160610,#83663,.T.); #197760=EDGE_CURVE('',#160613,#160611,#83664,.T.); #197761=EDGE_CURVE('',#160612,#160613,#83665,.T.); #197762=EDGE_CURVE('',#160614,#160612,#83666,.T.); #197763=EDGE_CURVE('',#160615,#160613,#83667,.T.); #197764=EDGE_CURVE('',#160614,#160615,#83668,.T.); #197765=EDGE_CURVE('',#160616,#160614,#83669,.T.); #197766=EDGE_CURVE('',#160617,#160615,#83670,.T.); #197767=EDGE_CURVE('',#160616,#160617,#83671,.T.); #197768=EDGE_CURVE('',#160618,#160616,#83672,.T.); #197769=EDGE_CURVE('',#160619,#160617,#83673,.T.); #197770=EDGE_CURVE('',#160618,#160619,#83674,.T.); #197771=EDGE_CURVE('',#160620,#160618,#83675,.T.); #197772=EDGE_CURVE('',#160621,#160619,#83676,.T.); #197773=EDGE_CURVE('',#160620,#160621,#83677,.T.); #197774=EDGE_CURVE('',#160622,#160620,#83678,.T.); #197775=EDGE_CURVE('',#160623,#160621,#83679,.T.); #197776=EDGE_CURVE('',#160622,#160623,#83680,.T.); #197777=EDGE_CURVE('',#160624,#160622,#83681,.T.); #197778=EDGE_CURVE('',#160625,#160623,#83682,.T.); #197779=EDGE_CURVE('',#160624,#160625,#83683,.T.); #197780=EDGE_CURVE('',#160626,#160624,#83684,.T.); #197781=EDGE_CURVE('',#160627,#160625,#83685,.T.); #197782=EDGE_CURVE('',#160626,#160627,#83686,.T.); #197783=EDGE_CURVE('',#160628,#160626,#83687,.T.); #197784=EDGE_CURVE('',#160629,#160627,#83688,.T.); #197785=EDGE_CURVE('',#160628,#160629,#83689,.T.); #197786=EDGE_CURVE('',#160630,#160628,#83690,.T.); #197787=EDGE_CURVE('',#160631,#160629,#83691,.T.); #197788=EDGE_CURVE('',#160630,#160631,#83692,.T.); #197789=EDGE_CURVE('',#160632,#160630,#83693,.T.); #197790=EDGE_CURVE('',#160633,#160631,#83694,.T.); #197791=EDGE_CURVE('',#160632,#160633,#83695,.T.); #197792=EDGE_CURVE('',#160634,#160632,#83696,.T.); #197793=EDGE_CURVE('',#160635,#160633,#83697,.T.); #197794=EDGE_CURVE('',#160634,#160635,#83698,.T.); #197795=EDGE_CURVE('',#160636,#160634,#83699,.T.); #197796=EDGE_CURVE('',#160637,#160635,#83700,.T.); #197797=EDGE_CURVE('',#160636,#160637,#83701,.T.); #197798=EDGE_CURVE('',#160638,#160636,#83702,.T.); #197799=EDGE_CURVE('',#160639,#160637,#83703,.T.); #197800=EDGE_CURVE('',#160638,#160639,#83704,.T.); #197801=EDGE_CURVE('',#160640,#160638,#83705,.T.); #197802=EDGE_CURVE('',#160641,#160639,#83706,.T.); #197803=EDGE_CURVE('',#160640,#160641,#83707,.T.); #197804=EDGE_CURVE('',#160642,#160640,#83708,.T.); #197805=EDGE_CURVE('',#160643,#160641,#83709,.T.); #197806=EDGE_CURVE('',#160642,#160643,#83710,.T.); #197807=EDGE_CURVE('',#160644,#160642,#83711,.T.); #197808=EDGE_CURVE('',#160645,#160643,#83712,.T.); #197809=EDGE_CURVE('',#160644,#160645,#83713,.T.); #197810=EDGE_CURVE('',#160646,#160644,#83714,.T.); #197811=EDGE_CURVE('',#160647,#160645,#83715,.T.); #197812=EDGE_CURVE('',#160646,#160647,#83716,.T.); #197813=EDGE_CURVE('',#160648,#160646,#83717,.T.); #197814=EDGE_CURVE('',#160649,#160647,#83718,.T.); #197815=EDGE_CURVE('',#160648,#160649,#83719,.T.); #197816=EDGE_CURVE('',#160650,#160648,#83720,.T.); #197817=EDGE_CURVE('',#160651,#160649,#83721,.T.); #197818=EDGE_CURVE('',#160650,#160651,#83722,.T.); #197819=EDGE_CURVE('',#160652,#160650,#83723,.T.); #197820=EDGE_CURVE('',#160653,#160651,#83724,.T.); #197821=EDGE_CURVE('',#160652,#160653,#83725,.T.); #197822=EDGE_CURVE('',#160654,#160652,#83726,.T.); #197823=EDGE_CURVE('',#160655,#160653,#83727,.T.); #197824=EDGE_CURVE('',#160654,#160655,#83728,.T.); #197825=EDGE_CURVE('',#160656,#160654,#83729,.T.); #197826=EDGE_CURVE('',#160657,#160655,#83730,.T.); #197827=EDGE_CURVE('',#160656,#160657,#83731,.T.); #197828=EDGE_CURVE('',#160658,#160656,#83732,.T.); #197829=EDGE_CURVE('',#160659,#160657,#83733,.T.); #197830=EDGE_CURVE('',#160658,#160659,#83734,.T.); #197831=EDGE_CURVE('',#160660,#160658,#83735,.T.); #197832=EDGE_CURVE('',#160661,#160659,#83736,.T.); #197833=EDGE_CURVE('',#160660,#160661,#83737,.T.); #197834=EDGE_CURVE('',#160662,#160660,#83738,.T.); #197835=EDGE_CURVE('',#160663,#160661,#83739,.T.); #197836=EDGE_CURVE('',#160662,#160663,#83740,.T.); #197837=EDGE_CURVE('',#160664,#160662,#83741,.T.); #197838=EDGE_CURVE('',#160665,#160663,#83742,.T.); #197839=EDGE_CURVE('',#160664,#160665,#83743,.T.); #197840=EDGE_CURVE('',#160666,#160664,#83744,.T.); #197841=EDGE_CURVE('',#160667,#160665,#83745,.T.); #197842=EDGE_CURVE('',#160666,#160667,#83746,.T.); #197843=EDGE_CURVE('',#160668,#160666,#83747,.T.); #197844=EDGE_CURVE('',#160669,#160667,#83748,.T.); #197845=EDGE_CURVE('',#160668,#160669,#83749,.T.); #197846=EDGE_CURVE('',#160670,#160668,#83750,.T.); #197847=EDGE_CURVE('',#160671,#160669,#83751,.T.); #197848=EDGE_CURVE('',#160670,#160671,#83752,.T.); #197849=EDGE_CURVE('',#160672,#160670,#83753,.T.); #197850=EDGE_CURVE('',#160673,#160671,#83754,.T.); #197851=EDGE_CURVE('',#160672,#160673,#83755,.T.); #197852=EDGE_CURVE('',#160674,#160672,#83756,.T.); #197853=EDGE_CURVE('',#160675,#160673,#83757,.T.); #197854=EDGE_CURVE('',#160674,#160675,#83758,.T.); #197855=EDGE_CURVE('',#160676,#160674,#83759,.T.); #197856=EDGE_CURVE('',#160677,#160675,#83760,.T.); #197857=EDGE_CURVE('',#160676,#160677,#83761,.T.); #197858=EDGE_CURVE('',#160678,#160676,#83762,.T.); #197859=EDGE_CURVE('',#160679,#160677,#83763,.T.); #197860=EDGE_CURVE('',#160678,#160679,#83764,.T.); #197861=EDGE_CURVE('',#160680,#160678,#83765,.T.); #197862=EDGE_CURVE('',#160681,#160679,#83766,.T.); #197863=EDGE_CURVE('',#160680,#160681,#83767,.T.); #197864=EDGE_CURVE('',#160682,#160680,#83768,.T.); #197865=EDGE_CURVE('',#160683,#160681,#83769,.T.); #197866=EDGE_CURVE('',#160682,#160683,#83770,.T.); #197867=EDGE_CURVE('',#160684,#160682,#83771,.T.); #197868=EDGE_CURVE('',#160685,#160683,#83772,.T.); #197869=EDGE_CURVE('',#160684,#160685,#83773,.T.); #197870=EDGE_CURVE('',#160686,#160684,#83774,.T.); #197871=EDGE_CURVE('',#160687,#160685,#83775,.T.); #197872=EDGE_CURVE('',#160686,#160687,#83776,.T.); #197873=EDGE_CURVE('',#160688,#160686,#83777,.T.); #197874=EDGE_CURVE('',#160689,#160687,#83778,.T.); #197875=EDGE_CURVE('',#160688,#160689,#83779,.T.); #197876=EDGE_CURVE('',#160690,#160688,#83780,.T.); #197877=EDGE_CURVE('',#160691,#160689,#83781,.T.); #197878=EDGE_CURVE('',#160690,#160691,#83782,.T.); #197879=EDGE_CURVE('',#160692,#160690,#83783,.T.); #197880=EDGE_CURVE('',#160693,#160691,#83784,.T.); #197881=EDGE_CURVE('',#160692,#160693,#83785,.T.); #197882=EDGE_CURVE('',#160694,#160692,#83786,.T.); #197883=EDGE_CURVE('',#160695,#160693,#83787,.T.); #197884=EDGE_CURVE('',#160694,#160695,#83788,.T.); #197885=EDGE_CURVE('',#160696,#160694,#83789,.T.); #197886=EDGE_CURVE('',#160697,#160695,#83790,.T.); #197887=EDGE_CURVE('',#160696,#160697,#83791,.T.); #197888=EDGE_CURVE('',#160698,#160696,#83792,.T.); #197889=EDGE_CURVE('',#160699,#160697,#83793,.T.); #197890=EDGE_CURVE('',#160698,#160699,#83794,.T.); #197891=EDGE_CURVE('',#160700,#160698,#83795,.T.); #197892=EDGE_CURVE('',#160701,#160699,#83796,.T.); #197893=EDGE_CURVE('',#160700,#160701,#83797,.T.); #197894=EDGE_CURVE('',#160702,#160700,#83798,.T.); #197895=EDGE_CURVE('',#160703,#160701,#83799,.T.); #197896=EDGE_CURVE('',#160702,#160703,#83800,.T.); #197897=EDGE_CURVE('',#160704,#160702,#83801,.T.); #197898=EDGE_CURVE('',#160705,#160703,#83802,.T.); #197899=EDGE_CURVE('',#160704,#160705,#83803,.T.); #197900=EDGE_CURVE('',#160706,#160704,#83804,.T.); #197901=EDGE_CURVE('',#160707,#160705,#83805,.T.); #197902=EDGE_CURVE('',#160706,#160707,#83806,.T.); #197903=EDGE_CURVE('',#160708,#160706,#83807,.T.); #197904=EDGE_CURVE('',#160709,#160707,#83808,.T.); #197905=EDGE_CURVE('',#160708,#160709,#83809,.T.); #197906=EDGE_CURVE('',#160710,#160708,#83810,.T.); #197907=EDGE_CURVE('',#160711,#160709,#83811,.T.); #197908=EDGE_CURVE('',#160710,#160711,#83812,.T.); #197909=EDGE_CURVE('',#160712,#160710,#83813,.T.); #197910=EDGE_CURVE('',#160713,#160711,#83814,.T.); #197911=EDGE_CURVE('',#160712,#160713,#83815,.T.); #197912=EDGE_CURVE('',#160714,#160712,#83816,.T.); #197913=EDGE_CURVE('',#160715,#160713,#83817,.T.); #197914=EDGE_CURVE('',#160714,#160715,#83818,.T.); #197915=EDGE_CURVE('',#160716,#160714,#83819,.T.); #197916=EDGE_CURVE('',#160717,#160715,#83820,.T.); #197917=EDGE_CURVE('',#160716,#160717,#83821,.T.); #197918=EDGE_CURVE('',#160718,#160716,#83822,.T.); #197919=EDGE_CURVE('',#160719,#160717,#83823,.T.); #197920=EDGE_CURVE('',#160718,#160719,#83824,.T.); #197921=EDGE_CURVE('',#160720,#160718,#83825,.T.); #197922=EDGE_CURVE('',#160721,#160719,#83826,.T.); #197923=EDGE_CURVE('',#160720,#160721,#83827,.T.); #197924=EDGE_CURVE('',#160722,#160720,#83828,.T.); #197925=EDGE_CURVE('',#160723,#160721,#83829,.T.); #197926=EDGE_CURVE('',#160722,#160723,#83830,.T.); #197927=EDGE_CURVE('',#160724,#160722,#83831,.T.); #197928=EDGE_CURVE('',#160725,#160723,#83832,.T.); #197929=EDGE_CURVE('',#160724,#160725,#83833,.T.); #197930=EDGE_CURVE('',#160726,#160724,#83834,.T.); #197931=EDGE_CURVE('',#160727,#160725,#83835,.T.); #197932=EDGE_CURVE('',#160726,#160727,#83836,.T.); #197933=EDGE_CURVE('',#160728,#160726,#83837,.T.); #197934=EDGE_CURVE('',#160729,#160727,#83838,.T.); #197935=EDGE_CURVE('',#160728,#160729,#83839,.T.); #197936=EDGE_CURVE('',#160730,#160728,#83840,.T.); #197937=EDGE_CURVE('',#160731,#160729,#83841,.T.); #197938=EDGE_CURVE('',#160730,#160731,#83842,.T.); #197939=EDGE_CURVE('',#160732,#160730,#83843,.T.); #197940=EDGE_CURVE('',#160733,#160731,#83844,.T.); #197941=EDGE_CURVE('',#160732,#160733,#83845,.T.); #197942=EDGE_CURVE('',#160734,#160732,#83846,.T.); #197943=EDGE_CURVE('',#160735,#160733,#83847,.T.); #197944=EDGE_CURVE('',#160734,#160735,#83848,.T.); #197945=EDGE_CURVE('',#160736,#160734,#83849,.T.); #197946=EDGE_CURVE('',#160737,#160735,#83850,.T.); #197947=EDGE_CURVE('',#160736,#160737,#83851,.T.); #197948=EDGE_CURVE('',#160738,#160736,#83852,.T.); #197949=EDGE_CURVE('',#160739,#160737,#83853,.T.); #197950=EDGE_CURVE('',#160738,#160739,#83854,.T.); #197951=EDGE_CURVE('',#160740,#160738,#83855,.T.); #197952=EDGE_CURVE('',#160741,#160739,#83856,.T.); #197953=EDGE_CURVE('',#160740,#160741,#83857,.T.); #197954=EDGE_CURVE('',#160742,#160740,#83858,.T.); #197955=EDGE_CURVE('',#160743,#160741,#83859,.T.); #197956=EDGE_CURVE('',#160742,#160743,#83860,.T.); #197957=EDGE_CURVE('',#160744,#160742,#83861,.T.); #197958=EDGE_CURVE('',#160745,#160743,#83862,.T.); #197959=EDGE_CURVE('',#160744,#160745,#83863,.T.); #197960=EDGE_CURVE('',#160746,#160744,#83864,.T.); #197961=EDGE_CURVE('',#160747,#160745,#83865,.T.); #197962=EDGE_CURVE('',#160746,#160747,#83866,.T.); #197963=EDGE_CURVE('',#160748,#160746,#83867,.T.); #197964=EDGE_CURVE('',#160749,#160747,#83868,.T.); #197965=EDGE_CURVE('',#160748,#160749,#83869,.T.); #197966=EDGE_CURVE('',#160750,#160748,#83870,.T.); #197967=EDGE_CURVE('',#160751,#160749,#83871,.T.); #197968=EDGE_CURVE('',#160750,#160751,#83872,.T.); #197969=EDGE_CURVE('',#160752,#160750,#83873,.T.); #197970=EDGE_CURVE('',#160753,#160751,#83874,.T.); #197971=EDGE_CURVE('',#160752,#160753,#83875,.T.); #197972=EDGE_CURVE('',#160754,#160752,#83876,.T.); #197973=EDGE_CURVE('',#160755,#160753,#83877,.T.); #197974=EDGE_CURVE('',#160754,#160755,#83878,.T.); #197975=EDGE_CURVE('',#160756,#160754,#83879,.T.); #197976=EDGE_CURVE('',#160757,#160755,#83880,.T.); #197977=EDGE_CURVE('',#160756,#160757,#83881,.T.); #197978=EDGE_CURVE('',#160758,#160756,#83882,.T.); #197979=EDGE_CURVE('',#160759,#160757,#83883,.T.); #197980=EDGE_CURVE('',#160758,#160759,#83884,.T.); #197981=EDGE_CURVE('',#160760,#160758,#83885,.T.); #197982=EDGE_CURVE('',#160761,#160759,#83886,.T.); #197983=EDGE_CURVE('',#160760,#160761,#83887,.T.); #197984=EDGE_CURVE('',#160762,#160760,#83888,.T.); #197985=EDGE_CURVE('',#160763,#160761,#83889,.T.); #197986=EDGE_CURVE('',#160762,#160763,#83890,.T.); #197987=EDGE_CURVE('',#160764,#160762,#83891,.T.); #197988=EDGE_CURVE('',#160765,#160763,#83892,.T.); #197989=EDGE_CURVE('',#160764,#160765,#83893,.T.); #197990=EDGE_CURVE('',#160766,#160764,#83894,.T.); #197991=EDGE_CURVE('',#160767,#160765,#83895,.T.); #197992=EDGE_CURVE('',#160766,#160767,#83896,.T.); #197993=EDGE_CURVE('',#160768,#160766,#83897,.T.); #197994=EDGE_CURVE('',#160769,#160767,#83898,.T.); #197995=EDGE_CURVE('',#160768,#160769,#83899,.T.); #197996=EDGE_CURVE('',#160770,#160768,#83900,.T.); #197997=EDGE_CURVE('',#160771,#160769,#83901,.T.); #197998=EDGE_CURVE('',#160770,#160771,#83902,.T.); #197999=EDGE_CURVE('',#160772,#160770,#83903,.T.); #198000=EDGE_CURVE('',#160773,#160771,#83904,.T.); #198001=EDGE_CURVE('',#160772,#160773,#83905,.T.); #198002=EDGE_CURVE('',#160774,#160772,#83906,.T.); #198003=EDGE_CURVE('',#160775,#160773,#83907,.T.); #198004=EDGE_CURVE('',#160774,#160775,#83908,.T.); #198005=EDGE_CURVE('',#160776,#160774,#83909,.T.); #198006=EDGE_CURVE('',#160777,#160775,#83910,.T.); #198007=EDGE_CURVE('',#160776,#160777,#83911,.T.); #198008=EDGE_CURVE('',#160778,#160776,#83912,.T.); #198009=EDGE_CURVE('',#160779,#160777,#83913,.T.); #198010=EDGE_CURVE('',#160778,#160779,#83914,.T.); #198011=EDGE_CURVE('',#160780,#160778,#83915,.T.); #198012=EDGE_CURVE('',#160781,#160779,#83916,.T.); #198013=EDGE_CURVE('',#160780,#160781,#83917,.T.); #198014=EDGE_CURVE('',#160782,#160780,#83918,.T.); #198015=EDGE_CURVE('',#160783,#160781,#83919,.T.); #198016=EDGE_CURVE('',#160782,#160783,#83920,.T.); #198017=EDGE_CURVE('',#160784,#160782,#83921,.T.); #198018=EDGE_CURVE('',#160785,#160783,#83922,.T.); #198019=EDGE_CURVE('',#160784,#160785,#83923,.T.); #198020=EDGE_CURVE('',#160786,#160784,#83924,.T.); #198021=EDGE_CURVE('',#160787,#160785,#83925,.T.); #198022=EDGE_CURVE('',#160786,#160787,#83926,.T.); #198023=EDGE_CURVE('',#160788,#160786,#83927,.T.); #198024=EDGE_CURVE('',#160789,#160787,#83928,.T.); #198025=EDGE_CURVE('',#160788,#160789,#83929,.T.); #198026=EDGE_CURVE('',#160790,#160788,#83930,.T.); #198027=EDGE_CURVE('',#160791,#160789,#83931,.T.); #198028=EDGE_CURVE('',#160790,#160791,#83932,.T.); #198029=EDGE_CURVE('',#160792,#160790,#83933,.T.); #198030=EDGE_CURVE('',#160793,#160791,#83934,.T.); #198031=EDGE_CURVE('',#160792,#160793,#83935,.T.); #198032=EDGE_CURVE('',#160794,#160792,#83936,.T.); #198033=EDGE_CURVE('',#160795,#160793,#83937,.T.); #198034=EDGE_CURVE('',#160794,#160795,#83938,.T.); #198035=EDGE_CURVE('',#160796,#160794,#83939,.T.); #198036=EDGE_CURVE('',#160797,#160795,#83940,.T.); #198037=EDGE_CURVE('',#160796,#160797,#83941,.T.); #198038=EDGE_CURVE('',#160798,#160796,#83942,.T.); #198039=EDGE_CURVE('',#160799,#160797,#83943,.T.); #198040=EDGE_CURVE('',#160798,#160799,#83944,.T.); #198041=EDGE_CURVE('',#160800,#160798,#83945,.T.); #198042=EDGE_CURVE('',#160801,#160799,#83946,.T.); #198043=EDGE_CURVE('',#160800,#160801,#83947,.T.); #198044=EDGE_CURVE('',#160802,#160800,#83948,.T.); #198045=EDGE_CURVE('',#160803,#160801,#83949,.T.); #198046=EDGE_CURVE('',#160802,#160803,#83950,.T.); #198047=EDGE_CURVE('',#160804,#160802,#83951,.T.); #198048=EDGE_CURVE('',#160805,#160803,#83952,.T.); #198049=EDGE_CURVE('',#160804,#160805,#83953,.T.); #198050=EDGE_CURVE('',#160806,#160804,#83954,.T.); #198051=EDGE_CURVE('',#160807,#160805,#83955,.T.); #198052=EDGE_CURVE('',#160806,#160807,#83956,.T.); #198053=EDGE_CURVE('',#160808,#160806,#83957,.T.); #198054=EDGE_CURVE('',#160809,#160807,#83958,.T.); #198055=EDGE_CURVE('',#160808,#160809,#83959,.T.); #198056=EDGE_CURVE('',#160810,#160808,#83960,.T.); #198057=EDGE_CURVE('',#160811,#160809,#83961,.T.); #198058=EDGE_CURVE('',#160810,#160811,#83962,.T.); #198059=EDGE_CURVE('',#160812,#160810,#83963,.T.); #198060=EDGE_CURVE('',#160813,#160811,#83964,.T.); #198061=EDGE_CURVE('',#160812,#160813,#83965,.T.); #198062=EDGE_CURVE('',#160814,#160812,#83966,.T.); #198063=EDGE_CURVE('',#160815,#160813,#83967,.T.); #198064=EDGE_CURVE('',#160814,#160815,#83968,.T.); #198065=EDGE_CURVE('',#160816,#160814,#83969,.T.); #198066=EDGE_CURVE('',#160817,#160815,#83970,.T.); #198067=EDGE_CURVE('',#160816,#160817,#83971,.T.); #198068=EDGE_CURVE('',#160818,#160816,#83972,.T.); #198069=EDGE_CURVE('',#160819,#160817,#83973,.T.); #198070=EDGE_CURVE('',#160818,#160819,#83974,.T.); #198071=EDGE_CURVE('',#160820,#160818,#83975,.T.); #198072=EDGE_CURVE('',#160821,#160819,#83976,.T.); #198073=EDGE_CURVE('',#160820,#160821,#83977,.T.); #198074=EDGE_CURVE('',#160822,#160820,#83978,.T.); #198075=EDGE_CURVE('',#160823,#160821,#83979,.T.); #198076=EDGE_CURVE('',#160822,#160823,#83980,.T.); #198077=EDGE_CURVE('',#160824,#160822,#83981,.T.); #198078=EDGE_CURVE('',#160825,#160823,#83982,.T.); #198079=EDGE_CURVE('',#160824,#160825,#83983,.T.); #198080=EDGE_CURVE('',#160826,#160824,#83984,.T.); #198081=EDGE_CURVE('',#160827,#160825,#83985,.T.); #198082=EDGE_CURVE('',#160826,#160827,#83986,.T.); #198083=EDGE_CURVE('',#160828,#160826,#83987,.T.); #198084=EDGE_CURVE('',#160829,#160827,#83988,.T.); #198085=EDGE_CURVE('',#160828,#160829,#83989,.T.); #198086=EDGE_CURVE('',#160830,#160828,#83990,.T.); #198087=EDGE_CURVE('',#160831,#160829,#83991,.T.); #198088=EDGE_CURVE('',#160830,#160831,#83992,.T.); #198089=EDGE_CURVE('',#160832,#160830,#83993,.T.); #198090=EDGE_CURVE('',#160833,#160831,#83994,.T.); #198091=EDGE_CURVE('',#160832,#160833,#83995,.T.); #198092=EDGE_CURVE('',#160834,#160832,#83996,.T.); #198093=EDGE_CURVE('',#160835,#160833,#83997,.T.); #198094=EDGE_CURVE('',#160834,#160835,#83998,.T.); #198095=EDGE_CURVE('',#160836,#160834,#83999,.T.); #198096=EDGE_CURVE('',#160837,#160835,#84000,.T.); #198097=EDGE_CURVE('',#160836,#160837,#84001,.T.); #198098=EDGE_CURVE('',#160838,#160836,#84002,.T.); #198099=EDGE_CURVE('',#160839,#160837,#84003,.T.); #198100=EDGE_CURVE('',#160838,#160839,#84004,.T.); #198101=EDGE_CURVE('',#160840,#160838,#84005,.T.); #198102=EDGE_CURVE('',#160841,#160839,#84006,.T.); #198103=EDGE_CURVE('',#160840,#160841,#84007,.T.); #198104=EDGE_CURVE('',#160842,#160840,#84008,.T.); #198105=EDGE_CURVE('',#160843,#160841,#84009,.T.); #198106=EDGE_CURVE('',#160842,#160843,#84010,.T.); #198107=EDGE_CURVE('',#160844,#160842,#84011,.T.); #198108=EDGE_CURVE('',#160845,#160843,#84012,.T.); #198109=EDGE_CURVE('',#160844,#160845,#84013,.T.); #198110=EDGE_CURVE('',#160846,#160844,#84014,.T.); #198111=EDGE_CURVE('',#160847,#160845,#84015,.T.); #198112=EDGE_CURVE('',#160846,#160847,#84016,.T.); #198113=EDGE_CURVE('',#160848,#160846,#84017,.T.); #198114=EDGE_CURVE('',#160849,#160847,#84018,.T.); #198115=EDGE_CURVE('',#160848,#160849,#84019,.T.); #198116=EDGE_CURVE('',#160850,#160848,#84020,.T.); #198117=EDGE_CURVE('',#160851,#160849,#84021,.T.); #198118=EDGE_CURVE('',#160850,#160851,#84022,.T.); #198119=EDGE_CURVE('',#160852,#160850,#84023,.T.); #198120=EDGE_CURVE('',#160853,#160851,#84024,.T.); #198121=EDGE_CURVE('',#160852,#160853,#84025,.T.); #198122=EDGE_CURVE('',#160854,#160852,#84026,.T.); #198123=EDGE_CURVE('',#160855,#160853,#84027,.T.); #198124=EDGE_CURVE('',#160854,#160855,#84028,.T.); #198125=EDGE_CURVE('',#160856,#160854,#84029,.T.); #198126=EDGE_CURVE('',#160857,#160855,#84030,.T.); #198127=EDGE_CURVE('',#160856,#160857,#84031,.T.); #198128=EDGE_CURVE('',#160858,#160856,#84032,.T.); #198129=EDGE_CURVE('',#160859,#160857,#84033,.T.); #198130=EDGE_CURVE('',#160858,#160859,#84034,.T.); #198131=EDGE_CURVE('',#160860,#160858,#84035,.T.); #198132=EDGE_CURVE('',#160861,#160859,#84036,.T.); #198133=EDGE_CURVE('',#160860,#160861,#84037,.T.); #198134=EDGE_CURVE('',#160862,#160860,#84038,.T.); #198135=EDGE_CURVE('',#160863,#160861,#84039,.T.); #198136=EDGE_CURVE('',#160862,#160863,#84040,.T.); #198137=EDGE_CURVE('',#160864,#160862,#84041,.T.); #198138=EDGE_CURVE('',#160865,#160863,#84042,.T.); #198139=EDGE_CURVE('',#160864,#160865,#84043,.T.); #198140=EDGE_CURVE('',#160866,#160864,#84044,.T.); #198141=EDGE_CURVE('',#160867,#160865,#84045,.T.); #198142=EDGE_CURVE('',#160866,#160867,#84046,.T.); #198143=EDGE_CURVE('',#160868,#160866,#84047,.T.); #198144=EDGE_CURVE('',#160869,#160867,#84048,.T.); #198145=EDGE_CURVE('',#160868,#160869,#84049,.T.); #198146=EDGE_CURVE('',#160870,#160868,#84050,.T.); #198147=EDGE_CURVE('',#160871,#160869,#84051,.T.); #198148=EDGE_CURVE('',#160870,#160871,#84052,.T.); #198149=EDGE_CURVE('',#160872,#160870,#84053,.T.); #198150=EDGE_CURVE('',#160873,#160871,#84054,.T.); #198151=EDGE_CURVE('',#160872,#160873,#84055,.T.); #198152=EDGE_CURVE('',#160874,#160872,#84056,.T.); #198153=EDGE_CURVE('',#160875,#160873,#84057,.T.); #198154=EDGE_CURVE('',#160874,#160875,#84058,.T.); #198155=EDGE_CURVE('',#160876,#160874,#84059,.T.); #198156=EDGE_CURVE('',#160877,#160875,#84060,.T.); #198157=EDGE_CURVE('',#160876,#160877,#84061,.T.); #198158=EDGE_CURVE('',#160878,#160876,#84062,.T.); #198159=EDGE_CURVE('',#160879,#160877,#84063,.T.); #198160=EDGE_CURVE('',#160878,#160879,#84064,.T.); #198161=EDGE_CURVE('',#160880,#160878,#84065,.T.); #198162=EDGE_CURVE('',#160881,#160879,#84066,.T.); #198163=EDGE_CURVE('',#160880,#160881,#84067,.T.); #198164=EDGE_CURVE('',#160882,#160880,#84068,.T.); #198165=EDGE_CURVE('',#160883,#160881,#84069,.T.); #198166=EDGE_CURVE('',#160882,#160883,#84070,.T.); #198167=EDGE_CURVE('',#160884,#160882,#84071,.T.); #198168=EDGE_CURVE('',#160885,#160883,#84072,.T.); #198169=EDGE_CURVE('',#160884,#160885,#84073,.T.); #198170=EDGE_CURVE('',#160886,#160884,#84074,.T.); #198171=EDGE_CURVE('',#160887,#160885,#84075,.T.); #198172=EDGE_CURVE('',#160886,#160887,#84076,.T.); #198173=EDGE_CURVE('',#160888,#160886,#84077,.T.); #198174=EDGE_CURVE('',#160889,#160887,#84078,.T.); #198175=EDGE_CURVE('',#160888,#160889,#84079,.T.); #198176=EDGE_CURVE('',#160890,#160888,#84080,.T.); #198177=EDGE_CURVE('',#160891,#160889,#84081,.T.); #198178=EDGE_CURVE('',#160890,#160891,#84082,.T.); #198179=EDGE_CURVE('',#160892,#160890,#84083,.T.); #198180=EDGE_CURVE('',#160893,#160891,#84084,.T.); #198181=EDGE_CURVE('',#160892,#160893,#84085,.T.); #198182=EDGE_CURVE('',#160894,#160892,#84086,.T.); #198183=EDGE_CURVE('',#160895,#160893,#84087,.T.); #198184=EDGE_CURVE('',#160894,#160895,#84088,.T.); #198185=EDGE_CURVE('',#160896,#160894,#84089,.T.); #198186=EDGE_CURVE('',#160897,#160895,#84090,.T.); #198187=EDGE_CURVE('',#160896,#160897,#84091,.T.); #198188=EDGE_CURVE('',#160898,#160896,#84092,.T.); #198189=EDGE_CURVE('',#160899,#160897,#84093,.T.); #198190=EDGE_CURVE('',#160898,#160899,#84094,.T.); #198191=EDGE_CURVE('',#160900,#160898,#84095,.T.); #198192=EDGE_CURVE('',#160901,#160899,#84096,.T.); #198193=EDGE_CURVE('',#160900,#160901,#84097,.T.); #198194=EDGE_CURVE('',#160902,#160900,#84098,.T.); #198195=EDGE_CURVE('',#160903,#160901,#84099,.T.); #198196=EDGE_CURVE('',#160902,#160903,#84100,.T.); #198197=EDGE_CURVE('',#160904,#160902,#84101,.T.); #198198=EDGE_CURVE('',#160905,#160903,#84102,.T.); #198199=EDGE_CURVE('',#160904,#160905,#84103,.T.); #198200=EDGE_CURVE('',#160906,#160904,#84104,.T.); #198201=EDGE_CURVE('',#160907,#160905,#84105,.T.); #198202=EDGE_CURVE('',#160906,#160907,#84106,.T.); #198203=EDGE_CURVE('',#160908,#160906,#84107,.T.); #198204=EDGE_CURVE('',#160909,#160907,#84108,.T.); #198205=EDGE_CURVE('',#160908,#160909,#84109,.T.); #198206=EDGE_CURVE('',#160910,#160908,#84110,.T.); #198207=EDGE_CURVE('',#160911,#160909,#84111,.T.); #198208=EDGE_CURVE('',#160910,#160911,#84112,.T.); #198209=EDGE_CURVE('',#160912,#160910,#84113,.T.); #198210=EDGE_CURVE('',#160913,#160911,#84114,.T.); #198211=EDGE_CURVE('',#160912,#160913,#84115,.T.); #198212=EDGE_CURVE('',#160914,#160912,#84116,.T.); #198213=EDGE_CURVE('',#160915,#160913,#84117,.T.); #198214=EDGE_CURVE('',#160914,#160915,#84118,.T.); #198215=EDGE_CURVE('',#160916,#160914,#84119,.T.); #198216=EDGE_CURVE('',#160917,#160915,#84120,.T.); #198217=EDGE_CURVE('',#160916,#160917,#84121,.T.); #198218=EDGE_CURVE('',#160918,#160916,#84122,.T.); #198219=EDGE_CURVE('',#160919,#160917,#84123,.T.); #198220=EDGE_CURVE('',#160918,#160919,#84124,.T.); #198221=EDGE_CURVE('',#160920,#160918,#84125,.T.); #198222=EDGE_CURVE('',#160921,#160919,#84126,.T.); #198223=EDGE_CURVE('',#160920,#160921,#84127,.T.); #198224=EDGE_CURVE('',#160922,#160920,#84128,.T.); #198225=EDGE_CURVE('',#160923,#160921,#84129,.T.); #198226=EDGE_CURVE('',#160922,#160923,#84130,.T.); #198227=EDGE_CURVE('',#160924,#160922,#84131,.T.); #198228=EDGE_CURVE('',#160925,#160923,#84132,.T.); #198229=EDGE_CURVE('',#160924,#160925,#84133,.T.); #198230=EDGE_CURVE('',#160926,#160924,#84134,.T.); #198231=EDGE_CURVE('',#160927,#160925,#84135,.T.); #198232=EDGE_CURVE('',#160926,#160927,#84136,.T.); #198233=EDGE_CURVE('',#160928,#160926,#84137,.T.); #198234=EDGE_CURVE('',#160929,#160927,#84138,.T.); #198235=EDGE_CURVE('',#160928,#160929,#84139,.T.); #198236=EDGE_CURVE('',#160930,#160928,#84140,.T.); #198237=EDGE_CURVE('',#160931,#160929,#84141,.T.); #198238=EDGE_CURVE('',#160930,#160931,#84142,.T.); #198239=EDGE_CURVE('',#160932,#160930,#84143,.T.); #198240=EDGE_CURVE('',#160933,#160931,#84144,.T.); #198241=EDGE_CURVE('',#160932,#160933,#84145,.T.); #198242=EDGE_CURVE('',#160934,#160932,#84146,.T.); #198243=EDGE_CURVE('',#160935,#160933,#84147,.T.); #198244=EDGE_CURVE('',#160934,#160935,#84148,.T.); #198245=EDGE_CURVE('',#160936,#160934,#84149,.T.); #198246=EDGE_CURVE('',#160937,#160935,#84150,.T.); #198247=EDGE_CURVE('',#160936,#160937,#84151,.T.); #198248=EDGE_CURVE('',#160938,#160936,#84152,.T.); #198249=EDGE_CURVE('',#160939,#160937,#84153,.T.); #198250=EDGE_CURVE('',#160938,#160939,#84154,.T.); #198251=EDGE_CURVE('',#160940,#160938,#84155,.T.); #198252=EDGE_CURVE('',#160941,#160939,#84156,.T.); #198253=EDGE_CURVE('',#160940,#160941,#84157,.T.); #198254=EDGE_CURVE('',#160942,#160940,#84158,.T.); #198255=EDGE_CURVE('',#160943,#160941,#84159,.T.); #198256=EDGE_CURVE('',#160942,#160943,#84160,.T.); #198257=EDGE_CURVE('',#160944,#160942,#84161,.T.); #198258=EDGE_CURVE('',#160945,#160943,#84162,.T.); #198259=EDGE_CURVE('',#160944,#160945,#84163,.T.); #198260=EDGE_CURVE('',#160946,#160944,#84164,.T.); #198261=EDGE_CURVE('',#160947,#160945,#84165,.T.); #198262=EDGE_CURVE('',#160946,#160947,#84166,.T.); #198263=EDGE_CURVE('',#160948,#160946,#84167,.T.); #198264=EDGE_CURVE('',#160949,#160947,#84168,.T.); #198265=EDGE_CURVE('',#160948,#160949,#84169,.T.); #198266=EDGE_CURVE('',#160950,#160948,#84170,.T.); #198267=EDGE_CURVE('',#160951,#160949,#84171,.T.); #198268=EDGE_CURVE('',#160950,#160951,#84172,.T.); #198269=EDGE_CURVE('',#160952,#160950,#84173,.T.); #198270=EDGE_CURVE('',#160953,#160951,#84174,.T.); #198271=EDGE_CURVE('',#160952,#160953,#84175,.T.); #198272=EDGE_CURVE('',#160954,#160952,#84176,.T.); #198273=EDGE_CURVE('',#160955,#160953,#84177,.T.); #198274=EDGE_CURVE('',#160954,#160955,#84178,.T.); #198275=EDGE_CURVE('',#160956,#160954,#84179,.T.); #198276=EDGE_CURVE('',#160957,#160955,#84180,.T.); #198277=EDGE_CURVE('',#160956,#160957,#84181,.T.); #198278=EDGE_CURVE('',#160958,#160956,#84182,.T.); #198279=EDGE_CURVE('',#160959,#160957,#84183,.T.); #198280=EDGE_CURVE('',#160958,#160959,#84184,.T.); #198281=EDGE_CURVE('',#160960,#160958,#84185,.T.); #198282=EDGE_CURVE('',#160961,#160959,#84186,.T.); #198283=EDGE_CURVE('',#160960,#160961,#84187,.T.); #198284=EDGE_CURVE('',#160962,#160960,#84188,.T.); #198285=EDGE_CURVE('',#160963,#160961,#84189,.T.); #198286=EDGE_CURVE('',#160962,#160963,#84190,.T.); #198287=EDGE_CURVE('',#160964,#160962,#84191,.T.); #198288=EDGE_CURVE('',#160965,#160963,#84192,.T.); #198289=EDGE_CURVE('',#160964,#160965,#84193,.T.); #198290=EDGE_CURVE('',#160966,#160964,#84194,.T.); #198291=EDGE_CURVE('',#160967,#160965,#84195,.T.); #198292=EDGE_CURVE('',#160966,#160967,#84196,.T.); #198293=EDGE_CURVE('',#160968,#160966,#84197,.T.); #198294=EDGE_CURVE('',#160969,#160967,#84198,.T.); #198295=EDGE_CURVE('',#160968,#160969,#84199,.T.); #198296=EDGE_CURVE('',#160970,#160968,#84200,.T.); #198297=EDGE_CURVE('',#160971,#160969,#84201,.T.); #198298=EDGE_CURVE('',#160970,#160971,#84202,.T.); #198299=EDGE_CURVE('',#160972,#160970,#84203,.T.); #198300=EDGE_CURVE('',#160973,#160971,#84204,.T.); #198301=EDGE_CURVE('',#160972,#160973,#84205,.T.); #198302=EDGE_CURVE('',#160974,#160972,#84206,.T.); #198303=EDGE_CURVE('',#160975,#160973,#84207,.T.); #198304=EDGE_CURVE('',#160974,#160975,#84208,.T.); #198305=EDGE_CURVE('',#160976,#160974,#84209,.T.); #198306=EDGE_CURVE('',#160977,#160975,#84210,.T.); #198307=EDGE_CURVE('',#160976,#160977,#84211,.T.); #198308=EDGE_CURVE('',#160978,#160976,#84212,.T.); #198309=EDGE_CURVE('',#160979,#160977,#84213,.T.); #198310=EDGE_CURVE('',#160978,#160979,#84214,.T.); #198311=EDGE_CURVE('',#160980,#160978,#84215,.T.); #198312=EDGE_CURVE('',#160981,#160979,#84216,.T.); #198313=EDGE_CURVE('',#160980,#160981,#84217,.T.); #198314=EDGE_CURVE('',#160982,#160980,#84218,.T.); #198315=EDGE_CURVE('',#160983,#160981,#84219,.T.); #198316=EDGE_CURVE('',#160982,#160983,#84220,.T.); #198317=EDGE_CURVE('',#160984,#160982,#84221,.T.); #198318=EDGE_CURVE('',#160985,#160983,#84222,.T.); #198319=EDGE_CURVE('',#160984,#160985,#84223,.T.); #198320=EDGE_CURVE('',#160986,#160984,#84224,.T.); #198321=EDGE_CURVE('',#160987,#160985,#84225,.T.); #198322=EDGE_CURVE('',#160986,#160987,#84226,.T.); #198323=EDGE_CURVE('',#160988,#160986,#84227,.T.); #198324=EDGE_CURVE('',#160989,#160987,#84228,.T.); #198325=EDGE_CURVE('',#160988,#160989,#84229,.T.); #198326=EDGE_CURVE('',#160990,#160988,#84230,.T.); #198327=EDGE_CURVE('',#160991,#160989,#84231,.T.); #198328=EDGE_CURVE('',#160990,#160991,#84232,.T.); #198329=EDGE_CURVE('',#160992,#160990,#84233,.T.); #198330=EDGE_CURVE('',#160993,#160991,#84234,.T.); #198331=EDGE_CURVE('',#160992,#160993,#84235,.T.); #198332=EDGE_CURVE('',#160994,#160992,#84236,.T.); #198333=EDGE_CURVE('',#160995,#160993,#84237,.T.); #198334=EDGE_CURVE('',#160994,#160995,#84238,.T.); #198335=EDGE_CURVE('',#160996,#160994,#84239,.T.); #198336=EDGE_CURVE('',#160997,#160995,#84240,.T.); #198337=EDGE_CURVE('',#160996,#160997,#84241,.T.); #198338=EDGE_CURVE('',#160998,#160996,#84242,.T.); #198339=EDGE_CURVE('',#160999,#160997,#84243,.T.); #198340=EDGE_CURVE('',#160998,#160999,#84244,.T.); #198341=EDGE_CURVE('',#161000,#160998,#84245,.T.); #198342=EDGE_CURVE('',#161001,#160999,#84246,.T.); #198343=EDGE_CURVE('',#161000,#161001,#84247,.T.); #198344=EDGE_CURVE('',#161002,#161000,#84248,.T.); #198345=EDGE_CURVE('',#161003,#161001,#84249,.T.); #198346=EDGE_CURVE('',#161002,#161003,#84250,.T.); #198347=EDGE_CURVE('',#161004,#161002,#84251,.T.); #198348=EDGE_CURVE('',#161005,#161003,#84252,.T.); #198349=EDGE_CURVE('',#161004,#161005,#84253,.T.); #198350=EDGE_CURVE('',#161006,#161004,#84254,.T.); #198351=EDGE_CURVE('',#161007,#161005,#84255,.T.); #198352=EDGE_CURVE('',#161006,#161007,#84256,.T.); #198353=EDGE_CURVE('',#161008,#161006,#84257,.T.); #198354=EDGE_CURVE('',#161009,#161007,#84258,.T.); #198355=EDGE_CURVE('',#161008,#161009,#84259,.T.); #198356=EDGE_CURVE('',#161010,#161008,#84260,.T.); #198357=EDGE_CURVE('',#161011,#161009,#84261,.T.); #198358=EDGE_CURVE('',#161010,#161011,#84262,.T.); #198359=EDGE_CURVE('',#161012,#161010,#84263,.T.); #198360=EDGE_CURVE('',#161013,#161011,#84264,.T.); #198361=EDGE_CURVE('',#161012,#161013,#84265,.T.); #198362=EDGE_CURVE('',#161014,#161012,#84266,.T.); #198363=EDGE_CURVE('',#161015,#161013,#84267,.T.); #198364=EDGE_CURVE('',#161014,#161015,#84268,.T.); #198365=EDGE_CURVE('',#161016,#161014,#84269,.T.); #198366=EDGE_CURVE('',#161017,#161015,#84270,.T.); #198367=EDGE_CURVE('',#161016,#161017,#84271,.T.); #198368=EDGE_CURVE('',#161018,#161016,#84272,.T.); #198369=EDGE_CURVE('',#161019,#161017,#84273,.T.); #198370=EDGE_CURVE('',#161018,#161019,#84274,.T.); #198371=EDGE_CURVE('',#161020,#161018,#84275,.T.); #198372=EDGE_CURVE('',#161021,#161019,#84276,.T.); #198373=EDGE_CURVE('',#161020,#161021,#84277,.T.); #198374=EDGE_CURVE('',#161022,#161020,#84278,.T.); #198375=EDGE_CURVE('',#161023,#161021,#84279,.T.); #198376=EDGE_CURVE('',#161022,#161023,#84280,.T.); #198377=EDGE_CURVE('',#161024,#161022,#84281,.T.); #198378=EDGE_CURVE('',#161025,#161023,#84282,.T.); #198379=EDGE_CURVE('',#161024,#161025,#84283,.T.); #198380=EDGE_CURVE('',#161026,#161024,#84284,.T.); #198381=EDGE_CURVE('',#161027,#161025,#84285,.T.); #198382=EDGE_CURVE('',#161026,#161027,#84286,.T.); #198383=EDGE_CURVE('',#161028,#161026,#84287,.T.); #198384=EDGE_CURVE('',#161029,#161027,#84288,.T.); #198385=EDGE_CURVE('',#161028,#161029,#84289,.T.); #198386=EDGE_CURVE('',#161030,#161028,#84290,.T.); #198387=EDGE_CURVE('',#161031,#161029,#84291,.T.); #198388=EDGE_CURVE('',#161030,#161031,#84292,.T.); #198389=EDGE_CURVE('',#161032,#161030,#84293,.T.); #198390=EDGE_CURVE('',#161033,#161031,#84294,.T.); #198391=EDGE_CURVE('',#161032,#161033,#84295,.T.); #198392=EDGE_CURVE('',#161034,#161032,#84296,.T.); #198393=EDGE_CURVE('',#161035,#161033,#84297,.T.); #198394=EDGE_CURVE('',#161034,#161035,#84298,.T.); #198395=EDGE_CURVE('',#161036,#161034,#84299,.T.); #198396=EDGE_CURVE('',#161037,#161035,#84300,.T.); #198397=EDGE_CURVE('',#161036,#161037,#84301,.T.); #198398=EDGE_CURVE('',#161038,#161036,#84302,.T.); #198399=EDGE_CURVE('',#161039,#161037,#84303,.T.); #198400=EDGE_CURVE('',#161038,#161039,#84304,.T.); #198401=EDGE_CURVE('',#161040,#161038,#84305,.T.); #198402=EDGE_CURVE('',#161041,#161039,#84306,.T.); #198403=EDGE_CURVE('',#161040,#161041,#84307,.T.); #198404=EDGE_CURVE('',#161042,#161040,#84308,.T.); #198405=EDGE_CURVE('',#161043,#161041,#84309,.T.); #198406=EDGE_CURVE('',#161042,#161043,#84310,.T.); #198407=EDGE_CURVE('',#161044,#161042,#84311,.T.); #198408=EDGE_CURVE('',#161045,#161043,#84312,.T.); #198409=EDGE_CURVE('',#161044,#161045,#84313,.T.); #198410=EDGE_CURVE('',#161046,#161044,#84314,.T.); #198411=EDGE_CURVE('',#161047,#161045,#84315,.T.); #198412=EDGE_CURVE('',#161046,#161047,#84316,.T.); #198413=EDGE_CURVE('',#161048,#161046,#84317,.T.); #198414=EDGE_CURVE('',#161049,#161047,#84318,.T.); #198415=EDGE_CURVE('',#161048,#161049,#84319,.T.); #198416=EDGE_CURVE('',#161050,#161048,#84320,.T.); #198417=EDGE_CURVE('',#161051,#161049,#84321,.T.); #198418=EDGE_CURVE('',#161050,#161051,#84322,.T.); #198419=EDGE_CURVE('',#161052,#161050,#84323,.T.); #198420=EDGE_CURVE('',#161053,#161051,#84324,.T.); #198421=EDGE_CURVE('',#161052,#161053,#84325,.T.); #198422=EDGE_CURVE('',#161054,#161052,#84326,.T.); #198423=EDGE_CURVE('',#161055,#161053,#84327,.T.); #198424=EDGE_CURVE('',#161054,#161055,#84328,.T.); #198425=EDGE_CURVE('',#161056,#161054,#84329,.T.); #198426=EDGE_CURVE('',#161057,#161055,#84330,.T.); #198427=EDGE_CURVE('',#161056,#161057,#84331,.T.); #198428=EDGE_CURVE('',#161058,#161056,#84332,.T.); #198429=EDGE_CURVE('',#161059,#161057,#84333,.T.); #198430=EDGE_CURVE('',#161058,#161059,#84334,.T.); #198431=EDGE_CURVE('',#161060,#161058,#84335,.T.); #198432=EDGE_CURVE('',#161061,#161059,#84336,.T.); #198433=EDGE_CURVE('',#161060,#161061,#84337,.T.); #198434=EDGE_CURVE('',#161062,#161060,#84338,.T.); #198435=EDGE_CURVE('',#161063,#161061,#84339,.T.); #198436=EDGE_CURVE('',#161062,#161063,#84340,.T.); #198437=EDGE_CURVE('',#161064,#161062,#84341,.T.); #198438=EDGE_CURVE('',#161065,#161063,#84342,.T.); #198439=EDGE_CURVE('',#161064,#161065,#84343,.T.); #198440=EDGE_CURVE('',#161066,#161064,#84344,.T.); #198441=EDGE_CURVE('',#161067,#161065,#84345,.T.); #198442=EDGE_CURVE('',#161066,#161067,#84346,.T.); #198443=EDGE_CURVE('',#161068,#161066,#84347,.T.); #198444=EDGE_CURVE('',#161069,#161067,#84348,.T.); #198445=EDGE_CURVE('',#161068,#161069,#84349,.T.); #198446=EDGE_CURVE('',#161070,#161068,#84350,.T.); #198447=EDGE_CURVE('',#161071,#161069,#84351,.T.); #198448=EDGE_CURVE('',#161070,#161071,#84352,.T.); #198449=EDGE_CURVE('',#161072,#161070,#84353,.T.); #198450=EDGE_CURVE('',#161073,#161071,#84354,.T.); #198451=EDGE_CURVE('',#161072,#161073,#84355,.T.); #198452=EDGE_CURVE('',#161074,#161072,#84356,.T.); #198453=EDGE_CURVE('',#161075,#161073,#84357,.T.); #198454=EDGE_CURVE('',#161074,#161075,#84358,.T.); #198455=EDGE_CURVE('',#161076,#161074,#84359,.T.); #198456=EDGE_CURVE('',#161077,#161075,#84360,.T.); #198457=EDGE_CURVE('',#161076,#161077,#84361,.T.); #198458=EDGE_CURVE('',#161078,#161076,#84362,.T.); #198459=EDGE_CURVE('',#161079,#161077,#84363,.T.); #198460=EDGE_CURVE('',#161078,#161079,#84364,.T.); #198461=EDGE_CURVE('',#161080,#161078,#84365,.T.); #198462=EDGE_CURVE('',#161081,#161079,#84366,.T.); #198463=EDGE_CURVE('',#161080,#161081,#84367,.T.); #198464=EDGE_CURVE('',#161082,#161080,#84368,.T.); #198465=EDGE_CURVE('',#161083,#161081,#84369,.T.); #198466=EDGE_CURVE('',#161082,#161083,#84370,.T.); #198467=EDGE_CURVE('',#161084,#161082,#84371,.T.); #198468=EDGE_CURVE('',#161085,#161083,#84372,.T.); #198469=EDGE_CURVE('',#161084,#161085,#84373,.T.); #198470=EDGE_CURVE('',#161086,#161084,#84374,.T.); #198471=EDGE_CURVE('',#161087,#161085,#84375,.T.); #198472=EDGE_CURVE('',#161086,#161087,#84376,.T.); #198473=EDGE_CURVE('',#161088,#161086,#84377,.T.); #198474=EDGE_CURVE('',#161089,#161087,#84378,.T.); #198475=EDGE_CURVE('',#161088,#161089,#84379,.T.); #198476=EDGE_CURVE('',#161090,#161088,#84380,.T.); #198477=EDGE_CURVE('',#161091,#161089,#84381,.T.); #198478=EDGE_CURVE('',#161090,#161091,#84382,.T.); #198479=EDGE_CURVE('',#161092,#161090,#84383,.T.); #198480=EDGE_CURVE('',#161093,#161091,#84384,.T.); #198481=EDGE_CURVE('',#161092,#161093,#84385,.T.); #198482=EDGE_CURVE('',#161094,#161092,#84386,.T.); #198483=EDGE_CURVE('',#161095,#161093,#84387,.T.); #198484=EDGE_CURVE('',#161094,#161095,#84388,.T.); #198485=EDGE_CURVE('',#161096,#161094,#84389,.T.); #198486=EDGE_CURVE('',#161097,#161095,#84390,.T.); #198487=EDGE_CURVE('',#161096,#161097,#84391,.T.); #198488=EDGE_CURVE('',#161098,#161096,#84392,.T.); #198489=EDGE_CURVE('',#161099,#161097,#84393,.T.); #198490=EDGE_CURVE('',#161098,#161099,#84394,.T.); #198491=EDGE_CURVE('',#161100,#161098,#84395,.T.); #198492=EDGE_CURVE('',#161101,#161099,#84396,.T.); #198493=EDGE_CURVE('',#161100,#161101,#84397,.T.); #198494=EDGE_CURVE('',#161102,#161100,#84398,.T.); #198495=EDGE_CURVE('',#161103,#161101,#84399,.T.); #198496=EDGE_CURVE('',#161102,#161103,#84400,.T.); #198497=EDGE_CURVE('',#161104,#161102,#84401,.T.); #198498=EDGE_CURVE('',#161105,#161103,#84402,.T.); #198499=EDGE_CURVE('',#161104,#161105,#84403,.T.); #198500=EDGE_CURVE('',#160515,#161104,#84404,.T.); #198501=EDGE_CURVE('',#160516,#161105,#84405,.T.); #198502=EDGE_CURVE('',#161106,#161107,#84406,.T.); #198503=EDGE_CURVE('',#161107,#161108,#84407,.T.); #198504=EDGE_CURVE('',#161109,#161108,#84408,.T.); #198505=EDGE_CURVE('',#161106,#161109,#84409,.T.); #198506=EDGE_CURVE('',#161110,#161106,#84410,.T.); #198507=EDGE_CURVE('',#161111,#161109,#84411,.T.); #198508=EDGE_CURVE('',#161110,#161111,#84412,.T.); #198509=EDGE_CURVE('',#161112,#161110,#84413,.T.); #198510=EDGE_CURVE('',#161113,#161111,#84414,.T.); #198511=EDGE_CURVE('',#161112,#161113,#84415,.T.); #198512=EDGE_CURVE('',#161114,#161112,#84416,.T.); #198513=EDGE_CURVE('',#161115,#161113,#84417,.T.); #198514=EDGE_CURVE('',#161114,#161115,#84418,.T.); #198515=EDGE_CURVE('',#161116,#161114,#84419,.T.); #198516=EDGE_CURVE('',#161117,#161115,#84420,.T.); #198517=EDGE_CURVE('',#161116,#161117,#84421,.T.); #198518=EDGE_CURVE('',#161118,#161116,#84422,.T.); #198519=EDGE_CURVE('',#161119,#161117,#84423,.T.); #198520=EDGE_CURVE('',#161118,#161119,#84424,.T.); #198521=EDGE_CURVE('',#161120,#161118,#84425,.T.); #198522=EDGE_CURVE('',#161121,#161119,#84426,.T.); #198523=EDGE_CURVE('',#161120,#161121,#84427,.T.); #198524=EDGE_CURVE('',#161122,#161120,#84428,.T.); #198525=EDGE_CURVE('',#161123,#161121,#84429,.T.); #198526=EDGE_CURVE('',#161122,#161123,#84430,.T.); #198527=EDGE_CURVE('',#161124,#161122,#84431,.T.); #198528=EDGE_CURVE('',#161125,#161123,#84432,.T.); #198529=EDGE_CURVE('',#161124,#161125,#84433,.T.); #198530=EDGE_CURVE('',#161126,#161124,#84434,.T.); #198531=EDGE_CURVE('',#161127,#161125,#84435,.T.); #198532=EDGE_CURVE('',#161126,#161127,#84436,.T.); #198533=EDGE_CURVE('',#161128,#161126,#84437,.T.); #198534=EDGE_CURVE('',#161129,#161127,#84438,.T.); #198535=EDGE_CURVE('',#161128,#161129,#84439,.T.); #198536=EDGE_CURVE('',#161130,#161128,#84440,.T.); #198537=EDGE_CURVE('',#161131,#161129,#84441,.T.); #198538=EDGE_CURVE('',#161130,#161131,#84442,.T.); #198539=EDGE_CURVE('',#161132,#161130,#84443,.T.); #198540=EDGE_CURVE('',#161133,#161131,#84444,.T.); #198541=EDGE_CURVE('',#161132,#161133,#84445,.T.); #198542=EDGE_CURVE('',#161134,#161132,#84446,.T.); #198543=EDGE_CURVE('',#161135,#161133,#84447,.T.); #198544=EDGE_CURVE('',#161134,#161135,#84448,.T.); #198545=EDGE_CURVE('',#161136,#161134,#84449,.T.); #198546=EDGE_CURVE('',#161137,#161135,#84450,.T.); #198547=EDGE_CURVE('',#161136,#161137,#84451,.T.); #198548=EDGE_CURVE('',#161138,#161136,#84452,.T.); #198549=EDGE_CURVE('',#161139,#161137,#84453,.T.); #198550=EDGE_CURVE('',#161138,#161139,#84454,.T.); #198551=EDGE_CURVE('',#161140,#161138,#84455,.T.); #198552=EDGE_CURVE('',#161141,#161139,#84456,.T.); #198553=EDGE_CURVE('',#161140,#161141,#84457,.T.); #198554=EDGE_CURVE('',#161142,#161140,#84458,.T.); #198555=EDGE_CURVE('',#161143,#161141,#84459,.T.); #198556=EDGE_CURVE('',#161142,#161143,#84460,.T.); #198557=EDGE_CURVE('',#161144,#161142,#84461,.T.); #198558=EDGE_CURVE('',#161145,#161143,#84462,.T.); #198559=EDGE_CURVE('',#161144,#161145,#84463,.T.); #198560=EDGE_CURVE('',#161146,#161144,#84464,.T.); #198561=EDGE_CURVE('',#161147,#161145,#84465,.T.); #198562=EDGE_CURVE('',#161146,#161147,#84466,.T.); #198563=EDGE_CURVE('',#161107,#161146,#84467,.T.); #198564=EDGE_CURVE('',#161108,#161147,#84468,.T.); #198565=EDGE_CURVE('',#161148,#161149,#84469,.T.); #198566=EDGE_CURVE('',#161149,#161150,#84470,.T.); #198567=EDGE_CURVE('',#161151,#161150,#84471,.T.); #198568=EDGE_CURVE('',#161148,#161151,#84472,.T.); #198569=EDGE_CURVE('',#161152,#161148,#84473,.T.); #198570=EDGE_CURVE('',#161153,#161151,#84474,.T.); #198571=EDGE_CURVE('',#161152,#161153,#84475,.T.); #198572=EDGE_CURVE('',#161154,#161152,#84476,.T.); #198573=EDGE_CURVE('',#161155,#161153,#84477,.T.); #198574=EDGE_CURVE('',#161154,#161155,#84478,.T.); #198575=EDGE_CURVE('',#161156,#161154,#84479,.T.); #198576=EDGE_CURVE('',#161157,#161155,#84480,.T.); #198577=EDGE_CURVE('',#161156,#161157,#84481,.T.); #198578=EDGE_CURVE('',#161158,#161156,#84482,.T.); #198579=EDGE_CURVE('',#161159,#161157,#84483,.T.); #198580=EDGE_CURVE('',#161158,#161159,#84484,.T.); #198581=EDGE_CURVE('',#161160,#161158,#84485,.T.); #198582=EDGE_CURVE('',#161161,#161159,#84486,.T.); #198583=EDGE_CURVE('',#161160,#161161,#84487,.T.); #198584=EDGE_CURVE('',#161162,#161160,#84488,.T.); #198585=EDGE_CURVE('',#161163,#161161,#84489,.T.); #198586=EDGE_CURVE('',#161162,#161163,#84490,.T.); #198587=EDGE_CURVE('',#161164,#161162,#84491,.T.); #198588=EDGE_CURVE('',#161165,#161163,#84492,.T.); #198589=EDGE_CURVE('',#161164,#161165,#84493,.T.); #198590=EDGE_CURVE('',#161166,#161164,#84494,.T.); #198591=EDGE_CURVE('',#161167,#161165,#84495,.T.); #198592=EDGE_CURVE('',#161166,#161167,#84496,.T.); #198593=EDGE_CURVE('',#161168,#161166,#84497,.T.); #198594=EDGE_CURVE('',#161169,#161167,#84498,.T.); #198595=EDGE_CURVE('',#161168,#161169,#84499,.T.); #198596=EDGE_CURVE('',#161170,#161168,#84500,.T.); #198597=EDGE_CURVE('',#161171,#161169,#84501,.T.); #198598=EDGE_CURVE('',#161170,#161171,#84502,.T.); #198599=EDGE_CURVE('',#161172,#161170,#84503,.T.); #198600=EDGE_CURVE('',#161173,#161171,#84504,.T.); #198601=EDGE_CURVE('',#161172,#161173,#84505,.T.); #198602=EDGE_CURVE('',#161174,#161172,#84506,.T.); #198603=EDGE_CURVE('',#161175,#161173,#84507,.T.); #198604=EDGE_CURVE('',#161174,#161175,#84508,.T.); #198605=EDGE_CURVE('',#161176,#161174,#84509,.T.); #198606=EDGE_CURVE('',#161177,#161175,#84510,.T.); #198607=EDGE_CURVE('',#161176,#161177,#84511,.T.); #198608=EDGE_CURVE('',#161178,#161176,#84512,.T.); #198609=EDGE_CURVE('',#161179,#161177,#84513,.T.); #198610=EDGE_CURVE('',#161178,#161179,#84514,.T.); #198611=EDGE_CURVE('',#161180,#161178,#84515,.T.); #198612=EDGE_CURVE('',#161181,#161179,#84516,.T.); #198613=EDGE_CURVE('',#161180,#161181,#84517,.T.); #198614=EDGE_CURVE('',#161182,#161180,#84518,.T.); #198615=EDGE_CURVE('',#161183,#161181,#84519,.T.); #198616=EDGE_CURVE('',#161182,#161183,#84520,.T.); #198617=EDGE_CURVE('',#161184,#161182,#84521,.T.); #198618=EDGE_CURVE('',#161185,#161183,#84522,.T.); #198619=EDGE_CURVE('',#161184,#161185,#84523,.T.); #198620=EDGE_CURVE('',#161186,#161184,#84524,.T.); #198621=EDGE_CURVE('',#161187,#161185,#84525,.T.); #198622=EDGE_CURVE('',#161186,#161187,#84526,.T.); #198623=EDGE_CURVE('',#161188,#161186,#84527,.T.); #198624=EDGE_CURVE('',#161189,#161187,#84528,.T.); #198625=EDGE_CURVE('',#161188,#161189,#84529,.T.); #198626=EDGE_CURVE('',#161149,#161188,#84530,.T.); #198627=EDGE_CURVE('',#161150,#161189,#84531,.T.); #198628=EDGE_CURVE('',#161190,#161191,#84532,.T.); #198629=EDGE_CURVE('',#161191,#161192,#84533,.T.); #198630=EDGE_CURVE('',#161193,#161192,#84534,.T.); #198631=EDGE_CURVE('',#161190,#161193,#84535,.T.); #198632=EDGE_CURVE('',#161194,#161190,#84536,.T.); #198633=EDGE_CURVE('',#161195,#161193,#84537,.T.); #198634=EDGE_CURVE('',#161194,#161195,#84538,.T.); #198635=EDGE_CURVE('',#161196,#161194,#84539,.T.); #198636=EDGE_CURVE('',#161197,#161195,#84540,.T.); #198637=EDGE_CURVE('',#161196,#161197,#84541,.T.); #198638=EDGE_CURVE('',#161198,#161196,#84542,.T.); #198639=EDGE_CURVE('',#161199,#161197,#84543,.T.); #198640=EDGE_CURVE('',#161198,#161199,#84544,.T.); #198641=EDGE_CURVE('',#161200,#161198,#84545,.T.); #198642=EDGE_CURVE('',#161201,#161199,#84546,.T.); #198643=EDGE_CURVE('',#161200,#161201,#84547,.T.); #198644=EDGE_CURVE('',#161202,#161200,#84548,.T.); #198645=EDGE_CURVE('',#161203,#161201,#84549,.T.); #198646=EDGE_CURVE('',#161202,#161203,#84550,.T.); #198647=EDGE_CURVE('',#161204,#161202,#84551,.T.); #198648=EDGE_CURVE('',#161205,#161203,#84552,.T.); #198649=EDGE_CURVE('',#161204,#161205,#84553,.T.); #198650=EDGE_CURVE('',#161206,#161204,#84554,.T.); #198651=EDGE_CURVE('',#161207,#161205,#84555,.T.); #198652=EDGE_CURVE('',#161206,#161207,#84556,.T.); #198653=EDGE_CURVE('',#161208,#161206,#84557,.T.); #198654=EDGE_CURVE('',#161209,#161207,#84558,.T.); #198655=EDGE_CURVE('',#161208,#161209,#84559,.T.); #198656=EDGE_CURVE('',#161210,#161208,#84560,.T.); #198657=EDGE_CURVE('',#161211,#161209,#84561,.T.); #198658=EDGE_CURVE('',#161210,#161211,#84562,.T.); #198659=EDGE_CURVE('',#161212,#161210,#84563,.T.); #198660=EDGE_CURVE('',#161213,#161211,#84564,.T.); #198661=EDGE_CURVE('',#161212,#161213,#84565,.T.); #198662=EDGE_CURVE('',#161214,#161212,#84566,.T.); #198663=EDGE_CURVE('',#161215,#161213,#84567,.T.); #198664=EDGE_CURVE('',#161214,#161215,#84568,.T.); #198665=EDGE_CURVE('',#161216,#161214,#84569,.T.); #198666=EDGE_CURVE('',#161217,#161215,#84570,.T.); #198667=EDGE_CURVE('',#161216,#161217,#84571,.T.); #198668=EDGE_CURVE('',#161218,#161216,#84572,.T.); #198669=EDGE_CURVE('',#161219,#161217,#84573,.T.); #198670=EDGE_CURVE('',#161218,#161219,#84574,.T.); #198671=EDGE_CURVE('',#161220,#161218,#84575,.T.); #198672=EDGE_CURVE('',#161221,#161219,#84576,.T.); #198673=EDGE_CURVE('',#161220,#161221,#84577,.T.); #198674=EDGE_CURVE('',#161222,#161220,#84578,.T.); #198675=EDGE_CURVE('',#161223,#161221,#84579,.T.); #198676=EDGE_CURVE('',#161222,#161223,#84580,.T.); #198677=EDGE_CURVE('',#161224,#161222,#84581,.T.); #198678=EDGE_CURVE('',#161225,#161223,#84582,.T.); #198679=EDGE_CURVE('',#161224,#161225,#84583,.T.); #198680=EDGE_CURVE('',#161226,#161224,#84584,.T.); #198681=EDGE_CURVE('',#161227,#161225,#84585,.T.); #198682=EDGE_CURVE('',#161226,#161227,#84586,.T.); #198683=EDGE_CURVE('',#161191,#161226,#84587,.T.); #198684=EDGE_CURVE('',#161192,#161227,#84588,.T.); #198685=EDGE_CURVE('',#161228,#161229,#84589,.T.); #198686=EDGE_CURVE('',#161229,#161230,#84590,.T.); #198687=EDGE_CURVE('',#161231,#161230,#84591,.T.); #198688=EDGE_CURVE('',#161228,#161231,#84592,.T.); #198689=EDGE_CURVE('',#161232,#161228,#84593,.T.); #198690=EDGE_CURVE('',#161233,#161231,#84594,.T.); #198691=EDGE_CURVE('',#161232,#161233,#84595,.T.); #198692=EDGE_CURVE('',#161234,#161232,#84596,.T.); #198693=EDGE_CURVE('',#161235,#161233,#84597,.T.); #198694=EDGE_CURVE('',#161234,#161235,#84598,.T.); #198695=EDGE_CURVE('',#161236,#161234,#84599,.T.); #198696=EDGE_CURVE('',#161237,#161235,#84600,.T.); #198697=EDGE_CURVE('',#161236,#161237,#84601,.T.); #198698=EDGE_CURVE('',#161238,#161236,#84602,.T.); #198699=EDGE_CURVE('',#161239,#161237,#84603,.T.); #198700=EDGE_CURVE('',#161238,#161239,#84604,.T.); #198701=EDGE_CURVE('',#161240,#161238,#84605,.T.); #198702=EDGE_CURVE('',#161241,#161239,#84606,.T.); #198703=EDGE_CURVE('',#161240,#161241,#84607,.T.); #198704=EDGE_CURVE('',#161242,#161240,#84608,.T.); #198705=EDGE_CURVE('',#161243,#161241,#84609,.T.); #198706=EDGE_CURVE('',#161242,#161243,#84610,.T.); #198707=EDGE_CURVE('',#161244,#161242,#84611,.T.); #198708=EDGE_CURVE('',#161245,#161243,#84612,.T.); #198709=EDGE_CURVE('',#161244,#161245,#84613,.T.); #198710=EDGE_CURVE('',#161246,#161244,#84614,.T.); #198711=EDGE_CURVE('',#161247,#161245,#84615,.T.); #198712=EDGE_CURVE('',#161246,#161247,#84616,.T.); #198713=EDGE_CURVE('',#161248,#161246,#84617,.T.); #198714=EDGE_CURVE('',#161249,#161247,#84618,.T.); #198715=EDGE_CURVE('',#161248,#161249,#84619,.T.); #198716=EDGE_CURVE('',#161250,#161248,#84620,.T.); #198717=EDGE_CURVE('',#161251,#161249,#84621,.T.); #198718=EDGE_CURVE('',#161250,#161251,#84622,.T.); #198719=EDGE_CURVE('',#161252,#161250,#84623,.T.); #198720=EDGE_CURVE('',#161253,#161251,#84624,.T.); #198721=EDGE_CURVE('',#161252,#161253,#84625,.T.); #198722=EDGE_CURVE('',#161254,#161252,#84626,.T.); #198723=EDGE_CURVE('',#161255,#161253,#84627,.T.); #198724=EDGE_CURVE('',#161254,#161255,#84628,.T.); #198725=EDGE_CURVE('',#161256,#161254,#84629,.T.); #198726=EDGE_CURVE('',#161257,#161255,#84630,.T.); #198727=EDGE_CURVE('',#161256,#161257,#84631,.T.); #198728=EDGE_CURVE('',#161258,#161256,#84632,.T.); #198729=EDGE_CURVE('',#161259,#161257,#84633,.T.); #198730=EDGE_CURVE('',#161258,#161259,#84634,.T.); #198731=EDGE_CURVE('',#161260,#161258,#84635,.T.); #198732=EDGE_CURVE('',#161261,#161259,#84636,.T.); #198733=EDGE_CURVE('',#161260,#161261,#84637,.T.); #198734=EDGE_CURVE('',#161262,#161260,#84638,.T.); #198735=EDGE_CURVE('',#161263,#161261,#84639,.T.); #198736=EDGE_CURVE('',#161262,#161263,#84640,.T.); #198737=EDGE_CURVE('',#161264,#161262,#84641,.T.); #198738=EDGE_CURVE('',#161265,#161263,#84642,.T.); #198739=EDGE_CURVE('',#161264,#161265,#84643,.T.); #198740=EDGE_CURVE('',#161229,#161264,#84644,.T.); #198741=EDGE_CURVE('',#161230,#161265,#84645,.T.); #198742=EDGE_CURVE('',#161266,#161267,#84646,.T.); #198743=EDGE_CURVE('',#161267,#161268,#84647,.T.); #198744=EDGE_CURVE('',#161269,#161268,#84648,.T.); #198745=EDGE_CURVE('',#161266,#161269,#84649,.T.); #198746=EDGE_CURVE('',#161270,#161266,#84650,.T.); #198747=EDGE_CURVE('',#161271,#161269,#84651,.T.); #198748=EDGE_CURVE('',#161270,#161271,#84652,.T.); #198749=EDGE_CURVE('',#161272,#161270,#84653,.T.); #198750=EDGE_CURVE('',#161273,#161271,#84654,.T.); #198751=EDGE_CURVE('',#161272,#161273,#84655,.T.); #198752=EDGE_CURVE('',#161274,#161272,#84656,.T.); #198753=EDGE_CURVE('',#161275,#161273,#84657,.T.); #198754=EDGE_CURVE('',#161274,#161275,#84658,.T.); #198755=EDGE_CURVE('',#161276,#161274,#84659,.T.); #198756=EDGE_CURVE('',#161277,#161275,#84660,.T.); #198757=EDGE_CURVE('',#161276,#161277,#84661,.T.); #198758=EDGE_CURVE('',#161278,#161276,#84662,.T.); #198759=EDGE_CURVE('',#161279,#161277,#84663,.T.); #198760=EDGE_CURVE('',#161278,#161279,#84664,.T.); #198761=EDGE_CURVE('',#161280,#161278,#84665,.T.); #198762=EDGE_CURVE('',#161281,#161279,#84666,.T.); #198763=EDGE_CURVE('',#161280,#161281,#84667,.T.); #198764=EDGE_CURVE('',#161282,#161280,#84668,.T.); #198765=EDGE_CURVE('',#161283,#161281,#84669,.T.); #198766=EDGE_CURVE('',#161282,#161283,#84670,.T.); #198767=EDGE_CURVE('',#161284,#161282,#84671,.T.); #198768=EDGE_CURVE('',#161285,#161283,#84672,.T.); #198769=EDGE_CURVE('',#161284,#161285,#84673,.T.); #198770=EDGE_CURVE('',#161286,#161284,#84674,.T.); #198771=EDGE_CURVE('',#161287,#161285,#84675,.T.); #198772=EDGE_CURVE('',#161286,#161287,#84676,.T.); #198773=EDGE_CURVE('',#161288,#161286,#84677,.T.); #198774=EDGE_CURVE('',#161289,#161287,#84678,.T.); #198775=EDGE_CURVE('',#161288,#161289,#84679,.T.); #198776=EDGE_CURVE('',#161290,#161288,#84680,.T.); #198777=EDGE_CURVE('',#161291,#161289,#84681,.T.); #198778=EDGE_CURVE('',#161290,#161291,#84682,.T.); #198779=EDGE_CURVE('',#161292,#161290,#84683,.T.); #198780=EDGE_CURVE('',#161293,#161291,#84684,.T.); #198781=EDGE_CURVE('',#161292,#161293,#84685,.T.); #198782=EDGE_CURVE('',#161294,#161292,#84686,.T.); #198783=EDGE_CURVE('',#161295,#161293,#84687,.T.); #198784=EDGE_CURVE('',#161294,#161295,#84688,.T.); #198785=EDGE_CURVE('',#161296,#161294,#84689,.T.); #198786=EDGE_CURVE('',#161297,#161295,#84690,.T.); #198787=EDGE_CURVE('',#161296,#161297,#84691,.T.); #198788=EDGE_CURVE('',#161298,#161296,#84692,.T.); #198789=EDGE_CURVE('',#161299,#161297,#84693,.T.); #198790=EDGE_CURVE('',#161298,#161299,#84694,.T.); #198791=EDGE_CURVE('',#161300,#161298,#84695,.T.); #198792=EDGE_CURVE('',#161301,#161299,#84696,.T.); #198793=EDGE_CURVE('',#161300,#161301,#84697,.T.); #198794=EDGE_CURVE('',#161302,#161300,#84698,.T.); #198795=EDGE_CURVE('',#161303,#161301,#84699,.T.); #198796=EDGE_CURVE('',#161302,#161303,#84700,.T.); #198797=EDGE_CURVE('',#161267,#161302,#84701,.T.); #198798=EDGE_CURVE('',#161268,#161303,#84702,.T.); #198799=EDGE_CURVE('',#161304,#161305,#84703,.T.); #198800=EDGE_CURVE('',#161305,#161306,#84704,.T.); #198801=EDGE_CURVE('',#161307,#161306,#84705,.T.); #198802=EDGE_CURVE('',#161304,#161307,#84706,.T.); #198803=EDGE_CURVE('',#161308,#161304,#84707,.T.); #198804=EDGE_CURVE('',#161309,#161307,#84708,.T.); #198805=EDGE_CURVE('',#161308,#161309,#84709,.T.); #198806=EDGE_CURVE('',#161310,#161308,#84710,.T.); #198807=EDGE_CURVE('',#161311,#161309,#84711,.T.); #198808=EDGE_CURVE('',#161310,#161311,#84712,.T.); #198809=EDGE_CURVE('',#161312,#161310,#84713,.T.); #198810=EDGE_CURVE('',#161313,#161311,#84714,.T.); #198811=EDGE_CURVE('',#161312,#161313,#84715,.T.); #198812=EDGE_CURVE('',#161314,#161312,#84716,.T.); #198813=EDGE_CURVE('',#161315,#161313,#84717,.T.); #198814=EDGE_CURVE('',#161314,#161315,#84718,.T.); #198815=EDGE_CURVE('',#161316,#161314,#84719,.T.); #198816=EDGE_CURVE('',#161317,#161315,#84720,.T.); #198817=EDGE_CURVE('',#161316,#161317,#84721,.T.); #198818=EDGE_CURVE('',#161318,#161316,#84722,.T.); #198819=EDGE_CURVE('',#161319,#161317,#84723,.T.); #198820=EDGE_CURVE('',#161318,#161319,#84724,.T.); #198821=EDGE_CURVE('',#161320,#161318,#84725,.T.); #198822=EDGE_CURVE('',#161321,#161319,#84726,.T.); #198823=EDGE_CURVE('',#161320,#161321,#84727,.T.); #198824=EDGE_CURVE('',#161322,#161320,#84728,.T.); #198825=EDGE_CURVE('',#161323,#161321,#84729,.T.); #198826=EDGE_CURVE('',#161322,#161323,#84730,.T.); #198827=EDGE_CURVE('',#161324,#161322,#84731,.T.); #198828=EDGE_CURVE('',#161325,#161323,#84732,.T.); #198829=EDGE_CURVE('',#161324,#161325,#84733,.T.); #198830=EDGE_CURVE('',#161326,#161324,#84734,.T.); #198831=EDGE_CURVE('',#161327,#161325,#84735,.T.); #198832=EDGE_CURVE('',#161326,#161327,#84736,.T.); #198833=EDGE_CURVE('',#161328,#161326,#84737,.T.); #198834=EDGE_CURVE('',#161329,#161327,#84738,.T.); #198835=EDGE_CURVE('',#161328,#161329,#84739,.T.); #198836=EDGE_CURVE('',#161330,#161328,#84740,.T.); #198837=EDGE_CURVE('',#161331,#161329,#84741,.T.); #198838=EDGE_CURVE('',#161330,#161331,#84742,.T.); #198839=EDGE_CURVE('',#161332,#161330,#84743,.T.); #198840=EDGE_CURVE('',#161333,#161331,#84744,.T.); #198841=EDGE_CURVE('',#161332,#161333,#84745,.T.); #198842=EDGE_CURVE('',#161334,#161332,#84746,.T.); #198843=EDGE_CURVE('',#161335,#161333,#84747,.T.); #198844=EDGE_CURVE('',#161334,#161335,#84748,.T.); #198845=EDGE_CURVE('',#161336,#161334,#84749,.T.); #198846=EDGE_CURVE('',#161337,#161335,#84750,.T.); #198847=EDGE_CURVE('',#161336,#161337,#84751,.T.); #198848=EDGE_CURVE('',#161338,#161336,#84752,.T.); #198849=EDGE_CURVE('',#161339,#161337,#84753,.T.); #198850=EDGE_CURVE('',#161338,#161339,#84754,.T.); #198851=EDGE_CURVE('',#161340,#161338,#84755,.T.); #198852=EDGE_CURVE('',#161341,#161339,#84756,.T.); #198853=EDGE_CURVE('',#161340,#161341,#84757,.T.); #198854=EDGE_CURVE('',#161342,#161340,#84758,.T.); #198855=EDGE_CURVE('',#161343,#161341,#84759,.T.); #198856=EDGE_CURVE('',#161342,#161343,#84760,.T.); #198857=EDGE_CURVE('',#161344,#161342,#84761,.T.); #198858=EDGE_CURVE('',#161345,#161343,#84762,.T.); #198859=EDGE_CURVE('',#161344,#161345,#84763,.T.); #198860=EDGE_CURVE('',#161346,#161344,#84764,.T.); #198861=EDGE_CURVE('',#161347,#161345,#84765,.T.); #198862=EDGE_CURVE('',#161346,#161347,#84766,.T.); #198863=EDGE_CURVE('',#161348,#161346,#84767,.T.); #198864=EDGE_CURVE('',#161349,#161347,#84768,.T.); #198865=EDGE_CURVE('',#161348,#161349,#84769,.T.); #198866=EDGE_CURVE('',#161350,#161348,#84770,.T.); #198867=EDGE_CURVE('',#161351,#161349,#84771,.T.); #198868=EDGE_CURVE('',#161350,#161351,#84772,.T.); #198869=EDGE_CURVE('',#161352,#161350,#84773,.T.); #198870=EDGE_CURVE('',#161353,#161351,#84774,.T.); #198871=EDGE_CURVE('',#161352,#161353,#84775,.T.); #198872=EDGE_CURVE('',#161354,#161352,#84776,.T.); #198873=EDGE_CURVE('',#161355,#161353,#84777,.T.); #198874=EDGE_CURVE('',#161354,#161355,#84778,.T.); #198875=EDGE_CURVE('',#161356,#161354,#84779,.T.); #198876=EDGE_CURVE('',#161357,#161355,#84780,.T.); #198877=EDGE_CURVE('',#161356,#161357,#84781,.T.); #198878=EDGE_CURVE('',#161358,#161356,#84782,.T.); #198879=EDGE_CURVE('',#161359,#161357,#84783,.T.); #198880=EDGE_CURVE('',#161358,#161359,#84784,.T.); #198881=EDGE_CURVE('',#161360,#161358,#84785,.T.); #198882=EDGE_CURVE('',#161361,#161359,#84786,.T.); #198883=EDGE_CURVE('',#161360,#161361,#84787,.T.); #198884=EDGE_CURVE('',#161362,#161360,#84788,.T.); #198885=EDGE_CURVE('',#161363,#161361,#84789,.T.); #198886=EDGE_CURVE('',#161362,#161363,#84790,.T.); #198887=EDGE_CURVE('',#161364,#161362,#84791,.T.); #198888=EDGE_CURVE('',#161365,#161363,#84792,.T.); #198889=EDGE_CURVE('',#161364,#161365,#84793,.T.); #198890=EDGE_CURVE('',#161366,#161364,#84794,.T.); #198891=EDGE_CURVE('',#161367,#161365,#84795,.T.); #198892=EDGE_CURVE('',#161366,#161367,#84796,.T.); #198893=EDGE_CURVE('',#161368,#161366,#84797,.T.); #198894=EDGE_CURVE('',#161369,#161367,#84798,.T.); #198895=EDGE_CURVE('',#161368,#161369,#84799,.T.); #198896=EDGE_CURVE('',#161370,#161368,#84800,.T.); #198897=EDGE_CURVE('',#161371,#161369,#84801,.T.); #198898=EDGE_CURVE('',#161370,#161371,#84802,.T.); #198899=EDGE_CURVE('',#161372,#161370,#84803,.T.); #198900=EDGE_CURVE('',#161373,#161371,#84804,.T.); #198901=EDGE_CURVE('',#161372,#161373,#84805,.T.); #198902=EDGE_CURVE('',#161374,#161372,#84806,.T.); #198903=EDGE_CURVE('',#161375,#161373,#84807,.T.); #198904=EDGE_CURVE('',#161374,#161375,#84808,.T.); #198905=EDGE_CURVE('',#161376,#161374,#84809,.T.); #198906=EDGE_CURVE('',#161377,#161375,#84810,.T.); #198907=EDGE_CURVE('',#161376,#161377,#84811,.T.); #198908=EDGE_CURVE('',#161305,#161376,#84812,.T.); #198909=EDGE_CURVE('',#161306,#161377,#84813,.T.); #198910=EDGE_CURVE('',#161378,#161379,#84814,.T.); #198911=EDGE_CURVE('',#161379,#161380,#84815,.T.); #198912=EDGE_CURVE('',#161381,#161380,#84816,.T.); #198913=EDGE_CURVE('',#161378,#161381,#84817,.T.); #198914=EDGE_CURVE('',#161382,#161378,#84818,.T.); #198915=EDGE_CURVE('',#161383,#161381,#84819,.T.); #198916=EDGE_CURVE('',#161382,#161383,#84820,.T.); #198917=EDGE_CURVE('',#161384,#161382,#84821,.T.); #198918=EDGE_CURVE('',#161385,#161383,#84822,.T.); #198919=EDGE_CURVE('',#161384,#161385,#84823,.T.); #198920=EDGE_CURVE('',#161386,#161384,#84824,.T.); #198921=EDGE_CURVE('',#161387,#161385,#84825,.T.); #198922=EDGE_CURVE('',#161386,#161387,#84826,.T.); #198923=EDGE_CURVE('',#161388,#161386,#84827,.T.); #198924=EDGE_CURVE('',#161389,#161387,#84828,.T.); #198925=EDGE_CURVE('',#161388,#161389,#84829,.T.); #198926=EDGE_CURVE('',#161390,#161388,#84830,.T.); #198927=EDGE_CURVE('',#161391,#161389,#84831,.T.); #198928=EDGE_CURVE('',#161390,#161391,#84832,.T.); #198929=EDGE_CURVE('',#161392,#161390,#84833,.T.); #198930=EDGE_CURVE('',#161393,#161391,#84834,.T.); #198931=EDGE_CURVE('',#161392,#161393,#84835,.T.); #198932=EDGE_CURVE('',#161394,#161392,#84836,.T.); #198933=EDGE_CURVE('',#161395,#161393,#84837,.T.); #198934=EDGE_CURVE('',#161394,#161395,#84838,.T.); #198935=EDGE_CURVE('',#161396,#161394,#84839,.T.); #198936=EDGE_CURVE('',#161397,#161395,#84840,.T.); #198937=EDGE_CURVE('',#161396,#161397,#84841,.T.); #198938=EDGE_CURVE('',#161398,#161396,#84842,.T.); #198939=EDGE_CURVE('',#161399,#161397,#84843,.T.); #198940=EDGE_CURVE('',#161398,#161399,#84844,.T.); #198941=EDGE_CURVE('',#161400,#161398,#84845,.T.); #198942=EDGE_CURVE('',#161401,#161399,#84846,.T.); #198943=EDGE_CURVE('',#161400,#161401,#84847,.T.); #198944=EDGE_CURVE('',#161402,#161400,#84848,.T.); #198945=EDGE_CURVE('',#161403,#161401,#84849,.T.); #198946=EDGE_CURVE('',#161402,#161403,#84850,.T.); #198947=EDGE_CURVE('',#161404,#161402,#84851,.T.); #198948=EDGE_CURVE('',#161405,#161403,#84852,.T.); #198949=EDGE_CURVE('',#161404,#161405,#84853,.T.); #198950=EDGE_CURVE('',#161406,#161404,#84854,.T.); #198951=EDGE_CURVE('',#161407,#161405,#84855,.T.); #198952=EDGE_CURVE('',#161406,#161407,#84856,.T.); #198953=EDGE_CURVE('',#161408,#161406,#84857,.T.); #198954=EDGE_CURVE('',#161409,#161407,#84858,.T.); #198955=EDGE_CURVE('',#161408,#161409,#84859,.T.); #198956=EDGE_CURVE('',#161410,#161408,#84860,.T.); #198957=EDGE_CURVE('',#161411,#161409,#84861,.T.); #198958=EDGE_CURVE('',#161410,#161411,#84862,.T.); #198959=EDGE_CURVE('',#161412,#161410,#84863,.T.); #198960=EDGE_CURVE('',#161413,#161411,#84864,.T.); #198961=EDGE_CURVE('',#161412,#161413,#84865,.T.); #198962=EDGE_CURVE('',#161414,#161412,#84866,.T.); #198963=EDGE_CURVE('',#161415,#161413,#84867,.T.); #198964=EDGE_CURVE('',#161414,#161415,#84868,.T.); #198965=EDGE_CURVE('',#161416,#161414,#84869,.T.); #198966=EDGE_CURVE('',#161417,#161415,#84870,.T.); #198967=EDGE_CURVE('',#161416,#161417,#84871,.T.); #198968=EDGE_CURVE('',#161379,#161416,#84872,.T.); #198969=EDGE_CURVE('',#161380,#161417,#84873,.T.); #198970=EDGE_CURVE('',#161418,#161419,#84874,.T.); #198971=EDGE_CURVE('',#161419,#161420,#84875,.T.); #198972=EDGE_CURVE('',#161421,#161420,#84876,.T.); #198973=EDGE_CURVE('',#161418,#161421,#84877,.T.); #198974=EDGE_CURVE('',#161422,#161418,#84878,.T.); #198975=EDGE_CURVE('',#161423,#161421,#84879,.T.); #198976=EDGE_CURVE('',#161422,#161423,#84880,.T.); #198977=EDGE_CURVE('',#161424,#161422,#84881,.T.); #198978=EDGE_CURVE('',#161425,#161423,#84882,.T.); #198979=EDGE_CURVE('',#161424,#161425,#84883,.T.); #198980=EDGE_CURVE('',#161426,#161424,#84884,.T.); #198981=EDGE_CURVE('',#161427,#161425,#84885,.T.); #198982=EDGE_CURVE('',#161426,#161427,#84886,.T.); #198983=EDGE_CURVE('',#161428,#161426,#84887,.T.); #198984=EDGE_CURVE('',#161429,#161427,#84888,.T.); #198985=EDGE_CURVE('',#161428,#161429,#84889,.T.); #198986=EDGE_CURVE('',#161430,#161428,#84890,.T.); #198987=EDGE_CURVE('',#161431,#161429,#84891,.T.); #198988=EDGE_CURVE('',#161430,#161431,#84892,.T.); #198989=EDGE_CURVE('',#161432,#161430,#84893,.T.); #198990=EDGE_CURVE('',#161433,#161431,#84894,.T.); #198991=EDGE_CURVE('',#161432,#161433,#84895,.T.); #198992=EDGE_CURVE('',#161434,#161432,#84896,.T.); #198993=EDGE_CURVE('',#161435,#161433,#84897,.T.); #198994=EDGE_CURVE('',#161434,#161435,#84898,.T.); #198995=EDGE_CURVE('',#161436,#161434,#84899,.T.); #198996=EDGE_CURVE('',#161437,#161435,#84900,.T.); #198997=EDGE_CURVE('',#161436,#161437,#84901,.T.); #198998=EDGE_CURVE('',#161438,#161436,#84902,.T.); #198999=EDGE_CURVE('',#161439,#161437,#84903,.T.); #199000=EDGE_CURVE('',#161438,#161439,#84904,.T.); #199001=EDGE_CURVE('',#161440,#161438,#84905,.T.); #199002=EDGE_CURVE('',#161441,#161439,#84906,.T.); #199003=EDGE_CURVE('',#161440,#161441,#84907,.T.); #199004=EDGE_CURVE('',#161442,#161440,#84908,.T.); #199005=EDGE_CURVE('',#161443,#161441,#84909,.T.); #199006=EDGE_CURVE('',#161442,#161443,#84910,.T.); #199007=EDGE_CURVE('',#161444,#161442,#84911,.T.); #199008=EDGE_CURVE('',#161445,#161443,#84912,.T.); #199009=EDGE_CURVE('',#161444,#161445,#84913,.T.); #199010=EDGE_CURVE('',#161446,#161444,#84914,.T.); #199011=EDGE_CURVE('',#161447,#161445,#84915,.T.); #199012=EDGE_CURVE('',#161446,#161447,#84916,.T.); #199013=EDGE_CURVE('',#161448,#161446,#84917,.T.); #199014=EDGE_CURVE('',#161449,#161447,#84918,.T.); #199015=EDGE_CURVE('',#161448,#161449,#84919,.T.); #199016=EDGE_CURVE('',#161450,#161448,#84920,.T.); #199017=EDGE_CURVE('',#161451,#161449,#84921,.T.); #199018=EDGE_CURVE('',#161450,#161451,#84922,.T.); #199019=EDGE_CURVE('',#161452,#161450,#84923,.T.); #199020=EDGE_CURVE('',#161453,#161451,#84924,.T.); #199021=EDGE_CURVE('',#161452,#161453,#84925,.T.); #199022=EDGE_CURVE('',#161454,#161452,#84926,.T.); #199023=EDGE_CURVE('',#161455,#161453,#84927,.T.); #199024=EDGE_CURVE('',#161454,#161455,#84928,.T.); #199025=EDGE_CURVE('',#161456,#161454,#84929,.T.); #199026=EDGE_CURVE('',#161457,#161455,#84930,.T.); #199027=EDGE_CURVE('',#161456,#161457,#84931,.T.); #199028=EDGE_CURVE('',#161458,#161456,#84932,.T.); #199029=EDGE_CURVE('',#161459,#161457,#84933,.T.); #199030=EDGE_CURVE('',#161458,#161459,#84934,.T.); #199031=EDGE_CURVE('',#161460,#161458,#84935,.T.); #199032=EDGE_CURVE('',#161461,#161459,#84936,.T.); #199033=EDGE_CURVE('',#161460,#161461,#84937,.T.); #199034=EDGE_CURVE('',#161462,#161460,#84938,.T.); #199035=EDGE_CURVE('',#161463,#161461,#84939,.T.); #199036=EDGE_CURVE('',#161462,#161463,#84940,.T.); #199037=EDGE_CURVE('',#161464,#161462,#84941,.T.); #199038=EDGE_CURVE('',#161465,#161463,#84942,.T.); #199039=EDGE_CURVE('',#161464,#161465,#84943,.T.); #199040=EDGE_CURVE('',#161466,#161464,#84944,.T.); #199041=EDGE_CURVE('',#161467,#161465,#84945,.T.); #199042=EDGE_CURVE('',#161466,#161467,#84946,.T.); #199043=EDGE_CURVE('',#161468,#161466,#84947,.T.); #199044=EDGE_CURVE('',#161469,#161467,#84948,.T.); #199045=EDGE_CURVE('',#161468,#161469,#84949,.T.); #199046=EDGE_CURVE('',#161470,#161468,#84950,.T.); #199047=EDGE_CURVE('',#161471,#161469,#84951,.T.); #199048=EDGE_CURVE('',#161470,#161471,#84952,.T.); #199049=EDGE_CURVE('',#161472,#161470,#84953,.T.); #199050=EDGE_CURVE('',#161473,#161471,#84954,.T.); #199051=EDGE_CURVE('',#161472,#161473,#84955,.T.); #199052=EDGE_CURVE('',#161474,#161472,#84956,.T.); #199053=EDGE_CURVE('',#161475,#161473,#84957,.T.); #199054=EDGE_CURVE('',#161474,#161475,#84958,.T.); #199055=EDGE_CURVE('',#161476,#161474,#84959,.T.); #199056=EDGE_CURVE('',#161477,#161475,#84960,.T.); #199057=EDGE_CURVE('',#161476,#161477,#84961,.T.); #199058=EDGE_CURVE('',#161478,#161476,#84962,.T.); #199059=EDGE_CURVE('',#161479,#161477,#84963,.T.); #199060=EDGE_CURVE('',#161478,#161479,#84964,.T.); #199061=EDGE_CURVE('',#161480,#161478,#84965,.T.); #199062=EDGE_CURVE('',#161481,#161479,#84966,.T.); #199063=EDGE_CURVE('',#161480,#161481,#84967,.T.); #199064=EDGE_CURVE('',#161482,#161480,#84968,.T.); #199065=EDGE_CURVE('',#161483,#161481,#84969,.T.); #199066=EDGE_CURVE('',#161482,#161483,#84970,.T.); #199067=EDGE_CURVE('',#161484,#161482,#84971,.T.); #199068=EDGE_CURVE('',#161485,#161483,#84972,.T.); #199069=EDGE_CURVE('',#161484,#161485,#84973,.T.); #199070=EDGE_CURVE('',#161486,#161484,#84974,.T.); #199071=EDGE_CURVE('',#161487,#161485,#84975,.T.); #199072=EDGE_CURVE('',#161486,#161487,#84976,.T.); #199073=EDGE_CURVE('',#161488,#161486,#84977,.T.); #199074=EDGE_CURVE('',#161489,#161487,#84978,.T.); #199075=EDGE_CURVE('',#161488,#161489,#84979,.T.); #199076=EDGE_CURVE('',#161490,#161488,#84980,.T.); #199077=EDGE_CURVE('',#161491,#161489,#84981,.T.); #199078=EDGE_CURVE('',#161490,#161491,#84982,.T.); #199079=EDGE_CURVE('',#161492,#161490,#84983,.T.); #199080=EDGE_CURVE('',#161493,#161491,#84984,.T.); #199081=EDGE_CURVE('',#161492,#161493,#84985,.T.); #199082=EDGE_CURVE('',#161494,#161492,#84986,.T.); #199083=EDGE_CURVE('',#161495,#161493,#84987,.T.); #199084=EDGE_CURVE('',#161494,#161495,#84988,.T.); #199085=EDGE_CURVE('',#161496,#161494,#84989,.T.); #199086=EDGE_CURVE('',#161497,#161495,#84990,.T.); #199087=EDGE_CURVE('',#161496,#161497,#84991,.T.); #199088=EDGE_CURVE('',#161498,#161496,#84992,.T.); #199089=EDGE_CURVE('',#161499,#161497,#84993,.T.); #199090=EDGE_CURVE('',#161498,#161499,#84994,.T.); #199091=EDGE_CURVE('',#161500,#161498,#84995,.T.); #199092=EDGE_CURVE('',#161501,#161499,#84996,.T.); #199093=EDGE_CURVE('',#161500,#161501,#84997,.T.); #199094=EDGE_CURVE('',#161502,#161500,#84998,.T.); #199095=EDGE_CURVE('',#161503,#161501,#84999,.T.); #199096=EDGE_CURVE('',#161502,#161503,#85000,.T.); #199097=EDGE_CURVE('',#161504,#161502,#85001,.T.); #199098=EDGE_CURVE('',#161505,#161503,#85002,.T.); #199099=EDGE_CURVE('',#161504,#161505,#85003,.T.); #199100=EDGE_CURVE('',#161506,#161504,#85004,.T.); #199101=EDGE_CURVE('',#161507,#161505,#85005,.T.); #199102=EDGE_CURVE('',#161506,#161507,#85006,.T.); #199103=EDGE_CURVE('',#161508,#161506,#85007,.T.); #199104=EDGE_CURVE('',#161509,#161507,#85008,.T.); #199105=EDGE_CURVE('',#161508,#161509,#85009,.T.); #199106=EDGE_CURVE('',#161510,#161508,#85010,.T.); #199107=EDGE_CURVE('',#161511,#161509,#85011,.T.); #199108=EDGE_CURVE('',#161510,#161511,#85012,.T.); #199109=EDGE_CURVE('',#161512,#161510,#85013,.T.); #199110=EDGE_CURVE('',#161513,#161511,#85014,.T.); #199111=EDGE_CURVE('',#161512,#161513,#85015,.T.); #199112=EDGE_CURVE('',#161419,#161512,#85016,.T.); #199113=EDGE_CURVE('',#161420,#161513,#85017,.T.); #199114=EDGE_CURVE('',#161514,#161515,#85018,.T.); #199115=EDGE_CURVE('',#161515,#161516,#85019,.T.); #199116=EDGE_CURVE('',#161517,#161516,#85020,.T.); #199117=EDGE_CURVE('',#161514,#161517,#85021,.T.); #199118=EDGE_CURVE('',#161518,#161514,#85022,.T.); #199119=EDGE_CURVE('',#161519,#161517,#85023,.T.); #199120=EDGE_CURVE('',#161518,#161519,#85024,.T.); #199121=EDGE_CURVE('',#161520,#161518,#85025,.T.); #199122=EDGE_CURVE('',#161521,#161519,#85026,.T.); #199123=EDGE_CURVE('',#161520,#161521,#85027,.T.); #199124=EDGE_CURVE('',#161522,#161520,#85028,.T.); #199125=EDGE_CURVE('',#161523,#161521,#85029,.T.); #199126=EDGE_CURVE('',#161522,#161523,#85030,.T.); #199127=EDGE_CURVE('',#161524,#161522,#85031,.T.); #199128=EDGE_CURVE('',#161525,#161523,#85032,.T.); #199129=EDGE_CURVE('',#161524,#161525,#85033,.T.); #199130=EDGE_CURVE('',#161526,#161524,#85034,.T.); #199131=EDGE_CURVE('',#161527,#161525,#85035,.T.); #199132=EDGE_CURVE('',#161526,#161527,#85036,.T.); #199133=EDGE_CURVE('',#161528,#161526,#85037,.T.); #199134=EDGE_CURVE('',#161529,#161527,#85038,.T.); #199135=EDGE_CURVE('',#161528,#161529,#85039,.T.); #199136=EDGE_CURVE('',#161530,#161528,#85040,.T.); #199137=EDGE_CURVE('',#161531,#161529,#85041,.T.); #199138=EDGE_CURVE('',#161530,#161531,#85042,.T.); #199139=EDGE_CURVE('',#161532,#161530,#85043,.T.); #199140=EDGE_CURVE('',#161533,#161531,#85044,.T.); #199141=EDGE_CURVE('',#161532,#161533,#85045,.T.); #199142=EDGE_CURVE('',#161534,#161532,#85046,.T.); #199143=EDGE_CURVE('',#161535,#161533,#85047,.T.); #199144=EDGE_CURVE('',#161534,#161535,#85048,.T.); #199145=EDGE_CURVE('',#161536,#161534,#85049,.T.); #199146=EDGE_CURVE('',#161537,#161535,#85050,.T.); #199147=EDGE_CURVE('',#161536,#161537,#85051,.T.); #199148=EDGE_CURVE('',#161538,#161536,#85052,.T.); #199149=EDGE_CURVE('',#161539,#161537,#85053,.T.); #199150=EDGE_CURVE('',#161538,#161539,#85054,.T.); #199151=EDGE_CURVE('',#161540,#161538,#85055,.T.); #199152=EDGE_CURVE('',#161541,#161539,#85056,.T.); #199153=EDGE_CURVE('',#161540,#161541,#85057,.T.); #199154=EDGE_CURVE('',#161542,#161540,#85058,.T.); #199155=EDGE_CURVE('',#161543,#161541,#85059,.T.); #199156=EDGE_CURVE('',#161542,#161543,#85060,.T.); #199157=EDGE_CURVE('',#161544,#161542,#85061,.T.); #199158=EDGE_CURVE('',#161545,#161543,#85062,.T.); #199159=EDGE_CURVE('',#161544,#161545,#85063,.T.); #199160=EDGE_CURVE('',#161546,#161544,#85064,.T.); #199161=EDGE_CURVE('',#161547,#161545,#85065,.T.); #199162=EDGE_CURVE('',#161546,#161547,#85066,.T.); #199163=EDGE_CURVE('',#161548,#161546,#85067,.T.); #199164=EDGE_CURVE('',#161549,#161547,#85068,.T.); #199165=EDGE_CURVE('',#161548,#161549,#85069,.T.); #199166=EDGE_CURVE('',#161550,#161548,#85070,.T.); #199167=EDGE_CURVE('',#161551,#161549,#85071,.T.); #199168=EDGE_CURVE('',#161550,#161551,#85072,.T.); #199169=EDGE_CURVE('',#161552,#161550,#85073,.T.); #199170=EDGE_CURVE('',#161553,#161551,#85074,.T.); #199171=EDGE_CURVE('',#161552,#161553,#85075,.T.); #199172=EDGE_CURVE('',#161515,#161552,#85076,.T.); #199173=EDGE_CURVE('',#161516,#161553,#85077,.T.); #199174=EDGE_CURVE('',#161554,#161555,#85078,.T.); #199175=EDGE_CURVE('',#161555,#161556,#85079,.T.); #199176=EDGE_CURVE('',#161557,#161556,#85080,.T.); #199177=EDGE_CURVE('',#161554,#161557,#85081,.T.); #199178=EDGE_CURVE('',#161558,#161554,#85082,.T.); #199179=EDGE_CURVE('',#161559,#161557,#85083,.T.); #199180=EDGE_CURVE('',#161558,#161559,#85084,.T.); #199181=EDGE_CURVE('',#161560,#161558,#85085,.T.); #199182=EDGE_CURVE('',#161561,#161559,#85086,.T.); #199183=EDGE_CURVE('',#161560,#161561,#85087,.T.); #199184=EDGE_CURVE('',#161562,#161560,#85088,.T.); #199185=EDGE_CURVE('',#161563,#161561,#85089,.T.); #199186=EDGE_CURVE('',#161562,#161563,#85090,.T.); #199187=EDGE_CURVE('',#161564,#161562,#85091,.T.); #199188=EDGE_CURVE('',#161565,#161563,#85092,.T.); #199189=EDGE_CURVE('',#161564,#161565,#85093,.T.); #199190=EDGE_CURVE('',#161566,#161564,#85094,.T.); #199191=EDGE_CURVE('',#161567,#161565,#85095,.T.); #199192=EDGE_CURVE('',#161566,#161567,#85096,.T.); #199193=EDGE_CURVE('',#161568,#161566,#85097,.T.); #199194=EDGE_CURVE('',#161569,#161567,#85098,.T.); #199195=EDGE_CURVE('',#161568,#161569,#85099,.T.); #199196=EDGE_CURVE('',#161570,#161568,#85100,.T.); #199197=EDGE_CURVE('',#161571,#161569,#85101,.T.); #199198=EDGE_CURVE('',#161570,#161571,#85102,.T.); #199199=EDGE_CURVE('',#161572,#161570,#85103,.T.); #199200=EDGE_CURVE('',#161573,#161571,#85104,.T.); #199201=EDGE_CURVE('',#161572,#161573,#85105,.T.); #199202=EDGE_CURVE('',#161574,#161572,#85106,.T.); #199203=EDGE_CURVE('',#161575,#161573,#85107,.T.); #199204=EDGE_CURVE('',#161574,#161575,#85108,.T.); #199205=EDGE_CURVE('',#161576,#161574,#85109,.T.); #199206=EDGE_CURVE('',#161577,#161575,#85110,.T.); #199207=EDGE_CURVE('',#161576,#161577,#85111,.T.); #199208=EDGE_CURVE('',#161578,#161576,#85112,.T.); #199209=EDGE_CURVE('',#161579,#161577,#85113,.T.); #199210=EDGE_CURVE('',#161578,#161579,#85114,.T.); #199211=EDGE_CURVE('',#161580,#161578,#85115,.T.); #199212=EDGE_CURVE('',#161581,#161579,#85116,.T.); #199213=EDGE_CURVE('',#161580,#161581,#85117,.T.); #199214=EDGE_CURVE('',#161582,#161580,#85118,.T.); #199215=EDGE_CURVE('',#161583,#161581,#85119,.T.); #199216=EDGE_CURVE('',#161582,#161583,#85120,.T.); #199217=EDGE_CURVE('',#161584,#161582,#85121,.T.); #199218=EDGE_CURVE('',#161585,#161583,#85122,.T.); #199219=EDGE_CURVE('',#161584,#161585,#85123,.T.); #199220=EDGE_CURVE('',#161586,#161584,#85124,.T.); #199221=EDGE_CURVE('',#161587,#161585,#85125,.T.); #199222=EDGE_CURVE('',#161586,#161587,#85126,.T.); #199223=EDGE_CURVE('',#161588,#161586,#85127,.T.); #199224=EDGE_CURVE('',#161589,#161587,#85128,.T.); #199225=EDGE_CURVE('',#161588,#161589,#85129,.T.); #199226=EDGE_CURVE('',#161590,#161588,#85130,.T.); #199227=EDGE_CURVE('',#161591,#161589,#85131,.T.); #199228=EDGE_CURVE('',#161590,#161591,#85132,.T.); #199229=EDGE_CURVE('',#161592,#161590,#85133,.T.); #199230=EDGE_CURVE('',#161593,#161591,#85134,.T.); #199231=EDGE_CURVE('',#161592,#161593,#85135,.T.); #199232=EDGE_CURVE('',#161594,#161592,#85136,.T.); #199233=EDGE_CURVE('',#161595,#161593,#85137,.T.); #199234=EDGE_CURVE('',#161594,#161595,#85138,.T.); #199235=EDGE_CURVE('',#161596,#161594,#85139,.T.); #199236=EDGE_CURVE('',#161597,#161595,#85140,.T.); #199237=EDGE_CURVE('',#161596,#161597,#85141,.T.); #199238=EDGE_CURVE('',#161598,#161596,#85142,.T.); #199239=EDGE_CURVE('',#161599,#161597,#85143,.T.); #199240=EDGE_CURVE('',#161598,#161599,#85144,.T.); #199241=EDGE_CURVE('',#161600,#161598,#85145,.T.); #199242=EDGE_CURVE('',#161601,#161599,#85146,.T.); #199243=EDGE_CURVE('',#161600,#161601,#85147,.T.); #199244=EDGE_CURVE('',#161602,#161600,#85148,.T.); #199245=EDGE_CURVE('',#161603,#161601,#85149,.T.); #199246=EDGE_CURVE('',#161602,#161603,#85150,.T.); #199247=EDGE_CURVE('',#161604,#161602,#85151,.T.); #199248=EDGE_CURVE('',#161605,#161603,#85152,.T.); #199249=EDGE_CURVE('',#161604,#161605,#85153,.T.); #199250=EDGE_CURVE('',#161606,#161604,#85154,.T.); #199251=EDGE_CURVE('',#161607,#161605,#85155,.T.); #199252=EDGE_CURVE('',#161606,#161607,#85156,.T.); #199253=EDGE_CURVE('',#161608,#161606,#85157,.T.); #199254=EDGE_CURVE('',#161609,#161607,#85158,.T.); #199255=EDGE_CURVE('',#161608,#161609,#85159,.T.); #199256=EDGE_CURVE('',#161610,#161608,#85160,.T.); #199257=EDGE_CURVE('',#161611,#161609,#85161,.T.); #199258=EDGE_CURVE('',#161610,#161611,#85162,.T.); #199259=EDGE_CURVE('',#161612,#161610,#85163,.T.); #199260=EDGE_CURVE('',#161613,#161611,#85164,.T.); #199261=EDGE_CURVE('',#161612,#161613,#85165,.T.); #199262=EDGE_CURVE('',#161614,#161612,#85166,.T.); #199263=EDGE_CURVE('',#161615,#161613,#85167,.T.); #199264=EDGE_CURVE('',#161614,#161615,#85168,.T.); #199265=EDGE_CURVE('',#161555,#161614,#85169,.T.); #199266=EDGE_CURVE('',#161556,#161615,#85170,.T.); #199267=EDGE_CURVE('',#161616,#161617,#85171,.T.); #199268=EDGE_CURVE('',#161617,#161618,#85172,.T.); #199269=EDGE_CURVE('',#161619,#161618,#85173,.T.); #199270=EDGE_CURVE('',#161616,#161619,#85174,.T.); #199271=EDGE_CURVE('',#161620,#161616,#85175,.T.); #199272=EDGE_CURVE('',#161621,#161619,#85176,.T.); #199273=EDGE_CURVE('',#161620,#161621,#85177,.T.); #199274=EDGE_CURVE('',#161622,#161620,#85178,.T.); #199275=EDGE_CURVE('',#161623,#161621,#85179,.T.); #199276=EDGE_CURVE('',#161622,#161623,#85180,.T.); #199277=EDGE_CURVE('',#161624,#161622,#85181,.T.); #199278=EDGE_CURVE('',#161625,#161623,#85182,.T.); #199279=EDGE_CURVE('',#161624,#161625,#85183,.T.); #199280=EDGE_CURVE('',#161626,#161624,#85184,.T.); #199281=EDGE_CURVE('',#161627,#161625,#85185,.T.); #199282=EDGE_CURVE('',#161626,#161627,#85186,.T.); #199283=EDGE_CURVE('',#161628,#161626,#85187,.T.); #199284=EDGE_CURVE('',#161629,#161627,#85188,.T.); #199285=EDGE_CURVE('',#161628,#161629,#85189,.T.); #199286=EDGE_CURVE('',#161630,#161628,#85190,.T.); #199287=EDGE_CURVE('',#161631,#161629,#85191,.T.); #199288=EDGE_CURVE('',#161630,#161631,#85192,.T.); #199289=EDGE_CURVE('',#161632,#161630,#85193,.T.); #199290=EDGE_CURVE('',#161633,#161631,#85194,.T.); #199291=EDGE_CURVE('',#161632,#161633,#85195,.T.); #199292=EDGE_CURVE('',#161634,#161632,#85196,.T.); #199293=EDGE_CURVE('',#161635,#161633,#85197,.T.); #199294=EDGE_CURVE('',#161634,#161635,#85198,.T.); #199295=EDGE_CURVE('',#161636,#161634,#85199,.T.); #199296=EDGE_CURVE('',#161637,#161635,#85200,.T.); #199297=EDGE_CURVE('',#161636,#161637,#85201,.T.); #199298=EDGE_CURVE('',#161638,#161636,#85202,.T.); #199299=EDGE_CURVE('',#161639,#161637,#85203,.T.); #199300=EDGE_CURVE('',#161638,#161639,#85204,.T.); #199301=EDGE_CURVE('',#161640,#161638,#85205,.T.); #199302=EDGE_CURVE('',#161641,#161639,#85206,.T.); #199303=EDGE_CURVE('',#161640,#161641,#85207,.T.); #199304=EDGE_CURVE('',#161642,#161640,#85208,.T.); #199305=EDGE_CURVE('',#161643,#161641,#85209,.T.); #199306=EDGE_CURVE('',#161642,#161643,#85210,.T.); #199307=EDGE_CURVE('',#161644,#161642,#85211,.T.); #199308=EDGE_CURVE('',#161645,#161643,#85212,.T.); #199309=EDGE_CURVE('',#161644,#161645,#85213,.T.); #199310=EDGE_CURVE('',#161646,#161644,#85214,.T.); #199311=EDGE_CURVE('',#161647,#161645,#85215,.T.); #199312=EDGE_CURVE('',#161646,#161647,#85216,.T.); #199313=EDGE_CURVE('',#161648,#161646,#85217,.T.); #199314=EDGE_CURVE('',#161649,#161647,#85218,.T.); #199315=EDGE_CURVE('',#161648,#161649,#85219,.T.); #199316=EDGE_CURVE('',#161650,#161648,#85220,.T.); #199317=EDGE_CURVE('',#161651,#161649,#85221,.T.); #199318=EDGE_CURVE('',#161650,#161651,#85222,.T.); #199319=EDGE_CURVE('',#161652,#161650,#85223,.T.); #199320=EDGE_CURVE('',#161653,#161651,#85224,.T.); #199321=EDGE_CURVE('',#161652,#161653,#85225,.T.); #199322=EDGE_CURVE('',#161654,#161652,#85226,.T.); #199323=EDGE_CURVE('',#161655,#161653,#85227,.T.); #199324=EDGE_CURVE('',#161654,#161655,#85228,.T.); #199325=EDGE_CURVE('',#161617,#161654,#85229,.T.); #199326=EDGE_CURVE('',#161618,#161655,#85230,.T.); #199327=EDGE_CURVE('',#161656,#161657,#85231,.T.); #199328=EDGE_CURVE('',#161657,#161658,#85232,.T.); #199329=EDGE_CURVE('',#161659,#161658,#85233,.T.); #199330=EDGE_CURVE('',#161656,#161659,#85234,.T.); #199331=EDGE_CURVE('',#161660,#161656,#85235,.T.); #199332=EDGE_CURVE('',#161661,#161659,#85236,.T.); #199333=EDGE_CURVE('',#161660,#161661,#85237,.T.); #199334=EDGE_CURVE('',#161662,#161660,#85238,.T.); #199335=EDGE_CURVE('',#161663,#161661,#85239,.T.); #199336=EDGE_CURVE('',#161662,#161663,#85240,.T.); #199337=EDGE_CURVE('',#161664,#161662,#85241,.T.); #199338=EDGE_CURVE('',#161665,#161663,#85242,.T.); #199339=EDGE_CURVE('',#161664,#161665,#85243,.T.); #199340=EDGE_CURVE('',#161666,#161664,#85244,.T.); #199341=EDGE_CURVE('',#161667,#161665,#85245,.T.); #199342=EDGE_CURVE('',#161666,#161667,#85246,.T.); #199343=EDGE_CURVE('',#161668,#161666,#85247,.T.); #199344=EDGE_CURVE('',#161669,#161667,#85248,.T.); #199345=EDGE_CURVE('',#161668,#161669,#85249,.T.); #199346=EDGE_CURVE('',#161670,#161668,#85250,.T.); #199347=EDGE_CURVE('',#161671,#161669,#85251,.T.); #199348=EDGE_CURVE('',#161670,#161671,#85252,.T.); #199349=EDGE_CURVE('',#161672,#161670,#85253,.T.); #199350=EDGE_CURVE('',#161673,#161671,#85254,.T.); #199351=EDGE_CURVE('',#161672,#161673,#85255,.T.); #199352=EDGE_CURVE('',#161674,#161672,#85256,.T.); #199353=EDGE_CURVE('',#161675,#161673,#85257,.T.); #199354=EDGE_CURVE('',#161674,#161675,#85258,.T.); #199355=EDGE_CURVE('',#161676,#161674,#85259,.T.); #199356=EDGE_CURVE('',#161677,#161675,#85260,.T.); #199357=EDGE_CURVE('',#161676,#161677,#85261,.T.); #199358=EDGE_CURVE('',#161678,#161676,#85262,.T.); #199359=EDGE_CURVE('',#161679,#161677,#85263,.T.); #199360=EDGE_CURVE('',#161678,#161679,#85264,.T.); #199361=EDGE_CURVE('',#161680,#161678,#85265,.T.); #199362=EDGE_CURVE('',#161681,#161679,#85266,.T.); #199363=EDGE_CURVE('',#161680,#161681,#85267,.T.); #199364=EDGE_CURVE('',#161682,#161680,#85268,.T.); #199365=EDGE_CURVE('',#161683,#161681,#85269,.T.); #199366=EDGE_CURVE('',#161682,#161683,#85270,.T.); #199367=EDGE_CURVE('',#161684,#161682,#85271,.T.); #199368=EDGE_CURVE('',#161685,#161683,#85272,.T.); #199369=EDGE_CURVE('',#161684,#161685,#85273,.T.); #199370=EDGE_CURVE('',#161686,#161684,#85274,.T.); #199371=EDGE_CURVE('',#161687,#161685,#85275,.T.); #199372=EDGE_CURVE('',#161686,#161687,#85276,.T.); #199373=EDGE_CURVE('',#161688,#161686,#85277,.T.); #199374=EDGE_CURVE('',#161689,#161687,#85278,.T.); #199375=EDGE_CURVE('',#161688,#161689,#85279,.T.); #199376=EDGE_CURVE('',#161690,#161688,#85280,.T.); #199377=EDGE_CURVE('',#161691,#161689,#85281,.T.); #199378=EDGE_CURVE('',#161690,#161691,#85282,.T.); #199379=EDGE_CURVE('',#161692,#161690,#85283,.T.); #199380=EDGE_CURVE('',#161693,#161691,#85284,.T.); #199381=EDGE_CURVE('',#161692,#161693,#85285,.T.); #199382=EDGE_CURVE('',#161694,#161692,#85286,.T.); #199383=EDGE_CURVE('',#161695,#161693,#85287,.T.); #199384=EDGE_CURVE('',#161694,#161695,#85288,.T.); #199385=EDGE_CURVE('',#161696,#161694,#85289,.T.); #199386=EDGE_CURVE('',#161697,#161695,#85290,.T.); #199387=EDGE_CURVE('',#161696,#161697,#85291,.T.); #199388=EDGE_CURVE('',#161698,#161696,#85292,.T.); #199389=EDGE_CURVE('',#161699,#161697,#85293,.T.); #199390=EDGE_CURVE('',#161698,#161699,#85294,.T.); #199391=EDGE_CURVE('',#161700,#161698,#85295,.T.); #199392=EDGE_CURVE('',#161701,#161699,#85296,.T.); #199393=EDGE_CURVE('',#161700,#161701,#85297,.T.); #199394=EDGE_CURVE('',#161702,#161700,#85298,.T.); #199395=EDGE_CURVE('',#161703,#161701,#85299,.T.); #199396=EDGE_CURVE('',#161702,#161703,#85300,.T.); #199397=EDGE_CURVE('',#161704,#161702,#85301,.T.); #199398=EDGE_CURVE('',#161705,#161703,#85302,.T.); #199399=EDGE_CURVE('',#161704,#161705,#85303,.T.); #199400=EDGE_CURVE('',#161706,#161704,#85304,.T.); #199401=EDGE_CURVE('',#161707,#161705,#85305,.T.); #199402=EDGE_CURVE('',#161706,#161707,#85306,.T.); #199403=EDGE_CURVE('',#161708,#161706,#85307,.T.); #199404=EDGE_CURVE('',#161709,#161707,#85308,.T.); #199405=EDGE_CURVE('',#161708,#161709,#85309,.T.); #199406=EDGE_CURVE('',#161710,#161708,#85310,.T.); #199407=EDGE_CURVE('',#161711,#161709,#85311,.T.); #199408=EDGE_CURVE('',#161710,#161711,#85312,.T.); #199409=EDGE_CURVE('',#161712,#161710,#85313,.T.); #199410=EDGE_CURVE('',#161713,#161711,#85314,.T.); #199411=EDGE_CURVE('',#161712,#161713,#85315,.T.); #199412=EDGE_CURVE('',#161714,#161712,#85316,.T.); #199413=EDGE_CURVE('',#161715,#161713,#85317,.T.); #199414=EDGE_CURVE('',#161714,#161715,#85318,.T.); #199415=EDGE_CURVE('',#161716,#161714,#85319,.T.); #199416=EDGE_CURVE('',#161717,#161715,#85320,.T.); #199417=EDGE_CURVE('',#161716,#161717,#85321,.T.); #199418=EDGE_CURVE('',#161657,#161716,#85322,.T.); #199419=EDGE_CURVE('',#161658,#161717,#85323,.T.); #199420=EDGE_CURVE('',#161718,#161719,#85324,.T.); #199421=EDGE_CURVE('',#161719,#161720,#85325,.T.); #199422=EDGE_CURVE('',#161721,#161720,#85326,.T.); #199423=EDGE_CURVE('',#161718,#161721,#85327,.T.); #199424=EDGE_CURVE('',#161722,#161718,#85328,.T.); #199425=EDGE_CURVE('',#161723,#161721,#85329,.T.); #199426=EDGE_CURVE('',#161722,#161723,#85330,.T.); #199427=EDGE_CURVE('',#161724,#161722,#85331,.T.); #199428=EDGE_CURVE('',#161725,#161723,#85332,.T.); #199429=EDGE_CURVE('',#161724,#161725,#85333,.T.); #199430=EDGE_CURVE('',#161726,#161724,#85334,.T.); #199431=EDGE_CURVE('',#161727,#161725,#85335,.T.); #199432=EDGE_CURVE('',#161726,#161727,#85336,.T.); #199433=EDGE_CURVE('',#161728,#161726,#85337,.T.); #199434=EDGE_CURVE('',#161729,#161727,#85338,.T.); #199435=EDGE_CURVE('',#161728,#161729,#85339,.T.); #199436=EDGE_CURVE('',#161730,#161728,#85340,.T.); #199437=EDGE_CURVE('',#161731,#161729,#85341,.T.); #199438=EDGE_CURVE('',#161730,#161731,#85342,.T.); #199439=EDGE_CURVE('',#161732,#161730,#85343,.T.); #199440=EDGE_CURVE('',#161733,#161731,#85344,.T.); #199441=EDGE_CURVE('',#161732,#161733,#85345,.T.); #199442=EDGE_CURVE('',#161734,#161732,#85346,.T.); #199443=EDGE_CURVE('',#161735,#161733,#85347,.T.); #199444=EDGE_CURVE('',#161734,#161735,#85348,.T.); #199445=EDGE_CURVE('',#161736,#161734,#85349,.T.); #199446=EDGE_CURVE('',#161737,#161735,#85350,.T.); #199447=EDGE_CURVE('',#161736,#161737,#85351,.T.); #199448=EDGE_CURVE('',#161738,#161736,#85352,.T.); #199449=EDGE_CURVE('',#161739,#161737,#85353,.T.); #199450=EDGE_CURVE('',#161738,#161739,#85354,.T.); #199451=EDGE_CURVE('',#161740,#161738,#85355,.T.); #199452=EDGE_CURVE('',#161741,#161739,#85356,.T.); #199453=EDGE_CURVE('',#161740,#161741,#85357,.T.); #199454=EDGE_CURVE('',#161742,#161740,#85358,.T.); #199455=EDGE_CURVE('',#161743,#161741,#85359,.T.); #199456=EDGE_CURVE('',#161742,#161743,#85360,.T.); #199457=EDGE_CURVE('',#161744,#161742,#85361,.T.); #199458=EDGE_CURVE('',#161745,#161743,#85362,.T.); #199459=EDGE_CURVE('',#161744,#161745,#85363,.T.); #199460=EDGE_CURVE('',#161746,#161744,#85364,.T.); #199461=EDGE_CURVE('',#161747,#161745,#85365,.T.); #199462=EDGE_CURVE('',#161746,#161747,#85366,.T.); #199463=EDGE_CURVE('',#161748,#161746,#85367,.T.); #199464=EDGE_CURVE('',#161749,#161747,#85368,.T.); #199465=EDGE_CURVE('',#161748,#161749,#85369,.T.); #199466=EDGE_CURVE('',#161750,#161748,#85370,.T.); #199467=EDGE_CURVE('',#161751,#161749,#85371,.T.); #199468=EDGE_CURVE('',#161750,#161751,#85372,.T.); #199469=EDGE_CURVE('',#161752,#161750,#85373,.T.); #199470=EDGE_CURVE('',#161753,#161751,#85374,.T.); #199471=EDGE_CURVE('',#161752,#161753,#85375,.T.); #199472=EDGE_CURVE('',#161754,#161752,#85376,.T.); #199473=EDGE_CURVE('',#161755,#161753,#85377,.T.); #199474=EDGE_CURVE('',#161754,#161755,#85378,.T.); #199475=EDGE_CURVE('',#161756,#161754,#85379,.T.); #199476=EDGE_CURVE('',#161757,#161755,#85380,.T.); #199477=EDGE_CURVE('',#161756,#161757,#85381,.T.); #199478=EDGE_CURVE('',#161758,#161756,#85382,.T.); #199479=EDGE_CURVE('',#161759,#161757,#85383,.T.); #199480=EDGE_CURVE('',#161758,#161759,#85384,.T.); #199481=EDGE_CURVE('',#161719,#161758,#85385,.T.); #199482=EDGE_CURVE('',#161720,#161759,#85386,.T.); #199483=EDGE_CURVE('',#161760,#161761,#85387,.T.); #199484=EDGE_CURVE('',#161761,#161762,#85388,.T.); #199485=EDGE_CURVE('',#161763,#161762,#85389,.T.); #199486=EDGE_CURVE('',#161760,#161763,#85390,.T.); #199487=EDGE_CURVE('',#161764,#161760,#85391,.T.); #199488=EDGE_CURVE('',#161765,#161763,#85392,.T.); #199489=EDGE_CURVE('',#161764,#161765,#85393,.T.); #199490=EDGE_CURVE('',#161766,#161764,#85394,.T.); #199491=EDGE_CURVE('',#161767,#161765,#85395,.T.); #199492=EDGE_CURVE('',#161766,#161767,#85396,.T.); #199493=EDGE_CURVE('',#161768,#161766,#85397,.T.); #199494=EDGE_CURVE('',#161769,#161767,#85398,.T.); #199495=EDGE_CURVE('',#161768,#161769,#85399,.T.); #199496=EDGE_CURVE('',#161770,#161768,#85400,.T.); #199497=EDGE_CURVE('',#161771,#161769,#85401,.T.); #199498=EDGE_CURVE('',#161770,#161771,#85402,.T.); #199499=EDGE_CURVE('',#161772,#161770,#85403,.T.); #199500=EDGE_CURVE('',#161773,#161771,#85404,.T.); #199501=EDGE_CURVE('',#161772,#161773,#85405,.T.); #199502=EDGE_CURVE('',#161774,#161772,#85406,.T.); #199503=EDGE_CURVE('',#161775,#161773,#85407,.T.); #199504=EDGE_CURVE('',#161774,#161775,#85408,.T.); #199505=EDGE_CURVE('',#161776,#161774,#85409,.T.); #199506=EDGE_CURVE('',#161777,#161775,#85410,.T.); #199507=EDGE_CURVE('',#161776,#161777,#85411,.T.); #199508=EDGE_CURVE('',#161778,#161776,#85412,.T.); #199509=EDGE_CURVE('',#161779,#161777,#85413,.T.); #199510=EDGE_CURVE('',#161778,#161779,#85414,.T.); #199511=EDGE_CURVE('',#161780,#161778,#85415,.T.); #199512=EDGE_CURVE('',#161781,#161779,#85416,.T.); #199513=EDGE_CURVE('',#161780,#161781,#85417,.T.); #199514=EDGE_CURVE('',#161782,#161780,#85418,.T.); #199515=EDGE_CURVE('',#161783,#161781,#85419,.T.); #199516=EDGE_CURVE('',#161782,#161783,#85420,.T.); #199517=EDGE_CURVE('',#161784,#161782,#85421,.T.); #199518=EDGE_CURVE('',#161785,#161783,#85422,.T.); #199519=EDGE_CURVE('',#161784,#161785,#85423,.T.); #199520=EDGE_CURVE('',#161786,#161784,#85424,.T.); #199521=EDGE_CURVE('',#161787,#161785,#85425,.T.); #199522=EDGE_CURVE('',#161786,#161787,#85426,.T.); #199523=EDGE_CURVE('',#161788,#161786,#85427,.T.); #199524=EDGE_CURVE('',#161789,#161787,#85428,.T.); #199525=EDGE_CURVE('',#161788,#161789,#85429,.T.); #199526=EDGE_CURVE('',#161790,#161788,#85430,.T.); #199527=EDGE_CURVE('',#161791,#161789,#85431,.T.); #199528=EDGE_CURVE('',#161790,#161791,#85432,.T.); #199529=EDGE_CURVE('',#161792,#161790,#85433,.T.); #199530=EDGE_CURVE('',#161793,#161791,#85434,.T.); #199531=EDGE_CURVE('',#161792,#161793,#85435,.T.); #199532=EDGE_CURVE('',#161794,#161792,#85436,.T.); #199533=EDGE_CURVE('',#161795,#161793,#85437,.T.); #199534=EDGE_CURVE('',#161794,#161795,#85438,.T.); #199535=EDGE_CURVE('',#161796,#161794,#85439,.T.); #199536=EDGE_CURVE('',#161797,#161795,#85440,.T.); #199537=EDGE_CURVE('',#161796,#161797,#85441,.T.); #199538=EDGE_CURVE('',#161798,#161796,#85442,.T.); #199539=EDGE_CURVE('',#161799,#161797,#85443,.T.); #199540=EDGE_CURVE('',#161798,#161799,#85444,.T.); #199541=EDGE_CURVE('',#161800,#161798,#85445,.T.); #199542=EDGE_CURVE('',#161801,#161799,#85446,.T.); #199543=EDGE_CURVE('',#161800,#161801,#85447,.T.); #199544=EDGE_CURVE('',#161802,#161800,#85448,.T.); #199545=EDGE_CURVE('',#161803,#161801,#85449,.T.); #199546=EDGE_CURVE('',#161802,#161803,#85450,.T.); #199547=EDGE_CURVE('',#161804,#161802,#85451,.T.); #199548=EDGE_CURVE('',#161805,#161803,#85452,.T.); #199549=EDGE_CURVE('',#161804,#161805,#85453,.T.); #199550=EDGE_CURVE('',#161806,#161804,#85454,.T.); #199551=EDGE_CURVE('',#161807,#161805,#85455,.T.); #199552=EDGE_CURVE('',#161806,#161807,#85456,.T.); #199553=EDGE_CURVE('',#161808,#161806,#85457,.T.); #199554=EDGE_CURVE('',#161809,#161807,#85458,.T.); #199555=EDGE_CURVE('',#161808,#161809,#85459,.T.); #199556=EDGE_CURVE('',#161810,#161808,#85460,.T.); #199557=EDGE_CURVE('',#161811,#161809,#85461,.T.); #199558=EDGE_CURVE('',#161810,#161811,#85462,.T.); #199559=EDGE_CURVE('',#161812,#161810,#85463,.T.); #199560=EDGE_CURVE('',#161813,#161811,#85464,.T.); #199561=EDGE_CURVE('',#161812,#161813,#85465,.T.); #199562=EDGE_CURVE('',#161814,#161812,#85466,.T.); #199563=EDGE_CURVE('',#161815,#161813,#85467,.T.); #199564=EDGE_CURVE('',#161814,#161815,#85468,.T.); #199565=EDGE_CURVE('',#161816,#161814,#85469,.T.); #199566=EDGE_CURVE('',#161817,#161815,#85470,.T.); #199567=EDGE_CURVE('',#161816,#161817,#85471,.T.); #199568=EDGE_CURVE('',#161818,#161816,#85472,.T.); #199569=EDGE_CURVE('',#161819,#161817,#85473,.T.); #199570=EDGE_CURVE('',#161818,#161819,#85474,.T.); #199571=EDGE_CURVE('',#161820,#161818,#85475,.T.); #199572=EDGE_CURVE('',#161821,#161819,#85476,.T.); #199573=EDGE_CURVE('',#161820,#161821,#85477,.T.); #199574=EDGE_CURVE('',#161822,#161820,#85478,.T.); #199575=EDGE_CURVE('',#161823,#161821,#85479,.T.); #199576=EDGE_CURVE('',#161822,#161823,#85480,.T.); #199577=EDGE_CURVE('',#161824,#161822,#85481,.T.); #199578=EDGE_CURVE('',#161825,#161823,#85482,.T.); #199579=EDGE_CURVE('',#161824,#161825,#85483,.T.); #199580=EDGE_CURVE('',#161826,#161824,#85484,.T.); #199581=EDGE_CURVE('',#161827,#161825,#85485,.T.); #199582=EDGE_CURVE('',#161826,#161827,#85486,.T.); #199583=EDGE_CURVE('',#161828,#161826,#85487,.T.); #199584=EDGE_CURVE('',#161829,#161827,#85488,.T.); #199585=EDGE_CURVE('',#161828,#161829,#85489,.T.); #199586=EDGE_CURVE('',#161830,#161828,#85490,.T.); #199587=EDGE_CURVE('',#161831,#161829,#85491,.T.); #199588=EDGE_CURVE('',#161830,#161831,#85492,.T.); #199589=EDGE_CURVE('',#161832,#161830,#85493,.T.); #199590=EDGE_CURVE('',#161833,#161831,#85494,.T.); #199591=EDGE_CURVE('',#161832,#161833,#85495,.T.); #199592=EDGE_CURVE('',#161834,#161832,#85496,.T.); #199593=EDGE_CURVE('',#161835,#161833,#85497,.T.); #199594=EDGE_CURVE('',#161834,#161835,#85498,.T.); #199595=EDGE_CURVE('',#161836,#161834,#85499,.T.); #199596=EDGE_CURVE('',#161837,#161835,#85500,.T.); #199597=EDGE_CURVE('',#161836,#161837,#85501,.T.); #199598=EDGE_CURVE('',#161838,#161836,#85502,.T.); #199599=EDGE_CURVE('',#161839,#161837,#85503,.T.); #199600=EDGE_CURVE('',#161838,#161839,#85504,.T.); #199601=EDGE_CURVE('',#161761,#161838,#85505,.T.); #199602=EDGE_CURVE('',#161762,#161839,#85506,.T.); #199603=EDGE_CURVE('',#161840,#161841,#85507,.T.); #199604=EDGE_CURVE('',#161841,#161842,#85508,.T.); #199605=EDGE_CURVE('',#161843,#161842,#85509,.T.); #199606=EDGE_CURVE('',#161840,#161843,#85510,.T.); #199607=EDGE_CURVE('',#161844,#161840,#85511,.T.); #199608=EDGE_CURVE('',#161845,#161843,#85512,.T.); #199609=EDGE_CURVE('',#161844,#161845,#85513,.T.); #199610=EDGE_CURVE('',#161846,#161844,#85514,.T.); #199611=EDGE_CURVE('',#161847,#161845,#85515,.T.); #199612=EDGE_CURVE('',#161846,#161847,#85516,.T.); #199613=EDGE_CURVE('',#161848,#161846,#85517,.T.); #199614=EDGE_CURVE('',#161849,#161847,#85518,.T.); #199615=EDGE_CURVE('',#161848,#161849,#85519,.T.); #199616=EDGE_CURVE('',#161850,#161848,#85520,.T.); #199617=EDGE_CURVE('',#161851,#161849,#85521,.T.); #199618=EDGE_CURVE('',#161850,#161851,#85522,.T.); #199619=EDGE_CURVE('',#161852,#161850,#85523,.T.); #199620=EDGE_CURVE('',#161853,#161851,#85524,.T.); #199621=EDGE_CURVE('',#161852,#161853,#85525,.T.); #199622=EDGE_CURVE('',#161854,#161852,#85526,.T.); #199623=EDGE_CURVE('',#161855,#161853,#85527,.T.); #199624=EDGE_CURVE('',#161854,#161855,#85528,.T.); #199625=EDGE_CURVE('',#161856,#161854,#85529,.T.); #199626=EDGE_CURVE('',#161857,#161855,#85530,.T.); #199627=EDGE_CURVE('',#161856,#161857,#85531,.T.); #199628=EDGE_CURVE('',#161858,#161856,#85532,.T.); #199629=EDGE_CURVE('',#161859,#161857,#85533,.T.); #199630=EDGE_CURVE('',#161858,#161859,#85534,.T.); #199631=EDGE_CURVE('',#161860,#161858,#85535,.T.); #199632=EDGE_CURVE('',#161861,#161859,#85536,.T.); #199633=EDGE_CURVE('',#161860,#161861,#85537,.T.); #199634=EDGE_CURVE('',#161862,#161860,#85538,.T.); #199635=EDGE_CURVE('',#161863,#161861,#85539,.T.); #199636=EDGE_CURVE('',#161862,#161863,#85540,.T.); #199637=EDGE_CURVE('',#161864,#161862,#85541,.T.); #199638=EDGE_CURVE('',#161865,#161863,#85542,.T.); #199639=EDGE_CURVE('',#161864,#161865,#85543,.T.); #199640=EDGE_CURVE('',#161866,#161864,#85544,.T.); #199641=EDGE_CURVE('',#161867,#161865,#85545,.T.); #199642=EDGE_CURVE('',#161866,#161867,#85546,.T.); #199643=EDGE_CURVE('',#161868,#161866,#85547,.T.); #199644=EDGE_CURVE('',#161869,#161867,#85548,.T.); #199645=EDGE_CURVE('',#161868,#161869,#85549,.T.); #199646=EDGE_CURVE('',#161870,#161868,#85550,.T.); #199647=EDGE_CURVE('',#161871,#161869,#85551,.T.); #199648=EDGE_CURVE('',#161870,#161871,#85552,.T.); #199649=EDGE_CURVE('',#161872,#161870,#85553,.T.); #199650=EDGE_CURVE('',#161873,#161871,#85554,.T.); #199651=EDGE_CURVE('',#161872,#161873,#85555,.T.); #199652=EDGE_CURVE('',#161874,#161872,#85556,.T.); #199653=EDGE_CURVE('',#161875,#161873,#85557,.T.); #199654=EDGE_CURVE('',#161874,#161875,#85558,.T.); #199655=EDGE_CURVE('',#161876,#161874,#85559,.T.); #199656=EDGE_CURVE('',#161877,#161875,#85560,.T.); #199657=EDGE_CURVE('',#161876,#161877,#85561,.T.); #199658=EDGE_CURVE('',#161878,#161876,#85562,.T.); #199659=EDGE_CURVE('',#161879,#161877,#85563,.T.); #199660=EDGE_CURVE('',#161878,#161879,#85564,.T.); #199661=EDGE_CURVE('',#161841,#161878,#85565,.T.); #199662=EDGE_CURVE('',#161842,#161879,#85566,.T.); #199663=EDGE_CURVE('',#161880,#161881,#85567,.T.); #199664=EDGE_CURVE('',#161881,#161882,#85568,.T.); #199665=EDGE_CURVE('',#161883,#161882,#85569,.T.); #199666=EDGE_CURVE('',#161880,#161883,#85570,.T.); #199667=EDGE_CURVE('',#161884,#161880,#85571,.T.); #199668=EDGE_CURVE('',#161885,#161883,#85572,.T.); #199669=EDGE_CURVE('',#161884,#161885,#85573,.T.); #199670=EDGE_CURVE('',#161886,#161884,#85574,.T.); #199671=EDGE_CURVE('',#161887,#161885,#85575,.T.); #199672=EDGE_CURVE('',#161886,#161887,#85576,.T.); #199673=EDGE_CURVE('',#161888,#161886,#85577,.T.); #199674=EDGE_CURVE('',#161889,#161887,#85578,.T.); #199675=EDGE_CURVE('',#161888,#161889,#85579,.T.); #199676=EDGE_CURVE('',#161890,#161888,#85580,.T.); #199677=EDGE_CURVE('',#161891,#161889,#85581,.T.); #199678=EDGE_CURVE('',#161890,#161891,#85582,.T.); #199679=EDGE_CURVE('',#161892,#161890,#85583,.T.); #199680=EDGE_CURVE('',#161893,#161891,#85584,.T.); #199681=EDGE_CURVE('',#161892,#161893,#85585,.T.); #199682=EDGE_CURVE('',#161894,#161892,#85586,.T.); #199683=EDGE_CURVE('',#161895,#161893,#85587,.T.); #199684=EDGE_CURVE('',#161894,#161895,#85588,.T.); #199685=EDGE_CURVE('',#161896,#161894,#85589,.T.); #199686=EDGE_CURVE('',#161897,#161895,#85590,.T.); #199687=EDGE_CURVE('',#161896,#161897,#85591,.T.); #199688=EDGE_CURVE('',#161898,#161896,#85592,.T.); #199689=EDGE_CURVE('',#161899,#161897,#85593,.T.); #199690=EDGE_CURVE('',#161898,#161899,#85594,.T.); #199691=EDGE_CURVE('',#161900,#161898,#85595,.T.); #199692=EDGE_CURVE('',#161901,#161899,#85596,.T.); #199693=EDGE_CURVE('',#161900,#161901,#85597,.T.); #199694=EDGE_CURVE('',#161902,#161900,#85598,.T.); #199695=EDGE_CURVE('',#161903,#161901,#85599,.T.); #199696=EDGE_CURVE('',#161902,#161903,#85600,.T.); #199697=EDGE_CURVE('',#161904,#161902,#85601,.T.); #199698=EDGE_CURVE('',#161905,#161903,#85602,.T.); #199699=EDGE_CURVE('',#161904,#161905,#85603,.T.); #199700=EDGE_CURVE('',#161906,#161904,#85604,.T.); #199701=EDGE_CURVE('',#161907,#161905,#85605,.T.); #199702=EDGE_CURVE('',#161906,#161907,#85606,.T.); #199703=EDGE_CURVE('',#161908,#161906,#85607,.T.); #199704=EDGE_CURVE('',#161909,#161907,#85608,.T.); #199705=EDGE_CURVE('',#161908,#161909,#85609,.T.); #199706=EDGE_CURVE('',#161910,#161908,#85610,.T.); #199707=EDGE_CURVE('',#161911,#161909,#85611,.T.); #199708=EDGE_CURVE('',#161910,#161911,#85612,.T.); #199709=EDGE_CURVE('',#161912,#161910,#85613,.T.); #199710=EDGE_CURVE('',#161913,#161911,#85614,.T.); #199711=EDGE_CURVE('',#161912,#161913,#85615,.T.); #199712=EDGE_CURVE('',#161914,#161912,#85616,.T.); #199713=EDGE_CURVE('',#161915,#161913,#85617,.T.); #199714=EDGE_CURVE('',#161914,#161915,#85618,.T.); #199715=EDGE_CURVE('',#161916,#161914,#85619,.T.); #199716=EDGE_CURVE('',#161917,#161915,#85620,.T.); #199717=EDGE_CURVE('',#161916,#161917,#85621,.T.); #199718=EDGE_CURVE('',#161918,#161916,#85622,.T.); #199719=EDGE_CURVE('',#161919,#161917,#85623,.T.); #199720=EDGE_CURVE('',#161918,#161919,#85624,.T.); #199721=EDGE_CURVE('',#161881,#161918,#85625,.T.); #199722=EDGE_CURVE('',#161882,#161919,#85626,.T.); #199723=EDGE_CURVE('',#161920,#161921,#85627,.T.); #199724=EDGE_CURVE('',#161921,#161922,#85628,.T.); #199725=EDGE_CURVE('',#161923,#161922,#85629,.T.); #199726=EDGE_CURVE('',#161920,#161923,#85630,.T.); #199727=EDGE_CURVE('',#161924,#161920,#85631,.T.); #199728=EDGE_CURVE('',#161925,#161923,#85632,.T.); #199729=EDGE_CURVE('',#161924,#161925,#85633,.T.); #199730=EDGE_CURVE('',#161926,#161924,#85634,.T.); #199731=EDGE_CURVE('',#161927,#161925,#85635,.T.); #199732=EDGE_CURVE('',#161926,#161927,#85636,.T.); #199733=EDGE_CURVE('',#161928,#161926,#85637,.T.); #199734=EDGE_CURVE('',#161929,#161927,#85638,.T.); #199735=EDGE_CURVE('',#161928,#161929,#85639,.T.); #199736=EDGE_CURVE('',#161930,#161928,#85640,.T.); #199737=EDGE_CURVE('',#161931,#161929,#85641,.T.); #199738=EDGE_CURVE('',#161930,#161931,#85642,.T.); #199739=EDGE_CURVE('',#161932,#161930,#85643,.T.); #199740=EDGE_CURVE('',#161933,#161931,#85644,.T.); #199741=EDGE_CURVE('',#161932,#161933,#85645,.T.); #199742=EDGE_CURVE('',#161934,#161932,#85646,.T.); #199743=EDGE_CURVE('',#161935,#161933,#85647,.T.); #199744=EDGE_CURVE('',#161934,#161935,#85648,.T.); #199745=EDGE_CURVE('',#161936,#161934,#85649,.T.); #199746=EDGE_CURVE('',#161937,#161935,#85650,.T.); #199747=EDGE_CURVE('',#161936,#161937,#85651,.T.); #199748=EDGE_CURVE('',#161938,#161936,#85652,.T.); #199749=EDGE_CURVE('',#161939,#161937,#85653,.T.); #199750=EDGE_CURVE('',#161938,#161939,#85654,.T.); #199751=EDGE_CURVE('',#161940,#161938,#85655,.T.); #199752=EDGE_CURVE('',#161941,#161939,#85656,.T.); #199753=EDGE_CURVE('',#161940,#161941,#85657,.T.); #199754=EDGE_CURVE('',#161942,#161940,#85658,.T.); #199755=EDGE_CURVE('',#161943,#161941,#85659,.T.); #199756=EDGE_CURVE('',#161942,#161943,#85660,.T.); #199757=EDGE_CURVE('',#161944,#161942,#85661,.T.); #199758=EDGE_CURVE('',#161945,#161943,#85662,.T.); #199759=EDGE_CURVE('',#161944,#161945,#85663,.T.); #199760=EDGE_CURVE('',#161946,#161944,#85664,.T.); #199761=EDGE_CURVE('',#161947,#161945,#85665,.T.); #199762=EDGE_CURVE('',#161946,#161947,#85666,.T.); #199763=EDGE_CURVE('',#161948,#161946,#85667,.T.); #199764=EDGE_CURVE('',#161949,#161947,#85668,.T.); #199765=EDGE_CURVE('',#161948,#161949,#85669,.T.); #199766=EDGE_CURVE('',#161950,#161948,#85670,.T.); #199767=EDGE_CURVE('',#161951,#161949,#85671,.T.); #199768=EDGE_CURVE('',#161950,#161951,#85672,.T.); #199769=EDGE_CURVE('',#161952,#161950,#85673,.T.); #199770=EDGE_CURVE('',#161953,#161951,#85674,.T.); #199771=EDGE_CURVE('',#161952,#161953,#85675,.T.); #199772=EDGE_CURVE('',#161954,#161952,#85676,.T.); #199773=EDGE_CURVE('',#161955,#161953,#85677,.T.); #199774=EDGE_CURVE('',#161954,#161955,#85678,.T.); #199775=EDGE_CURVE('',#161956,#161954,#85679,.T.); #199776=EDGE_CURVE('',#161957,#161955,#85680,.T.); #199777=EDGE_CURVE('',#161956,#161957,#85681,.T.); #199778=EDGE_CURVE('',#161958,#161956,#85682,.T.); #199779=EDGE_CURVE('',#161959,#161957,#85683,.T.); #199780=EDGE_CURVE('',#161958,#161959,#85684,.T.); #199781=EDGE_CURVE('',#161921,#161958,#85685,.T.); #199782=EDGE_CURVE('',#161922,#161959,#85686,.T.); #199783=EDGE_CURVE('',#161960,#161961,#85687,.T.); #199784=EDGE_CURVE('',#161961,#161962,#85688,.T.); #199785=EDGE_CURVE('',#161963,#161962,#85689,.T.); #199786=EDGE_CURVE('',#161960,#161963,#85690,.T.); #199787=EDGE_CURVE('',#161964,#161960,#85691,.T.); #199788=EDGE_CURVE('',#161965,#161963,#85692,.T.); #199789=EDGE_CURVE('',#161964,#161965,#85693,.T.); #199790=EDGE_CURVE('',#161966,#161964,#85694,.T.); #199791=EDGE_CURVE('',#161967,#161965,#85695,.T.); #199792=EDGE_CURVE('',#161966,#161967,#85696,.T.); #199793=EDGE_CURVE('',#161968,#161966,#85697,.T.); #199794=EDGE_CURVE('',#161969,#161967,#85698,.T.); #199795=EDGE_CURVE('',#161968,#161969,#85699,.T.); #199796=EDGE_CURVE('',#161970,#161968,#85700,.T.); #199797=EDGE_CURVE('',#161971,#161969,#85701,.T.); #199798=EDGE_CURVE('',#161970,#161971,#85702,.T.); #199799=EDGE_CURVE('',#161972,#161970,#85703,.T.); #199800=EDGE_CURVE('',#161973,#161971,#85704,.T.); #199801=EDGE_CURVE('',#161972,#161973,#85705,.T.); #199802=EDGE_CURVE('',#161974,#161972,#85706,.T.); #199803=EDGE_CURVE('',#161975,#161973,#85707,.T.); #199804=EDGE_CURVE('',#161974,#161975,#85708,.T.); #199805=EDGE_CURVE('',#161976,#161974,#85709,.T.); #199806=EDGE_CURVE('',#161977,#161975,#85710,.T.); #199807=EDGE_CURVE('',#161976,#161977,#85711,.T.); #199808=EDGE_CURVE('',#161978,#161976,#85712,.T.); #199809=EDGE_CURVE('',#161979,#161977,#85713,.T.); #199810=EDGE_CURVE('',#161978,#161979,#85714,.T.); #199811=EDGE_CURVE('',#161980,#161978,#85715,.T.); #199812=EDGE_CURVE('',#161981,#161979,#85716,.T.); #199813=EDGE_CURVE('',#161980,#161981,#85717,.T.); #199814=EDGE_CURVE('',#161982,#161980,#85718,.T.); #199815=EDGE_CURVE('',#161983,#161981,#85719,.T.); #199816=EDGE_CURVE('',#161982,#161983,#85720,.T.); #199817=EDGE_CURVE('',#161984,#161982,#85721,.T.); #199818=EDGE_CURVE('',#161985,#161983,#85722,.T.); #199819=EDGE_CURVE('',#161984,#161985,#85723,.T.); #199820=EDGE_CURVE('',#161986,#161984,#85724,.T.); #199821=EDGE_CURVE('',#161987,#161985,#85725,.T.); #199822=EDGE_CURVE('',#161986,#161987,#85726,.T.); #199823=EDGE_CURVE('',#161988,#161986,#85727,.T.); #199824=EDGE_CURVE('',#161989,#161987,#85728,.T.); #199825=EDGE_CURVE('',#161988,#161989,#85729,.T.); #199826=EDGE_CURVE('',#161990,#161988,#85730,.T.); #199827=EDGE_CURVE('',#161991,#161989,#85731,.T.); #199828=EDGE_CURVE('',#161990,#161991,#85732,.T.); #199829=EDGE_CURVE('',#161992,#161990,#85733,.T.); #199830=EDGE_CURVE('',#161993,#161991,#85734,.T.); #199831=EDGE_CURVE('',#161992,#161993,#85735,.T.); #199832=EDGE_CURVE('',#161994,#161992,#85736,.T.); #199833=EDGE_CURVE('',#161995,#161993,#85737,.T.); #199834=EDGE_CURVE('',#161994,#161995,#85738,.T.); #199835=EDGE_CURVE('',#161996,#161994,#85739,.T.); #199836=EDGE_CURVE('',#161997,#161995,#85740,.T.); #199837=EDGE_CURVE('',#161996,#161997,#85741,.T.); #199838=EDGE_CURVE('',#161998,#161996,#85742,.T.); #199839=EDGE_CURVE('',#161999,#161997,#85743,.T.); #199840=EDGE_CURVE('',#161998,#161999,#85744,.T.); #199841=EDGE_CURVE('',#162000,#161998,#85745,.T.); #199842=EDGE_CURVE('',#162001,#161999,#85746,.T.); #199843=EDGE_CURVE('',#162000,#162001,#85747,.T.); #199844=EDGE_CURVE('',#162002,#162000,#85748,.T.); #199845=EDGE_CURVE('',#162003,#162001,#85749,.T.); #199846=EDGE_CURVE('',#162002,#162003,#85750,.T.); #199847=EDGE_CURVE('',#162004,#162002,#85751,.T.); #199848=EDGE_CURVE('',#162005,#162003,#85752,.T.); #199849=EDGE_CURVE('',#162004,#162005,#85753,.T.); #199850=EDGE_CURVE('',#162006,#162004,#85754,.T.); #199851=EDGE_CURVE('',#162007,#162005,#85755,.T.); #199852=EDGE_CURVE('',#162006,#162007,#85756,.T.); #199853=EDGE_CURVE('',#162008,#162006,#85757,.T.); #199854=EDGE_CURVE('',#162009,#162007,#85758,.T.); #199855=EDGE_CURVE('',#162008,#162009,#85759,.T.); #199856=EDGE_CURVE('',#162010,#162008,#85760,.T.); #199857=EDGE_CURVE('',#162011,#162009,#85761,.T.); #199858=EDGE_CURVE('',#162010,#162011,#85762,.T.); #199859=EDGE_CURVE('',#162012,#162010,#85763,.T.); #199860=EDGE_CURVE('',#162013,#162011,#85764,.T.); #199861=EDGE_CURVE('',#162012,#162013,#85765,.T.); #199862=EDGE_CURVE('',#162014,#162012,#85766,.T.); #199863=EDGE_CURVE('',#162015,#162013,#85767,.T.); #199864=EDGE_CURVE('',#162014,#162015,#85768,.T.); #199865=EDGE_CURVE('',#162016,#162014,#85769,.T.); #199866=EDGE_CURVE('',#162017,#162015,#85770,.T.); #199867=EDGE_CURVE('',#162016,#162017,#85771,.T.); #199868=EDGE_CURVE('',#162018,#162016,#85772,.T.); #199869=EDGE_CURVE('',#162019,#162017,#85773,.T.); #199870=EDGE_CURVE('',#162018,#162019,#85774,.T.); #199871=EDGE_CURVE('',#162020,#162018,#85775,.T.); #199872=EDGE_CURVE('',#162021,#162019,#85776,.T.); #199873=EDGE_CURVE('',#162020,#162021,#85777,.T.); #199874=EDGE_CURVE('',#162022,#162020,#85778,.T.); #199875=EDGE_CURVE('',#162023,#162021,#85779,.T.); #199876=EDGE_CURVE('',#162022,#162023,#85780,.T.); #199877=EDGE_CURVE('',#162024,#162022,#85781,.T.); #199878=EDGE_CURVE('',#162025,#162023,#85782,.T.); #199879=EDGE_CURVE('',#162024,#162025,#85783,.T.); #199880=EDGE_CURVE('',#162026,#162024,#85784,.T.); #199881=EDGE_CURVE('',#162027,#162025,#85785,.T.); #199882=EDGE_CURVE('',#162026,#162027,#85786,.T.); #199883=EDGE_CURVE('',#162028,#162026,#85787,.T.); #199884=EDGE_CURVE('',#162029,#162027,#85788,.T.); #199885=EDGE_CURVE('',#162028,#162029,#85789,.T.); #199886=EDGE_CURVE('',#162030,#162028,#85790,.T.); #199887=EDGE_CURVE('',#162031,#162029,#85791,.T.); #199888=EDGE_CURVE('',#162030,#162031,#85792,.T.); #199889=EDGE_CURVE('',#162032,#162030,#85793,.T.); #199890=EDGE_CURVE('',#162033,#162031,#85794,.T.); #199891=EDGE_CURVE('',#162032,#162033,#85795,.T.); #199892=EDGE_CURVE('',#162034,#162032,#85796,.T.); #199893=EDGE_CURVE('',#162035,#162033,#85797,.T.); #199894=EDGE_CURVE('',#162034,#162035,#85798,.T.); #199895=EDGE_CURVE('',#162036,#162034,#85799,.T.); #199896=EDGE_CURVE('',#162037,#162035,#85800,.T.); #199897=EDGE_CURVE('',#162036,#162037,#85801,.T.); #199898=EDGE_CURVE('',#162038,#162036,#85802,.T.); #199899=EDGE_CURVE('',#162039,#162037,#85803,.T.); #199900=EDGE_CURVE('',#162038,#162039,#85804,.T.); #199901=EDGE_CURVE('',#161961,#162038,#85805,.T.); #199902=EDGE_CURVE('',#161962,#162039,#85806,.T.); #199903=EDGE_CURVE('',#162040,#162040,#67473,.T.); #199904=EDGE_CURVE('',#162040,#162041,#85807,.T.); #199905=EDGE_CURVE('',#162041,#162041,#67474,.T.); #199906=EDGE_CURVE('',#162042,#162043,#85808,.T.); #199907=EDGE_CURVE('',#162044,#162042,#85809,.T.); #199908=EDGE_CURVE('',#162045,#162044,#85810,.T.); #199909=EDGE_CURVE('',#162045,#162043,#85811,.T.); #199910=EDGE_CURVE('',#162043,#162046,#67475,.T.); #199911=EDGE_CURVE('',#162047,#162045,#67476,.T.); #199912=EDGE_CURVE('',#162047,#162046,#85812,.T.); #199913=EDGE_CURVE('',#162046,#162048,#85813,.T.); #199914=EDGE_CURVE('',#162049,#162047,#85814,.T.); #199915=EDGE_CURVE('',#162049,#162048,#85815,.T.); #199916=EDGE_CURVE('',#162048,#162042,#67477,.T.); #199917=EDGE_CURVE('',#162044,#162049,#67478,.T.); #199918=EDGE_CURVE('',#162050,#162051,#85816,.T.); #199919=EDGE_CURVE('',#162051,#162052,#85817,.T.); #199920=EDGE_CURVE('',#162053,#162052,#85818,.T.); #199921=EDGE_CURVE('',#162050,#162053,#85819,.T.); #199922=EDGE_CURVE('',#162054,#162050,#85820,.T.); #199923=EDGE_CURVE('',#162055,#162053,#85821,.T.); #199924=EDGE_CURVE('',#162054,#162055,#85822,.T.); #199925=EDGE_CURVE('',#162056,#162054,#85823,.T.); #199926=EDGE_CURVE('',#162057,#162055,#85824,.T.); #199927=EDGE_CURVE('',#162056,#162057,#85825,.T.); #199928=EDGE_CURVE('',#162058,#162056,#85826,.T.); #199929=EDGE_CURVE('',#162059,#162057,#85827,.T.); #199930=EDGE_CURVE('',#162058,#162059,#85828,.T.); #199931=EDGE_CURVE('',#162060,#162058,#85829,.T.); #199932=EDGE_CURVE('',#162061,#162059,#85830,.T.); #199933=EDGE_CURVE('',#162060,#162061,#85831,.T.); #199934=EDGE_CURVE('',#162062,#162060,#85832,.T.); #199935=EDGE_CURVE('',#162063,#162061,#85833,.T.); #199936=EDGE_CURVE('',#162062,#162063,#85834,.T.); #199937=EDGE_CURVE('',#162064,#162062,#85835,.T.); #199938=EDGE_CURVE('',#162065,#162063,#85836,.T.); #199939=EDGE_CURVE('',#162064,#162065,#85837,.T.); #199940=EDGE_CURVE('',#162066,#162064,#85838,.T.); #199941=EDGE_CURVE('',#162067,#162065,#85839,.T.); #199942=EDGE_CURVE('',#162066,#162067,#85840,.T.); #199943=EDGE_CURVE('',#162068,#162066,#85841,.T.); #199944=EDGE_CURVE('',#162069,#162067,#85842,.T.); #199945=EDGE_CURVE('',#162068,#162069,#85843,.T.); #199946=EDGE_CURVE('',#162070,#162068,#85844,.T.); #199947=EDGE_CURVE('',#162071,#162069,#85845,.T.); #199948=EDGE_CURVE('',#162070,#162071,#85846,.T.); #199949=EDGE_CURVE('',#162072,#162070,#85847,.T.); #199950=EDGE_CURVE('',#162073,#162071,#85848,.T.); #199951=EDGE_CURVE('',#162072,#162073,#85849,.T.); #199952=EDGE_CURVE('',#162074,#162072,#85850,.T.); #199953=EDGE_CURVE('',#162075,#162073,#85851,.T.); #199954=EDGE_CURVE('',#162074,#162075,#85852,.T.); #199955=EDGE_CURVE('',#162076,#162074,#85853,.T.); #199956=EDGE_CURVE('',#162077,#162075,#85854,.T.); #199957=EDGE_CURVE('',#162076,#162077,#85855,.T.); #199958=EDGE_CURVE('',#162078,#162076,#85856,.T.); #199959=EDGE_CURVE('',#162079,#162077,#85857,.T.); #199960=EDGE_CURVE('',#162078,#162079,#85858,.T.); #199961=EDGE_CURVE('',#162080,#162078,#85859,.T.); #199962=EDGE_CURVE('',#162081,#162079,#85860,.T.); #199963=EDGE_CURVE('',#162080,#162081,#85861,.T.); #199964=EDGE_CURVE('',#162082,#162080,#85862,.T.); #199965=EDGE_CURVE('',#162083,#162081,#85863,.T.); #199966=EDGE_CURVE('',#162082,#162083,#85864,.T.); #199967=EDGE_CURVE('',#162084,#162082,#85865,.T.); #199968=EDGE_CURVE('',#162085,#162083,#85866,.T.); #199969=EDGE_CURVE('',#162084,#162085,#85867,.T.); #199970=EDGE_CURVE('',#162086,#162084,#85868,.T.); #199971=EDGE_CURVE('',#162087,#162085,#85869,.T.); #199972=EDGE_CURVE('',#162086,#162087,#85870,.T.); #199973=EDGE_CURVE('',#162088,#162086,#85871,.T.); #199974=EDGE_CURVE('',#162089,#162087,#85872,.T.); #199975=EDGE_CURVE('',#162088,#162089,#85873,.T.); #199976=EDGE_CURVE('',#162090,#162088,#85874,.T.); #199977=EDGE_CURVE('',#162091,#162089,#85875,.T.); #199978=EDGE_CURVE('',#162090,#162091,#85876,.T.); #199979=EDGE_CURVE('',#162092,#162090,#85877,.T.); #199980=EDGE_CURVE('',#162093,#162091,#85878,.T.); #199981=EDGE_CURVE('',#162092,#162093,#85879,.T.); #199982=EDGE_CURVE('',#162094,#162092,#85880,.T.); #199983=EDGE_CURVE('',#162095,#162093,#85881,.T.); #199984=EDGE_CURVE('',#162094,#162095,#85882,.T.); #199985=EDGE_CURVE('',#162096,#162094,#85883,.T.); #199986=EDGE_CURVE('',#162097,#162095,#85884,.T.); #199987=EDGE_CURVE('',#162096,#162097,#85885,.T.); #199988=EDGE_CURVE('',#162098,#162096,#85886,.T.); #199989=EDGE_CURVE('',#162099,#162097,#85887,.T.); #199990=EDGE_CURVE('',#162098,#162099,#85888,.T.); #199991=EDGE_CURVE('',#162100,#162098,#85889,.T.); #199992=EDGE_CURVE('',#162101,#162099,#85890,.T.); #199993=EDGE_CURVE('',#162100,#162101,#85891,.T.); #199994=EDGE_CURVE('',#162102,#162100,#85892,.T.); #199995=EDGE_CURVE('',#162103,#162101,#85893,.T.); #199996=EDGE_CURVE('',#162102,#162103,#85894,.T.); #199997=EDGE_CURVE('',#162104,#162102,#85895,.T.); #199998=EDGE_CURVE('',#162105,#162103,#85896,.T.); #199999=EDGE_CURVE('',#162104,#162105,#85897,.T.); #200000=EDGE_CURVE('',#162106,#162104,#85898,.T.); #200001=EDGE_CURVE('',#162107,#162105,#85899,.T.); #200002=EDGE_CURVE('',#162106,#162107,#85900,.T.); #200003=EDGE_CURVE('',#162108,#162106,#85901,.T.); #200004=EDGE_CURVE('',#162109,#162107,#85902,.T.); #200005=EDGE_CURVE('',#162108,#162109,#85903,.T.); #200006=EDGE_CURVE('',#162110,#162108,#85904,.T.); #200007=EDGE_CURVE('',#162111,#162109,#85905,.T.); #200008=EDGE_CURVE('',#162110,#162111,#85906,.T.); #200009=EDGE_CURVE('',#162112,#162110,#85907,.T.); #200010=EDGE_CURVE('',#162113,#162111,#85908,.T.); #200011=EDGE_CURVE('',#162112,#162113,#85909,.T.); #200012=EDGE_CURVE('',#162114,#162112,#85910,.T.); #200013=EDGE_CURVE('',#162115,#162113,#85911,.T.); #200014=EDGE_CURVE('',#162114,#162115,#85912,.T.); #200015=EDGE_CURVE('',#162116,#162114,#85913,.T.); #200016=EDGE_CURVE('',#162117,#162115,#85914,.T.); #200017=EDGE_CURVE('',#162116,#162117,#85915,.T.); #200018=EDGE_CURVE('',#162118,#162116,#85916,.T.); #200019=EDGE_CURVE('',#162119,#162117,#85917,.T.); #200020=EDGE_CURVE('',#162118,#162119,#85918,.T.); #200021=EDGE_CURVE('',#162120,#162118,#85919,.T.); #200022=EDGE_CURVE('',#162121,#162119,#85920,.T.); #200023=EDGE_CURVE('',#162120,#162121,#85921,.T.); #200024=EDGE_CURVE('',#162122,#162120,#85922,.T.); #200025=EDGE_CURVE('',#162123,#162121,#85923,.T.); #200026=EDGE_CURVE('',#162122,#162123,#85924,.T.); #200027=EDGE_CURVE('',#162124,#162122,#85925,.T.); #200028=EDGE_CURVE('',#162125,#162123,#85926,.T.); #200029=EDGE_CURVE('',#162124,#162125,#85927,.T.); #200030=EDGE_CURVE('',#162126,#162124,#85928,.T.); #200031=EDGE_CURVE('',#162127,#162125,#85929,.T.); #200032=EDGE_CURVE('',#162126,#162127,#85930,.T.); #200033=EDGE_CURVE('',#162128,#162126,#85931,.T.); #200034=EDGE_CURVE('',#162129,#162127,#85932,.T.); #200035=EDGE_CURVE('',#162128,#162129,#85933,.T.); #200036=EDGE_CURVE('',#162130,#162128,#85934,.T.); #200037=EDGE_CURVE('',#162131,#162129,#85935,.T.); #200038=EDGE_CURVE('',#162130,#162131,#85936,.T.); #200039=EDGE_CURVE('',#162132,#162130,#85937,.T.); #200040=EDGE_CURVE('',#162133,#162131,#85938,.T.); #200041=EDGE_CURVE('',#162132,#162133,#85939,.T.); #200042=EDGE_CURVE('',#162134,#162132,#85940,.T.); #200043=EDGE_CURVE('',#162135,#162133,#85941,.T.); #200044=EDGE_CURVE('',#162134,#162135,#85942,.T.); #200045=EDGE_CURVE('',#162136,#162134,#85943,.T.); #200046=EDGE_CURVE('',#162137,#162135,#85944,.T.); #200047=EDGE_CURVE('',#162136,#162137,#85945,.T.); #200048=EDGE_CURVE('',#162138,#162136,#85946,.T.); #200049=EDGE_CURVE('',#162139,#162137,#85947,.T.); #200050=EDGE_CURVE('',#162138,#162139,#85948,.T.); #200051=EDGE_CURVE('',#162140,#162138,#85949,.T.); #200052=EDGE_CURVE('',#162141,#162139,#85950,.T.); #200053=EDGE_CURVE('',#162140,#162141,#85951,.T.); #200054=EDGE_CURVE('',#162142,#162140,#85952,.T.); #200055=EDGE_CURVE('',#162143,#162141,#85953,.T.); #200056=EDGE_CURVE('',#162142,#162143,#85954,.T.); #200057=EDGE_CURVE('',#162144,#162142,#85955,.T.); #200058=EDGE_CURVE('',#162145,#162143,#85956,.T.); #200059=EDGE_CURVE('',#162144,#162145,#85957,.T.); #200060=EDGE_CURVE('',#162146,#162144,#85958,.T.); #200061=EDGE_CURVE('',#162147,#162145,#85959,.T.); #200062=EDGE_CURVE('',#162146,#162147,#85960,.T.); #200063=EDGE_CURVE('',#162148,#162146,#85961,.T.); #200064=EDGE_CURVE('',#162149,#162147,#85962,.T.); #200065=EDGE_CURVE('',#162148,#162149,#85963,.T.); #200066=EDGE_CURVE('',#162150,#162148,#85964,.T.); #200067=EDGE_CURVE('',#162151,#162149,#85965,.T.); #200068=EDGE_CURVE('',#162150,#162151,#85966,.T.); #200069=EDGE_CURVE('',#162152,#162150,#85967,.T.); #200070=EDGE_CURVE('',#162153,#162151,#85968,.T.); #200071=EDGE_CURVE('',#162152,#162153,#85969,.T.); #200072=EDGE_CURVE('',#162154,#162152,#85970,.T.); #200073=EDGE_CURVE('',#162155,#162153,#85971,.T.); #200074=EDGE_CURVE('',#162154,#162155,#85972,.T.); #200075=EDGE_CURVE('',#162156,#162154,#85973,.T.); #200076=EDGE_CURVE('',#162157,#162155,#85974,.T.); #200077=EDGE_CURVE('',#162156,#162157,#85975,.T.); #200078=EDGE_CURVE('',#162158,#162156,#85976,.T.); #200079=EDGE_CURVE('',#162159,#162157,#85977,.T.); #200080=EDGE_CURVE('',#162158,#162159,#85978,.T.); #200081=EDGE_CURVE('',#162160,#162158,#85979,.T.); #200082=EDGE_CURVE('',#162161,#162159,#85980,.T.); #200083=EDGE_CURVE('',#162160,#162161,#85981,.T.); #200084=EDGE_CURVE('',#162162,#162160,#85982,.T.); #200085=EDGE_CURVE('',#162163,#162161,#85983,.T.); #200086=EDGE_CURVE('',#162162,#162163,#85984,.T.); #200087=EDGE_CURVE('',#162164,#162162,#85985,.T.); #200088=EDGE_CURVE('',#162165,#162163,#85986,.T.); #200089=EDGE_CURVE('',#162164,#162165,#85987,.T.); #200090=EDGE_CURVE('',#162166,#162164,#85988,.T.); #200091=EDGE_CURVE('',#162167,#162165,#85989,.T.); #200092=EDGE_CURVE('',#162166,#162167,#85990,.T.); #200093=EDGE_CURVE('',#162168,#162166,#85991,.T.); #200094=EDGE_CURVE('',#162169,#162167,#85992,.T.); #200095=EDGE_CURVE('',#162168,#162169,#85993,.T.); #200096=EDGE_CURVE('',#162170,#162168,#85994,.T.); #200097=EDGE_CURVE('',#162171,#162169,#85995,.T.); #200098=EDGE_CURVE('',#162170,#162171,#85996,.T.); #200099=EDGE_CURVE('',#162172,#162170,#85997,.T.); #200100=EDGE_CURVE('',#162173,#162171,#85998,.T.); #200101=EDGE_CURVE('',#162172,#162173,#85999,.T.); #200102=EDGE_CURVE('',#162174,#162172,#86000,.T.); #200103=EDGE_CURVE('',#162175,#162173,#86001,.T.); #200104=EDGE_CURVE('',#162174,#162175,#86002,.T.); #200105=EDGE_CURVE('',#162176,#162174,#86003,.T.); #200106=EDGE_CURVE('',#162177,#162175,#86004,.T.); #200107=EDGE_CURVE('',#162176,#162177,#86005,.T.); #200108=EDGE_CURVE('',#162178,#162176,#86006,.T.); #200109=EDGE_CURVE('',#162179,#162177,#86007,.T.); #200110=EDGE_CURVE('',#162178,#162179,#86008,.T.); #200111=EDGE_CURVE('',#162180,#162178,#86009,.T.); #200112=EDGE_CURVE('',#162181,#162179,#86010,.T.); #200113=EDGE_CURVE('',#162180,#162181,#86011,.T.); #200114=EDGE_CURVE('',#162182,#162180,#86012,.T.); #200115=EDGE_CURVE('',#162183,#162181,#86013,.T.); #200116=EDGE_CURVE('',#162182,#162183,#86014,.T.); #200117=EDGE_CURVE('',#162184,#162182,#86015,.T.); #200118=EDGE_CURVE('',#162185,#162183,#86016,.T.); #200119=EDGE_CURVE('',#162184,#162185,#86017,.T.); #200120=EDGE_CURVE('',#162186,#162184,#86018,.T.); #200121=EDGE_CURVE('',#162187,#162185,#86019,.T.); #200122=EDGE_CURVE('',#162186,#162187,#86020,.T.); #200123=EDGE_CURVE('',#162188,#162186,#86021,.T.); #200124=EDGE_CURVE('',#162189,#162187,#86022,.T.); #200125=EDGE_CURVE('',#162188,#162189,#86023,.T.); #200126=EDGE_CURVE('',#162190,#162188,#86024,.T.); #200127=EDGE_CURVE('',#162191,#162189,#86025,.T.); #200128=EDGE_CURVE('',#162190,#162191,#86026,.T.); #200129=EDGE_CURVE('',#162192,#162190,#86027,.T.); #200130=EDGE_CURVE('',#162193,#162191,#86028,.T.); #200131=EDGE_CURVE('',#162192,#162193,#86029,.T.); #200132=EDGE_CURVE('',#162194,#162192,#86030,.T.); #200133=EDGE_CURVE('',#162195,#162193,#86031,.T.); #200134=EDGE_CURVE('',#162194,#162195,#86032,.T.); #200135=EDGE_CURVE('',#162196,#162194,#86033,.T.); #200136=EDGE_CURVE('',#162197,#162195,#86034,.T.); #200137=EDGE_CURVE('',#162196,#162197,#86035,.T.); #200138=EDGE_CURVE('',#162198,#162196,#86036,.T.); #200139=EDGE_CURVE('',#162199,#162197,#86037,.T.); #200140=EDGE_CURVE('',#162198,#162199,#86038,.T.); #200141=EDGE_CURVE('',#162200,#162198,#86039,.T.); #200142=EDGE_CURVE('',#162201,#162199,#86040,.T.); #200143=EDGE_CURVE('',#162200,#162201,#86041,.T.); #200144=EDGE_CURVE('',#162202,#162200,#86042,.T.); #200145=EDGE_CURVE('',#162203,#162201,#86043,.T.); #200146=EDGE_CURVE('',#162202,#162203,#86044,.T.); #200147=EDGE_CURVE('',#162204,#162202,#86045,.T.); #200148=EDGE_CURVE('',#162205,#162203,#86046,.T.); #200149=EDGE_CURVE('',#162204,#162205,#86047,.T.); #200150=EDGE_CURVE('',#162206,#162204,#86048,.T.); #200151=EDGE_CURVE('',#162207,#162205,#86049,.T.); #200152=EDGE_CURVE('',#162206,#162207,#86050,.T.); #200153=EDGE_CURVE('',#162208,#162206,#86051,.T.); #200154=EDGE_CURVE('',#162209,#162207,#86052,.T.); #200155=EDGE_CURVE('',#162208,#162209,#86053,.T.); #200156=EDGE_CURVE('',#162210,#162208,#86054,.T.); #200157=EDGE_CURVE('',#162211,#162209,#86055,.T.); #200158=EDGE_CURVE('',#162210,#162211,#86056,.T.); #200159=EDGE_CURVE('',#162212,#162210,#86057,.T.); #200160=EDGE_CURVE('',#162213,#162211,#86058,.T.); #200161=EDGE_CURVE('',#162212,#162213,#86059,.T.); #200162=EDGE_CURVE('',#162214,#162212,#86060,.T.); #200163=EDGE_CURVE('',#162215,#162213,#86061,.T.); #200164=EDGE_CURVE('',#162214,#162215,#86062,.T.); #200165=EDGE_CURVE('',#162216,#162214,#86063,.T.); #200166=EDGE_CURVE('',#162217,#162215,#86064,.T.); #200167=EDGE_CURVE('',#162216,#162217,#86065,.T.); #200168=EDGE_CURVE('',#162218,#162216,#86066,.T.); #200169=EDGE_CURVE('',#162219,#162217,#86067,.T.); #200170=EDGE_CURVE('',#162218,#162219,#86068,.T.); #200171=EDGE_CURVE('',#162220,#162218,#86069,.T.); #200172=EDGE_CURVE('',#162221,#162219,#86070,.T.); #200173=EDGE_CURVE('',#162220,#162221,#86071,.T.); #200174=EDGE_CURVE('',#162222,#162220,#86072,.T.); #200175=EDGE_CURVE('',#162223,#162221,#86073,.T.); #200176=EDGE_CURVE('',#162222,#162223,#86074,.T.); #200177=EDGE_CURVE('',#162224,#162222,#86075,.T.); #200178=EDGE_CURVE('',#162225,#162223,#86076,.T.); #200179=EDGE_CURVE('',#162224,#162225,#86077,.T.); #200180=EDGE_CURVE('',#162226,#162224,#86078,.T.); #200181=EDGE_CURVE('',#162227,#162225,#86079,.T.); #200182=EDGE_CURVE('',#162226,#162227,#86080,.T.); #200183=EDGE_CURVE('',#162228,#162226,#86081,.T.); #200184=EDGE_CURVE('',#162229,#162227,#86082,.T.); #200185=EDGE_CURVE('',#162228,#162229,#86083,.T.); #200186=EDGE_CURVE('',#162230,#162228,#86084,.T.); #200187=EDGE_CURVE('',#162231,#162229,#86085,.T.); #200188=EDGE_CURVE('',#162230,#162231,#86086,.T.); #200189=EDGE_CURVE('',#162232,#162230,#86087,.T.); #200190=EDGE_CURVE('',#162233,#162231,#86088,.T.); #200191=EDGE_CURVE('',#162232,#162233,#86089,.T.); #200192=EDGE_CURVE('',#162234,#162232,#86090,.T.); #200193=EDGE_CURVE('',#162235,#162233,#86091,.T.); #200194=EDGE_CURVE('',#162234,#162235,#86092,.T.); #200195=EDGE_CURVE('',#162051,#162234,#86093,.T.); #200196=EDGE_CURVE('',#162052,#162235,#86094,.T.); #200197=EDGE_CURVE('',#162236,#162237,#86095,.T.); #200198=EDGE_CURVE('',#162237,#162238,#86096,.T.); #200199=EDGE_CURVE('',#162239,#162238,#86097,.T.); #200200=EDGE_CURVE('',#162236,#162239,#86098,.T.); #200201=EDGE_CURVE('',#162240,#162236,#86099,.T.); #200202=EDGE_CURVE('',#162241,#162239,#86100,.T.); #200203=EDGE_CURVE('',#162240,#162241,#86101,.T.); #200204=EDGE_CURVE('',#162242,#162240,#86102,.T.); #200205=EDGE_CURVE('',#162243,#162241,#86103,.T.); #200206=EDGE_CURVE('',#162242,#162243,#86104,.T.); #200207=EDGE_CURVE('',#162244,#162242,#86105,.T.); #200208=EDGE_CURVE('',#162245,#162243,#86106,.T.); #200209=EDGE_CURVE('',#162244,#162245,#86107,.T.); #200210=EDGE_CURVE('',#162246,#162244,#86108,.T.); #200211=EDGE_CURVE('',#162247,#162245,#86109,.T.); #200212=EDGE_CURVE('',#162246,#162247,#86110,.T.); #200213=EDGE_CURVE('',#162248,#162246,#86111,.T.); #200214=EDGE_CURVE('',#162249,#162247,#86112,.T.); #200215=EDGE_CURVE('',#162248,#162249,#86113,.T.); #200216=EDGE_CURVE('',#162250,#162248,#86114,.T.); #200217=EDGE_CURVE('',#162251,#162249,#86115,.T.); #200218=EDGE_CURVE('',#162250,#162251,#86116,.T.); #200219=EDGE_CURVE('',#162252,#162250,#86117,.T.); #200220=EDGE_CURVE('',#162253,#162251,#86118,.T.); #200221=EDGE_CURVE('',#162252,#162253,#86119,.T.); #200222=EDGE_CURVE('',#162254,#162252,#86120,.T.); #200223=EDGE_CURVE('',#162255,#162253,#86121,.T.); #200224=EDGE_CURVE('',#162254,#162255,#86122,.T.); #200225=EDGE_CURVE('',#162256,#162254,#86123,.T.); #200226=EDGE_CURVE('',#162257,#162255,#86124,.T.); #200227=EDGE_CURVE('',#162256,#162257,#86125,.T.); #200228=EDGE_CURVE('',#162258,#162256,#86126,.T.); #200229=EDGE_CURVE('',#162259,#162257,#86127,.T.); #200230=EDGE_CURVE('',#162258,#162259,#86128,.T.); #200231=EDGE_CURVE('',#162260,#162258,#86129,.T.); #200232=EDGE_CURVE('',#162261,#162259,#86130,.T.); #200233=EDGE_CURVE('',#162260,#162261,#86131,.T.); #200234=EDGE_CURVE('',#162262,#162260,#86132,.T.); #200235=EDGE_CURVE('',#162263,#162261,#86133,.T.); #200236=EDGE_CURVE('',#162262,#162263,#86134,.T.); #200237=EDGE_CURVE('',#162264,#162262,#86135,.T.); #200238=EDGE_CURVE('',#162265,#162263,#86136,.T.); #200239=EDGE_CURVE('',#162264,#162265,#86137,.T.); #200240=EDGE_CURVE('',#162266,#162264,#86138,.T.); #200241=EDGE_CURVE('',#162267,#162265,#86139,.T.); #200242=EDGE_CURVE('',#162266,#162267,#86140,.T.); #200243=EDGE_CURVE('',#162268,#162266,#86141,.T.); #200244=EDGE_CURVE('',#162269,#162267,#86142,.T.); #200245=EDGE_CURVE('',#162268,#162269,#86143,.T.); #200246=EDGE_CURVE('',#162270,#162268,#86144,.T.); #200247=EDGE_CURVE('',#162271,#162269,#86145,.T.); #200248=EDGE_CURVE('',#162270,#162271,#86146,.T.); #200249=EDGE_CURVE('',#162272,#162270,#86147,.T.); #200250=EDGE_CURVE('',#162273,#162271,#86148,.T.); #200251=EDGE_CURVE('',#162272,#162273,#86149,.T.); #200252=EDGE_CURVE('',#162274,#162272,#86150,.T.); #200253=EDGE_CURVE('',#162275,#162273,#86151,.T.); #200254=EDGE_CURVE('',#162274,#162275,#86152,.T.); #200255=EDGE_CURVE('',#162237,#162274,#86153,.T.); #200256=EDGE_CURVE('',#162238,#162275,#86154,.T.); #200257=EDGE_CURVE('',#162276,#162276,#67479,.T.); #200258=EDGE_CURVE('',#162276,#162277,#86155,.T.); #200259=EDGE_CURVE('',#162277,#162277,#67480,.T.); #200260=EDGE_CURVE('',#162278,#162278,#67481,.T.); #200261=EDGE_CURVE('',#162278,#162279,#86156,.T.); #200262=EDGE_CURVE('',#162279,#162279,#67482,.T.); #200263=EDGE_CURVE('',#162280,#162280,#67483,.T.); #200264=EDGE_CURVE('',#162280,#162281,#86157,.T.); #200265=EDGE_CURVE('',#162281,#162281,#67484,.T.); #200266=EDGE_CURVE('',#162282,#162282,#67485,.T.); #200267=EDGE_CURVE('',#162282,#162283,#86158,.T.); #200268=EDGE_CURVE('',#162283,#162283,#67486,.T.); #200269=EDGE_CURVE('',#162284,#162284,#67487,.T.); #200270=EDGE_CURVE('',#162284,#162285,#86159,.T.); #200271=EDGE_CURVE('',#162285,#162285,#67488,.T.); #200272=EDGE_CURVE('',#162286,#162286,#67489,.T.); #200273=EDGE_CURVE('',#162286,#162287,#86160,.T.); #200274=EDGE_CURVE('',#162287,#162287,#67490,.T.); #200275=EDGE_CURVE('',#162288,#162288,#67491,.T.); #200276=EDGE_CURVE('',#162288,#162289,#86161,.T.); #200277=EDGE_CURVE('',#162289,#162289,#67492,.T.); #200278=EDGE_CURVE('',#162290,#162290,#67493,.T.); #200279=EDGE_CURVE('',#162290,#162291,#86162,.T.); #200280=EDGE_CURVE('',#162291,#162291,#67494,.T.); #200281=EDGE_CURVE('',#162292,#162292,#67495,.T.); #200282=EDGE_CURVE('',#162292,#162293,#86163,.T.); #200283=EDGE_CURVE('',#162293,#162293,#67496,.T.); #200284=EDGE_CURVE('',#162294,#162294,#67497,.T.); #200285=EDGE_CURVE('',#162294,#162295,#86164,.T.); #200286=EDGE_CURVE('',#162295,#162295,#67498,.T.); #200287=EDGE_CURVE('',#162296,#162296,#67499,.T.); #200288=EDGE_CURVE('',#162296,#162297,#86165,.T.); #200289=EDGE_CURVE('',#162297,#162297,#67500,.T.); #200290=EDGE_CURVE('',#162298,#162298,#67501,.T.); #200291=EDGE_CURVE('',#162298,#162299,#86166,.T.); #200292=EDGE_CURVE('',#162299,#162299,#67502,.T.); #200293=EDGE_CURVE('',#162300,#162300,#67503,.T.); #200294=EDGE_CURVE('',#162300,#162301,#86167,.T.); #200295=EDGE_CURVE('',#162301,#162301,#67504,.T.); #200296=EDGE_CURVE('',#162302,#162302,#67505,.T.); #200297=EDGE_CURVE('',#162302,#162303,#86168,.T.); #200298=EDGE_CURVE('',#162303,#162303,#67506,.T.); #200299=EDGE_CURVE('',#162304,#162304,#67507,.T.); #200300=EDGE_CURVE('',#162304,#162305,#86169,.T.); #200301=EDGE_CURVE('',#162305,#162305,#67508,.T.); #200302=EDGE_CURVE('',#162306,#162307,#86170,.T.); #200303=EDGE_CURVE('',#162307,#162308,#86171,.T.); #200304=EDGE_CURVE('',#162309,#162308,#86172,.T.); #200305=EDGE_CURVE('',#162306,#162309,#86173,.T.); #200306=EDGE_CURVE('',#162310,#162306,#86174,.T.); #200307=EDGE_CURVE('',#162311,#162309,#86175,.T.); #200308=EDGE_CURVE('',#162310,#162311,#86176,.T.); #200309=EDGE_CURVE('',#162312,#162310,#86177,.T.); #200310=EDGE_CURVE('',#162313,#162311,#86178,.T.); #200311=EDGE_CURVE('',#162312,#162313,#86179,.T.); #200312=EDGE_CURVE('',#162314,#162312,#86180,.T.); #200313=EDGE_CURVE('',#162315,#162313,#86181,.T.); #200314=EDGE_CURVE('',#162314,#162315,#86182,.T.); #200315=EDGE_CURVE('',#162316,#162314,#86183,.T.); #200316=EDGE_CURVE('',#162317,#162315,#86184,.T.); #200317=EDGE_CURVE('',#162316,#162317,#86185,.T.); #200318=EDGE_CURVE('',#162318,#162316,#86186,.T.); #200319=EDGE_CURVE('',#162319,#162317,#86187,.T.); #200320=EDGE_CURVE('',#162318,#162319,#86188,.T.); #200321=EDGE_CURVE('',#162320,#162318,#86189,.T.); #200322=EDGE_CURVE('',#162321,#162319,#86190,.T.); #200323=EDGE_CURVE('',#162320,#162321,#86191,.T.); #200324=EDGE_CURVE('',#162322,#162320,#86192,.T.); #200325=EDGE_CURVE('',#162323,#162321,#86193,.T.); #200326=EDGE_CURVE('',#162322,#162323,#86194,.T.); #200327=EDGE_CURVE('',#162324,#162322,#86195,.T.); #200328=EDGE_CURVE('',#162325,#162323,#86196,.T.); #200329=EDGE_CURVE('',#162324,#162325,#86197,.T.); #200330=EDGE_CURVE('',#162326,#162324,#86198,.T.); #200331=EDGE_CURVE('',#162327,#162325,#86199,.T.); #200332=EDGE_CURVE('',#162326,#162327,#86200,.T.); #200333=EDGE_CURVE('',#162328,#162326,#86201,.T.); #200334=EDGE_CURVE('',#162329,#162327,#86202,.T.); #200335=EDGE_CURVE('',#162328,#162329,#86203,.T.); #200336=EDGE_CURVE('',#162330,#162328,#86204,.T.); #200337=EDGE_CURVE('',#162331,#162329,#86205,.T.); #200338=EDGE_CURVE('',#162330,#162331,#86206,.T.); #200339=EDGE_CURVE('',#162332,#162330,#86207,.T.); #200340=EDGE_CURVE('',#162333,#162331,#86208,.T.); #200341=EDGE_CURVE('',#162332,#162333,#86209,.T.); #200342=EDGE_CURVE('',#162334,#162332,#86210,.T.); #200343=EDGE_CURVE('',#162335,#162333,#86211,.T.); #200344=EDGE_CURVE('',#162334,#162335,#86212,.T.); #200345=EDGE_CURVE('',#162336,#162334,#86213,.T.); #200346=EDGE_CURVE('',#162337,#162335,#86214,.T.); #200347=EDGE_CURVE('',#162336,#162337,#86215,.T.); #200348=EDGE_CURVE('',#162338,#162336,#86216,.T.); #200349=EDGE_CURVE('',#162339,#162337,#86217,.T.); #200350=EDGE_CURVE('',#162338,#162339,#86218,.T.); #200351=EDGE_CURVE('',#162340,#162338,#86219,.T.); #200352=EDGE_CURVE('',#162341,#162339,#86220,.T.); #200353=EDGE_CURVE('',#162340,#162341,#86221,.T.); #200354=EDGE_CURVE('',#162342,#162340,#86222,.T.); #200355=EDGE_CURVE('',#162343,#162341,#86223,.T.); #200356=EDGE_CURVE('',#162342,#162343,#86224,.T.); #200357=EDGE_CURVE('',#162344,#162342,#86225,.T.); #200358=EDGE_CURVE('',#162345,#162343,#86226,.T.); #200359=EDGE_CURVE('',#162344,#162345,#86227,.T.); #200360=EDGE_CURVE('',#162346,#162344,#86228,.T.); #200361=EDGE_CURVE('',#162347,#162345,#86229,.T.); #200362=EDGE_CURVE('',#162346,#162347,#86230,.T.); #200363=EDGE_CURVE('',#162348,#162346,#86231,.T.); #200364=EDGE_CURVE('',#162349,#162347,#86232,.T.); #200365=EDGE_CURVE('',#162348,#162349,#86233,.T.); #200366=EDGE_CURVE('',#162350,#162348,#86234,.T.); #200367=EDGE_CURVE('',#162351,#162349,#86235,.T.); #200368=EDGE_CURVE('',#162350,#162351,#86236,.T.); #200369=EDGE_CURVE('',#162352,#162350,#86237,.T.); #200370=EDGE_CURVE('',#162353,#162351,#86238,.T.); #200371=EDGE_CURVE('',#162352,#162353,#86239,.T.); #200372=EDGE_CURVE('',#162354,#162352,#86240,.T.); #200373=EDGE_CURVE('',#162355,#162353,#86241,.T.); #200374=EDGE_CURVE('',#162354,#162355,#86242,.T.); #200375=EDGE_CURVE('',#162356,#162354,#86243,.T.); #200376=EDGE_CURVE('',#162357,#162355,#86244,.T.); #200377=EDGE_CURVE('',#162356,#162357,#86245,.T.); #200378=EDGE_CURVE('',#162358,#162356,#86246,.T.); #200379=EDGE_CURVE('',#162359,#162357,#86247,.T.); #200380=EDGE_CURVE('',#162358,#162359,#86248,.T.); #200381=EDGE_CURVE('',#162360,#162358,#86249,.T.); #200382=EDGE_CURVE('',#162361,#162359,#86250,.T.); #200383=EDGE_CURVE('',#162360,#162361,#86251,.T.); #200384=EDGE_CURVE('',#162362,#162360,#86252,.T.); #200385=EDGE_CURVE('',#162363,#162361,#86253,.T.); #200386=EDGE_CURVE('',#162362,#162363,#86254,.T.); #200387=EDGE_CURVE('',#162364,#162362,#86255,.T.); #200388=EDGE_CURVE('',#162365,#162363,#86256,.T.); #200389=EDGE_CURVE('',#162364,#162365,#86257,.T.); #200390=EDGE_CURVE('',#162366,#162364,#86258,.T.); #200391=EDGE_CURVE('',#162367,#162365,#86259,.T.); #200392=EDGE_CURVE('',#162366,#162367,#86260,.T.); #200393=EDGE_CURVE('',#162368,#162366,#86261,.T.); #200394=EDGE_CURVE('',#162369,#162367,#86262,.T.); #200395=EDGE_CURVE('',#162368,#162369,#86263,.T.); #200396=EDGE_CURVE('',#162370,#162368,#86264,.T.); #200397=EDGE_CURVE('',#162371,#162369,#86265,.T.); #200398=EDGE_CURVE('',#162370,#162371,#86266,.T.); #200399=EDGE_CURVE('',#162372,#162370,#86267,.T.); #200400=EDGE_CURVE('',#162373,#162371,#86268,.T.); #200401=EDGE_CURVE('',#162372,#162373,#86269,.T.); #200402=EDGE_CURVE('',#162374,#162372,#86270,.T.); #200403=EDGE_CURVE('',#162375,#162373,#86271,.T.); #200404=EDGE_CURVE('',#162374,#162375,#86272,.T.); #200405=EDGE_CURVE('',#162376,#162374,#86273,.T.); #200406=EDGE_CURVE('',#162377,#162375,#86274,.T.); #200407=EDGE_CURVE('',#162376,#162377,#86275,.T.); #200408=EDGE_CURVE('',#162378,#162376,#86276,.T.); #200409=EDGE_CURVE('',#162379,#162377,#86277,.T.); #200410=EDGE_CURVE('',#162378,#162379,#86278,.T.); #200411=EDGE_CURVE('',#162380,#162378,#86279,.T.); #200412=EDGE_CURVE('',#162381,#162379,#86280,.T.); #200413=EDGE_CURVE('',#162380,#162381,#86281,.T.); #200414=EDGE_CURVE('',#162382,#162380,#86282,.T.); #200415=EDGE_CURVE('',#162383,#162381,#86283,.T.); #200416=EDGE_CURVE('',#162382,#162383,#86284,.T.); #200417=EDGE_CURVE('',#162384,#162382,#86285,.T.); #200418=EDGE_CURVE('',#162385,#162383,#86286,.T.); #200419=EDGE_CURVE('',#162384,#162385,#86287,.T.); #200420=EDGE_CURVE('',#162386,#162384,#86288,.T.); #200421=EDGE_CURVE('',#162387,#162385,#86289,.T.); #200422=EDGE_CURVE('',#162386,#162387,#86290,.T.); #200423=EDGE_CURVE('',#162307,#162386,#86291,.T.); #200424=EDGE_CURVE('',#162308,#162387,#86292,.T.); #200425=EDGE_CURVE('',#162388,#162388,#67509,.T.); #200426=EDGE_CURVE('',#162388,#162389,#86293,.T.); #200427=EDGE_CURVE('',#162389,#162389,#67510,.T.); #200428=EDGE_CURVE('',#162390,#162391,#86294,.T.); #200429=EDGE_CURVE('',#162391,#162392,#86295,.T.); #200430=EDGE_CURVE('',#162393,#162392,#86296,.T.); #200431=EDGE_CURVE('',#162390,#162393,#86297,.T.); #200432=EDGE_CURVE('',#162394,#162390,#86298,.T.); #200433=EDGE_CURVE('',#162395,#162393,#86299,.T.); #200434=EDGE_CURVE('',#162394,#162395,#86300,.T.); #200435=EDGE_CURVE('',#162396,#162394,#86301,.T.); #200436=EDGE_CURVE('',#162397,#162395,#86302,.T.); #200437=EDGE_CURVE('',#162396,#162397,#86303,.T.); #200438=EDGE_CURVE('',#162398,#162396,#86304,.T.); #200439=EDGE_CURVE('',#162399,#162397,#86305,.T.); #200440=EDGE_CURVE('',#162398,#162399,#86306,.T.); #200441=EDGE_CURVE('',#162400,#162398,#86307,.T.); #200442=EDGE_CURVE('',#162401,#162399,#86308,.T.); #200443=EDGE_CURVE('',#162400,#162401,#86309,.T.); #200444=EDGE_CURVE('',#162402,#162400,#67511,.T.); #200445=EDGE_CURVE('',#162403,#162401,#67512,.T.); #200446=EDGE_CURVE('',#162402,#162403,#86310,.T.); #200447=EDGE_CURVE('',#162404,#162402,#86311,.T.); #200448=EDGE_CURVE('',#162405,#162403,#86312,.T.); #200449=EDGE_CURVE('',#162404,#162405,#86313,.T.); #200450=EDGE_CURVE('',#162406,#162404,#86314,.T.); #200451=EDGE_CURVE('',#162407,#162405,#86315,.T.); #200452=EDGE_CURVE('',#162406,#162407,#86316,.T.); #200453=EDGE_CURVE('',#162408,#162406,#67513,.T.); #200454=EDGE_CURVE('',#162409,#162407,#67514,.T.); #200455=EDGE_CURVE('',#162408,#162409,#86317,.T.); #200456=EDGE_CURVE('',#162410,#162408,#86318,.T.); #200457=EDGE_CURVE('',#162411,#162409,#86319,.T.); #200458=EDGE_CURVE('',#162410,#162411,#86320,.T.); #200459=EDGE_CURVE('',#162412,#162410,#86321,.T.); #200460=EDGE_CURVE('',#162413,#162411,#86322,.T.); #200461=EDGE_CURVE('',#162412,#162413,#86323,.T.); #200462=EDGE_CURVE('',#162414,#162412,#86324,.T.); #200463=EDGE_CURVE('',#162415,#162413,#86325,.T.); #200464=EDGE_CURVE('',#162414,#162415,#86326,.T.); #200465=EDGE_CURVE('',#162416,#162414,#86327,.T.); #200466=EDGE_CURVE('',#162417,#162415,#86328,.T.); #200467=EDGE_CURVE('',#162416,#162417,#86329,.T.); #200468=EDGE_CURVE('',#162418,#162416,#86330,.T.); #200469=EDGE_CURVE('',#162419,#162417,#86331,.T.); #200470=EDGE_CURVE('',#162418,#162419,#86332,.T.); #200471=EDGE_CURVE('',#162420,#162418,#86333,.T.); #200472=EDGE_CURVE('',#162421,#162419,#86334,.T.); #200473=EDGE_CURVE('',#162420,#162421,#86335,.T.); #200474=EDGE_CURVE('',#162422,#162420,#86336,.T.); #200475=EDGE_CURVE('',#162423,#162421,#86337,.T.); #200476=EDGE_CURVE('',#162422,#162423,#86338,.T.); #200477=EDGE_CURVE('',#162424,#162422,#86339,.T.); #200478=EDGE_CURVE('',#162425,#162423,#86340,.T.); #200479=EDGE_CURVE('',#162424,#162425,#86341,.T.); #200480=EDGE_CURVE('',#162426,#162424,#86342,.T.); #200481=EDGE_CURVE('',#162427,#162425,#86343,.T.); #200482=EDGE_CURVE('',#162426,#162427,#86344,.T.); #200483=EDGE_CURVE('',#162428,#162426,#86345,.T.); #200484=EDGE_CURVE('',#162429,#162427,#86346,.T.); #200485=EDGE_CURVE('',#162428,#162429,#86347,.T.); #200486=EDGE_CURVE('',#162430,#162428,#86348,.T.); #200487=EDGE_CURVE('',#162431,#162429,#86349,.T.); #200488=EDGE_CURVE('',#162430,#162431,#86350,.T.); #200489=EDGE_CURVE('',#162432,#162430,#86351,.T.); #200490=EDGE_CURVE('',#162433,#162431,#86352,.T.); #200491=EDGE_CURVE('',#162432,#162433,#86353,.T.); #200492=EDGE_CURVE('',#162434,#162432,#86354,.T.); #200493=EDGE_CURVE('',#162435,#162433,#86355,.T.); #200494=EDGE_CURVE('',#162434,#162435,#86356,.T.); #200495=EDGE_CURVE('',#162436,#162434,#86357,.T.); #200496=EDGE_CURVE('',#162437,#162435,#86358,.T.); #200497=EDGE_CURVE('',#162436,#162437,#86359,.T.); #200498=EDGE_CURVE('',#162438,#162436,#86360,.T.); #200499=EDGE_CURVE('',#162439,#162437,#86361,.T.); #200500=EDGE_CURVE('',#162438,#162439,#86362,.T.); #200501=EDGE_CURVE('',#162440,#162438,#86363,.T.); #200502=EDGE_CURVE('',#162441,#162439,#86364,.T.); #200503=EDGE_CURVE('',#162440,#162441,#86365,.T.); #200504=EDGE_CURVE('',#162442,#162440,#67515,.T.); #200505=EDGE_CURVE('',#162443,#162441,#67516,.T.); #200506=EDGE_CURVE('',#162442,#162443,#86366,.T.); #200507=EDGE_CURVE('',#162444,#162442,#86367,.T.); #200508=EDGE_CURVE('',#162445,#162443,#86368,.T.); #200509=EDGE_CURVE('',#162444,#162445,#86369,.T.); #200510=EDGE_CURVE('',#162446,#162444,#67517,.T.); #200511=EDGE_CURVE('',#162447,#162445,#67518,.T.); #200512=EDGE_CURVE('',#162446,#162447,#86370,.T.); #200513=EDGE_CURVE('',#162448,#162446,#86371,.T.); #200514=EDGE_CURVE('',#162449,#162447,#86372,.T.); #200515=EDGE_CURVE('',#162448,#162449,#86373,.T.); #200516=EDGE_CURVE('',#162450,#162448,#67519,.T.); #200517=EDGE_CURVE('',#162451,#162449,#67520,.T.); #200518=EDGE_CURVE('',#162450,#162451,#86374,.T.); #200519=EDGE_CURVE('',#162452,#162450,#86375,.T.); #200520=EDGE_CURVE('',#162453,#162451,#86376,.T.); #200521=EDGE_CURVE('',#162452,#162453,#86377,.T.); #200522=EDGE_CURVE('',#162454,#162452,#86378,.T.); #200523=EDGE_CURVE('',#162455,#162453,#86379,.T.); #200524=EDGE_CURVE('',#162454,#162455,#86380,.T.); #200525=EDGE_CURVE('',#162456,#162454,#86381,.T.); #200526=EDGE_CURVE('',#162457,#162455,#86382,.T.); #200527=EDGE_CURVE('',#162456,#162457,#86383,.T.); #200528=EDGE_CURVE('',#162458,#162456,#67521,.T.); #200529=EDGE_CURVE('',#162459,#162457,#67522,.T.); #200530=EDGE_CURVE('',#162458,#162459,#86384,.T.); #200531=EDGE_CURVE('',#162460,#162458,#86385,.T.); #200532=EDGE_CURVE('',#162461,#162459,#86386,.T.); #200533=EDGE_CURVE('',#162460,#162461,#86387,.T.); #200534=EDGE_CURVE('',#162462,#162460,#67523,.T.); #200535=EDGE_CURVE('',#162463,#162461,#67524,.T.); #200536=EDGE_CURVE('',#162462,#162463,#86388,.T.); #200537=EDGE_CURVE('',#162464,#162462,#86389,.T.); #200538=EDGE_CURVE('',#162465,#162463,#86390,.T.); #200539=EDGE_CURVE('',#162464,#162465,#86391,.T.); #200540=EDGE_CURVE('',#162466,#162464,#86392,.T.); #200541=EDGE_CURVE('',#162467,#162465,#86393,.T.); #200542=EDGE_CURVE('',#162466,#162467,#86394,.T.); #200543=EDGE_CURVE('',#162468,#162466,#67525,.T.); #200544=EDGE_CURVE('',#162469,#162467,#67526,.T.); #200545=EDGE_CURVE('',#162468,#162469,#86395,.T.); #200546=EDGE_CURVE('',#162470,#162468,#86396,.T.); #200547=EDGE_CURVE('',#162471,#162469,#86397,.T.); #200548=EDGE_CURVE('',#162470,#162471,#86398,.T.); #200549=EDGE_CURVE('',#162472,#162470,#86399,.T.); #200550=EDGE_CURVE('',#162473,#162471,#86400,.T.); #200551=EDGE_CURVE('',#162472,#162473,#86401,.T.); #200552=EDGE_CURVE('',#162391,#162472,#86402,.T.); #200553=EDGE_CURVE('',#162392,#162473,#86403,.T.); #200554=EDGE_CURVE('',#162474,#162474,#67527,.T.); #200555=EDGE_CURVE('',#162474,#162475,#86404,.T.); #200556=EDGE_CURVE('',#162475,#162475,#67528,.T.); #200557=EDGE_CURVE('',#162476,#162476,#67529,.T.); #200558=EDGE_CURVE('',#162476,#162477,#86405,.T.); #200559=EDGE_CURVE('',#162477,#162477,#67530,.T.); #200560=EDGE_CURVE('',#162478,#162478,#67531,.T.); #200561=EDGE_CURVE('',#162478,#162479,#86406,.T.); #200562=EDGE_CURVE('',#162479,#162479,#67532,.T.); #200563=EDGE_CURVE('',#162480,#162480,#67533,.T.); #200564=EDGE_CURVE('',#162480,#162481,#86407,.T.); #200565=EDGE_CURVE('',#162481,#162481,#67534,.T.); #200566=EDGE_CURVE('',#162482,#162482,#67535,.T.); #200567=EDGE_CURVE('',#162482,#162483,#86408,.T.); #200568=EDGE_CURVE('',#162483,#162483,#67536,.T.); #200569=EDGE_CURVE('',#162484,#162484,#67537,.T.); #200570=EDGE_CURVE('',#162484,#162485,#86409,.T.); #200571=EDGE_CURVE('',#162485,#162485,#67538,.T.); #200572=EDGE_CURVE('',#162486,#162486,#67539,.T.); #200573=EDGE_CURVE('',#162486,#162487,#86410,.T.); #200574=EDGE_CURVE('',#162487,#162487,#67540,.T.); #200575=EDGE_CURVE('',#162488,#162488,#67541,.T.); #200576=EDGE_CURVE('',#162488,#162489,#86411,.T.); #200577=EDGE_CURVE('',#162489,#162489,#67542,.T.); #200578=EDGE_CURVE('',#162490,#162490,#67543,.T.); #200579=EDGE_CURVE('',#162490,#162491,#86412,.T.); #200580=EDGE_CURVE('',#162491,#162491,#67544,.T.); #200581=EDGE_CURVE('',#162492,#162492,#67545,.T.); #200582=EDGE_CURVE('',#162492,#162493,#86413,.T.); #200583=EDGE_CURVE('',#162493,#162493,#67546,.T.); #200584=EDGE_CURVE('',#162494,#162494,#67547,.T.); #200585=EDGE_CURVE('',#162494,#162495,#86414,.T.); #200586=EDGE_CURVE('',#162495,#162495,#67548,.T.); #200587=EDGE_CURVE('',#162496,#162496,#67549,.T.); #200588=EDGE_CURVE('',#162496,#162497,#86415,.T.); #200589=EDGE_CURVE('',#162497,#162497,#67550,.T.); #200590=EDGE_CURVE('',#162498,#162498,#67551,.T.); #200591=EDGE_CURVE('',#162498,#162499,#86416,.T.); #200592=EDGE_CURVE('',#162499,#162499,#67552,.T.); #200593=EDGE_CURVE('',#162500,#162500,#67553,.T.); #200594=EDGE_CURVE('',#162500,#162501,#86417,.T.); #200595=EDGE_CURVE('',#162501,#162501,#67554,.T.); #200596=EDGE_CURVE('',#162502,#162502,#67555,.T.); #200597=EDGE_CURVE('',#162502,#162503,#86418,.T.); #200598=EDGE_CURVE('',#162503,#162503,#67556,.T.); #200599=EDGE_CURVE('',#162504,#162505,#86419,.T.); #200600=EDGE_CURVE('',#162505,#162506,#86420,.T.); #200601=EDGE_CURVE('',#162507,#162506,#86421,.T.); #200602=EDGE_CURVE('',#162504,#162507,#86422,.T.); #200603=EDGE_CURVE('',#162508,#162504,#86423,.T.); #200604=EDGE_CURVE('',#162509,#162507,#86424,.T.); #200605=EDGE_CURVE('',#162508,#162509,#86425,.T.); #200606=EDGE_CURVE('',#162510,#162508,#86426,.T.); #200607=EDGE_CURVE('',#162511,#162509,#86427,.T.); #200608=EDGE_CURVE('',#162510,#162511,#86428,.T.); #200609=EDGE_CURVE('',#162512,#162510,#86429,.T.); #200610=EDGE_CURVE('',#162513,#162511,#86430,.T.); #200611=EDGE_CURVE('',#162512,#162513,#86431,.T.); #200612=EDGE_CURVE('',#162514,#162512,#86432,.T.); #200613=EDGE_CURVE('',#162515,#162513,#86433,.T.); #200614=EDGE_CURVE('',#162514,#162515,#86434,.T.); #200615=EDGE_CURVE('',#162516,#162514,#86435,.T.); #200616=EDGE_CURVE('',#162517,#162515,#86436,.T.); #200617=EDGE_CURVE('',#162516,#162517,#86437,.T.); #200618=EDGE_CURVE('',#162518,#162516,#86438,.T.); #200619=EDGE_CURVE('',#162519,#162517,#86439,.T.); #200620=EDGE_CURVE('',#162518,#162519,#86440,.T.); #200621=EDGE_CURVE('',#162520,#162518,#86441,.T.); #200622=EDGE_CURVE('',#162521,#162519,#86442,.T.); #200623=EDGE_CURVE('',#162520,#162521,#86443,.T.); #200624=EDGE_CURVE('',#162522,#162520,#86444,.T.); #200625=EDGE_CURVE('',#162523,#162521,#86445,.T.); #200626=EDGE_CURVE('',#162522,#162523,#86446,.T.); #200627=EDGE_CURVE('',#162524,#162522,#86447,.T.); #200628=EDGE_CURVE('',#162525,#162523,#86448,.T.); #200629=EDGE_CURVE('',#162524,#162525,#86449,.T.); #200630=EDGE_CURVE('',#162526,#162524,#86450,.T.); #200631=EDGE_CURVE('',#162527,#162525,#86451,.T.); #200632=EDGE_CURVE('',#162526,#162527,#86452,.T.); #200633=EDGE_CURVE('',#162528,#162526,#86453,.T.); #200634=EDGE_CURVE('',#162529,#162527,#86454,.T.); #200635=EDGE_CURVE('',#162528,#162529,#86455,.T.); #200636=EDGE_CURVE('',#162530,#162528,#86456,.T.); #200637=EDGE_CURVE('',#162531,#162529,#86457,.T.); #200638=EDGE_CURVE('',#162530,#162531,#86458,.T.); #200639=EDGE_CURVE('',#162532,#162530,#86459,.T.); #200640=EDGE_CURVE('',#162533,#162531,#86460,.T.); #200641=EDGE_CURVE('',#162532,#162533,#86461,.T.); #200642=EDGE_CURVE('',#162534,#162532,#86462,.T.); #200643=EDGE_CURVE('',#162535,#162533,#86463,.T.); #200644=EDGE_CURVE('',#162534,#162535,#86464,.T.); #200645=EDGE_CURVE('',#162536,#162534,#86465,.T.); #200646=EDGE_CURVE('',#162537,#162535,#86466,.T.); #200647=EDGE_CURVE('',#162536,#162537,#86467,.T.); #200648=EDGE_CURVE('',#162538,#162536,#86468,.T.); #200649=EDGE_CURVE('',#162539,#162537,#86469,.T.); #200650=EDGE_CURVE('',#162538,#162539,#86470,.T.); #200651=EDGE_CURVE('',#162540,#162538,#86471,.T.); #200652=EDGE_CURVE('',#162541,#162539,#86472,.T.); #200653=EDGE_CURVE('',#162540,#162541,#86473,.T.); #200654=EDGE_CURVE('',#162542,#162540,#86474,.T.); #200655=EDGE_CURVE('',#162543,#162541,#86475,.T.); #200656=EDGE_CURVE('',#162542,#162543,#86476,.T.); #200657=EDGE_CURVE('',#162544,#162542,#86477,.T.); #200658=EDGE_CURVE('',#162545,#162543,#86478,.T.); #200659=EDGE_CURVE('',#162544,#162545,#86479,.T.); #200660=EDGE_CURVE('',#162546,#162544,#86480,.T.); #200661=EDGE_CURVE('',#162547,#162545,#86481,.T.); #200662=EDGE_CURVE('',#162546,#162547,#86482,.T.); #200663=EDGE_CURVE('',#162548,#162546,#86483,.T.); #200664=EDGE_CURVE('',#162549,#162547,#86484,.T.); #200665=EDGE_CURVE('',#162548,#162549,#86485,.T.); #200666=EDGE_CURVE('',#162550,#162548,#86486,.T.); #200667=EDGE_CURVE('',#162551,#162549,#86487,.T.); #200668=EDGE_CURVE('',#162550,#162551,#86488,.T.); #200669=EDGE_CURVE('',#162552,#162550,#86489,.T.); #200670=EDGE_CURVE('',#162553,#162551,#86490,.T.); #200671=EDGE_CURVE('',#162552,#162553,#86491,.T.); #200672=EDGE_CURVE('',#162554,#162552,#86492,.T.); #200673=EDGE_CURVE('',#162555,#162553,#86493,.T.); #200674=EDGE_CURVE('',#162554,#162555,#86494,.T.); #200675=EDGE_CURVE('',#162556,#162554,#86495,.T.); #200676=EDGE_CURVE('',#162557,#162555,#86496,.T.); #200677=EDGE_CURVE('',#162556,#162557,#86497,.T.); #200678=EDGE_CURVE('',#162558,#162556,#86498,.T.); #200679=EDGE_CURVE('',#162559,#162557,#86499,.T.); #200680=EDGE_CURVE('',#162558,#162559,#86500,.T.); #200681=EDGE_CURVE('',#162560,#162558,#86501,.T.); #200682=EDGE_CURVE('',#162561,#162559,#86502,.T.); #200683=EDGE_CURVE('',#162560,#162561,#86503,.T.); #200684=EDGE_CURVE('',#162562,#162560,#86504,.T.); #200685=EDGE_CURVE('',#162563,#162561,#86505,.T.); #200686=EDGE_CURVE('',#162562,#162563,#86506,.T.); #200687=EDGE_CURVE('',#162564,#162562,#86507,.T.); #200688=EDGE_CURVE('',#162565,#162563,#86508,.T.); #200689=EDGE_CURVE('',#162564,#162565,#86509,.T.); #200690=EDGE_CURVE('',#162566,#162564,#86510,.T.); #200691=EDGE_CURVE('',#162567,#162565,#86511,.T.); #200692=EDGE_CURVE('',#162566,#162567,#86512,.T.); #200693=EDGE_CURVE('',#162568,#162566,#86513,.T.); #200694=EDGE_CURVE('',#162569,#162567,#86514,.T.); #200695=EDGE_CURVE('',#162568,#162569,#86515,.T.); #200696=EDGE_CURVE('',#162570,#162568,#86516,.T.); #200697=EDGE_CURVE('',#162571,#162569,#86517,.T.); #200698=EDGE_CURVE('',#162570,#162571,#86518,.T.); #200699=EDGE_CURVE('',#162572,#162570,#86519,.T.); #200700=EDGE_CURVE('',#162573,#162571,#86520,.T.); #200701=EDGE_CURVE('',#162572,#162573,#86521,.T.); #200702=EDGE_CURVE('',#162574,#162572,#86522,.T.); #200703=EDGE_CURVE('',#162575,#162573,#86523,.T.); #200704=EDGE_CURVE('',#162574,#162575,#86524,.T.); #200705=EDGE_CURVE('',#162576,#162574,#86525,.T.); #200706=EDGE_CURVE('',#162577,#162575,#86526,.T.); #200707=EDGE_CURVE('',#162576,#162577,#86527,.T.); #200708=EDGE_CURVE('',#162578,#162576,#86528,.T.); #200709=EDGE_CURVE('',#162579,#162577,#86529,.T.); #200710=EDGE_CURVE('',#162578,#162579,#86530,.T.); #200711=EDGE_CURVE('',#162580,#162578,#86531,.T.); #200712=EDGE_CURVE('',#162581,#162579,#86532,.T.); #200713=EDGE_CURVE('',#162580,#162581,#86533,.T.); #200714=EDGE_CURVE('',#162582,#162580,#86534,.T.); #200715=EDGE_CURVE('',#162583,#162581,#86535,.T.); #200716=EDGE_CURVE('',#162582,#162583,#86536,.T.); #200717=EDGE_CURVE('',#162584,#162582,#86537,.T.); #200718=EDGE_CURVE('',#162585,#162583,#86538,.T.); #200719=EDGE_CURVE('',#162584,#162585,#86539,.T.); #200720=EDGE_CURVE('',#162586,#162584,#86540,.T.); #200721=EDGE_CURVE('',#162587,#162585,#86541,.T.); #200722=EDGE_CURVE('',#162586,#162587,#86542,.T.); #200723=EDGE_CURVE('',#162588,#162586,#86543,.T.); #200724=EDGE_CURVE('',#162589,#162587,#86544,.T.); #200725=EDGE_CURVE('',#162588,#162589,#86545,.T.); #200726=EDGE_CURVE('',#162590,#162588,#86546,.T.); #200727=EDGE_CURVE('',#162591,#162589,#86547,.T.); #200728=EDGE_CURVE('',#162590,#162591,#86548,.T.); #200729=EDGE_CURVE('',#162592,#162590,#86549,.T.); #200730=EDGE_CURVE('',#162593,#162591,#86550,.T.); #200731=EDGE_CURVE('',#162592,#162593,#86551,.T.); #200732=EDGE_CURVE('',#162594,#162592,#86552,.T.); #200733=EDGE_CURVE('',#162595,#162593,#86553,.T.); #200734=EDGE_CURVE('',#162594,#162595,#86554,.T.); #200735=EDGE_CURVE('',#162596,#162594,#86555,.T.); #200736=EDGE_CURVE('',#162597,#162595,#86556,.T.); #200737=EDGE_CURVE('',#162596,#162597,#86557,.T.); #200738=EDGE_CURVE('',#162598,#162596,#86558,.T.); #200739=EDGE_CURVE('',#162599,#162597,#86559,.T.); #200740=EDGE_CURVE('',#162598,#162599,#86560,.T.); #200741=EDGE_CURVE('',#162600,#162598,#86561,.T.); #200742=EDGE_CURVE('',#162601,#162599,#86562,.T.); #200743=EDGE_CURVE('',#162600,#162601,#86563,.T.); #200744=EDGE_CURVE('',#162505,#162600,#86564,.T.); #200745=EDGE_CURVE('',#162506,#162601,#86565,.T.); #200746=EDGE_CURVE('',#162602,#162602,#67557,.T.); #200747=EDGE_CURVE('',#162602,#162603,#86566,.T.); #200748=EDGE_CURVE('',#162603,#162603,#67558,.T.); #200749=EDGE_CURVE('',#162604,#162605,#86567,.T.); #200750=EDGE_CURVE('',#162605,#162606,#86568,.T.); #200751=EDGE_CURVE('',#162607,#162606,#86569,.T.); #200752=EDGE_CURVE('',#162604,#162607,#86570,.T.); #200753=EDGE_CURVE('',#162608,#162604,#86571,.T.); #200754=EDGE_CURVE('',#162609,#162607,#86572,.T.); #200755=EDGE_CURVE('',#162608,#162609,#86573,.T.); #200756=EDGE_CURVE('',#162610,#162608,#86574,.T.); #200757=EDGE_CURVE('',#162611,#162609,#86575,.T.); #200758=EDGE_CURVE('',#162610,#162611,#86576,.T.); #200759=EDGE_CURVE('',#162612,#162610,#86577,.T.); #200760=EDGE_CURVE('',#162613,#162611,#86578,.T.); #200761=EDGE_CURVE('',#162612,#162613,#86579,.T.); #200762=EDGE_CURVE('',#162614,#162612,#86580,.T.); #200763=EDGE_CURVE('',#162615,#162613,#86581,.T.); #200764=EDGE_CURVE('',#162614,#162615,#86582,.T.); #200765=EDGE_CURVE('',#162616,#162614,#86583,.T.); #200766=EDGE_CURVE('',#162617,#162615,#86584,.T.); #200767=EDGE_CURVE('',#162616,#162617,#86585,.T.); #200768=EDGE_CURVE('',#162618,#162616,#67559,.T.); #200769=EDGE_CURVE('',#162619,#162617,#67560,.T.); #200770=EDGE_CURVE('',#162618,#162619,#86586,.T.); #200771=EDGE_CURVE('',#162620,#162618,#86587,.T.); #200772=EDGE_CURVE('',#162621,#162619,#86588,.T.); #200773=EDGE_CURVE('',#162620,#162621,#86589,.T.); #200774=EDGE_CURVE('',#162622,#162620,#86590,.T.); #200775=EDGE_CURVE('',#162623,#162621,#86591,.T.); #200776=EDGE_CURVE('',#162622,#162623,#86592,.T.); #200777=EDGE_CURVE('',#162624,#162622,#67561,.T.); #200778=EDGE_CURVE('',#162625,#162623,#67562,.T.); #200779=EDGE_CURVE('',#162624,#162625,#86593,.T.); #200780=EDGE_CURVE('',#162626,#162624,#86594,.T.); #200781=EDGE_CURVE('',#162627,#162625,#86595,.T.); #200782=EDGE_CURVE('',#162626,#162627,#86596,.T.); #200783=EDGE_CURVE('',#162628,#162626,#67563,.T.); #200784=EDGE_CURVE('',#162629,#162627,#67564,.T.); #200785=EDGE_CURVE('',#162628,#162629,#86597,.T.); #200786=EDGE_CURVE('',#162630,#162628,#86598,.T.); #200787=EDGE_CURVE('',#162631,#162629,#86599,.T.); #200788=EDGE_CURVE('',#162630,#162631,#86600,.T.); #200789=EDGE_CURVE('',#162632,#162630,#86601,.T.); #200790=EDGE_CURVE('',#162633,#162631,#86602,.T.); #200791=EDGE_CURVE('',#162632,#162633,#86603,.T.); #200792=EDGE_CURVE('',#162634,#162632,#86604,.T.); #200793=EDGE_CURVE('',#162635,#162633,#86605,.T.); #200794=EDGE_CURVE('',#162634,#162635,#86606,.T.); #200795=EDGE_CURVE('',#162636,#162634,#67565,.T.); #200796=EDGE_CURVE('',#162637,#162635,#67566,.T.); #200797=EDGE_CURVE('',#162636,#162637,#86607,.T.); #200798=EDGE_CURVE('',#162638,#162636,#86608,.T.); #200799=EDGE_CURVE('',#162639,#162637,#86609,.T.); #200800=EDGE_CURVE('',#162638,#162639,#86610,.T.); #200801=EDGE_CURVE('',#162640,#162638,#67567,.T.); #200802=EDGE_CURVE('',#162641,#162639,#67568,.T.); #200803=EDGE_CURVE('',#162640,#162641,#86611,.T.); #200804=EDGE_CURVE('',#162642,#162640,#86612,.T.); #200805=EDGE_CURVE('',#162643,#162641,#86613,.T.); #200806=EDGE_CURVE('',#162642,#162643,#86614,.T.); #200807=EDGE_CURVE('',#162644,#162642,#67569,.T.); #200808=EDGE_CURVE('',#162645,#162643,#67570,.T.); #200809=EDGE_CURVE('',#162644,#162645,#86615,.T.); #200810=EDGE_CURVE('',#162646,#162644,#86616,.T.); #200811=EDGE_CURVE('',#162647,#162645,#86617,.T.); #200812=EDGE_CURVE('',#162646,#162647,#86618,.T.); #200813=EDGE_CURVE('',#162648,#162646,#86619,.T.); #200814=EDGE_CURVE('',#162649,#162647,#86620,.T.); #200815=EDGE_CURVE('',#162648,#162649,#86621,.T.); #200816=EDGE_CURVE('',#162650,#162648,#86622,.T.); #200817=EDGE_CURVE('',#162651,#162649,#86623,.T.); #200818=EDGE_CURVE('',#162650,#162651,#86624,.T.); #200819=EDGE_CURVE('',#162652,#162650,#86625,.T.); #200820=EDGE_CURVE('',#162653,#162651,#86626,.T.); #200821=EDGE_CURVE('',#162652,#162653,#86627,.T.); #200822=EDGE_CURVE('',#162654,#162652,#86628,.T.); #200823=EDGE_CURVE('',#162655,#162653,#86629,.T.); #200824=EDGE_CURVE('',#162654,#162655,#86630,.T.); #200825=EDGE_CURVE('',#162656,#162654,#86631,.T.); #200826=EDGE_CURVE('',#162657,#162655,#86632,.T.); #200827=EDGE_CURVE('',#162656,#162657,#86633,.T.); #200828=EDGE_CURVE('',#162658,#162656,#86634,.T.); #200829=EDGE_CURVE('',#162659,#162657,#86635,.T.); #200830=EDGE_CURVE('',#162658,#162659,#86636,.T.); #200831=EDGE_CURVE('',#162660,#162658,#86637,.T.); #200832=EDGE_CURVE('',#162661,#162659,#86638,.T.); #200833=EDGE_CURVE('',#162660,#162661,#86639,.T.); #200834=EDGE_CURVE('',#162662,#162660,#86640,.T.); #200835=EDGE_CURVE('',#162663,#162661,#86641,.T.); #200836=EDGE_CURVE('',#162662,#162663,#86642,.T.); #200837=EDGE_CURVE('',#162664,#162662,#86643,.T.); #200838=EDGE_CURVE('',#162665,#162663,#86644,.T.); #200839=EDGE_CURVE('',#162664,#162665,#86645,.T.); #200840=EDGE_CURVE('',#162666,#162664,#86646,.T.); #200841=EDGE_CURVE('',#162667,#162665,#86647,.T.); #200842=EDGE_CURVE('',#162666,#162667,#86648,.T.); #200843=EDGE_CURVE('',#162668,#162666,#86649,.T.); #200844=EDGE_CURVE('',#162669,#162667,#86650,.T.); #200845=EDGE_CURVE('',#162668,#162669,#86651,.T.); #200846=EDGE_CURVE('',#162670,#162668,#86652,.T.); #200847=EDGE_CURVE('',#162671,#162669,#86653,.T.); #200848=EDGE_CURVE('',#162670,#162671,#86654,.T.); #200849=EDGE_CURVE('',#162672,#162670,#86655,.T.); #200850=EDGE_CURVE('',#162673,#162671,#86656,.T.); #200851=EDGE_CURVE('',#162672,#162673,#86657,.T.); #200852=EDGE_CURVE('',#162674,#162672,#86658,.T.); #200853=EDGE_CURVE('',#162675,#162673,#86659,.T.); #200854=EDGE_CURVE('',#162674,#162675,#86660,.T.); #200855=EDGE_CURVE('',#162676,#162674,#86661,.T.); #200856=EDGE_CURVE('',#162677,#162675,#86662,.T.); #200857=EDGE_CURVE('',#162676,#162677,#86663,.T.); #200858=EDGE_CURVE('',#162678,#162676,#67571,.T.); #200859=EDGE_CURVE('',#162679,#162677,#67572,.T.); #200860=EDGE_CURVE('',#162678,#162679,#86664,.T.); #200861=EDGE_CURVE('',#162680,#162678,#86665,.T.); #200862=EDGE_CURVE('',#162681,#162679,#86666,.T.); #200863=EDGE_CURVE('',#162680,#162681,#86667,.T.); #200864=EDGE_CURVE('',#162682,#162680,#86668,.T.); #200865=EDGE_CURVE('',#162683,#162681,#86669,.T.); #200866=EDGE_CURVE('',#162682,#162683,#86670,.T.); #200867=EDGE_CURVE('',#162684,#162682,#67573,.T.); #200868=EDGE_CURVE('',#162685,#162683,#67574,.T.); #200869=EDGE_CURVE('',#162684,#162685,#86671,.T.); #200870=EDGE_CURVE('',#162686,#162684,#86672,.T.); #200871=EDGE_CURVE('',#162687,#162685,#86673,.T.); #200872=EDGE_CURVE('',#162686,#162687,#86674,.T.); #200873=EDGE_CURVE('',#162605,#162686,#86675,.T.); #200874=EDGE_CURVE('',#162606,#162687,#86676,.T.); #200875=EDGE_CURVE('',#162688,#162688,#67575,.T.); #200876=EDGE_CURVE('',#162688,#162689,#86677,.T.); #200877=EDGE_CURVE('',#162689,#162689,#67576,.T.); #200878=EDGE_CURVE('',#162690,#162690,#67577,.T.); #200879=EDGE_CURVE('',#162690,#162691,#86678,.T.); #200880=EDGE_CURVE('',#162691,#162691,#67578,.T.); #200881=EDGE_CURVE('',#162692,#162692,#67579,.T.); #200882=EDGE_CURVE('',#162692,#162693,#86679,.T.); #200883=EDGE_CURVE('',#162693,#162693,#67580,.T.); #200884=EDGE_CURVE('',#162694,#162694,#67581,.T.); #200885=EDGE_CURVE('',#162694,#162695,#86680,.T.); #200886=EDGE_CURVE('',#162695,#162695,#67582,.T.); #200887=EDGE_CURVE('',#162696,#162696,#67583,.T.); #200888=EDGE_CURVE('',#162696,#162697,#86681,.T.); #200889=EDGE_CURVE('',#162697,#162697,#67584,.T.); #200890=EDGE_CURVE('',#162698,#162698,#67585,.T.); #200891=EDGE_CURVE('',#162698,#162699,#86682,.T.); #200892=EDGE_CURVE('',#162699,#162699,#67586,.T.); #200893=EDGE_CURVE('',#162700,#162700,#67587,.T.); #200894=EDGE_CURVE('',#162700,#162701,#86683,.T.); #200895=EDGE_CURVE('',#162701,#162701,#67588,.T.); #200896=EDGE_CURVE('',#162702,#162702,#67589,.T.); #200897=EDGE_CURVE('',#162702,#162703,#86684,.T.); #200898=EDGE_CURVE('',#162703,#162703,#67590,.T.); #200899=EDGE_CURVE('',#162704,#162704,#67591,.T.); #200900=EDGE_CURVE('',#162704,#162705,#86685,.T.); #200901=EDGE_CURVE('',#162705,#162705,#67592,.T.); #200902=EDGE_CURVE('',#162706,#162706,#67593,.T.); #200903=EDGE_CURVE('',#162706,#162707,#86686,.T.); #200904=EDGE_CURVE('',#162707,#162707,#67594,.T.); #200905=EDGE_CURVE('',#162708,#162708,#67595,.T.); #200906=EDGE_CURVE('',#162708,#162709,#86687,.T.); #200907=EDGE_CURVE('',#162709,#162709,#67596,.T.); #200908=EDGE_CURVE('',#162710,#162710,#67597,.T.); #200909=EDGE_CURVE('',#162710,#162711,#86688,.T.); #200910=EDGE_CURVE('',#162711,#162711,#67598,.T.); #200911=EDGE_CURVE('',#162712,#162712,#67599,.T.); #200912=EDGE_CURVE('',#162712,#162713,#86689,.T.); #200913=EDGE_CURVE('',#162713,#162713,#67600,.T.); #200914=EDGE_CURVE('',#162714,#162714,#67601,.T.); #200915=EDGE_CURVE('',#162714,#162715,#86690,.T.); #200916=EDGE_CURVE('',#162715,#162715,#67602,.T.); #200917=EDGE_CURVE('',#162716,#162716,#67603,.T.); #200918=EDGE_CURVE('',#162716,#162717,#86691,.T.); #200919=EDGE_CURVE('',#162717,#162717,#67604,.T.); #200920=EDGE_CURVE('',#162718,#162718,#67605,.T.); #200921=EDGE_CURVE('',#162718,#162719,#86692,.T.); #200922=EDGE_CURVE('',#162719,#162719,#67606,.T.); #200923=EDGE_CURVE('',#162720,#162720,#67607,.T.); #200924=EDGE_CURVE('',#162720,#162721,#86693,.T.); #200925=EDGE_CURVE('',#162721,#162721,#67608,.T.); #200926=EDGE_CURVE('',#162722,#162722,#67609,.T.); #200927=EDGE_CURVE('',#162722,#162723,#86694,.T.); #200928=EDGE_CURVE('',#162723,#162723,#67610,.T.); #200929=EDGE_CURVE('',#162724,#162724,#67611,.T.); #200930=EDGE_CURVE('',#162724,#162725,#86695,.T.); #200931=EDGE_CURVE('',#162725,#162725,#67612,.T.); #200932=EDGE_CURVE('',#162726,#162726,#67613,.T.); #200933=EDGE_CURVE('',#162726,#162727,#86696,.T.); #200934=EDGE_CURVE('',#162727,#162727,#67614,.T.); #200935=EDGE_CURVE('',#162728,#162728,#67615,.T.); #200936=EDGE_CURVE('',#162728,#162729,#86697,.T.); #200937=EDGE_CURVE('',#162729,#162729,#67616,.T.); #200938=EDGE_CURVE('',#162730,#162730,#67617,.T.); #200939=EDGE_CURVE('',#162730,#162731,#86698,.T.); #200940=EDGE_CURVE('',#162731,#162731,#67618,.T.); #200941=EDGE_CURVE('',#162732,#162732,#67619,.T.); #200942=EDGE_CURVE('',#162732,#162733,#86699,.T.); #200943=EDGE_CURVE('',#162733,#162733,#67620,.T.); #200944=EDGE_CURVE('',#162734,#162734,#67621,.T.); #200945=EDGE_CURVE('',#162734,#162735,#86700,.T.); #200946=EDGE_CURVE('',#162735,#162735,#67622,.T.); #200947=EDGE_CURVE('',#162736,#162736,#67623,.T.); #200948=EDGE_CURVE('',#162736,#162737,#86701,.T.); #200949=EDGE_CURVE('',#162737,#162737,#67624,.T.); #200950=EDGE_CURVE('',#162738,#162738,#67625,.T.); #200951=EDGE_CURVE('',#162738,#162739,#86702,.T.); #200952=EDGE_CURVE('',#162739,#162739,#67626,.T.); #200953=EDGE_CURVE('',#162740,#162740,#67627,.T.); #200954=EDGE_CURVE('',#162740,#162741,#86703,.T.); #200955=EDGE_CURVE('',#162741,#162741,#67628,.T.); #200956=EDGE_CURVE('',#162742,#162742,#67629,.T.); #200957=EDGE_CURVE('',#162742,#162743,#86704,.T.); #200958=EDGE_CURVE('',#162743,#162743,#67630,.T.); #200959=EDGE_CURVE('',#162744,#162744,#67631,.T.); #200960=EDGE_CURVE('',#162744,#162745,#86705,.T.); #200961=EDGE_CURVE('',#162745,#162745,#67632,.T.); #200962=EDGE_CURVE('',#162746,#162746,#67633,.T.); #200963=EDGE_CURVE('',#162746,#162747,#86706,.T.); #200964=EDGE_CURVE('',#162747,#162747,#67634,.T.); #200965=EDGE_CURVE('',#162748,#162748,#67635,.T.); #200966=EDGE_CURVE('',#162748,#162749,#86707,.T.); #200967=EDGE_CURVE('',#162749,#162749,#67636,.T.); #200968=EDGE_CURVE('',#162750,#162751,#86708,.T.); #200969=EDGE_CURVE('',#162751,#162752,#86709,.T.); #200970=EDGE_CURVE('',#162753,#162752,#86710,.T.); #200971=EDGE_CURVE('',#162750,#162753,#86711,.T.); #200972=EDGE_CURVE('',#162754,#162750,#67637,.T.); #200973=EDGE_CURVE('',#162755,#162753,#67638,.T.); #200974=EDGE_CURVE('',#162754,#162755,#86712,.T.); #200975=EDGE_CURVE('',#162756,#162754,#86713,.T.); #200976=EDGE_CURVE('',#162757,#162755,#86714,.T.); #200977=EDGE_CURVE('',#162756,#162757,#86715,.T.); #200978=EDGE_CURVE('',#162758,#162756,#86716,.T.); #200979=EDGE_CURVE('',#162759,#162757,#86717,.T.); #200980=EDGE_CURVE('',#162758,#162759,#86718,.T.); #200981=EDGE_CURVE('',#162760,#162758,#86719,.T.); #200982=EDGE_CURVE('',#162761,#162759,#86720,.T.); #200983=EDGE_CURVE('',#162760,#162761,#86721,.T.); #200984=EDGE_CURVE('',#162762,#162760,#67639,.T.); #200985=EDGE_CURVE('',#162763,#162761,#67640,.T.); #200986=EDGE_CURVE('',#162762,#162763,#86722,.T.); #200987=EDGE_CURVE('',#162764,#162762,#86723,.T.); #200988=EDGE_CURVE('',#162765,#162763,#86724,.T.); #200989=EDGE_CURVE('',#162764,#162765,#86725,.T.); #200990=EDGE_CURVE('',#162766,#162764,#67641,.T.); #200991=EDGE_CURVE('',#162767,#162765,#67642,.T.); #200992=EDGE_CURVE('',#162766,#162767,#86726,.T.); #200993=EDGE_CURVE('',#162768,#162766,#86727,.T.); #200994=EDGE_CURVE('',#162769,#162767,#86728,.T.); #200995=EDGE_CURVE('',#162768,#162769,#86729,.T.); #200996=EDGE_CURVE('',#162770,#162768,#86730,.T.); #200997=EDGE_CURVE('',#162771,#162769,#86731,.T.); #200998=EDGE_CURVE('',#162770,#162771,#86732,.T.); #200999=EDGE_CURVE('',#162772,#162770,#86733,.T.); #201000=EDGE_CURVE('',#162773,#162771,#86734,.T.); #201001=EDGE_CURVE('',#162772,#162773,#86735,.T.); #201002=EDGE_CURVE('',#162774,#162772,#86736,.T.); #201003=EDGE_CURVE('',#162775,#162773,#86737,.T.); #201004=EDGE_CURVE('',#162774,#162775,#86738,.T.); #201005=EDGE_CURVE('',#162776,#162774,#86739,.T.); #201006=EDGE_CURVE('',#162777,#162775,#86740,.T.); #201007=EDGE_CURVE('',#162776,#162777,#86741,.T.); #201008=EDGE_CURVE('',#162778,#162776,#86742,.T.); #201009=EDGE_CURVE('',#162779,#162777,#86743,.T.); #201010=EDGE_CURVE('',#162778,#162779,#86744,.T.); #201011=EDGE_CURVE('',#162780,#162778,#86745,.T.); #201012=EDGE_CURVE('',#162781,#162779,#86746,.T.); #201013=EDGE_CURVE('',#162780,#162781,#86747,.T.); #201014=EDGE_CURVE('',#162782,#162780,#86748,.T.); #201015=EDGE_CURVE('',#162783,#162781,#86749,.T.); #201016=EDGE_CURVE('',#162782,#162783,#86750,.T.); #201017=EDGE_CURVE('',#162784,#162782,#86751,.T.); #201018=EDGE_CURVE('',#162785,#162783,#86752,.T.); #201019=EDGE_CURVE('',#162784,#162785,#86753,.T.); #201020=EDGE_CURVE('',#162786,#162784,#86754,.T.); #201021=EDGE_CURVE('',#162787,#162785,#86755,.T.); #201022=EDGE_CURVE('',#162786,#162787,#86756,.T.); #201023=EDGE_CURVE('',#162788,#162786,#86757,.T.); #201024=EDGE_CURVE('',#162789,#162787,#86758,.T.); #201025=EDGE_CURVE('',#162788,#162789,#86759,.T.); #201026=EDGE_CURVE('',#162790,#162788,#86760,.T.); #201027=EDGE_CURVE('',#162791,#162789,#86761,.T.); #201028=EDGE_CURVE('',#162790,#162791,#86762,.T.); #201029=EDGE_CURVE('',#162792,#162790,#86763,.T.); #201030=EDGE_CURVE('',#162793,#162791,#86764,.T.); #201031=EDGE_CURVE('',#162792,#162793,#86765,.T.); #201032=EDGE_CURVE('',#162794,#162792,#86766,.T.); #201033=EDGE_CURVE('',#162795,#162793,#86767,.T.); #201034=EDGE_CURVE('',#162794,#162795,#86768,.T.); #201035=EDGE_CURVE('',#162796,#162794,#86769,.T.); #201036=EDGE_CURVE('',#162797,#162795,#86770,.T.); #201037=EDGE_CURVE('',#162796,#162797,#86771,.T.); #201038=EDGE_CURVE('',#162798,#162796,#86772,.T.); #201039=EDGE_CURVE('',#162799,#162797,#86773,.T.); #201040=EDGE_CURVE('',#162798,#162799,#86774,.T.); #201041=EDGE_CURVE('',#162800,#162798,#86775,.T.); #201042=EDGE_CURVE('',#162801,#162799,#86776,.T.); #201043=EDGE_CURVE('',#162800,#162801,#86777,.T.); #201044=EDGE_CURVE('',#162802,#162800,#86778,.T.); #201045=EDGE_CURVE('',#162803,#162801,#86779,.T.); #201046=EDGE_CURVE('',#162802,#162803,#86780,.T.); #201047=EDGE_CURVE('',#162804,#162802,#86781,.T.); #201048=EDGE_CURVE('',#162805,#162803,#86782,.T.); #201049=EDGE_CURVE('',#162804,#162805,#86783,.T.); #201050=EDGE_CURVE('',#162806,#162804,#86784,.T.); #201051=EDGE_CURVE('',#162807,#162805,#86785,.T.); #201052=EDGE_CURVE('',#162806,#162807,#86786,.T.); #201053=EDGE_CURVE('',#162808,#162806,#86787,.T.); #201054=EDGE_CURVE('',#162809,#162807,#86788,.T.); #201055=EDGE_CURVE('',#162808,#162809,#86789,.T.); #201056=EDGE_CURVE('',#162810,#162808,#86790,.T.); #201057=EDGE_CURVE('',#162811,#162809,#86791,.T.); #201058=EDGE_CURVE('',#162810,#162811,#86792,.T.); #201059=EDGE_CURVE('',#162812,#162810,#86793,.T.); #201060=EDGE_CURVE('',#162813,#162811,#86794,.T.); #201061=EDGE_CURVE('',#162812,#162813,#86795,.T.); #201062=EDGE_CURVE('',#162814,#162812,#86796,.T.); #201063=EDGE_CURVE('',#162815,#162813,#86797,.T.); #201064=EDGE_CURVE('',#162814,#162815,#86798,.T.); #201065=EDGE_CURVE('',#162816,#162814,#86799,.T.); #201066=EDGE_CURVE('',#162817,#162815,#86800,.T.); #201067=EDGE_CURVE('',#162816,#162817,#86801,.T.); #201068=EDGE_CURVE('',#162818,#162816,#86802,.T.); #201069=EDGE_CURVE('',#162819,#162817,#86803,.T.); #201070=EDGE_CURVE('',#162818,#162819,#86804,.T.); #201071=EDGE_CURVE('',#162820,#162818,#86805,.T.); #201072=EDGE_CURVE('',#162821,#162819,#86806,.T.); #201073=EDGE_CURVE('',#162820,#162821,#86807,.T.); #201074=EDGE_CURVE('',#162822,#162820,#86808,.T.); #201075=EDGE_CURVE('',#162823,#162821,#86809,.T.); #201076=EDGE_CURVE('',#162822,#162823,#86810,.T.); #201077=EDGE_CURVE('',#162824,#162822,#86811,.T.); #201078=EDGE_CURVE('',#162825,#162823,#86812,.T.); #201079=EDGE_CURVE('',#162824,#162825,#86813,.T.); #201080=EDGE_CURVE('',#162826,#162824,#86814,.T.); #201081=EDGE_CURVE('',#162827,#162825,#86815,.T.); #201082=EDGE_CURVE('',#162826,#162827,#86816,.T.); #201083=EDGE_CURVE('',#162828,#162826,#86817,.T.); #201084=EDGE_CURVE('',#162829,#162827,#86818,.T.); #201085=EDGE_CURVE('',#162828,#162829,#86819,.T.); #201086=EDGE_CURVE('',#162830,#162828,#86820,.T.); #201087=EDGE_CURVE('',#162831,#162829,#86821,.T.); #201088=EDGE_CURVE('',#162830,#162831,#86822,.T.); #201089=EDGE_CURVE('',#162832,#162830,#86823,.T.); #201090=EDGE_CURVE('',#162833,#162831,#86824,.T.); #201091=EDGE_CURVE('',#162832,#162833,#86825,.T.); #201092=EDGE_CURVE('',#162834,#162832,#86826,.T.); #201093=EDGE_CURVE('',#162835,#162833,#86827,.T.); #201094=EDGE_CURVE('',#162834,#162835,#86828,.T.); #201095=EDGE_CURVE('',#162836,#162834,#86829,.T.); #201096=EDGE_CURVE('',#162837,#162835,#86830,.T.); #201097=EDGE_CURVE('',#162836,#162837,#86831,.T.); #201098=EDGE_CURVE('',#162838,#162836,#86832,.T.); #201099=EDGE_CURVE('',#162839,#162837,#86833,.T.); #201100=EDGE_CURVE('',#162838,#162839,#86834,.T.); #201101=EDGE_CURVE('',#162840,#162838,#86835,.T.); #201102=EDGE_CURVE('',#162841,#162839,#86836,.T.); #201103=EDGE_CURVE('',#162840,#162841,#86837,.T.); #201104=EDGE_CURVE('',#162842,#162840,#86838,.T.); #201105=EDGE_CURVE('',#162843,#162841,#86839,.T.); #201106=EDGE_CURVE('',#162842,#162843,#86840,.T.); #201107=EDGE_CURVE('',#162844,#162842,#86841,.T.); #201108=EDGE_CURVE('',#162845,#162843,#86842,.T.); #201109=EDGE_CURVE('',#162844,#162845,#86843,.T.); #201110=EDGE_CURVE('',#162846,#162844,#86844,.T.); #201111=EDGE_CURVE('',#162847,#162845,#86845,.T.); #201112=EDGE_CURVE('',#162846,#162847,#86846,.T.); #201113=EDGE_CURVE('',#162848,#162846,#86847,.T.); #201114=EDGE_CURVE('',#162849,#162847,#86848,.T.); #201115=EDGE_CURVE('',#162848,#162849,#86849,.T.); #201116=EDGE_CURVE('',#162850,#162848,#86850,.T.); #201117=EDGE_CURVE('',#162851,#162849,#86851,.T.); #201118=EDGE_CURVE('',#162850,#162851,#86852,.T.); #201119=EDGE_CURVE('',#162852,#162850,#86853,.T.); #201120=EDGE_CURVE('',#162853,#162851,#86854,.T.); #201121=EDGE_CURVE('',#162852,#162853,#86855,.T.); #201122=EDGE_CURVE('',#162854,#162852,#86856,.T.); #201123=EDGE_CURVE('',#162855,#162853,#86857,.T.); #201124=EDGE_CURVE('',#162854,#162855,#86858,.T.); #201125=EDGE_CURVE('',#162856,#162854,#86859,.T.); #201126=EDGE_CURVE('',#162857,#162855,#86860,.T.); #201127=EDGE_CURVE('',#162856,#162857,#86861,.T.); #201128=EDGE_CURVE('',#162858,#162856,#86862,.T.); #201129=EDGE_CURVE('',#162859,#162857,#86863,.T.); #201130=EDGE_CURVE('',#162858,#162859,#86864,.T.); #201131=EDGE_CURVE('',#162860,#162858,#86865,.T.); #201132=EDGE_CURVE('',#162861,#162859,#86866,.T.); #201133=EDGE_CURVE('',#162860,#162861,#86867,.T.); #201134=EDGE_CURVE('',#162862,#162860,#86868,.T.); #201135=EDGE_CURVE('',#162863,#162861,#86869,.T.); #201136=EDGE_CURVE('',#162862,#162863,#86870,.T.); #201137=EDGE_CURVE('',#162864,#162862,#86871,.T.); #201138=EDGE_CURVE('',#162865,#162863,#86872,.T.); #201139=EDGE_CURVE('',#162864,#162865,#86873,.T.); #201140=EDGE_CURVE('',#162866,#162864,#86874,.T.); #201141=EDGE_CURVE('',#162867,#162865,#86875,.T.); #201142=EDGE_CURVE('',#162866,#162867,#86876,.T.); #201143=EDGE_CURVE('',#162868,#162866,#86877,.T.); #201144=EDGE_CURVE('',#162869,#162867,#86878,.T.); #201145=EDGE_CURVE('',#162868,#162869,#86879,.T.); #201146=EDGE_CURVE('',#162870,#162868,#86880,.T.); #201147=EDGE_CURVE('',#162871,#162869,#86881,.T.); #201148=EDGE_CURVE('',#162870,#162871,#86882,.T.); #201149=EDGE_CURVE('',#162872,#162870,#86883,.T.); #201150=EDGE_CURVE('',#162873,#162871,#86884,.T.); #201151=EDGE_CURVE('',#162872,#162873,#86885,.T.); #201152=EDGE_CURVE('',#162874,#162872,#86886,.T.); #201153=EDGE_CURVE('',#162875,#162873,#86887,.T.); #201154=EDGE_CURVE('',#162874,#162875,#86888,.T.); #201155=EDGE_CURVE('',#162876,#162874,#86889,.T.); #201156=EDGE_CURVE('',#162877,#162875,#86890,.T.); #201157=EDGE_CURVE('',#162876,#162877,#86891,.T.); #201158=EDGE_CURVE('',#162878,#162876,#86892,.T.); #201159=EDGE_CURVE('',#162879,#162877,#86893,.T.); #201160=EDGE_CURVE('',#162878,#162879,#86894,.T.); #201161=EDGE_CURVE('',#162880,#162878,#86895,.T.); #201162=EDGE_CURVE('',#162881,#162879,#86896,.T.); #201163=EDGE_CURVE('',#162880,#162881,#86897,.T.); #201164=EDGE_CURVE('',#162882,#162880,#86898,.T.); #201165=EDGE_CURVE('',#162883,#162881,#86899,.T.); #201166=EDGE_CURVE('',#162882,#162883,#86900,.T.); #201167=EDGE_CURVE('',#162884,#162882,#86901,.T.); #201168=EDGE_CURVE('',#162885,#162883,#86902,.T.); #201169=EDGE_CURVE('',#162884,#162885,#86903,.T.); #201170=EDGE_CURVE('',#162886,#162884,#86904,.T.); #201171=EDGE_CURVE('',#162887,#162885,#86905,.T.); #201172=EDGE_CURVE('',#162886,#162887,#86906,.T.); #201173=EDGE_CURVE('',#162888,#162886,#86907,.T.); #201174=EDGE_CURVE('',#162889,#162887,#86908,.T.); #201175=EDGE_CURVE('',#162888,#162889,#86909,.T.); #201176=EDGE_CURVE('',#162890,#162888,#86910,.T.); #201177=EDGE_CURVE('',#162891,#162889,#86911,.T.); #201178=EDGE_CURVE('',#162890,#162891,#86912,.T.); #201179=EDGE_CURVE('',#162892,#162890,#86913,.T.); #201180=EDGE_CURVE('',#162893,#162891,#86914,.T.); #201181=EDGE_CURVE('',#162892,#162893,#86915,.T.); #201182=EDGE_CURVE('',#162894,#162892,#86916,.T.); #201183=EDGE_CURVE('',#162895,#162893,#86917,.T.); #201184=EDGE_CURVE('',#162894,#162895,#86918,.T.); #201185=EDGE_CURVE('',#162896,#162894,#86919,.T.); #201186=EDGE_CURVE('',#162897,#162895,#86920,.T.); #201187=EDGE_CURVE('',#162896,#162897,#86921,.T.); #201188=EDGE_CURVE('',#162898,#162896,#86922,.T.); #201189=EDGE_CURVE('',#162899,#162897,#86923,.T.); #201190=EDGE_CURVE('',#162898,#162899,#86924,.T.); #201191=EDGE_CURVE('',#162900,#162898,#86925,.T.); #201192=EDGE_CURVE('',#162901,#162899,#86926,.T.); #201193=EDGE_CURVE('',#162900,#162901,#86927,.T.); #201194=EDGE_CURVE('',#162902,#162900,#86928,.T.); #201195=EDGE_CURVE('',#162903,#162901,#86929,.T.); #201196=EDGE_CURVE('',#162902,#162903,#86930,.T.); #201197=EDGE_CURVE('',#162904,#162902,#86931,.T.); #201198=EDGE_CURVE('',#162905,#162903,#86932,.T.); #201199=EDGE_CURVE('',#162904,#162905,#86933,.T.); #201200=EDGE_CURVE('',#162906,#162904,#86934,.T.); #201201=EDGE_CURVE('',#162907,#162905,#86935,.T.); #201202=EDGE_CURVE('',#162906,#162907,#86936,.T.); #201203=EDGE_CURVE('',#162908,#162906,#86937,.T.); #201204=EDGE_CURVE('',#162909,#162907,#86938,.T.); #201205=EDGE_CURVE('',#162908,#162909,#86939,.T.); #201206=EDGE_CURVE('',#162910,#162908,#86940,.T.); #201207=EDGE_CURVE('',#162911,#162909,#86941,.T.); #201208=EDGE_CURVE('',#162910,#162911,#86942,.T.); #201209=EDGE_CURVE('',#162912,#162910,#67643,.T.); #201210=EDGE_CURVE('',#162913,#162911,#67644,.T.); #201211=EDGE_CURVE('',#162912,#162913,#86943,.T.); #201212=EDGE_CURVE('',#162914,#162912,#86944,.T.); #201213=EDGE_CURVE('',#162915,#162913,#86945,.T.); #201214=EDGE_CURVE('',#162914,#162915,#86946,.T.); #201215=EDGE_CURVE('',#162916,#162914,#67645,.T.); #201216=EDGE_CURVE('',#162917,#162915,#67646,.T.); #201217=EDGE_CURVE('',#162916,#162917,#86947,.T.); #201218=EDGE_CURVE('',#162918,#162916,#86948,.T.); #201219=EDGE_CURVE('',#162919,#162917,#86949,.T.); #201220=EDGE_CURVE('',#162918,#162919,#86950,.T.); #201221=EDGE_CURVE('',#162751,#162918,#67647,.T.); #201222=EDGE_CURVE('',#162752,#162919,#67648,.T.); #201223=EDGE_CURVE('',#162920,#162920,#67649,.T.); #201224=EDGE_CURVE('',#162920,#162921,#86951,.T.); #201225=EDGE_CURVE('',#162921,#162921,#67650,.T.); #201226=EDGE_CURVE('',#162922,#162923,#86952,.T.); #201227=EDGE_CURVE('',#162923,#162924,#86953,.T.); #201228=EDGE_CURVE('',#162925,#162924,#86954,.T.); #201229=EDGE_CURVE('',#162922,#162925,#86955,.T.); #201230=EDGE_CURVE('',#162926,#162922,#86956,.T.); #201231=EDGE_CURVE('',#162927,#162925,#86957,.T.); #201232=EDGE_CURVE('',#162926,#162927,#86958,.T.); #201233=EDGE_CURVE('',#162928,#162926,#86959,.T.); #201234=EDGE_CURVE('',#162929,#162927,#86960,.T.); #201235=EDGE_CURVE('',#162928,#162929,#86961,.T.); #201236=EDGE_CURVE('',#162930,#162928,#86962,.T.); #201237=EDGE_CURVE('',#162931,#162929,#86963,.T.); #201238=EDGE_CURVE('',#162930,#162931,#86964,.T.); #201239=EDGE_CURVE('',#162932,#162930,#86965,.T.); #201240=EDGE_CURVE('',#162933,#162931,#86966,.T.); #201241=EDGE_CURVE('',#162932,#162933,#86967,.T.); #201242=EDGE_CURVE('',#162934,#162932,#86968,.T.); #201243=EDGE_CURVE('',#162935,#162933,#86969,.T.); #201244=EDGE_CURVE('',#162934,#162935,#86970,.T.); #201245=EDGE_CURVE('',#162936,#162934,#67651,.T.); #201246=EDGE_CURVE('',#162937,#162935,#67652,.T.); #201247=EDGE_CURVE('',#162936,#162937,#86971,.T.); #201248=EDGE_CURVE('',#162938,#162936,#86972,.T.); #201249=EDGE_CURVE('',#162939,#162937,#86973,.T.); #201250=EDGE_CURVE('',#162938,#162939,#86974,.T.); #201251=EDGE_CURVE('',#162940,#162938,#86975,.T.); #201252=EDGE_CURVE('',#162941,#162939,#86976,.T.); #201253=EDGE_CURVE('',#162940,#162941,#86977,.T.); #201254=EDGE_CURVE('',#162942,#162940,#67653,.T.); #201255=EDGE_CURVE('',#162943,#162941,#67654,.T.); #201256=EDGE_CURVE('',#162942,#162943,#86978,.T.); #201257=EDGE_CURVE('',#162944,#162942,#86979,.T.); #201258=EDGE_CURVE('',#162945,#162943,#86980,.T.); #201259=EDGE_CURVE('',#162944,#162945,#86981,.T.); #201260=EDGE_CURVE('',#162946,#162944,#67655,.T.); #201261=EDGE_CURVE('',#162947,#162945,#67656,.T.); #201262=EDGE_CURVE('',#162946,#162947,#86982,.T.); #201263=EDGE_CURVE('',#162948,#162946,#67657,.T.); #201264=EDGE_CURVE('',#162949,#162947,#67658,.T.); #201265=EDGE_CURVE('',#162948,#162949,#86983,.T.); #201266=EDGE_CURVE('',#162950,#162948,#86984,.T.); #201267=EDGE_CURVE('',#162951,#162949,#86985,.T.); #201268=EDGE_CURVE('',#162950,#162951,#86986,.T.); #201269=EDGE_CURVE('',#162952,#162950,#86987,.T.); #201270=EDGE_CURVE('',#162953,#162951,#86988,.T.); #201271=EDGE_CURVE('',#162952,#162953,#86989,.T.); #201272=EDGE_CURVE('',#162954,#162952,#67659,.T.); #201273=EDGE_CURVE('',#162955,#162953,#67660,.T.); #201274=EDGE_CURVE('',#162954,#162955,#86990,.T.); #201275=EDGE_CURVE('',#162956,#162954,#86991,.T.); #201276=EDGE_CURVE('',#162957,#162955,#86992,.T.); #201277=EDGE_CURVE('',#162956,#162957,#86993,.T.); #201278=EDGE_CURVE('',#162958,#162956,#86994,.T.); #201279=EDGE_CURVE('',#162959,#162957,#86995,.T.); #201280=EDGE_CURVE('',#162958,#162959,#86996,.T.); #201281=EDGE_CURVE('',#162960,#162958,#67661,.T.); #201282=EDGE_CURVE('',#162961,#162959,#67662,.T.); #201283=EDGE_CURVE('',#162960,#162961,#86997,.T.); #201284=EDGE_CURVE('',#162962,#162960,#86998,.T.); #201285=EDGE_CURVE('',#162963,#162961,#86999,.T.); #201286=EDGE_CURVE('',#162962,#162963,#87000,.T.); #201287=EDGE_CURVE('',#162923,#162962,#87001,.T.); #201288=EDGE_CURVE('',#162924,#162963,#87002,.T.); #201289=EDGE_CURVE('',#162964,#162964,#67663,.T.); #201290=EDGE_CURVE('',#162964,#162965,#87003,.T.); #201291=EDGE_CURVE('',#162965,#162965,#67664,.T.); #201292=EDGE_CURVE('',#162966,#162966,#67665,.T.); #201293=EDGE_CURVE('',#162966,#162967,#87004,.T.); #201294=EDGE_CURVE('',#162967,#162967,#67666,.T.); #201295=EDGE_CURVE('',#162968,#162968,#67667,.T.); #201296=EDGE_CURVE('',#162968,#162969,#87005,.T.); #201297=EDGE_CURVE('',#162969,#162969,#67668,.T.); #201298=EDGE_CURVE('',#162970,#162970,#67669,.T.); #201299=EDGE_CURVE('',#162970,#162971,#87006,.T.); #201300=EDGE_CURVE('',#162971,#162971,#67670,.T.); #201301=EDGE_CURVE('',#162972,#162972,#67671,.T.); #201302=EDGE_CURVE('',#162972,#162973,#87007,.T.); #201303=EDGE_CURVE('',#162973,#162973,#67672,.T.); #201304=EDGE_CURVE('',#162974,#162974,#67673,.T.); #201305=EDGE_CURVE('',#162974,#162975,#87008,.T.); #201306=EDGE_CURVE('',#162975,#162975,#67674,.T.); #201307=EDGE_CURVE('',#162976,#162976,#67675,.T.); #201308=EDGE_CURVE('',#162976,#162977,#87009,.T.); #201309=EDGE_CURVE('',#162977,#162977,#67676,.T.); #201310=EDGE_CURVE('',#162978,#162978,#67677,.T.); #201311=EDGE_CURVE('',#162978,#162979,#87010,.T.); #201312=EDGE_CURVE('',#162979,#162979,#67678,.T.); #201313=EDGE_CURVE('',#162980,#162980,#67679,.T.); #201314=EDGE_CURVE('',#162980,#162981,#87011,.T.); #201315=EDGE_CURVE('',#162981,#162981,#67680,.T.); #201316=EDGE_CURVE('',#162982,#162982,#67681,.T.); #201317=EDGE_CURVE('',#162982,#162983,#87012,.T.); #201318=EDGE_CURVE('',#162983,#162983,#67682,.T.); #201319=EDGE_CURVE('',#162984,#162984,#67683,.T.); #201320=EDGE_CURVE('',#162984,#162985,#87013,.T.); #201321=EDGE_CURVE('',#162985,#162985,#67684,.T.); #201322=EDGE_CURVE('',#162986,#162987,#87014,.T.); #201323=EDGE_CURVE('',#162987,#162988,#87015,.T.); #201324=EDGE_CURVE('',#162989,#162988,#87016,.T.); #201325=EDGE_CURVE('',#162986,#162989,#87017,.T.); #201326=EDGE_CURVE('',#162990,#162986,#87018,.T.); #201327=EDGE_CURVE('',#162991,#162989,#87019,.T.); #201328=EDGE_CURVE('',#162990,#162991,#87020,.T.); #201329=EDGE_CURVE('',#162992,#162990,#87021,.T.); #201330=EDGE_CURVE('',#162993,#162991,#87022,.T.); #201331=EDGE_CURVE('',#162992,#162993,#87023,.T.); #201332=EDGE_CURVE('',#162994,#162992,#87024,.T.); #201333=EDGE_CURVE('',#162995,#162993,#87025,.T.); #201334=EDGE_CURVE('',#162994,#162995,#87026,.T.); #201335=EDGE_CURVE('',#162996,#162994,#87027,.T.); #201336=EDGE_CURVE('',#162997,#162995,#87028,.T.); #201337=EDGE_CURVE('',#162996,#162997,#87029,.T.); #201338=EDGE_CURVE('',#162998,#162996,#87030,.T.); #201339=EDGE_CURVE('',#162999,#162997,#87031,.T.); #201340=EDGE_CURVE('',#162998,#162999,#87032,.T.); #201341=EDGE_CURVE('',#163000,#162998,#87033,.T.); #201342=EDGE_CURVE('',#163001,#162999,#87034,.T.); #201343=EDGE_CURVE('',#163000,#163001,#87035,.T.); #201344=EDGE_CURVE('',#163002,#163000,#87036,.T.); #201345=EDGE_CURVE('',#163003,#163001,#87037,.T.); #201346=EDGE_CURVE('',#163002,#163003,#87038,.T.); #201347=EDGE_CURVE('',#163004,#163002,#87039,.T.); #201348=EDGE_CURVE('',#163005,#163003,#87040,.T.); #201349=EDGE_CURVE('',#163004,#163005,#87041,.T.); #201350=EDGE_CURVE('',#163006,#163004,#87042,.T.); #201351=EDGE_CURVE('',#163007,#163005,#87043,.T.); #201352=EDGE_CURVE('',#163006,#163007,#87044,.T.); #201353=EDGE_CURVE('',#163008,#163006,#87045,.T.); #201354=EDGE_CURVE('',#163009,#163007,#87046,.T.); #201355=EDGE_CURVE('',#163008,#163009,#87047,.T.); #201356=EDGE_CURVE('',#163010,#163008,#87048,.T.); #201357=EDGE_CURVE('',#163011,#163009,#87049,.T.); #201358=EDGE_CURVE('',#163010,#163011,#87050,.T.); #201359=EDGE_CURVE('',#163012,#163010,#87051,.T.); #201360=EDGE_CURVE('',#163013,#163011,#87052,.T.); #201361=EDGE_CURVE('',#163012,#163013,#87053,.T.); #201362=EDGE_CURVE('',#163014,#163012,#87054,.T.); #201363=EDGE_CURVE('',#163015,#163013,#87055,.T.); #201364=EDGE_CURVE('',#163014,#163015,#87056,.T.); #201365=EDGE_CURVE('',#163016,#163014,#87057,.T.); #201366=EDGE_CURVE('',#163017,#163015,#87058,.T.); #201367=EDGE_CURVE('',#163016,#163017,#87059,.T.); #201368=EDGE_CURVE('',#163018,#163016,#87060,.T.); #201369=EDGE_CURVE('',#163019,#163017,#87061,.T.); #201370=EDGE_CURVE('',#163018,#163019,#87062,.T.); #201371=EDGE_CURVE('',#163020,#163018,#87063,.T.); #201372=EDGE_CURVE('',#163021,#163019,#87064,.T.); #201373=EDGE_CURVE('',#163020,#163021,#87065,.T.); #201374=EDGE_CURVE('',#163022,#163020,#87066,.T.); #201375=EDGE_CURVE('',#163023,#163021,#87067,.T.); #201376=EDGE_CURVE('',#163022,#163023,#87068,.T.); #201377=EDGE_CURVE('',#163024,#163022,#87069,.T.); #201378=EDGE_CURVE('',#163025,#163023,#87070,.T.); #201379=EDGE_CURVE('',#163024,#163025,#87071,.T.); #201380=EDGE_CURVE('',#163026,#163024,#87072,.T.); #201381=EDGE_CURVE('',#163027,#163025,#87073,.T.); #201382=EDGE_CURVE('',#163026,#163027,#87074,.T.); #201383=EDGE_CURVE('',#163028,#163026,#87075,.T.); #201384=EDGE_CURVE('',#163029,#163027,#87076,.T.); #201385=EDGE_CURVE('',#163028,#163029,#87077,.T.); #201386=EDGE_CURVE('',#163030,#163028,#87078,.T.); #201387=EDGE_CURVE('',#163031,#163029,#87079,.T.); #201388=EDGE_CURVE('',#163030,#163031,#87080,.T.); #201389=EDGE_CURVE('',#163032,#163030,#87081,.T.); #201390=EDGE_CURVE('',#163033,#163031,#87082,.T.); #201391=EDGE_CURVE('',#163032,#163033,#87083,.T.); #201392=EDGE_CURVE('',#163034,#163032,#87084,.T.); #201393=EDGE_CURVE('',#163035,#163033,#87085,.T.); #201394=EDGE_CURVE('',#163034,#163035,#87086,.T.); #201395=EDGE_CURVE('',#163036,#163034,#67685,.T.); #201396=EDGE_CURVE('',#163037,#163035,#67686,.T.); #201397=EDGE_CURVE('',#163036,#163037,#87087,.T.); #201398=EDGE_CURVE('',#163038,#163036,#87088,.T.); #201399=EDGE_CURVE('',#163039,#163037,#87089,.T.); #201400=EDGE_CURVE('',#163038,#163039,#87090,.T.); #201401=EDGE_CURVE('',#163040,#163038,#87091,.T.); #201402=EDGE_CURVE('',#163041,#163039,#87092,.T.); #201403=EDGE_CURVE('',#163040,#163041,#87093,.T.); #201404=EDGE_CURVE('',#163042,#163040,#67687,.T.); #201405=EDGE_CURVE('',#163043,#163041,#67688,.T.); #201406=EDGE_CURVE('',#163042,#163043,#87094,.T.); #201407=EDGE_CURVE('',#163044,#163042,#87095,.T.); #201408=EDGE_CURVE('',#163045,#163043,#87096,.T.); #201409=EDGE_CURVE('',#163044,#163045,#87097,.T.); #201410=EDGE_CURVE('',#163046,#163044,#87098,.T.); #201411=EDGE_CURVE('',#163047,#163045,#87099,.T.); #201412=EDGE_CURVE('',#163046,#163047,#87100,.T.); #201413=EDGE_CURVE('',#163048,#163046,#87101,.T.); #201414=EDGE_CURVE('',#163049,#163047,#87102,.T.); #201415=EDGE_CURVE('',#163048,#163049,#87103,.T.); #201416=EDGE_CURVE('',#163050,#163048,#87104,.T.); #201417=EDGE_CURVE('',#163051,#163049,#87105,.T.); #201418=EDGE_CURVE('',#163050,#163051,#87106,.T.); #201419=EDGE_CURVE('',#163052,#163050,#87107,.T.); #201420=EDGE_CURVE('',#163053,#163051,#87108,.T.); #201421=EDGE_CURVE('',#163052,#163053,#87109,.T.); #201422=EDGE_CURVE('',#163054,#163052,#87110,.T.); #201423=EDGE_CURVE('',#163055,#163053,#87111,.T.); #201424=EDGE_CURVE('',#163054,#163055,#87112,.T.); #201425=EDGE_CURVE('',#163056,#163054,#87113,.T.); #201426=EDGE_CURVE('',#163057,#163055,#87114,.T.); #201427=EDGE_CURVE('',#163056,#163057,#87115,.T.); #201428=EDGE_CURVE('',#163058,#163056,#87116,.T.); #201429=EDGE_CURVE('',#163059,#163057,#87117,.T.); #201430=EDGE_CURVE('',#163058,#163059,#87118,.T.); #201431=EDGE_CURVE('',#163060,#163058,#67689,.T.); #201432=EDGE_CURVE('',#163061,#163059,#67690,.T.); #201433=EDGE_CURVE('',#163060,#163061,#87119,.T.); #201434=EDGE_CURVE('',#163062,#163060,#87120,.T.); #201435=EDGE_CURVE('',#163063,#163061,#87121,.T.); #201436=EDGE_CURVE('',#163062,#163063,#87122,.T.); #201437=EDGE_CURVE('',#163064,#163062,#67691,.T.); #201438=EDGE_CURVE('',#163065,#163063,#67692,.T.); #201439=EDGE_CURVE('',#163064,#163065,#87123,.T.); #201440=EDGE_CURVE('',#163066,#163064,#87124,.T.); #201441=EDGE_CURVE('',#163067,#163065,#87125,.T.); #201442=EDGE_CURVE('',#163066,#163067,#87126,.T.); #201443=EDGE_CURVE('',#163068,#163066,#87127,.T.); #201444=EDGE_CURVE('',#163069,#163067,#87128,.T.); #201445=EDGE_CURVE('',#163068,#163069,#87129,.T.); #201446=EDGE_CURVE('',#163070,#163068,#87130,.T.); #201447=EDGE_CURVE('',#163071,#163069,#87131,.T.); #201448=EDGE_CURVE('',#163070,#163071,#87132,.T.); #201449=EDGE_CURVE('',#163072,#163070,#87133,.T.); #201450=EDGE_CURVE('',#163073,#163071,#87134,.T.); #201451=EDGE_CURVE('',#163072,#163073,#87135,.T.); #201452=EDGE_CURVE('',#163074,#163072,#87136,.T.); #201453=EDGE_CURVE('',#163075,#163073,#87137,.T.); #201454=EDGE_CURVE('',#163074,#163075,#87138,.T.); #201455=EDGE_CURVE('',#163076,#163074,#87139,.T.); #201456=EDGE_CURVE('',#163077,#163075,#87140,.T.); #201457=EDGE_CURVE('',#163076,#163077,#87141,.T.); #201458=EDGE_CURVE('',#163078,#163076,#87142,.T.); #201459=EDGE_CURVE('',#163079,#163077,#87143,.T.); #201460=EDGE_CURVE('',#163078,#163079,#87144,.T.); #201461=EDGE_CURVE('',#163080,#163078,#87145,.T.); #201462=EDGE_CURVE('',#163081,#163079,#87146,.T.); #201463=EDGE_CURVE('',#163080,#163081,#87147,.T.); #201464=EDGE_CURVE('',#163082,#163080,#87148,.T.); #201465=EDGE_CURVE('',#163083,#163081,#87149,.T.); #201466=EDGE_CURVE('',#163082,#163083,#87150,.T.); #201467=EDGE_CURVE('',#163084,#163082,#87151,.T.); #201468=EDGE_CURVE('',#163085,#163083,#87152,.T.); #201469=EDGE_CURVE('',#163084,#163085,#87153,.T.); #201470=EDGE_CURVE('',#163086,#163084,#87154,.T.); #201471=EDGE_CURVE('',#163087,#163085,#87155,.T.); #201472=EDGE_CURVE('',#163086,#163087,#87156,.T.); #201473=EDGE_CURVE('',#163088,#163086,#87157,.T.); #201474=EDGE_CURVE('',#163089,#163087,#87158,.T.); #201475=EDGE_CURVE('',#163088,#163089,#87159,.T.); #201476=EDGE_CURVE('',#163090,#163088,#87160,.T.); #201477=EDGE_CURVE('',#163091,#163089,#87161,.T.); #201478=EDGE_CURVE('',#163090,#163091,#87162,.T.); #201479=EDGE_CURVE('',#163092,#163090,#87163,.T.); #201480=EDGE_CURVE('',#163093,#163091,#87164,.T.); #201481=EDGE_CURVE('',#163092,#163093,#87165,.T.); #201482=EDGE_CURVE('',#163094,#163092,#87166,.T.); #201483=EDGE_CURVE('',#163095,#163093,#87167,.T.); #201484=EDGE_CURVE('',#163094,#163095,#87168,.T.); #201485=EDGE_CURVE('',#163096,#163094,#67693,.T.); #201486=EDGE_CURVE('',#163097,#163095,#67694,.T.); #201487=EDGE_CURVE('',#163096,#163097,#87169,.T.); #201488=EDGE_CURVE('',#163098,#163096,#87170,.T.); #201489=EDGE_CURVE('',#163099,#163097,#87171,.T.); #201490=EDGE_CURVE('',#163098,#163099,#87172,.T.); #201491=EDGE_CURVE('',#163100,#163098,#67695,.T.); #201492=EDGE_CURVE('',#163101,#163099,#67696,.T.); #201493=EDGE_CURVE('',#163100,#163101,#87173,.T.); #201494=EDGE_CURVE('',#163102,#163100,#87174,.T.); #201495=EDGE_CURVE('',#163103,#163101,#87175,.T.); #201496=EDGE_CURVE('',#163102,#163103,#87176,.T.); #201497=EDGE_CURVE('',#163104,#163102,#87177,.T.); #201498=EDGE_CURVE('',#163105,#163103,#87178,.T.); #201499=EDGE_CURVE('',#163104,#163105,#87179,.T.); #201500=EDGE_CURVE('',#163106,#163104,#87180,.T.); #201501=EDGE_CURVE('',#163107,#163105,#87181,.T.); #201502=EDGE_CURVE('',#163106,#163107,#87182,.T.); #201503=EDGE_CURVE('',#163108,#163106,#87183,.T.); #201504=EDGE_CURVE('',#163109,#163107,#87184,.T.); #201505=EDGE_CURVE('',#163108,#163109,#87185,.T.); #201506=EDGE_CURVE('',#163110,#163108,#87186,.T.); #201507=EDGE_CURVE('',#163111,#163109,#87187,.T.); #201508=EDGE_CURVE('',#163110,#163111,#87188,.T.); #201509=EDGE_CURVE('',#163112,#163110,#87189,.T.); #201510=EDGE_CURVE('',#163113,#163111,#87190,.T.); #201511=EDGE_CURVE('',#163112,#163113,#87191,.T.); #201512=EDGE_CURVE('',#163114,#163112,#87192,.T.); #201513=EDGE_CURVE('',#163115,#163113,#87193,.T.); #201514=EDGE_CURVE('',#163114,#163115,#87194,.T.); #201515=EDGE_CURVE('',#163116,#163114,#87195,.T.); #201516=EDGE_CURVE('',#163117,#163115,#87196,.T.); #201517=EDGE_CURVE('',#163116,#163117,#87197,.T.); #201518=EDGE_CURVE('',#163118,#163116,#87198,.T.); #201519=EDGE_CURVE('',#163119,#163117,#87199,.T.); #201520=EDGE_CURVE('',#163118,#163119,#87200,.T.); #201521=EDGE_CURVE('',#163120,#163118,#87201,.T.); #201522=EDGE_CURVE('',#163121,#163119,#87202,.T.); #201523=EDGE_CURVE('',#163120,#163121,#87203,.T.); #201524=EDGE_CURVE('',#163122,#163120,#87204,.T.); #201525=EDGE_CURVE('',#163123,#163121,#87205,.T.); #201526=EDGE_CURVE('',#163122,#163123,#87206,.T.); #201527=EDGE_CURVE('',#163124,#163122,#87207,.T.); #201528=EDGE_CURVE('',#163125,#163123,#87208,.T.); #201529=EDGE_CURVE('',#163124,#163125,#87209,.T.); #201530=EDGE_CURVE('',#163126,#163124,#87210,.T.); #201531=EDGE_CURVE('',#163127,#163125,#87211,.T.); #201532=EDGE_CURVE('',#163126,#163127,#87212,.T.); #201533=EDGE_CURVE('',#163128,#163126,#87213,.T.); #201534=EDGE_CURVE('',#163129,#163127,#87214,.T.); #201535=EDGE_CURVE('',#163128,#163129,#87215,.T.); #201536=EDGE_CURVE('',#163130,#163128,#87216,.T.); #201537=EDGE_CURVE('',#163131,#163129,#87217,.T.); #201538=EDGE_CURVE('',#163130,#163131,#87218,.T.); #201539=EDGE_CURVE('',#163132,#163130,#87219,.T.); #201540=EDGE_CURVE('',#163133,#163131,#87220,.T.); #201541=EDGE_CURVE('',#163132,#163133,#87221,.T.); #201542=EDGE_CURVE('',#163134,#163132,#87222,.T.); #201543=EDGE_CURVE('',#163135,#163133,#87223,.T.); #201544=EDGE_CURVE('',#163134,#163135,#87224,.T.); #201545=EDGE_CURVE('',#163136,#163134,#87225,.T.); #201546=EDGE_CURVE('',#163137,#163135,#87226,.T.); #201547=EDGE_CURVE('',#163136,#163137,#87227,.T.); #201548=EDGE_CURVE('',#163138,#163136,#87228,.T.); #201549=EDGE_CURVE('',#163139,#163137,#87229,.T.); #201550=EDGE_CURVE('',#163138,#163139,#87230,.T.); #201551=EDGE_CURVE('',#163140,#163138,#87231,.T.); #201552=EDGE_CURVE('',#163141,#163139,#87232,.T.); #201553=EDGE_CURVE('',#163140,#163141,#87233,.T.); #201554=EDGE_CURVE('',#163142,#163140,#87234,.T.); #201555=EDGE_CURVE('',#163143,#163141,#87235,.T.); #201556=EDGE_CURVE('',#163142,#163143,#87236,.T.); #201557=EDGE_CURVE('',#163144,#163142,#87237,.T.); #201558=EDGE_CURVE('',#163145,#163143,#87238,.T.); #201559=EDGE_CURVE('',#163144,#163145,#87239,.T.); #201560=EDGE_CURVE('',#163146,#163144,#87240,.T.); #201561=EDGE_CURVE('',#163147,#163145,#87241,.T.); #201562=EDGE_CURVE('',#163146,#163147,#87242,.T.); #201563=EDGE_CURVE('',#163148,#163146,#87243,.T.); #201564=EDGE_CURVE('',#163149,#163147,#87244,.T.); #201565=EDGE_CURVE('',#163148,#163149,#87245,.T.); #201566=EDGE_CURVE('',#163150,#163148,#87246,.T.); #201567=EDGE_CURVE('',#163151,#163149,#87247,.T.); #201568=EDGE_CURVE('',#163150,#163151,#87248,.T.); #201569=EDGE_CURVE('',#163152,#163150,#87249,.T.); #201570=EDGE_CURVE('',#163153,#163151,#87250,.T.); #201571=EDGE_CURVE('',#163152,#163153,#87251,.T.); #201572=EDGE_CURVE('',#163154,#163152,#87252,.T.); #201573=EDGE_CURVE('',#163155,#163153,#87253,.T.); #201574=EDGE_CURVE('',#163154,#163155,#87254,.T.); #201575=EDGE_CURVE('',#163156,#163154,#87255,.T.); #201576=EDGE_CURVE('',#163157,#163155,#87256,.T.); #201577=EDGE_CURVE('',#163156,#163157,#87257,.T.); #201578=EDGE_CURVE('',#163158,#163156,#87258,.T.); #201579=EDGE_CURVE('',#163159,#163157,#87259,.T.); #201580=EDGE_CURVE('',#163158,#163159,#87260,.T.); #201581=EDGE_CURVE('',#163160,#163158,#87261,.T.); #201582=EDGE_CURVE('',#163161,#163159,#87262,.T.); #201583=EDGE_CURVE('',#163160,#163161,#87263,.T.); #201584=EDGE_CURVE('',#163162,#163160,#87264,.T.); #201585=EDGE_CURVE('',#163163,#163161,#87265,.T.); #201586=EDGE_CURVE('',#163162,#163163,#87266,.T.); #201587=EDGE_CURVE('',#163164,#163162,#87267,.T.); #201588=EDGE_CURVE('',#163165,#163163,#87268,.T.); #201589=EDGE_CURVE('',#163164,#163165,#87269,.T.); #201590=EDGE_CURVE('',#163166,#163164,#87270,.T.); #201591=EDGE_CURVE('',#163167,#163165,#87271,.T.); #201592=EDGE_CURVE('',#163166,#163167,#87272,.T.); #201593=EDGE_CURVE('',#163168,#163166,#87273,.T.); #201594=EDGE_CURVE('',#163169,#163167,#87274,.T.); #201595=EDGE_CURVE('',#163168,#163169,#87275,.T.); #201596=EDGE_CURVE('',#163170,#163168,#87276,.T.); #201597=EDGE_CURVE('',#163171,#163169,#87277,.T.); #201598=EDGE_CURVE('',#163170,#163171,#87278,.T.); #201599=EDGE_CURVE('',#163172,#163170,#87279,.T.); #201600=EDGE_CURVE('',#163173,#163171,#87280,.T.); #201601=EDGE_CURVE('',#163172,#163173,#87281,.T.); #201602=EDGE_CURVE('',#163174,#163172,#87282,.T.); #201603=EDGE_CURVE('',#163175,#163173,#87283,.T.); #201604=EDGE_CURVE('',#163174,#163175,#87284,.T.); #201605=EDGE_CURVE('',#163176,#163174,#87285,.T.); #201606=EDGE_CURVE('',#163177,#163175,#87286,.T.); #201607=EDGE_CURVE('',#163176,#163177,#87287,.T.); #201608=EDGE_CURVE('',#163178,#163176,#87288,.T.); #201609=EDGE_CURVE('',#163179,#163177,#87289,.T.); #201610=EDGE_CURVE('',#163178,#163179,#87290,.T.); #201611=EDGE_CURVE('',#163180,#163178,#87291,.T.); #201612=EDGE_CURVE('',#163181,#163179,#87292,.T.); #201613=EDGE_CURVE('',#163180,#163181,#87293,.T.); #201614=EDGE_CURVE('',#163182,#163180,#87294,.T.); #201615=EDGE_CURVE('',#163183,#163181,#87295,.T.); #201616=EDGE_CURVE('',#163182,#163183,#87296,.T.); #201617=EDGE_CURVE('',#163184,#163182,#87297,.T.); #201618=EDGE_CURVE('',#163185,#163183,#87298,.T.); #201619=EDGE_CURVE('',#163184,#163185,#87299,.T.); #201620=EDGE_CURVE('',#163186,#163184,#87300,.T.); #201621=EDGE_CURVE('',#163187,#163185,#87301,.T.); #201622=EDGE_CURVE('',#163186,#163187,#87302,.T.); #201623=EDGE_CURVE('',#163188,#163186,#87303,.T.); #201624=EDGE_CURVE('',#163189,#163187,#87304,.T.); #201625=EDGE_CURVE('',#163188,#163189,#87305,.T.); #201626=EDGE_CURVE('',#163190,#163188,#87306,.T.); #201627=EDGE_CURVE('',#163191,#163189,#87307,.T.); #201628=EDGE_CURVE('',#163190,#163191,#87308,.T.); #201629=EDGE_CURVE('',#163192,#163190,#87309,.T.); #201630=EDGE_CURVE('',#163193,#163191,#87310,.T.); #201631=EDGE_CURVE('',#163192,#163193,#87311,.T.); #201632=EDGE_CURVE('',#163194,#163192,#87312,.T.); #201633=EDGE_CURVE('',#163195,#163193,#87313,.T.); #201634=EDGE_CURVE('',#163194,#163195,#87314,.T.); #201635=EDGE_CURVE('',#163196,#163194,#87315,.T.); #201636=EDGE_CURVE('',#163197,#163195,#87316,.T.); #201637=EDGE_CURVE('',#163196,#163197,#87317,.T.); #201638=EDGE_CURVE('',#163198,#163196,#87318,.T.); #201639=EDGE_CURVE('',#163199,#163197,#87319,.T.); #201640=EDGE_CURVE('',#163198,#163199,#87320,.T.); #201641=EDGE_CURVE('',#163200,#163198,#87321,.T.); #201642=EDGE_CURVE('',#163201,#163199,#87322,.T.); #201643=EDGE_CURVE('',#163200,#163201,#87323,.T.); #201644=EDGE_CURVE('',#163202,#163200,#87324,.T.); #201645=EDGE_CURVE('',#163203,#163201,#87325,.T.); #201646=EDGE_CURVE('',#163202,#163203,#87326,.T.); #201647=EDGE_CURVE('',#163204,#163202,#87327,.T.); #201648=EDGE_CURVE('',#163205,#163203,#87328,.T.); #201649=EDGE_CURVE('',#163204,#163205,#87329,.T.); #201650=EDGE_CURVE('',#163206,#163204,#87330,.T.); #201651=EDGE_CURVE('',#163207,#163205,#87331,.T.); #201652=EDGE_CURVE('',#163206,#163207,#87332,.T.); #201653=EDGE_CURVE('',#163208,#163206,#87333,.T.); #201654=EDGE_CURVE('',#163209,#163207,#87334,.T.); #201655=EDGE_CURVE('',#163208,#163209,#87335,.T.); #201656=EDGE_CURVE('',#163210,#163208,#87336,.T.); #201657=EDGE_CURVE('',#163211,#163209,#87337,.T.); #201658=EDGE_CURVE('',#163210,#163211,#87338,.T.); #201659=EDGE_CURVE('',#163212,#163210,#87339,.T.); #201660=EDGE_CURVE('',#163213,#163211,#87340,.T.); #201661=EDGE_CURVE('',#163212,#163213,#87341,.T.); #201662=EDGE_CURVE('',#163214,#163212,#87342,.T.); #201663=EDGE_CURVE('',#163215,#163213,#87343,.T.); #201664=EDGE_CURVE('',#163214,#163215,#87344,.T.); #201665=EDGE_CURVE('',#163216,#163214,#87345,.T.); #201666=EDGE_CURVE('',#163217,#163215,#87346,.T.); #201667=EDGE_CURVE('',#163216,#163217,#87347,.T.); #201668=EDGE_CURVE('',#163218,#163216,#87348,.T.); #201669=EDGE_CURVE('',#163219,#163217,#87349,.T.); #201670=EDGE_CURVE('',#163218,#163219,#87350,.T.); #201671=EDGE_CURVE('',#163220,#163218,#87351,.T.); #201672=EDGE_CURVE('',#163221,#163219,#87352,.T.); #201673=EDGE_CURVE('',#163220,#163221,#87353,.T.); #201674=EDGE_CURVE('',#163222,#163220,#87354,.T.); #201675=EDGE_CURVE('',#163223,#163221,#87355,.T.); #201676=EDGE_CURVE('',#163222,#163223,#87356,.T.); #201677=EDGE_CURVE('',#163224,#163222,#87357,.T.); #201678=EDGE_CURVE('',#163225,#163223,#87358,.T.); #201679=EDGE_CURVE('',#163224,#163225,#87359,.T.); #201680=EDGE_CURVE('',#163226,#163224,#87360,.T.); #201681=EDGE_CURVE('',#163227,#163225,#87361,.T.); #201682=EDGE_CURVE('',#163226,#163227,#87362,.T.); #201683=EDGE_CURVE('',#163228,#163226,#87363,.T.); #201684=EDGE_CURVE('',#163229,#163227,#87364,.T.); #201685=EDGE_CURVE('',#163228,#163229,#87365,.T.); #201686=EDGE_CURVE('',#163230,#163228,#87366,.T.); #201687=EDGE_CURVE('',#163231,#163229,#87367,.T.); #201688=EDGE_CURVE('',#163230,#163231,#87368,.T.); #201689=EDGE_CURVE('',#163232,#163230,#87369,.T.); #201690=EDGE_CURVE('',#163233,#163231,#87370,.T.); #201691=EDGE_CURVE('',#163232,#163233,#87371,.T.); #201692=EDGE_CURVE('',#162987,#163232,#87372,.T.); #201693=EDGE_CURVE('',#162988,#163233,#87373,.T.); #201694=EDGE_CURVE('',#163234,#163234,#67697,.T.); #201695=EDGE_CURVE('',#163234,#163235,#87374,.T.); #201696=EDGE_CURVE('',#163235,#163235,#67698,.T.); #201697=EDGE_CURVE('',#163236,#163236,#67699,.T.); #201698=EDGE_CURVE('',#163236,#163237,#87375,.T.); #201699=EDGE_CURVE('',#163237,#163237,#67700,.T.); #201700=EDGE_CURVE('',#163238,#163238,#67701,.T.); #201701=EDGE_CURVE('',#163238,#163239,#87376,.T.); #201702=EDGE_CURVE('',#163239,#163239,#67702,.T.); #201703=EDGE_CURVE('',#163240,#163240,#67703,.T.); #201704=EDGE_CURVE('',#163240,#163241,#87377,.T.); #201705=EDGE_CURVE('',#163241,#163241,#67704,.T.); #201706=EDGE_CURVE('',#163242,#163242,#67705,.T.); #201707=EDGE_CURVE('',#163242,#163243,#87378,.T.); #201708=EDGE_CURVE('',#163243,#163243,#67706,.T.); #201709=EDGE_CURVE('',#163244,#163244,#67707,.T.); #201710=EDGE_CURVE('',#163244,#163245,#87379,.T.); #201711=EDGE_CURVE('',#163245,#163245,#67708,.T.); #201712=EDGE_CURVE('',#163246,#163246,#67709,.T.); #201713=EDGE_CURVE('',#163246,#163247,#87380,.T.); #201714=EDGE_CURVE('',#163247,#163247,#67710,.T.); #201715=EDGE_CURVE('',#163248,#163248,#67711,.T.); #201716=EDGE_CURVE('',#163248,#163249,#87381,.T.); #201717=EDGE_CURVE('',#163249,#163249,#67712,.T.); #201718=EDGE_CURVE('',#163250,#163250,#67713,.T.); #201719=EDGE_CURVE('',#163250,#163251,#87382,.T.); #201720=EDGE_CURVE('',#163251,#163251,#67714,.T.); #201721=EDGE_CURVE('',#163252,#163252,#67715,.T.); #201722=EDGE_CURVE('',#163252,#163253,#87383,.T.); #201723=EDGE_CURVE('',#163253,#163253,#67716,.T.); #201724=EDGE_CURVE('',#163254,#163254,#67717,.T.); #201725=EDGE_CURVE('',#163254,#163255,#87384,.T.); #201726=EDGE_CURVE('',#163255,#163255,#67718,.T.); #201727=EDGE_CURVE('',#163256,#163256,#67719,.T.); #201728=EDGE_CURVE('',#163256,#163257,#87385,.T.); #201729=EDGE_CURVE('',#163257,#163257,#67720,.T.); #201730=EDGE_CURVE('',#163258,#163258,#67721,.T.); #201731=EDGE_CURVE('',#163258,#163259,#87386,.T.); #201732=EDGE_CURVE('',#163259,#163259,#67722,.T.); #201733=EDGE_CURVE('',#163260,#163260,#67723,.T.); #201734=EDGE_CURVE('',#163260,#163261,#87387,.T.); #201735=EDGE_CURVE('',#163261,#163261,#67724,.T.); #201736=EDGE_CURVE('',#163262,#163262,#67725,.T.); #201737=EDGE_CURVE('',#163262,#163263,#87388,.T.); #201738=EDGE_CURVE('',#163263,#163263,#67726,.T.); #201739=EDGE_CURVE('',#163264,#163264,#67727,.T.); #201740=EDGE_CURVE('',#163264,#163265,#87389,.T.); #201741=EDGE_CURVE('',#163265,#163265,#67728,.T.); #201742=EDGE_CURVE('',#163266,#163266,#67729,.T.); #201743=EDGE_CURVE('',#163266,#163267,#87390,.T.); #201744=EDGE_CURVE('',#163267,#163267,#67730,.T.); #201745=EDGE_CURVE('',#163268,#163268,#67731,.T.); #201746=EDGE_CURVE('',#163268,#163269,#87391,.T.); #201747=EDGE_CURVE('',#163269,#163269,#67732,.T.); #201748=EDGE_CURVE('',#163270,#163270,#67733,.T.); #201749=EDGE_CURVE('',#163270,#163271,#87392,.T.); #201750=EDGE_CURVE('',#163271,#163271,#67734,.T.); #201751=EDGE_CURVE('',#163272,#163272,#67735,.T.); #201752=EDGE_CURVE('',#163272,#163273,#87393,.T.); #201753=EDGE_CURVE('',#163273,#163273,#67736,.T.); #201754=EDGE_CURVE('',#163274,#163274,#67737,.T.); #201755=EDGE_CURVE('',#163274,#163275,#87394,.T.); #201756=EDGE_CURVE('',#163275,#163275,#67738,.T.); #201757=EDGE_CURVE('',#163276,#163276,#67739,.T.); #201758=EDGE_CURVE('',#163276,#163277,#87395,.T.); #201759=EDGE_CURVE('',#163277,#163277,#67740,.T.); #201760=EDGE_CURVE('',#163278,#163278,#67741,.T.); #201761=EDGE_CURVE('',#163278,#163279,#87396,.T.); #201762=EDGE_CURVE('',#163279,#163279,#67742,.T.); #201763=EDGE_CURVE('',#163280,#163280,#67743,.T.); #201764=EDGE_CURVE('',#163280,#163281,#87397,.T.); #201765=EDGE_CURVE('',#163281,#163281,#67744,.T.); #201766=EDGE_CURVE('',#163282,#163282,#67745,.T.); #201767=EDGE_CURVE('',#163282,#163283,#87398,.T.); #201768=EDGE_CURVE('',#163283,#163283,#67746,.T.); #201769=EDGE_CURVE('',#163284,#163284,#67747,.T.); #201770=EDGE_CURVE('',#163284,#163285,#87399,.T.); #201771=EDGE_CURVE('',#163285,#163285,#67748,.T.); #201772=EDGE_CURVE('',#163286,#163286,#67749,.T.); #201773=EDGE_CURVE('',#163286,#163287,#87400,.T.); #201774=EDGE_CURVE('',#163287,#163287,#67750,.T.); #201775=EDGE_CURVE('',#163288,#163288,#67751,.T.); #201776=EDGE_CURVE('',#163288,#163289,#87401,.T.); #201777=EDGE_CURVE('',#163289,#163289,#67752,.T.); #201778=EDGE_CURVE('',#163290,#163290,#67753,.T.); #201779=EDGE_CURVE('',#163290,#163291,#87402,.T.); #201780=EDGE_CURVE('',#163291,#163291,#67754,.T.); #201781=EDGE_CURVE('',#163292,#163292,#67755,.T.); #201782=EDGE_CURVE('',#163292,#163293,#87403,.T.); #201783=EDGE_CURVE('',#163293,#163293,#67756,.T.); #201784=EDGE_CURVE('',#163294,#163294,#67757,.T.); #201785=EDGE_CURVE('',#163294,#163295,#87404,.T.); #201786=EDGE_CURVE('',#163295,#163295,#67758,.T.); #201787=EDGE_CURVE('',#163296,#163297,#87405,.T.); #201788=EDGE_CURVE('',#163297,#163298,#87406,.T.); #201789=EDGE_CURVE('',#163299,#163298,#87407,.T.); #201790=EDGE_CURVE('',#163296,#163299,#87408,.T.); #201791=EDGE_CURVE('',#163300,#163296,#67759,.T.); #201792=EDGE_CURVE('',#163301,#163299,#67760,.T.); #201793=EDGE_CURVE('',#163300,#163301,#87409,.T.); #201794=EDGE_CURVE('',#163302,#163300,#87410,.T.); #201795=EDGE_CURVE('',#163303,#163301,#87411,.T.); #201796=EDGE_CURVE('',#163302,#163303,#87412,.T.); #201797=EDGE_CURVE('',#163304,#163302,#67761,.T.); #201798=EDGE_CURVE('',#163305,#163303,#67762,.T.); #201799=EDGE_CURVE('',#163304,#163305,#87413,.T.); #201800=EDGE_CURVE('',#163306,#163304,#87414,.T.); #201801=EDGE_CURVE('',#163307,#163305,#87415,.T.); #201802=EDGE_CURVE('',#163306,#163307,#87416,.T.); #201803=EDGE_CURVE('',#163308,#163306,#67763,.T.); #201804=EDGE_CURVE('',#163309,#163307,#67764,.T.); #201805=EDGE_CURVE('',#163308,#163309,#87417,.T.); #201806=EDGE_CURVE('',#163310,#163308,#87418,.T.); #201807=EDGE_CURVE('',#163311,#163309,#87419,.T.); #201808=EDGE_CURVE('',#163310,#163311,#87420,.T.); #201809=EDGE_CURVE('',#163312,#163310,#87421,.T.); #201810=EDGE_CURVE('',#163313,#163311,#87422,.T.); #201811=EDGE_CURVE('',#163312,#163313,#87423,.T.); #201812=EDGE_CURVE('',#163314,#163312,#87424,.T.); #201813=EDGE_CURVE('',#163315,#163313,#87425,.T.); #201814=EDGE_CURVE('',#163314,#163315,#87426,.T.); #201815=EDGE_CURVE('',#163316,#163314,#87427,.T.); #201816=EDGE_CURVE('',#163317,#163315,#87428,.T.); #201817=EDGE_CURVE('',#163316,#163317,#87429,.T.); #201818=EDGE_CURVE('',#163318,#163316,#87430,.T.); #201819=EDGE_CURVE('',#163319,#163317,#87431,.T.); #201820=EDGE_CURVE('',#163318,#163319,#87432,.T.); #201821=EDGE_CURVE('',#163320,#163318,#87433,.T.); #201822=EDGE_CURVE('',#163321,#163319,#87434,.T.); #201823=EDGE_CURVE('',#163320,#163321,#87435,.T.); #201824=EDGE_CURVE('',#163322,#163320,#87436,.T.); #201825=EDGE_CURVE('',#163323,#163321,#87437,.T.); #201826=EDGE_CURVE('',#163322,#163323,#87438,.T.); #201827=EDGE_CURVE('',#163324,#163322,#87439,.T.); #201828=EDGE_CURVE('',#163325,#163323,#87440,.T.); #201829=EDGE_CURVE('',#163324,#163325,#87441,.T.); #201830=EDGE_CURVE('',#163326,#163324,#87442,.T.); #201831=EDGE_CURVE('',#163327,#163325,#87443,.T.); #201832=EDGE_CURVE('',#163326,#163327,#87444,.T.); #201833=EDGE_CURVE('',#163328,#163326,#87445,.T.); #201834=EDGE_CURVE('',#163329,#163327,#87446,.T.); #201835=EDGE_CURVE('',#163328,#163329,#87447,.T.); #201836=EDGE_CURVE('',#163330,#163328,#87448,.T.); #201837=EDGE_CURVE('',#163331,#163329,#87449,.T.); #201838=EDGE_CURVE('',#163330,#163331,#87450,.T.); #201839=EDGE_CURVE('',#163332,#163330,#87451,.T.); #201840=EDGE_CURVE('',#163333,#163331,#87452,.T.); #201841=EDGE_CURVE('',#163332,#163333,#87453,.T.); #201842=EDGE_CURVE('',#163334,#163332,#87454,.T.); #201843=EDGE_CURVE('',#163335,#163333,#87455,.T.); #201844=EDGE_CURVE('',#163334,#163335,#87456,.T.); #201845=EDGE_CURVE('',#163336,#163334,#87457,.T.); #201846=EDGE_CURVE('',#163337,#163335,#87458,.T.); #201847=EDGE_CURVE('',#163336,#163337,#87459,.T.); #201848=EDGE_CURVE('',#163338,#163336,#87460,.T.); #201849=EDGE_CURVE('',#163339,#163337,#87461,.T.); #201850=EDGE_CURVE('',#163338,#163339,#87462,.T.); #201851=EDGE_CURVE('',#163340,#163338,#87463,.T.); #201852=EDGE_CURVE('',#163341,#163339,#87464,.T.); #201853=EDGE_CURVE('',#163340,#163341,#87465,.T.); #201854=EDGE_CURVE('',#163342,#163340,#87466,.T.); #201855=EDGE_CURVE('',#163343,#163341,#87467,.T.); #201856=EDGE_CURVE('',#163342,#163343,#87468,.T.); #201857=EDGE_CURVE('',#163344,#163342,#87469,.T.); #201858=EDGE_CURVE('',#163345,#163343,#87470,.T.); #201859=EDGE_CURVE('',#163344,#163345,#87471,.T.); #201860=EDGE_CURVE('',#163346,#163344,#87472,.T.); #201861=EDGE_CURVE('',#163347,#163345,#87473,.T.); #201862=EDGE_CURVE('',#163346,#163347,#87474,.T.); #201863=EDGE_CURVE('',#163348,#163346,#87475,.T.); #201864=EDGE_CURVE('',#163349,#163347,#87476,.T.); #201865=EDGE_CURVE('',#163348,#163349,#87477,.T.); #201866=EDGE_CURVE('',#163350,#163348,#87478,.T.); #201867=EDGE_CURVE('',#163351,#163349,#87479,.T.); #201868=EDGE_CURVE('',#163350,#163351,#87480,.T.); #201869=EDGE_CURVE('',#163352,#163350,#87481,.T.); #201870=EDGE_CURVE('',#163353,#163351,#87482,.T.); #201871=EDGE_CURVE('',#163352,#163353,#87483,.T.); #201872=EDGE_CURVE('',#163354,#163352,#87484,.T.); #201873=EDGE_CURVE('',#163355,#163353,#87485,.T.); #201874=EDGE_CURVE('',#163354,#163355,#87486,.T.); #201875=EDGE_CURVE('',#163356,#163354,#87487,.T.); #201876=EDGE_CURVE('',#163357,#163355,#87488,.T.); #201877=EDGE_CURVE('',#163356,#163357,#87489,.T.); #201878=EDGE_CURVE('',#163358,#163356,#87490,.T.); #201879=EDGE_CURVE('',#163359,#163357,#87491,.T.); #201880=EDGE_CURVE('',#163358,#163359,#87492,.T.); #201881=EDGE_CURVE('',#163360,#163358,#87493,.T.); #201882=EDGE_CURVE('',#163361,#163359,#87494,.T.); #201883=EDGE_CURVE('',#163360,#163361,#87495,.T.); #201884=EDGE_CURVE('',#163362,#163360,#87496,.T.); #201885=EDGE_CURVE('',#163363,#163361,#87497,.T.); #201886=EDGE_CURVE('',#163362,#163363,#87498,.T.); #201887=EDGE_CURVE('',#163364,#163362,#87499,.T.); #201888=EDGE_CURVE('',#163365,#163363,#87500,.T.); #201889=EDGE_CURVE('',#163364,#163365,#87501,.T.); #201890=EDGE_CURVE('',#163366,#163364,#87502,.T.); #201891=EDGE_CURVE('',#163367,#163365,#87503,.T.); #201892=EDGE_CURVE('',#163366,#163367,#87504,.T.); #201893=EDGE_CURVE('',#163368,#163366,#87505,.T.); #201894=EDGE_CURVE('',#163369,#163367,#87506,.T.); #201895=EDGE_CURVE('',#163368,#163369,#87507,.T.); #201896=EDGE_CURVE('',#163370,#163368,#87508,.T.); #201897=EDGE_CURVE('',#163371,#163369,#87509,.T.); #201898=EDGE_CURVE('',#163370,#163371,#87510,.T.); #201899=EDGE_CURVE('',#163372,#163370,#87511,.T.); #201900=EDGE_CURVE('',#163373,#163371,#87512,.T.); #201901=EDGE_CURVE('',#163372,#163373,#87513,.T.); #201902=EDGE_CURVE('',#163374,#163372,#87514,.T.); #201903=EDGE_CURVE('',#163375,#163373,#87515,.T.); #201904=EDGE_CURVE('',#163374,#163375,#87516,.T.); #201905=EDGE_CURVE('',#163376,#163374,#87517,.T.); #201906=EDGE_CURVE('',#163377,#163375,#87518,.T.); #201907=EDGE_CURVE('',#163376,#163377,#87519,.T.); #201908=EDGE_CURVE('',#163378,#163376,#87520,.T.); #201909=EDGE_CURVE('',#163379,#163377,#87521,.T.); #201910=EDGE_CURVE('',#163378,#163379,#87522,.T.); #201911=EDGE_CURVE('',#163380,#163378,#87523,.T.); #201912=EDGE_CURVE('',#163381,#163379,#87524,.T.); #201913=EDGE_CURVE('',#163380,#163381,#87525,.T.); #201914=EDGE_CURVE('',#163382,#163380,#87526,.T.); #201915=EDGE_CURVE('',#163383,#163381,#87527,.T.); #201916=EDGE_CURVE('',#163382,#163383,#87528,.T.); #201917=EDGE_CURVE('',#163384,#163382,#87529,.T.); #201918=EDGE_CURVE('',#163385,#163383,#87530,.T.); #201919=EDGE_CURVE('',#163384,#163385,#87531,.T.); #201920=EDGE_CURVE('',#163386,#163384,#87532,.T.); #201921=EDGE_CURVE('',#163387,#163385,#87533,.T.); #201922=EDGE_CURVE('',#163386,#163387,#87534,.T.); #201923=EDGE_CURVE('',#163388,#163386,#87535,.T.); #201924=EDGE_CURVE('',#163389,#163387,#87536,.T.); #201925=EDGE_CURVE('',#163388,#163389,#87537,.T.); #201926=EDGE_CURVE('',#163390,#163388,#87538,.T.); #201927=EDGE_CURVE('',#163391,#163389,#87539,.T.); #201928=EDGE_CURVE('',#163390,#163391,#87540,.T.); #201929=EDGE_CURVE('',#163392,#163390,#87541,.T.); #201930=EDGE_CURVE('',#163393,#163391,#87542,.T.); #201931=EDGE_CURVE('',#163392,#163393,#87543,.T.); #201932=EDGE_CURVE('',#163394,#163392,#87544,.T.); #201933=EDGE_CURVE('',#163395,#163393,#87545,.T.); #201934=EDGE_CURVE('',#163394,#163395,#87546,.T.); #201935=EDGE_CURVE('',#163396,#163394,#87547,.T.); #201936=EDGE_CURVE('',#163397,#163395,#87548,.T.); #201937=EDGE_CURVE('',#163396,#163397,#87549,.T.); #201938=EDGE_CURVE('',#163398,#163396,#87550,.T.); #201939=EDGE_CURVE('',#163399,#163397,#87551,.T.); #201940=EDGE_CURVE('',#163398,#163399,#87552,.T.); #201941=EDGE_CURVE('',#163400,#163398,#87553,.T.); #201942=EDGE_CURVE('',#163401,#163399,#87554,.T.); #201943=EDGE_CURVE('',#163400,#163401,#87555,.T.); #201944=EDGE_CURVE('',#163402,#163400,#87556,.T.); #201945=EDGE_CURVE('',#163403,#163401,#87557,.T.); #201946=EDGE_CURVE('',#163402,#163403,#87558,.T.); #201947=EDGE_CURVE('',#163404,#163402,#87559,.T.); #201948=EDGE_CURVE('',#163405,#163403,#87560,.T.); #201949=EDGE_CURVE('',#163404,#163405,#87561,.T.); #201950=EDGE_CURVE('',#163406,#163404,#87562,.T.); #201951=EDGE_CURVE('',#163407,#163405,#87563,.T.); #201952=EDGE_CURVE('',#163406,#163407,#87564,.T.); #201953=EDGE_CURVE('',#163408,#163406,#87565,.T.); #201954=EDGE_CURVE('',#163409,#163407,#87566,.T.); #201955=EDGE_CURVE('',#163408,#163409,#87567,.T.); #201956=EDGE_CURVE('',#163410,#163408,#87568,.T.); #201957=EDGE_CURVE('',#163411,#163409,#87569,.T.); #201958=EDGE_CURVE('',#163410,#163411,#87570,.T.); #201959=EDGE_CURVE('',#163412,#163410,#87571,.T.); #201960=EDGE_CURVE('',#163413,#163411,#87572,.T.); #201961=EDGE_CURVE('',#163412,#163413,#87573,.T.); #201962=EDGE_CURVE('',#163414,#163412,#87574,.T.); #201963=EDGE_CURVE('',#163415,#163413,#87575,.T.); #201964=EDGE_CURVE('',#163414,#163415,#87576,.T.); #201965=EDGE_CURVE('',#163416,#163414,#87577,.T.); #201966=EDGE_CURVE('',#163417,#163415,#87578,.T.); #201967=EDGE_CURVE('',#163416,#163417,#87579,.T.); #201968=EDGE_CURVE('',#163418,#163416,#87580,.T.); #201969=EDGE_CURVE('',#163419,#163417,#87581,.T.); #201970=EDGE_CURVE('',#163418,#163419,#87582,.T.); #201971=EDGE_CURVE('',#163420,#163418,#87583,.T.); #201972=EDGE_CURVE('',#163421,#163419,#87584,.T.); #201973=EDGE_CURVE('',#163420,#163421,#87585,.T.); #201974=EDGE_CURVE('',#163422,#163420,#87586,.T.); #201975=EDGE_CURVE('',#163423,#163421,#87587,.T.); #201976=EDGE_CURVE('',#163422,#163423,#87588,.T.); #201977=EDGE_CURVE('',#163424,#163422,#87589,.T.); #201978=EDGE_CURVE('',#163425,#163423,#87590,.T.); #201979=EDGE_CURVE('',#163424,#163425,#87591,.T.); #201980=EDGE_CURVE('',#163426,#163424,#87592,.T.); #201981=EDGE_CURVE('',#163427,#163425,#87593,.T.); #201982=EDGE_CURVE('',#163426,#163427,#87594,.T.); #201983=EDGE_CURVE('',#163428,#163426,#87595,.T.); #201984=EDGE_CURVE('',#163429,#163427,#87596,.T.); #201985=EDGE_CURVE('',#163428,#163429,#87597,.T.); #201986=EDGE_CURVE('',#163430,#163428,#87598,.T.); #201987=EDGE_CURVE('',#163431,#163429,#87599,.T.); #201988=EDGE_CURVE('',#163430,#163431,#87600,.T.); #201989=EDGE_CURVE('',#163432,#163430,#87601,.T.); #201990=EDGE_CURVE('',#163433,#163431,#87602,.T.); #201991=EDGE_CURVE('',#163432,#163433,#87603,.T.); #201992=EDGE_CURVE('',#163434,#163432,#87604,.T.); #201993=EDGE_CURVE('',#163435,#163433,#87605,.T.); #201994=EDGE_CURVE('',#163434,#163435,#87606,.T.); #201995=EDGE_CURVE('',#163436,#163434,#87607,.T.); #201996=EDGE_CURVE('',#163437,#163435,#87608,.T.); #201997=EDGE_CURVE('',#163436,#163437,#87609,.T.); #201998=EDGE_CURVE('',#163438,#163436,#87610,.T.); #201999=EDGE_CURVE('',#163439,#163437,#87611,.T.); #202000=EDGE_CURVE('',#163438,#163439,#87612,.T.); #202001=EDGE_CURVE('',#163440,#163438,#87613,.T.); #202002=EDGE_CURVE('',#163441,#163439,#87614,.T.); #202003=EDGE_CURVE('',#163440,#163441,#87615,.T.); #202004=EDGE_CURVE('',#163442,#163440,#87616,.T.); #202005=EDGE_CURVE('',#163443,#163441,#87617,.T.); #202006=EDGE_CURVE('',#163442,#163443,#87618,.T.); #202007=EDGE_CURVE('',#163444,#163442,#67765,.T.); #202008=EDGE_CURVE('',#163445,#163443,#67766,.T.); #202009=EDGE_CURVE('',#163444,#163445,#87619,.T.); #202010=EDGE_CURVE('',#163446,#163444,#87620,.T.); #202011=EDGE_CURVE('',#163447,#163445,#87621,.T.); #202012=EDGE_CURVE('',#163446,#163447,#87622,.T.); #202013=EDGE_CURVE('',#163448,#163446,#67767,.T.); #202014=EDGE_CURVE('',#163449,#163447,#67768,.T.); #202015=EDGE_CURVE('',#163448,#163449,#87623,.T.); #202016=EDGE_CURVE('',#163450,#163448,#87624,.T.); #202017=EDGE_CURVE('',#163451,#163449,#87625,.T.); #202018=EDGE_CURVE('',#163450,#163451,#87626,.T.); #202019=EDGE_CURVE('',#163452,#163450,#87627,.T.); #202020=EDGE_CURVE('',#163453,#163451,#87628,.T.); #202021=EDGE_CURVE('',#163452,#163453,#87629,.T.); #202022=EDGE_CURVE('',#163454,#163452,#87630,.T.); #202023=EDGE_CURVE('',#163455,#163453,#87631,.T.); #202024=EDGE_CURVE('',#163454,#163455,#87632,.T.); #202025=EDGE_CURVE('',#163297,#163454,#67769,.T.); #202026=EDGE_CURVE('',#163298,#163455,#67770,.T.); #202027=EDGE_CURVE('',#163456,#163456,#67771,.T.); #202028=EDGE_CURVE('',#163456,#163457,#87633,.T.); #202029=EDGE_CURVE('',#163457,#163457,#67772,.T.); #202030=EDGE_CURVE('',#163458,#163459,#87634,.T.); #202031=EDGE_CURVE('',#163459,#163460,#87635,.T.); #202032=EDGE_CURVE('',#163461,#163460,#87636,.T.); #202033=EDGE_CURVE('',#163458,#163461,#87637,.T.); #202034=EDGE_CURVE('',#163462,#163458,#87638,.T.); #202035=EDGE_CURVE('',#163463,#163461,#87639,.T.); #202036=EDGE_CURVE('',#163462,#163463,#87640,.T.); #202037=EDGE_CURVE('',#163464,#163462,#87641,.T.); #202038=EDGE_CURVE('',#163465,#163463,#87642,.T.); #202039=EDGE_CURVE('',#163464,#163465,#87643,.T.); #202040=EDGE_CURVE('',#163466,#163464,#87644,.T.); #202041=EDGE_CURVE('',#163467,#163465,#87645,.T.); #202042=EDGE_CURVE('',#163466,#163467,#87646,.T.); #202043=EDGE_CURVE('',#163468,#163466,#87647,.T.); #202044=EDGE_CURVE('',#163469,#163467,#87648,.T.); #202045=EDGE_CURVE('',#163468,#163469,#87649,.T.); #202046=EDGE_CURVE('',#163470,#163468,#67773,.T.); #202047=EDGE_CURVE('',#163471,#163469,#67774,.T.); #202048=EDGE_CURVE('',#163470,#163471,#87650,.T.); #202049=EDGE_CURVE('',#163472,#163470,#87651,.T.); #202050=EDGE_CURVE('',#163473,#163471,#87652,.T.); #202051=EDGE_CURVE('',#163472,#163473,#87653,.T.); #202052=EDGE_CURVE('',#163474,#163472,#87654,.T.); #202053=EDGE_CURVE('',#163475,#163473,#87655,.T.); #202054=EDGE_CURVE('',#163474,#163475,#87656,.T.); #202055=EDGE_CURVE('',#163476,#163474,#67775,.T.); #202056=EDGE_CURVE('',#163477,#163475,#67776,.T.); #202057=EDGE_CURVE('',#163476,#163477,#87657,.T.); #202058=EDGE_CURVE('',#163478,#163476,#87658,.T.); #202059=EDGE_CURVE('',#163479,#163477,#87659,.T.); #202060=EDGE_CURVE('',#163478,#163479,#87660,.T.); #202061=EDGE_CURVE('',#163480,#163478,#87661,.T.); #202062=EDGE_CURVE('',#163481,#163479,#87662,.T.); #202063=EDGE_CURVE('',#163480,#163481,#87663,.T.); #202064=EDGE_CURVE('',#163482,#163480,#67777,.T.); #202065=EDGE_CURVE('',#163483,#163481,#67778,.T.); #202066=EDGE_CURVE('',#163482,#163483,#87664,.T.); #202067=EDGE_CURVE('',#163484,#163482,#67779,.T.); #202068=EDGE_CURVE('',#163485,#163483,#67780,.T.); #202069=EDGE_CURVE('',#163484,#163485,#87665,.T.); #202070=EDGE_CURVE('',#163486,#163484,#87666,.T.); #202071=EDGE_CURVE('',#163487,#163485,#87667,.T.); #202072=EDGE_CURVE('',#163486,#163487,#87668,.T.); #202073=EDGE_CURVE('',#163488,#163486,#67781,.T.); #202074=EDGE_CURVE('',#163489,#163487,#67782,.T.); #202075=EDGE_CURVE('',#163488,#163489,#87669,.T.); #202076=EDGE_CURVE('',#163490,#163488,#87670,.T.); #202077=EDGE_CURVE('',#163491,#163489,#87671,.T.); #202078=EDGE_CURVE('',#163490,#163491,#87672,.T.); #202079=EDGE_CURVE('',#163492,#163490,#87673,.T.); #202080=EDGE_CURVE('',#163493,#163491,#87674,.T.); #202081=EDGE_CURVE('',#163492,#163493,#87675,.T.); #202082=EDGE_CURVE('',#163494,#163492,#67783,.T.); #202083=EDGE_CURVE('',#163495,#163493,#67784,.T.); #202084=EDGE_CURVE('',#163494,#163495,#87676,.T.); #202085=EDGE_CURVE('',#163496,#163494,#87677,.T.); #202086=EDGE_CURVE('',#163497,#163495,#87678,.T.); #202087=EDGE_CURVE('',#163496,#163497,#87679,.T.); #202088=EDGE_CURVE('',#163498,#163496,#87680,.T.); #202089=EDGE_CURVE('',#163499,#163497,#87681,.T.); #202090=EDGE_CURVE('',#163498,#163499,#87682,.T.); #202091=EDGE_CURVE('',#163459,#163498,#87683,.T.); #202092=EDGE_CURVE('',#163460,#163499,#87684,.T.); #202093=EDGE_CURVE('',#163500,#163500,#67785,.T.); #202094=EDGE_CURVE('',#163500,#163501,#87685,.T.); #202095=EDGE_CURVE('',#163501,#163501,#67786,.T.); #202096=EDGE_CURVE('',#163502,#163502,#67787,.T.); #202097=EDGE_CURVE('',#163502,#163503,#87686,.T.); #202098=EDGE_CURVE('',#163503,#163503,#67788,.T.); #202099=EDGE_CURVE('',#163504,#163504,#67789,.T.); #202100=EDGE_CURVE('',#163504,#163505,#87687,.T.); #202101=EDGE_CURVE('',#163505,#163505,#67790,.T.); #202102=EDGE_CURVE('',#163506,#163506,#67791,.T.); #202103=EDGE_CURVE('',#163506,#163507,#87688,.T.); #202104=EDGE_CURVE('',#163507,#163507,#67792,.T.); #202105=EDGE_CURVE('',#163508,#163508,#67793,.T.); #202106=EDGE_CURVE('',#163508,#163509,#87689,.T.); #202107=EDGE_CURVE('',#163509,#163509,#67794,.T.); #202108=EDGE_CURVE('',#163510,#163510,#67795,.T.); #202109=EDGE_CURVE('',#163510,#163511,#87690,.T.); #202110=EDGE_CURVE('',#163511,#163511,#67796,.T.); #202111=EDGE_CURVE('',#163512,#163512,#67797,.T.); #202112=EDGE_CURVE('',#163512,#163513,#87691,.T.); #202113=EDGE_CURVE('',#163513,#163513,#67798,.T.); #202114=EDGE_CURVE('',#163514,#163514,#67799,.T.); #202115=EDGE_CURVE('',#163514,#163515,#87692,.T.); #202116=EDGE_CURVE('',#163515,#163515,#67800,.T.); #202117=EDGE_CURVE('',#163516,#163516,#67801,.T.); #202118=EDGE_CURVE('',#163516,#163517,#87693,.T.); #202119=EDGE_CURVE('',#163517,#163517,#67802,.T.); #202120=EDGE_CURVE('',#163518,#163518,#67803,.T.); #202121=EDGE_CURVE('',#163518,#163519,#87694,.T.); #202122=EDGE_CURVE('',#163519,#163519,#67804,.T.); #202123=EDGE_CURVE('',#163520,#163520,#67805,.T.); #202124=EDGE_CURVE('',#163520,#163521,#87695,.T.); #202125=EDGE_CURVE('',#163521,#163521,#67806,.T.); #202126=EDGE_CURVE('',#163522,#163522,#67807,.T.); #202127=EDGE_CURVE('',#163522,#163523,#87696,.T.); #202128=EDGE_CURVE('',#163523,#163523,#67808,.T.); #202129=EDGE_CURVE('',#163524,#163524,#67809,.T.); #202130=EDGE_CURVE('',#163524,#163525,#87697,.T.); #202131=EDGE_CURVE('',#163525,#163525,#67810,.T.); #202132=EDGE_CURVE('',#163526,#163526,#67811,.T.); #202133=EDGE_CURVE('',#163526,#163527,#87698,.T.); #202134=EDGE_CURVE('',#163527,#163527,#67812,.T.); #202135=EDGE_CURVE('',#163528,#163528,#67813,.T.); #202136=EDGE_CURVE('',#163528,#163529,#87699,.T.); #202137=EDGE_CURVE('',#163529,#163529,#67814,.T.); #202138=EDGE_CURVE('',#163530,#163530,#67815,.T.); #202139=EDGE_CURVE('',#163530,#163531,#87700,.T.); #202140=EDGE_CURVE('',#163531,#163531,#67816,.T.); #202141=EDGE_CURVE('',#163532,#163533,#87701,.T.); #202142=EDGE_CURVE('',#163533,#163534,#87702,.T.); #202143=EDGE_CURVE('',#163535,#163534,#87703,.T.); #202144=EDGE_CURVE('',#163532,#163535,#87704,.T.); #202145=EDGE_CURVE('',#163536,#163532,#87705,.T.); #202146=EDGE_CURVE('',#163537,#163535,#87706,.T.); #202147=EDGE_CURVE('',#163536,#163537,#87707,.T.); #202148=EDGE_CURVE('',#163538,#163536,#87708,.T.); #202149=EDGE_CURVE('',#163539,#163537,#87709,.T.); #202150=EDGE_CURVE('',#163538,#163539,#87710,.T.); #202151=EDGE_CURVE('',#163540,#163538,#87711,.T.); #202152=EDGE_CURVE('',#163541,#163539,#87712,.T.); #202153=EDGE_CURVE('',#163540,#163541,#87713,.T.); #202154=EDGE_CURVE('',#163542,#163540,#87714,.T.); #202155=EDGE_CURVE('',#163543,#163541,#87715,.T.); #202156=EDGE_CURVE('',#163542,#163543,#87716,.T.); #202157=EDGE_CURVE('',#163544,#163542,#87717,.T.); #202158=EDGE_CURVE('',#163545,#163543,#87718,.T.); #202159=EDGE_CURVE('',#163544,#163545,#87719,.T.); #202160=EDGE_CURVE('',#163546,#163544,#87720,.T.); #202161=EDGE_CURVE('',#163547,#163545,#87721,.T.); #202162=EDGE_CURVE('',#163546,#163547,#87722,.T.); #202163=EDGE_CURVE('',#163548,#163546,#87723,.T.); #202164=EDGE_CURVE('',#163549,#163547,#87724,.T.); #202165=EDGE_CURVE('',#163548,#163549,#87725,.T.); #202166=EDGE_CURVE('',#163550,#163548,#87726,.T.); #202167=EDGE_CURVE('',#163551,#163549,#87727,.T.); #202168=EDGE_CURVE('',#163550,#163551,#87728,.T.); #202169=EDGE_CURVE('',#163552,#163550,#87729,.T.); #202170=EDGE_CURVE('',#163553,#163551,#87730,.T.); #202171=EDGE_CURVE('',#163552,#163553,#87731,.T.); #202172=EDGE_CURVE('',#163554,#163552,#87732,.T.); #202173=EDGE_CURVE('',#163555,#163553,#87733,.T.); #202174=EDGE_CURVE('',#163554,#163555,#87734,.T.); #202175=EDGE_CURVE('',#163556,#163554,#87735,.T.); #202176=EDGE_CURVE('',#163557,#163555,#87736,.T.); #202177=EDGE_CURVE('',#163556,#163557,#87737,.T.); #202178=EDGE_CURVE('',#163558,#163556,#87738,.T.); #202179=EDGE_CURVE('',#163559,#163557,#87739,.T.); #202180=EDGE_CURVE('',#163558,#163559,#87740,.T.); #202181=EDGE_CURVE('',#163560,#163558,#87741,.T.); #202182=EDGE_CURVE('',#163561,#163559,#87742,.T.); #202183=EDGE_CURVE('',#163560,#163561,#87743,.T.); #202184=EDGE_CURVE('',#163562,#163560,#87744,.T.); #202185=EDGE_CURVE('',#163563,#163561,#87745,.T.); #202186=EDGE_CURVE('',#163562,#163563,#87746,.T.); #202187=EDGE_CURVE('',#163564,#163562,#87747,.T.); #202188=EDGE_CURVE('',#163565,#163563,#87748,.T.); #202189=EDGE_CURVE('',#163564,#163565,#87749,.T.); #202190=EDGE_CURVE('',#163566,#163564,#87750,.T.); #202191=EDGE_CURVE('',#163567,#163565,#87751,.T.); #202192=EDGE_CURVE('',#163566,#163567,#87752,.T.); #202193=EDGE_CURVE('',#163568,#163566,#87753,.T.); #202194=EDGE_CURVE('',#163569,#163567,#87754,.T.); #202195=EDGE_CURVE('',#163568,#163569,#87755,.T.); #202196=EDGE_CURVE('',#163570,#163568,#87756,.T.); #202197=EDGE_CURVE('',#163571,#163569,#87757,.T.); #202198=EDGE_CURVE('',#163570,#163571,#87758,.T.); #202199=EDGE_CURVE('',#163572,#163570,#87759,.T.); #202200=EDGE_CURVE('',#163573,#163571,#87760,.T.); #202201=EDGE_CURVE('',#163572,#163573,#87761,.T.); #202202=EDGE_CURVE('',#163574,#163572,#87762,.T.); #202203=EDGE_CURVE('',#163575,#163573,#87763,.T.); #202204=EDGE_CURVE('',#163574,#163575,#87764,.T.); #202205=EDGE_CURVE('',#163576,#163574,#87765,.T.); #202206=EDGE_CURVE('',#163577,#163575,#87766,.T.); #202207=EDGE_CURVE('',#163576,#163577,#87767,.T.); #202208=EDGE_CURVE('',#163578,#163576,#87768,.T.); #202209=EDGE_CURVE('',#163579,#163577,#87769,.T.); #202210=EDGE_CURVE('',#163578,#163579,#87770,.T.); #202211=EDGE_CURVE('',#163533,#163578,#87771,.T.); #202212=EDGE_CURVE('',#163534,#163579,#87772,.T.); #202213=EDGE_CURVE('',#163580,#163581,#87773,.T.); #202214=EDGE_CURVE('',#163581,#163582,#87774,.T.); #202215=EDGE_CURVE('',#163583,#163582,#87775,.T.); #202216=EDGE_CURVE('',#163580,#163583,#87776,.T.); #202217=EDGE_CURVE('',#163584,#163580,#87777,.T.); #202218=EDGE_CURVE('',#163585,#163583,#87778,.T.); #202219=EDGE_CURVE('',#163584,#163585,#87779,.T.); #202220=EDGE_CURVE('',#163586,#163584,#87780,.T.); #202221=EDGE_CURVE('',#163587,#163585,#87781,.T.); #202222=EDGE_CURVE('',#163586,#163587,#87782,.T.); #202223=EDGE_CURVE('',#163588,#163586,#87783,.T.); #202224=EDGE_CURVE('',#163589,#163587,#87784,.T.); #202225=EDGE_CURVE('',#163588,#163589,#87785,.T.); #202226=EDGE_CURVE('',#163590,#163588,#87786,.T.); #202227=EDGE_CURVE('',#163591,#163589,#87787,.T.); #202228=EDGE_CURVE('',#163590,#163591,#87788,.T.); #202229=EDGE_CURVE('',#163592,#163590,#87789,.T.); #202230=EDGE_CURVE('',#163593,#163591,#87790,.T.); #202231=EDGE_CURVE('',#163592,#163593,#87791,.T.); #202232=EDGE_CURVE('',#163594,#163592,#87792,.T.); #202233=EDGE_CURVE('',#163595,#163593,#87793,.T.); #202234=EDGE_CURVE('',#163594,#163595,#87794,.T.); #202235=EDGE_CURVE('',#163596,#163594,#87795,.T.); #202236=EDGE_CURVE('',#163597,#163595,#87796,.T.); #202237=EDGE_CURVE('',#163596,#163597,#87797,.T.); #202238=EDGE_CURVE('',#163598,#163596,#87798,.T.); #202239=EDGE_CURVE('',#163599,#163597,#87799,.T.); #202240=EDGE_CURVE('',#163598,#163599,#87800,.T.); #202241=EDGE_CURVE('',#163600,#163598,#87801,.T.); #202242=EDGE_CURVE('',#163601,#163599,#87802,.T.); #202243=EDGE_CURVE('',#163600,#163601,#87803,.T.); #202244=EDGE_CURVE('',#163602,#163600,#87804,.T.); #202245=EDGE_CURVE('',#163603,#163601,#87805,.T.); #202246=EDGE_CURVE('',#163602,#163603,#87806,.T.); #202247=EDGE_CURVE('',#163604,#163602,#87807,.T.); #202248=EDGE_CURVE('',#163605,#163603,#87808,.T.); #202249=EDGE_CURVE('',#163604,#163605,#87809,.T.); #202250=EDGE_CURVE('',#163606,#163604,#87810,.T.); #202251=EDGE_CURVE('',#163607,#163605,#87811,.T.); #202252=EDGE_CURVE('',#163606,#163607,#87812,.T.); #202253=EDGE_CURVE('',#163608,#163606,#87813,.T.); #202254=EDGE_CURVE('',#163609,#163607,#87814,.T.); #202255=EDGE_CURVE('',#163608,#163609,#87815,.T.); #202256=EDGE_CURVE('',#163610,#163608,#87816,.T.); #202257=EDGE_CURVE('',#163611,#163609,#87817,.T.); #202258=EDGE_CURVE('',#163610,#163611,#87818,.T.); #202259=EDGE_CURVE('',#163612,#163610,#87819,.T.); #202260=EDGE_CURVE('',#163613,#163611,#87820,.T.); #202261=EDGE_CURVE('',#163612,#163613,#87821,.T.); #202262=EDGE_CURVE('',#163614,#163612,#87822,.T.); #202263=EDGE_CURVE('',#163615,#163613,#87823,.T.); #202264=EDGE_CURVE('',#163614,#163615,#87824,.T.); #202265=EDGE_CURVE('',#163616,#163614,#87825,.T.); #202266=EDGE_CURVE('',#163617,#163615,#87826,.T.); #202267=EDGE_CURVE('',#163616,#163617,#87827,.T.); #202268=EDGE_CURVE('',#163618,#163616,#87828,.T.); #202269=EDGE_CURVE('',#163619,#163617,#87829,.T.); #202270=EDGE_CURVE('',#163618,#163619,#87830,.T.); #202271=EDGE_CURVE('',#163620,#163618,#87831,.T.); #202272=EDGE_CURVE('',#163621,#163619,#87832,.T.); #202273=EDGE_CURVE('',#163620,#163621,#87833,.T.); #202274=EDGE_CURVE('',#163622,#163620,#87834,.T.); #202275=EDGE_CURVE('',#163623,#163621,#87835,.T.); #202276=EDGE_CURVE('',#163622,#163623,#87836,.T.); #202277=EDGE_CURVE('',#163624,#163622,#87837,.T.); #202278=EDGE_CURVE('',#163625,#163623,#87838,.T.); #202279=EDGE_CURVE('',#163624,#163625,#87839,.T.); #202280=EDGE_CURVE('',#163626,#163624,#87840,.T.); #202281=EDGE_CURVE('',#163627,#163625,#87841,.T.); #202282=EDGE_CURVE('',#163626,#163627,#87842,.T.); #202283=EDGE_CURVE('',#163628,#163626,#87843,.T.); #202284=EDGE_CURVE('',#163629,#163627,#87844,.T.); #202285=EDGE_CURVE('',#163628,#163629,#87845,.T.); #202286=EDGE_CURVE('',#163630,#163628,#87846,.T.); #202287=EDGE_CURVE('',#163631,#163629,#87847,.T.); #202288=EDGE_CURVE('',#163630,#163631,#87848,.T.); #202289=EDGE_CURVE('',#163632,#163630,#87849,.T.); #202290=EDGE_CURVE('',#163633,#163631,#87850,.T.); #202291=EDGE_CURVE('',#163632,#163633,#87851,.T.); #202292=EDGE_CURVE('',#163634,#163632,#87852,.T.); #202293=EDGE_CURVE('',#163635,#163633,#87853,.T.); #202294=EDGE_CURVE('',#163634,#163635,#87854,.T.); #202295=EDGE_CURVE('',#163636,#163634,#87855,.T.); #202296=EDGE_CURVE('',#163637,#163635,#87856,.T.); #202297=EDGE_CURVE('',#163636,#163637,#87857,.T.); #202298=EDGE_CURVE('',#163638,#163636,#87858,.T.); #202299=EDGE_CURVE('',#163639,#163637,#87859,.T.); #202300=EDGE_CURVE('',#163638,#163639,#87860,.T.); #202301=EDGE_CURVE('',#163640,#163638,#87861,.T.); #202302=EDGE_CURVE('',#163641,#163639,#87862,.T.); #202303=EDGE_CURVE('',#163640,#163641,#87863,.T.); #202304=EDGE_CURVE('',#163642,#163640,#87864,.T.); #202305=EDGE_CURVE('',#163643,#163641,#87865,.T.); #202306=EDGE_CURVE('',#163642,#163643,#87866,.T.); #202307=EDGE_CURVE('',#163644,#163642,#87867,.T.); #202308=EDGE_CURVE('',#163645,#163643,#87868,.T.); #202309=EDGE_CURVE('',#163644,#163645,#87869,.T.); #202310=EDGE_CURVE('',#163646,#163644,#87870,.T.); #202311=EDGE_CURVE('',#163647,#163645,#87871,.T.); #202312=EDGE_CURVE('',#163646,#163647,#87872,.T.); #202313=EDGE_CURVE('',#163648,#163646,#87873,.T.); #202314=EDGE_CURVE('',#163649,#163647,#87874,.T.); #202315=EDGE_CURVE('',#163648,#163649,#87875,.T.); #202316=EDGE_CURVE('',#163650,#163648,#87876,.T.); #202317=EDGE_CURVE('',#163651,#163649,#87877,.T.); #202318=EDGE_CURVE('',#163650,#163651,#87878,.T.); #202319=EDGE_CURVE('',#163652,#163650,#87879,.T.); #202320=EDGE_CURVE('',#163653,#163651,#87880,.T.); #202321=EDGE_CURVE('',#163652,#163653,#87881,.T.); #202322=EDGE_CURVE('',#163654,#163652,#87882,.T.); #202323=EDGE_CURVE('',#163655,#163653,#87883,.T.); #202324=EDGE_CURVE('',#163654,#163655,#87884,.T.); #202325=EDGE_CURVE('',#163656,#163654,#87885,.T.); #202326=EDGE_CURVE('',#163657,#163655,#87886,.T.); #202327=EDGE_CURVE('',#163656,#163657,#87887,.T.); #202328=EDGE_CURVE('',#163658,#163656,#87888,.T.); #202329=EDGE_CURVE('',#163659,#163657,#87889,.T.); #202330=EDGE_CURVE('',#163658,#163659,#87890,.T.); #202331=EDGE_CURVE('',#163660,#163658,#87891,.T.); #202332=EDGE_CURVE('',#163661,#163659,#87892,.T.); #202333=EDGE_CURVE('',#163660,#163661,#87893,.T.); #202334=EDGE_CURVE('',#163662,#163660,#87894,.T.); #202335=EDGE_CURVE('',#163663,#163661,#87895,.T.); #202336=EDGE_CURVE('',#163662,#163663,#87896,.T.); #202337=EDGE_CURVE('',#163664,#163662,#87897,.T.); #202338=EDGE_CURVE('',#163665,#163663,#87898,.T.); #202339=EDGE_CURVE('',#163664,#163665,#87899,.T.); #202340=EDGE_CURVE('',#163666,#163664,#87900,.T.); #202341=EDGE_CURVE('',#163667,#163665,#87901,.T.); #202342=EDGE_CURVE('',#163666,#163667,#87902,.T.); #202343=EDGE_CURVE('',#163668,#163666,#87903,.T.); #202344=EDGE_CURVE('',#163669,#163667,#87904,.T.); #202345=EDGE_CURVE('',#163668,#163669,#87905,.T.); #202346=EDGE_CURVE('',#163670,#163668,#87906,.T.); #202347=EDGE_CURVE('',#163671,#163669,#87907,.T.); #202348=EDGE_CURVE('',#163670,#163671,#87908,.T.); #202349=EDGE_CURVE('',#163672,#163670,#87909,.T.); #202350=EDGE_CURVE('',#163673,#163671,#87910,.T.); #202351=EDGE_CURVE('',#163672,#163673,#87911,.T.); #202352=EDGE_CURVE('',#163674,#163672,#87912,.T.); #202353=EDGE_CURVE('',#163675,#163673,#87913,.T.); #202354=EDGE_CURVE('',#163674,#163675,#87914,.T.); #202355=EDGE_CURVE('',#163676,#163674,#87915,.T.); #202356=EDGE_CURVE('',#163677,#163675,#87916,.T.); #202357=EDGE_CURVE('',#163676,#163677,#87917,.T.); #202358=EDGE_CURVE('',#163678,#163676,#87918,.T.); #202359=EDGE_CURVE('',#163679,#163677,#87919,.T.); #202360=EDGE_CURVE('',#163678,#163679,#87920,.T.); #202361=EDGE_CURVE('',#163680,#163678,#87921,.T.); #202362=EDGE_CURVE('',#163681,#163679,#87922,.T.); #202363=EDGE_CURVE('',#163680,#163681,#87923,.T.); #202364=EDGE_CURVE('',#163682,#163680,#87924,.T.); #202365=EDGE_CURVE('',#163683,#163681,#87925,.T.); #202366=EDGE_CURVE('',#163682,#163683,#87926,.T.); #202367=EDGE_CURVE('',#163684,#163682,#87927,.T.); #202368=EDGE_CURVE('',#163685,#163683,#87928,.T.); #202369=EDGE_CURVE('',#163684,#163685,#87929,.T.); #202370=EDGE_CURVE('',#163686,#163684,#87930,.T.); #202371=EDGE_CURVE('',#163687,#163685,#87931,.T.); #202372=EDGE_CURVE('',#163686,#163687,#87932,.T.); #202373=EDGE_CURVE('',#163688,#163686,#87933,.T.); #202374=EDGE_CURVE('',#163689,#163687,#87934,.T.); #202375=EDGE_CURVE('',#163688,#163689,#87935,.T.); #202376=EDGE_CURVE('',#163690,#163688,#87936,.T.); #202377=EDGE_CURVE('',#163691,#163689,#87937,.T.); #202378=EDGE_CURVE('',#163690,#163691,#87938,.T.); #202379=EDGE_CURVE('',#163692,#163690,#87939,.T.); #202380=EDGE_CURVE('',#163693,#163691,#87940,.T.); #202381=EDGE_CURVE('',#163692,#163693,#87941,.T.); #202382=EDGE_CURVE('',#163694,#163692,#87942,.T.); #202383=EDGE_CURVE('',#163695,#163693,#87943,.T.); #202384=EDGE_CURVE('',#163694,#163695,#87944,.T.); #202385=EDGE_CURVE('',#163696,#163694,#87945,.T.); #202386=EDGE_CURVE('',#163697,#163695,#87946,.T.); #202387=EDGE_CURVE('',#163696,#163697,#87947,.T.); #202388=EDGE_CURVE('',#163698,#163696,#87948,.T.); #202389=EDGE_CURVE('',#163699,#163697,#87949,.T.); #202390=EDGE_CURVE('',#163698,#163699,#87950,.T.); #202391=EDGE_CURVE('',#163700,#163698,#87951,.T.); #202392=EDGE_CURVE('',#163701,#163699,#87952,.T.); #202393=EDGE_CURVE('',#163700,#163701,#87953,.T.); #202394=EDGE_CURVE('',#163702,#163700,#87954,.T.); #202395=EDGE_CURVE('',#163703,#163701,#87955,.T.); #202396=EDGE_CURVE('',#163702,#163703,#87956,.T.); #202397=EDGE_CURVE('',#163704,#163702,#87957,.T.); #202398=EDGE_CURVE('',#163705,#163703,#87958,.T.); #202399=EDGE_CURVE('',#163704,#163705,#87959,.T.); #202400=EDGE_CURVE('',#163706,#163704,#87960,.T.); #202401=EDGE_CURVE('',#163707,#163705,#87961,.T.); #202402=EDGE_CURVE('',#163706,#163707,#87962,.T.); #202403=EDGE_CURVE('',#163708,#163706,#87963,.T.); #202404=EDGE_CURVE('',#163709,#163707,#87964,.T.); #202405=EDGE_CURVE('',#163708,#163709,#87965,.T.); #202406=EDGE_CURVE('',#163710,#163708,#87966,.T.); #202407=EDGE_CURVE('',#163711,#163709,#87967,.T.); #202408=EDGE_CURVE('',#163710,#163711,#87968,.T.); #202409=EDGE_CURVE('',#163712,#163710,#87969,.T.); #202410=EDGE_CURVE('',#163713,#163711,#87970,.T.); #202411=EDGE_CURVE('',#163712,#163713,#87971,.T.); #202412=EDGE_CURVE('',#163714,#163712,#87972,.T.); #202413=EDGE_CURVE('',#163715,#163713,#87973,.T.); #202414=EDGE_CURVE('',#163714,#163715,#87974,.T.); #202415=EDGE_CURVE('',#163716,#163714,#87975,.T.); #202416=EDGE_CURVE('',#163717,#163715,#87976,.T.); #202417=EDGE_CURVE('',#163716,#163717,#87977,.T.); #202418=EDGE_CURVE('',#163718,#163716,#87978,.T.); #202419=EDGE_CURVE('',#163719,#163717,#87979,.T.); #202420=EDGE_CURVE('',#163718,#163719,#87980,.T.); #202421=EDGE_CURVE('',#163720,#163718,#87981,.T.); #202422=EDGE_CURVE('',#163721,#163719,#87982,.T.); #202423=EDGE_CURVE('',#163720,#163721,#87983,.T.); #202424=EDGE_CURVE('',#163722,#163720,#87984,.T.); #202425=EDGE_CURVE('',#163723,#163721,#87985,.T.); #202426=EDGE_CURVE('',#163722,#163723,#87986,.T.); #202427=EDGE_CURVE('',#163724,#163722,#87987,.T.); #202428=EDGE_CURVE('',#163725,#163723,#87988,.T.); #202429=EDGE_CURVE('',#163724,#163725,#87989,.T.); #202430=EDGE_CURVE('',#163726,#163724,#87990,.T.); #202431=EDGE_CURVE('',#163727,#163725,#87991,.T.); #202432=EDGE_CURVE('',#163726,#163727,#87992,.T.); #202433=EDGE_CURVE('',#163728,#163726,#87993,.T.); #202434=EDGE_CURVE('',#163729,#163727,#87994,.T.); #202435=EDGE_CURVE('',#163728,#163729,#87995,.T.); #202436=EDGE_CURVE('',#163730,#163728,#87996,.T.); #202437=EDGE_CURVE('',#163731,#163729,#87997,.T.); #202438=EDGE_CURVE('',#163730,#163731,#87998,.T.); #202439=EDGE_CURVE('',#163732,#163730,#87999,.T.); #202440=EDGE_CURVE('',#163733,#163731,#88000,.T.); #202441=EDGE_CURVE('',#163732,#163733,#88001,.T.); #202442=EDGE_CURVE('',#163734,#163732,#88002,.T.); #202443=EDGE_CURVE('',#163735,#163733,#88003,.T.); #202444=EDGE_CURVE('',#163734,#163735,#88004,.T.); #202445=EDGE_CURVE('',#163736,#163734,#88005,.T.); #202446=EDGE_CURVE('',#163737,#163735,#88006,.T.); #202447=EDGE_CURVE('',#163736,#163737,#88007,.T.); #202448=EDGE_CURVE('',#163738,#163736,#88008,.T.); #202449=EDGE_CURVE('',#163739,#163737,#88009,.T.); #202450=EDGE_CURVE('',#163738,#163739,#88010,.T.); #202451=EDGE_CURVE('',#163740,#163738,#88011,.T.); #202452=EDGE_CURVE('',#163741,#163739,#88012,.T.); #202453=EDGE_CURVE('',#163740,#163741,#88013,.T.); #202454=EDGE_CURVE('',#163742,#163740,#88014,.T.); #202455=EDGE_CURVE('',#163743,#163741,#88015,.T.); #202456=EDGE_CURVE('',#163742,#163743,#88016,.T.); #202457=EDGE_CURVE('',#163744,#163742,#88017,.T.); #202458=EDGE_CURVE('',#163745,#163743,#88018,.T.); #202459=EDGE_CURVE('',#163744,#163745,#88019,.T.); #202460=EDGE_CURVE('',#163746,#163744,#88020,.T.); #202461=EDGE_CURVE('',#163747,#163745,#88021,.T.); #202462=EDGE_CURVE('',#163746,#163747,#88022,.T.); #202463=EDGE_CURVE('',#163748,#163746,#88023,.T.); #202464=EDGE_CURVE('',#163749,#163747,#88024,.T.); #202465=EDGE_CURVE('',#163748,#163749,#88025,.T.); #202466=EDGE_CURVE('',#163750,#163748,#88026,.T.); #202467=EDGE_CURVE('',#163751,#163749,#88027,.T.); #202468=EDGE_CURVE('',#163750,#163751,#88028,.T.); #202469=EDGE_CURVE('',#163752,#163750,#88029,.T.); #202470=EDGE_CURVE('',#163753,#163751,#88030,.T.); #202471=EDGE_CURVE('',#163752,#163753,#88031,.T.); #202472=EDGE_CURVE('',#163754,#163752,#88032,.T.); #202473=EDGE_CURVE('',#163755,#163753,#88033,.T.); #202474=EDGE_CURVE('',#163754,#163755,#88034,.T.); #202475=EDGE_CURVE('',#163756,#163754,#88035,.T.); #202476=EDGE_CURVE('',#163757,#163755,#88036,.T.); #202477=EDGE_CURVE('',#163756,#163757,#88037,.T.); #202478=EDGE_CURVE('',#163758,#163756,#88038,.T.); #202479=EDGE_CURVE('',#163759,#163757,#88039,.T.); #202480=EDGE_CURVE('',#163758,#163759,#88040,.T.); #202481=EDGE_CURVE('',#163760,#163758,#88041,.T.); #202482=EDGE_CURVE('',#163761,#163759,#88042,.T.); #202483=EDGE_CURVE('',#163760,#163761,#88043,.T.); #202484=EDGE_CURVE('',#163762,#163760,#88044,.T.); #202485=EDGE_CURVE('',#163763,#163761,#88045,.T.); #202486=EDGE_CURVE('',#163762,#163763,#88046,.T.); #202487=EDGE_CURVE('',#163764,#163762,#88047,.T.); #202488=EDGE_CURVE('',#163765,#163763,#88048,.T.); #202489=EDGE_CURVE('',#163764,#163765,#88049,.T.); #202490=EDGE_CURVE('',#163766,#163764,#88050,.T.); #202491=EDGE_CURVE('',#163767,#163765,#88051,.T.); #202492=EDGE_CURVE('',#163766,#163767,#88052,.T.); #202493=EDGE_CURVE('',#163768,#163766,#88053,.T.); #202494=EDGE_CURVE('',#163769,#163767,#88054,.T.); #202495=EDGE_CURVE('',#163768,#163769,#88055,.T.); #202496=EDGE_CURVE('',#163770,#163768,#88056,.T.); #202497=EDGE_CURVE('',#163771,#163769,#88057,.T.); #202498=EDGE_CURVE('',#163770,#163771,#88058,.T.); #202499=EDGE_CURVE('',#163772,#163770,#88059,.T.); #202500=EDGE_CURVE('',#163773,#163771,#88060,.T.); #202501=EDGE_CURVE('',#163772,#163773,#88061,.T.); #202502=EDGE_CURVE('',#163774,#163772,#88062,.T.); #202503=EDGE_CURVE('',#163775,#163773,#88063,.T.); #202504=EDGE_CURVE('',#163774,#163775,#88064,.T.); #202505=EDGE_CURVE('',#163776,#163774,#88065,.T.); #202506=EDGE_CURVE('',#163777,#163775,#88066,.T.); #202507=EDGE_CURVE('',#163776,#163777,#88067,.T.); #202508=EDGE_CURVE('',#163778,#163776,#88068,.T.); #202509=EDGE_CURVE('',#163779,#163777,#88069,.T.); #202510=EDGE_CURVE('',#163778,#163779,#88070,.T.); #202511=EDGE_CURVE('',#163780,#163778,#88071,.T.); #202512=EDGE_CURVE('',#163781,#163779,#88072,.T.); #202513=EDGE_CURVE('',#163780,#163781,#88073,.T.); #202514=EDGE_CURVE('',#163782,#163780,#88074,.T.); #202515=EDGE_CURVE('',#163783,#163781,#88075,.T.); #202516=EDGE_CURVE('',#163782,#163783,#88076,.T.); #202517=EDGE_CURVE('',#163784,#163782,#88077,.T.); #202518=EDGE_CURVE('',#163785,#163783,#88078,.T.); #202519=EDGE_CURVE('',#163784,#163785,#88079,.T.); #202520=EDGE_CURVE('',#163786,#163784,#88080,.T.); #202521=EDGE_CURVE('',#163787,#163785,#88081,.T.); #202522=EDGE_CURVE('',#163786,#163787,#88082,.T.); #202523=EDGE_CURVE('',#163788,#163786,#88083,.T.); #202524=EDGE_CURVE('',#163789,#163787,#88084,.T.); #202525=EDGE_CURVE('',#163788,#163789,#88085,.T.); #202526=EDGE_CURVE('',#163790,#163788,#88086,.T.); #202527=EDGE_CURVE('',#163791,#163789,#88087,.T.); #202528=EDGE_CURVE('',#163790,#163791,#88088,.T.); #202529=EDGE_CURVE('',#163792,#163790,#88089,.T.); #202530=EDGE_CURVE('',#163793,#163791,#88090,.T.); #202531=EDGE_CURVE('',#163792,#163793,#88091,.T.); #202532=EDGE_CURVE('',#163794,#163792,#88092,.T.); #202533=EDGE_CURVE('',#163795,#163793,#88093,.T.); #202534=EDGE_CURVE('',#163794,#163795,#88094,.T.); #202535=EDGE_CURVE('',#163796,#163794,#88095,.T.); #202536=EDGE_CURVE('',#163797,#163795,#88096,.T.); #202537=EDGE_CURVE('',#163796,#163797,#88097,.T.); #202538=EDGE_CURVE('',#163798,#163796,#88098,.T.); #202539=EDGE_CURVE('',#163799,#163797,#88099,.T.); #202540=EDGE_CURVE('',#163798,#163799,#88100,.T.); #202541=EDGE_CURVE('',#163800,#163798,#88101,.T.); #202542=EDGE_CURVE('',#163801,#163799,#88102,.T.); #202543=EDGE_CURVE('',#163800,#163801,#88103,.T.); #202544=EDGE_CURVE('',#163802,#163800,#88104,.T.); #202545=EDGE_CURVE('',#163803,#163801,#88105,.T.); #202546=EDGE_CURVE('',#163802,#163803,#88106,.T.); #202547=EDGE_CURVE('',#163804,#163802,#88107,.T.); #202548=EDGE_CURVE('',#163805,#163803,#88108,.T.); #202549=EDGE_CURVE('',#163804,#163805,#88109,.T.); #202550=EDGE_CURVE('',#163806,#163804,#88110,.T.); #202551=EDGE_CURVE('',#163807,#163805,#88111,.T.); #202552=EDGE_CURVE('',#163806,#163807,#88112,.T.); #202553=EDGE_CURVE('',#163808,#163806,#88113,.T.); #202554=EDGE_CURVE('',#163809,#163807,#88114,.T.); #202555=EDGE_CURVE('',#163808,#163809,#88115,.T.); #202556=EDGE_CURVE('',#163810,#163808,#88116,.T.); #202557=EDGE_CURVE('',#163811,#163809,#88117,.T.); #202558=EDGE_CURVE('',#163810,#163811,#88118,.T.); #202559=EDGE_CURVE('',#163812,#163810,#88119,.T.); #202560=EDGE_CURVE('',#163813,#163811,#88120,.T.); #202561=EDGE_CURVE('',#163812,#163813,#88121,.T.); #202562=EDGE_CURVE('',#163814,#163812,#88122,.T.); #202563=EDGE_CURVE('',#163815,#163813,#88123,.T.); #202564=EDGE_CURVE('',#163814,#163815,#88124,.T.); #202565=EDGE_CURVE('',#163816,#163814,#88125,.T.); #202566=EDGE_CURVE('',#163817,#163815,#88126,.T.); #202567=EDGE_CURVE('',#163816,#163817,#88127,.T.); #202568=EDGE_CURVE('',#163818,#163816,#88128,.T.); #202569=EDGE_CURVE('',#163819,#163817,#88129,.T.); #202570=EDGE_CURVE('',#163818,#163819,#88130,.T.); #202571=EDGE_CURVE('',#163820,#163818,#88131,.T.); #202572=EDGE_CURVE('',#163821,#163819,#88132,.T.); #202573=EDGE_CURVE('',#163820,#163821,#88133,.T.); #202574=EDGE_CURVE('',#163822,#163820,#88134,.T.); #202575=EDGE_CURVE('',#163823,#163821,#88135,.T.); #202576=EDGE_CURVE('',#163822,#163823,#88136,.T.); #202577=EDGE_CURVE('',#163824,#163822,#88137,.T.); #202578=EDGE_CURVE('',#163825,#163823,#88138,.T.); #202579=EDGE_CURVE('',#163824,#163825,#88139,.T.); #202580=EDGE_CURVE('',#163826,#163824,#88140,.T.); #202581=EDGE_CURVE('',#163827,#163825,#88141,.T.); #202582=EDGE_CURVE('',#163826,#163827,#88142,.T.); #202583=EDGE_CURVE('',#163828,#163826,#88143,.T.); #202584=EDGE_CURVE('',#163829,#163827,#88144,.T.); #202585=EDGE_CURVE('',#163828,#163829,#88145,.T.); #202586=EDGE_CURVE('',#163830,#163828,#88146,.T.); #202587=EDGE_CURVE('',#163831,#163829,#88147,.T.); #202588=EDGE_CURVE('',#163830,#163831,#88148,.T.); #202589=EDGE_CURVE('',#163832,#163830,#88149,.T.); #202590=EDGE_CURVE('',#163833,#163831,#88150,.T.); #202591=EDGE_CURVE('',#163832,#163833,#88151,.T.); #202592=EDGE_CURVE('',#163834,#163832,#88152,.T.); #202593=EDGE_CURVE('',#163835,#163833,#88153,.T.); #202594=EDGE_CURVE('',#163834,#163835,#88154,.T.); #202595=EDGE_CURVE('',#163836,#163834,#88155,.T.); #202596=EDGE_CURVE('',#163837,#163835,#88156,.T.); #202597=EDGE_CURVE('',#163836,#163837,#88157,.T.); #202598=EDGE_CURVE('',#163838,#163836,#88158,.T.); #202599=EDGE_CURVE('',#163839,#163837,#88159,.T.); #202600=EDGE_CURVE('',#163838,#163839,#88160,.T.); #202601=EDGE_CURVE('',#163840,#163838,#88161,.T.); #202602=EDGE_CURVE('',#163841,#163839,#88162,.T.); #202603=EDGE_CURVE('',#163840,#163841,#88163,.T.); #202604=EDGE_CURVE('',#163842,#163840,#88164,.T.); #202605=EDGE_CURVE('',#163843,#163841,#88165,.T.); #202606=EDGE_CURVE('',#163842,#163843,#88166,.T.); #202607=EDGE_CURVE('',#163844,#163842,#88167,.T.); #202608=EDGE_CURVE('',#163845,#163843,#88168,.T.); #202609=EDGE_CURVE('',#163844,#163845,#88169,.T.); #202610=EDGE_CURVE('',#163846,#163844,#88170,.T.); #202611=EDGE_CURVE('',#163847,#163845,#88171,.T.); #202612=EDGE_CURVE('',#163846,#163847,#88172,.T.); #202613=EDGE_CURVE('',#163848,#163846,#88173,.T.); #202614=EDGE_CURVE('',#163849,#163847,#88174,.T.); #202615=EDGE_CURVE('',#163848,#163849,#88175,.T.); #202616=EDGE_CURVE('',#163850,#163848,#88176,.T.); #202617=EDGE_CURVE('',#163851,#163849,#88177,.T.); #202618=EDGE_CURVE('',#163850,#163851,#88178,.T.); #202619=EDGE_CURVE('',#163852,#163850,#88179,.T.); #202620=EDGE_CURVE('',#163853,#163851,#88180,.T.); #202621=EDGE_CURVE('',#163852,#163853,#88181,.T.); #202622=EDGE_CURVE('',#163854,#163852,#88182,.T.); #202623=EDGE_CURVE('',#163855,#163853,#88183,.T.); #202624=EDGE_CURVE('',#163854,#163855,#88184,.T.); #202625=EDGE_CURVE('',#163856,#163854,#88185,.T.); #202626=EDGE_CURVE('',#163857,#163855,#88186,.T.); #202627=EDGE_CURVE('',#163856,#163857,#88187,.T.); #202628=EDGE_CURVE('',#163858,#163856,#88188,.T.); #202629=EDGE_CURVE('',#163859,#163857,#88189,.T.); #202630=EDGE_CURVE('',#163858,#163859,#88190,.T.); #202631=EDGE_CURVE('',#163860,#163858,#88191,.T.); #202632=EDGE_CURVE('',#163861,#163859,#88192,.T.); #202633=EDGE_CURVE('',#163860,#163861,#88193,.T.); #202634=EDGE_CURVE('',#163862,#163860,#88194,.T.); #202635=EDGE_CURVE('',#163863,#163861,#88195,.T.); #202636=EDGE_CURVE('',#163862,#163863,#88196,.T.); #202637=EDGE_CURVE('',#163864,#163862,#88197,.T.); #202638=EDGE_CURVE('',#163865,#163863,#88198,.T.); #202639=EDGE_CURVE('',#163864,#163865,#88199,.T.); #202640=EDGE_CURVE('',#163866,#163864,#88200,.T.); #202641=EDGE_CURVE('',#163867,#163865,#88201,.T.); #202642=EDGE_CURVE('',#163866,#163867,#88202,.T.); #202643=EDGE_CURVE('',#163868,#163866,#88203,.T.); #202644=EDGE_CURVE('',#163869,#163867,#88204,.T.); #202645=EDGE_CURVE('',#163868,#163869,#88205,.T.); #202646=EDGE_CURVE('',#163870,#163868,#88206,.T.); #202647=EDGE_CURVE('',#163871,#163869,#88207,.T.); #202648=EDGE_CURVE('',#163870,#163871,#88208,.T.); #202649=EDGE_CURVE('',#163872,#163870,#88209,.T.); #202650=EDGE_CURVE('',#163873,#163871,#88210,.T.); #202651=EDGE_CURVE('',#163872,#163873,#88211,.T.); #202652=EDGE_CURVE('',#163874,#163872,#88212,.T.); #202653=EDGE_CURVE('',#163875,#163873,#88213,.T.); #202654=EDGE_CURVE('',#163874,#163875,#88214,.T.); #202655=EDGE_CURVE('',#163876,#163874,#88215,.T.); #202656=EDGE_CURVE('',#163877,#163875,#88216,.T.); #202657=EDGE_CURVE('',#163876,#163877,#88217,.T.); #202658=EDGE_CURVE('',#163878,#163876,#88218,.T.); #202659=EDGE_CURVE('',#163879,#163877,#88219,.T.); #202660=EDGE_CURVE('',#163878,#163879,#88220,.T.); #202661=EDGE_CURVE('',#163880,#163878,#88221,.T.); #202662=EDGE_CURVE('',#163881,#163879,#88222,.T.); #202663=EDGE_CURVE('',#163880,#163881,#88223,.T.); #202664=EDGE_CURVE('',#163882,#163880,#88224,.T.); #202665=EDGE_CURVE('',#163883,#163881,#88225,.T.); #202666=EDGE_CURVE('',#163882,#163883,#88226,.T.); #202667=EDGE_CURVE('',#163884,#163882,#88227,.T.); #202668=EDGE_CURVE('',#163885,#163883,#88228,.T.); #202669=EDGE_CURVE('',#163884,#163885,#88229,.T.); #202670=EDGE_CURVE('',#163886,#163884,#88230,.T.); #202671=EDGE_CURVE('',#163887,#163885,#88231,.T.); #202672=EDGE_CURVE('',#163886,#163887,#88232,.T.); #202673=EDGE_CURVE('',#163888,#163886,#88233,.T.); #202674=EDGE_CURVE('',#163889,#163887,#88234,.T.); #202675=EDGE_CURVE('',#163888,#163889,#88235,.T.); #202676=EDGE_CURVE('',#163890,#163888,#88236,.T.); #202677=EDGE_CURVE('',#163891,#163889,#88237,.T.); #202678=EDGE_CURVE('',#163890,#163891,#88238,.T.); #202679=EDGE_CURVE('',#163892,#163890,#88239,.T.); #202680=EDGE_CURVE('',#163893,#163891,#88240,.T.); #202681=EDGE_CURVE('',#163892,#163893,#88241,.T.); #202682=EDGE_CURVE('',#163894,#163892,#88242,.T.); #202683=EDGE_CURVE('',#163895,#163893,#88243,.T.); #202684=EDGE_CURVE('',#163894,#163895,#88244,.T.); #202685=EDGE_CURVE('',#163896,#163894,#88245,.T.); #202686=EDGE_CURVE('',#163897,#163895,#88246,.T.); #202687=EDGE_CURVE('',#163896,#163897,#88247,.T.); #202688=EDGE_CURVE('',#163898,#163896,#88248,.T.); #202689=EDGE_CURVE('',#163899,#163897,#88249,.T.); #202690=EDGE_CURVE('',#163898,#163899,#88250,.T.); #202691=EDGE_CURVE('',#163900,#163898,#88251,.T.); #202692=EDGE_CURVE('',#163901,#163899,#88252,.T.); #202693=EDGE_CURVE('',#163900,#163901,#88253,.T.); #202694=EDGE_CURVE('',#163902,#163900,#88254,.T.); #202695=EDGE_CURVE('',#163903,#163901,#88255,.T.); #202696=EDGE_CURVE('',#163902,#163903,#88256,.T.); #202697=EDGE_CURVE('',#163904,#163902,#88257,.T.); #202698=EDGE_CURVE('',#163905,#163903,#88258,.T.); #202699=EDGE_CURVE('',#163904,#163905,#88259,.T.); #202700=EDGE_CURVE('',#163906,#163904,#88260,.T.); #202701=EDGE_CURVE('',#163907,#163905,#88261,.T.); #202702=EDGE_CURVE('',#163906,#163907,#88262,.T.); #202703=EDGE_CURVE('',#163908,#163906,#88263,.T.); #202704=EDGE_CURVE('',#163909,#163907,#88264,.T.); #202705=EDGE_CURVE('',#163908,#163909,#88265,.T.); #202706=EDGE_CURVE('',#163910,#163908,#88266,.T.); #202707=EDGE_CURVE('',#163911,#163909,#88267,.T.); #202708=EDGE_CURVE('',#163910,#163911,#88268,.T.); #202709=EDGE_CURVE('',#163912,#163910,#88269,.T.); #202710=EDGE_CURVE('',#163913,#163911,#88270,.T.); #202711=EDGE_CURVE('',#163912,#163913,#88271,.T.); #202712=EDGE_CURVE('',#163914,#163912,#88272,.T.); #202713=EDGE_CURVE('',#163915,#163913,#88273,.T.); #202714=EDGE_CURVE('',#163914,#163915,#88274,.T.); #202715=EDGE_CURVE('',#163916,#163914,#88275,.T.); #202716=EDGE_CURVE('',#163917,#163915,#88276,.T.); #202717=EDGE_CURVE('',#163916,#163917,#88277,.T.); #202718=EDGE_CURVE('',#163918,#163916,#88278,.T.); #202719=EDGE_CURVE('',#163919,#163917,#88279,.T.); #202720=EDGE_CURVE('',#163918,#163919,#88280,.T.); #202721=EDGE_CURVE('',#163920,#163918,#88281,.T.); #202722=EDGE_CURVE('',#163921,#163919,#88282,.T.); #202723=EDGE_CURVE('',#163920,#163921,#88283,.T.); #202724=EDGE_CURVE('',#163922,#163920,#88284,.T.); #202725=EDGE_CURVE('',#163923,#163921,#88285,.T.); #202726=EDGE_CURVE('',#163922,#163923,#88286,.T.); #202727=EDGE_CURVE('',#163924,#163922,#88287,.T.); #202728=EDGE_CURVE('',#163925,#163923,#88288,.T.); #202729=EDGE_CURVE('',#163924,#163925,#88289,.T.); #202730=EDGE_CURVE('',#163926,#163924,#88290,.T.); #202731=EDGE_CURVE('',#163927,#163925,#88291,.T.); #202732=EDGE_CURVE('',#163926,#163927,#88292,.T.); #202733=EDGE_CURVE('',#163928,#163926,#88293,.T.); #202734=EDGE_CURVE('',#163929,#163927,#88294,.T.); #202735=EDGE_CURVE('',#163928,#163929,#88295,.T.); #202736=EDGE_CURVE('',#163930,#163928,#88296,.T.); #202737=EDGE_CURVE('',#163931,#163929,#88297,.T.); #202738=EDGE_CURVE('',#163930,#163931,#88298,.T.); #202739=EDGE_CURVE('',#163932,#163930,#88299,.T.); #202740=EDGE_CURVE('',#163933,#163931,#88300,.T.); #202741=EDGE_CURVE('',#163932,#163933,#88301,.T.); #202742=EDGE_CURVE('',#163934,#163932,#88302,.T.); #202743=EDGE_CURVE('',#163935,#163933,#88303,.T.); #202744=EDGE_CURVE('',#163934,#163935,#88304,.T.); #202745=EDGE_CURVE('',#163936,#163934,#88305,.T.); #202746=EDGE_CURVE('',#163937,#163935,#88306,.T.); #202747=EDGE_CURVE('',#163936,#163937,#88307,.T.); #202748=EDGE_CURVE('',#163938,#163936,#88308,.T.); #202749=EDGE_CURVE('',#163939,#163937,#88309,.T.); #202750=EDGE_CURVE('',#163938,#163939,#88310,.T.); #202751=EDGE_CURVE('',#163940,#163938,#88311,.T.); #202752=EDGE_CURVE('',#163941,#163939,#88312,.T.); #202753=EDGE_CURVE('',#163940,#163941,#88313,.T.); #202754=EDGE_CURVE('',#163942,#163940,#88314,.T.); #202755=EDGE_CURVE('',#163943,#163941,#88315,.T.); #202756=EDGE_CURVE('',#163942,#163943,#88316,.T.); #202757=EDGE_CURVE('',#163944,#163942,#88317,.T.); #202758=EDGE_CURVE('',#163945,#163943,#88318,.T.); #202759=EDGE_CURVE('',#163944,#163945,#88319,.T.); #202760=EDGE_CURVE('',#163946,#163944,#88320,.T.); #202761=EDGE_CURVE('',#163947,#163945,#88321,.T.); #202762=EDGE_CURVE('',#163946,#163947,#88322,.T.); #202763=EDGE_CURVE('',#163948,#163946,#88323,.T.); #202764=EDGE_CURVE('',#163949,#163947,#88324,.T.); #202765=EDGE_CURVE('',#163948,#163949,#88325,.T.); #202766=EDGE_CURVE('',#163950,#163948,#88326,.T.); #202767=EDGE_CURVE('',#163951,#163949,#88327,.T.); #202768=EDGE_CURVE('',#163950,#163951,#88328,.T.); #202769=EDGE_CURVE('',#163952,#163950,#88329,.T.); #202770=EDGE_CURVE('',#163953,#163951,#88330,.T.); #202771=EDGE_CURVE('',#163952,#163953,#88331,.T.); #202772=EDGE_CURVE('',#163954,#163952,#88332,.T.); #202773=EDGE_CURVE('',#163955,#163953,#88333,.T.); #202774=EDGE_CURVE('',#163954,#163955,#88334,.T.); #202775=EDGE_CURVE('',#163956,#163954,#88335,.T.); #202776=EDGE_CURVE('',#163957,#163955,#88336,.T.); #202777=EDGE_CURVE('',#163956,#163957,#88337,.T.); #202778=EDGE_CURVE('',#163958,#163956,#88338,.T.); #202779=EDGE_CURVE('',#163959,#163957,#88339,.T.); #202780=EDGE_CURVE('',#163958,#163959,#88340,.T.); #202781=EDGE_CURVE('',#163960,#163958,#88341,.T.); #202782=EDGE_CURVE('',#163961,#163959,#88342,.T.); #202783=EDGE_CURVE('',#163960,#163961,#88343,.T.); #202784=EDGE_CURVE('',#163962,#163960,#88344,.T.); #202785=EDGE_CURVE('',#163963,#163961,#88345,.T.); #202786=EDGE_CURVE('',#163962,#163963,#88346,.T.); #202787=EDGE_CURVE('',#163964,#163962,#88347,.T.); #202788=EDGE_CURVE('',#163965,#163963,#88348,.T.); #202789=EDGE_CURVE('',#163964,#163965,#88349,.T.); #202790=EDGE_CURVE('',#163966,#163964,#88350,.T.); #202791=EDGE_CURVE('',#163967,#163965,#88351,.T.); #202792=EDGE_CURVE('',#163966,#163967,#88352,.T.); #202793=EDGE_CURVE('',#163968,#163966,#88353,.T.); #202794=EDGE_CURVE('',#163969,#163967,#88354,.T.); #202795=EDGE_CURVE('',#163968,#163969,#88355,.T.); #202796=EDGE_CURVE('',#163970,#163968,#88356,.T.); #202797=EDGE_CURVE('',#163971,#163969,#88357,.T.); #202798=EDGE_CURVE('',#163970,#163971,#88358,.T.); #202799=EDGE_CURVE('',#163972,#163970,#88359,.T.); #202800=EDGE_CURVE('',#163973,#163971,#88360,.T.); #202801=EDGE_CURVE('',#163972,#163973,#88361,.T.); #202802=EDGE_CURVE('',#163974,#163972,#88362,.T.); #202803=EDGE_CURVE('',#163975,#163973,#88363,.T.); #202804=EDGE_CURVE('',#163974,#163975,#88364,.T.); #202805=EDGE_CURVE('',#163976,#163974,#88365,.T.); #202806=EDGE_CURVE('',#163977,#163975,#88366,.T.); #202807=EDGE_CURVE('',#163976,#163977,#88367,.T.); #202808=EDGE_CURVE('',#163978,#163976,#88368,.T.); #202809=EDGE_CURVE('',#163979,#163977,#88369,.T.); #202810=EDGE_CURVE('',#163978,#163979,#88370,.T.); #202811=EDGE_CURVE('',#163980,#163978,#67817,.T.); #202812=EDGE_CURVE('',#163981,#163979,#67818,.T.); #202813=EDGE_CURVE('',#163980,#163981,#88371,.T.); #202814=EDGE_CURVE('',#163982,#163980,#88372,.T.); #202815=EDGE_CURVE('',#163983,#163981,#88373,.T.); #202816=EDGE_CURVE('',#163982,#163983,#88374,.T.); #202817=EDGE_CURVE('',#163984,#163982,#67819,.T.); #202818=EDGE_CURVE('',#163985,#163983,#67820,.T.); #202819=EDGE_CURVE('',#163984,#163985,#88375,.T.); #202820=EDGE_CURVE('',#163986,#163984,#88376,.T.); #202821=EDGE_CURVE('',#163987,#163985,#88377,.T.); #202822=EDGE_CURVE('',#163986,#163987,#88378,.T.); #202823=EDGE_CURVE('',#163988,#163986,#88379,.T.); #202824=EDGE_CURVE('',#163989,#163987,#88380,.T.); #202825=EDGE_CURVE('',#163988,#163989,#88381,.T.); #202826=EDGE_CURVE('',#163990,#163988,#88382,.T.); #202827=EDGE_CURVE('',#163991,#163989,#88383,.T.); #202828=EDGE_CURVE('',#163990,#163991,#88384,.T.); #202829=EDGE_CURVE('',#163992,#163990,#88385,.T.); #202830=EDGE_CURVE('',#163993,#163991,#88386,.T.); #202831=EDGE_CURVE('',#163992,#163993,#88387,.T.); #202832=EDGE_CURVE('',#163994,#163992,#88388,.T.); #202833=EDGE_CURVE('',#163995,#163993,#88389,.T.); #202834=EDGE_CURVE('',#163994,#163995,#88390,.T.); #202835=EDGE_CURVE('',#163996,#163994,#88391,.T.); #202836=EDGE_CURVE('',#163997,#163995,#88392,.T.); #202837=EDGE_CURVE('',#163996,#163997,#88393,.T.); #202838=EDGE_CURVE('',#163998,#163996,#88394,.T.); #202839=EDGE_CURVE('',#163999,#163997,#88395,.T.); #202840=EDGE_CURVE('',#163998,#163999,#88396,.T.); #202841=EDGE_CURVE('',#164000,#163998,#88397,.T.); #202842=EDGE_CURVE('',#164001,#163999,#88398,.T.); #202843=EDGE_CURVE('',#164000,#164001,#88399,.T.); #202844=EDGE_CURVE('',#164002,#164000,#67821,.T.); #202845=EDGE_CURVE('',#164003,#164001,#67822,.T.); #202846=EDGE_CURVE('',#164002,#164003,#88400,.T.); #202847=EDGE_CURVE('',#164004,#164002,#88401,.T.); #202848=EDGE_CURVE('',#164005,#164003,#88402,.T.); #202849=EDGE_CURVE('',#164004,#164005,#88403,.T.); #202850=EDGE_CURVE('',#164006,#164004,#88404,.T.); #202851=EDGE_CURVE('',#164007,#164005,#88405,.T.); #202852=EDGE_CURVE('',#164006,#164007,#88406,.T.); #202853=EDGE_CURVE('',#164008,#164006,#67823,.T.); #202854=EDGE_CURVE('',#164009,#164007,#67824,.T.); #202855=EDGE_CURVE('',#164008,#164009,#88407,.T.); #202856=EDGE_CURVE('',#164010,#164008,#88408,.T.); #202857=EDGE_CURVE('',#164011,#164009,#88409,.T.); #202858=EDGE_CURVE('',#164010,#164011,#88410,.T.); #202859=EDGE_CURVE('',#164012,#164010,#88411,.T.); #202860=EDGE_CURVE('',#164013,#164011,#88412,.T.); #202861=EDGE_CURVE('',#164012,#164013,#88413,.T.); #202862=EDGE_CURVE('',#164014,#164012,#88414,.T.); #202863=EDGE_CURVE('',#164015,#164013,#88415,.T.); #202864=EDGE_CURVE('',#164014,#164015,#88416,.T.); #202865=EDGE_CURVE('',#164016,#164014,#88417,.T.); #202866=EDGE_CURVE('',#164017,#164015,#88418,.T.); #202867=EDGE_CURVE('',#164016,#164017,#88419,.T.); #202868=EDGE_CURVE('',#164018,#164016,#88420,.T.); #202869=EDGE_CURVE('',#164019,#164017,#88421,.T.); #202870=EDGE_CURVE('',#164018,#164019,#88422,.T.); #202871=EDGE_CURVE('',#164020,#164018,#88423,.T.); #202872=EDGE_CURVE('',#164021,#164019,#88424,.T.); #202873=EDGE_CURVE('',#164020,#164021,#88425,.T.); #202874=EDGE_CURVE('',#164022,#164020,#88426,.T.); #202875=EDGE_CURVE('',#164023,#164021,#88427,.T.); #202876=EDGE_CURVE('',#164022,#164023,#88428,.T.); #202877=EDGE_CURVE('',#164024,#164022,#88429,.T.); #202878=EDGE_CURVE('',#164025,#164023,#88430,.T.); #202879=EDGE_CURVE('',#164024,#164025,#88431,.T.); #202880=EDGE_CURVE('',#164026,#164024,#88432,.T.); #202881=EDGE_CURVE('',#164027,#164025,#88433,.T.); #202882=EDGE_CURVE('',#164026,#164027,#88434,.T.); #202883=EDGE_CURVE('',#164028,#164026,#88435,.T.); #202884=EDGE_CURVE('',#164029,#164027,#88436,.T.); #202885=EDGE_CURVE('',#164028,#164029,#88437,.T.); #202886=EDGE_CURVE('',#164030,#164028,#88438,.T.); #202887=EDGE_CURVE('',#164031,#164029,#88439,.T.); #202888=EDGE_CURVE('',#164030,#164031,#88440,.T.); #202889=EDGE_CURVE('',#164032,#164030,#88441,.T.); #202890=EDGE_CURVE('',#164033,#164031,#88442,.T.); #202891=EDGE_CURVE('',#164032,#164033,#88443,.T.); #202892=EDGE_CURVE('',#164034,#164032,#88444,.T.); #202893=EDGE_CURVE('',#164035,#164033,#88445,.T.); #202894=EDGE_CURVE('',#164034,#164035,#88446,.T.); #202895=EDGE_CURVE('',#164036,#164034,#88447,.T.); #202896=EDGE_CURVE('',#164037,#164035,#88448,.T.); #202897=EDGE_CURVE('',#164036,#164037,#88449,.T.); #202898=EDGE_CURVE('',#164038,#164036,#88450,.T.); #202899=EDGE_CURVE('',#164039,#164037,#88451,.T.); #202900=EDGE_CURVE('',#164038,#164039,#88452,.T.); #202901=EDGE_CURVE('',#164040,#164038,#88453,.T.); #202902=EDGE_CURVE('',#164041,#164039,#88454,.T.); #202903=EDGE_CURVE('',#164040,#164041,#88455,.T.); #202904=EDGE_CURVE('',#164042,#164040,#88456,.T.); #202905=EDGE_CURVE('',#164043,#164041,#88457,.T.); #202906=EDGE_CURVE('',#164042,#164043,#88458,.T.); #202907=EDGE_CURVE('',#164044,#164042,#88459,.T.); #202908=EDGE_CURVE('',#164045,#164043,#88460,.T.); #202909=EDGE_CURVE('',#164044,#164045,#88461,.T.); #202910=EDGE_CURVE('',#164046,#164044,#88462,.T.); #202911=EDGE_CURVE('',#164047,#164045,#88463,.T.); #202912=EDGE_CURVE('',#164046,#164047,#88464,.T.); #202913=EDGE_CURVE('',#164048,#164046,#88465,.T.); #202914=EDGE_CURVE('',#164049,#164047,#88466,.T.); #202915=EDGE_CURVE('',#164048,#164049,#88467,.T.); #202916=EDGE_CURVE('',#164050,#164048,#88468,.T.); #202917=EDGE_CURVE('',#164051,#164049,#88469,.T.); #202918=EDGE_CURVE('',#164050,#164051,#88470,.T.); #202919=EDGE_CURVE('',#164052,#164050,#88471,.T.); #202920=EDGE_CURVE('',#164053,#164051,#88472,.T.); #202921=EDGE_CURVE('',#164052,#164053,#88473,.T.); #202922=EDGE_CURVE('',#164054,#164052,#88474,.T.); #202923=EDGE_CURVE('',#164055,#164053,#88475,.T.); #202924=EDGE_CURVE('',#164054,#164055,#88476,.T.); #202925=EDGE_CURVE('',#164056,#164054,#88477,.T.); #202926=EDGE_CURVE('',#164057,#164055,#88478,.T.); #202927=EDGE_CURVE('',#164056,#164057,#88479,.T.); #202928=EDGE_CURVE('',#164058,#164056,#88480,.T.); #202929=EDGE_CURVE('',#164059,#164057,#88481,.T.); #202930=EDGE_CURVE('',#164058,#164059,#88482,.T.); #202931=EDGE_CURVE('',#164060,#164058,#88483,.T.); #202932=EDGE_CURVE('',#164061,#164059,#88484,.T.); #202933=EDGE_CURVE('',#164060,#164061,#88485,.T.); #202934=EDGE_CURVE('',#164062,#164060,#88486,.T.); #202935=EDGE_CURVE('',#164063,#164061,#88487,.T.); #202936=EDGE_CURVE('',#164062,#164063,#88488,.T.); #202937=EDGE_CURVE('',#164064,#164062,#88489,.T.); #202938=EDGE_CURVE('',#164065,#164063,#88490,.T.); #202939=EDGE_CURVE('',#164064,#164065,#88491,.T.); #202940=EDGE_CURVE('',#164066,#164064,#88492,.T.); #202941=EDGE_CURVE('',#164067,#164065,#88493,.T.); #202942=EDGE_CURVE('',#164066,#164067,#88494,.T.); #202943=EDGE_CURVE('',#164068,#164066,#88495,.T.); #202944=EDGE_CURVE('',#164069,#164067,#88496,.T.); #202945=EDGE_CURVE('',#164068,#164069,#88497,.T.); #202946=EDGE_CURVE('',#164070,#164068,#88498,.T.); #202947=EDGE_CURVE('',#164071,#164069,#88499,.T.); #202948=EDGE_CURVE('',#164070,#164071,#88500,.T.); #202949=EDGE_CURVE('',#164072,#164070,#88501,.T.); #202950=EDGE_CURVE('',#164073,#164071,#88502,.T.); #202951=EDGE_CURVE('',#164072,#164073,#88503,.T.); #202952=EDGE_CURVE('',#164074,#164072,#88504,.T.); #202953=EDGE_CURVE('',#164075,#164073,#88505,.T.); #202954=EDGE_CURVE('',#164074,#164075,#88506,.T.); #202955=EDGE_CURVE('',#164076,#164074,#88507,.T.); #202956=EDGE_CURVE('',#164077,#164075,#88508,.T.); #202957=EDGE_CURVE('',#164076,#164077,#88509,.T.); #202958=EDGE_CURVE('',#164078,#164076,#88510,.T.); #202959=EDGE_CURVE('',#164079,#164077,#88511,.T.); #202960=EDGE_CURVE('',#164078,#164079,#88512,.T.); #202961=EDGE_CURVE('',#164080,#164078,#88513,.T.); #202962=EDGE_CURVE('',#164081,#164079,#88514,.T.); #202963=EDGE_CURVE('',#164080,#164081,#88515,.T.); #202964=EDGE_CURVE('',#164082,#164080,#88516,.T.); #202965=EDGE_CURVE('',#164083,#164081,#88517,.T.); #202966=EDGE_CURVE('',#164082,#164083,#88518,.T.); #202967=EDGE_CURVE('',#164084,#164082,#88519,.T.); #202968=EDGE_CURVE('',#164085,#164083,#88520,.T.); #202969=EDGE_CURVE('',#164084,#164085,#88521,.T.); #202970=EDGE_CURVE('',#164086,#164084,#88522,.T.); #202971=EDGE_CURVE('',#164087,#164085,#88523,.T.); #202972=EDGE_CURVE('',#164086,#164087,#88524,.T.); #202973=EDGE_CURVE('',#164088,#164086,#88525,.T.); #202974=EDGE_CURVE('',#164089,#164087,#88526,.T.); #202975=EDGE_CURVE('',#164088,#164089,#88527,.T.); #202976=EDGE_CURVE('',#164090,#164088,#88528,.T.); #202977=EDGE_CURVE('',#164091,#164089,#88529,.T.); #202978=EDGE_CURVE('',#164090,#164091,#88530,.T.); #202979=EDGE_CURVE('',#164092,#164090,#88531,.T.); #202980=EDGE_CURVE('',#164093,#164091,#88532,.T.); #202981=EDGE_CURVE('',#164092,#164093,#88533,.T.); #202982=EDGE_CURVE('',#164094,#164092,#88534,.T.); #202983=EDGE_CURVE('',#164095,#164093,#88535,.T.); #202984=EDGE_CURVE('',#164094,#164095,#88536,.T.); #202985=EDGE_CURVE('',#164096,#164094,#88537,.T.); #202986=EDGE_CURVE('',#164097,#164095,#88538,.T.); #202987=EDGE_CURVE('',#164096,#164097,#88539,.T.); #202988=EDGE_CURVE('',#164098,#164096,#88540,.T.); #202989=EDGE_CURVE('',#164099,#164097,#88541,.T.); #202990=EDGE_CURVE('',#164098,#164099,#88542,.T.); #202991=EDGE_CURVE('',#164100,#164098,#88543,.T.); #202992=EDGE_CURVE('',#164101,#164099,#88544,.T.); #202993=EDGE_CURVE('',#164100,#164101,#88545,.T.); #202994=EDGE_CURVE('',#164102,#164100,#88546,.T.); #202995=EDGE_CURVE('',#164103,#164101,#88547,.T.); #202996=EDGE_CURVE('',#164102,#164103,#88548,.T.); #202997=EDGE_CURVE('',#164104,#164102,#88549,.T.); #202998=EDGE_CURVE('',#164105,#164103,#88550,.T.); #202999=EDGE_CURVE('',#164104,#164105,#88551,.T.); #203000=EDGE_CURVE('',#164106,#164104,#88552,.T.); #203001=EDGE_CURVE('',#164107,#164105,#88553,.T.); #203002=EDGE_CURVE('',#164106,#164107,#88554,.T.); #203003=EDGE_CURVE('',#164108,#164106,#88555,.T.); #203004=EDGE_CURVE('',#164109,#164107,#88556,.T.); #203005=EDGE_CURVE('',#164108,#164109,#88557,.T.); #203006=EDGE_CURVE('',#164110,#164108,#88558,.T.); #203007=EDGE_CURVE('',#164111,#164109,#88559,.T.); #203008=EDGE_CURVE('',#164110,#164111,#88560,.T.); #203009=EDGE_CURVE('',#164112,#164110,#88561,.T.); #203010=EDGE_CURVE('',#164113,#164111,#88562,.T.); #203011=EDGE_CURVE('',#164112,#164113,#88563,.T.); #203012=EDGE_CURVE('',#164114,#164112,#88564,.T.); #203013=EDGE_CURVE('',#164115,#164113,#88565,.T.); #203014=EDGE_CURVE('',#164114,#164115,#88566,.T.); #203015=EDGE_CURVE('',#164116,#164114,#88567,.T.); #203016=EDGE_CURVE('',#164117,#164115,#88568,.T.); #203017=EDGE_CURVE('',#164116,#164117,#88569,.T.); #203018=EDGE_CURVE('',#164118,#164116,#88570,.T.); #203019=EDGE_CURVE('',#164119,#164117,#88571,.T.); #203020=EDGE_CURVE('',#164118,#164119,#88572,.T.); #203021=EDGE_CURVE('',#164120,#164118,#88573,.T.); #203022=EDGE_CURVE('',#164121,#164119,#88574,.T.); #203023=EDGE_CURVE('',#164120,#164121,#88575,.T.); #203024=EDGE_CURVE('',#164122,#164120,#88576,.T.); #203025=EDGE_CURVE('',#164123,#164121,#88577,.T.); #203026=EDGE_CURVE('',#164122,#164123,#88578,.T.); #203027=EDGE_CURVE('',#164124,#164122,#88579,.T.); #203028=EDGE_CURVE('',#164125,#164123,#88580,.T.); #203029=EDGE_CURVE('',#164124,#164125,#88581,.T.); #203030=EDGE_CURVE('',#164126,#164124,#88582,.T.); #203031=EDGE_CURVE('',#164127,#164125,#88583,.T.); #203032=EDGE_CURVE('',#164126,#164127,#88584,.T.); #203033=EDGE_CURVE('',#164128,#164126,#88585,.T.); #203034=EDGE_CURVE('',#164129,#164127,#88586,.T.); #203035=EDGE_CURVE('',#164128,#164129,#88587,.T.); #203036=EDGE_CURVE('',#164130,#164128,#88588,.T.); #203037=EDGE_CURVE('',#164131,#164129,#88589,.T.); #203038=EDGE_CURVE('',#164130,#164131,#88590,.T.); #203039=EDGE_CURVE('',#164132,#164130,#88591,.T.); #203040=EDGE_CURVE('',#164133,#164131,#88592,.T.); #203041=EDGE_CURVE('',#164132,#164133,#88593,.T.); #203042=EDGE_CURVE('',#164134,#164132,#88594,.T.); #203043=EDGE_CURVE('',#164135,#164133,#88595,.T.); #203044=EDGE_CURVE('',#164134,#164135,#88596,.T.); #203045=EDGE_CURVE('',#164136,#164134,#88597,.T.); #203046=EDGE_CURVE('',#164137,#164135,#88598,.T.); #203047=EDGE_CURVE('',#164136,#164137,#88599,.T.); #203048=EDGE_CURVE('',#164138,#164136,#88600,.T.); #203049=EDGE_CURVE('',#164139,#164137,#88601,.T.); #203050=EDGE_CURVE('',#164138,#164139,#88602,.T.); #203051=EDGE_CURVE('',#164140,#164138,#88603,.T.); #203052=EDGE_CURVE('',#164141,#164139,#88604,.T.); #203053=EDGE_CURVE('',#164140,#164141,#88605,.T.); #203054=EDGE_CURVE('',#164142,#164140,#88606,.T.); #203055=EDGE_CURVE('',#164143,#164141,#88607,.T.); #203056=EDGE_CURVE('',#164142,#164143,#88608,.T.); #203057=EDGE_CURVE('',#164144,#164142,#88609,.T.); #203058=EDGE_CURVE('',#164145,#164143,#88610,.T.); #203059=EDGE_CURVE('',#164144,#164145,#88611,.T.); #203060=EDGE_CURVE('',#164146,#164144,#88612,.T.); #203061=EDGE_CURVE('',#164147,#164145,#88613,.T.); #203062=EDGE_CURVE('',#164146,#164147,#88614,.T.); #203063=EDGE_CURVE('',#164148,#164146,#88615,.T.); #203064=EDGE_CURVE('',#164149,#164147,#88616,.T.); #203065=EDGE_CURVE('',#164148,#164149,#88617,.T.); #203066=EDGE_CURVE('',#164150,#164148,#88618,.T.); #203067=EDGE_CURVE('',#164151,#164149,#88619,.T.); #203068=EDGE_CURVE('',#164150,#164151,#88620,.T.); #203069=EDGE_CURVE('',#164152,#164150,#88621,.T.); #203070=EDGE_CURVE('',#164153,#164151,#88622,.T.); #203071=EDGE_CURVE('',#164152,#164153,#88623,.T.); #203072=EDGE_CURVE('',#164154,#164152,#88624,.T.); #203073=EDGE_CURVE('',#164155,#164153,#88625,.T.); #203074=EDGE_CURVE('',#164154,#164155,#88626,.T.); #203075=EDGE_CURVE('',#164156,#164154,#88627,.T.); #203076=EDGE_CURVE('',#164157,#164155,#88628,.T.); #203077=EDGE_CURVE('',#164156,#164157,#88629,.T.); #203078=EDGE_CURVE('',#164158,#164156,#88630,.T.); #203079=EDGE_CURVE('',#164159,#164157,#88631,.T.); #203080=EDGE_CURVE('',#164158,#164159,#88632,.T.); #203081=EDGE_CURVE('',#164160,#164158,#88633,.T.); #203082=EDGE_CURVE('',#164161,#164159,#88634,.T.); #203083=EDGE_CURVE('',#164160,#164161,#88635,.T.); #203084=EDGE_CURVE('',#164162,#164160,#88636,.T.); #203085=EDGE_CURVE('',#164163,#164161,#88637,.T.); #203086=EDGE_CURVE('',#164162,#164163,#88638,.T.); #203087=EDGE_CURVE('',#164164,#164162,#88639,.T.); #203088=EDGE_CURVE('',#164165,#164163,#88640,.T.); #203089=EDGE_CURVE('',#164164,#164165,#88641,.T.); #203090=EDGE_CURVE('',#164166,#164164,#88642,.T.); #203091=EDGE_CURVE('',#164167,#164165,#88643,.T.); #203092=EDGE_CURVE('',#164166,#164167,#88644,.T.); #203093=EDGE_CURVE('',#164168,#164166,#88645,.T.); #203094=EDGE_CURVE('',#164169,#164167,#88646,.T.); #203095=EDGE_CURVE('',#164168,#164169,#88647,.T.); #203096=EDGE_CURVE('',#164170,#164168,#88648,.T.); #203097=EDGE_CURVE('',#164171,#164169,#88649,.T.); #203098=EDGE_CURVE('',#164170,#164171,#88650,.T.); #203099=EDGE_CURVE('',#164172,#164170,#88651,.T.); #203100=EDGE_CURVE('',#164173,#164171,#88652,.T.); #203101=EDGE_CURVE('',#164172,#164173,#88653,.T.); #203102=EDGE_CURVE('',#164174,#164172,#88654,.T.); #203103=EDGE_CURVE('',#164175,#164173,#88655,.T.); #203104=EDGE_CURVE('',#164174,#164175,#88656,.T.); #203105=EDGE_CURVE('',#163581,#164174,#88657,.T.); #203106=EDGE_CURVE('',#163582,#164175,#88658,.T.); #203107=EDGE_CURVE('',#164176,#164177,#88659,.T.); #203108=EDGE_CURVE('',#164177,#164178,#88660,.T.); #203109=EDGE_CURVE('',#164179,#164178,#88661,.T.); #203110=EDGE_CURVE('',#164176,#164179,#88662,.T.); #203111=EDGE_CURVE('',#164180,#164176,#88663,.T.); #203112=EDGE_CURVE('',#164181,#164179,#88664,.T.); #203113=EDGE_CURVE('',#164180,#164181,#88665,.T.); #203114=EDGE_CURVE('',#164182,#164180,#88666,.T.); #203115=EDGE_CURVE('',#164183,#164181,#88667,.T.); #203116=EDGE_CURVE('',#164182,#164183,#88668,.T.); #203117=EDGE_CURVE('',#164184,#164182,#88669,.T.); #203118=EDGE_CURVE('',#164185,#164183,#88670,.T.); #203119=EDGE_CURVE('',#164184,#164185,#88671,.T.); #203120=EDGE_CURVE('',#164186,#164184,#88672,.T.); #203121=EDGE_CURVE('',#164187,#164185,#88673,.T.); #203122=EDGE_CURVE('',#164186,#164187,#88674,.T.); #203123=EDGE_CURVE('',#164188,#164186,#88675,.T.); #203124=EDGE_CURVE('',#164189,#164187,#88676,.T.); #203125=EDGE_CURVE('',#164188,#164189,#88677,.T.); #203126=EDGE_CURVE('',#164190,#164188,#88678,.T.); #203127=EDGE_CURVE('',#164191,#164189,#88679,.T.); #203128=EDGE_CURVE('',#164190,#164191,#88680,.T.); #203129=EDGE_CURVE('',#164192,#164190,#88681,.T.); #203130=EDGE_CURVE('',#164193,#164191,#88682,.T.); #203131=EDGE_CURVE('',#164192,#164193,#88683,.T.); #203132=EDGE_CURVE('',#164194,#164192,#88684,.T.); #203133=EDGE_CURVE('',#164195,#164193,#88685,.T.); #203134=EDGE_CURVE('',#164194,#164195,#88686,.T.); #203135=EDGE_CURVE('',#164196,#164194,#88687,.T.); #203136=EDGE_CURVE('',#164197,#164195,#88688,.T.); #203137=EDGE_CURVE('',#164196,#164197,#88689,.T.); #203138=EDGE_CURVE('',#164198,#164196,#88690,.T.); #203139=EDGE_CURVE('',#164199,#164197,#88691,.T.); #203140=EDGE_CURVE('',#164198,#164199,#88692,.T.); #203141=EDGE_CURVE('',#164200,#164198,#88693,.T.); #203142=EDGE_CURVE('',#164201,#164199,#88694,.T.); #203143=EDGE_CURVE('',#164200,#164201,#88695,.T.); #203144=EDGE_CURVE('',#164202,#164200,#88696,.T.); #203145=EDGE_CURVE('',#164203,#164201,#88697,.T.); #203146=EDGE_CURVE('',#164202,#164203,#88698,.T.); #203147=EDGE_CURVE('',#164204,#164202,#88699,.T.); #203148=EDGE_CURVE('',#164205,#164203,#88700,.T.); #203149=EDGE_CURVE('',#164204,#164205,#88701,.T.); #203150=EDGE_CURVE('',#164206,#164204,#88702,.T.); #203151=EDGE_CURVE('',#164207,#164205,#88703,.T.); #203152=EDGE_CURVE('',#164206,#164207,#88704,.T.); #203153=EDGE_CURVE('',#164208,#164206,#88705,.T.); #203154=EDGE_CURVE('',#164209,#164207,#88706,.T.); #203155=EDGE_CURVE('',#164208,#164209,#88707,.T.); #203156=EDGE_CURVE('',#164210,#164208,#88708,.T.); #203157=EDGE_CURVE('',#164211,#164209,#88709,.T.); #203158=EDGE_CURVE('',#164210,#164211,#88710,.T.); #203159=EDGE_CURVE('',#164212,#164210,#88711,.T.); #203160=EDGE_CURVE('',#164213,#164211,#88712,.T.); #203161=EDGE_CURVE('',#164212,#164213,#88713,.T.); #203162=EDGE_CURVE('',#164214,#164212,#88714,.T.); #203163=EDGE_CURVE('',#164215,#164213,#88715,.T.); #203164=EDGE_CURVE('',#164214,#164215,#88716,.T.); #203165=EDGE_CURVE('',#164177,#164214,#88717,.T.); #203166=EDGE_CURVE('',#164178,#164215,#88718,.T.); #203167=EDGE_CURVE('',#164216,#164217,#88719,.T.); #203168=EDGE_CURVE('',#164217,#164218,#88720,.T.); #203169=EDGE_CURVE('',#164219,#164218,#88721,.T.); #203170=EDGE_CURVE('',#164216,#164219,#88722,.T.); #203171=EDGE_CURVE('',#164220,#164216,#88723,.T.); #203172=EDGE_CURVE('',#164221,#164219,#88724,.T.); #203173=EDGE_CURVE('',#164220,#164221,#88725,.T.); #203174=EDGE_CURVE('',#164222,#164220,#88726,.T.); #203175=EDGE_CURVE('',#164223,#164221,#88727,.T.); #203176=EDGE_CURVE('',#164222,#164223,#88728,.T.); #203177=EDGE_CURVE('',#164224,#164222,#88729,.T.); #203178=EDGE_CURVE('',#164225,#164223,#88730,.T.); #203179=EDGE_CURVE('',#164224,#164225,#88731,.T.); #203180=EDGE_CURVE('',#164226,#164224,#88732,.T.); #203181=EDGE_CURVE('',#164227,#164225,#88733,.T.); #203182=EDGE_CURVE('',#164226,#164227,#88734,.T.); #203183=EDGE_CURVE('',#164228,#164226,#88735,.T.); #203184=EDGE_CURVE('',#164229,#164227,#88736,.T.); #203185=EDGE_CURVE('',#164228,#164229,#88737,.T.); #203186=EDGE_CURVE('',#164230,#164228,#88738,.T.); #203187=EDGE_CURVE('',#164231,#164229,#88739,.T.); #203188=EDGE_CURVE('',#164230,#164231,#88740,.T.); #203189=EDGE_CURVE('',#164232,#164230,#88741,.T.); #203190=EDGE_CURVE('',#164233,#164231,#88742,.T.); #203191=EDGE_CURVE('',#164232,#164233,#88743,.T.); #203192=EDGE_CURVE('',#164234,#164232,#88744,.T.); #203193=EDGE_CURVE('',#164235,#164233,#88745,.T.); #203194=EDGE_CURVE('',#164234,#164235,#88746,.T.); #203195=EDGE_CURVE('',#164236,#164234,#88747,.T.); #203196=EDGE_CURVE('',#164237,#164235,#88748,.T.); #203197=EDGE_CURVE('',#164236,#164237,#88749,.T.); #203198=EDGE_CURVE('',#164238,#164236,#88750,.T.); #203199=EDGE_CURVE('',#164239,#164237,#88751,.T.); #203200=EDGE_CURVE('',#164238,#164239,#88752,.T.); #203201=EDGE_CURVE('',#164240,#164238,#88753,.T.); #203202=EDGE_CURVE('',#164241,#164239,#88754,.T.); #203203=EDGE_CURVE('',#164240,#164241,#88755,.T.); #203204=EDGE_CURVE('',#164242,#164240,#88756,.T.); #203205=EDGE_CURVE('',#164243,#164241,#88757,.T.); #203206=EDGE_CURVE('',#164242,#164243,#88758,.T.); #203207=EDGE_CURVE('',#164244,#164242,#88759,.T.); #203208=EDGE_CURVE('',#164245,#164243,#88760,.T.); #203209=EDGE_CURVE('',#164244,#164245,#88761,.T.); #203210=EDGE_CURVE('',#164246,#164244,#88762,.T.); #203211=EDGE_CURVE('',#164247,#164245,#88763,.T.); #203212=EDGE_CURVE('',#164246,#164247,#88764,.T.); #203213=EDGE_CURVE('',#164248,#164246,#88765,.T.); #203214=EDGE_CURVE('',#164249,#164247,#88766,.T.); #203215=EDGE_CURVE('',#164248,#164249,#88767,.T.); #203216=EDGE_CURVE('',#164250,#164248,#88768,.T.); #203217=EDGE_CURVE('',#164251,#164249,#88769,.T.); #203218=EDGE_CURVE('',#164250,#164251,#88770,.T.); #203219=EDGE_CURVE('',#164252,#164250,#88771,.T.); #203220=EDGE_CURVE('',#164253,#164251,#88772,.T.); #203221=EDGE_CURVE('',#164252,#164253,#88773,.T.); #203222=EDGE_CURVE('',#164254,#164252,#88774,.T.); #203223=EDGE_CURVE('',#164255,#164253,#88775,.T.); #203224=EDGE_CURVE('',#164254,#164255,#88776,.T.); #203225=EDGE_CURVE('',#164217,#164254,#88777,.T.); #203226=EDGE_CURVE('',#164218,#164255,#88778,.T.); #203227=EDGE_CURVE('',#164256,#164257,#88779,.T.); #203228=EDGE_CURVE('',#164257,#164258,#88780,.T.); #203229=EDGE_CURVE('',#164259,#164258,#88781,.T.); #203230=EDGE_CURVE('',#164256,#164259,#88782,.T.); #203231=EDGE_CURVE('',#164260,#164256,#88783,.T.); #203232=EDGE_CURVE('',#164261,#164259,#88784,.T.); #203233=EDGE_CURVE('',#164260,#164261,#88785,.T.); #203234=EDGE_CURVE('',#164262,#164260,#88786,.T.); #203235=EDGE_CURVE('',#164263,#164261,#88787,.T.); #203236=EDGE_CURVE('',#164262,#164263,#88788,.T.); #203237=EDGE_CURVE('',#164264,#164262,#88789,.T.); #203238=EDGE_CURVE('',#164265,#164263,#88790,.T.); #203239=EDGE_CURVE('',#164264,#164265,#88791,.T.); #203240=EDGE_CURVE('',#164266,#164264,#88792,.T.); #203241=EDGE_CURVE('',#164267,#164265,#88793,.T.); #203242=EDGE_CURVE('',#164266,#164267,#88794,.T.); #203243=EDGE_CURVE('',#164268,#164266,#88795,.T.); #203244=EDGE_CURVE('',#164269,#164267,#88796,.T.); #203245=EDGE_CURVE('',#164268,#164269,#88797,.T.); #203246=EDGE_CURVE('',#164270,#164268,#88798,.T.); #203247=EDGE_CURVE('',#164271,#164269,#88799,.T.); #203248=EDGE_CURVE('',#164270,#164271,#88800,.T.); #203249=EDGE_CURVE('',#164272,#164270,#88801,.T.); #203250=EDGE_CURVE('',#164273,#164271,#88802,.T.); #203251=EDGE_CURVE('',#164272,#164273,#88803,.T.); #203252=EDGE_CURVE('',#164274,#164272,#88804,.T.); #203253=EDGE_CURVE('',#164275,#164273,#88805,.T.); #203254=EDGE_CURVE('',#164274,#164275,#88806,.T.); #203255=EDGE_CURVE('',#164276,#164274,#88807,.T.); #203256=EDGE_CURVE('',#164277,#164275,#88808,.T.); #203257=EDGE_CURVE('',#164276,#164277,#88809,.T.); #203258=EDGE_CURVE('',#164278,#164276,#88810,.T.); #203259=EDGE_CURVE('',#164279,#164277,#88811,.T.); #203260=EDGE_CURVE('',#164278,#164279,#88812,.T.); #203261=EDGE_CURVE('',#164280,#164278,#88813,.T.); #203262=EDGE_CURVE('',#164281,#164279,#88814,.T.); #203263=EDGE_CURVE('',#164280,#164281,#88815,.T.); #203264=EDGE_CURVE('',#164282,#164280,#88816,.T.); #203265=EDGE_CURVE('',#164283,#164281,#88817,.T.); #203266=EDGE_CURVE('',#164282,#164283,#88818,.T.); #203267=EDGE_CURVE('',#164284,#164282,#88819,.T.); #203268=EDGE_CURVE('',#164285,#164283,#88820,.T.); #203269=EDGE_CURVE('',#164284,#164285,#88821,.T.); #203270=EDGE_CURVE('',#164286,#164284,#88822,.T.); #203271=EDGE_CURVE('',#164287,#164285,#88823,.T.); #203272=EDGE_CURVE('',#164286,#164287,#88824,.T.); #203273=EDGE_CURVE('',#164288,#164286,#88825,.T.); #203274=EDGE_CURVE('',#164289,#164287,#88826,.T.); #203275=EDGE_CURVE('',#164288,#164289,#88827,.T.); #203276=EDGE_CURVE('',#164290,#164288,#88828,.T.); #203277=EDGE_CURVE('',#164291,#164289,#88829,.T.); #203278=EDGE_CURVE('',#164290,#164291,#88830,.T.); #203279=EDGE_CURVE('',#164292,#164290,#88831,.T.); #203280=EDGE_CURVE('',#164293,#164291,#88832,.T.); #203281=EDGE_CURVE('',#164292,#164293,#88833,.T.); #203282=EDGE_CURVE('',#164294,#164292,#88834,.T.); #203283=EDGE_CURVE('',#164295,#164293,#88835,.T.); #203284=EDGE_CURVE('',#164294,#164295,#88836,.T.); #203285=EDGE_CURVE('',#164257,#164294,#88837,.T.); #203286=EDGE_CURVE('',#164258,#164295,#88838,.T.); #203287=EDGE_CURVE('',#164296,#164297,#88839,.T.); #203288=EDGE_CURVE('',#164297,#164298,#88840,.T.); #203289=EDGE_CURVE('',#164299,#164298,#88841,.T.); #203290=EDGE_CURVE('',#164296,#164299,#88842,.T.); #203291=EDGE_CURVE('',#164300,#164296,#88843,.T.); #203292=EDGE_CURVE('',#164301,#164299,#88844,.T.); #203293=EDGE_CURVE('',#164300,#164301,#88845,.T.); #203294=EDGE_CURVE('',#164302,#164300,#88846,.T.); #203295=EDGE_CURVE('',#164303,#164301,#88847,.T.); #203296=EDGE_CURVE('',#164302,#164303,#88848,.T.); #203297=EDGE_CURVE('',#164304,#164302,#88849,.T.); #203298=EDGE_CURVE('',#164305,#164303,#88850,.T.); #203299=EDGE_CURVE('',#164304,#164305,#88851,.T.); #203300=EDGE_CURVE('',#164306,#164304,#88852,.T.); #203301=EDGE_CURVE('',#164307,#164305,#88853,.T.); #203302=EDGE_CURVE('',#164306,#164307,#88854,.T.); #203303=EDGE_CURVE('',#164308,#164306,#88855,.T.); #203304=EDGE_CURVE('',#164309,#164307,#88856,.T.); #203305=EDGE_CURVE('',#164308,#164309,#88857,.T.); #203306=EDGE_CURVE('',#164310,#164308,#88858,.T.); #203307=EDGE_CURVE('',#164311,#164309,#88859,.T.); #203308=EDGE_CURVE('',#164310,#164311,#88860,.T.); #203309=EDGE_CURVE('',#164312,#164310,#88861,.T.); #203310=EDGE_CURVE('',#164313,#164311,#88862,.T.); #203311=EDGE_CURVE('',#164312,#164313,#88863,.T.); #203312=EDGE_CURVE('',#164314,#164312,#88864,.T.); #203313=EDGE_CURVE('',#164315,#164313,#88865,.T.); #203314=EDGE_CURVE('',#164314,#164315,#88866,.T.); #203315=EDGE_CURVE('',#164316,#164314,#88867,.T.); #203316=EDGE_CURVE('',#164317,#164315,#88868,.T.); #203317=EDGE_CURVE('',#164316,#164317,#88869,.T.); #203318=EDGE_CURVE('',#164318,#164316,#88870,.T.); #203319=EDGE_CURVE('',#164319,#164317,#88871,.T.); #203320=EDGE_CURVE('',#164318,#164319,#88872,.T.); #203321=EDGE_CURVE('',#164320,#164318,#88873,.T.); #203322=EDGE_CURVE('',#164321,#164319,#88874,.T.); #203323=EDGE_CURVE('',#164320,#164321,#88875,.T.); #203324=EDGE_CURVE('',#164322,#164320,#88876,.T.); #203325=EDGE_CURVE('',#164323,#164321,#88877,.T.); #203326=EDGE_CURVE('',#164322,#164323,#88878,.T.); #203327=EDGE_CURVE('',#164324,#164322,#88879,.T.); #203328=EDGE_CURVE('',#164325,#164323,#88880,.T.); #203329=EDGE_CURVE('',#164324,#164325,#88881,.T.); #203330=EDGE_CURVE('',#164326,#164324,#88882,.T.); #203331=EDGE_CURVE('',#164327,#164325,#88883,.T.); #203332=EDGE_CURVE('',#164326,#164327,#88884,.T.); #203333=EDGE_CURVE('',#164328,#164326,#88885,.T.); #203334=EDGE_CURVE('',#164329,#164327,#88886,.T.); #203335=EDGE_CURVE('',#164328,#164329,#88887,.T.); #203336=EDGE_CURVE('',#164330,#164328,#88888,.T.); #203337=EDGE_CURVE('',#164331,#164329,#88889,.T.); #203338=EDGE_CURVE('',#164330,#164331,#88890,.T.); #203339=EDGE_CURVE('',#164332,#164330,#88891,.T.); #203340=EDGE_CURVE('',#164333,#164331,#88892,.T.); #203341=EDGE_CURVE('',#164332,#164333,#88893,.T.); #203342=EDGE_CURVE('',#164334,#164332,#88894,.T.); #203343=EDGE_CURVE('',#164335,#164333,#88895,.T.); #203344=EDGE_CURVE('',#164334,#164335,#88896,.T.); #203345=EDGE_CURVE('',#164336,#164334,#88897,.T.); #203346=EDGE_CURVE('',#164337,#164335,#88898,.T.); #203347=EDGE_CURVE('',#164336,#164337,#88899,.T.); #203348=EDGE_CURVE('',#164338,#164336,#88900,.T.); #203349=EDGE_CURVE('',#164339,#164337,#88901,.T.); #203350=EDGE_CURVE('',#164338,#164339,#88902,.T.); #203351=EDGE_CURVE('',#164340,#164338,#88903,.T.); #203352=EDGE_CURVE('',#164341,#164339,#88904,.T.); #203353=EDGE_CURVE('',#164340,#164341,#88905,.T.); #203354=EDGE_CURVE('',#164297,#164340,#88906,.T.); #203355=EDGE_CURVE('',#164298,#164341,#88907,.T.); #203356=EDGE_CURVE('',#164342,#164343,#88908,.T.); #203357=EDGE_CURVE('',#164343,#164344,#88909,.T.); #203358=EDGE_CURVE('',#164345,#164344,#88910,.T.); #203359=EDGE_CURVE('',#164342,#164345,#88911,.T.); #203360=EDGE_CURVE('',#164346,#164342,#88912,.T.); #203361=EDGE_CURVE('',#164347,#164345,#88913,.T.); #203362=EDGE_CURVE('',#164346,#164347,#88914,.T.); #203363=EDGE_CURVE('',#164348,#164346,#88915,.T.); #203364=EDGE_CURVE('',#164349,#164347,#88916,.T.); #203365=EDGE_CURVE('',#164348,#164349,#88917,.T.); #203366=EDGE_CURVE('',#164350,#164348,#88918,.T.); #203367=EDGE_CURVE('',#164351,#164349,#88919,.T.); #203368=EDGE_CURVE('',#164350,#164351,#88920,.T.); #203369=EDGE_CURVE('',#164352,#164350,#88921,.T.); #203370=EDGE_CURVE('',#164353,#164351,#88922,.T.); #203371=EDGE_CURVE('',#164352,#164353,#88923,.T.); #203372=EDGE_CURVE('',#164354,#164352,#88924,.T.); #203373=EDGE_CURVE('',#164355,#164353,#88925,.T.); #203374=EDGE_CURVE('',#164354,#164355,#88926,.T.); #203375=EDGE_CURVE('',#164356,#164354,#88927,.T.); #203376=EDGE_CURVE('',#164357,#164355,#88928,.T.); #203377=EDGE_CURVE('',#164356,#164357,#88929,.T.); #203378=EDGE_CURVE('',#164358,#164356,#88930,.T.); #203379=EDGE_CURVE('',#164359,#164357,#88931,.T.); #203380=EDGE_CURVE('',#164358,#164359,#88932,.T.); #203381=EDGE_CURVE('',#164360,#164358,#88933,.T.); #203382=EDGE_CURVE('',#164361,#164359,#88934,.T.); #203383=EDGE_CURVE('',#164360,#164361,#88935,.T.); #203384=EDGE_CURVE('',#164362,#164360,#88936,.T.); #203385=EDGE_CURVE('',#164363,#164361,#88937,.T.); #203386=EDGE_CURVE('',#164362,#164363,#88938,.T.); #203387=EDGE_CURVE('',#164364,#164362,#88939,.T.); #203388=EDGE_CURVE('',#164365,#164363,#88940,.T.); #203389=EDGE_CURVE('',#164364,#164365,#88941,.T.); #203390=EDGE_CURVE('',#164366,#164364,#88942,.T.); #203391=EDGE_CURVE('',#164367,#164365,#88943,.T.); #203392=EDGE_CURVE('',#164366,#164367,#88944,.T.); #203393=EDGE_CURVE('',#164368,#164366,#88945,.T.); #203394=EDGE_CURVE('',#164369,#164367,#88946,.T.); #203395=EDGE_CURVE('',#164368,#164369,#88947,.T.); #203396=EDGE_CURVE('',#164370,#164368,#88948,.T.); #203397=EDGE_CURVE('',#164371,#164369,#88949,.T.); #203398=EDGE_CURVE('',#164370,#164371,#88950,.T.); #203399=EDGE_CURVE('',#164372,#164370,#88951,.T.); #203400=EDGE_CURVE('',#164373,#164371,#88952,.T.); #203401=EDGE_CURVE('',#164372,#164373,#88953,.T.); #203402=EDGE_CURVE('',#164374,#164372,#88954,.T.); #203403=EDGE_CURVE('',#164375,#164373,#88955,.T.); #203404=EDGE_CURVE('',#164374,#164375,#88956,.T.); #203405=EDGE_CURVE('',#164376,#164374,#88957,.T.); #203406=EDGE_CURVE('',#164377,#164375,#88958,.T.); #203407=EDGE_CURVE('',#164376,#164377,#88959,.T.); #203408=EDGE_CURVE('',#164378,#164376,#88960,.T.); #203409=EDGE_CURVE('',#164379,#164377,#88961,.T.); #203410=EDGE_CURVE('',#164378,#164379,#88962,.T.); #203411=EDGE_CURVE('',#164380,#164378,#88963,.T.); #203412=EDGE_CURVE('',#164381,#164379,#88964,.T.); #203413=EDGE_CURVE('',#164380,#164381,#88965,.T.); #203414=EDGE_CURVE('',#164343,#164380,#88966,.T.); #203415=EDGE_CURVE('',#164344,#164381,#88967,.T.); #203416=EDGE_CURVE('',#164382,#164383,#88968,.T.); #203417=EDGE_CURVE('',#164383,#164384,#88969,.T.); #203418=EDGE_CURVE('',#164385,#164384,#88970,.T.); #203419=EDGE_CURVE('',#164382,#164385,#88971,.T.); #203420=EDGE_CURVE('',#164386,#164382,#88972,.T.); #203421=EDGE_CURVE('',#164387,#164385,#88973,.T.); #203422=EDGE_CURVE('',#164386,#164387,#88974,.T.); #203423=EDGE_CURVE('',#164388,#164386,#88975,.T.); #203424=EDGE_CURVE('',#164389,#164387,#88976,.T.); #203425=EDGE_CURVE('',#164388,#164389,#88977,.T.); #203426=EDGE_CURVE('',#164390,#164388,#88978,.T.); #203427=EDGE_CURVE('',#164391,#164389,#88979,.T.); #203428=EDGE_CURVE('',#164390,#164391,#88980,.T.); #203429=EDGE_CURVE('',#164392,#164390,#88981,.T.); #203430=EDGE_CURVE('',#164393,#164391,#88982,.T.); #203431=EDGE_CURVE('',#164392,#164393,#88983,.T.); #203432=EDGE_CURVE('',#164394,#164392,#88984,.T.); #203433=EDGE_CURVE('',#164395,#164393,#88985,.T.); #203434=EDGE_CURVE('',#164394,#164395,#88986,.T.); #203435=EDGE_CURVE('',#164396,#164394,#88987,.T.); #203436=EDGE_CURVE('',#164397,#164395,#88988,.T.); #203437=EDGE_CURVE('',#164396,#164397,#88989,.T.); #203438=EDGE_CURVE('',#164398,#164396,#88990,.T.); #203439=EDGE_CURVE('',#164399,#164397,#88991,.T.); #203440=EDGE_CURVE('',#164398,#164399,#88992,.T.); #203441=EDGE_CURVE('',#164400,#164398,#88993,.T.); #203442=EDGE_CURVE('',#164401,#164399,#88994,.T.); #203443=EDGE_CURVE('',#164400,#164401,#88995,.T.); #203444=EDGE_CURVE('',#164402,#164400,#88996,.T.); #203445=EDGE_CURVE('',#164403,#164401,#88997,.T.); #203446=EDGE_CURVE('',#164402,#164403,#88998,.T.); #203447=EDGE_CURVE('',#164404,#164402,#88999,.T.); #203448=EDGE_CURVE('',#164405,#164403,#89000,.T.); #203449=EDGE_CURVE('',#164404,#164405,#89001,.T.); #203450=EDGE_CURVE('',#164406,#164404,#89002,.T.); #203451=EDGE_CURVE('',#164407,#164405,#89003,.T.); #203452=EDGE_CURVE('',#164406,#164407,#89004,.T.); #203453=EDGE_CURVE('',#164408,#164406,#89005,.T.); #203454=EDGE_CURVE('',#164409,#164407,#89006,.T.); #203455=EDGE_CURVE('',#164408,#164409,#89007,.T.); #203456=EDGE_CURVE('',#164410,#164408,#89008,.T.); #203457=EDGE_CURVE('',#164411,#164409,#89009,.T.); #203458=EDGE_CURVE('',#164410,#164411,#89010,.T.); #203459=EDGE_CURVE('',#164412,#164410,#89011,.T.); #203460=EDGE_CURVE('',#164413,#164411,#89012,.T.); #203461=EDGE_CURVE('',#164412,#164413,#89013,.T.); #203462=EDGE_CURVE('',#164414,#164412,#89014,.T.); #203463=EDGE_CURVE('',#164415,#164413,#89015,.T.); #203464=EDGE_CURVE('',#164414,#164415,#89016,.T.); #203465=EDGE_CURVE('',#164416,#164414,#89017,.T.); #203466=EDGE_CURVE('',#164417,#164415,#89018,.T.); #203467=EDGE_CURVE('',#164416,#164417,#89019,.T.); #203468=EDGE_CURVE('',#164418,#164416,#89020,.T.); #203469=EDGE_CURVE('',#164419,#164417,#89021,.T.); #203470=EDGE_CURVE('',#164418,#164419,#89022,.T.); #203471=EDGE_CURVE('',#164420,#164418,#89023,.T.); #203472=EDGE_CURVE('',#164421,#164419,#89024,.T.); #203473=EDGE_CURVE('',#164420,#164421,#89025,.T.); #203474=EDGE_CURVE('',#164422,#164420,#89026,.T.); #203475=EDGE_CURVE('',#164423,#164421,#89027,.T.); #203476=EDGE_CURVE('',#164422,#164423,#89028,.T.); #203477=EDGE_CURVE('',#164383,#164422,#89029,.T.); #203478=EDGE_CURVE('',#164384,#164423,#89030,.T.); #203479=EDGE_CURVE('',#164424,#164424,#67825,.T.); #203480=EDGE_CURVE('',#164424,#164425,#89031,.T.); #203481=EDGE_CURVE('',#164425,#164425,#67826,.T.); #203482=EDGE_CURVE('',#164426,#164427,#89032,.T.); #203483=EDGE_CURVE('',#164427,#164428,#89033,.T.); #203484=EDGE_CURVE('',#164429,#164428,#89034,.T.); #203485=EDGE_CURVE('',#164426,#164429,#89035,.T.); #203486=EDGE_CURVE('',#164430,#164426,#67827,.T.); #203487=EDGE_CURVE('',#164431,#164429,#67828,.T.); #203488=EDGE_CURVE('',#164430,#164431,#89036,.T.); #203489=EDGE_CURVE('',#164427,#164430,#67829,.T.); #203490=EDGE_CURVE('',#164428,#164431,#67830,.T.); #203491=EDGE_CURVE('',#164432,#164432,#67831,.T.); #203492=EDGE_CURVE('',#164432,#164433,#89037,.T.); #203493=EDGE_CURVE('',#164433,#164433,#67832,.T.); #203494=EDGE_CURVE('',#164434,#164435,#89038,.T.); #203495=EDGE_CURVE('',#164435,#164436,#89039,.T.); #203496=EDGE_CURVE('',#164437,#164436,#89040,.T.); #203497=EDGE_CURVE('',#164434,#164437,#89041,.T.); #203498=EDGE_CURVE('',#164438,#164434,#67833,.T.); #203499=EDGE_CURVE('',#164439,#164437,#67834,.T.); #203500=EDGE_CURVE('',#164438,#164439,#89042,.T.); #203501=EDGE_CURVE('',#164435,#164438,#67835,.T.); #203502=EDGE_CURVE('',#164436,#164439,#67836,.T.); #203503=EDGE_CURVE('',#164440,#164440,#67837,.T.); #203504=EDGE_CURVE('',#164440,#164441,#89043,.T.); #203505=EDGE_CURVE('',#164441,#164441,#67838,.T.); #203506=EDGE_CURVE('',#164442,#164443,#89044,.T.); #203507=EDGE_CURVE('',#164443,#164444,#89045,.T.); #203508=EDGE_CURVE('',#164445,#164444,#89046,.T.); #203509=EDGE_CURVE('',#164442,#164445,#89047,.T.); #203510=EDGE_CURVE('',#164446,#164442,#67839,.T.); #203511=EDGE_CURVE('',#164447,#164445,#67840,.T.); #203512=EDGE_CURVE('',#164446,#164447,#89048,.T.); #203513=EDGE_CURVE('',#164443,#164446,#67841,.T.); #203514=EDGE_CURVE('',#164444,#164447,#67842,.T.); #203515=EDGE_CURVE('',#164448,#164448,#67843,.T.); #203516=EDGE_CURVE('',#164448,#164449,#89049,.T.); #203517=EDGE_CURVE('',#164449,#164449,#67844,.T.); #203518=EDGE_CURVE('',#164450,#164451,#89050,.T.); #203519=EDGE_CURVE('',#164451,#164452,#89051,.T.); #203520=EDGE_CURVE('',#164453,#164452,#89052,.T.); #203521=EDGE_CURVE('',#164450,#164453,#89053,.T.); #203522=EDGE_CURVE('',#164454,#164450,#67845,.T.); #203523=EDGE_CURVE('',#164455,#164453,#67846,.T.); #203524=EDGE_CURVE('',#164454,#164455,#89054,.T.); #203525=EDGE_CURVE('',#164451,#164454,#67847,.T.); #203526=EDGE_CURVE('',#164452,#164455,#67848,.T.); #203527=EDGE_CURVE('',#164456,#164456,#67849,.T.); #203528=EDGE_CURVE('',#164456,#164457,#89055,.T.); #203529=EDGE_CURVE('',#164457,#164457,#67850,.T.); #203530=EDGE_CURVE('',#164458,#164459,#89056,.T.); #203531=EDGE_CURVE('',#164459,#164460,#89057,.T.); #203532=EDGE_CURVE('',#164461,#164460,#89058,.T.); #203533=EDGE_CURVE('',#164458,#164461,#89059,.T.); #203534=EDGE_CURVE('',#164462,#164458,#89060,.T.); #203535=EDGE_CURVE('',#164463,#164461,#89061,.T.); #203536=EDGE_CURVE('',#164462,#164463,#89062,.T.); #203537=EDGE_CURVE('',#164464,#164462,#89063,.T.); #203538=EDGE_CURVE('',#164465,#164463,#89064,.T.); #203539=EDGE_CURVE('',#164464,#164465,#89065,.T.); #203540=EDGE_CURVE('',#164459,#164464,#89066,.T.); #203541=EDGE_CURVE('',#164460,#164465,#89067,.T.); #203542=EDGE_CURVE('',#164466,#164466,#67851,.T.); #203543=EDGE_CURVE('',#164466,#164467,#89068,.T.); #203544=EDGE_CURVE('',#164467,#164467,#67852,.T.); #203545=EDGE_CURVE('',#164468,#164469,#89069,.T.); #203546=EDGE_CURVE('',#164469,#164470,#89070,.T.); #203547=EDGE_CURVE('',#164471,#164470,#89071,.T.); #203548=EDGE_CURVE('',#164468,#164471,#89072,.T.); #203549=EDGE_CURVE('',#164472,#164468,#67853,.T.); #203550=EDGE_CURVE('',#164473,#164471,#67854,.T.); #203551=EDGE_CURVE('',#164472,#164473,#89073,.T.); #203552=EDGE_CURVE('',#164469,#164472,#67855,.T.); #203553=EDGE_CURVE('',#164470,#164473,#67856,.T.); #203554=EDGE_CURVE('',#164474,#164474,#67857,.T.); #203555=EDGE_CURVE('',#164474,#164475,#89074,.T.); #203556=EDGE_CURVE('',#164475,#164475,#67858,.T.); #203557=EDGE_CURVE('',#164476,#164477,#89075,.T.); #203558=EDGE_CURVE('',#164477,#164478,#89076,.T.); #203559=EDGE_CURVE('',#164479,#164478,#89077,.T.); #203560=EDGE_CURVE('',#164476,#164479,#89078,.T.); #203561=EDGE_CURVE('',#164480,#164476,#67859,.T.); #203562=EDGE_CURVE('',#164481,#164479,#67860,.T.); #203563=EDGE_CURVE('',#164480,#164481,#89079,.T.); #203564=EDGE_CURVE('',#164477,#164480,#67861,.T.); #203565=EDGE_CURVE('',#164478,#164481,#67862,.T.); #203566=EDGE_CURVE('',#164482,#164482,#67863,.T.); #203567=EDGE_CURVE('',#164482,#164483,#89080,.T.); #203568=EDGE_CURVE('',#164483,#164483,#67864,.T.); #203569=EDGE_CURVE('',#164484,#164485,#89081,.T.); #203570=EDGE_CURVE('',#164485,#164486,#89082,.T.); #203571=EDGE_CURVE('',#164487,#164486,#89083,.T.); #203572=EDGE_CURVE('',#164484,#164487,#89084,.T.); #203573=EDGE_CURVE('',#164488,#164484,#67865,.T.); #203574=EDGE_CURVE('',#164489,#164487,#67866,.T.); #203575=EDGE_CURVE('',#164488,#164489,#89085,.T.); #203576=EDGE_CURVE('',#164485,#164488,#67867,.T.); #203577=EDGE_CURVE('',#164486,#164489,#67868,.T.); #203578=EDGE_CURVE('',#164490,#164490,#67869,.T.); #203579=EDGE_CURVE('',#164490,#164491,#89086,.T.); #203580=EDGE_CURVE('',#164491,#164491,#67870,.T.); #203581=EDGE_CURVE('',#164492,#164493,#89087,.T.); #203582=EDGE_CURVE('',#164493,#164494,#89088,.T.); #203583=EDGE_CURVE('',#164495,#164494,#89089,.T.); #203584=EDGE_CURVE('',#164492,#164495,#89090,.T.); #203585=EDGE_CURVE('',#164496,#164492,#67871,.T.); #203586=EDGE_CURVE('',#164497,#164495,#67872,.T.); #203587=EDGE_CURVE('',#164496,#164497,#89091,.T.); #203588=EDGE_CURVE('',#164493,#164496,#67873,.T.); #203589=EDGE_CURVE('',#164494,#164497,#67874,.T.); #203590=EDGE_CURVE('',#164498,#164499,#89092,.T.); #203591=EDGE_CURVE('',#164500,#164498,#89093,.T.); #203592=EDGE_CURVE('',#164501,#164500,#89094,.T.); #203593=EDGE_CURVE('',#164501,#164499,#89095,.T.); #203594=EDGE_CURVE('',#164499,#164502,#67875,.T.); #203595=EDGE_CURVE('',#164503,#164501,#67876,.T.); #203596=EDGE_CURVE('',#164503,#164502,#89096,.T.); #203597=EDGE_CURVE('',#164502,#164504,#89097,.T.); #203598=EDGE_CURVE('',#164505,#164503,#89098,.T.); #203599=EDGE_CURVE('',#164505,#164504,#89099,.T.); #203600=EDGE_CURVE('',#164504,#164498,#67877,.T.); #203601=EDGE_CURVE('',#164500,#164505,#67878,.T.); #203602=EDGE_CURVE('',#164506,#164507,#89100,.T.); #203603=EDGE_CURVE('',#164507,#164508,#89101,.T.); #203604=EDGE_CURVE('',#164509,#164508,#89102,.T.); #203605=EDGE_CURVE('',#164506,#164509,#89103,.T.); #203606=EDGE_CURVE('',#164510,#164506,#89104,.T.); #203607=EDGE_CURVE('',#164511,#164509,#89105,.T.); #203608=EDGE_CURVE('',#164510,#164511,#89106,.T.); #203609=EDGE_CURVE('',#164512,#164510,#89107,.T.); #203610=EDGE_CURVE('',#164513,#164511,#89108,.T.); #203611=EDGE_CURVE('',#164512,#164513,#89109,.T.); #203612=EDGE_CURVE('',#164514,#164512,#89110,.T.); #203613=EDGE_CURVE('',#164515,#164513,#89111,.T.); #203614=EDGE_CURVE('',#164514,#164515,#89112,.T.); #203615=EDGE_CURVE('',#164516,#164514,#89113,.T.); #203616=EDGE_CURVE('',#164517,#164515,#89114,.T.); #203617=EDGE_CURVE('',#164516,#164517,#89115,.T.); #203618=EDGE_CURVE('',#164518,#164516,#89116,.T.); #203619=EDGE_CURVE('',#164519,#164517,#89117,.T.); #203620=EDGE_CURVE('',#164518,#164519,#89118,.T.); #203621=EDGE_CURVE('',#164520,#164518,#89119,.T.); #203622=EDGE_CURVE('',#164521,#164519,#89120,.T.); #203623=EDGE_CURVE('',#164520,#164521,#89121,.T.); #203624=EDGE_CURVE('',#164522,#164520,#89122,.T.); #203625=EDGE_CURVE('',#164523,#164521,#89123,.T.); #203626=EDGE_CURVE('',#164522,#164523,#89124,.T.); #203627=EDGE_CURVE('',#164524,#164522,#89125,.T.); #203628=EDGE_CURVE('',#164525,#164523,#89126,.T.); #203629=EDGE_CURVE('',#164524,#164525,#89127,.T.); #203630=EDGE_CURVE('',#164526,#164524,#89128,.T.); #203631=EDGE_CURVE('',#164527,#164525,#89129,.T.); #203632=EDGE_CURVE('',#164526,#164527,#89130,.T.); #203633=EDGE_CURVE('',#164528,#164526,#89131,.T.); #203634=EDGE_CURVE('',#164529,#164527,#89132,.T.); #203635=EDGE_CURVE('',#164528,#164529,#89133,.T.); #203636=EDGE_CURVE('',#164530,#164528,#89134,.T.); #203637=EDGE_CURVE('',#164531,#164529,#89135,.T.); #203638=EDGE_CURVE('',#164530,#164531,#89136,.T.); #203639=EDGE_CURVE('',#164532,#164530,#89137,.T.); #203640=EDGE_CURVE('',#164533,#164531,#89138,.T.); #203641=EDGE_CURVE('',#164532,#164533,#89139,.T.); #203642=EDGE_CURVE('',#164534,#164532,#89140,.T.); #203643=EDGE_CURVE('',#164535,#164533,#89141,.T.); #203644=EDGE_CURVE('',#164534,#164535,#89142,.T.); #203645=EDGE_CURVE('',#164536,#164534,#89143,.T.); #203646=EDGE_CURVE('',#164537,#164535,#89144,.T.); #203647=EDGE_CURVE('',#164536,#164537,#89145,.T.); #203648=EDGE_CURVE('',#164538,#164536,#89146,.T.); #203649=EDGE_CURVE('',#164539,#164537,#89147,.T.); #203650=EDGE_CURVE('',#164538,#164539,#89148,.T.); #203651=EDGE_CURVE('',#164540,#164538,#89149,.T.); #203652=EDGE_CURVE('',#164541,#164539,#89150,.T.); #203653=EDGE_CURVE('',#164540,#164541,#89151,.T.); #203654=EDGE_CURVE('',#164542,#164540,#89152,.T.); #203655=EDGE_CURVE('',#164543,#164541,#89153,.T.); #203656=EDGE_CURVE('',#164542,#164543,#89154,.T.); #203657=EDGE_CURVE('',#164544,#164542,#89155,.T.); #203658=EDGE_CURVE('',#164545,#164543,#89156,.T.); #203659=EDGE_CURVE('',#164544,#164545,#89157,.T.); #203660=EDGE_CURVE('',#164546,#164544,#89158,.T.); #203661=EDGE_CURVE('',#164547,#164545,#89159,.T.); #203662=EDGE_CURVE('',#164546,#164547,#89160,.T.); #203663=EDGE_CURVE('',#164548,#164546,#89161,.T.); #203664=EDGE_CURVE('',#164549,#164547,#89162,.T.); #203665=EDGE_CURVE('',#164548,#164549,#89163,.T.); #203666=EDGE_CURVE('',#164550,#164548,#89164,.T.); #203667=EDGE_CURVE('',#164551,#164549,#89165,.T.); #203668=EDGE_CURVE('',#164550,#164551,#89166,.T.); #203669=EDGE_CURVE('',#164552,#164550,#89167,.T.); #203670=EDGE_CURVE('',#164553,#164551,#89168,.T.); #203671=EDGE_CURVE('',#164552,#164553,#89169,.T.); #203672=EDGE_CURVE('',#164554,#164552,#89170,.T.); #203673=EDGE_CURVE('',#164555,#164553,#89171,.T.); #203674=EDGE_CURVE('',#164554,#164555,#89172,.T.); #203675=EDGE_CURVE('',#164556,#164554,#89173,.T.); #203676=EDGE_CURVE('',#164557,#164555,#89174,.T.); #203677=EDGE_CURVE('',#164556,#164557,#89175,.T.); #203678=EDGE_CURVE('',#164558,#164556,#89176,.T.); #203679=EDGE_CURVE('',#164559,#164557,#89177,.T.); #203680=EDGE_CURVE('',#164558,#164559,#89178,.T.); #203681=EDGE_CURVE('',#164560,#164558,#89179,.T.); #203682=EDGE_CURVE('',#164561,#164559,#89180,.T.); #203683=EDGE_CURVE('',#164560,#164561,#89181,.T.); #203684=EDGE_CURVE('',#164562,#164560,#89182,.T.); #203685=EDGE_CURVE('',#164563,#164561,#89183,.T.); #203686=EDGE_CURVE('',#164562,#164563,#89184,.T.); #203687=EDGE_CURVE('',#164564,#164562,#89185,.T.); #203688=EDGE_CURVE('',#164565,#164563,#89186,.T.); #203689=EDGE_CURVE('',#164564,#164565,#89187,.T.); #203690=EDGE_CURVE('',#164566,#164564,#89188,.T.); #203691=EDGE_CURVE('',#164567,#164565,#89189,.T.); #203692=EDGE_CURVE('',#164566,#164567,#89190,.T.); #203693=EDGE_CURVE('',#164568,#164566,#89191,.T.); #203694=EDGE_CURVE('',#164569,#164567,#89192,.T.); #203695=EDGE_CURVE('',#164568,#164569,#89193,.T.); #203696=EDGE_CURVE('',#164570,#164568,#89194,.T.); #203697=EDGE_CURVE('',#164571,#164569,#89195,.T.); #203698=EDGE_CURVE('',#164570,#164571,#89196,.T.); #203699=EDGE_CURVE('',#164572,#164570,#89197,.T.); #203700=EDGE_CURVE('',#164573,#164571,#89198,.T.); #203701=EDGE_CURVE('',#164572,#164573,#89199,.T.); #203702=EDGE_CURVE('',#164574,#164572,#89200,.T.); #203703=EDGE_CURVE('',#164575,#164573,#89201,.T.); #203704=EDGE_CURVE('',#164574,#164575,#89202,.T.); #203705=EDGE_CURVE('',#164576,#164574,#89203,.T.); #203706=EDGE_CURVE('',#164577,#164575,#89204,.T.); #203707=EDGE_CURVE('',#164576,#164577,#89205,.T.); #203708=EDGE_CURVE('',#164578,#164576,#89206,.T.); #203709=EDGE_CURVE('',#164579,#164577,#89207,.T.); #203710=EDGE_CURVE('',#164578,#164579,#89208,.T.); #203711=EDGE_CURVE('',#164580,#164578,#89209,.T.); #203712=EDGE_CURVE('',#164581,#164579,#89210,.T.); #203713=EDGE_CURVE('',#164580,#164581,#89211,.T.); #203714=EDGE_CURVE('',#164582,#164580,#89212,.T.); #203715=EDGE_CURVE('',#164583,#164581,#89213,.T.); #203716=EDGE_CURVE('',#164582,#164583,#89214,.T.); #203717=EDGE_CURVE('',#164584,#164582,#89215,.T.); #203718=EDGE_CURVE('',#164585,#164583,#89216,.T.); #203719=EDGE_CURVE('',#164584,#164585,#89217,.T.); #203720=EDGE_CURVE('',#164586,#164584,#89218,.T.); #203721=EDGE_CURVE('',#164587,#164585,#89219,.T.); #203722=EDGE_CURVE('',#164586,#164587,#89220,.T.); #203723=EDGE_CURVE('',#164588,#164586,#89221,.T.); #203724=EDGE_CURVE('',#164589,#164587,#89222,.T.); #203725=EDGE_CURVE('',#164588,#164589,#89223,.T.); #203726=EDGE_CURVE('',#164590,#164588,#89224,.T.); #203727=EDGE_CURVE('',#164591,#164589,#89225,.T.); #203728=EDGE_CURVE('',#164590,#164591,#89226,.T.); #203729=EDGE_CURVE('',#164592,#164590,#89227,.T.); #203730=EDGE_CURVE('',#164593,#164591,#89228,.T.); #203731=EDGE_CURVE('',#164592,#164593,#89229,.T.); #203732=EDGE_CURVE('',#164594,#164592,#89230,.T.); #203733=EDGE_CURVE('',#164595,#164593,#89231,.T.); #203734=EDGE_CURVE('',#164594,#164595,#89232,.T.); #203735=EDGE_CURVE('',#164596,#164594,#89233,.T.); #203736=EDGE_CURVE('',#164597,#164595,#89234,.T.); #203737=EDGE_CURVE('',#164596,#164597,#89235,.T.); #203738=EDGE_CURVE('',#164598,#164596,#89236,.T.); #203739=EDGE_CURVE('',#164599,#164597,#89237,.T.); #203740=EDGE_CURVE('',#164598,#164599,#89238,.T.); #203741=EDGE_CURVE('',#164600,#164598,#89239,.T.); #203742=EDGE_CURVE('',#164601,#164599,#89240,.T.); #203743=EDGE_CURVE('',#164600,#164601,#89241,.T.); #203744=EDGE_CURVE('',#164602,#164600,#89242,.T.); #203745=EDGE_CURVE('',#164603,#164601,#89243,.T.); #203746=EDGE_CURVE('',#164602,#164603,#89244,.T.); #203747=EDGE_CURVE('',#164604,#164602,#89245,.T.); #203748=EDGE_CURVE('',#164605,#164603,#89246,.T.); #203749=EDGE_CURVE('',#164604,#164605,#89247,.T.); #203750=EDGE_CURVE('',#164606,#164604,#89248,.T.); #203751=EDGE_CURVE('',#164607,#164605,#89249,.T.); #203752=EDGE_CURVE('',#164606,#164607,#89250,.T.); #203753=EDGE_CURVE('',#164608,#164606,#89251,.T.); #203754=EDGE_CURVE('',#164609,#164607,#89252,.T.); #203755=EDGE_CURVE('',#164608,#164609,#89253,.T.); #203756=EDGE_CURVE('',#164610,#164608,#89254,.T.); #203757=EDGE_CURVE('',#164611,#164609,#89255,.T.); #203758=EDGE_CURVE('',#164610,#164611,#89256,.T.); #203759=EDGE_CURVE('',#164612,#164610,#89257,.T.); #203760=EDGE_CURVE('',#164613,#164611,#89258,.T.); #203761=EDGE_CURVE('',#164612,#164613,#89259,.T.); #203762=EDGE_CURVE('',#164614,#164612,#89260,.T.); #203763=EDGE_CURVE('',#164615,#164613,#89261,.T.); #203764=EDGE_CURVE('',#164614,#164615,#89262,.T.); #203765=EDGE_CURVE('',#164616,#164614,#89263,.T.); #203766=EDGE_CURVE('',#164617,#164615,#89264,.T.); #203767=EDGE_CURVE('',#164616,#164617,#89265,.T.); #203768=EDGE_CURVE('',#164507,#164616,#89266,.T.); #203769=EDGE_CURVE('',#164508,#164617,#89267,.T.); #203770=EDGE_CURVE('',#164618,#164619,#89268,.T.); #203771=EDGE_CURVE('',#164620,#164618,#89269,.T.); #203772=EDGE_CURVE('',#164621,#164620,#89270,.T.); #203773=EDGE_CURVE('',#164621,#164619,#89271,.T.); #203774=EDGE_CURVE('',#164619,#164622,#67879,.T.); #203775=EDGE_CURVE('',#164623,#164621,#67880,.T.); #203776=EDGE_CURVE('',#164623,#164622,#89272,.T.); #203777=EDGE_CURVE('',#164622,#164624,#89273,.T.); #203778=EDGE_CURVE('',#164625,#164623,#89274,.T.); #203779=EDGE_CURVE('',#164625,#164624,#89275,.T.); #203780=EDGE_CURVE('',#164624,#164618,#67881,.T.); #203781=EDGE_CURVE('',#164620,#164625,#67882,.T.); #203782=EDGE_CURVE('',#164626,#164627,#89276,.T.); #203783=EDGE_CURVE('',#164627,#164628,#89277,.T.); #203784=EDGE_CURVE('',#164629,#164628,#89278,.T.); #203785=EDGE_CURVE('',#164626,#164629,#89279,.T.); #203786=EDGE_CURVE('',#164630,#164626,#89280,.T.); #203787=EDGE_CURVE('',#164631,#164629,#89281,.T.); #203788=EDGE_CURVE('',#164630,#164631,#89282,.T.); #203789=EDGE_CURVE('',#164632,#164630,#89283,.T.); #203790=EDGE_CURVE('',#164633,#164631,#89284,.T.); #203791=EDGE_CURVE('',#164632,#164633,#89285,.T.); #203792=EDGE_CURVE('',#164634,#164632,#89286,.T.); #203793=EDGE_CURVE('',#164635,#164633,#89287,.T.); #203794=EDGE_CURVE('',#164634,#164635,#89288,.T.); #203795=EDGE_CURVE('',#164636,#164634,#89289,.T.); #203796=EDGE_CURVE('',#164637,#164635,#89290,.T.); #203797=EDGE_CURVE('',#164636,#164637,#89291,.T.); #203798=EDGE_CURVE('',#164638,#164636,#89292,.T.); #203799=EDGE_CURVE('',#164639,#164637,#89293,.T.); #203800=EDGE_CURVE('',#164638,#164639,#89294,.T.); #203801=EDGE_CURVE('',#164640,#164638,#89295,.T.); #203802=EDGE_CURVE('',#164641,#164639,#89296,.T.); #203803=EDGE_CURVE('',#164640,#164641,#89297,.T.); #203804=EDGE_CURVE('',#164642,#164640,#89298,.T.); #203805=EDGE_CURVE('',#164643,#164641,#89299,.T.); #203806=EDGE_CURVE('',#164642,#164643,#89300,.T.); #203807=EDGE_CURVE('',#164644,#164642,#89301,.T.); #203808=EDGE_CURVE('',#164645,#164643,#89302,.T.); #203809=EDGE_CURVE('',#164644,#164645,#89303,.T.); #203810=EDGE_CURVE('',#164646,#164644,#89304,.T.); #203811=EDGE_CURVE('',#164647,#164645,#89305,.T.); #203812=EDGE_CURVE('',#164646,#164647,#89306,.T.); #203813=EDGE_CURVE('',#164648,#164646,#89307,.T.); #203814=EDGE_CURVE('',#164649,#164647,#89308,.T.); #203815=EDGE_CURVE('',#164648,#164649,#89309,.T.); #203816=EDGE_CURVE('',#164650,#164648,#89310,.T.); #203817=EDGE_CURVE('',#164651,#164649,#89311,.T.); #203818=EDGE_CURVE('',#164650,#164651,#89312,.T.); #203819=EDGE_CURVE('',#164652,#164650,#89313,.T.); #203820=EDGE_CURVE('',#164653,#164651,#89314,.T.); #203821=EDGE_CURVE('',#164652,#164653,#89315,.T.); #203822=EDGE_CURVE('',#164654,#164652,#89316,.T.); #203823=EDGE_CURVE('',#164655,#164653,#89317,.T.); #203824=EDGE_CURVE('',#164654,#164655,#89318,.T.); #203825=EDGE_CURVE('',#164656,#164654,#89319,.T.); #203826=EDGE_CURVE('',#164657,#164655,#89320,.T.); #203827=EDGE_CURVE('',#164656,#164657,#89321,.T.); #203828=EDGE_CURVE('',#164658,#164656,#89322,.T.); #203829=EDGE_CURVE('',#164659,#164657,#89323,.T.); #203830=EDGE_CURVE('',#164658,#164659,#89324,.T.); #203831=EDGE_CURVE('',#164660,#164658,#89325,.T.); #203832=EDGE_CURVE('',#164661,#164659,#89326,.T.); #203833=EDGE_CURVE('',#164660,#164661,#89327,.T.); #203834=EDGE_CURVE('',#164662,#164660,#89328,.T.); #203835=EDGE_CURVE('',#164663,#164661,#89329,.T.); #203836=EDGE_CURVE('',#164662,#164663,#89330,.T.); #203837=EDGE_CURVE('',#164664,#164662,#89331,.T.); #203838=EDGE_CURVE('',#164665,#164663,#89332,.T.); #203839=EDGE_CURVE('',#164664,#164665,#89333,.T.); #203840=EDGE_CURVE('',#164666,#164664,#89334,.T.); #203841=EDGE_CURVE('',#164667,#164665,#89335,.T.); #203842=EDGE_CURVE('',#164666,#164667,#89336,.T.); #203843=EDGE_CURVE('',#164668,#164666,#89337,.T.); #203844=EDGE_CURVE('',#164669,#164667,#89338,.T.); #203845=EDGE_CURVE('',#164668,#164669,#89339,.T.); #203846=EDGE_CURVE('',#164670,#164668,#89340,.T.); #203847=EDGE_CURVE('',#164671,#164669,#89341,.T.); #203848=EDGE_CURVE('',#164670,#164671,#89342,.T.); #203849=EDGE_CURVE('',#164672,#164670,#89343,.T.); #203850=EDGE_CURVE('',#164673,#164671,#89344,.T.); #203851=EDGE_CURVE('',#164672,#164673,#89345,.T.); #203852=EDGE_CURVE('',#164674,#164672,#89346,.T.); #203853=EDGE_CURVE('',#164675,#164673,#89347,.T.); #203854=EDGE_CURVE('',#164674,#164675,#89348,.T.); #203855=EDGE_CURVE('',#164676,#164674,#89349,.T.); #203856=EDGE_CURVE('',#164677,#164675,#89350,.T.); #203857=EDGE_CURVE('',#164676,#164677,#89351,.T.); #203858=EDGE_CURVE('',#164678,#164676,#89352,.T.); #203859=EDGE_CURVE('',#164679,#164677,#89353,.T.); #203860=EDGE_CURVE('',#164678,#164679,#89354,.T.); #203861=EDGE_CURVE('',#164680,#164678,#89355,.T.); #203862=EDGE_CURVE('',#164681,#164679,#89356,.T.); #203863=EDGE_CURVE('',#164680,#164681,#89357,.T.); #203864=EDGE_CURVE('',#164682,#164680,#89358,.T.); #203865=EDGE_CURVE('',#164683,#164681,#89359,.T.); #203866=EDGE_CURVE('',#164682,#164683,#89360,.T.); #203867=EDGE_CURVE('',#164684,#164682,#89361,.T.); #203868=EDGE_CURVE('',#164685,#164683,#89362,.T.); #203869=EDGE_CURVE('',#164684,#164685,#89363,.T.); #203870=EDGE_CURVE('',#164686,#164684,#89364,.T.); #203871=EDGE_CURVE('',#164687,#164685,#89365,.T.); #203872=EDGE_CURVE('',#164686,#164687,#89366,.T.); #203873=EDGE_CURVE('',#164688,#164686,#89367,.T.); #203874=EDGE_CURVE('',#164689,#164687,#89368,.T.); #203875=EDGE_CURVE('',#164688,#164689,#89369,.T.); #203876=EDGE_CURVE('',#164690,#164688,#89370,.T.); #203877=EDGE_CURVE('',#164691,#164689,#89371,.T.); #203878=EDGE_CURVE('',#164690,#164691,#89372,.T.); #203879=EDGE_CURVE('',#164692,#164690,#89373,.T.); #203880=EDGE_CURVE('',#164693,#164691,#89374,.T.); #203881=EDGE_CURVE('',#164692,#164693,#89375,.T.); #203882=EDGE_CURVE('',#164694,#164692,#89376,.T.); #203883=EDGE_CURVE('',#164695,#164693,#89377,.T.); #203884=EDGE_CURVE('',#164694,#164695,#89378,.T.); #203885=EDGE_CURVE('',#164696,#164694,#89379,.T.); #203886=EDGE_CURVE('',#164697,#164695,#89380,.T.); #203887=EDGE_CURVE('',#164696,#164697,#89381,.T.); #203888=EDGE_CURVE('',#164698,#164696,#89382,.T.); #203889=EDGE_CURVE('',#164699,#164697,#89383,.T.); #203890=EDGE_CURVE('',#164698,#164699,#89384,.T.); #203891=EDGE_CURVE('',#164700,#164698,#89385,.T.); #203892=EDGE_CURVE('',#164701,#164699,#89386,.T.); #203893=EDGE_CURVE('',#164700,#164701,#89387,.T.); #203894=EDGE_CURVE('',#164702,#164700,#89388,.T.); #203895=EDGE_CURVE('',#164703,#164701,#89389,.T.); #203896=EDGE_CURVE('',#164702,#164703,#89390,.T.); #203897=EDGE_CURVE('',#164704,#164702,#89391,.T.); #203898=EDGE_CURVE('',#164705,#164703,#89392,.T.); #203899=EDGE_CURVE('',#164704,#164705,#89393,.T.); #203900=EDGE_CURVE('',#164706,#164704,#89394,.T.); #203901=EDGE_CURVE('',#164707,#164705,#89395,.T.); #203902=EDGE_CURVE('',#164706,#164707,#89396,.T.); #203903=EDGE_CURVE('',#164708,#164706,#89397,.T.); #203904=EDGE_CURVE('',#164709,#164707,#89398,.T.); #203905=EDGE_CURVE('',#164708,#164709,#89399,.T.); #203906=EDGE_CURVE('',#164710,#164708,#89400,.T.); #203907=EDGE_CURVE('',#164711,#164709,#89401,.T.); #203908=EDGE_CURVE('',#164710,#164711,#89402,.T.); #203909=EDGE_CURVE('',#164712,#164710,#89403,.T.); #203910=EDGE_CURVE('',#164713,#164711,#89404,.T.); #203911=EDGE_CURVE('',#164712,#164713,#89405,.T.); #203912=EDGE_CURVE('',#164714,#164712,#89406,.T.); #203913=EDGE_CURVE('',#164715,#164713,#89407,.T.); #203914=EDGE_CURVE('',#164714,#164715,#89408,.T.); #203915=EDGE_CURVE('',#164716,#164714,#89409,.T.); #203916=EDGE_CURVE('',#164717,#164715,#89410,.T.); #203917=EDGE_CURVE('',#164716,#164717,#89411,.T.); #203918=EDGE_CURVE('',#164718,#164716,#89412,.T.); #203919=EDGE_CURVE('',#164719,#164717,#89413,.T.); #203920=EDGE_CURVE('',#164718,#164719,#89414,.T.); #203921=EDGE_CURVE('',#164720,#164718,#89415,.T.); #203922=EDGE_CURVE('',#164721,#164719,#89416,.T.); #203923=EDGE_CURVE('',#164720,#164721,#89417,.T.); #203924=EDGE_CURVE('',#164722,#164720,#89418,.T.); #203925=EDGE_CURVE('',#164723,#164721,#89419,.T.); #203926=EDGE_CURVE('',#164722,#164723,#89420,.T.); #203927=EDGE_CURVE('',#164724,#164722,#89421,.T.); #203928=EDGE_CURVE('',#164725,#164723,#89422,.T.); #203929=EDGE_CURVE('',#164724,#164725,#89423,.T.); #203930=EDGE_CURVE('',#164726,#164724,#89424,.T.); #203931=EDGE_CURVE('',#164727,#164725,#89425,.T.); #203932=EDGE_CURVE('',#164726,#164727,#89426,.T.); #203933=EDGE_CURVE('',#164728,#164726,#89427,.T.); #203934=EDGE_CURVE('',#164729,#164727,#89428,.T.); #203935=EDGE_CURVE('',#164728,#164729,#89429,.T.); #203936=EDGE_CURVE('',#164730,#164728,#89430,.T.); #203937=EDGE_CURVE('',#164731,#164729,#89431,.T.); #203938=EDGE_CURVE('',#164730,#164731,#89432,.T.); #203939=EDGE_CURVE('',#164732,#164730,#89433,.T.); #203940=EDGE_CURVE('',#164733,#164731,#89434,.T.); #203941=EDGE_CURVE('',#164732,#164733,#89435,.T.); #203942=EDGE_CURVE('',#164734,#164732,#89436,.T.); #203943=EDGE_CURVE('',#164735,#164733,#89437,.T.); #203944=EDGE_CURVE('',#164734,#164735,#89438,.T.); #203945=EDGE_CURVE('',#164736,#164734,#89439,.T.); #203946=EDGE_CURVE('',#164737,#164735,#89440,.T.); #203947=EDGE_CURVE('',#164736,#164737,#89441,.T.); #203948=EDGE_CURVE('',#164738,#164736,#89442,.T.); #203949=EDGE_CURVE('',#164739,#164737,#89443,.T.); #203950=EDGE_CURVE('',#164738,#164739,#89444,.T.); #203951=EDGE_CURVE('',#164740,#164738,#89445,.T.); #203952=EDGE_CURVE('',#164741,#164739,#89446,.T.); #203953=EDGE_CURVE('',#164740,#164741,#89447,.T.); #203954=EDGE_CURVE('',#164742,#164740,#89448,.T.); #203955=EDGE_CURVE('',#164743,#164741,#89449,.T.); #203956=EDGE_CURVE('',#164742,#164743,#89450,.T.); #203957=EDGE_CURVE('',#164744,#164742,#89451,.T.); #203958=EDGE_CURVE('',#164745,#164743,#89452,.T.); #203959=EDGE_CURVE('',#164744,#164745,#89453,.T.); #203960=EDGE_CURVE('',#164746,#164744,#89454,.T.); #203961=EDGE_CURVE('',#164747,#164745,#89455,.T.); #203962=EDGE_CURVE('',#164746,#164747,#89456,.T.); #203963=EDGE_CURVE('',#164748,#164746,#89457,.T.); #203964=EDGE_CURVE('',#164749,#164747,#89458,.T.); #203965=EDGE_CURVE('',#164748,#164749,#89459,.T.); #203966=EDGE_CURVE('',#164750,#164748,#89460,.T.); #203967=EDGE_CURVE('',#164751,#164749,#89461,.T.); #203968=EDGE_CURVE('',#164750,#164751,#89462,.T.); #203969=EDGE_CURVE('',#164752,#164750,#89463,.T.); #203970=EDGE_CURVE('',#164753,#164751,#89464,.T.); #203971=EDGE_CURVE('',#164752,#164753,#89465,.T.); #203972=EDGE_CURVE('',#164754,#164752,#89466,.T.); #203973=EDGE_CURVE('',#164755,#164753,#89467,.T.); #203974=EDGE_CURVE('',#164754,#164755,#89468,.T.); #203975=EDGE_CURVE('',#164756,#164754,#89469,.T.); #203976=EDGE_CURVE('',#164757,#164755,#89470,.T.); #203977=EDGE_CURVE('',#164756,#164757,#89471,.T.); #203978=EDGE_CURVE('',#164758,#164756,#89472,.T.); #203979=EDGE_CURVE('',#164759,#164757,#89473,.T.); #203980=EDGE_CURVE('',#164758,#164759,#89474,.T.); #203981=EDGE_CURVE('',#164760,#164758,#89475,.T.); #203982=EDGE_CURVE('',#164761,#164759,#89476,.T.); #203983=EDGE_CURVE('',#164760,#164761,#89477,.T.); #203984=EDGE_CURVE('',#164762,#164760,#89478,.T.); #203985=EDGE_CURVE('',#164763,#164761,#89479,.T.); #203986=EDGE_CURVE('',#164762,#164763,#89480,.T.); #203987=EDGE_CURVE('',#164764,#164762,#89481,.T.); #203988=EDGE_CURVE('',#164765,#164763,#89482,.T.); #203989=EDGE_CURVE('',#164764,#164765,#89483,.T.); #203990=EDGE_CURVE('',#164766,#164764,#89484,.T.); #203991=EDGE_CURVE('',#164767,#164765,#89485,.T.); #203992=EDGE_CURVE('',#164766,#164767,#89486,.T.); #203993=EDGE_CURVE('',#164768,#164766,#89487,.T.); #203994=EDGE_CURVE('',#164769,#164767,#89488,.T.); #203995=EDGE_CURVE('',#164768,#164769,#89489,.T.); #203996=EDGE_CURVE('',#164627,#164768,#89490,.T.); #203997=EDGE_CURVE('',#164628,#164769,#89491,.T.); #203998=EDGE_CURVE('',#164770,#164771,#89492,.T.); #203999=EDGE_CURVE('',#164772,#164770,#89493,.T.); #204000=EDGE_CURVE('',#164773,#164772,#89494,.T.); #204001=EDGE_CURVE('',#164773,#164771,#89495,.T.); #204002=EDGE_CURVE('',#164771,#164774,#67883,.T.); #204003=EDGE_CURVE('',#164775,#164773,#67884,.T.); #204004=EDGE_CURVE('',#164775,#164774,#89496,.T.); #204005=EDGE_CURVE('',#164774,#164776,#89497,.T.); #204006=EDGE_CURVE('',#164777,#164775,#89498,.T.); #204007=EDGE_CURVE('',#164777,#164776,#89499,.T.); #204008=EDGE_CURVE('',#164776,#164770,#67885,.T.); #204009=EDGE_CURVE('',#164772,#164777,#67886,.T.); #204010=EDGE_CURVE('',#164778,#164779,#89500,.T.); #204011=EDGE_CURVE('',#164779,#164780,#89501,.T.); #204012=EDGE_CURVE('',#164781,#164780,#89502,.T.); #204013=EDGE_CURVE('',#164778,#164781,#89503,.T.); #204014=EDGE_CURVE('',#164782,#164778,#89504,.T.); #204015=EDGE_CURVE('',#164783,#164781,#89505,.T.); #204016=EDGE_CURVE('',#164782,#164783,#89506,.T.); #204017=EDGE_CURVE('',#164784,#164782,#89507,.T.); #204018=EDGE_CURVE('',#164785,#164783,#89508,.T.); #204019=EDGE_CURVE('',#164784,#164785,#89509,.T.); #204020=EDGE_CURVE('',#164786,#164784,#89510,.T.); #204021=EDGE_CURVE('',#164787,#164785,#89511,.T.); #204022=EDGE_CURVE('',#164786,#164787,#89512,.T.); #204023=EDGE_CURVE('',#164788,#164786,#89513,.T.); #204024=EDGE_CURVE('',#164789,#164787,#89514,.T.); #204025=EDGE_CURVE('',#164788,#164789,#89515,.T.); #204026=EDGE_CURVE('',#164790,#164788,#89516,.T.); #204027=EDGE_CURVE('',#164791,#164789,#89517,.T.); #204028=EDGE_CURVE('',#164790,#164791,#89518,.T.); #204029=EDGE_CURVE('',#164792,#164790,#89519,.T.); #204030=EDGE_CURVE('',#164793,#164791,#89520,.T.); #204031=EDGE_CURVE('',#164792,#164793,#89521,.T.); #204032=EDGE_CURVE('',#164794,#164792,#89522,.T.); #204033=EDGE_CURVE('',#164795,#164793,#89523,.T.); #204034=EDGE_CURVE('',#164794,#164795,#89524,.T.); #204035=EDGE_CURVE('',#164796,#164794,#89525,.T.); #204036=EDGE_CURVE('',#164797,#164795,#89526,.T.); #204037=EDGE_CURVE('',#164796,#164797,#89527,.T.); #204038=EDGE_CURVE('',#164798,#164796,#89528,.T.); #204039=EDGE_CURVE('',#164799,#164797,#89529,.T.); #204040=EDGE_CURVE('',#164798,#164799,#89530,.T.); #204041=EDGE_CURVE('',#164800,#164798,#89531,.T.); #204042=EDGE_CURVE('',#164801,#164799,#89532,.T.); #204043=EDGE_CURVE('',#164800,#164801,#89533,.T.); #204044=EDGE_CURVE('',#164802,#164800,#89534,.T.); #204045=EDGE_CURVE('',#164803,#164801,#89535,.T.); #204046=EDGE_CURVE('',#164802,#164803,#89536,.T.); #204047=EDGE_CURVE('',#164804,#164802,#89537,.T.); #204048=EDGE_CURVE('',#164805,#164803,#89538,.T.); #204049=EDGE_CURVE('',#164804,#164805,#89539,.T.); #204050=EDGE_CURVE('',#164806,#164804,#89540,.T.); #204051=EDGE_CURVE('',#164807,#164805,#89541,.T.); #204052=EDGE_CURVE('',#164806,#164807,#89542,.T.); #204053=EDGE_CURVE('',#164808,#164806,#89543,.T.); #204054=EDGE_CURVE('',#164809,#164807,#89544,.T.); #204055=EDGE_CURVE('',#164808,#164809,#89545,.T.); #204056=EDGE_CURVE('',#164810,#164808,#89546,.T.); #204057=EDGE_CURVE('',#164811,#164809,#89547,.T.); #204058=EDGE_CURVE('',#164810,#164811,#89548,.T.); #204059=EDGE_CURVE('',#164812,#164810,#89549,.T.); #204060=EDGE_CURVE('',#164813,#164811,#89550,.T.); #204061=EDGE_CURVE('',#164812,#164813,#89551,.T.); #204062=EDGE_CURVE('',#164814,#164812,#89552,.T.); #204063=EDGE_CURVE('',#164815,#164813,#89553,.T.); #204064=EDGE_CURVE('',#164814,#164815,#89554,.T.); #204065=EDGE_CURVE('',#164816,#164814,#89555,.T.); #204066=EDGE_CURVE('',#164817,#164815,#89556,.T.); #204067=EDGE_CURVE('',#164816,#164817,#89557,.T.); #204068=EDGE_CURVE('',#164818,#164816,#89558,.T.); #204069=EDGE_CURVE('',#164819,#164817,#89559,.T.); #204070=EDGE_CURVE('',#164818,#164819,#89560,.T.); #204071=EDGE_CURVE('',#164820,#164818,#89561,.T.); #204072=EDGE_CURVE('',#164821,#164819,#89562,.T.); #204073=EDGE_CURVE('',#164820,#164821,#89563,.T.); #204074=EDGE_CURVE('',#164822,#164820,#89564,.T.); #204075=EDGE_CURVE('',#164823,#164821,#89565,.T.); #204076=EDGE_CURVE('',#164822,#164823,#89566,.T.); #204077=EDGE_CURVE('',#164824,#164822,#89567,.T.); #204078=EDGE_CURVE('',#164825,#164823,#89568,.T.); #204079=EDGE_CURVE('',#164824,#164825,#89569,.T.); #204080=EDGE_CURVE('',#164826,#164824,#89570,.T.); #204081=EDGE_CURVE('',#164827,#164825,#89571,.T.); #204082=EDGE_CURVE('',#164826,#164827,#89572,.T.); #204083=EDGE_CURVE('',#164828,#164826,#89573,.T.); #204084=EDGE_CURVE('',#164829,#164827,#89574,.T.); #204085=EDGE_CURVE('',#164828,#164829,#89575,.T.); #204086=EDGE_CURVE('',#164830,#164828,#89576,.T.); #204087=EDGE_CURVE('',#164831,#164829,#89577,.T.); #204088=EDGE_CURVE('',#164830,#164831,#89578,.T.); #204089=EDGE_CURVE('',#164832,#164830,#89579,.T.); #204090=EDGE_CURVE('',#164833,#164831,#89580,.T.); #204091=EDGE_CURVE('',#164832,#164833,#89581,.T.); #204092=EDGE_CURVE('',#164834,#164832,#89582,.T.); #204093=EDGE_CURVE('',#164835,#164833,#89583,.T.); #204094=EDGE_CURVE('',#164834,#164835,#89584,.T.); #204095=EDGE_CURVE('',#164836,#164834,#89585,.T.); #204096=EDGE_CURVE('',#164837,#164835,#89586,.T.); #204097=EDGE_CURVE('',#164836,#164837,#89587,.T.); #204098=EDGE_CURVE('',#164838,#164836,#89588,.T.); #204099=EDGE_CURVE('',#164839,#164837,#89589,.T.); #204100=EDGE_CURVE('',#164838,#164839,#89590,.T.); #204101=EDGE_CURVE('',#164840,#164838,#89591,.T.); #204102=EDGE_CURVE('',#164841,#164839,#89592,.T.); #204103=EDGE_CURVE('',#164840,#164841,#89593,.T.); #204104=EDGE_CURVE('',#164842,#164840,#89594,.T.); #204105=EDGE_CURVE('',#164843,#164841,#89595,.T.); #204106=EDGE_CURVE('',#164842,#164843,#89596,.T.); #204107=EDGE_CURVE('',#164844,#164842,#89597,.T.); #204108=EDGE_CURVE('',#164845,#164843,#89598,.T.); #204109=EDGE_CURVE('',#164844,#164845,#89599,.T.); #204110=EDGE_CURVE('',#164846,#164844,#89600,.T.); #204111=EDGE_CURVE('',#164847,#164845,#89601,.T.); #204112=EDGE_CURVE('',#164846,#164847,#89602,.T.); #204113=EDGE_CURVE('',#164848,#164846,#89603,.T.); #204114=EDGE_CURVE('',#164849,#164847,#89604,.T.); #204115=EDGE_CURVE('',#164848,#164849,#89605,.T.); #204116=EDGE_CURVE('',#164850,#164848,#89606,.T.); #204117=EDGE_CURVE('',#164851,#164849,#89607,.T.); #204118=EDGE_CURVE('',#164850,#164851,#89608,.T.); #204119=EDGE_CURVE('',#164852,#164850,#89609,.T.); #204120=EDGE_CURVE('',#164853,#164851,#89610,.T.); #204121=EDGE_CURVE('',#164852,#164853,#89611,.T.); #204122=EDGE_CURVE('',#164854,#164852,#89612,.T.); #204123=EDGE_CURVE('',#164855,#164853,#89613,.T.); #204124=EDGE_CURVE('',#164854,#164855,#89614,.T.); #204125=EDGE_CURVE('',#164856,#164854,#89615,.T.); #204126=EDGE_CURVE('',#164857,#164855,#89616,.T.); #204127=EDGE_CURVE('',#164856,#164857,#89617,.T.); #204128=EDGE_CURVE('',#164858,#164856,#89618,.T.); #204129=EDGE_CURVE('',#164859,#164857,#89619,.T.); #204130=EDGE_CURVE('',#164858,#164859,#89620,.T.); #204131=EDGE_CURVE('',#164860,#164858,#89621,.T.); #204132=EDGE_CURVE('',#164861,#164859,#89622,.T.); #204133=EDGE_CURVE('',#164860,#164861,#89623,.T.); #204134=EDGE_CURVE('',#164862,#164860,#89624,.T.); #204135=EDGE_CURVE('',#164863,#164861,#89625,.T.); #204136=EDGE_CURVE('',#164862,#164863,#89626,.T.); #204137=EDGE_CURVE('',#164864,#164862,#89627,.T.); #204138=EDGE_CURVE('',#164865,#164863,#89628,.T.); #204139=EDGE_CURVE('',#164864,#164865,#89629,.T.); #204140=EDGE_CURVE('',#164866,#164864,#89630,.T.); #204141=EDGE_CURVE('',#164867,#164865,#89631,.T.); #204142=EDGE_CURVE('',#164866,#164867,#89632,.T.); #204143=EDGE_CURVE('',#164868,#164866,#89633,.T.); #204144=EDGE_CURVE('',#164869,#164867,#89634,.T.); #204145=EDGE_CURVE('',#164868,#164869,#89635,.T.); #204146=EDGE_CURVE('',#164870,#164868,#89636,.T.); #204147=EDGE_CURVE('',#164871,#164869,#89637,.T.); #204148=EDGE_CURVE('',#164870,#164871,#89638,.T.); #204149=EDGE_CURVE('',#164872,#164870,#89639,.T.); #204150=EDGE_CURVE('',#164873,#164871,#89640,.T.); #204151=EDGE_CURVE('',#164872,#164873,#89641,.T.); #204152=EDGE_CURVE('',#164874,#164872,#89642,.T.); #204153=EDGE_CURVE('',#164875,#164873,#89643,.T.); #204154=EDGE_CURVE('',#164874,#164875,#89644,.T.); #204155=EDGE_CURVE('',#164876,#164874,#89645,.T.); #204156=EDGE_CURVE('',#164877,#164875,#89646,.T.); #204157=EDGE_CURVE('',#164876,#164877,#89647,.T.); #204158=EDGE_CURVE('',#164878,#164876,#89648,.T.); #204159=EDGE_CURVE('',#164879,#164877,#89649,.T.); #204160=EDGE_CURVE('',#164878,#164879,#89650,.T.); #204161=EDGE_CURVE('',#164880,#164878,#89651,.T.); #204162=EDGE_CURVE('',#164881,#164879,#89652,.T.); #204163=EDGE_CURVE('',#164880,#164881,#89653,.T.); #204164=EDGE_CURVE('',#164882,#164880,#89654,.T.); #204165=EDGE_CURVE('',#164883,#164881,#89655,.T.); #204166=EDGE_CURVE('',#164882,#164883,#89656,.T.); #204167=EDGE_CURVE('',#164884,#164882,#89657,.T.); #204168=EDGE_CURVE('',#164885,#164883,#89658,.T.); #204169=EDGE_CURVE('',#164884,#164885,#89659,.T.); #204170=EDGE_CURVE('',#164886,#164884,#89660,.T.); #204171=EDGE_CURVE('',#164887,#164885,#89661,.T.); #204172=EDGE_CURVE('',#164886,#164887,#89662,.T.); #204173=EDGE_CURVE('',#164888,#164886,#89663,.T.); #204174=EDGE_CURVE('',#164889,#164887,#89664,.T.); #204175=EDGE_CURVE('',#164888,#164889,#89665,.T.); #204176=EDGE_CURVE('',#164779,#164888,#89666,.T.); #204177=EDGE_CURVE('',#164780,#164889,#89667,.T.); #204178=EDGE_CURVE('',#164890,#164890,#67887,.T.); #204179=EDGE_CURVE('',#164890,#164891,#89668,.T.); #204180=EDGE_CURVE('',#164891,#164891,#67888,.T.); #204181=EDGE_CURVE('',#164892,#164893,#89669,.T.); #204182=EDGE_CURVE('',#164893,#164894,#89670,.T.); #204183=EDGE_CURVE('',#164895,#164894,#89671,.T.); #204184=EDGE_CURVE('',#164892,#164895,#89672,.T.); #204185=EDGE_CURVE('',#164896,#164892,#89673,.T.); #204186=EDGE_CURVE('',#164897,#164895,#89674,.T.); #204187=EDGE_CURVE('',#164896,#164897,#89675,.T.); #204188=EDGE_CURVE('',#164898,#164896,#89676,.T.); #204189=EDGE_CURVE('',#164899,#164897,#89677,.T.); #204190=EDGE_CURVE('',#164898,#164899,#89678,.T.); #204191=EDGE_CURVE('',#164893,#164898,#89679,.T.); #204192=EDGE_CURVE('',#164894,#164899,#89680,.T.); #204193=EDGE_CURVE('',#164900,#164901,#89681,.T.); #204194=EDGE_CURVE('',#164902,#164900,#89682,.T.); #204195=EDGE_CURVE('',#164903,#164902,#89683,.T.); #204196=EDGE_CURVE('',#164903,#164901,#89684,.T.); #204197=EDGE_CURVE('',#164901,#164904,#67889,.T.); #204198=EDGE_CURVE('',#164905,#164903,#67890,.T.); #204199=EDGE_CURVE('',#164905,#164904,#89685,.T.); #204200=EDGE_CURVE('',#164904,#164906,#89686,.T.); #204201=EDGE_CURVE('',#164907,#164905,#89687,.T.); #204202=EDGE_CURVE('',#164907,#164906,#89688,.T.); #204203=EDGE_CURVE('',#164906,#164900,#67891,.T.); #204204=EDGE_CURVE('',#164902,#164907,#67892,.T.); #204205=EDGE_CURVE('',#164908,#164909,#89689,.T.); #204206=EDGE_CURVE('',#164909,#164910,#89690,.T.); #204207=EDGE_CURVE('',#164911,#164910,#89691,.T.); #204208=EDGE_CURVE('',#164908,#164911,#89692,.T.); #204209=EDGE_CURVE('',#164912,#164908,#89693,.T.); #204210=EDGE_CURVE('',#164913,#164911,#89694,.T.); #204211=EDGE_CURVE('',#164912,#164913,#89695,.T.); #204212=EDGE_CURVE('',#164914,#164912,#89696,.T.); #204213=EDGE_CURVE('',#164915,#164913,#89697,.T.); #204214=EDGE_CURVE('',#164914,#164915,#89698,.T.); #204215=EDGE_CURVE('',#164916,#164914,#89699,.T.); #204216=EDGE_CURVE('',#164917,#164915,#89700,.T.); #204217=EDGE_CURVE('',#164916,#164917,#89701,.T.); #204218=EDGE_CURVE('',#164918,#164916,#89702,.T.); #204219=EDGE_CURVE('',#164919,#164917,#89703,.T.); #204220=EDGE_CURVE('',#164918,#164919,#89704,.T.); #204221=EDGE_CURVE('',#164920,#164918,#89705,.T.); #204222=EDGE_CURVE('',#164921,#164919,#89706,.T.); #204223=EDGE_CURVE('',#164920,#164921,#89707,.T.); #204224=EDGE_CURVE('',#164922,#164920,#89708,.T.); #204225=EDGE_CURVE('',#164923,#164921,#89709,.T.); #204226=EDGE_CURVE('',#164922,#164923,#89710,.T.); #204227=EDGE_CURVE('',#164924,#164922,#89711,.T.); #204228=EDGE_CURVE('',#164925,#164923,#89712,.T.); #204229=EDGE_CURVE('',#164924,#164925,#89713,.T.); #204230=EDGE_CURVE('',#164926,#164924,#89714,.T.); #204231=EDGE_CURVE('',#164927,#164925,#89715,.T.); #204232=EDGE_CURVE('',#164926,#164927,#89716,.T.); #204233=EDGE_CURVE('',#164928,#164926,#89717,.T.); #204234=EDGE_CURVE('',#164929,#164927,#89718,.T.); #204235=EDGE_CURVE('',#164928,#164929,#89719,.T.); #204236=EDGE_CURVE('',#164930,#164928,#89720,.T.); #204237=EDGE_CURVE('',#164931,#164929,#89721,.T.); #204238=EDGE_CURVE('',#164930,#164931,#89722,.T.); #204239=EDGE_CURVE('',#164932,#164930,#89723,.T.); #204240=EDGE_CURVE('',#164933,#164931,#89724,.T.); #204241=EDGE_CURVE('',#164932,#164933,#89725,.T.); #204242=EDGE_CURVE('',#164934,#164932,#89726,.T.); #204243=EDGE_CURVE('',#164935,#164933,#89727,.T.); #204244=EDGE_CURVE('',#164934,#164935,#89728,.T.); #204245=EDGE_CURVE('',#164936,#164934,#89729,.T.); #204246=EDGE_CURVE('',#164937,#164935,#89730,.T.); #204247=EDGE_CURVE('',#164936,#164937,#89731,.T.); #204248=EDGE_CURVE('',#164938,#164936,#89732,.T.); #204249=EDGE_CURVE('',#164939,#164937,#89733,.T.); #204250=EDGE_CURVE('',#164938,#164939,#89734,.T.); #204251=EDGE_CURVE('',#164940,#164938,#89735,.T.); #204252=EDGE_CURVE('',#164941,#164939,#89736,.T.); #204253=EDGE_CURVE('',#164940,#164941,#89737,.T.); #204254=EDGE_CURVE('',#164942,#164940,#89738,.T.); #204255=EDGE_CURVE('',#164943,#164941,#89739,.T.); #204256=EDGE_CURVE('',#164942,#164943,#89740,.T.); #204257=EDGE_CURVE('',#164944,#164942,#89741,.T.); #204258=EDGE_CURVE('',#164945,#164943,#89742,.T.); #204259=EDGE_CURVE('',#164944,#164945,#89743,.T.); #204260=EDGE_CURVE('',#164946,#164944,#89744,.T.); #204261=EDGE_CURVE('',#164947,#164945,#89745,.T.); #204262=EDGE_CURVE('',#164946,#164947,#89746,.T.); #204263=EDGE_CURVE('',#164948,#164946,#89747,.T.); #204264=EDGE_CURVE('',#164949,#164947,#89748,.T.); #204265=EDGE_CURVE('',#164948,#164949,#89749,.T.); #204266=EDGE_CURVE('',#164950,#164948,#89750,.T.); #204267=EDGE_CURVE('',#164951,#164949,#89751,.T.); #204268=EDGE_CURVE('',#164950,#164951,#89752,.T.); #204269=EDGE_CURVE('',#164952,#164950,#89753,.T.); #204270=EDGE_CURVE('',#164953,#164951,#89754,.T.); #204271=EDGE_CURVE('',#164952,#164953,#89755,.T.); #204272=EDGE_CURVE('',#164954,#164952,#89756,.T.); #204273=EDGE_CURVE('',#164955,#164953,#89757,.T.); #204274=EDGE_CURVE('',#164954,#164955,#89758,.T.); #204275=EDGE_CURVE('',#164956,#164954,#89759,.T.); #204276=EDGE_CURVE('',#164957,#164955,#89760,.T.); #204277=EDGE_CURVE('',#164956,#164957,#89761,.T.); #204278=EDGE_CURVE('',#164958,#164956,#89762,.T.); #204279=EDGE_CURVE('',#164959,#164957,#89763,.T.); #204280=EDGE_CURVE('',#164958,#164959,#89764,.T.); #204281=EDGE_CURVE('',#164960,#164958,#89765,.T.); #204282=EDGE_CURVE('',#164961,#164959,#89766,.T.); #204283=EDGE_CURVE('',#164960,#164961,#89767,.T.); #204284=EDGE_CURVE('',#164962,#164960,#89768,.T.); #204285=EDGE_CURVE('',#164963,#164961,#89769,.T.); #204286=EDGE_CURVE('',#164962,#164963,#89770,.T.); #204287=EDGE_CURVE('',#164964,#164962,#89771,.T.); #204288=EDGE_CURVE('',#164965,#164963,#89772,.T.); #204289=EDGE_CURVE('',#164964,#164965,#89773,.T.); #204290=EDGE_CURVE('',#164966,#164964,#89774,.T.); #204291=EDGE_CURVE('',#164967,#164965,#89775,.T.); #204292=EDGE_CURVE('',#164966,#164967,#89776,.T.); #204293=EDGE_CURVE('',#164968,#164966,#89777,.T.); #204294=EDGE_CURVE('',#164969,#164967,#89778,.T.); #204295=EDGE_CURVE('',#164968,#164969,#89779,.T.); #204296=EDGE_CURVE('',#164970,#164968,#89780,.T.); #204297=EDGE_CURVE('',#164971,#164969,#89781,.T.); #204298=EDGE_CURVE('',#164970,#164971,#89782,.T.); #204299=EDGE_CURVE('',#164972,#164970,#89783,.T.); #204300=EDGE_CURVE('',#164973,#164971,#89784,.T.); #204301=EDGE_CURVE('',#164972,#164973,#89785,.T.); #204302=EDGE_CURVE('',#164974,#164972,#89786,.T.); #204303=EDGE_CURVE('',#164975,#164973,#89787,.T.); #204304=EDGE_CURVE('',#164974,#164975,#89788,.T.); #204305=EDGE_CURVE('',#164976,#164974,#89789,.T.); #204306=EDGE_CURVE('',#164977,#164975,#89790,.T.); #204307=EDGE_CURVE('',#164976,#164977,#89791,.T.); #204308=EDGE_CURVE('',#164978,#164976,#89792,.T.); #204309=EDGE_CURVE('',#164979,#164977,#89793,.T.); #204310=EDGE_CURVE('',#164978,#164979,#89794,.T.); #204311=EDGE_CURVE('',#164980,#164978,#89795,.T.); #204312=EDGE_CURVE('',#164981,#164979,#89796,.T.); #204313=EDGE_CURVE('',#164980,#164981,#89797,.T.); #204314=EDGE_CURVE('',#164982,#164980,#89798,.T.); #204315=EDGE_CURVE('',#164983,#164981,#89799,.T.); #204316=EDGE_CURVE('',#164982,#164983,#89800,.T.); #204317=EDGE_CURVE('',#164984,#164982,#89801,.T.); #204318=EDGE_CURVE('',#164985,#164983,#89802,.T.); #204319=EDGE_CURVE('',#164984,#164985,#89803,.T.); #204320=EDGE_CURVE('',#164986,#164984,#89804,.T.); #204321=EDGE_CURVE('',#164987,#164985,#89805,.T.); #204322=EDGE_CURVE('',#164986,#164987,#89806,.T.); #204323=EDGE_CURVE('',#164988,#164986,#89807,.T.); #204324=EDGE_CURVE('',#164989,#164987,#89808,.T.); #204325=EDGE_CURVE('',#164988,#164989,#89809,.T.); #204326=EDGE_CURVE('',#164990,#164988,#89810,.T.); #204327=EDGE_CURVE('',#164991,#164989,#89811,.T.); #204328=EDGE_CURVE('',#164990,#164991,#89812,.T.); #204329=EDGE_CURVE('',#164992,#164990,#89813,.T.); #204330=EDGE_CURVE('',#164993,#164991,#89814,.T.); #204331=EDGE_CURVE('',#164992,#164993,#89815,.T.); #204332=EDGE_CURVE('',#164994,#164992,#89816,.T.); #204333=EDGE_CURVE('',#164995,#164993,#89817,.T.); #204334=EDGE_CURVE('',#164994,#164995,#89818,.T.); #204335=EDGE_CURVE('',#164996,#164994,#89819,.T.); #204336=EDGE_CURVE('',#164997,#164995,#89820,.T.); #204337=EDGE_CURVE('',#164996,#164997,#89821,.T.); #204338=EDGE_CURVE('',#164998,#164996,#89822,.T.); #204339=EDGE_CURVE('',#164999,#164997,#89823,.T.); #204340=EDGE_CURVE('',#164998,#164999,#89824,.T.); #204341=EDGE_CURVE('',#165000,#164998,#89825,.T.); #204342=EDGE_CURVE('',#165001,#164999,#89826,.T.); #204343=EDGE_CURVE('',#165000,#165001,#89827,.T.); #204344=EDGE_CURVE('',#165002,#165000,#89828,.T.); #204345=EDGE_CURVE('',#165003,#165001,#89829,.T.); #204346=EDGE_CURVE('',#165002,#165003,#89830,.T.); #204347=EDGE_CURVE('',#165004,#165002,#89831,.T.); #204348=EDGE_CURVE('',#165005,#165003,#89832,.T.); #204349=EDGE_CURVE('',#165004,#165005,#89833,.T.); #204350=EDGE_CURVE('',#165006,#165004,#89834,.T.); #204351=EDGE_CURVE('',#165007,#165005,#89835,.T.); #204352=EDGE_CURVE('',#165006,#165007,#89836,.T.); #204353=EDGE_CURVE('',#165008,#165006,#89837,.T.); #204354=EDGE_CURVE('',#165009,#165007,#89838,.T.); #204355=EDGE_CURVE('',#165008,#165009,#89839,.T.); #204356=EDGE_CURVE('',#165010,#165008,#89840,.T.); #204357=EDGE_CURVE('',#165011,#165009,#89841,.T.); #204358=EDGE_CURVE('',#165010,#165011,#89842,.T.); #204359=EDGE_CURVE('',#165012,#165010,#89843,.T.); #204360=EDGE_CURVE('',#165013,#165011,#89844,.T.); #204361=EDGE_CURVE('',#165012,#165013,#89845,.T.); #204362=EDGE_CURVE('',#165014,#165012,#89846,.T.); #204363=EDGE_CURVE('',#165015,#165013,#89847,.T.); #204364=EDGE_CURVE('',#165014,#165015,#89848,.T.); #204365=EDGE_CURVE('',#165016,#165014,#89849,.T.); #204366=EDGE_CURVE('',#165017,#165015,#89850,.T.); #204367=EDGE_CURVE('',#165016,#165017,#89851,.T.); #204368=EDGE_CURVE('',#165018,#165016,#89852,.T.); #204369=EDGE_CURVE('',#165019,#165017,#89853,.T.); #204370=EDGE_CURVE('',#165018,#165019,#89854,.T.); #204371=EDGE_CURVE('',#164909,#165018,#89855,.T.); #204372=EDGE_CURVE('',#164910,#165019,#89856,.T.); #204373=EDGE_CURVE('',#165020,#165021,#89857,.T.); #204374=EDGE_CURVE('',#165022,#165020,#89858,.T.); #204375=EDGE_CURVE('',#165023,#165022,#89859,.T.); #204376=EDGE_CURVE('',#165023,#165021,#89860,.T.); #204377=EDGE_CURVE('',#165021,#165024,#67893,.T.); #204378=EDGE_CURVE('',#165025,#165023,#67894,.T.); #204379=EDGE_CURVE('',#165025,#165024,#89861,.T.); #204380=EDGE_CURVE('',#165024,#165026,#89862,.T.); #204381=EDGE_CURVE('',#165027,#165025,#89863,.T.); #204382=EDGE_CURVE('',#165027,#165026,#89864,.T.); #204383=EDGE_CURVE('',#165026,#165020,#67895,.T.); #204384=EDGE_CURVE('',#165022,#165027,#67896,.T.); #204385=EDGE_CURVE('',#165028,#165029,#89865,.T.); #204386=EDGE_CURVE('',#165029,#165030,#89866,.T.); #204387=EDGE_CURVE('',#165031,#165030,#89867,.T.); #204388=EDGE_CURVE('',#165028,#165031,#89868,.T.); #204389=EDGE_CURVE('',#165032,#165028,#89869,.T.); #204390=EDGE_CURVE('',#165033,#165031,#89870,.T.); #204391=EDGE_CURVE('',#165032,#165033,#89871,.T.); #204392=EDGE_CURVE('',#165034,#165032,#89872,.T.); #204393=EDGE_CURVE('',#165035,#165033,#89873,.T.); #204394=EDGE_CURVE('',#165034,#165035,#89874,.T.); #204395=EDGE_CURVE('',#165036,#165034,#89875,.T.); #204396=EDGE_CURVE('',#165037,#165035,#89876,.T.); #204397=EDGE_CURVE('',#165036,#165037,#89877,.T.); #204398=EDGE_CURVE('',#165038,#165036,#89878,.T.); #204399=EDGE_CURVE('',#165039,#165037,#89879,.T.); #204400=EDGE_CURVE('',#165038,#165039,#89880,.T.); #204401=EDGE_CURVE('',#165040,#165038,#89881,.T.); #204402=EDGE_CURVE('',#165041,#165039,#89882,.T.); #204403=EDGE_CURVE('',#165040,#165041,#89883,.T.); #204404=EDGE_CURVE('',#165042,#165040,#89884,.T.); #204405=EDGE_CURVE('',#165043,#165041,#89885,.T.); #204406=EDGE_CURVE('',#165042,#165043,#89886,.T.); #204407=EDGE_CURVE('',#165044,#165042,#89887,.T.); #204408=EDGE_CURVE('',#165045,#165043,#89888,.T.); #204409=EDGE_CURVE('',#165044,#165045,#89889,.T.); #204410=EDGE_CURVE('',#165046,#165044,#89890,.T.); #204411=EDGE_CURVE('',#165047,#165045,#89891,.T.); #204412=EDGE_CURVE('',#165046,#165047,#89892,.T.); #204413=EDGE_CURVE('',#165048,#165046,#89893,.T.); #204414=EDGE_CURVE('',#165049,#165047,#89894,.T.); #204415=EDGE_CURVE('',#165048,#165049,#89895,.T.); #204416=EDGE_CURVE('',#165050,#165048,#89896,.T.); #204417=EDGE_CURVE('',#165051,#165049,#89897,.T.); #204418=EDGE_CURVE('',#165050,#165051,#89898,.T.); #204419=EDGE_CURVE('',#165052,#165050,#89899,.T.); #204420=EDGE_CURVE('',#165053,#165051,#89900,.T.); #204421=EDGE_CURVE('',#165052,#165053,#89901,.T.); #204422=EDGE_CURVE('',#165054,#165052,#89902,.T.); #204423=EDGE_CURVE('',#165055,#165053,#89903,.T.); #204424=EDGE_CURVE('',#165054,#165055,#89904,.T.); #204425=EDGE_CURVE('',#165056,#165054,#89905,.T.); #204426=EDGE_CURVE('',#165057,#165055,#89906,.T.); #204427=EDGE_CURVE('',#165056,#165057,#89907,.T.); #204428=EDGE_CURVE('',#165058,#165056,#89908,.T.); #204429=EDGE_CURVE('',#165059,#165057,#89909,.T.); #204430=EDGE_CURVE('',#165058,#165059,#89910,.T.); #204431=EDGE_CURVE('',#165060,#165058,#89911,.T.); #204432=EDGE_CURVE('',#165061,#165059,#89912,.T.); #204433=EDGE_CURVE('',#165060,#165061,#89913,.T.); #204434=EDGE_CURVE('',#165062,#165060,#89914,.T.); #204435=EDGE_CURVE('',#165063,#165061,#89915,.T.); #204436=EDGE_CURVE('',#165062,#165063,#89916,.T.); #204437=EDGE_CURVE('',#165064,#165062,#89917,.T.); #204438=EDGE_CURVE('',#165065,#165063,#89918,.T.); #204439=EDGE_CURVE('',#165064,#165065,#89919,.T.); #204440=EDGE_CURVE('',#165066,#165064,#89920,.T.); #204441=EDGE_CURVE('',#165067,#165065,#89921,.T.); #204442=EDGE_CURVE('',#165066,#165067,#89922,.T.); #204443=EDGE_CURVE('',#165068,#165066,#89923,.T.); #204444=EDGE_CURVE('',#165069,#165067,#89924,.T.); #204445=EDGE_CURVE('',#165068,#165069,#89925,.T.); #204446=EDGE_CURVE('',#165070,#165068,#89926,.T.); #204447=EDGE_CURVE('',#165071,#165069,#89927,.T.); #204448=EDGE_CURVE('',#165070,#165071,#89928,.T.); #204449=EDGE_CURVE('',#165072,#165070,#89929,.T.); #204450=EDGE_CURVE('',#165073,#165071,#89930,.T.); #204451=EDGE_CURVE('',#165072,#165073,#89931,.T.); #204452=EDGE_CURVE('',#165074,#165072,#89932,.T.); #204453=EDGE_CURVE('',#165075,#165073,#89933,.T.); #204454=EDGE_CURVE('',#165074,#165075,#89934,.T.); #204455=EDGE_CURVE('',#165076,#165074,#89935,.T.); #204456=EDGE_CURVE('',#165077,#165075,#89936,.T.); #204457=EDGE_CURVE('',#165076,#165077,#89937,.T.); #204458=EDGE_CURVE('',#165078,#165076,#89938,.T.); #204459=EDGE_CURVE('',#165079,#165077,#89939,.T.); #204460=EDGE_CURVE('',#165078,#165079,#89940,.T.); #204461=EDGE_CURVE('',#165080,#165078,#89941,.T.); #204462=EDGE_CURVE('',#165081,#165079,#89942,.T.); #204463=EDGE_CURVE('',#165080,#165081,#89943,.T.); #204464=EDGE_CURVE('',#165082,#165080,#89944,.T.); #204465=EDGE_CURVE('',#165083,#165081,#89945,.T.); #204466=EDGE_CURVE('',#165082,#165083,#89946,.T.); #204467=EDGE_CURVE('',#165084,#165082,#89947,.T.); #204468=EDGE_CURVE('',#165085,#165083,#89948,.T.); #204469=EDGE_CURVE('',#165084,#165085,#89949,.T.); #204470=EDGE_CURVE('',#165086,#165084,#89950,.T.); #204471=EDGE_CURVE('',#165087,#165085,#89951,.T.); #204472=EDGE_CURVE('',#165086,#165087,#89952,.T.); #204473=EDGE_CURVE('',#165088,#165086,#89953,.T.); #204474=EDGE_CURVE('',#165089,#165087,#89954,.T.); #204475=EDGE_CURVE('',#165088,#165089,#89955,.T.); #204476=EDGE_CURVE('',#165090,#165088,#89956,.T.); #204477=EDGE_CURVE('',#165091,#165089,#89957,.T.); #204478=EDGE_CURVE('',#165090,#165091,#89958,.T.); #204479=EDGE_CURVE('',#165092,#165090,#89959,.T.); #204480=EDGE_CURVE('',#165093,#165091,#89960,.T.); #204481=EDGE_CURVE('',#165092,#165093,#89961,.T.); #204482=EDGE_CURVE('',#165094,#165092,#89962,.T.); #204483=EDGE_CURVE('',#165095,#165093,#89963,.T.); #204484=EDGE_CURVE('',#165094,#165095,#89964,.T.); #204485=EDGE_CURVE('',#165096,#165094,#89965,.T.); #204486=EDGE_CURVE('',#165097,#165095,#89966,.T.); #204487=EDGE_CURVE('',#165096,#165097,#89967,.T.); #204488=EDGE_CURVE('',#165098,#165096,#89968,.T.); #204489=EDGE_CURVE('',#165099,#165097,#89969,.T.); #204490=EDGE_CURVE('',#165098,#165099,#89970,.T.); #204491=EDGE_CURVE('',#165100,#165098,#89971,.T.); #204492=EDGE_CURVE('',#165101,#165099,#89972,.T.); #204493=EDGE_CURVE('',#165100,#165101,#89973,.T.); #204494=EDGE_CURVE('',#165102,#165100,#89974,.T.); #204495=EDGE_CURVE('',#165103,#165101,#89975,.T.); #204496=EDGE_CURVE('',#165102,#165103,#89976,.T.); #204497=EDGE_CURVE('',#165104,#165102,#89977,.T.); #204498=EDGE_CURVE('',#165105,#165103,#89978,.T.); #204499=EDGE_CURVE('',#165104,#165105,#89979,.T.); #204500=EDGE_CURVE('',#165106,#165104,#89980,.T.); #204501=EDGE_CURVE('',#165107,#165105,#89981,.T.); #204502=EDGE_CURVE('',#165106,#165107,#89982,.T.); #204503=EDGE_CURVE('',#165108,#165106,#89983,.T.); #204504=EDGE_CURVE('',#165109,#165107,#89984,.T.); #204505=EDGE_CURVE('',#165108,#165109,#89985,.T.); #204506=EDGE_CURVE('',#165110,#165108,#89986,.T.); #204507=EDGE_CURVE('',#165111,#165109,#89987,.T.); #204508=EDGE_CURVE('',#165110,#165111,#89988,.T.); #204509=EDGE_CURVE('',#165112,#165110,#89989,.T.); #204510=EDGE_CURVE('',#165113,#165111,#89990,.T.); #204511=EDGE_CURVE('',#165112,#165113,#89991,.T.); #204512=EDGE_CURVE('',#165114,#165112,#89992,.T.); #204513=EDGE_CURVE('',#165115,#165113,#89993,.T.); #204514=EDGE_CURVE('',#165114,#165115,#89994,.T.); #204515=EDGE_CURVE('',#165116,#165114,#89995,.T.); #204516=EDGE_CURVE('',#165117,#165115,#89996,.T.); #204517=EDGE_CURVE('',#165116,#165117,#89997,.T.); #204518=EDGE_CURVE('',#165118,#165116,#89998,.T.); #204519=EDGE_CURVE('',#165119,#165117,#89999,.T.); #204520=EDGE_CURVE('',#165118,#165119,#90000,.T.); #204521=EDGE_CURVE('',#165120,#165118,#90001,.T.); #204522=EDGE_CURVE('',#165121,#165119,#90002,.T.); #204523=EDGE_CURVE('',#165120,#165121,#90003,.T.); #204524=EDGE_CURVE('',#165122,#165120,#90004,.T.); #204525=EDGE_CURVE('',#165123,#165121,#90005,.T.); #204526=EDGE_CURVE('',#165122,#165123,#90006,.T.); #204527=EDGE_CURVE('',#165124,#165122,#90007,.T.); #204528=EDGE_CURVE('',#165125,#165123,#90008,.T.); #204529=EDGE_CURVE('',#165124,#165125,#90009,.T.); #204530=EDGE_CURVE('',#165126,#165124,#90010,.T.); #204531=EDGE_CURVE('',#165127,#165125,#90011,.T.); #204532=EDGE_CURVE('',#165126,#165127,#90012,.T.); #204533=EDGE_CURVE('',#165128,#165126,#90013,.T.); #204534=EDGE_CURVE('',#165129,#165127,#90014,.T.); #204535=EDGE_CURVE('',#165128,#165129,#90015,.T.); #204536=EDGE_CURVE('',#165130,#165128,#90016,.T.); #204537=EDGE_CURVE('',#165131,#165129,#90017,.T.); #204538=EDGE_CURVE('',#165130,#165131,#90018,.T.); #204539=EDGE_CURVE('',#165132,#165130,#90019,.T.); #204540=EDGE_CURVE('',#165133,#165131,#90020,.T.); #204541=EDGE_CURVE('',#165132,#165133,#90021,.T.); #204542=EDGE_CURVE('',#165134,#165132,#90022,.T.); #204543=EDGE_CURVE('',#165135,#165133,#90023,.T.); #204544=EDGE_CURVE('',#165134,#165135,#90024,.T.); #204545=EDGE_CURVE('',#165136,#165134,#90025,.T.); #204546=EDGE_CURVE('',#165137,#165135,#90026,.T.); #204547=EDGE_CURVE('',#165136,#165137,#90027,.T.); #204548=EDGE_CURVE('',#165138,#165136,#90028,.T.); #204549=EDGE_CURVE('',#165139,#165137,#90029,.T.); #204550=EDGE_CURVE('',#165138,#165139,#90030,.T.); #204551=EDGE_CURVE('',#165140,#165138,#90031,.T.); #204552=EDGE_CURVE('',#165141,#165139,#90032,.T.); #204553=EDGE_CURVE('',#165140,#165141,#90033,.T.); #204554=EDGE_CURVE('',#165142,#165140,#90034,.T.); #204555=EDGE_CURVE('',#165143,#165141,#90035,.T.); #204556=EDGE_CURVE('',#165142,#165143,#90036,.T.); #204557=EDGE_CURVE('',#165144,#165142,#90037,.T.); #204558=EDGE_CURVE('',#165145,#165143,#90038,.T.); #204559=EDGE_CURVE('',#165144,#165145,#90039,.T.); #204560=EDGE_CURVE('',#165146,#165144,#90040,.T.); #204561=EDGE_CURVE('',#165147,#165145,#90041,.T.); #204562=EDGE_CURVE('',#165146,#165147,#90042,.T.); #204563=EDGE_CURVE('',#165148,#165146,#90043,.T.); #204564=EDGE_CURVE('',#165149,#165147,#90044,.T.); #204565=EDGE_CURVE('',#165148,#165149,#90045,.T.); #204566=EDGE_CURVE('',#165150,#165148,#90046,.T.); #204567=EDGE_CURVE('',#165151,#165149,#90047,.T.); #204568=EDGE_CURVE('',#165150,#165151,#90048,.T.); #204569=EDGE_CURVE('',#165152,#165150,#90049,.T.); #204570=EDGE_CURVE('',#165153,#165151,#90050,.T.); #204571=EDGE_CURVE('',#165152,#165153,#90051,.T.); #204572=EDGE_CURVE('',#165154,#165152,#90052,.T.); #204573=EDGE_CURVE('',#165155,#165153,#90053,.T.); #204574=EDGE_CURVE('',#165154,#165155,#90054,.T.); #204575=EDGE_CURVE('',#165156,#165154,#90055,.T.); #204576=EDGE_CURVE('',#165157,#165155,#90056,.T.); #204577=EDGE_CURVE('',#165156,#165157,#90057,.T.); #204578=EDGE_CURVE('',#165158,#165156,#90058,.T.); #204579=EDGE_CURVE('',#165159,#165157,#90059,.T.); #204580=EDGE_CURVE('',#165158,#165159,#90060,.T.); #204581=EDGE_CURVE('',#165160,#165158,#90061,.T.); #204582=EDGE_CURVE('',#165161,#165159,#90062,.T.); #204583=EDGE_CURVE('',#165160,#165161,#90063,.T.); #204584=EDGE_CURVE('',#165162,#165160,#90064,.T.); #204585=EDGE_CURVE('',#165163,#165161,#90065,.T.); #204586=EDGE_CURVE('',#165162,#165163,#90066,.T.); #204587=EDGE_CURVE('',#165164,#165162,#90067,.T.); #204588=EDGE_CURVE('',#165165,#165163,#90068,.T.); #204589=EDGE_CURVE('',#165164,#165165,#90069,.T.); #204590=EDGE_CURVE('',#165166,#165164,#90070,.T.); #204591=EDGE_CURVE('',#165167,#165165,#90071,.T.); #204592=EDGE_CURVE('',#165166,#165167,#90072,.T.); #204593=EDGE_CURVE('',#165168,#165166,#90073,.T.); #204594=EDGE_CURVE('',#165169,#165167,#90074,.T.); #204595=EDGE_CURVE('',#165168,#165169,#90075,.T.); #204596=EDGE_CURVE('',#165170,#165168,#90076,.T.); #204597=EDGE_CURVE('',#165171,#165169,#90077,.T.); #204598=EDGE_CURVE('',#165170,#165171,#90078,.T.); #204599=EDGE_CURVE('',#165029,#165170,#90079,.T.); #204600=EDGE_CURVE('',#165030,#165171,#90080,.T.); #204601=EDGE_CURVE('',#165172,#165172,#67897,.T.); #204602=EDGE_CURVE('',#165172,#165173,#90081,.T.); #204603=EDGE_CURVE('',#165173,#165173,#67898,.T.); #204604=EDGE_CURVE('',#165174,#165175,#90082,.T.); #204605=EDGE_CURVE('',#165175,#165176,#90083,.T.); #204606=EDGE_CURVE('',#165177,#165176,#90084,.T.); #204607=EDGE_CURVE('',#165174,#165177,#90085,.T.); #204608=EDGE_CURVE('',#165178,#165174,#67899,.T.); #204609=EDGE_CURVE('',#165179,#165177,#67900,.T.); #204610=EDGE_CURVE('',#165178,#165179,#90086,.T.); #204611=EDGE_CURVE('',#165175,#165178,#67901,.T.); #204612=EDGE_CURVE('',#165176,#165179,#67902,.T.); #204613=EDGE_CURVE('',#165180,#165180,#67903,.T.); #204614=EDGE_CURVE('',#165180,#165181,#90087,.T.); #204615=EDGE_CURVE('',#165181,#165181,#67904,.T.); #204616=EDGE_CURVE('',#165182,#165183,#90088,.T.); #204617=EDGE_CURVE('',#165183,#165184,#90089,.T.); #204618=EDGE_CURVE('',#165185,#165184,#90090,.T.); #204619=EDGE_CURVE('',#165182,#165185,#90091,.T.); #204620=EDGE_CURVE('',#165186,#165182,#67905,.T.); #204621=EDGE_CURVE('',#165187,#165185,#67906,.T.); #204622=EDGE_CURVE('',#165186,#165187,#90092,.T.); #204623=EDGE_CURVE('',#165183,#165186,#67907,.T.); #204624=EDGE_CURVE('',#165184,#165187,#67908,.T.); #204625=EDGE_CURVE('',#165188,#165189,#90093,.T.); #204626=EDGE_CURVE('',#165190,#165188,#90094,.T.); #204627=EDGE_CURVE('',#165191,#165190,#90095,.T.); #204628=EDGE_CURVE('',#165191,#165189,#90096,.T.); #204629=EDGE_CURVE('',#165189,#165192,#67909,.T.); #204630=EDGE_CURVE('',#165193,#165191,#67910,.T.); #204631=EDGE_CURVE('',#165193,#165192,#90097,.T.); #204632=EDGE_CURVE('',#165192,#165194,#90098,.T.); #204633=EDGE_CURVE('',#165195,#165193,#90099,.T.); #204634=EDGE_CURVE('',#165195,#165194,#90100,.T.); #204635=EDGE_CURVE('',#165194,#165188,#67911,.T.); #204636=EDGE_CURVE('',#165190,#165195,#67912,.T.); #204637=EDGE_CURVE('',#165196,#165197,#90101,.T.); #204638=EDGE_CURVE('',#165197,#165198,#90102,.T.); #204639=EDGE_CURVE('',#165199,#165198,#90103,.T.); #204640=EDGE_CURVE('',#165196,#165199,#90104,.T.); #204641=EDGE_CURVE('',#165200,#165196,#90105,.T.); #204642=EDGE_CURVE('',#165201,#165199,#90106,.T.); #204643=EDGE_CURVE('',#165200,#165201,#90107,.T.); #204644=EDGE_CURVE('',#165202,#165200,#90108,.T.); #204645=EDGE_CURVE('',#165203,#165201,#90109,.T.); #204646=EDGE_CURVE('',#165202,#165203,#90110,.T.); #204647=EDGE_CURVE('',#165204,#165202,#90111,.T.); #204648=EDGE_CURVE('',#165205,#165203,#90112,.T.); #204649=EDGE_CURVE('',#165204,#165205,#90113,.T.); #204650=EDGE_CURVE('',#165206,#165204,#90114,.T.); #204651=EDGE_CURVE('',#165207,#165205,#90115,.T.); #204652=EDGE_CURVE('',#165206,#165207,#90116,.T.); #204653=EDGE_CURVE('',#165208,#165206,#90117,.T.); #204654=EDGE_CURVE('',#165209,#165207,#90118,.T.); #204655=EDGE_CURVE('',#165208,#165209,#90119,.T.); #204656=EDGE_CURVE('',#165210,#165208,#90120,.T.); #204657=EDGE_CURVE('',#165211,#165209,#90121,.T.); #204658=EDGE_CURVE('',#165210,#165211,#90122,.T.); #204659=EDGE_CURVE('',#165212,#165210,#90123,.T.); #204660=EDGE_CURVE('',#165213,#165211,#90124,.T.); #204661=EDGE_CURVE('',#165212,#165213,#90125,.T.); #204662=EDGE_CURVE('',#165214,#165212,#90126,.T.); #204663=EDGE_CURVE('',#165215,#165213,#90127,.T.); #204664=EDGE_CURVE('',#165214,#165215,#90128,.T.); #204665=EDGE_CURVE('',#165216,#165214,#90129,.T.); #204666=EDGE_CURVE('',#165217,#165215,#90130,.T.); #204667=EDGE_CURVE('',#165216,#165217,#90131,.T.); #204668=EDGE_CURVE('',#165218,#165216,#90132,.T.); #204669=EDGE_CURVE('',#165219,#165217,#90133,.T.); #204670=EDGE_CURVE('',#165218,#165219,#90134,.T.); #204671=EDGE_CURVE('',#165220,#165218,#90135,.T.); #204672=EDGE_CURVE('',#165221,#165219,#90136,.T.); #204673=EDGE_CURVE('',#165220,#165221,#90137,.T.); #204674=EDGE_CURVE('',#165222,#165220,#90138,.T.); #204675=EDGE_CURVE('',#165223,#165221,#90139,.T.); #204676=EDGE_CURVE('',#165222,#165223,#90140,.T.); #204677=EDGE_CURVE('',#165224,#165222,#90141,.T.); #204678=EDGE_CURVE('',#165225,#165223,#90142,.T.); #204679=EDGE_CURVE('',#165224,#165225,#90143,.T.); #204680=EDGE_CURVE('',#165226,#165224,#90144,.T.); #204681=EDGE_CURVE('',#165227,#165225,#90145,.T.); #204682=EDGE_CURVE('',#165226,#165227,#90146,.T.); #204683=EDGE_CURVE('',#165228,#165226,#90147,.T.); #204684=EDGE_CURVE('',#165229,#165227,#90148,.T.); #204685=EDGE_CURVE('',#165228,#165229,#90149,.T.); #204686=EDGE_CURVE('',#165230,#165228,#90150,.T.); #204687=EDGE_CURVE('',#165231,#165229,#90151,.T.); #204688=EDGE_CURVE('',#165230,#165231,#90152,.T.); #204689=EDGE_CURVE('',#165232,#165230,#90153,.T.); #204690=EDGE_CURVE('',#165233,#165231,#90154,.T.); #204691=EDGE_CURVE('',#165232,#165233,#90155,.T.); #204692=EDGE_CURVE('',#165234,#165232,#90156,.T.); #204693=EDGE_CURVE('',#165235,#165233,#90157,.T.); #204694=EDGE_CURVE('',#165234,#165235,#90158,.T.); #204695=EDGE_CURVE('',#165236,#165234,#90159,.T.); #204696=EDGE_CURVE('',#165237,#165235,#90160,.T.); #204697=EDGE_CURVE('',#165236,#165237,#90161,.T.); #204698=EDGE_CURVE('',#165238,#165236,#90162,.T.); #204699=EDGE_CURVE('',#165239,#165237,#90163,.T.); #204700=EDGE_CURVE('',#165238,#165239,#90164,.T.); #204701=EDGE_CURVE('',#165240,#165238,#90165,.T.); #204702=EDGE_CURVE('',#165241,#165239,#90166,.T.); #204703=EDGE_CURVE('',#165240,#165241,#90167,.T.); #204704=EDGE_CURVE('',#165242,#165240,#90168,.T.); #204705=EDGE_CURVE('',#165243,#165241,#90169,.T.); #204706=EDGE_CURVE('',#165242,#165243,#90170,.T.); #204707=EDGE_CURVE('',#165244,#165242,#90171,.T.); #204708=EDGE_CURVE('',#165245,#165243,#90172,.T.); #204709=EDGE_CURVE('',#165244,#165245,#90173,.T.); #204710=EDGE_CURVE('',#165246,#165244,#90174,.T.); #204711=EDGE_CURVE('',#165247,#165245,#90175,.T.); #204712=EDGE_CURVE('',#165246,#165247,#90176,.T.); #204713=EDGE_CURVE('',#165248,#165246,#90177,.T.); #204714=EDGE_CURVE('',#165249,#165247,#90178,.T.); #204715=EDGE_CURVE('',#165248,#165249,#90179,.T.); #204716=EDGE_CURVE('',#165250,#165248,#90180,.T.); #204717=EDGE_CURVE('',#165251,#165249,#90181,.T.); #204718=EDGE_CURVE('',#165250,#165251,#90182,.T.); #204719=EDGE_CURVE('',#165252,#165250,#90183,.T.); #204720=EDGE_CURVE('',#165253,#165251,#90184,.T.); #204721=EDGE_CURVE('',#165252,#165253,#90185,.T.); #204722=EDGE_CURVE('',#165254,#165252,#90186,.T.); #204723=EDGE_CURVE('',#165255,#165253,#90187,.T.); #204724=EDGE_CURVE('',#165254,#165255,#90188,.T.); #204725=EDGE_CURVE('',#165256,#165254,#90189,.T.); #204726=EDGE_CURVE('',#165257,#165255,#90190,.T.); #204727=EDGE_CURVE('',#165256,#165257,#90191,.T.); #204728=EDGE_CURVE('',#165258,#165256,#90192,.T.); #204729=EDGE_CURVE('',#165259,#165257,#90193,.T.); #204730=EDGE_CURVE('',#165258,#165259,#90194,.T.); #204731=EDGE_CURVE('',#165260,#165258,#90195,.T.); #204732=EDGE_CURVE('',#165261,#165259,#90196,.T.); #204733=EDGE_CURVE('',#165260,#165261,#90197,.T.); #204734=EDGE_CURVE('',#165262,#165260,#90198,.T.); #204735=EDGE_CURVE('',#165263,#165261,#90199,.T.); #204736=EDGE_CURVE('',#165262,#165263,#90200,.T.); #204737=EDGE_CURVE('',#165264,#165262,#90201,.T.); #204738=EDGE_CURVE('',#165265,#165263,#90202,.T.); #204739=EDGE_CURVE('',#165264,#165265,#90203,.T.); #204740=EDGE_CURVE('',#165266,#165264,#90204,.T.); #204741=EDGE_CURVE('',#165267,#165265,#90205,.T.); #204742=EDGE_CURVE('',#165266,#165267,#90206,.T.); #204743=EDGE_CURVE('',#165268,#165266,#90207,.T.); #204744=EDGE_CURVE('',#165269,#165267,#90208,.T.); #204745=EDGE_CURVE('',#165268,#165269,#90209,.T.); #204746=EDGE_CURVE('',#165270,#165268,#90210,.T.); #204747=EDGE_CURVE('',#165271,#165269,#90211,.T.); #204748=EDGE_CURVE('',#165270,#165271,#90212,.T.); #204749=EDGE_CURVE('',#165272,#165270,#90213,.T.); #204750=EDGE_CURVE('',#165273,#165271,#90214,.T.); #204751=EDGE_CURVE('',#165272,#165273,#90215,.T.); #204752=EDGE_CURVE('',#165274,#165272,#90216,.T.); #204753=EDGE_CURVE('',#165275,#165273,#90217,.T.); #204754=EDGE_CURVE('',#165274,#165275,#90218,.T.); #204755=EDGE_CURVE('',#165276,#165274,#90219,.T.); #204756=EDGE_CURVE('',#165277,#165275,#90220,.T.); #204757=EDGE_CURVE('',#165276,#165277,#90221,.T.); #204758=EDGE_CURVE('',#165278,#165276,#90222,.T.); #204759=EDGE_CURVE('',#165279,#165277,#90223,.T.); #204760=EDGE_CURVE('',#165278,#165279,#90224,.T.); #204761=EDGE_CURVE('',#165280,#165278,#90225,.T.); #204762=EDGE_CURVE('',#165281,#165279,#90226,.T.); #204763=EDGE_CURVE('',#165280,#165281,#90227,.T.); #204764=EDGE_CURVE('',#165282,#165280,#90228,.T.); #204765=EDGE_CURVE('',#165283,#165281,#90229,.T.); #204766=EDGE_CURVE('',#165282,#165283,#90230,.T.); #204767=EDGE_CURVE('',#165284,#165282,#90231,.T.); #204768=EDGE_CURVE('',#165285,#165283,#90232,.T.); #204769=EDGE_CURVE('',#165284,#165285,#90233,.T.); #204770=EDGE_CURVE('',#165286,#165284,#90234,.T.); #204771=EDGE_CURVE('',#165287,#165285,#90235,.T.); #204772=EDGE_CURVE('',#165286,#165287,#90236,.T.); #204773=EDGE_CURVE('',#165288,#165286,#90237,.T.); #204774=EDGE_CURVE('',#165289,#165287,#90238,.T.); #204775=EDGE_CURVE('',#165288,#165289,#90239,.T.); #204776=EDGE_CURVE('',#165290,#165288,#90240,.T.); #204777=EDGE_CURVE('',#165291,#165289,#90241,.T.); #204778=EDGE_CURVE('',#165290,#165291,#90242,.T.); #204779=EDGE_CURVE('',#165292,#165290,#90243,.T.); #204780=EDGE_CURVE('',#165293,#165291,#90244,.T.); #204781=EDGE_CURVE('',#165292,#165293,#90245,.T.); #204782=EDGE_CURVE('',#165294,#165292,#90246,.T.); #204783=EDGE_CURVE('',#165295,#165293,#90247,.T.); #204784=EDGE_CURVE('',#165294,#165295,#90248,.T.); #204785=EDGE_CURVE('',#165296,#165294,#90249,.T.); #204786=EDGE_CURVE('',#165297,#165295,#90250,.T.); #204787=EDGE_CURVE('',#165296,#165297,#90251,.T.); #204788=EDGE_CURVE('',#165298,#165296,#90252,.T.); #204789=EDGE_CURVE('',#165299,#165297,#90253,.T.); #204790=EDGE_CURVE('',#165298,#165299,#90254,.T.); #204791=EDGE_CURVE('',#165300,#165298,#90255,.T.); #204792=EDGE_CURVE('',#165301,#165299,#90256,.T.); #204793=EDGE_CURVE('',#165300,#165301,#90257,.T.); #204794=EDGE_CURVE('',#165302,#165300,#90258,.T.); #204795=EDGE_CURVE('',#165303,#165301,#90259,.T.); #204796=EDGE_CURVE('',#165302,#165303,#90260,.T.); #204797=EDGE_CURVE('',#165304,#165302,#90261,.T.); #204798=EDGE_CURVE('',#165305,#165303,#90262,.T.); #204799=EDGE_CURVE('',#165304,#165305,#90263,.T.); #204800=EDGE_CURVE('',#165306,#165304,#90264,.T.); #204801=EDGE_CURVE('',#165307,#165305,#90265,.T.); #204802=EDGE_CURVE('',#165306,#165307,#90266,.T.); #204803=EDGE_CURVE('',#165197,#165306,#90267,.T.); #204804=EDGE_CURVE('',#165198,#165307,#90268,.T.); #204805=EDGE_CURVE('',#165308,#165308,#67913,.T.); #204806=EDGE_CURVE('',#165308,#165309,#90269,.T.); #204807=EDGE_CURVE('',#165309,#165309,#67914,.T.); #204808=EDGE_CURVE('',#165310,#165311,#90270,.T.); #204809=EDGE_CURVE('',#165311,#165312,#90271,.T.); #204810=EDGE_CURVE('',#165313,#165312,#90272,.T.); #204811=EDGE_CURVE('',#165310,#165313,#90273,.T.); #204812=EDGE_CURVE('',#165314,#165310,#67915,.T.); #204813=EDGE_CURVE('',#165315,#165313,#67916,.T.); #204814=EDGE_CURVE('',#165314,#165315,#90274,.T.); #204815=EDGE_CURVE('',#165311,#165314,#67917,.T.); #204816=EDGE_CURVE('',#165312,#165315,#67918,.T.); #204817=EDGE_CURVE('',#165316,#165316,#67919,.T.); #204818=EDGE_CURVE('',#165316,#165317,#90275,.T.); #204819=EDGE_CURVE('',#165317,#165317,#67920,.T.); #204820=EDGE_CURVE('',#165318,#165319,#90276,.T.); #204821=EDGE_CURVE('',#165319,#165320,#90277,.T.); #204822=EDGE_CURVE('',#165321,#165320,#90278,.T.); #204823=EDGE_CURVE('',#165318,#165321,#90279,.T.); #204824=EDGE_CURVE('',#165322,#165318,#67921,.T.); #204825=EDGE_CURVE('',#165323,#165321,#67922,.T.); #204826=EDGE_CURVE('',#165322,#165323,#90280,.T.); #204827=EDGE_CURVE('',#165319,#165322,#67923,.T.); #204828=EDGE_CURVE('',#165320,#165323,#67924,.T.); #204829=EDGE_CURVE('',#165324,#165324,#67925,.T.); #204830=EDGE_CURVE('',#165324,#165325,#90281,.T.); #204831=EDGE_CURVE('',#165325,#165325,#67926,.T.); #204832=EDGE_CURVE('',#165326,#165327,#90282,.T.); #204833=EDGE_CURVE('',#165327,#165328,#90283,.T.); #204834=EDGE_CURVE('',#165329,#165328,#90284,.T.); #204835=EDGE_CURVE('',#165326,#165329,#90285,.T.); #204836=EDGE_CURVE('',#165330,#165326,#67927,.T.); #204837=EDGE_CURVE('',#165331,#165329,#67928,.T.); #204838=EDGE_CURVE('',#165330,#165331,#90286,.T.); #204839=EDGE_CURVE('',#165327,#165330,#67929,.T.); #204840=EDGE_CURVE('',#165328,#165331,#67930,.T.); #204841=EDGE_CURVE('',#165332,#165332,#67931,.T.); #204842=EDGE_CURVE('',#165332,#165333,#90287,.T.); #204843=EDGE_CURVE('',#165333,#165333,#67932,.T.); #204844=EDGE_CURVE('',#165334,#165335,#90288,.T.); #204845=EDGE_CURVE('',#165335,#165336,#90289,.T.); #204846=EDGE_CURVE('',#165337,#165336,#90290,.T.); #204847=EDGE_CURVE('',#165334,#165337,#90291,.T.); #204848=EDGE_CURVE('',#165338,#165334,#90292,.T.); #204849=EDGE_CURVE('',#165339,#165337,#90293,.T.); #204850=EDGE_CURVE('',#165338,#165339,#90294,.T.); #204851=EDGE_CURVE('',#165340,#165338,#90295,.T.); #204852=EDGE_CURVE('',#165341,#165339,#90296,.T.); #204853=EDGE_CURVE('',#165340,#165341,#90297,.T.); #204854=EDGE_CURVE('',#165335,#165340,#90298,.T.); #204855=EDGE_CURVE('',#165336,#165341,#90299,.T.); #204856=EDGE_CURVE('',#165342,#165342,#67933,.T.); #204857=EDGE_CURVE('',#165342,#165343,#90300,.T.); #204858=EDGE_CURVE('',#165343,#165343,#67934,.T.); #204859=EDGE_CURVE('',#165344,#165345,#90301,.T.); #204860=EDGE_CURVE('',#165345,#165346,#90302,.T.); #204861=EDGE_CURVE('',#165347,#165346,#90303,.T.); #204862=EDGE_CURVE('',#165344,#165347,#90304,.T.); #204863=EDGE_CURVE('',#165348,#165344,#67935,.T.); #204864=EDGE_CURVE('',#165349,#165347,#67936,.T.); #204865=EDGE_CURVE('',#165348,#165349,#90305,.T.); #204866=EDGE_CURVE('',#165345,#165348,#67937,.T.); #204867=EDGE_CURVE('',#165346,#165349,#67938,.T.); #204868=EDGE_CURVE('',#165350,#165351,#90306,.T.); #204869=EDGE_CURVE('',#165351,#165352,#90307,.T.); #204870=EDGE_CURVE('',#165353,#165352,#90308,.T.); #204871=EDGE_CURVE('',#165350,#165353,#90309,.T.); #204872=EDGE_CURVE('',#165354,#165350,#90310,.T.); #204873=EDGE_CURVE('',#165355,#165353,#90311,.T.); #204874=EDGE_CURVE('',#165354,#165355,#90312,.T.); #204875=EDGE_CURVE('',#165356,#165354,#90313,.T.); #204876=EDGE_CURVE('',#165357,#165355,#90314,.T.); #204877=EDGE_CURVE('',#165356,#165357,#90315,.T.); #204878=EDGE_CURVE('',#165351,#165356,#90316,.T.); #204879=EDGE_CURVE('',#165352,#165357,#90317,.T.); #204880=EDGE_CURVE('',#165358,#165359,#90318,.T.); #204881=EDGE_CURVE('',#165359,#165360,#90319,.T.); #204882=EDGE_CURVE('',#165361,#165360,#90320,.T.); #204883=EDGE_CURVE('',#165358,#165361,#90321,.T.); #204884=EDGE_CURVE('',#165362,#165358,#90322,.T.); #204885=EDGE_CURVE('',#165363,#165361,#90323,.T.); #204886=EDGE_CURVE('',#165362,#165363,#90324,.T.); #204887=EDGE_CURVE('',#165364,#165362,#90325,.T.); #204888=EDGE_CURVE('',#165365,#165363,#90326,.T.); #204889=EDGE_CURVE('',#165364,#165365,#90327,.T.); #204890=EDGE_CURVE('',#165359,#165364,#90328,.T.); #204891=EDGE_CURVE('',#165360,#165365,#90329,.T.); #204892=EDGE_CURVE('',#165366,#165367,#90330,.T.); #204893=EDGE_CURVE('',#165367,#165368,#90331,.T.); #204894=EDGE_CURVE('',#165369,#165368,#90332,.T.); #204895=EDGE_CURVE('',#165366,#165369,#90333,.T.); #204896=EDGE_CURVE('',#165370,#165366,#90334,.T.); #204897=EDGE_CURVE('',#165371,#165369,#90335,.T.); #204898=EDGE_CURVE('',#165370,#165371,#90336,.T.); #204899=EDGE_CURVE('',#165372,#165370,#90337,.T.); #204900=EDGE_CURVE('',#165373,#165371,#90338,.T.); #204901=EDGE_CURVE('',#165372,#165373,#90339,.T.); #204902=EDGE_CURVE('',#165367,#165372,#90340,.T.); #204903=EDGE_CURVE('',#165368,#165373,#90341,.T.); #204904=EDGE_CURVE('',#165374,#165375,#90342,.T.); #204905=EDGE_CURVE('',#165375,#165376,#90343,.T.); #204906=EDGE_CURVE('',#165377,#165376,#90344,.T.); #204907=EDGE_CURVE('',#165374,#165377,#90345,.T.); #204908=EDGE_CURVE('',#165378,#165374,#90346,.T.); #204909=EDGE_CURVE('',#165379,#165377,#90347,.T.); #204910=EDGE_CURVE('',#165378,#165379,#90348,.T.); #204911=EDGE_CURVE('',#165380,#165378,#90349,.T.); #204912=EDGE_CURVE('',#165381,#165379,#90350,.T.); #204913=EDGE_CURVE('',#165380,#165381,#90351,.T.); #204914=EDGE_CURVE('',#165375,#165380,#90352,.T.); #204915=EDGE_CURVE('',#165376,#165381,#90353,.T.); #204916=EDGE_CURVE('',#165382,#165383,#90354,.T.); #204917=EDGE_CURVE('',#165383,#165384,#90355,.T.); #204918=EDGE_CURVE('',#165385,#165384,#90356,.T.); #204919=EDGE_CURVE('',#165382,#165385,#90357,.T.); #204920=EDGE_CURVE('',#165386,#165382,#90358,.T.); #204921=EDGE_CURVE('',#165387,#165385,#90359,.T.); #204922=EDGE_CURVE('',#165386,#165387,#90360,.T.); #204923=EDGE_CURVE('',#165388,#165386,#90361,.T.); #204924=EDGE_CURVE('',#165389,#165387,#90362,.T.); #204925=EDGE_CURVE('',#165388,#165389,#90363,.T.); #204926=EDGE_CURVE('',#165383,#165388,#90364,.T.); #204927=EDGE_CURVE('',#165384,#165389,#90365,.T.); #204928=EDGE_CURVE('',#165390,#165391,#90366,.T.); #204929=EDGE_CURVE('',#165391,#165392,#90367,.T.); #204930=EDGE_CURVE('',#165393,#165392,#90368,.T.); #204931=EDGE_CURVE('',#165390,#165393,#90369,.T.); #204932=EDGE_CURVE('',#165394,#165390,#90370,.T.); #204933=EDGE_CURVE('',#165395,#165393,#90371,.T.); #204934=EDGE_CURVE('',#165394,#165395,#90372,.T.); #204935=EDGE_CURVE('',#165396,#165394,#90373,.T.); #204936=EDGE_CURVE('',#165397,#165395,#90374,.T.); #204937=EDGE_CURVE('',#165396,#165397,#90375,.T.); #204938=EDGE_CURVE('',#165391,#165396,#90376,.T.); #204939=EDGE_CURVE('',#165392,#165397,#90377,.T.); #204940=EDGE_CURVE('',#165398,#165399,#90378,.T.); #204941=EDGE_CURVE('',#165399,#165400,#90379,.T.); #204942=EDGE_CURVE('',#165401,#165400,#90380,.T.); #204943=EDGE_CURVE('',#165398,#165401,#90381,.T.); #204944=EDGE_CURVE('',#165402,#165398,#90382,.T.); #204945=EDGE_CURVE('',#165403,#165401,#90383,.T.); #204946=EDGE_CURVE('',#165402,#165403,#90384,.T.); #204947=EDGE_CURVE('',#165404,#165402,#90385,.T.); #204948=EDGE_CURVE('',#165405,#165403,#90386,.T.); #204949=EDGE_CURVE('',#165404,#165405,#90387,.T.); #204950=EDGE_CURVE('',#165399,#165404,#90388,.T.); #204951=EDGE_CURVE('',#165400,#165405,#90389,.T.); #204952=EDGE_CURVE('',#165406,#165407,#90390,.T.); #204953=EDGE_CURVE('',#165407,#165408,#90391,.T.); #204954=EDGE_CURVE('',#165409,#165408,#90392,.T.); #204955=EDGE_CURVE('',#165406,#165409,#90393,.T.); #204956=EDGE_CURVE('',#165410,#165406,#90394,.T.); #204957=EDGE_CURVE('',#165411,#165409,#90395,.T.); #204958=EDGE_CURVE('',#165410,#165411,#90396,.T.); #204959=EDGE_CURVE('',#165412,#165410,#90397,.T.); #204960=EDGE_CURVE('',#165413,#165411,#90398,.T.); #204961=EDGE_CURVE('',#165412,#165413,#90399,.T.); #204962=EDGE_CURVE('',#165407,#165412,#90400,.T.); #204963=EDGE_CURVE('',#165408,#165413,#90401,.T.); #204964=EDGE_CURVE('',#165414,#165415,#90402,.T.); #204965=EDGE_CURVE('',#165415,#165416,#90403,.T.); #204966=EDGE_CURVE('',#165417,#165416,#90404,.T.); #204967=EDGE_CURVE('',#165414,#165417,#90405,.T.); #204968=EDGE_CURVE('',#165418,#165414,#90406,.T.); #204969=EDGE_CURVE('',#165419,#165417,#90407,.T.); #204970=EDGE_CURVE('',#165418,#165419,#90408,.T.); #204971=EDGE_CURVE('',#165420,#165418,#90409,.T.); #204972=EDGE_CURVE('',#165421,#165419,#90410,.T.); #204973=EDGE_CURVE('',#165420,#165421,#90411,.T.); #204974=EDGE_CURVE('',#165415,#165420,#90412,.T.); #204975=EDGE_CURVE('',#165416,#165421,#90413,.T.); #204976=EDGE_CURVE('',#165422,#165423,#90414,.T.); #204977=EDGE_CURVE('',#165423,#165424,#90415,.T.); #204978=EDGE_CURVE('',#165425,#165424,#90416,.T.); #204979=EDGE_CURVE('',#165422,#165425,#90417,.T.); #204980=EDGE_CURVE('',#165426,#165422,#90418,.T.); #204981=EDGE_CURVE('',#165427,#165425,#90419,.T.); #204982=EDGE_CURVE('',#165426,#165427,#90420,.T.); #204983=EDGE_CURVE('',#165428,#165426,#90421,.T.); #204984=EDGE_CURVE('',#165429,#165427,#90422,.T.); #204985=EDGE_CURVE('',#165428,#165429,#90423,.T.); #204986=EDGE_CURVE('',#165423,#165428,#90424,.T.); #204987=EDGE_CURVE('',#165424,#165429,#90425,.T.); #204988=EDGE_CURVE('',#165430,#165431,#90426,.T.); #204989=EDGE_CURVE('',#165431,#165432,#90427,.T.); #204990=EDGE_CURVE('',#165433,#165432,#90428,.T.); #204991=EDGE_CURVE('',#165430,#165433,#90429,.T.); #204992=EDGE_CURVE('',#165434,#165430,#90430,.T.); #204993=EDGE_CURVE('',#165435,#165433,#90431,.T.); #204994=EDGE_CURVE('',#165434,#165435,#90432,.T.); #204995=EDGE_CURVE('',#165436,#165434,#90433,.T.); #204996=EDGE_CURVE('',#165437,#165435,#90434,.T.); #204997=EDGE_CURVE('',#165436,#165437,#90435,.T.); #204998=EDGE_CURVE('',#165431,#165436,#90436,.T.); #204999=EDGE_CURVE('',#165432,#165437,#90437,.T.); #205000=EDGE_CURVE('',#165438,#165439,#90438,.T.); #205001=EDGE_CURVE('',#165439,#165440,#90439,.T.); #205002=EDGE_CURVE('',#165441,#165440,#90440,.T.); #205003=EDGE_CURVE('',#165438,#165441,#90441,.T.); #205004=EDGE_CURVE('',#165442,#165438,#90442,.T.); #205005=EDGE_CURVE('',#165443,#165441,#90443,.T.); #205006=EDGE_CURVE('',#165442,#165443,#90444,.T.); #205007=EDGE_CURVE('',#165444,#165442,#90445,.T.); #205008=EDGE_CURVE('',#165445,#165443,#90446,.T.); #205009=EDGE_CURVE('',#165444,#165445,#90447,.T.); #205010=EDGE_CURVE('',#165439,#165444,#90448,.T.); #205011=EDGE_CURVE('',#165440,#165445,#90449,.T.); #205012=EDGE_CURVE('',#165446,#165447,#90450,.T.); #205013=EDGE_CURVE('',#165447,#165448,#90451,.T.); #205014=EDGE_CURVE('',#165449,#165448,#90452,.T.); #205015=EDGE_CURVE('',#165446,#165449,#90453,.T.); #205016=EDGE_CURVE('',#165450,#165446,#90454,.T.); #205017=EDGE_CURVE('',#165451,#165449,#90455,.T.); #205018=EDGE_CURVE('',#165450,#165451,#90456,.T.); #205019=EDGE_CURVE('',#165452,#165450,#90457,.T.); #205020=EDGE_CURVE('',#165453,#165451,#90458,.T.); #205021=EDGE_CURVE('',#165452,#165453,#90459,.T.); #205022=EDGE_CURVE('',#165447,#165452,#90460,.T.); #205023=EDGE_CURVE('',#165448,#165453,#90461,.T.); #205024=EDGE_CURVE('',#165454,#165454,#67939,.T.); #205025=EDGE_CURVE('',#165454,#165455,#90462,.T.); #205026=EDGE_CURVE('',#165455,#165455,#67940,.T.); #205027=EDGE_CURVE('',#165456,#165456,#67941,.T.); #205028=EDGE_CURVE('',#165456,#165457,#90463,.T.); #205029=EDGE_CURVE('',#165457,#165457,#67942,.T.); #205030=EDGE_CURVE('',#165458,#165458,#67943,.T.); #205031=EDGE_CURVE('',#165458,#165459,#90464,.T.); #205032=EDGE_CURVE('',#165459,#165459,#67944,.T.); #205033=EDGE_CURVE('',#165460,#165460,#67945,.T.); #205034=EDGE_CURVE('',#165460,#165461,#90465,.T.); #205035=EDGE_CURVE('',#165461,#165461,#67946,.T.); #205036=EDGE_CURVE('',#165462,#165462,#67947,.T.); #205037=EDGE_CURVE('',#165462,#165463,#90466,.T.); #205038=EDGE_CURVE('',#165463,#165463,#67948,.T.); #205039=EDGE_CURVE('',#165464,#165464,#67949,.T.); #205040=EDGE_CURVE('',#165464,#165465,#90467,.T.); #205041=EDGE_CURVE('',#165465,#165465,#67950,.T.); #205042=EDGE_CURVE('',#165466,#165467,#90468,.T.); #205043=EDGE_CURVE('',#165467,#165468,#90469,.T.); #205044=EDGE_CURVE('',#165469,#165468,#90470,.T.); #205045=EDGE_CURVE('',#165466,#165469,#90471,.T.); #205046=EDGE_CURVE('',#165470,#165466,#90472,.T.); #205047=EDGE_CURVE('',#165471,#165469,#90473,.T.); #205048=EDGE_CURVE('',#165470,#165471,#90474,.T.); #205049=EDGE_CURVE('',#165472,#165470,#90475,.T.); #205050=EDGE_CURVE('',#165473,#165471,#90476,.T.); #205051=EDGE_CURVE('',#165472,#165473,#90477,.T.); #205052=EDGE_CURVE('',#165467,#165472,#90478,.T.); #205053=EDGE_CURVE('',#165468,#165473,#90479,.T.); #205054=EDGE_CURVE('',#165474,#165475,#90480,.T.); #205055=EDGE_CURVE('',#165475,#165476,#90481,.T.); #205056=EDGE_CURVE('',#165477,#165476,#90482,.T.); #205057=EDGE_CURVE('',#165474,#165477,#90483,.T.); #205058=EDGE_CURVE('',#165478,#165474,#90484,.T.); #205059=EDGE_CURVE('',#165479,#165477,#90485,.T.); #205060=EDGE_CURVE('',#165478,#165479,#90486,.T.); #205061=EDGE_CURVE('',#165480,#165478,#90487,.T.); #205062=EDGE_CURVE('',#165481,#165479,#90488,.T.); #205063=EDGE_CURVE('',#165480,#165481,#90489,.T.); #205064=EDGE_CURVE('',#165475,#165480,#90490,.T.); #205065=EDGE_CURVE('',#165476,#165481,#90491,.T.); #205066=EDGE_CURVE('',#165482,#165483,#90492,.T.); #205067=EDGE_CURVE('',#165483,#165484,#90493,.T.); #205068=EDGE_CURVE('',#165485,#165484,#90494,.T.); #205069=EDGE_CURVE('',#165482,#165485,#90495,.T.); #205070=EDGE_CURVE('',#165486,#165482,#90496,.T.); #205071=EDGE_CURVE('',#165487,#165485,#90497,.T.); #205072=EDGE_CURVE('',#165486,#165487,#90498,.T.); #205073=EDGE_CURVE('',#165488,#165486,#90499,.T.); #205074=EDGE_CURVE('',#165489,#165487,#90500,.T.); #205075=EDGE_CURVE('',#165488,#165489,#90501,.T.); #205076=EDGE_CURVE('',#165483,#165488,#90502,.T.); #205077=EDGE_CURVE('',#165484,#165489,#90503,.T.); #205078=EDGE_CURVE('',#165490,#165491,#90504,.T.); #205079=EDGE_CURVE('',#165491,#165492,#90505,.T.); #205080=EDGE_CURVE('',#165493,#165492,#90506,.T.); #205081=EDGE_CURVE('',#165490,#165493,#90507,.T.); #205082=EDGE_CURVE('',#165494,#165490,#90508,.T.); #205083=EDGE_CURVE('',#165495,#165493,#90509,.T.); #205084=EDGE_CURVE('',#165494,#165495,#90510,.T.); #205085=EDGE_CURVE('',#165496,#165494,#90511,.T.); #205086=EDGE_CURVE('',#165497,#165495,#90512,.T.); #205087=EDGE_CURVE('',#165496,#165497,#90513,.T.); #205088=EDGE_CURVE('',#165491,#165496,#90514,.T.); #205089=EDGE_CURVE('',#165492,#165497,#90515,.T.); #205090=EDGE_CURVE('',#165498,#165499,#90516,.T.); #205091=EDGE_CURVE('',#165499,#165500,#90517,.T.); #205092=EDGE_CURVE('',#165501,#165500,#90518,.T.); #205093=EDGE_CURVE('',#165498,#165501,#90519,.T.); #205094=EDGE_CURVE('',#165502,#165498,#90520,.T.); #205095=EDGE_CURVE('',#165503,#165501,#90521,.T.); #205096=EDGE_CURVE('',#165502,#165503,#90522,.T.); #205097=EDGE_CURVE('',#165504,#165502,#90523,.T.); #205098=EDGE_CURVE('',#165505,#165503,#90524,.T.); #205099=EDGE_CURVE('',#165504,#165505,#90525,.T.); #205100=EDGE_CURVE('',#165499,#165504,#90526,.T.); #205101=EDGE_CURVE('',#165500,#165505,#90527,.T.); #205102=EDGE_CURVE('',#165506,#165507,#90528,.T.); #205103=EDGE_CURVE('',#165507,#165508,#90529,.T.); #205104=EDGE_CURVE('',#165509,#165508,#90530,.T.); #205105=EDGE_CURVE('',#165506,#165509,#90531,.T.); #205106=EDGE_CURVE('',#165510,#165506,#90532,.T.); #205107=EDGE_CURVE('',#165511,#165509,#90533,.T.); #205108=EDGE_CURVE('',#165510,#165511,#90534,.T.); #205109=EDGE_CURVE('',#165512,#165510,#90535,.T.); #205110=EDGE_CURVE('',#165513,#165511,#90536,.T.); #205111=EDGE_CURVE('',#165512,#165513,#90537,.T.); #205112=EDGE_CURVE('',#165507,#165512,#90538,.T.); #205113=EDGE_CURVE('',#165508,#165513,#90539,.T.); #205114=EDGE_CURVE('',#165514,#165515,#90540,.T.); #205115=EDGE_CURVE('',#165515,#165516,#90541,.T.); #205116=EDGE_CURVE('',#165517,#165516,#90542,.T.); #205117=EDGE_CURVE('',#165514,#165517,#90543,.T.); #205118=EDGE_CURVE('',#165518,#165514,#90544,.T.); #205119=EDGE_CURVE('',#165519,#165517,#90545,.T.); #205120=EDGE_CURVE('',#165518,#165519,#90546,.T.); #205121=EDGE_CURVE('',#165520,#165518,#90547,.T.); #205122=EDGE_CURVE('',#165521,#165519,#90548,.T.); #205123=EDGE_CURVE('',#165520,#165521,#90549,.T.); #205124=EDGE_CURVE('',#165515,#165520,#90550,.T.); #205125=EDGE_CURVE('',#165516,#165521,#90551,.T.); #205126=EDGE_CURVE('',#165522,#165523,#90552,.T.); #205127=EDGE_CURVE('',#165523,#165524,#90553,.T.); #205128=EDGE_CURVE('',#165525,#165524,#90554,.T.); #205129=EDGE_CURVE('',#165522,#165525,#90555,.T.); #205130=EDGE_CURVE('',#165526,#165522,#90556,.T.); #205131=EDGE_CURVE('',#165527,#165525,#90557,.T.); #205132=EDGE_CURVE('',#165526,#165527,#90558,.T.); #205133=EDGE_CURVE('',#165528,#165526,#90559,.T.); #205134=EDGE_CURVE('',#165529,#165527,#90560,.T.); #205135=EDGE_CURVE('',#165528,#165529,#90561,.T.); #205136=EDGE_CURVE('',#165523,#165528,#90562,.T.); #205137=EDGE_CURVE('',#165524,#165529,#90563,.T.); #205138=EDGE_CURVE('',#165530,#165531,#90564,.T.); #205139=EDGE_CURVE('',#165531,#165532,#90565,.T.); #205140=EDGE_CURVE('',#165533,#165532,#90566,.T.); #205141=EDGE_CURVE('',#165530,#165533,#90567,.T.); #205142=EDGE_CURVE('',#165534,#165530,#90568,.T.); #205143=EDGE_CURVE('',#165535,#165533,#90569,.T.); #205144=EDGE_CURVE('',#165534,#165535,#90570,.T.); #205145=EDGE_CURVE('',#165536,#165534,#90571,.T.); #205146=EDGE_CURVE('',#165537,#165535,#90572,.T.); #205147=EDGE_CURVE('',#165536,#165537,#90573,.T.); #205148=EDGE_CURVE('',#165531,#165536,#90574,.T.); #205149=EDGE_CURVE('',#165532,#165537,#90575,.T.); #205150=EDGE_CURVE('',#165538,#165539,#90576,.T.); #205151=EDGE_CURVE('',#165539,#165540,#90577,.T.); #205152=EDGE_CURVE('',#165541,#165540,#90578,.T.); #205153=EDGE_CURVE('',#165538,#165541,#90579,.T.); #205154=EDGE_CURVE('',#165542,#165538,#90580,.T.); #205155=EDGE_CURVE('',#165543,#165541,#90581,.T.); #205156=EDGE_CURVE('',#165542,#165543,#90582,.T.); #205157=EDGE_CURVE('',#165544,#165542,#90583,.T.); #205158=EDGE_CURVE('',#165545,#165543,#90584,.T.); #205159=EDGE_CURVE('',#165544,#165545,#90585,.T.); #205160=EDGE_CURVE('',#165539,#165544,#90586,.T.); #205161=EDGE_CURVE('',#165540,#165545,#90587,.T.); #205162=EDGE_CURVE('',#165546,#165547,#90588,.T.); #205163=EDGE_CURVE('',#165547,#165548,#90589,.T.); #205164=EDGE_CURVE('',#165549,#165548,#90590,.T.); #205165=EDGE_CURVE('',#165546,#165549,#90591,.T.); #205166=EDGE_CURVE('',#165550,#165546,#90592,.T.); #205167=EDGE_CURVE('',#165551,#165549,#90593,.T.); #205168=EDGE_CURVE('',#165550,#165551,#90594,.T.); #205169=EDGE_CURVE('',#165552,#165550,#90595,.T.); #205170=EDGE_CURVE('',#165553,#165551,#90596,.T.); #205171=EDGE_CURVE('',#165552,#165553,#90597,.T.); #205172=EDGE_CURVE('',#165547,#165552,#90598,.T.); #205173=EDGE_CURVE('',#165548,#165553,#90599,.T.); #205174=EDGE_CURVE('',#165554,#165555,#90600,.T.); #205175=EDGE_CURVE('',#165555,#165556,#90601,.T.); #205176=EDGE_CURVE('',#165557,#165556,#90602,.T.); #205177=EDGE_CURVE('',#165554,#165557,#90603,.T.); #205178=EDGE_CURVE('',#165558,#165554,#90604,.T.); #205179=EDGE_CURVE('',#165559,#165557,#90605,.T.); #205180=EDGE_CURVE('',#165558,#165559,#90606,.T.); #205181=EDGE_CURVE('',#165560,#165558,#90607,.T.); #205182=EDGE_CURVE('',#165561,#165559,#90608,.T.); #205183=EDGE_CURVE('',#165560,#165561,#90609,.T.); #205184=EDGE_CURVE('',#165555,#165560,#90610,.T.); #205185=EDGE_CURVE('',#165556,#165561,#90611,.T.); #205186=EDGE_CURVE('',#165562,#165563,#90612,.T.); #205187=EDGE_CURVE('',#165563,#165564,#90613,.T.); #205188=EDGE_CURVE('',#165565,#165564,#90614,.T.); #205189=EDGE_CURVE('',#165562,#165565,#90615,.T.); #205190=EDGE_CURVE('',#165566,#165562,#90616,.T.); #205191=EDGE_CURVE('',#165567,#165565,#90617,.T.); #205192=EDGE_CURVE('',#165566,#165567,#90618,.T.); #205193=EDGE_CURVE('',#165568,#165566,#90619,.T.); #205194=EDGE_CURVE('',#165569,#165567,#90620,.T.); #205195=EDGE_CURVE('',#165568,#165569,#90621,.T.); #205196=EDGE_CURVE('',#165563,#165568,#90622,.T.); #205197=EDGE_CURVE('',#165564,#165569,#90623,.T.); #205198=EDGE_CURVE('',#165570,#165571,#90624,.T.); #205199=EDGE_CURVE('',#165571,#165572,#90625,.T.); #205200=EDGE_CURVE('',#165573,#165572,#90626,.T.); #205201=EDGE_CURVE('',#165570,#165573,#90627,.T.); #205202=EDGE_CURVE('',#165574,#165570,#90628,.T.); #205203=EDGE_CURVE('',#165575,#165573,#90629,.T.); #205204=EDGE_CURVE('',#165574,#165575,#90630,.T.); #205205=EDGE_CURVE('',#165576,#165574,#90631,.T.); #205206=EDGE_CURVE('',#165577,#165575,#90632,.T.); #205207=EDGE_CURVE('',#165576,#165577,#90633,.T.); #205208=EDGE_CURVE('',#165571,#165576,#90634,.T.); #205209=EDGE_CURVE('',#165572,#165577,#90635,.T.); #205210=EDGE_CURVE('',#165578,#165579,#90636,.T.); #205211=EDGE_CURVE('',#165579,#165580,#90637,.T.); #205212=EDGE_CURVE('',#165581,#165580,#90638,.T.); #205213=EDGE_CURVE('',#165578,#165581,#90639,.T.); #205214=EDGE_CURVE('',#165582,#165578,#90640,.T.); #205215=EDGE_CURVE('',#165583,#165581,#90641,.T.); #205216=EDGE_CURVE('',#165582,#165583,#90642,.T.); #205217=EDGE_CURVE('',#165584,#165582,#90643,.T.); #205218=EDGE_CURVE('',#165585,#165583,#90644,.T.); #205219=EDGE_CURVE('',#165584,#165585,#90645,.T.); #205220=EDGE_CURVE('',#165579,#165584,#90646,.T.); #205221=EDGE_CURVE('',#165580,#165585,#90647,.T.); #205222=EDGE_CURVE('',#165586,#165587,#90648,.T.); #205223=EDGE_CURVE('',#165587,#165588,#90649,.T.); #205224=EDGE_CURVE('',#165589,#165588,#90650,.T.); #205225=EDGE_CURVE('',#165586,#165589,#90651,.T.); #205226=EDGE_CURVE('',#165590,#165586,#90652,.T.); #205227=EDGE_CURVE('',#165591,#165589,#90653,.T.); #205228=EDGE_CURVE('',#165590,#165591,#90654,.T.); #205229=EDGE_CURVE('',#165592,#165590,#90655,.T.); #205230=EDGE_CURVE('',#165593,#165591,#90656,.T.); #205231=EDGE_CURVE('',#165592,#165593,#90657,.T.); #205232=EDGE_CURVE('',#165587,#165592,#90658,.T.); #205233=EDGE_CURVE('',#165588,#165593,#90659,.T.); #205234=EDGE_CURVE('',#165594,#165595,#90660,.T.); #205235=EDGE_CURVE('',#165595,#165596,#90661,.T.); #205236=EDGE_CURVE('',#165597,#165596,#90662,.T.); #205237=EDGE_CURVE('',#165594,#165597,#90663,.T.); #205238=EDGE_CURVE('',#165598,#165594,#90664,.T.); #205239=EDGE_CURVE('',#165599,#165597,#90665,.T.); #205240=EDGE_CURVE('',#165598,#165599,#90666,.T.); #205241=EDGE_CURVE('',#165600,#165598,#90667,.T.); #205242=EDGE_CURVE('',#165601,#165599,#90668,.T.); #205243=EDGE_CURVE('',#165600,#165601,#90669,.T.); #205244=EDGE_CURVE('',#165595,#165600,#90670,.T.); #205245=EDGE_CURVE('',#165596,#165601,#90671,.T.); #205246=EDGE_CURVE('',#165602,#165603,#90672,.T.); #205247=EDGE_CURVE('',#165603,#165604,#90673,.T.); #205248=EDGE_CURVE('',#165605,#165604,#90674,.T.); #205249=EDGE_CURVE('',#165602,#165605,#90675,.T.); #205250=EDGE_CURVE('',#165606,#165602,#90676,.T.); #205251=EDGE_CURVE('',#165607,#165605,#90677,.T.); #205252=EDGE_CURVE('',#165606,#165607,#90678,.T.); #205253=EDGE_CURVE('',#165608,#165606,#90679,.T.); #205254=EDGE_CURVE('',#165609,#165607,#90680,.T.); #205255=EDGE_CURVE('',#165608,#165609,#90681,.T.); #205256=EDGE_CURVE('',#165603,#165608,#90682,.T.); #205257=EDGE_CURVE('',#165604,#165609,#90683,.T.); #205258=EDGE_CURVE('',#165610,#165611,#90684,.T.); #205259=EDGE_CURVE('',#165611,#165612,#90685,.T.); #205260=EDGE_CURVE('',#165613,#165612,#90686,.T.); #205261=EDGE_CURVE('',#165610,#165613,#90687,.T.); #205262=EDGE_CURVE('',#165614,#165610,#90688,.T.); #205263=EDGE_CURVE('',#165615,#165613,#90689,.T.); #205264=EDGE_CURVE('',#165614,#165615,#90690,.T.); #205265=EDGE_CURVE('',#165616,#165614,#90691,.T.); #205266=EDGE_CURVE('',#165617,#165615,#90692,.T.); #205267=EDGE_CURVE('',#165616,#165617,#90693,.T.); #205268=EDGE_CURVE('',#165611,#165616,#90694,.T.); #205269=EDGE_CURVE('',#165612,#165617,#90695,.T.); #205270=EDGE_CURVE('',#165618,#165619,#90696,.T.); #205271=EDGE_CURVE('',#165619,#165620,#90697,.T.); #205272=EDGE_CURVE('',#165621,#165620,#90698,.T.); #205273=EDGE_CURVE('',#165618,#165621,#90699,.T.); #205274=EDGE_CURVE('',#165622,#165618,#90700,.T.); #205275=EDGE_CURVE('',#165623,#165621,#90701,.T.); #205276=EDGE_CURVE('',#165622,#165623,#90702,.T.); #205277=EDGE_CURVE('',#165624,#165622,#90703,.T.); #205278=EDGE_CURVE('',#165625,#165623,#90704,.T.); #205279=EDGE_CURVE('',#165624,#165625,#90705,.T.); #205280=EDGE_CURVE('',#165619,#165624,#90706,.T.); #205281=EDGE_CURVE('',#165620,#165625,#90707,.T.); #205282=EDGE_CURVE('',#165626,#165627,#90708,.T.); #205283=EDGE_CURVE('',#165627,#165628,#90709,.T.); #205284=EDGE_CURVE('',#165629,#165628,#90710,.T.); #205285=EDGE_CURVE('',#165626,#165629,#90711,.T.); #205286=EDGE_CURVE('',#165630,#165626,#90712,.T.); #205287=EDGE_CURVE('',#165631,#165629,#90713,.T.); #205288=EDGE_CURVE('',#165630,#165631,#90714,.T.); #205289=EDGE_CURVE('',#165632,#165630,#90715,.T.); #205290=EDGE_CURVE('',#165633,#165631,#90716,.T.); #205291=EDGE_CURVE('',#165632,#165633,#90717,.T.); #205292=EDGE_CURVE('',#165627,#165632,#90718,.T.); #205293=EDGE_CURVE('',#165628,#165633,#90719,.T.); #205294=EDGE_CURVE('',#165634,#165635,#90720,.T.); #205295=EDGE_CURVE('',#165635,#165636,#90721,.T.); #205296=EDGE_CURVE('',#165637,#165636,#90722,.T.); #205297=EDGE_CURVE('',#165634,#165637,#90723,.T.); #205298=EDGE_CURVE('',#165638,#165634,#90724,.T.); #205299=EDGE_CURVE('',#165639,#165637,#90725,.T.); #205300=EDGE_CURVE('',#165638,#165639,#90726,.T.); #205301=EDGE_CURVE('',#165640,#165638,#90727,.T.); #205302=EDGE_CURVE('',#165641,#165639,#90728,.T.); #205303=EDGE_CURVE('',#165640,#165641,#90729,.T.); #205304=EDGE_CURVE('',#165635,#165640,#90730,.T.); #205305=EDGE_CURVE('',#165636,#165641,#90731,.T.); #205306=EDGE_CURVE('',#165642,#165643,#90732,.T.); #205307=EDGE_CURVE('',#165643,#165644,#90733,.T.); #205308=EDGE_CURVE('',#165645,#165644,#90734,.T.); #205309=EDGE_CURVE('',#165642,#165645,#90735,.T.); #205310=EDGE_CURVE('',#165646,#165642,#90736,.T.); #205311=EDGE_CURVE('',#165647,#165645,#90737,.T.); #205312=EDGE_CURVE('',#165646,#165647,#90738,.T.); #205313=EDGE_CURVE('',#165648,#165646,#90739,.T.); #205314=EDGE_CURVE('',#165649,#165647,#90740,.T.); #205315=EDGE_CURVE('',#165648,#165649,#90741,.T.); #205316=EDGE_CURVE('',#165643,#165648,#90742,.T.); #205317=EDGE_CURVE('',#165644,#165649,#90743,.T.); #205318=EDGE_CURVE('',#165650,#165651,#90744,.T.); #205319=EDGE_CURVE('',#165651,#165652,#90745,.T.); #205320=EDGE_CURVE('',#165653,#165652,#90746,.T.); #205321=EDGE_CURVE('',#165650,#165653,#90747,.T.); #205322=EDGE_CURVE('',#165654,#165650,#90748,.T.); #205323=EDGE_CURVE('',#165655,#165653,#90749,.T.); #205324=EDGE_CURVE('',#165654,#165655,#90750,.T.); #205325=EDGE_CURVE('',#165656,#165654,#90751,.T.); #205326=EDGE_CURVE('',#165657,#165655,#90752,.T.); #205327=EDGE_CURVE('',#165656,#165657,#90753,.T.); #205328=EDGE_CURVE('',#165651,#165656,#90754,.T.); #205329=EDGE_CURVE('',#165652,#165657,#90755,.T.); #205330=EDGE_CURVE('',#165658,#165659,#90756,.T.); #205331=EDGE_CURVE('',#165659,#165660,#90757,.T.); #205332=EDGE_CURVE('',#165661,#165660,#90758,.T.); #205333=EDGE_CURVE('',#165658,#165661,#90759,.T.); #205334=EDGE_CURVE('',#165662,#165658,#90760,.T.); #205335=EDGE_CURVE('',#165663,#165661,#90761,.T.); #205336=EDGE_CURVE('',#165662,#165663,#90762,.T.); #205337=EDGE_CURVE('',#165664,#165662,#90763,.T.); #205338=EDGE_CURVE('',#165665,#165663,#90764,.T.); #205339=EDGE_CURVE('',#165664,#165665,#90765,.T.); #205340=EDGE_CURVE('',#165659,#165664,#90766,.T.); #205341=EDGE_CURVE('',#165660,#165665,#90767,.T.); #205342=EDGE_CURVE('',#165666,#165667,#90768,.T.); #205343=EDGE_CURVE('',#165667,#165668,#90769,.T.); #205344=EDGE_CURVE('',#165669,#165668,#90770,.T.); #205345=EDGE_CURVE('',#165666,#165669,#90771,.T.); #205346=EDGE_CURVE('',#165670,#165666,#90772,.T.); #205347=EDGE_CURVE('',#165671,#165669,#90773,.T.); #205348=EDGE_CURVE('',#165670,#165671,#90774,.T.); #205349=EDGE_CURVE('',#165672,#165670,#90775,.T.); #205350=EDGE_CURVE('',#165673,#165671,#90776,.T.); #205351=EDGE_CURVE('',#165672,#165673,#90777,.T.); #205352=EDGE_CURVE('',#165667,#165672,#90778,.T.); #205353=EDGE_CURVE('',#165668,#165673,#90779,.T.); #205354=EDGE_CURVE('',#165674,#165675,#90780,.T.); #205355=EDGE_CURVE('',#165675,#165676,#90781,.T.); #205356=EDGE_CURVE('',#165677,#165676,#90782,.T.); #205357=EDGE_CURVE('',#165674,#165677,#90783,.T.); #205358=EDGE_CURVE('',#165678,#165674,#90784,.T.); #205359=EDGE_CURVE('',#165679,#165677,#90785,.T.); #205360=EDGE_CURVE('',#165678,#165679,#90786,.T.); #205361=EDGE_CURVE('',#165680,#165678,#90787,.T.); #205362=EDGE_CURVE('',#165681,#165679,#90788,.T.); #205363=EDGE_CURVE('',#165680,#165681,#90789,.T.); #205364=EDGE_CURVE('',#165675,#165680,#90790,.T.); #205365=EDGE_CURVE('',#165676,#165681,#90791,.T.); #205366=EDGE_CURVE('',#165682,#165682,#67951,.T.); #205367=EDGE_CURVE('',#165682,#165683,#90792,.T.); #205368=EDGE_CURVE('',#165683,#165683,#67952,.T.); #205369=EDGE_CURVE('',#165684,#165684,#67953,.T.); #205370=EDGE_CURVE('',#165684,#165685,#90793,.T.); #205371=EDGE_CURVE('',#165685,#165685,#67954,.T.); #205372=EDGE_CURVE('',#165686,#165686,#67955,.T.); #205373=EDGE_CURVE('',#165686,#165687,#90794,.T.); #205374=EDGE_CURVE('',#165687,#165687,#67956,.T.); #205375=EDGE_CURVE('',#165688,#165688,#67957,.T.); #205376=EDGE_CURVE('',#165688,#165689,#90795,.T.); #205377=EDGE_CURVE('',#165689,#165689,#67958,.T.); #205378=EDGE_CURVE('',#165690,#165690,#67959,.T.); #205379=EDGE_CURVE('',#165690,#165691,#90796,.T.); #205380=EDGE_CURVE('',#165691,#165691,#67960,.T.); #205381=EDGE_CURVE('',#165692,#165692,#67961,.T.); #205382=EDGE_CURVE('',#165692,#165693,#90797,.T.); #205383=EDGE_CURVE('',#165693,#165693,#67962,.T.); #205384=EDGE_CURVE('',#165694,#165695,#90798,.T.); #205385=EDGE_CURVE('',#165695,#165696,#90799,.T.); #205386=EDGE_CURVE('',#165697,#165696,#90800,.T.); #205387=EDGE_CURVE('',#165694,#165697,#90801,.T.); #205388=EDGE_CURVE('',#165698,#165694,#90802,.T.); #205389=EDGE_CURVE('',#165699,#165697,#90803,.T.); #205390=EDGE_CURVE('',#165698,#165699,#90804,.T.); #205391=EDGE_CURVE('',#165700,#165698,#90805,.T.); #205392=EDGE_CURVE('',#165701,#165699,#90806,.T.); #205393=EDGE_CURVE('',#165700,#165701,#90807,.T.); #205394=EDGE_CURVE('',#165695,#165700,#90808,.T.); #205395=EDGE_CURVE('',#165696,#165701,#90809,.T.); #205396=EDGE_CURVE('',#165702,#165703,#90810,.T.); #205397=EDGE_CURVE('',#165703,#165704,#90811,.T.); #205398=EDGE_CURVE('',#165705,#165704,#90812,.T.); #205399=EDGE_CURVE('',#165702,#165705,#90813,.T.); #205400=EDGE_CURVE('',#165706,#165702,#90814,.T.); #205401=EDGE_CURVE('',#165707,#165705,#90815,.T.); #205402=EDGE_CURVE('',#165706,#165707,#90816,.T.); #205403=EDGE_CURVE('',#165708,#165706,#90817,.T.); #205404=EDGE_CURVE('',#165709,#165707,#90818,.T.); #205405=EDGE_CURVE('',#165708,#165709,#90819,.T.); #205406=EDGE_CURVE('',#165703,#165708,#90820,.T.); #205407=EDGE_CURVE('',#165704,#165709,#90821,.T.); #205408=EDGE_CURVE('',#165710,#165711,#90822,.T.); #205409=EDGE_CURVE('',#165711,#165712,#90823,.T.); #205410=EDGE_CURVE('',#165713,#165712,#90824,.T.); #205411=EDGE_CURVE('',#165710,#165713,#90825,.T.); #205412=EDGE_CURVE('',#165714,#165710,#90826,.T.); #205413=EDGE_CURVE('',#165715,#165713,#90827,.T.); #205414=EDGE_CURVE('',#165714,#165715,#90828,.T.); #205415=EDGE_CURVE('',#165716,#165714,#90829,.T.); #205416=EDGE_CURVE('',#165717,#165715,#90830,.T.); #205417=EDGE_CURVE('',#165716,#165717,#90831,.T.); #205418=EDGE_CURVE('',#165711,#165716,#90832,.T.); #205419=EDGE_CURVE('',#165712,#165717,#90833,.T.); #205420=EDGE_CURVE('',#165718,#165719,#90834,.T.); #205421=EDGE_CURVE('',#165719,#165720,#90835,.T.); #205422=EDGE_CURVE('',#165721,#165720,#90836,.T.); #205423=EDGE_CURVE('',#165718,#165721,#90837,.T.); #205424=EDGE_CURVE('',#165722,#165718,#90838,.T.); #205425=EDGE_CURVE('',#165723,#165721,#90839,.T.); #205426=EDGE_CURVE('',#165722,#165723,#90840,.T.); #205427=EDGE_CURVE('',#165724,#165722,#90841,.T.); #205428=EDGE_CURVE('',#165725,#165723,#90842,.T.); #205429=EDGE_CURVE('',#165724,#165725,#90843,.T.); #205430=EDGE_CURVE('',#165719,#165724,#90844,.T.); #205431=EDGE_CURVE('',#165720,#165725,#90845,.T.); #205432=EDGE_CURVE('',#165726,#165727,#90846,.T.); #205433=EDGE_CURVE('',#165727,#165728,#90847,.T.); #205434=EDGE_CURVE('',#165729,#165728,#90848,.T.); #205435=EDGE_CURVE('',#165726,#165729,#90849,.T.); #205436=EDGE_CURVE('',#165730,#165726,#90850,.T.); #205437=EDGE_CURVE('',#165731,#165729,#90851,.T.); #205438=EDGE_CURVE('',#165730,#165731,#90852,.T.); #205439=EDGE_CURVE('',#165732,#165730,#90853,.T.); #205440=EDGE_CURVE('',#165733,#165731,#90854,.T.); #205441=EDGE_CURVE('',#165732,#165733,#90855,.T.); #205442=EDGE_CURVE('',#165727,#165732,#90856,.T.); #205443=EDGE_CURVE('',#165728,#165733,#90857,.T.); #205444=EDGE_CURVE('',#165734,#165735,#90858,.T.); #205445=EDGE_CURVE('',#165735,#165736,#90859,.T.); #205446=EDGE_CURVE('',#165737,#165736,#90860,.T.); #205447=EDGE_CURVE('',#165734,#165737,#90861,.T.); #205448=EDGE_CURVE('',#165738,#165734,#90862,.T.); #205449=EDGE_CURVE('',#165739,#165737,#90863,.T.); #205450=EDGE_CURVE('',#165738,#165739,#90864,.T.); #205451=EDGE_CURVE('',#165740,#165738,#90865,.T.); #205452=EDGE_CURVE('',#165741,#165739,#90866,.T.); #205453=EDGE_CURVE('',#165740,#165741,#90867,.T.); #205454=EDGE_CURVE('',#165735,#165740,#90868,.T.); #205455=EDGE_CURVE('',#165736,#165741,#90869,.T.); #205456=EDGE_CURVE('',#165742,#165743,#90870,.T.); #205457=EDGE_CURVE('',#165743,#165744,#90871,.T.); #205458=EDGE_CURVE('',#165745,#165744,#90872,.T.); #205459=EDGE_CURVE('',#165742,#165745,#90873,.T.); #205460=EDGE_CURVE('',#165746,#165742,#90874,.T.); #205461=EDGE_CURVE('',#165747,#165745,#90875,.T.); #205462=EDGE_CURVE('',#165746,#165747,#90876,.T.); #205463=EDGE_CURVE('',#165748,#165746,#90877,.T.); #205464=EDGE_CURVE('',#165749,#165747,#90878,.T.); #205465=EDGE_CURVE('',#165748,#165749,#90879,.T.); #205466=EDGE_CURVE('',#165743,#165748,#90880,.T.); #205467=EDGE_CURVE('',#165744,#165749,#90881,.T.); #205468=EDGE_CURVE('',#165750,#165750,#67963,.T.); #205469=EDGE_CURVE('',#165750,#165751,#90882,.T.); #205470=EDGE_CURVE('',#165751,#165751,#67964,.T.); #205471=EDGE_CURVE('',#165752,#165752,#67965,.T.); #205472=EDGE_CURVE('',#165752,#165753,#90883,.T.); #205473=EDGE_CURVE('',#165753,#165753,#67966,.T.); #205474=EDGE_CURVE('',#165754,#165754,#67967,.T.); #205475=EDGE_CURVE('',#165754,#165755,#90884,.T.); #205476=EDGE_CURVE('',#165755,#165755,#67968,.T.); #205477=EDGE_CURVE('',#165756,#165756,#67969,.T.); #205478=EDGE_CURVE('',#165756,#165757,#90885,.T.); #205479=EDGE_CURVE('',#165757,#165757,#67970,.T.); #205480=EDGE_CURVE('',#165758,#165758,#67971,.T.); #205481=EDGE_CURVE('',#165758,#165759,#90886,.T.); #205482=EDGE_CURVE('',#165759,#165759,#67972,.T.); #205483=EDGE_CURVE('',#165760,#165760,#67973,.T.); #205484=EDGE_CURVE('',#165760,#165761,#90887,.T.); #205485=EDGE_CURVE('',#165761,#165761,#67974,.T.); #205486=EDGE_CURVE('',#165762,#165763,#90888,.T.); #205487=EDGE_CURVE('',#165763,#165764,#90889,.T.); #205488=EDGE_CURVE('',#165765,#165764,#90890,.T.); #205489=EDGE_CURVE('',#165762,#165765,#90891,.T.); #205490=EDGE_CURVE('',#165766,#165762,#90892,.T.); #205491=EDGE_CURVE('',#165767,#165765,#90893,.T.); #205492=EDGE_CURVE('',#165766,#165767,#90894,.T.); #205493=EDGE_CURVE('',#165768,#165766,#90895,.T.); #205494=EDGE_CURVE('',#165769,#165767,#90896,.T.); #205495=EDGE_CURVE('',#165768,#165769,#90897,.T.); #205496=EDGE_CURVE('',#165763,#165768,#90898,.T.); #205497=EDGE_CURVE('',#165764,#165769,#90899,.T.); #205498=EDGE_CURVE('',#165770,#165771,#90900,.T.); #205499=EDGE_CURVE('',#165771,#165772,#90901,.T.); #205500=EDGE_CURVE('',#165773,#165772,#90902,.T.); #205501=EDGE_CURVE('',#165770,#165773,#90903,.T.); #205502=EDGE_CURVE('',#165774,#165770,#90904,.T.); #205503=EDGE_CURVE('',#165775,#165773,#90905,.T.); #205504=EDGE_CURVE('',#165774,#165775,#90906,.T.); #205505=EDGE_CURVE('',#165776,#165774,#90907,.T.); #205506=EDGE_CURVE('',#165777,#165775,#90908,.T.); #205507=EDGE_CURVE('',#165776,#165777,#90909,.T.); #205508=EDGE_CURVE('',#165771,#165776,#90910,.T.); #205509=EDGE_CURVE('',#165772,#165777,#90911,.T.); #205510=EDGE_CURVE('',#165778,#165779,#90912,.T.); #205511=EDGE_CURVE('',#165779,#165780,#90913,.T.); #205512=EDGE_CURVE('',#165781,#165780,#90914,.T.); #205513=EDGE_CURVE('',#165778,#165781,#90915,.T.); #205514=EDGE_CURVE('',#165782,#165778,#90916,.T.); #205515=EDGE_CURVE('',#165783,#165781,#90917,.T.); #205516=EDGE_CURVE('',#165782,#165783,#90918,.T.); #205517=EDGE_CURVE('',#165784,#165782,#90919,.T.); #205518=EDGE_CURVE('',#165785,#165783,#90920,.T.); #205519=EDGE_CURVE('',#165784,#165785,#90921,.T.); #205520=EDGE_CURVE('',#165779,#165784,#90922,.T.); #205521=EDGE_CURVE('',#165780,#165785,#90923,.T.); #205522=EDGE_CURVE('',#165786,#165786,#67975,.T.); #205523=EDGE_CURVE('',#165786,#165787,#90924,.T.); #205524=EDGE_CURVE('',#165787,#165787,#67976,.T.); #205525=EDGE_CURVE('',#165788,#165788,#67977,.T.); #205526=EDGE_CURVE('',#165788,#165789,#90925,.T.); #205527=EDGE_CURVE('',#165789,#165789,#67978,.T.); #205528=EDGE_CURVE('',#165790,#165790,#67979,.T.); #205529=EDGE_CURVE('',#165790,#165791,#90926,.T.); #205530=EDGE_CURVE('',#165791,#165791,#67980,.T.); #205531=EDGE_CURVE('',#165792,#165792,#67981,.T.); #205532=EDGE_CURVE('',#165792,#165793,#90927,.T.); #205533=EDGE_CURVE('',#165793,#165793,#67982,.T.); #205534=EDGE_CURVE('',#165794,#165794,#67983,.T.); #205535=EDGE_CURVE('',#165794,#165795,#90928,.T.); #205536=EDGE_CURVE('',#165795,#165795,#67984,.T.); #205537=EDGE_CURVE('',#165796,#165796,#67985,.T.); #205538=EDGE_CURVE('',#165796,#165797,#90929,.T.); #205539=EDGE_CURVE('',#165797,#165797,#67986,.T.); #205540=EDGE_CURVE('',#165798,#165798,#67987,.T.); #205541=EDGE_CURVE('',#165798,#165799,#90930,.T.); #205542=EDGE_CURVE('',#165799,#165799,#67988,.T.); #205543=EDGE_CURVE('',#165800,#165800,#67989,.T.); #205544=EDGE_CURVE('',#165800,#165801,#90931,.T.); #205545=EDGE_CURVE('',#165801,#165801,#67990,.T.); #205546=EDGE_CURVE('',#165802,#165802,#67991,.T.); #205547=EDGE_CURVE('',#165802,#165803,#90932,.T.); #205548=EDGE_CURVE('',#165803,#165803,#67992,.T.); #205549=EDGE_CURVE('',#165804,#165804,#67993,.T.); #205550=EDGE_CURVE('',#165804,#165805,#90933,.T.); #205551=EDGE_CURVE('',#165805,#165805,#67994,.T.); #205552=EDGE_CURVE('',#165806,#165806,#67995,.T.); #205553=EDGE_CURVE('',#165806,#165807,#90934,.T.); #205554=EDGE_CURVE('',#165807,#165807,#67996,.T.); #205555=EDGE_CURVE('',#165808,#165808,#67997,.T.); #205556=EDGE_CURVE('',#165808,#165809,#90935,.T.); #205557=EDGE_CURVE('',#165809,#165809,#67998,.T.); #205558=EDGE_CURVE('',#165810,#165810,#67999,.T.); #205559=EDGE_CURVE('',#165810,#165811,#90936,.T.); #205560=EDGE_CURVE('',#165811,#165811,#68000,.T.); #205561=EDGE_CURVE('',#165812,#165812,#68001,.T.); #205562=EDGE_CURVE('',#165812,#165813,#90937,.T.); #205563=EDGE_CURVE('',#165813,#165813,#68002,.T.); #205564=EDGE_CURVE('',#165814,#165814,#68003,.T.); #205565=EDGE_CURVE('',#165814,#165815,#90938,.T.); #205566=EDGE_CURVE('',#165815,#165815,#68004,.T.); #205567=EDGE_CURVE('',#165816,#165816,#68005,.T.); #205568=EDGE_CURVE('',#165816,#165817,#90939,.T.); #205569=EDGE_CURVE('',#165817,#165817,#68006,.T.); #205570=EDGE_CURVE('',#165818,#165818,#68007,.T.); #205571=EDGE_CURVE('',#165818,#165819,#90940,.T.); #205572=EDGE_CURVE('',#165819,#165819,#68008,.T.); #205573=EDGE_CURVE('',#165820,#165820,#68009,.T.); #205574=EDGE_CURVE('',#165820,#165821,#90941,.T.); #205575=EDGE_CURVE('',#165821,#165821,#68010,.T.); #205576=EDGE_CURVE('',#165822,#165822,#68011,.T.); #205577=EDGE_CURVE('',#165822,#165823,#90942,.T.); #205578=EDGE_CURVE('',#165823,#165823,#68012,.T.); #205579=EDGE_CURVE('',#165824,#165824,#68013,.T.); #205580=EDGE_CURVE('',#165824,#165825,#90943,.T.); #205581=EDGE_CURVE('',#165825,#165825,#68014,.T.); #205582=EDGE_CURVE('',#165826,#165826,#68015,.T.); #205583=EDGE_CURVE('',#165826,#165827,#90944,.T.); #205584=EDGE_CURVE('',#165827,#165827,#68016,.T.); #205585=EDGE_CURVE('',#165828,#165828,#68017,.T.); #205586=EDGE_CURVE('',#165828,#165829,#90945,.T.); #205587=EDGE_CURVE('',#165829,#165829,#68018,.T.); #205588=EDGE_CURVE('',#165830,#165830,#68019,.T.); #205589=EDGE_CURVE('',#165830,#165831,#90946,.T.); #205590=EDGE_CURVE('',#165831,#165831,#68020,.T.); #205591=EDGE_CURVE('',#165832,#165832,#68021,.T.); #205592=EDGE_CURVE('',#165832,#165833,#90947,.T.); #205593=EDGE_CURVE('',#165833,#165833,#68022,.T.); #205594=EDGE_CURVE('',#165834,#165834,#68023,.T.); #205595=EDGE_CURVE('',#165834,#165835,#90948,.T.); #205596=EDGE_CURVE('',#165835,#165835,#68024,.T.); #205597=EDGE_CURVE('',#165836,#165836,#68025,.T.); #205598=EDGE_CURVE('',#165836,#165837,#90949,.T.); #205599=EDGE_CURVE('',#165837,#165837,#68026,.T.); #205600=EDGE_CURVE('',#165838,#165838,#68027,.T.); #205601=EDGE_CURVE('',#165838,#165839,#90950,.T.); #205602=EDGE_CURVE('',#165839,#165839,#68028,.T.); #205603=EDGE_CURVE('',#165840,#165840,#68029,.T.); #205604=EDGE_CURVE('',#165840,#165841,#90951,.T.); #205605=EDGE_CURVE('',#165841,#165841,#68030,.T.); #205606=EDGE_CURVE('',#165842,#165842,#68031,.T.); #205607=EDGE_CURVE('',#165842,#165843,#90952,.T.); #205608=EDGE_CURVE('',#165843,#165843,#68032,.T.); #205609=EDGE_CURVE('',#165844,#165844,#68033,.T.); #205610=EDGE_CURVE('',#165844,#165845,#90953,.T.); #205611=EDGE_CURVE('',#165845,#165845,#68034,.T.); #205612=EDGE_CURVE('',#165846,#165846,#68035,.T.); #205613=EDGE_CURVE('',#165846,#165847,#90954,.T.); #205614=EDGE_CURVE('',#165847,#165847,#68036,.T.); #205615=EDGE_CURVE('',#165848,#165848,#68037,.T.); #205616=EDGE_CURVE('',#165848,#165849,#90955,.T.); #205617=EDGE_CURVE('',#165849,#165849,#68038,.T.); #205618=EDGE_CURVE('',#165850,#165850,#68039,.T.); #205619=EDGE_CURVE('',#165850,#165851,#90956,.T.); #205620=EDGE_CURVE('',#165851,#165851,#68040,.T.); #205621=EDGE_CURVE('',#165852,#165852,#68041,.T.); #205622=EDGE_CURVE('',#165852,#165853,#90957,.T.); #205623=EDGE_CURVE('',#165853,#165853,#68042,.T.); #205624=EDGE_CURVE('',#165854,#165854,#68043,.T.); #205625=EDGE_CURVE('',#165854,#165855,#90958,.T.); #205626=EDGE_CURVE('',#165855,#165855,#68044,.T.); #205627=EDGE_CURVE('',#165856,#165856,#68045,.T.); #205628=EDGE_CURVE('',#165856,#165857,#90959,.T.); #205629=EDGE_CURVE('',#165857,#165857,#68046,.T.); #205630=EDGE_CURVE('',#165858,#165858,#68047,.T.); #205631=EDGE_CURVE('',#165858,#165859,#90960,.T.); #205632=EDGE_CURVE('',#165859,#165859,#68048,.T.); #205633=EDGE_CURVE('',#165860,#165860,#68049,.T.); #205634=EDGE_CURVE('',#165860,#165861,#90961,.T.); #205635=EDGE_CURVE('',#165861,#165861,#68050,.T.); #205636=EDGE_CURVE('',#165862,#165862,#68051,.T.); #205637=EDGE_CURVE('',#165862,#165863,#90962,.T.); #205638=EDGE_CURVE('',#165863,#165863,#68052,.T.); #205639=EDGE_CURVE('',#165864,#165864,#68053,.T.); #205640=EDGE_CURVE('',#165864,#165865,#90963,.T.); #205641=EDGE_CURVE('',#165865,#165865,#68054,.T.); #205642=EDGE_CURVE('',#165866,#165866,#68055,.T.); #205643=EDGE_CURVE('',#165866,#165867,#90964,.T.); #205644=EDGE_CURVE('',#165867,#165867,#68056,.T.); #205645=EDGE_CURVE('',#165868,#165868,#68057,.T.); #205646=EDGE_CURVE('',#165868,#165869,#90965,.T.); #205647=EDGE_CURVE('',#165869,#165869,#68058,.T.); #205648=EDGE_CURVE('',#165870,#165870,#68059,.T.); #205649=EDGE_CURVE('',#165870,#165871,#90966,.T.); #205650=EDGE_CURVE('',#165871,#165871,#68060,.T.); #205651=EDGE_CURVE('',#165872,#165872,#68061,.T.); #205652=EDGE_CURVE('',#165872,#165873,#90967,.T.); #205653=EDGE_CURVE('',#165873,#165873,#68062,.T.); #205654=EDGE_CURVE('',#165874,#165874,#68063,.T.); #205655=EDGE_CURVE('',#165874,#165875,#90968,.T.); #205656=EDGE_CURVE('',#165875,#165875,#68064,.T.); #205657=EDGE_CURVE('',#165876,#165876,#68065,.T.); #205658=EDGE_CURVE('',#165876,#165877,#90969,.T.); #205659=EDGE_CURVE('',#165877,#165877,#68066,.T.); #205660=EDGE_CURVE('',#165878,#165878,#68067,.T.); #205661=EDGE_CURVE('',#165878,#165879,#90970,.T.); #205662=EDGE_CURVE('',#165879,#165879,#68068,.T.); #205663=EDGE_CURVE('',#165880,#165880,#68069,.T.); #205664=EDGE_CURVE('',#165880,#165881,#90971,.T.); #205665=EDGE_CURVE('',#165881,#165881,#68070,.T.); #205666=EDGE_CURVE('',#165882,#165882,#68071,.T.); #205667=EDGE_CURVE('',#165882,#165883,#90972,.T.); #205668=EDGE_CURVE('',#165883,#165883,#68072,.T.); #205669=EDGE_CURVE('',#165884,#165885,#90973,.T.); #205670=EDGE_CURVE('',#165885,#165886,#90974,.T.); #205671=EDGE_CURVE('',#165887,#165886,#90975,.T.); #205672=EDGE_CURVE('',#165884,#165887,#90976,.T.); #205673=EDGE_CURVE('',#165888,#165884,#90977,.T.); #205674=EDGE_CURVE('',#165889,#165887,#90978,.T.); #205675=EDGE_CURVE('',#165888,#165889,#90979,.T.); #205676=EDGE_CURVE('',#165890,#165888,#90980,.T.); #205677=EDGE_CURVE('',#165891,#165889,#90981,.T.); #205678=EDGE_CURVE('',#165890,#165891,#90982,.T.); #205679=EDGE_CURVE('',#165885,#165890,#90983,.T.); #205680=EDGE_CURVE('',#165886,#165891,#90984,.T.); #205681=EDGE_CURVE('',#165892,#165893,#90985,.T.); #205682=EDGE_CURVE('',#165893,#165894,#90986,.T.); #205683=EDGE_CURVE('',#165895,#165894,#90987,.T.); #205684=EDGE_CURVE('',#165892,#165895,#90988,.T.); #205685=EDGE_CURVE('',#165896,#165892,#90989,.T.); #205686=EDGE_CURVE('',#165897,#165895,#90990,.T.); #205687=EDGE_CURVE('',#165896,#165897,#90991,.T.); #205688=EDGE_CURVE('',#165898,#165896,#90992,.T.); #205689=EDGE_CURVE('',#165899,#165897,#90993,.T.); #205690=EDGE_CURVE('',#165898,#165899,#90994,.T.); #205691=EDGE_CURVE('',#165893,#165898,#90995,.T.); #205692=EDGE_CURVE('',#165894,#165899,#90996,.T.); #205693=EDGE_CURVE('',#165900,#165901,#90997,.T.); #205694=EDGE_CURVE('',#165901,#165902,#90998,.T.); #205695=EDGE_CURVE('',#165903,#165902,#90999,.T.); #205696=EDGE_CURVE('',#165900,#165903,#91000,.T.); #205697=EDGE_CURVE('',#165904,#165900,#91001,.T.); #205698=EDGE_CURVE('',#165905,#165903,#91002,.T.); #205699=EDGE_CURVE('',#165904,#165905,#91003,.T.); #205700=EDGE_CURVE('',#165906,#165904,#91004,.T.); #205701=EDGE_CURVE('',#165907,#165905,#91005,.T.); #205702=EDGE_CURVE('',#165906,#165907,#91006,.T.); #205703=EDGE_CURVE('',#165901,#165906,#91007,.T.); #205704=EDGE_CURVE('',#165902,#165907,#91008,.T.); #205705=EDGE_CURVE('',#165908,#165909,#91009,.T.); #205706=EDGE_CURVE('',#165909,#165910,#91010,.T.); #205707=EDGE_CURVE('',#165911,#165910,#91011,.T.); #205708=EDGE_CURVE('',#165908,#165911,#91012,.T.); #205709=EDGE_CURVE('',#165912,#165908,#91013,.T.); #205710=EDGE_CURVE('',#165913,#165911,#91014,.T.); #205711=EDGE_CURVE('',#165912,#165913,#91015,.T.); #205712=EDGE_CURVE('',#165914,#165912,#91016,.T.); #205713=EDGE_CURVE('',#165915,#165913,#91017,.T.); #205714=EDGE_CURVE('',#165914,#165915,#91018,.T.); #205715=EDGE_CURVE('',#165909,#165914,#91019,.T.); #205716=EDGE_CURVE('',#165910,#165915,#91020,.T.); #205717=EDGE_CURVE('',#165916,#165917,#91021,.T.); #205718=EDGE_CURVE('',#165917,#165918,#91022,.T.); #205719=EDGE_CURVE('',#165919,#165918,#91023,.T.); #205720=EDGE_CURVE('',#165916,#165919,#91024,.T.); #205721=EDGE_CURVE('',#165920,#165916,#91025,.T.); #205722=EDGE_CURVE('',#165921,#165919,#91026,.T.); #205723=EDGE_CURVE('',#165920,#165921,#91027,.T.); #205724=EDGE_CURVE('',#165922,#165920,#91028,.T.); #205725=EDGE_CURVE('',#165923,#165921,#91029,.T.); #205726=EDGE_CURVE('',#165922,#165923,#91030,.T.); #205727=EDGE_CURVE('',#165917,#165922,#91031,.T.); #205728=EDGE_CURVE('',#165918,#165923,#91032,.T.); #205729=EDGE_CURVE('',#165924,#165925,#91033,.T.); #205730=EDGE_CURVE('',#165925,#165926,#91034,.T.); #205731=EDGE_CURVE('',#165927,#165926,#91035,.T.); #205732=EDGE_CURVE('',#165924,#165927,#91036,.T.); #205733=EDGE_CURVE('',#165928,#165924,#91037,.T.); #205734=EDGE_CURVE('',#165929,#165927,#91038,.T.); #205735=EDGE_CURVE('',#165928,#165929,#91039,.T.); #205736=EDGE_CURVE('',#165930,#165928,#91040,.T.); #205737=EDGE_CURVE('',#165931,#165929,#91041,.T.); #205738=EDGE_CURVE('',#165930,#165931,#91042,.T.); #205739=EDGE_CURVE('',#165925,#165930,#91043,.T.); #205740=EDGE_CURVE('',#165926,#165931,#91044,.T.); #205741=EDGE_CURVE('',#165932,#165933,#91045,.T.); #205742=EDGE_CURVE('',#165933,#165934,#91046,.T.); #205743=EDGE_CURVE('',#165935,#165934,#91047,.T.); #205744=EDGE_CURVE('',#165932,#165935,#91048,.T.); #205745=EDGE_CURVE('',#165936,#165932,#91049,.T.); #205746=EDGE_CURVE('',#165937,#165935,#91050,.T.); #205747=EDGE_CURVE('',#165936,#165937,#91051,.T.); #205748=EDGE_CURVE('',#165938,#165936,#91052,.T.); #205749=EDGE_CURVE('',#165939,#165937,#91053,.T.); #205750=EDGE_CURVE('',#165938,#165939,#91054,.T.); #205751=EDGE_CURVE('',#165933,#165938,#91055,.T.); #205752=EDGE_CURVE('',#165934,#165939,#91056,.T.); #205753=EDGE_CURVE('',#165940,#165941,#91057,.T.); #205754=EDGE_CURVE('',#165941,#165942,#91058,.T.); #205755=EDGE_CURVE('',#165943,#165942,#91059,.T.); #205756=EDGE_CURVE('',#165940,#165943,#91060,.T.); #205757=EDGE_CURVE('',#165944,#165940,#91061,.T.); #205758=EDGE_CURVE('',#165945,#165943,#91062,.T.); #205759=EDGE_CURVE('',#165944,#165945,#91063,.T.); #205760=EDGE_CURVE('',#165946,#165944,#91064,.T.); #205761=EDGE_CURVE('',#165947,#165945,#91065,.T.); #205762=EDGE_CURVE('',#165946,#165947,#91066,.T.); #205763=EDGE_CURVE('',#165941,#165946,#91067,.T.); #205764=EDGE_CURVE('',#165942,#165947,#91068,.T.); #205765=EDGE_CURVE('',#165948,#165949,#91069,.T.); #205766=EDGE_CURVE('',#165949,#165950,#91070,.T.); #205767=EDGE_CURVE('',#165951,#165950,#91071,.T.); #205768=EDGE_CURVE('',#165948,#165951,#91072,.T.); #205769=EDGE_CURVE('',#165952,#165948,#91073,.T.); #205770=EDGE_CURVE('',#165953,#165951,#91074,.T.); #205771=EDGE_CURVE('',#165952,#165953,#91075,.T.); #205772=EDGE_CURVE('',#165954,#165952,#91076,.T.); #205773=EDGE_CURVE('',#165955,#165953,#91077,.T.); #205774=EDGE_CURVE('',#165954,#165955,#91078,.T.); #205775=EDGE_CURVE('',#165949,#165954,#91079,.T.); #205776=EDGE_CURVE('',#165950,#165955,#91080,.T.); #205777=EDGE_CURVE('',#165956,#165957,#91081,.T.); #205778=EDGE_CURVE('',#165957,#165958,#91082,.T.); #205779=EDGE_CURVE('',#165959,#165958,#91083,.T.); #205780=EDGE_CURVE('',#165956,#165959,#91084,.T.); #205781=EDGE_CURVE('',#165960,#165956,#91085,.T.); #205782=EDGE_CURVE('',#165961,#165959,#91086,.T.); #205783=EDGE_CURVE('',#165960,#165961,#91087,.T.); #205784=EDGE_CURVE('',#165962,#165960,#91088,.T.); #205785=EDGE_CURVE('',#165963,#165961,#91089,.T.); #205786=EDGE_CURVE('',#165962,#165963,#91090,.T.); #205787=EDGE_CURVE('',#165957,#165962,#91091,.T.); #205788=EDGE_CURVE('',#165958,#165963,#91092,.T.); #205789=EDGE_CURVE('',#165964,#165965,#91093,.T.); #205790=EDGE_CURVE('',#165965,#165966,#91094,.T.); #205791=EDGE_CURVE('',#165967,#165966,#91095,.T.); #205792=EDGE_CURVE('',#165964,#165967,#91096,.T.); #205793=EDGE_CURVE('',#165968,#165964,#91097,.T.); #205794=EDGE_CURVE('',#165969,#165967,#91098,.T.); #205795=EDGE_CURVE('',#165968,#165969,#91099,.T.); #205796=EDGE_CURVE('',#165970,#165968,#91100,.T.); #205797=EDGE_CURVE('',#165971,#165969,#91101,.T.); #205798=EDGE_CURVE('',#165970,#165971,#91102,.T.); #205799=EDGE_CURVE('',#165965,#165970,#91103,.T.); #205800=EDGE_CURVE('',#165966,#165971,#91104,.T.); #205801=EDGE_CURVE('',#165972,#165973,#91105,.T.); #205802=EDGE_CURVE('',#165973,#165974,#91106,.T.); #205803=EDGE_CURVE('',#165975,#165974,#91107,.T.); #205804=EDGE_CURVE('',#165972,#165975,#91108,.T.); #205805=EDGE_CURVE('',#165976,#165972,#91109,.T.); #205806=EDGE_CURVE('',#165977,#165975,#91110,.T.); #205807=EDGE_CURVE('',#165976,#165977,#91111,.T.); #205808=EDGE_CURVE('',#165978,#165976,#91112,.T.); #205809=EDGE_CURVE('',#165979,#165977,#91113,.T.); #205810=EDGE_CURVE('',#165978,#165979,#91114,.T.); #205811=EDGE_CURVE('',#165973,#165978,#91115,.T.); #205812=EDGE_CURVE('',#165974,#165979,#91116,.T.); #205813=EDGE_CURVE('',#165980,#165981,#91117,.T.); #205814=EDGE_CURVE('',#165981,#165982,#91118,.T.); #205815=EDGE_CURVE('',#165983,#165982,#91119,.T.); #205816=EDGE_CURVE('',#165980,#165983,#91120,.T.); #205817=EDGE_CURVE('',#165984,#165980,#91121,.T.); #205818=EDGE_CURVE('',#165985,#165983,#91122,.T.); #205819=EDGE_CURVE('',#165984,#165985,#91123,.T.); #205820=EDGE_CURVE('',#165986,#165984,#91124,.T.); #205821=EDGE_CURVE('',#165987,#165985,#91125,.T.); #205822=EDGE_CURVE('',#165986,#165987,#91126,.T.); #205823=EDGE_CURVE('',#165981,#165986,#91127,.T.); #205824=EDGE_CURVE('',#165982,#165987,#91128,.T.); #205825=EDGE_CURVE('',#165988,#165989,#91129,.T.); #205826=EDGE_CURVE('',#165989,#165990,#91130,.T.); #205827=EDGE_CURVE('',#165991,#165990,#91131,.T.); #205828=EDGE_CURVE('',#165988,#165991,#91132,.T.); #205829=EDGE_CURVE('',#165992,#165988,#91133,.T.); #205830=EDGE_CURVE('',#165993,#165991,#91134,.T.); #205831=EDGE_CURVE('',#165992,#165993,#91135,.T.); #205832=EDGE_CURVE('',#165994,#165992,#91136,.T.); #205833=EDGE_CURVE('',#165995,#165993,#91137,.T.); #205834=EDGE_CURVE('',#165994,#165995,#91138,.T.); #205835=EDGE_CURVE('',#165989,#165994,#91139,.T.); #205836=EDGE_CURVE('',#165990,#165995,#91140,.T.); #205837=EDGE_CURVE('',#165996,#165997,#91141,.T.); #205838=EDGE_CURVE('',#165997,#165998,#91142,.T.); #205839=EDGE_CURVE('',#165999,#165998,#91143,.T.); #205840=EDGE_CURVE('',#165996,#165999,#91144,.T.); #205841=EDGE_CURVE('',#166000,#165996,#91145,.T.); #205842=EDGE_CURVE('',#166001,#165999,#91146,.T.); #205843=EDGE_CURVE('',#166000,#166001,#91147,.T.); #205844=EDGE_CURVE('',#166002,#166000,#91148,.T.); #205845=EDGE_CURVE('',#166003,#166001,#91149,.T.); #205846=EDGE_CURVE('',#166002,#166003,#91150,.T.); #205847=EDGE_CURVE('',#165997,#166002,#91151,.T.); #205848=EDGE_CURVE('',#165998,#166003,#91152,.T.); #205849=EDGE_CURVE('',#166004,#166005,#91153,.T.); #205850=EDGE_CURVE('',#166005,#166006,#91154,.T.); #205851=EDGE_CURVE('',#166007,#166006,#91155,.T.); #205852=EDGE_CURVE('',#166004,#166007,#91156,.T.); #205853=EDGE_CURVE('',#166008,#166004,#91157,.T.); #205854=EDGE_CURVE('',#166009,#166007,#91158,.T.); #205855=EDGE_CURVE('',#166008,#166009,#91159,.T.); #205856=EDGE_CURVE('',#166010,#166008,#91160,.T.); #205857=EDGE_CURVE('',#166011,#166009,#91161,.T.); #205858=EDGE_CURVE('',#166010,#166011,#91162,.T.); #205859=EDGE_CURVE('',#166005,#166010,#91163,.T.); #205860=EDGE_CURVE('',#166006,#166011,#91164,.T.); #205861=EDGE_CURVE('',#166012,#166013,#91165,.T.); #205862=EDGE_CURVE('',#166013,#166014,#91166,.T.); #205863=EDGE_CURVE('',#166015,#166014,#91167,.T.); #205864=EDGE_CURVE('',#166012,#166015,#91168,.T.); #205865=EDGE_CURVE('',#166016,#166012,#91169,.T.); #205866=EDGE_CURVE('',#166017,#166015,#91170,.T.); #205867=EDGE_CURVE('',#166016,#166017,#91171,.T.); #205868=EDGE_CURVE('',#166018,#166016,#91172,.T.); #205869=EDGE_CURVE('',#166019,#166017,#91173,.T.); #205870=EDGE_CURVE('',#166018,#166019,#91174,.T.); #205871=EDGE_CURVE('',#166013,#166018,#91175,.T.); #205872=EDGE_CURVE('',#166014,#166019,#91176,.T.); #205873=EDGE_CURVE('',#166020,#166021,#91177,.T.); #205874=EDGE_CURVE('',#166021,#166022,#91178,.T.); #205875=EDGE_CURVE('',#166023,#166022,#91179,.T.); #205876=EDGE_CURVE('',#166020,#166023,#91180,.T.); #205877=EDGE_CURVE('',#166024,#166020,#91181,.T.); #205878=EDGE_CURVE('',#166025,#166023,#91182,.T.); #205879=EDGE_CURVE('',#166024,#166025,#91183,.T.); #205880=EDGE_CURVE('',#166026,#166024,#91184,.T.); #205881=EDGE_CURVE('',#166027,#166025,#91185,.T.); #205882=EDGE_CURVE('',#166026,#166027,#91186,.T.); #205883=EDGE_CURVE('',#166021,#166026,#91187,.T.); #205884=EDGE_CURVE('',#166022,#166027,#91188,.T.); #205885=EDGE_CURVE('',#166028,#166029,#91189,.T.); #205886=EDGE_CURVE('',#166029,#166030,#91190,.T.); #205887=EDGE_CURVE('',#166031,#166030,#91191,.T.); #205888=EDGE_CURVE('',#166028,#166031,#91192,.T.); #205889=EDGE_CURVE('',#166032,#166028,#91193,.T.); #205890=EDGE_CURVE('',#166033,#166031,#91194,.T.); #205891=EDGE_CURVE('',#166032,#166033,#91195,.T.); #205892=EDGE_CURVE('',#166034,#166032,#91196,.T.); #205893=EDGE_CURVE('',#166035,#166033,#91197,.T.); #205894=EDGE_CURVE('',#166034,#166035,#91198,.T.); #205895=EDGE_CURVE('',#166029,#166034,#91199,.T.); #205896=EDGE_CURVE('',#166030,#166035,#91200,.T.); #205897=EDGE_CURVE('',#166036,#166036,#68073,.T.); #205898=EDGE_CURVE('',#166036,#166037,#91201,.T.); #205899=EDGE_CURVE('',#166037,#166037,#68074,.T.); #205900=EDGE_CURVE('',#166038,#166039,#91202,.T.); #205901=EDGE_CURVE('',#166039,#166040,#91203,.T.); #205902=EDGE_CURVE('',#166041,#166040,#91204,.T.); #205903=EDGE_CURVE('',#166038,#166041,#91205,.T.); #205904=EDGE_CURVE('',#166042,#166038,#91206,.T.); #205905=EDGE_CURVE('',#166043,#166041,#91207,.T.); #205906=EDGE_CURVE('',#166042,#166043,#91208,.T.); #205907=EDGE_CURVE('',#166044,#166042,#91209,.T.); #205908=EDGE_CURVE('',#166045,#166043,#91210,.T.); #205909=EDGE_CURVE('',#166044,#166045,#91211,.T.); #205910=EDGE_CURVE('',#166039,#166044,#91212,.T.); #205911=EDGE_CURVE('',#166040,#166045,#91213,.T.); #205912=EDGE_CURVE('',#166046,#166047,#91214,.T.); #205913=EDGE_CURVE('',#166047,#166048,#91215,.T.); #205914=EDGE_CURVE('',#166049,#166048,#91216,.T.); #205915=EDGE_CURVE('',#166046,#166049,#91217,.T.); #205916=EDGE_CURVE('',#166050,#166046,#91218,.T.); #205917=EDGE_CURVE('',#166051,#166049,#91219,.T.); #205918=EDGE_CURVE('',#166050,#166051,#91220,.T.); #205919=EDGE_CURVE('',#166052,#166050,#91221,.T.); #205920=EDGE_CURVE('',#166053,#166051,#91222,.T.); #205921=EDGE_CURVE('',#166052,#166053,#91223,.T.); #205922=EDGE_CURVE('',#166047,#166052,#91224,.T.); #205923=EDGE_CURVE('',#166048,#166053,#91225,.T.); #205924=EDGE_CURVE('',#166054,#166055,#91226,.T.); #205925=EDGE_CURVE('',#166055,#166056,#91227,.T.); #205926=EDGE_CURVE('',#166057,#166056,#91228,.T.); #205927=EDGE_CURVE('',#166054,#166057,#91229,.T.); #205928=EDGE_CURVE('',#166058,#166054,#91230,.T.); #205929=EDGE_CURVE('',#166059,#166057,#91231,.T.); #205930=EDGE_CURVE('',#166058,#166059,#91232,.T.); #205931=EDGE_CURVE('',#166060,#166058,#91233,.T.); #205932=EDGE_CURVE('',#166061,#166059,#91234,.T.); #205933=EDGE_CURVE('',#166060,#166061,#91235,.T.); #205934=EDGE_CURVE('',#166055,#166060,#91236,.T.); #205935=EDGE_CURVE('',#166056,#166061,#91237,.T.); #205936=EDGE_CURVE('',#166062,#166062,#68075,.T.); #205937=EDGE_CURVE('',#166062,#166063,#91238,.T.); #205938=EDGE_CURVE('',#166063,#166063,#68076,.T.); #205939=EDGE_CURVE('',#166064,#166065,#91239,.T.); #205940=EDGE_CURVE('',#166065,#166066,#91240,.T.); #205941=EDGE_CURVE('',#166067,#166066,#91241,.T.); #205942=EDGE_CURVE('',#166064,#166067,#91242,.T.); #205943=EDGE_CURVE('',#166068,#166064,#91243,.T.); #205944=EDGE_CURVE('',#166069,#166067,#91244,.T.); #205945=EDGE_CURVE('',#166068,#166069,#91245,.T.); #205946=EDGE_CURVE('',#166070,#166068,#91246,.T.); #205947=EDGE_CURVE('',#166071,#166069,#91247,.T.); #205948=EDGE_CURVE('',#166070,#166071,#91248,.T.); #205949=EDGE_CURVE('',#166065,#166070,#91249,.T.); #205950=EDGE_CURVE('',#166066,#166071,#91250,.T.); #205951=EDGE_CURVE('',#166072,#166073,#91251,.T.); #205952=EDGE_CURVE('',#166073,#166074,#91252,.T.); #205953=EDGE_CURVE('',#166075,#166074,#91253,.T.); #205954=EDGE_CURVE('',#166072,#166075,#91254,.T.); #205955=EDGE_CURVE('',#166076,#166072,#91255,.T.); #205956=EDGE_CURVE('',#166077,#166075,#91256,.T.); #205957=EDGE_CURVE('',#166076,#166077,#91257,.T.); #205958=EDGE_CURVE('',#166078,#166076,#91258,.T.); #205959=EDGE_CURVE('',#166079,#166077,#91259,.T.); #205960=EDGE_CURVE('',#166078,#166079,#91260,.T.); #205961=EDGE_CURVE('',#166073,#166078,#91261,.T.); #205962=EDGE_CURVE('',#166074,#166079,#91262,.T.); #205963=EDGE_CURVE('',#166080,#166081,#91263,.T.); #205964=EDGE_CURVE('',#166081,#166082,#91264,.T.); #205965=EDGE_CURVE('',#166083,#166082,#91265,.T.); #205966=EDGE_CURVE('',#166080,#166083,#91266,.T.); #205967=EDGE_CURVE('',#166084,#166080,#91267,.T.); #205968=EDGE_CURVE('',#166085,#166083,#91268,.T.); #205969=EDGE_CURVE('',#166084,#166085,#91269,.T.); #205970=EDGE_CURVE('',#166086,#166084,#91270,.T.); #205971=EDGE_CURVE('',#166087,#166085,#91271,.T.); #205972=EDGE_CURVE('',#166086,#166087,#91272,.T.); #205973=EDGE_CURVE('',#166081,#166086,#91273,.T.); #205974=EDGE_CURVE('',#166082,#166087,#91274,.T.); #205975=EDGE_CURVE('',#166088,#166089,#91275,.T.); #205976=EDGE_CURVE('',#166089,#166090,#91276,.T.); #205977=EDGE_CURVE('',#166091,#166090,#91277,.T.); #205978=EDGE_CURVE('',#166088,#166091,#91278,.T.); #205979=EDGE_CURVE('',#166092,#166088,#91279,.T.); #205980=EDGE_CURVE('',#166093,#166091,#91280,.T.); #205981=EDGE_CURVE('',#166092,#166093,#91281,.T.); #205982=EDGE_CURVE('',#166094,#166092,#91282,.T.); #205983=EDGE_CURVE('',#166095,#166093,#91283,.T.); #205984=EDGE_CURVE('',#166094,#166095,#91284,.T.); #205985=EDGE_CURVE('',#166089,#166094,#91285,.T.); #205986=EDGE_CURVE('',#166090,#166095,#91286,.T.); #205987=EDGE_CURVE('',#166096,#166097,#91287,.T.); #205988=EDGE_CURVE('',#166097,#166098,#91288,.T.); #205989=EDGE_CURVE('',#166099,#166098,#91289,.T.); #205990=EDGE_CURVE('',#166096,#166099,#91290,.T.); #205991=EDGE_CURVE('',#166100,#166096,#91291,.T.); #205992=EDGE_CURVE('',#166101,#166099,#91292,.T.); #205993=EDGE_CURVE('',#166100,#166101,#91293,.T.); #205994=EDGE_CURVE('',#166102,#166100,#91294,.T.); #205995=EDGE_CURVE('',#166103,#166101,#91295,.T.); #205996=EDGE_CURVE('',#166102,#166103,#91296,.T.); #205997=EDGE_CURVE('',#166097,#166102,#91297,.T.); #205998=EDGE_CURVE('',#166098,#166103,#91298,.T.); #205999=EDGE_CURVE('',#166104,#166105,#91299,.T.); #206000=EDGE_CURVE('',#166105,#166106,#91300,.T.); #206001=EDGE_CURVE('',#166107,#166106,#91301,.T.); #206002=EDGE_CURVE('',#166104,#166107,#91302,.T.); #206003=EDGE_CURVE('',#166108,#166104,#91303,.T.); #206004=EDGE_CURVE('',#166109,#166107,#91304,.T.); #206005=EDGE_CURVE('',#166108,#166109,#91305,.T.); #206006=EDGE_CURVE('',#166110,#166108,#91306,.T.); #206007=EDGE_CURVE('',#166111,#166109,#91307,.T.); #206008=EDGE_CURVE('',#166110,#166111,#91308,.T.); #206009=EDGE_CURVE('',#166105,#166110,#91309,.T.); #206010=EDGE_CURVE('',#166106,#166111,#91310,.T.); #206011=EDGE_CURVE('',#166112,#166113,#91311,.T.); #206012=EDGE_CURVE('',#166113,#166114,#91312,.T.); #206013=EDGE_CURVE('',#166115,#166114,#91313,.T.); #206014=EDGE_CURVE('',#166112,#166115,#91314,.T.); #206015=EDGE_CURVE('',#166116,#166112,#91315,.T.); #206016=EDGE_CURVE('',#166117,#166115,#91316,.T.); #206017=EDGE_CURVE('',#166116,#166117,#91317,.T.); #206018=EDGE_CURVE('',#166118,#166116,#91318,.T.); #206019=EDGE_CURVE('',#166119,#166117,#91319,.T.); #206020=EDGE_CURVE('',#166118,#166119,#91320,.T.); #206021=EDGE_CURVE('',#166113,#166118,#91321,.T.); #206022=EDGE_CURVE('',#166114,#166119,#91322,.T.); #206023=EDGE_CURVE('',#166120,#166121,#91323,.T.); #206024=EDGE_CURVE('',#166121,#166122,#91324,.T.); #206025=EDGE_CURVE('',#166123,#166122,#91325,.T.); #206026=EDGE_CURVE('',#166120,#166123,#91326,.T.); #206027=EDGE_CURVE('',#166124,#166120,#91327,.T.); #206028=EDGE_CURVE('',#166125,#166123,#91328,.T.); #206029=EDGE_CURVE('',#166124,#166125,#91329,.T.); #206030=EDGE_CURVE('',#166126,#166124,#91330,.T.); #206031=EDGE_CURVE('',#166127,#166125,#91331,.T.); #206032=EDGE_CURVE('',#166126,#166127,#91332,.T.); #206033=EDGE_CURVE('',#166121,#166126,#91333,.T.); #206034=EDGE_CURVE('',#166122,#166127,#91334,.T.); #206035=EDGE_CURVE('',#166128,#166129,#91335,.T.); #206036=EDGE_CURVE('',#166129,#166130,#91336,.T.); #206037=EDGE_CURVE('',#166131,#166130,#91337,.T.); #206038=EDGE_CURVE('',#166128,#166131,#91338,.T.); #206039=EDGE_CURVE('',#166132,#166128,#91339,.T.); #206040=EDGE_CURVE('',#166133,#166131,#91340,.T.); #206041=EDGE_CURVE('',#166132,#166133,#91341,.T.); #206042=EDGE_CURVE('',#166134,#166132,#91342,.T.); #206043=EDGE_CURVE('',#166135,#166133,#91343,.T.); #206044=EDGE_CURVE('',#166134,#166135,#91344,.T.); #206045=EDGE_CURVE('',#166129,#166134,#91345,.T.); #206046=EDGE_CURVE('',#166130,#166135,#91346,.T.); #206047=EDGE_CURVE('',#166136,#166137,#91347,.T.); #206048=EDGE_CURVE('',#166137,#166138,#91348,.T.); #206049=EDGE_CURVE('',#166139,#166138,#91349,.T.); #206050=EDGE_CURVE('',#166136,#166139,#91350,.T.); #206051=EDGE_CURVE('',#166140,#166136,#91351,.T.); #206052=EDGE_CURVE('',#166141,#166139,#91352,.T.); #206053=EDGE_CURVE('',#166140,#166141,#91353,.T.); #206054=EDGE_CURVE('',#166142,#166140,#91354,.T.); #206055=EDGE_CURVE('',#166143,#166141,#91355,.T.); #206056=EDGE_CURVE('',#166142,#166143,#91356,.T.); #206057=EDGE_CURVE('',#166137,#166142,#91357,.T.); #206058=EDGE_CURVE('',#166138,#166143,#91358,.T.); #206059=EDGE_CURVE('',#166144,#166145,#91359,.T.); #206060=EDGE_CURVE('',#166145,#166146,#91360,.T.); #206061=EDGE_CURVE('',#166147,#166146,#91361,.T.); #206062=EDGE_CURVE('',#166144,#166147,#91362,.T.); #206063=EDGE_CURVE('',#166148,#166144,#91363,.T.); #206064=EDGE_CURVE('',#166149,#166147,#91364,.T.); #206065=EDGE_CURVE('',#166148,#166149,#91365,.T.); #206066=EDGE_CURVE('',#166150,#166148,#91366,.T.); #206067=EDGE_CURVE('',#166151,#166149,#91367,.T.); #206068=EDGE_CURVE('',#166150,#166151,#91368,.T.); #206069=EDGE_CURVE('',#166145,#166150,#91369,.T.); #206070=EDGE_CURVE('',#166146,#166151,#91370,.T.); #206071=EDGE_CURVE('',#166152,#166153,#91371,.T.); #206072=EDGE_CURVE('',#166153,#166154,#91372,.T.); #206073=EDGE_CURVE('',#166155,#166154,#91373,.T.); #206074=EDGE_CURVE('',#166152,#166155,#91374,.T.); #206075=EDGE_CURVE('',#166156,#166152,#91375,.T.); #206076=EDGE_CURVE('',#166157,#166155,#91376,.T.); #206077=EDGE_CURVE('',#166156,#166157,#91377,.T.); #206078=EDGE_CURVE('',#166158,#166156,#91378,.T.); #206079=EDGE_CURVE('',#166159,#166157,#91379,.T.); #206080=EDGE_CURVE('',#166158,#166159,#91380,.T.); #206081=EDGE_CURVE('',#166153,#166158,#91381,.T.); #206082=EDGE_CURVE('',#166154,#166159,#91382,.T.); #206083=EDGE_CURVE('',#166160,#166161,#91383,.T.); #206084=EDGE_CURVE('',#166162,#166160,#68077,.T.); #206085=EDGE_CURVE('',#166163,#166162,#91384,.T.); #206086=EDGE_CURVE('',#166161,#166163,#68078,.T.); #206087=EDGE_CURVE('',#166164,#166165,#91385,.T.); #206088=EDGE_CURVE('',#166166,#166164,#68079,.T.); #206089=EDGE_CURVE('',#166167,#166166,#91386,.T.); #206090=EDGE_CURVE('',#166165,#166167,#68080,.T.); #206091=EDGE_CURVE('',#166168,#166168,#68081,.T.); #206092=EDGE_CURVE('',#166168,#166169,#91387,.T.); #206093=EDGE_CURVE('',#166169,#166169,#68082,.T.); #206094=EDGE_CURVE('',#166170,#166171,#91388,.T.); #206095=EDGE_CURVE('',#166172,#166170,#68083,.T.); #206096=EDGE_CURVE('',#166173,#166172,#91389,.T.); #206097=EDGE_CURVE('',#166171,#166173,#68084,.T.); #206098=EDGE_CURVE('',#166174,#166175,#91390,.T.); #206099=EDGE_CURVE('',#166176,#166174,#68085,.T.); #206100=EDGE_CURVE('',#166177,#166176,#91391,.T.); #206101=EDGE_CURVE('',#166175,#166177,#68086,.T.); #206102=EDGE_CURVE('',#166178,#166179,#91392,.T.); #206103=EDGE_CURVE('',#166180,#166178,#68087,.T.); #206104=EDGE_CURVE('',#166181,#166180,#91393,.T.); #206105=EDGE_CURVE('',#166179,#166181,#68088,.T.); #206106=EDGE_CURVE('',#166182,#166183,#91394,.T.); #206107=EDGE_CURVE('',#166184,#166182,#68089,.T.); #206108=EDGE_CURVE('',#166185,#166184,#91395,.T.); #206109=EDGE_CURVE('',#166183,#166185,#68090,.T.); #206110=EDGE_CURVE('',#166186,#166187,#91396,.T.); #206111=EDGE_CURVE('',#166188,#166186,#68091,.T.); #206112=EDGE_CURVE('',#166189,#166188,#91397,.T.); #206113=EDGE_CURVE('',#166187,#166189,#68092,.T.); #206114=EDGE_CURVE('',#166190,#166191,#91398,.T.); #206115=EDGE_CURVE('',#166192,#166190,#68093,.T.); #206116=EDGE_CURVE('',#166193,#166192,#91399,.T.); #206117=EDGE_CURVE('',#166191,#166193,#68094,.T.); #206118=EDGE_CURVE('',#166194,#166161,#91400,.T.); #206119=EDGE_CURVE('',#166195,#166194,#91401,.T.); #206120=EDGE_CURVE('',#166195,#166160,#91402,.T.); #206121=EDGE_CURVE('',#166162,#166164,#91403,.T.); #206122=EDGE_CURVE('',#166163,#166165,#91404,.T.); #206123=EDGE_CURVE('',#166196,#166179,#91405,.T.); #206124=EDGE_CURVE('',#166197,#166196,#91406,.T.); #206125=EDGE_CURVE('',#166197,#166178,#91407,.T.); #206126=EDGE_CURVE('',#166180,#166182,#91408,.T.); #206127=EDGE_CURVE('',#166181,#166183,#91409,.T.); #206128=EDGE_CURVE('',#166185,#166175,#91410,.T.); #206129=EDGE_CURVE('',#166184,#166174,#91411,.T.); #206130=EDGE_CURVE('',#166176,#166195,#91412,.T.); #206131=EDGE_CURVE('',#166177,#166194,#91413,.T.); #206132=EDGE_CURVE('',#166189,#166171,#91414,.T.); #206133=EDGE_CURVE('',#166188,#166170,#91415,.T.); #206134=EDGE_CURVE('',#166172,#166197,#91416,.T.); #206135=EDGE_CURVE('',#166173,#166196,#91417,.T.); #206136=EDGE_CURVE('',#166190,#166187,#91418,.T.); #206137=EDGE_CURVE('',#166191,#166186,#91419,.T.); #206138=EDGE_CURVE('',#166166,#166193,#91420,.T.); #206139=EDGE_CURVE('',#166167,#166192,#91421,.T.); #206140=EDGE_CURVE('',#166198,#166198,#68095,.T.); #206141=EDGE_CURVE('',#166198,#166199,#91422,.T.); #206142=EDGE_CURVE('',#166199,#166199,#68096,.T.); #206143=EDGE_CURVE('',#166200,#166201,#91423,.T.); #206144=EDGE_CURVE('',#166201,#166202,#91424,.T.); #206145=EDGE_CURVE('',#166203,#166202,#91425,.T.); #206146=EDGE_CURVE('',#166200,#166203,#91426,.T.); #206147=EDGE_CURVE('',#166204,#166200,#91427,.T.); #206148=EDGE_CURVE('',#166205,#166203,#91428,.T.); #206149=EDGE_CURVE('',#166204,#166205,#91429,.T.); #206150=EDGE_CURVE('',#166206,#166204,#91430,.T.); #206151=EDGE_CURVE('',#166207,#166205,#91431,.T.); #206152=EDGE_CURVE('',#166206,#166207,#91432,.T.); #206153=EDGE_CURVE('',#166201,#166206,#91433,.T.); #206154=EDGE_CURVE('',#166202,#166207,#91434,.T.); #206155=EDGE_CURVE('',#166208,#166209,#91435,.T.); #206156=EDGE_CURVE('',#166209,#166210,#91436,.T.); #206157=EDGE_CURVE('',#166211,#166210,#91437,.T.); #206158=EDGE_CURVE('',#166208,#166211,#91438,.T.); #206159=EDGE_CURVE('',#166212,#166208,#91439,.T.); #206160=EDGE_CURVE('',#166213,#166211,#91440,.T.); #206161=EDGE_CURVE('',#166212,#166213,#91441,.T.); #206162=EDGE_CURVE('',#166214,#166212,#91442,.T.); #206163=EDGE_CURVE('',#166215,#166213,#91443,.T.); #206164=EDGE_CURVE('',#166214,#166215,#91444,.T.); #206165=EDGE_CURVE('',#166209,#166214,#91445,.T.); #206166=EDGE_CURVE('',#166210,#166215,#91446,.T.); #206167=EDGE_CURVE('',#166216,#166217,#91447,.T.); #206168=EDGE_CURVE('',#166217,#166218,#91448,.T.); #206169=EDGE_CURVE('',#166219,#166218,#91449,.T.); #206170=EDGE_CURVE('',#166216,#166219,#91450,.T.); #206171=EDGE_CURVE('',#166220,#166216,#91451,.T.); #206172=EDGE_CURVE('',#166221,#166219,#91452,.T.); #206173=EDGE_CURVE('',#166220,#166221,#91453,.T.); #206174=EDGE_CURVE('',#166222,#166220,#91454,.T.); #206175=EDGE_CURVE('',#166223,#166221,#91455,.T.); #206176=EDGE_CURVE('',#166222,#166223,#91456,.T.); #206177=EDGE_CURVE('',#166217,#166222,#91457,.T.); #206178=EDGE_CURVE('',#166218,#166223,#91458,.T.); #206179=EDGE_CURVE('',#166224,#166225,#91459,.T.); #206180=EDGE_CURVE('',#166225,#166226,#91460,.T.); #206181=EDGE_CURVE('',#166227,#166226,#91461,.T.); #206182=EDGE_CURVE('',#166224,#166227,#91462,.T.); #206183=EDGE_CURVE('',#166228,#166224,#91463,.T.); #206184=EDGE_CURVE('',#166229,#166227,#91464,.T.); #206185=EDGE_CURVE('',#166228,#166229,#91465,.T.); #206186=EDGE_CURVE('',#166230,#166228,#91466,.T.); #206187=EDGE_CURVE('',#166231,#166229,#91467,.T.); #206188=EDGE_CURVE('',#166230,#166231,#91468,.T.); #206189=EDGE_CURVE('',#166225,#166230,#91469,.T.); #206190=EDGE_CURVE('',#166226,#166231,#91470,.T.); #206191=EDGE_CURVE('',#166232,#166233,#91471,.T.); #206192=EDGE_CURVE('',#166233,#166234,#91472,.T.); #206193=EDGE_CURVE('',#166235,#166234,#91473,.T.); #206194=EDGE_CURVE('',#166232,#166235,#91474,.T.); #206195=EDGE_CURVE('',#166236,#166232,#91475,.T.); #206196=EDGE_CURVE('',#166237,#166235,#91476,.T.); #206197=EDGE_CURVE('',#166236,#166237,#91477,.T.); #206198=EDGE_CURVE('',#166238,#166236,#91478,.T.); #206199=EDGE_CURVE('',#166239,#166237,#91479,.T.); #206200=EDGE_CURVE('',#166238,#166239,#91480,.T.); #206201=EDGE_CURVE('',#166233,#166238,#91481,.T.); #206202=EDGE_CURVE('',#166234,#166239,#91482,.T.); #206203=EDGE_CURVE('',#166240,#166241,#91483,.T.); #206204=EDGE_CURVE('',#166241,#166242,#91484,.T.); #206205=EDGE_CURVE('',#166243,#166242,#91485,.T.); #206206=EDGE_CURVE('',#166240,#166243,#91486,.T.); #206207=EDGE_CURVE('',#166244,#166240,#91487,.T.); #206208=EDGE_CURVE('',#166245,#166243,#91488,.T.); #206209=EDGE_CURVE('',#166244,#166245,#91489,.T.); #206210=EDGE_CURVE('',#166246,#166244,#91490,.T.); #206211=EDGE_CURVE('',#166247,#166245,#91491,.T.); #206212=EDGE_CURVE('',#166246,#166247,#91492,.T.); #206213=EDGE_CURVE('',#166241,#166246,#91493,.T.); #206214=EDGE_CURVE('',#166242,#166247,#91494,.T.); #206215=EDGE_CURVE('',#166248,#166248,#68097,.T.); #206216=EDGE_CURVE('',#166248,#166249,#91495,.T.); #206217=EDGE_CURVE('',#166249,#166249,#68098,.T.); #206218=EDGE_CURVE('',#166250,#166251,#91496,.T.); #206219=EDGE_CURVE('',#166251,#166252,#91497,.T.); #206220=EDGE_CURVE('',#166253,#166252,#91498,.T.); #206221=EDGE_CURVE('',#166250,#166253,#91499,.T.); #206222=EDGE_CURVE('',#166254,#166250,#91500,.T.); #206223=EDGE_CURVE('',#166255,#166253,#91501,.T.); #206224=EDGE_CURVE('',#166254,#166255,#91502,.T.); #206225=EDGE_CURVE('',#166256,#166254,#91503,.T.); #206226=EDGE_CURVE('',#166257,#166255,#91504,.T.); #206227=EDGE_CURVE('',#166256,#166257,#91505,.T.); #206228=EDGE_CURVE('',#166251,#166256,#91506,.T.); #206229=EDGE_CURVE('',#166252,#166257,#91507,.T.); #206230=EDGE_CURVE('',#166258,#166258,#68099,.T.); #206231=EDGE_CURVE('',#166258,#166259,#91508,.T.); #206232=EDGE_CURVE('',#166259,#166259,#68100,.T.); #206233=EDGE_CURVE('',#166260,#166261,#91509,.T.); #206234=EDGE_CURVE('',#166261,#166262,#91510,.T.); #206235=EDGE_CURVE('',#166263,#166262,#91511,.T.); #206236=EDGE_CURVE('',#166260,#166263,#91512,.T.); #206237=EDGE_CURVE('',#166264,#166260,#91513,.T.); #206238=EDGE_CURVE('',#166265,#166263,#91514,.T.); #206239=EDGE_CURVE('',#166264,#166265,#91515,.T.); #206240=EDGE_CURVE('',#166266,#166264,#91516,.T.); #206241=EDGE_CURVE('',#166267,#166265,#91517,.T.); #206242=EDGE_CURVE('',#166266,#166267,#91518,.T.); #206243=EDGE_CURVE('',#166261,#166266,#91519,.T.); #206244=EDGE_CURVE('',#166262,#166267,#91520,.T.); #206245=EDGE_CURVE('',#166268,#166269,#91521,.T.); #206246=EDGE_CURVE('',#166269,#166270,#91522,.T.); #206247=EDGE_CURVE('',#166271,#166270,#91523,.T.); #206248=EDGE_CURVE('',#166268,#166271,#91524,.T.); #206249=EDGE_CURVE('',#166272,#166268,#91525,.T.); #206250=EDGE_CURVE('',#166273,#166271,#91526,.T.); #206251=EDGE_CURVE('',#166272,#166273,#91527,.T.); #206252=EDGE_CURVE('',#166274,#166272,#91528,.T.); #206253=EDGE_CURVE('',#166275,#166273,#91529,.T.); #206254=EDGE_CURVE('',#166274,#166275,#91530,.T.); #206255=EDGE_CURVE('',#166269,#166274,#91531,.T.); #206256=EDGE_CURVE('',#166270,#166275,#91532,.T.); #206257=EDGE_CURVE('',#166276,#166277,#91533,.T.); #206258=EDGE_CURVE('',#166277,#166278,#91534,.T.); #206259=EDGE_CURVE('',#166279,#166278,#91535,.T.); #206260=EDGE_CURVE('',#166276,#166279,#91536,.T.); #206261=EDGE_CURVE('',#166280,#166276,#91537,.T.); #206262=EDGE_CURVE('',#166281,#166279,#91538,.T.); #206263=EDGE_CURVE('',#166280,#166281,#91539,.T.); #206264=EDGE_CURVE('',#166282,#166280,#91540,.T.); #206265=EDGE_CURVE('',#166283,#166281,#91541,.T.); #206266=EDGE_CURVE('',#166282,#166283,#91542,.T.); #206267=EDGE_CURVE('',#166277,#166282,#91543,.T.); #206268=EDGE_CURVE('',#166278,#166283,#91544,.T.); #206269=EDGE_CURVE('',#166284,#166285,#91545,.T.); #206270=EDGE_CURVE('',#166285,#166286,#91546,.T.); #206271=EDGE_CURVE('',#166287,#166286,#91547,.T.); #206272=EDGE_CURVE('',#166284,#166287,#91548,.T.); #206273=EDGE_CURVE('',#166288,#166284,#91549,.T.); #206274=EDGE_CURVE('',#166289,#166287,#91550,.T.); #206275=EDGE_CURVE('',#166288,#166289,#91551,.T.); #206276=EDGE_CURVE('',#166290,#166288,#91552,.T.); #206277=EDGE_CURVE('',#166291,#166289,#91553,.T.); #206278=EDGE_CURVE('',#166290,#166291,#91554,.T.); #206279=EDGE_CURVE('',#166285,#166290,#91555,.T.); #206280=EDGE_CURVE('',#166286,#166291,#91556,.T.); #206281=EDGE_CURVE('',#166292,#166293,#91557,.T.); #206282=EDGE_CURVE('',#166293,#166294,#91558,.T.); #206283=EDGE_CURVE('',#166295,#166294,#91559,.T.); #206284=EDGE_CURVE('',#166292,#166295,#91560,.T.); #206285=EDGE_CURVE('',#166296,#166292,#91561,.T.); #206286=EDGE_CURVE('',#166297,#166295,#91562,.T.); #206287=EDGE_CURVE('',#166296,#166297,#91563,.T.); #206288=EDGE_CURVE('',#166298,#166296,#91564,.T.); #206289=EDGE_CURVE('',#166299,#166297,#91565,.T.); #206290=EDGE_CURVE('',#166298,#166299,#91566,.T.); #206291=EDGE_CURVE('',#166293,#166298,#91567,.T.); #206292=EDGE_CURVE('',#166294,#166299,#91568,.T.); #206293=EDGE_CURVE('',#166300,#166301,#91569,.T.); #206294=EDGE_CURVE('',#166301,#166302,#91570,.T.); #206295=EDGE_CURVE('',#166303,#166302,#91571,.T.); #206296=EDGE_CURVE('',#166300,#166303,#91572,.T.); #206297=EDGE_CURVE('',#166304,#166300,#91573,.T.); #206298=EDGE_CURVE('',#166305,#166303,#91574,.T.); #206299=EDGE_CURVE('',#166304,#166305,#91575,.T.); #206300=EDGE_CURVE('',#166306,#166304,#91576,.T.); #206301=EDGE_CURVE('',#166307,#166305,#91577,.T.); #206302=EDGE_CURVE('',#166306,#166307,#91578,.T.); #206303=EDGE_CURVE('',#166301,#166306,#91579,.T.); #206304=EDGE_CURVE('',#166302,#166307,#91580,.T.); #206305=EDGE_CURVE('',#166308,#166309,#91581,.T.); #206306=EDGE_CURVE('',#166309,#166310,#91582,.T.); #206307=EDGE_CURVE('',#166311,#166310,#91583,.T.); #206308=EDGE_CURVE('',#166308,#166311,#91584,.T.); #206309=EDGE_CURVE('',#166312,#166308,#91585,.T.); #206310=EDGE_CURVE('',#166313,#166311,#91586,.T.); #206311=EDGE_CURVE('',#166312,#166313,#91587,.T.); #206312=EDGE_CURVE('',#166314,#166312,#91588,.T.); #206313=EDGE_CURVE('',#166315,#166313,#91589,.T.); #206314=EDGE_CURVE('',#166314,#166315,#91590,.T.); #206315=EDGE_CURVE('',#166309,#166314,#91591,.T.); #206316=EDGE_CURVE('',#166310,#166315,#91592,.T.); #206317=EDGE_CURVE('',#166316,#166317,#91593,.T.); #206318=EDGE_CURVE('',#166317,#166318,#91594,.T.); #206319=EDGE_CURVE('',#166319,#166318,#91595,.T.); #206320=EDGE_CURVE('',#166316,#166319,#91596,.T.); #206321=EDGE_CURVE('',#166320,#166316,#91597,.T.); #206322=EDGE_CURVE('',#166321,#166319,#91598,.T.); #206323=EDGE_CURVE('',#166320,#166321,#91599,.T.); #206324=EDGE_CURVE('',#166322,#166320,#91600,.T.); #206325=EDGE_CURVE('',#166323,#166321,#91601,.T.); #206326=EDGE_CURVE('',#166322,#166323,#91602,.T.); #206327=EDGE_CURVE('',#166317,#166322,#91603,.T.); #206328=EDGE_CURVE('',#166318,#166323,#91604,.T.); #206329=EDGE_CURVE('',#166324,#166325,#91605,.T.); #206330=EDGE_CURVE('',#166325,#166326,#91606,.T.); #206331=EDGE_CURVE('',#166327,#166326,#91607,.T.); #206332=EDGE_CURVE('',#166324,#166327,#91608,.T.); #206333=EDGE_CURVE('',#166328,#166324,#91609,.T.); #206334=EDGE_CURVE('',#166329,#166327,#91610,.T.); #206335=EDGE_CURVE('',#166328,#166329,#91611,.T.); #206336=EDGE_CURVE('',#166330,#166328,#91612,.T.); #206337=EDGE_CURVE('',#166331,#166329,#91613,.T.); #206338=EDGE_CURVE('',#166330,#166331,#91614,.T.); #206339=EDGE_CURVE('',#166325,#166330,#91615,.T.); #206340=EDGE_CURVE('',#166326,#166331,#91616,.T.); #206341=EDGE_CURVE('',#166332,#166333,#91617,.T.); #206342=EDGE_CURVE('',#166333,#166334,#91618,.T.); #206343=EDGE_CURVE('',#166335,#166334,#91619,.T.); #206344=EDGE_CURVE('',#166332,#166335,#91620,.T.); #206345=EDGE_CURVE('',#166336,#166332,#91621,.T.); #206346=EDGE_CURVE('',#166337,#166335,#91622,.T.); #206347=EDGE_CURVE('',#166336,#166337,#91623,.T.); #206348=EDGE_CURVE('',#166338,#166336,#91624,.T.); #206349=EDGE_CURVE('',#166339,#166337,#91625,.T.); #206350=EDGE_CURVE('',#166338,#166339,#91626,.T.); #206351=EDGE_CURVE('',#166333,#166338,#91627,.T.); #206352=EDGE_CURVE('',#166334,#166339,#91628,.T.); #206353=EDGE_CURVE('',#166340,#166341,#91629,.T.); #206354=EDGE_CURVE('',#166341,#166342,#91630,.T.); #206355=EDGE_CURVE('',#166343,#166342,#91631,.T.); #206356=EDGE_CURVE('',#166340,#166343,#91632,.T.); #206357=EDGE_CURVE('',#166344,#166340,#91633,.T.); #206358=EDGE_CURVE('',#166345,#166343,#91634,.T.); #206359=EDGE_CURVE('',#166344,#166345,#91635,.T.); #206360=EDGE_CURVE('',#166346,#166344,#91636,.T.); #206361=EDGE_CURVE('',#166347,#166345,#91637,.T.); #206362=EDGE_CURVE('',#166346,#166347,#91638,.T.); #206363=EDGE_CURVE('',#166341,#166346,#91639,.T.); #206364=EDGE_CURVE('',#166342,#166347,#91640,.T.); #206365=EDGE_CURVE('',#166348,#166349,#91641,.T.); #206366=EDGE_CURVE('',#166349,#166350,#91642,.T.); #206367=EDGE_CURVE('',#166351,#166350,#91643,.T.); #206368=EDGE_CURVE('',#166348,#166351,#91644,.T.); #206369=EDGE_CURVE('',#166352,#166348,#91645,.T.); #206370=EDGE_CURVE('',#166353,#166351,#91646,.T.); #206371=EDGE_CURVE('',#166352,#166353,#91647,.T.); #206372=EDGE_CURVE('',#166354,#166352,#91648,.T.); #206373=EDGE_CURVE('',#166355,#166353,#91649,.T.); #206374=EDGE_CURVE('',#166354,#166355,#91650,.T.); #206375=EDGE_CURVE('',#166349,#166354,#91651,.T.); #206376=EDGE_CURVE('',#166350,#166355,#91652,.T.); #206377=EDGE_CURVE('',#166356,#166356,#68101,.T.); #206378=EDGE_CURVE('',#166356,#166357,#91653,.T.); #206379=EDGE_CURVE('',#166357,#166357,#68102,.T.); #206380=EDGE_CURVE('',#166358,#166358,#68103,.T.); #206381=EDGE_CURVE('',#166358,#166359,#91654,.T.); #206382=EDGE_CURVE('',#166359,#166359,#68104,.T.); #206383=EDGE_CURVE('',#166360,#166360,#68105,.T.); #206384=EDGE_CURVE('',#166360,#166361,#91655,.T.); #206385=EDGE_CURVE('',#166361,#166361,#68106,.T.); #206386=EDGE_CURVE('',#166362,#166362,#68107,.T.); #206387=EDGE_CURVE('',#166362,#166363,#91656,.T.); #206388=EDGE_CURVE('',#166363,#166363,#68108,.T.); #206389=EDGE_CURVE('',#166364,#166364,#68109,.T.); #206390=EDGE_CURVE('',#166364,#166365,#91657,.T.); #206391=EDGE_CURVE('',#166365,#166365,#68110,.T.); #206392=EDGE_CURVE('',#166366,#166366,#68111,.T.); #206393=EDGE_CURVE('',#166366,#166367,#91658,.T.); #206394=EDGE_CURVE('',#166367,#166367,#68112,.T.); #206395=EDGE_CURVE('',#166368,#166369,#91659,.T.); #206396=EDGE_CURVE('',#166369,#166370,#91660,.T.); #206397=EDGE_CURVE('',#166371,#166370,#91661,.T.); #206398=EDGE_CURVE('',#166368,#166371,#91662,.T.); #206399=EDGE_CURVE('',#166372,#166368,#91663,.T.); #206400=EDGE_CURVE('',#166373,#166371,#91664,.T.); #206401=EDGE_CURVE('',#166372,#166373,#91665,.T.); #206402=EDGE_CURVE('',#166374,#166372,#91666,.T.); #206403=EDGE_CURVE('',#166375,#166373,#91667,.T.); #206404=EDGE_CURVE('',#166374,#166375,#91668,.T.); #206405=EDGE_CURVE('',#166369,#166374,#91669,.T.); #206406=EDGE_CURVE('',#166370,#166375,#91670,.T.); #206407=EDGE_CURVE('',#166376,#166377,#91671,.T.); #206408=EDGE_CURVE('',#166377,#166378,#91672,.T.); #206409=EDGE_CURVE('',#166379,#166378,#91673,.T.); #206410=EDGE_CURVE('',#166376,#166379,#91674,.T.); #206411=EDGE_CURVE('',#166380,#166376,#91675,.T.); #206412=EDGE_CURVE('',#166381,#166379,#91676,.T.); #206413=EDGE_CURVE('',#166380,#166381,#91677,.T.); #206414=EDGE_CURVE('',#166382,#166380,#91678,.T.); #206415=EDGE_CURVE('',#166383,#166381,#91679,.T.); #206416=EDGE_CURVE('',#166382,#166383,#91680,.T.); #206417=EDGE_CURVE('',#166377,#166382,#91681,.T.); #206418=EDGE_CURVE('',#166378,#166383,#91682,.T.); #206419=EDGE_CURVE('',#166384,#166385,#91683,.T.); #206420=EDGE_CURVE('',#166385,#166386,#91684,.T.); #206421=EDGE_CURVE('',#166387,#166386,#91685,.T.); #206422=EDGE_CURVE('',#166384,#166387,#91686,.T.); #206423=EDGE_CURVE('',#166388,#166384,#91687,.T.); #206424=EDGE_CURVE('',#166389,#166387,#91688,.T.); #206425=EDGE_CURVE('',#166388,#166389,#91689,.T.); #206426=EDGE_CURVE('',#166390,#166388,#91690,.T.); #206427=EDGE_CURVE('',#166391,#166389,#91691,.T.); #206428=EDGE_CURVE('',#166390,#166391,#91692,.T.); #206429=EDGE_CURVE('',#166385,#166390,#91693,.T.); #206430=EDGE_CURVE('',#166386,#166391,#91694,.T.); #206431=EDGE_CURVE('',#166392,#166393,#91695,.T.); #206432=EDGE_CURVE('',#166393,#166394,#91696,.T.); #206433=EDGE_CURVE('',#166395,#166394,#91697,.T.); #206434=EDGE_CURVE('',#166392,#166395,#91698,.T.); #206435=EDGE_CURVE('',#166396,#166392,#91699,.T.); #206436=EDGE_CURVE('',#166397,#166395,#91700,.T.); #206437=EDGE_CURVE('',#166396,#166397,#91701,.T.); #206438=EDGE_CURVE('',#166398,#166396,#91702,.T.); #206439=EDGE_CURVE('',#166399,#166397,#91703,.T.); #206440=EDGE_CURVE('',#166398,#166399,#91704,.T.); #206441=EDGE_CURVE('',#166393,#166398,#91705,.T.); #206442=EDGE_CURVE('',#166394,#166399,#91706,.T.); #206443=EDGE_CURVE('',#166400,#166401,#91707,.T.); #206444=EDGE_CURVE('',#166402,#166400,#68113,.T.); #206445=EDGE_CURVE('',#166403,#166402,#91708,.T.); #206446=EDGE_CURVE('',#166401,#166403,#68114,.T.); #206447=EDGE_CURVE('',#166404,#166404,#68115,.T.); #206448=EDGE_CURVE('',#166404,#166405,#91709,.T.); #206449=EDGE_CURVE('',#166405,#166405,#68116,.T.); #206450=EDGE_CURVE('',#166406,#166407,#91710,.T.); #206451=EDGE_CURVE('',#166408,#166406,#68117,.T.); #206452=EDGE_CURVE('',#166409,#166408,#91711,.T.); #206453=EDGE_CURVE('',#166407,#166409,#68118,.T.); #206454=EDGE_CURVE('',#166410,#166411,#91712,.T.); #206455=EDGE_CURVE('',#166412,#166410,#68119,.T.); #206456=EDGE_CURVE('',#166413,#166412,#91713,.T.); #206457=EDGE_CURVE('',#166411,#166413,#68120,.T.); #206458=EDGE_CURVE('',#166414,#166415,#91714,.T.); #206459=EDGE_CURVE('',#166416,#166414,#68121,.T.); #206460=EDGE_CURVE('',#166417,#166416,#91715,.T.); #206461=EDGE_CURVE('',#166415,#166417,#68122,.T.); #206462=EDGE_CURVE('',#166418,#166418,#68123,.T.); #206463=EDGE_CURVE('',#166418,#166419,#91716,.T.); #206464=EDGE_CURVE('',#166419,#166419,#68124,.T.); #206465=EDGE_CURVE('',#166412,#166420,#91717,.T.); #206466=EDGE_CURVE('',#166420,#166421,#91718,.T.); #206467=EDGE_CURVE('',#166413,#166421,#91719,.T.); #206468=EDGE_CURVE('',#166422,#166407,#91720,.T.); #206469=EDGE_CURVE('',#166423,#166422,#91721,.T.); #206470=EDGE_CURVE('',#166423,#166406,#91722,.T.); #206471=EDGE_CURVE('',#166408,#166410,#91723,.T.); #206472=EDGE_CURVE('',#166409,#166411,#91724,.T.); #206473=EDGE_CURVE('',#166416,#166424,#91725,.T.); #206474=EDGE_CURVE('',#166424,#166425,#91726,.T.); #206475=EDGE_CURVE('',#166417,#166425,#91727,.T.); #206476=EDGE_CURVE('',#166426,#166401,#91728,.T.); #206477=EDGE_CURVE('',#166427,#166426,#91729,.T.); #206478=EDGE_CURVE('',#166427,#166400,#91730,.T.); #206479=EDGE_CURVE('',#166402,#166414,#91731,.T.); #206480=EDGE_CURVE('',#166403,#166415,#91732,.T.); #206481=EDGE_CURVE('',#166420,#166427,#91733,.T.); #206482=EDGE_CURVE('',#166424,#166423,#91734,.T.); #206483=EDGE_CURVE('',#166421,#166426,#91735,.T.); #206484=EDGE_CURVE('',#166425,#166422,#91736,.T.); #206485=EDGE_CURVE('',#166428,#166429,#91737,.T.); #206486=EDGE_CURVE('',#166429,#166430,#91738,.T.); #206487=EDGE_CURVE('',#166431,#166430,#91739,.T.); #206488=EDGE_CURVE('',#166428,#166431,#91740,.T.); #206489=EDGE_CURVE('',#166432,#166428,#91741,.T.); #206490=EDGE_CURVE('',#166433,#166431,#91742,.T.); #206491=EDGE_CURVE('',#166432,#166433,#91743,.T.); #206492=EDGE_CURVE('',#166434,#166432,#91744,.T.); #206493=EDGE_CURVE('',#166435,#166433,#91745,.T.); #206494=EDGE_CURVE('',#166434,#166435,#91746,.T.); #206495=EDGE_CURVE('',#166429,#166434,#91747,.T.); #206496=EDGE_CURVE('',#166430,#166435,#91748,.T.); #206497=EDGE_CURVE('',#166436,#166437,#91749,.T.); #206498=EDGE_CURVE('',#166437,#166438,#91750,.T.); #206499=EDGE_CURVE('',#166439,#166438,#91751,.T.); #206500=EDGE_CURVE('',#166436,#166439,#91752,.T.); #206501=EDGE_CURVE('',#166440,#166436,#91753,.T.); #206502=EDGE_CURVE('',#166441,#166439,#91754,.T.); #206503=EDGE_CURVE('',#166440,#166441,#91755,.T.); #206504=EDGE_CURVE('',#166442,#166440,#91756,.T.); #206505=EDGE_CURVE('',#166443,#166441,#91757,.T.); #206506=EDGE_CURVE('',#166442,#166443,#91758,.T.); #206507=EDGE_CURVE('',#166437,#166442,#91759,.T.); #206508=EDGE_CURVE('',#166438,#166443,#91760,.T.); #206509=EDGE_CURVE('',#166444,#166445,#91761,.T.); #206510=EDGE_CURVE('',#166445,#166446,#91762,.T.); #206511=EDGE_CURVE('',#166447,#166446,#91763,.T.); #206512=EDGE_CURVE('',#166444,#166447,#91764,.T.); #206513=EDGE_CURVE('',#166448,#166444,#91765,.T.); #206514=EDGE_CURVE('',#166449,#166447,#91766,.T.); #206515=EDGE_CURVE('',#166448,#166449,#91767,.T.); #206516=EDGE_CURVE('',#166450,#166448,#91768,.T.); #206517=EDGE_CURVE('',#166451,#166449,#91769,.T.); #206518=EDGE_CURVE('',#166450,#166451,#91770,.T.); #206519=EDGE_CURVE('',#166445,#166450,#91771,.T.); #206520=EDGE_CURVE('',#166446,#166451,#91772,.T.); #206521=EDGE_CURVE('',#166452,#166453,#91773,.T.); #206522=EDGE_CURVE('',#166453,#166454,#91774,.T.); #206523=EDGE_CURVE('',#166455,#166454,#91775,.T.); #206524=EDGE_CURVE('',#166452,#166455,#91776,.T.); #206525=EDGE_CURVE('',#166456,#166452,#91777,.T.); #206526=EDGE_CURVE('',#166457,#166455,#91778,.T.); #206527=EDGE_CURVE('',#166456,#166457,#91779,.T.); #206528=EDGE_CURVE('',#166458,#166456,#91780,.T.); #206529=EDGE_CURVE('',#166459,#166457,#91781,.T.); #206530=EDGE_CURVE('',#166458,#166459,#91782,.T.); #206531=EDGE_CURVE('',#166453,#166458,#91783,.T.); #206532=EDGE_CURVE('',#166454,#166459,#91784,.T.); #206533=EDGE_CURVE('',#166460,#166461,#91785,.T.); #206534=EDGE_CURVE('',#166461,#166462,#91786,.T.); #206535=EDGE_CURVE('',#166463,#166462,#91787,.T.); #206536=EDGE_CURVE('',#166460,#166463,#91788,.T.); #206537=EDGE_CURVE('',#166464,#166460,#91789,.T.); #206538=EDGE_CURVE('',#166465,#166463,#91790,.T.); #206539=EDGE_CURVE('',#166464,#166465,#91791,.T.); #206540=EDGE_CURVE('',#166466,#166464,#91792,.T.); #206541=EDGE_CURVE('',#166467,#166465,#91793,.T.); #206542=EDGE_CURVE('',#166466,#166467,#91794,.T.); #206543=EDGE_CURVE('',#166461,#166466,#91795,.T.); #206544=EDGE_CURVE('',#166462,#166467,#91796,.T.); #206545=EDGE_CURVE('',#166468,#166469,#91797,.T.); #206546=EDGE_CURVE('',#166469,#166470,#91798,.T.); #206547=EDGE_CURVE('',#166471,#166470,#91799,.T.); #206548=EDGE_CURVE('',#166468,#166471,#91800,.T.); #206549=EDGE_CURVE('',#166472,#166468,#91801,.T.); #206550=EDGE_CURVE('',#166473,#166471,#91802,.T.); #206551=EDGE_CURVE('',#166472,#166473,#91803,.T.); #206552=EDGE_CURVE('',#166474,#166472,#91804,.T.); #206553=EDGE_CURVE('',#166475,#166473,#91805,.T.); #206554=EDGE_CURVE('',#166474,#166475,#91806,.T.); #206555=EDGE_CURVE('',#166469,#166474,#91807,.T.); #206556=EDGE_CURVE('',#166470,#166475,#91808,.T.); #206557=EDGE_CURVE('',#166476,#166477,#91809,.T.); #206558=EDGE_CURVE('',#166477,#166478,#91810,.T.); #206559=EDGE_CURVE('',#166479,#166478,#91811,.T.); #206560=EDGE_CURVE('',#166476,#166479,#91812,.T.); #206561=EDGE_CURVE('',#166480,#166476,#91813,.T.); #206562=EDGE_CURVE('',#166481,#166479,#91814,.T.); #206563=EDGE_CURVE('',#166480,#166481,#91815,.T.); #206564=EDGE_CURVE('',#166482,#166480,#91816,.T.); #206565=EDGE_CURVE('',#166483,#166481,#91817,.T.); #206566=EDGE_CURVE('',#166482,#166483,#91818,.T.); #206567=EDGE_CURVE('',#166477,#166482,#91819,.T.); #206568=EDGE_CURVE('',#166478,#166483,#91820,.T.); #206569=EDGE_CURVE('',#166484,#166485,#91821,.T.); #206570=EDGE_CURVE('',#166485,#166486,#91822,.T.); #206571=EDGE_CURVE('',#166487,#166486,#91823,.T.); #206572=EDGE_CURVE('',#166484,#166487,#91824,.T.); #206573=EDGE_CURVE('',#166488,#166484,#91825,.T.); #206574=EDGE_CURVE('',#166489,#166487,#91826,.T.); #206575=EDGE_CURVE('',#166488,#166489,#91827,.T.); #206576=EDGE_CURVE('',#166490,#166488,#91828,.T.); #206577=EDGE_CURVE('',#166491,#166489,#91829,.T.); #206578=EDGE_CURVE('',#166490,#166491,#91830,.T.); #206579=EDGE_CURVE('',#166485,#166490,#91831,.T.); #206580=EDGE_CURVE('',#166486,#166491,#91832,.T.); #206581=EDGE_CURVE('',#166492,#166493,#91833,.T.); #206582=EDGE_CURVE('',#166493,#166494,#91834,.T.); #206583=EDGE_CURVE('',#166495,#166494,#91835,.T.); #206584=EDGE_CURVE('',#166492,#166495,#91836,.T.); #206585=EDGE_CURVE('',#166496,#166492,#91837,.T.); #206586=EDGE_CURVE('',#166497,#166495,#91838,.T.); #206587=EDGE_CURVE('',#166496,#166497,#91839,.T.); #206588=EDGE_CURVE('',#166498,#166496,#91840,.T.); #206589=EDGE_CURVE('',#166499,#166497,#91841,.T.); #206590=EDGE_CURVE('',#166498,#166499,#91842,.T.); #206591=EDGE_CURVE('',#166493,#166498,#91843,.T.); #206592=EDGE_CURVE('',#166494,#166499,#91844,.T.); #206593=EDGE_CURVE('',#166500,#166501,#91845,.T.); #206594=EDGE_CURVE('',#166501,#166502,#91846,.T.); #206595=EDGE_CURVE('',#166503,#166502,#91847,.T.); #206596=EDGE_CURVE('',#166500,#166503,#91848,.T.); #206597=EDGE_CURVE('',#166504,#166500,#91849,.T.); #206598=EDGE_CURVE('',#166505,#166503,#91850,.T.); #206599=EDGE_CURVE('',#166504,#166505,#91851,.T.); #206600=EDGE_CURVE('',#166506,#166504,#91852,.T.); #206601=EDGE_CURVE('',#166507,#166505,#91853,.T.); #206602=EDGE_CURVE('',#166506,#166507,#91854,.T.); #206603=EDGE_CURVE('',#166501,#166506,#91855,.T.); #206604=EDGE_CURVE('',#166502,#166507,#91856,.T.); #206605=EDGE_CURVE('',#166508,#166509,#91857,.T.); #206606=EDGE_CURVE('',#166509,#166510,#91858,.T.); #206607=EDGE_CURVE('',#166511,#166510,#91859,.T.); #206608=EDGE_CURVE('',#166508,#166511,#91860,.T.); #206609=EDGE_CURVE('',#166512,#166508,#91861,.T.); #206610=EDGE_CURVE('',#166513,#166511,#91862,.T.); #206611=EDGE_CURVE('',#166512,#166513,#91863,.T.); #206612=EDGE_CURVE('',#166514,#166512,#91864,.T.); #206613=EDGE_CURVE('',#166515,#166513,#91865,.T.); #206614=EDGE_CURVE('',#166514,#166515,#91866,.T.); #206615=EDGE_CURVE('',#166509,#166514,#91867,.T.); #206616=EDGE_CURVE('',#166510,#166515,#91868,.T.); #206617=EDGE_CURVE('',#166516,#166517,#91869,.T.); #206618=EDGE_CURVE('',#166517,#166518,#91870,.T.); #206619=EDGE_CURVE('',#166519,#166518,#91871,.T.); #206620=EDGE_CURVE('',#166516,#166519,#91872,.T.); #206621=EDGE_CURVE('',#166520,#166516,#91873,.T.); #206622=EDGE_CURVE('',#166521,#166519,#91874,.T.); #206623=EDGE_CURVE('',#166520,#166521,#91875,.T.); #206624=EDGE_CURVE('',#166522,#166520,#91876,.T.); #206625=EDGE_CURVE('',#166523,#166521,#91877,.T.); #206626=EDGE_CURVE('',#166522,#166523,#91878,.T.); #206627=EDGE_CURVE('',#166517,#166522,#91879,.T.); #206628=EDGE_CURVE('',#166518,#166523,#91880,.T.); #206629=EDGE_CURVE('',#166524,#166525,#91881,.T.); #206630=EDGE_CURVE('',#166525,#166526,#91882,.T.); #206631=EDGE_CURVE('',#166527,#166526,#91883,.T.); #206632=EDGE_CURVE('',#166524,#166527,#91884,.T.); #206633=EDGE_CURVE('',#166528,#166524,#91885,.T.); #206634=EDGE_CURVE('',#166529,#166527,#91886,.T.); #206635=EDGE_CURVE('',#166528,#166529,#91887,.T.); #206636=EDGE_CURVE('',#166530,#166528,#91888,.T.); #206637=EDGE_CURVE('',#166531,#166529,#91889,.T.); #206638=EDGE_CURVE('',#166530,#166531,#91890,.T.); #206639=EDGE_CURVE('',#166525,#166530,#91891,.T.); #206640=EDGE_CURVE('',#166526,#166531,#91892,.T.); #206641=EDGE_CURVE('',#166532,#166533,#91893,.T.); #206642=EDGE_CURVE('',#166533,#166534,#91894,.T.); #206643=EDGE_CURVE('',#166535,#166534,#91895,.T.); #206644=EDGE_CURVE('',#166532,#166535,#91896,.T.); #206645=EDGE_CURVE('',#166536,#166532,#91897,.T.); #206646=EDGE_CURVE('',#166537,#166535,#91898,.T.); #206647=EDGE_CURVE('',#166536,#166537,#91899,.T.); #206648=EDGE_CURVE('',#166538,#166536,#91900,.T.); #206649=EDGE_CURVE('',#166539,#166537,#91901,.T.); #206650=EDGE_CURVE('',#166538,#166539,#91902,.T.); #206651=EDGE_CURVE('',#166533,#166538,#91903,.T.); #206652=EDGE_CURVE('',#166534,#166539,#91904,.T.); #206653=EDGE_CURVE('',#166540,#166541,#91905,.T.); #206654=EDGE_CURVE('',#166541,#166542,#91906,.T.); #206655=EDGE_CURVE('',#166543,#166542,#91907,.T.); #206656=EDGE_CURVE('',#166540,#166543,#91908,.T.); #206657=EDGE_CURVE('',#166544,#166540,#91909,.T.); #206658=EDGE_CURVE('',#166545,#166543,#91910,.T.); #206659=EDGE_CURVE('',#166544,#166545,#91911,.T.); #206660=EDGE_CURVE('',#166546,#166544,#91912,.T.); #206661=EDGE_CURVE('',#166547,#166545,#91913,.T.); #206662=EDGE_CURVE('',#166546,#166547,#91914,.T.); #206663=EDGE_CURVE('',#166541,#166546,#91915,.T.); #206664=EDGE_CURVE('',#166542,#166547,#91916,.T.); #206665=EDGE_CURVE('',#166548,#166549,#91917,.T.); #206666=EDGE_CURVE('',#166549,#166550,#91918,.T.); #206667=EDGE_CURVE('',#166551,#166550,#91919,.T.); #206668=EDGE_CURVE('',#166548,#166551,#91920,.T.); #206669=EDGE_CURVE('',#166552,#166548,#91921,.T.); #206670=EDGE_CURVE('',#166553,#166551,#91922,.T.); #206671=EDGE_CURVE('',#166552,#166553,#91923,.T.); #206672=EDGE_CURVE('',#166554,#166552,#91924,.T.); #206673=EDGE_CURVE('',#166555,#166553,#91925,.T.); #206674=EDGE_CURVE('',#166554,#166555,#91926,.T.); #206675=EDGE_CURVE('',#166549,#166554,#91927,.T.); #206676=EDGE_CURVE('',#166550,#166555,#91928,.T.); #206677=EDGE_CURVE('',#166556,#166557,#91929,.T.); #206678=EDGE_CURVE('',#166557,#166558,#91930,.T.); #206679=EDGE_CURVE('',#166559,#166558,#91931,.T.); #206680=EDGE_CURVE('',#166556,#166559,#91932,.T.); #206681=EDGE_CURVE('',#166560,#166556,#91933,.T.); #206682=EDGE_CURVE('',#166561,#166559,#91934,.T.); #206683=EDGE_CURVE('',#166560,#166561,#91935,.T.); #206684=EDGE_CURVE('',#166562,#166560,#91936,.T.); #206685=EDGE_CURVE('',#166563,#166561,#91937,.T.); #206686=EDGE_CURVE('',#166562,#166563,#91938,.T.); #206687=EDGE_CURVE('',#166557,#166562,#91939,.T.); #206688=EDGE_CURVE('',#166558,#166563,#91940,.T.); #206689=EDGE_CURVE('',#166564,#166565,#91941,.T.); #206690=EDGE_CURVE('',#166565,#166566,#91942,.T.); #206691=EDGE_CURVE('',#166567,#166566,#91943,.T.); #206692=EDGE_CURVE('',#166564,#166567,#91944,.T.); #206693=EDGE_CURVE('',#166568,#166564,#91945,.T.); #206694=EDGE_CURVE('',#166569,#166567,#91946,.T.); #206695=EDGE_CURVE('',#166568,#166569,#91947,.T.); #206696=EDGE_CURVE('',#166570,#166568,#91948,.T.); #206697=EDGE_CURVE('',#166571,#166569,#91949,.T.); #206698=EDGE_CURVE('',#166570,#166571,#91950,.T.); #206699=EDGE_CURVE('',#166565,#166570,#91951,.T.); #206700=EDGE_CURVE('',#166566,#166571,#91952,.T.); #206701=EDGE_CURVE('',#166572,#166573,#91953,.T.); #206702=EDGE_CURVE('',#166573,#166574,#91954,.T.); #206703=EDGE_CURVE('',#166575,#166574,#91955,.T.); #206704=EDGE_CURVE('',#166572,#166575,#91956,.T.); #206705=EDGE_CURVE('',#166576,#166572,#91957,.T.); #206706=EDGE_CURVE('',#166577,#166575,#91958,.T.); #206707=EDGE_CURVE('',#166576,#166577,#91959,.T.); #206708=EDGE_CURVE('',#166578,#166576,#91960,.T.); #206709=EDGE_CURVE('',#166579,#166577,#91961,.T.); #206710=EDGE_CURVE('',#166578,#166579,#91962,.T.); #206711=EDGE_CURVE('',#166573,#166578,#91963,.T.); #206712=EDGE_CURVE('',#166574,#166579,#91964,.T.); #206713=EDGE_CURVE('',#166580,#166581,#91965,.T.); #206714=EDGE_CURVE('',#166581,#166582,#91966,.T.); #206715=EDGE_CURVE('',#166583,#166582,#91967,.T.); #206716=EDGE_CURVE('',#166580,#166583,#91968,.T.); #206717=EDGE_CURVE('',#166584,#166580,#91969,.T.); #206718=EDGE_CURVE('',#166585,#166583,#91970,.T.); #206719=EDGE_CURVE('',#166584,#166585,#91971,.T.); #206720=EDGE_CURVE('',#166586,#166584,#91972,.T.); #206721=EDGE_CURVE('',#166587,#166585,#91973,.T.); #206722=EDGE_CURVE('',#166586,#166587,#91974,.T.); #206723=EDGE_CURVE('',#166581,#166586,#91975,.T.); #206724=EDGE_CURVE('',#166582,#166587,#91976,.T.); #206725=EDGE_CURVE('',#166588,#166589,#91977,.T.); #206726=EDGE_CURVE('',#166589,#166590,#91978,.T.); #206727=EDGE_CURVE('',#166591,#166590,#91979,.T.); #206728=EDGE_CURVE('',#166588,#166591,#91980,.T.); #206729=EDGE_CURVE('',#166592,#166588,#91981,.T.); #206730=EDGE_CURVE('',#166593,#166591,#91982,.T.); #206731=EDGE_CURVE('',#166592,#166593,#91983,.T.); #206732=EDGE_CURVE('',#166594,#166592,#91984,.T.); #206733=EDGE_CURVE('',#166595,#166593,#91985,.T.); #206734=EDGE_CURVE('',#166594,#166595,#91986,.T.); #206735=EDGE_CURVE('',#166589,#166594,#91987,.T.); #206736=EDGE_CURVE('',#166590,#166595,#91988,.T.); #206737=EDGE_CURVE('',#166596,#166596,#68125,.T.); #206738=EDGE_CURVE('',#166596,#166597,#91989,.T.); #206739=EDGE_CURVE('',#166597,#166597,#68126,.T.); #206740=EDGE_CURVE('',#166598,#166599,#91990,.T.); #206741=EDGE_CURVE('',#166599,#166600,#91991,.T.); #206742=EDGE_CURVE('',#166601,#166600,#91992,.T.); #206743=EDGE_CURVE('',#166598,#166601,#91993,.T.); #206744=EDGE_CURVE('',#166602,#166598,#68127,.T.); #206745=EDGE_CURVE('',#166603,#166601,#68128,.T.); #206746=EDGE_CURVE('',#166602,#166603,#91994,.T.); #206747=EDGE_CURVE('',#166599,#166602,#68129,.T.); #206748=EDGE_CURVE('',#166600,#166603,#68130,.T.); #206749=EDGE_CURVE('',#166604,#166605,#91995,.T.); #206750=EDGE_CURVE('',#166605,#166606,#91996,.T.); #206751=EDGE_CURVE('',#166607,#166606,#91997,.T.); #206752=EDGE_CURVE('',#166604,#166607,#91998,.T.); #206753=EDGE_CURVE('',#166608,#166604,#91999,.T.); #206754=EDGE_CURVE('',#166609,#166607,#92000,.T.); #206755=EDGE_CURVE('',#166608,#166609,#92001,.T.); #206756=EDGE_CURVE('',#166610,#166608,#92002,.T.); #206757=EDGE_CURVE('',#166611,#166609,#92003,.T.); #206758=EDGE_CURVE('',#166610,#166611,#92004,.T.); #206759=EDGE_CURVE('',#166605,#166610,#92005,.T.); #206760=EDGE_CURVE('',#166606,#166611,#92006,.T.); #206761=EDGE_CURVE('',#166612,#166613,#92007,.T.); #206762=EDGE_CURVE('',#166613,#166614,#92008,.T.); #206763=EDGE_CURVE('',#166615,#166614,#92009,.T.); #206764=EDGE_CURVE('',#166612,#166615,#92010,.T.); #206765=EDGE_CURVE('',#166616,#166612,#92011,.T.); #206766=EDGE_CURVE('',#166617,#166615,#92012,.T.); #206767=EDGE_CURVE('',#166616,#166617,#92013,.T.); #206768=EDGE_CURVE('',#166618,#166616,#92014,.T.); #206769=EDGE_CURVE('',#166619,#166617,#92015,.T.); #206770=EDGE_CURVE('',#166618,#166619,#92016,.T.); #206771=EDGE_CURVE('',#166613,#166618,#92017,.T.); #206772=EDGE_CURVE('',#166614,#166619,#92018,.T.); #206773=EDGE_CURVE('',#166620,#166620,#68131,.T.); #206774=EDGE_CURVE('',#166620,#166621,#92019,.T.); #206775=EDGE_CURVE('',#166621,#166621,#68132,.T.); #206776=EDGE_CURVE('',#166622,#166622,#68133,.T.); #206777=EDGE_CURVE('',#166622,#166623,#92020,.T.); #206778=EDGE_CURVE('',#166623,#166623,#68134,.T.); #206779=EDGE_CURVE('',#166624,#166625,#92021,.T.); #206780=EDGE_CURVE('',#166625,#166626,#92022,.T.); #206781=EDGE_CURVE('',#166627,#166626,#92023,.T.); #206782=EDGE_CURVE('',#166624,#166627,#92024,.T.); #206783=EDGE_CURVE('',#166628,#166624,#92025,.T.); #206784=EDGE_CURVE('',#166629,#166627,#92026,.T.); #206785=EDGE_CURVE('',#166628,#166629,#92027,.T.); #206786=EDGE_CURVE('',#166630,#166628,#92028,.T.); #206787=EDGE_CURVE('',#166631,#166629,#92029,.T.); #206788=EDGE_CURVE('',#166630,#166631,#92030,.T.); #206789=EDGE_CURVE('',#166625,#166630,#92031,.T.); #206790=EDGE_CURVE('',#166626,#166631,#92032,.T.); #206791=EDGE_CURVE('',#166632,#166633,#92033,.T.); #206792=EDGE_CURVE('',#166633,#166634,#92034,.T.); #206793=EDGE_CURVE('',#166635,#166634,#92035,.T.); #206794=EDGE_CURVE('',#166632,#166635,#92036,.T.); #206795=EDGE_CURVE('',#166636,#166632,#92037,.T.); #206796=EDGE_CURVE('',#166637,#166635,#92038,.T.); #206797=EDGE_CURVE('',#166636,#166637,#92039,.T.); #206798=EDGE_CURVE('',#166638,#166636,#92040,.T.); #206799=EDGE_CURVE('',#166639,#166637,#92041,.T.); #206800=EDGE_CURVE('',#166638,#166639,#92042,.T.); #206801=EDGE_CURVE('',#166633,#166638,#92043,.T.); #206802=EDGE_CURVE('',#166634,#166639,#92044,.T.); #206803=EDGE_CURVE('',#166640,#166640,#68135,.T.); #206804=EDGE_CURVE('',#166640,#166641,#92045,.T.); #206805=EDGE_CURVE('',#166641,#166641,#68136,.T.); #206806=EDGE_CURVE('',#166642,#166642,#68137,.T.); #206807=EDGE_CURVE('',#166642,#166643,#92046,.T.); #206808=EDGE_CURVE('',#166643,#166643,#68138,.T.); #206809=EDGE_CURVE('',#166644,#166645,#92047,.T.); #206810=EDGE_CURVE('',#166645,#166646,#92048,.T.); #206811=EDGE_CURVE('',#166647,#166646,#92049,.T.); #206812=EDGE_CURVE('',#166644,#166647,#92050,.T.); #206813=EDGE_CURVE('',#166648,#166644,#92051,.T.); #206814=EDGE_CURVE('',#166649,#166647,#92052,.T.); #206815=EDGE_CURVE('',#166648,#166649,#92053,.T.); #206816=EDGE_CURVE('',#166650,#166648,#92054,.T.); #206817=EDGE_CURVE('',#166651,#166649,#92055,.T.); #206818=EDGE_CURVE('',#166650,#166651,#92056,.T.); #206819=EDGE_CURVE('',#166645,#166650,#92057,.T.); #206820=EDGE_CURVE('',#166646,#166651,#92058,.T.); #206821=EDGE_CURVE('',#166652,#166653,#92059,.T.); #206822=EDGE_CURVE('',#166653,#166654,#92060,.T.); #206823=EDGE_CURVE('',#166655,#166654,#92061,.T.); #206824=EDGE_CURVE('',#166652,#166655,#92062,.T.); #206825=EDGE_CURVE('',#166656,#166652,#92063,.T.); #206826=EDGE_CURVE('',#166657,#166655,#92064,.T.); #206827=EDGE_CURVE('',#166656,#166657,#92065,.T.); #206828=EDGE_CURVE('',#166658,#166656,#92066,.T.); #206829=EDGE_CURVE('',#166659,#166657,#92067,.T.); #206830=EDGE_CURVE('',#166658,#166659,#92068,.T.); #206831=EDGE_CURVE('',#166653,#166658,#92069,.T.); #206832=EDGE_CURVE('',#166654,#166659,#92070,.T.); #206833=EDGE_CURVE('',#166660,#166660,#68139,.T.); #206834=EDGE_CURVE('',#166660,#166661,#92071,.T.); #206835=EDGE_CURVE('',#166661,#166661,#68140,.T.); #206836=EDGE_CURVE('',#166662,#166662,#68141,.T.); #206837=EDGE_CURVE('',#166662,#166663,#92072,.T.); #206838=EDGE_CURVE('',#166663,#166663,#68142,.T.); #206839=EDGE_CURVE('',#166664,#166665,#92073,.T.); #206840=EDGE_CURVE('',#166665,#166666,#92074,.T.); #206841=EDGE_CURVE('',#166667,#166666,#92075,.T.); #206842=EDGE_CURVE('',#166664,#166667,#92076,.T.); #206843=EDGE_CURVE('',#166668,#166664,#92077,.T.); #206844=EDGE_CURVE('',#166669,#166667,#92078,.T.); #206845=EDGE_CURVE('',#166668,#166669,#92079,.T.); #206846=EDGE_CURVE('',#166670,#166668,#92080,.T.); #206847=EDGE_CURVE('',#166671,#166669,#92081,.T.); #206848=EDGE_CURVE('',#166670,#166671,#92082,.T.); #206849=EDGE_CURVE('',#166665,#166670,#92083,.T.); #206850=EDGE_CURVE('',#166666,#166671,#92084,.T.); #206851=EDGE_CURVE('',#166672,#166673,#92085,.T.); #206852=EDGE_CURVE('',#166673,#166674,#92086,.T.); #206853=EDGE_CURVE('',#166675,#166674,#92087,.T.); #206854=EDGE_CURVE('',#166672,#166675,#92088,.T.); #206855=EDGE_CURVE('',#166676,#166672,#92089,.T.); #206856=EDGE_CURVE('',#166677,#166675,#92090,.T.); #206857=EDGE_CURVE('',#166676,#166677,#92091,.T.); #206858=EDGE_CURVE('',#166678,#166676,#92092,.T.); #206859=EDGE_CURVE('',#166679,#166677,#92093,.T.); #206860=EDGE_CURVE('',#166678,#166679,#92094,.T.); #206861=EDGE_CURVE('',#166673,#166678,#92095,.T.); #206862=EDGE_CURVE('',#166674,#166679,#92096,.T.); #206863=EDGE_CURVE('',#166680,#166680,#68143,.T.); #206864=EDGE_CURVE('',#166680,#166681,#92097,.T.); #206865=EDGE_CURVE('',#166681,#166681,#68144,.T.); #206866=EDGE_CURVE('',#166682,#166682,#68145,.T.); #206867=EDGE_CURVE('',#166682,#166683,#92098,.T.); #206868=EDGE_CURVE('',#166683,#166683,#68146,.T.); #206869=EDGE_CURVE('',#166684,#166685,#92099,.T.); #206870=EDGE_CURVE('',#166685,#166686,#92100,.T.); #206871=EDGE_CURVE('',#166687,#166686,#92101,.T.); #206872=EDGE_CURVE('',#166684,#166687,#92102,.T.); #206873=EDGE_CURVE('',#166688,#166684,#92103,.T.); #206874=EDGE_CURVE('',#166689,#166687,#92104,.T.); #206875=EDGE_CURVE('',#166688,#166689,#92105,.T.); #206876=EDGE_CURVE('',#166690,#166688,#92106,.T.); #206877=EDGE_CURVE('',#166691,#166689,#92107,.T.); #206878=EDGE_CURVE('',#166690,#166691,#92108,.T.); #206879=EDGE_CURVE('',#166685,#166690,#92109,.T.); #206880=EDGE_CURVE('',#166686,#166691,#92110,.T.); #206881=EDGE_CURVE('',#166692,#166693,#92111,.T.); #206882=EDGE_CURVE('',#166693,#166694,#92112,.T.); #206883=EDGE_CURVE('',#166695,#166694,#92113,.T.); #206884=EDGE_CURVE('',#166692,#166695,#92114,.T.); #206885=EDGE_CURVE('',#166696,#166692,#92115,.T.); #206886=EDGE_CURVE('',#166697,#166695,#92116,.T.); #206887=EDGE_CURVE('',#166696,#166697,#92117,.T.); #206888=EDGE_CURVE('',#166698,#166696,#92118,.T.); #206889=EDGE_CURVE('',#166699,#166697,#92119,.T.); #206890=EDGE_CURVE('',#166698,#166699,#92120,.T.); #206891=EDGE_CURVE('',#166693,#166698,#92121,.T.); #206892=EDGE_CURVE('',#166694,#166699,#92122,.T.); #206893=EDGE_CURVE('',#166700,#166701,#92123,.T.); #206894=EDGE_CURVE('',#166701,#166702,#92124,.T.); #206895=EDGE_CURVE('',#166703,#166702,#92125,.T.); #206896=EDGE_CURVE('',#166700,#166703,#92126,.T.); #206897=EDGE_CURVE('',#166704,#166700,#92127,.T.); #206898=EDGE_CURVE('',#166705,#166703,#92128,.T.); #206899=EDGE_CURVE('',#166704,#166705,#92129,.T.); #206900=EDGE_CURVE('',#166706,#166704,#92130,.T.); #206901=EDGE_CURVE('',#166707,#166705,#92131,.T.); #206902=EDGE_CURVE('',#166706,#166707,#92132,.T.); #206903=EDGE_CURVE('',#166708,#166706,#92133,.T.); #206904=EDGE_CURVE('',#166709,#166707,#92134,.T.); #206905=EDGE_CURVE('',#166708,#166709,#92135,.T.); #206906=EDGE_CURVE('',#166710,#166708,#92136,.T.); #206907=EDGE_CURVE('',#166711,#166709,#92137,.T.); #206908=EDGE_CURVE('',#166710,#166711,#92138,.T.); #206909=EDGE_CURVE('',#166712,#166710,#92139,.T.); #206910=EDGE_CURVE('',#166713,#166711,#92140,.T.); #206911=EDGE_CURVE('',#166712,#166713,#92141,.T.); #206912=EDGE_CURVE('',#166714,#166712,#68147,.T.); #206913=EDGE_CURVE('',#166715,#166713,#68148,.T.); #206914=EDGE_CURVE('',#166714,#166715,#92142,.T.); #206915=EDGE_CURVE('',#166716,#166714,#92143,.T.); #206916=EDGE_CURVE('',#166717,#166715,#92144,.T.); #206917=EDGE_CURVE('',#166716,#166717,#92145,.T.); #206918=EDGE_CURVE('',#166718,#166716,#92146,.T.); #206919=EDGE_CURVE('',#166719,#166717,#92147,.T.); #206920=EDGE_CURVE('',#166718,#166719,#92148,.T.); #206921=EDGE_CURVE('',#166720,#166718,#92149,.T.); #206922=EDGE_CURVE('',#166721,#166719,#92150,.T.); #206923=EDGE_CURVE('',#166720,#166721,#92151,.T.); #206924=EDGE_CURVE('',#166722,#166720,#92152,.T.); #206925=EDGE_CURVE('',#166723,#166721,#92153,.T.); #206926=EDGE_CURVE('',#166722,#166723,#92154,.T.); #206927=EDGE_CURVE('',#166724,#166722,#92155,.T.); #206928=EDGE_CURVE('',#166725,#166723,#92156,.T.); #206929=EDGE_CURVE('',#166724,#166725,#92157,.T.); #206930=EDGE_CURVE('',#166726,#166724,#68149,.T.); #206931=EDGE_CURVE('',#166727,#166725,#68150,.T.); #206932=EDGE_CURVE('',#166726,#166727,#92158,.T.); #206933=EDGE_CURVE('',#166728,#166726,#92159,.T.); #206934=EDGE_CURVE('',#166729,#166727,#92160,.T.); #206935=EDGE_CURVE('',#166728,#166729,#92161,.T.); #206936=EDGE_CURVE('',#166730,#166728,#92162,.T.); #206937=EDGE_CURVE('',#166731,#166729,#92163,.T.); #206938=EDGE_CURVE('',#166730,#166731,#92164,.T.); #206939=EDGE_CURVE('',#166732,#166730,#68151,.T.); #206940=EDGE_CURVE('',#166733,#166731,#68152,.T.); #206941=EDGE_CURVE('',#166732,#166733,#92165,.T.); #206942=EDGE_CURVE('',#166734,#166732,#92166,.T.); #206943=EDGE_CURVE('',#166735,#166733,#92167,.T.); #206944=EDGE_CURVE('',#166734,#166735,#92168,.T.); #206945=EDGE_CURVE('',#166736,#166734,#92169,.T.); #206946=EDGE_CURVE('',#166737,#166735,#92170,.T.); #206947=EDGE_CURVE('',#166736,#166737,#92171,.T.); #206948=EDGE_CURVE('',#166738,#166736,#92172,.T.); #206949=EDGE_CURVE('',#166739,#166737,#92173,.T.); #206950=EDGE_CURVE('',#166738,#166739,#92174,.T.); #206951=EDGE_CURVE('',#166740,#166738,#92175,.T.); #206952=EDGE_CURVE('',#166741,#166739,#92176,.T.); #206953=EDGE_CURVE('',#166740,#166741,#92177,.T.); #206954=EDGE_CURVE('',#166742,#166740,#92178,.T.); #206955=EDGE_CURVE('',#166743,#166741,#92179,.T.); #206956=EDGE_CURVE('',#166742,#166743,#92180,.T.); #206957=EDGE_CURVE('',#166744,#166742,#92181,.T.); #206958=EDGE_CURVE('',#166745,#166743,#92182,.T.); #206959=EDGE_CURVE('',#166744,#166745,#92183,.T.); #206960=EDGE_CURVE('',#166746,#166744,#92184,.T.); #206961=EDGE_CURVE('',#166747,#166745,#92185,.T.); #206962=EDGE_CURVE('',#166746,#166747,#92186,.T.); #206963=EDGE_CURVE('',#166748,#166746,#68153,.T.); #206964=EDGE_CURVE('',#166749,#166747,#68154,.T.); #206965=EDGE_CURVE('',#166748,#166749,#92187,.T.); #206966=EDGE_CURVE('',#166750,#166748,#92188,.T.); #206967=EDGE_CURVE('',#166751,#166749,#92189,.T.); #206968=EDGE_CURVE('',#166750,#166751,#92190,.T.); #206969=EDGE_CURVE('',#166752,#166750,#68155,.T.); #206970=EDGE_CURVE('',#166753,#166751,#68156,.T.); #206971=EDGE_CURVE('',#166752,#166753,#92191,.T.); #206972=EDGE_CURVE('',#166754,#166752,#92192,.T.); #206973=EDGE_CURVE('',#166755,#166753,#92193,.T.); #206974=EDGE_CURVE('',#166754,#166755,#92194,.T.); #206975=EDGE_CURVE('',#166756,#166754,#92195,.T.); #206976=EDGE_CURVE('',#166757,#166755,#92196,.T.); #206977=EDGE_CURVE('',#166756,#166757,#92197,.T.); #206978=EDGE_CURVE('',#166758,#166756,#92198,.T.); #206979=EDGE_CURVE('',#166759,#166757,#92199,.T.); #206980=EDGE_CURVE('',#166758,#166759,#92200,.T.); #206981=EDGE_CURVE('',#166760,#166758,#92201,.T.); #206982=EDGE_CURVE('',#166761,#166759,#92202,.T.); #206983=EDGE_CURVE('',#166760,#166761,#92203,.T.); #206984=EDGE_CURVE('',#166762,#166760,#92204,.T.); #206985=EDGE_CURVE('',#166763,#166761,#92205,.T.); #206986=EDGE_CURVE('',#166762,#166763,#92206,.T.); #206987=EDGE_CURVE('',#166764,#166762,#92207,.T.); #206988=EDGE_CURVE('',#166765,#166763,#92208,.T.); #206989=EDGE_CURVE('',#166764,#166765,#92209,.T.); #206990=EDGE_CURVE('',#166766,#166764,#92210,.T.); #206991=EDGE_CURVE('',#166767,#166765,#92211,.T.); #206992=EDGE_CURVE('',#166766,#166767,#92212,.T.); #206993=EDGE_CURVE('',#166768,#166766,#92213,.T.); #206994=EDGE_CURVE('',#166769,#166767,#92214,.T.); #206995=EDGE_CURVE('',#166768,#166769,#92215,.T.); #206996=EDGE_CURVE('',#166770,#166768,#68157,.T.); #206997=EDGE_CURVE('',#166771,#166769,#68158,.T.); #206998=EDGE_CURVE('',#166770,#166771,#92216,.T.); #206999=EDGE_CURVE('',#166772,#166770,#92217,.T.); #207000=EDGE_CURVE('',#166773,#166771,#92218,.T.); #207001=EDGE_CURVE('',#166772,#166773,#92219,.T.); #207002=EDGE_CURVE('',#166774,#166772,#68159,.T.); #207003=EDGE_CURVE('',#166775,#166773,#68160,.T.); #207004=EDGE_CURVE('',#166774,#166775,#92220,.T.); #207005=EDGE_CURVE('',#166776,#166774,#92221,.T.); #207006=EDGE_CURVE('',#166777,#166775,#92222,.T.); #207007=EDGE_CURVE('',#166776,#166777,#92223,.T.); #207008=EDGE_CURVE('',#166778,#166776,#92224,.T.); #207009=EDGE_CURVE('',#166779,#166777,#92225,.T.); #207010=EDGE_CURVE('',#166778,#166779,#92226,.T.); #207011=EDGE_CURVE('',#166780,#166778,#92227,.T.); #207012=EDGE_CURVE('',#166781,#166779,#92228,.T.); #207013=EDGE_CURVE('',#166780,#166781,#92229,.T.); #207014=EDGE_CURVE('',#166782,#166780,#68161,.T.); #207015=EDGE_CURVE('',#166783,#166781,#68162,.T.); #207016=EDGE_CURVE('',#166782,#166783,#92230,.T.); #207017=EDGE_CURVE('',#166784,#166782,#92231,.T.); #207018=EDGE_CURVE('',#166785,#166783,#92232,.T.); #207019=EDGE_CURVE('',#166784,#166785,#92233,.T.); #207020=EDGE_CURVE('',#166786,#166784,#68163,.T.); #207021=EDGE_CURVE('',#166787,#166785,#68164,.T.); #207022=EDGE_CURVE('',#166786,#166787,#92234,.T.); #207023=EDGE_CURVE('',#166788,#166786,#92235,.T.); #207024=EDGE_CURVE('',#166789,#166787,#92236,.T.); #207025=EDGE_CURVE('',#166788,#166789,#92237,.T.); #207026=EDGE_CURVE('',#166790,#166788,#92238,.T.); #207027=EDGE_CURVE('',#166791,#166789,#92239,.T.); #207028=EDGE_CURVE('',#166790,#166791,#92240,.T.); #207029=EDGE_CURVE('',#166792,#166790,#92241,.T.); #207030=EDGE_CURVE('',#166793,#166791,#92242,.T.); #207031=EDGE_CURVE('',#166792,#166793,#92243,.T.); #207032=EDGE_CURVE('',#166794,#166792,#92244,.T.); #207033=EDGE_CURVE('',#166795,#166793,#92245,.T.); #207034=EDGE_CURVE('',#166794,#166795,#92246,.T.); #207035=EDGE_CURVE('',#166796,#166794,#92247,.T.); #207036=EDGE_CURVE('',#166797,#166795,#92248,.T.); #207037=EDGE_CURVE('',#166796,#166797,#92249,.T.); #207038=EDGE_CURVE('',#166798,#166796,#68165,.T.); #207039=EDGE_CURVE('',#166799,#166797,#68166,.T.); #207040=EDGE_CURVE('',#166798,#166799,#92250,.T.); #207041=EDGE_CURVE('',#166800,#166798,#92251,.T.); #207042=EDGE_CURVE('',#166801,#166799,#92252,.T.); #207043=EDGE_CURVE('',#166800,#166801,#92253,.T.); #207044=EDGE_CURVE('',#166802,#166800,#92254,.T.); #207045=EDGE_CURVE('',#166803,#166801,#92255,.T.); #207046=EDGE_CURVE('',#166802,#166803,#92256,.T.); #207047=EDGE_CURVE('',#166804,#166802,#92257,.T.); #207048=EDGE_CURVE('',#166805,#166803,#92258,.T.); #207049=EDGE_CURVE('',#166804,#166805,#92259,.T.); #207050=EDGE_CURVE('',#166806,#166804,#92260,.T.); #207051=EDGE_CURVE('',#166807,#166805,#92261,.T.); #207052=EDGE_CURVE('',#166806,#166807,#92262,.T.); #207053=EDGE_CURVE('',#166808,#166806,#92263,.T.); #207054=EDGE_CURVE('',#166809,#166807,#92264,.T.); #207055=EDGE_CURVE('',#166808,#166809,#92265,.T.); #207056=EDGE_CURVE('',#166810,#166808,#92266,.T.); #207057=EDGE_CURVE('',#166811,#166809,#92267,.T.); #207058=EDGE_CURVE('',#166810,#166811,#92268,.T.); #207059=EDGE_CURVE('',#166812,#166810,#68167,.T.); #207060=EDGE_CURVE('',#166813,#166811,#68168,.T.); #207061=EDGE_CURVE('',#166812,#166813,#92269,.T.); #207062=EDGE_CURVE('',#166814,#166812,#92270,.T.); #207063=EDGE_CURVE('',#166815,#166813,#92271,.T.); #207064=EDGE_CURVE('',#166814,#166815,#92272,.T.); #207065=EDGE_CURVE('',#166701,#166814,#92273,.T.); #207066=EDGE_CURVE('',#166702,#166815,#92274,.T.); #207067=EDGE_CURVE('',#166816,#166816,#68169,.T.); #207068=EDGE_CURVE('',#166816,#166817,#92275,.T.); #207069=EDGE_CURVE('',#166817,#166817,#68170,.T.); #207070=EDGE_CURVE('',#166818,#166818,#68171,.T.); #207071=EDGE_CURVE('',#166818,#166819,#92276,.T.); #207072=EDGE_CURVE('',#166819,#166819,#68172,.T.); #207073=EDGE_CURVE('',#166820,#166821,#92277,.T.); #207074=EDGE_CURVE('',#166821,#166822,#92278,.T.); #207075=EDGE_CURVE('',#166823,#166822,#92279,.T.); #207076=EDGE_CURVE('',#166820,#166823,#92280,.T.); #207077=EDGE_CURVE('',#166824,#166820,#68173,.T.); #207078=EDGE_CURVE('',#166825,#166823,#68174,.T.); #207079=EDGE_CURVE('',#166824,#166825,#92281,.T.); #207080=EDGE_CURVE('',#166826,#166824,#68175,.T.); #207081=EDGE_CURVE('',#166827,#166825,#68176,.T.); #207082=EDGE_CURVE('',#166826,#166827,#92282,.T.); #207083=EDGE_CURVE('',#166828,#166826,#92283,.T.); #207084=EDGE_CURVE('',#166829,#166827,#92284,.T.); #207085=EDGE_CURVE('',#166828,#166829,#92285,.T.); #207086=EDGE_CURVE('',#166830,#166828,#68177,.T.); #207087=EDGE_CURVE('',#166831,#166829,#68178,.T.); #207088=EDGE_CURVE('',#166830,#166831,#92286,.T.); #207089=EDGE_CURVE('',#166832,#166830,#68179,.T.); #207090=EDGE_CURVE('',#166833,#166831,#68180,.T.); #207091=EDGE_CURVE('',#166832,#166833,#92287,.T.); #207092=EDGE_CURVE('',#166834,#166832,#92288,.T.); #207093=EDGE_CURVE('',#166835,#166833,#92289,.T.); #207094=EDGE_CURVE('',#166834,#166835,#92290,.T.); #207095=EDGE_CURVE('',#166821,#166834,#68181,.T.); #207096=EDGE_CURVE('',#166822,#166835,#68182,.T.); #207097=EDGE_CURVE('',#166836,#166836,#68183,.T.); #207098=EDGE_CURVE('',#166836,#166837,#92291,.T.); #207099=EDGE_CURVE('',#166837,#166837,#68184,.T.); #207100=EDGE_CURVE('',#166838,#166839,#92292,.T.); #207101=EDGE_CURVE('',#166839,#166840,#92293,.T.); #207102=EDGE_CURVE('',#166841,#166840,#92294,.T.); #207103=EDGE_CURVE('',#166838,#166841,#92295,.T.); #207104=EDGE_CURVE('',#166842,#166838,#68185,.T.); #207105=EDGE_CURVE('',#166843,#166841,#68186,.T.); #207106=EDGE_CURVE('',#166842,#166843,#92296,.T.); #207107=EDGE_CURVE('',#166844,#166842,#92297,.T.); #207108=EDGE_CURVE('',#166845,#166843,#92298,.T.); #207109=EDGE_CURVE('',#166844,#166845,#92299,.T.); #207110=EDGE_CURVE('',#166846,#166844,#92300,.T.); #207111=EDGE_CURVE('',#166847,#166845,#92301,.T.); #207112=EDGE_CURVE('',#166846,#166847,#92302,.T.); #207113=EDGE_CURVE('',#166848,#166846,#92303,.T.); #207114=EDGE_CURVE('',#166849,#166847,#92304,.T.); #207115=EDGE_CURVE('',#166848,#166849,#92305,.T.); #207116=EDGE_CURVE('',#166850,#166848,#92306,.T.); #207117=EDGE_CURVE('',#166851,#166849,#92307,.T.); #207118=EDGE_CURVE('',#166850,#166851,#92308,.T.); #207119=EDGE_CURVE('',#166852,#166850,#92309,.T.); #207120=EDGE_CURVE('',#166853,#166851,#92310,.T.); #207121=EDGE_CURVE('',#166852,#166853,#92311,.T.); #207122=EDGE_CURVE('',#166854,#166852,#92312,.T.); #207123=EDGE_CURVE('',#166855,#166853,#92313,.T.); #207124=EDGE_CURVE('',#166854,#166855,#92314,.T.); #207125=EDGE_CURVE('',#166856,#166854,#92315,.T.); #207126=EDGE_CURVE('',#166857,#166855,#92316,.T.); #207127=EDGE_CURVE('',#166856,#166857,#92317,.T.); #207128=EDGE_CURVE('',#166839,#166856,#68187,.T.); #207129=EDGE_CURVE('',#166840,#166857,#68188,.T.); #207130=EDGE_CURVE('',#166858,#166858,#68189,.T.); #207131=EDGE_CURVE('',#166858,#166859,#92318,.T.); #207132=EDGE_CURVE('',#166859,#166859,#68190,.T.); #207133=EDGE_CURVE('',#166860,#166861,#92319,.T.); #207134=EDGE_CURVE('',#166861,#166862,#92320,.T.); #207135=EDGE_CURVE('',#166863,#166862,#92321,.T.); #207136=EDGE_CURVE('',#166860,#166863,#92322,.T.); #207137=EDGE_CURVE('',#166864,#166860,#92323,.T.); #207138=EDGE_CURVE('',#166865,#166863,#92324,.T.); #207139=EDGE_CURVE('',#166864,#166865,#92325,.T.); #207140=EDGE_CURVE('',#166866,#166864,#92326,.T.); #207141=EDGE_CURVE('',#166867,#166865,#92327,.T.); #207142=EDGE_CURVE('',#166866,#166867,#92328,.T.); #207143=EDGE_CURVE('',#166868,#166866,#92329,.T.); #207144=EDGE_CURVE('',#166869,#166867,#92330,.T.); #207145=EDGE_CURVE('',#166868,#166869,#92331,.T.); #207146=EDGE_CURVE('',#166870,#166868,#92332,.T.); #207147=EDGE_CURVE('',#166871,#166869,#92333,.T.); #207148=EDGE_CURVE('',#166870,#166871,#92334,.T.); #207149=EDGE_CURVE('',#166872,#166870,#68191,.T.); #207150=EDGE_CURVE('',#166873,#166871,#68192,.T.); #207151=EDGE_CURVE('',#166872,#166873,#92335,.T.); #207152=EDGE_CURVE('',#166874,#166872,#92336,.T.); #207153=EDGE_CURVE('',#166875,#166873,#92337,.T.); #207154=EDGE_CURVE('',#166874,#166875,#92338,.T.); #207155=EDGE_CURVE('',#166876,#166874,#68193,.T.); #207156=EDGE_CURVE('',#166877,#166875,#68194,.T.); #207157=EDGE_CURVE('',#166876,#166877,#92339,.T.); #207158=EDGE_CURVE('',#166878,#166876,#68195,.T.); #207159=EDGE_CURVE('',#166879,#166877,#68196,.T.); #207160=EDGE_CURVE('',#166878,#166879,#92340,.T.); #207161=EDGE_CURVE('',#166880,#166878,#68197,.T.); #207162=EDGE_CURVE('',#166881,#166879,#68198,.T.); #207163=EDGE_CURVE('',#166880,#166881,#92341,.T.); #207164=EDGE_CURVE('',#166882,#166880,#92342,.T.); #207165=EDGE_CURVE('',#166883,#166881,#92343,.T.); #207166=EDGE_CURVE('',#166882,#166883,#92344,.T.); #207167=EDGE_CURVE('',#166884,#166882,#92345,.T.); #207168=EDGE_CURVE('',#166885,#166883,#92346,.T.); #207169=EDGE_CURVE('',#166884,#166885,#92347,.T.); #207170=EDGE_CURVE('',#166861,#166884,#92348,.T.); #207171=EDGE_CURVE('',#166862,#166885,#92349,.T.); #207172=EDGE_CURVE('',#166886,#166886,#68199,.T.); #207173=EDGE_CURVE('',#166886,#166887,#92350,.T.); #207174=EDGE_CURVE('',#166887,#166887,#68200,.T.); #207175=EDGE_CURVE('',#166888,#166888,#68201,.T.); #207176=EDGE_CURVE('',#166888,#166889,#92351,.T.); #207177=EDGE_CURVE('',#166889,#166889,#68202,.T.); #207178=EDGE_CURVE('',#166890,#166891,#92352,.T.); #207179=EDGE_CURVE('',#166891,#166892,#92353,.T.); #207180=EDGE_CURVE('',#166893,#166892,#92354,.T.); #207181=EDGE_CURVE('',#166890,#166893,#92355,.T.); #207182=EDGE_CURVE('',#166894,#166890,#68203,.T.); #207183=EDGE_CURVE('',#166895,#166893,#68204,.T.); #207184=EDGE_CURVE('',#166894,#166895,#92356,.T.); #207185=EDGE_CURVE('',#166896,#166894,#68205,.T.); #207186=EDGE_CURVE('',#166897,#166895,#68206,.T.); #207187=EDGE_CURVE('',#166896,#166897,#92357,.T.); #207188=EDGE_CURVE('',#166898,#166896,#92358,.T.); #207189=EDGE_CURVE('',#166899,#166897,#92359,.T.); #207190=EDGE_CURVE('',#166898,#166899,#92360,.T.); #207191=EDGE_CURVE('',#166900,#166898,#92361,.T.); #207192=EDGE_CURVE('',#166901,#166899,#92362,.T.); #207193=EDGE_CURVE('',#166900,#166901,#92363,.T.); #207194=EDGE_CURVE('',#166902,#166900,#92364,.T.); #207195=EDGE_CURVE('',#166903,#166901,#92365,.T.); #207196=EDGE_CURVE('',#166902,#166903,#92366,.T.); #207197=EDGE_CURVE('',#166904,#166902,#92367,.T.); #207198=EDGE_CURVE('',#166905,#166903,#92368,.T.); #207199=EDGE_CURVE('',#166904,#166905,#92369,.T.); #207200=EDGE_CURVE('',#166906,#166904,#92370,.T.); #207201=EDGE_CURVE('',#166907,#166905,#92371,.T.); #207202=EDGE_CURVE('',#166906,#166907,#92372,.T.); #207203=EDGE_CURVE('',#166908,#166906,#68207,.T.); #207204=EDGE_CURVE('',#166909,#166907,#68208,.T.); #207205=EDGE_CURVE('',#166908,#166909,#92373,.T.); #207206=EDGE_CURVE('',#166910,#166908,#92374,.T.); #207207=EDGE_CURVE('',#166911,#166909,#92375,.T.); #207208=EDGE_CURVE('',#166910,#166911,#92376,.T.); #207209=EDGE_CURVE('',#166912,#166910,#92377,.T.); #207210=EDGE_CURVE('',#166913,#166911,#92378,.T.); #207211=EDGE_CURVE('',#166912,#166913,#92379,.T.); #207212=EDGE_CURVE('',#166914,#166912,#68209,.T.); #207213=EDGE_CURVE('',#166915,#166913,#68210,.T.); #207214=EDGE_CURVE('',#166914,#166915,#92380,.T.); #207215=EDGE_CURVE('',#166916,#166914,#92381,.T.); #207216=EDGE_CURVE('',#166917,#166915,#92382,.T.); #207217=EDGE_CURVE('',#166916,#166917,#92383,.T.); #207218=EDGE_CURVE('',#166918,#166916,#68211,.T.); #207219=EDGE_CURVE('',#166919,#166917,#68212,.T.); #207220=EDGE_CURVE('',#166918,#166919,#92384,.T.); #207221=EDGE_CURVE('',#166920,#166918,#92385,.T.); #207222=EDGE_CURVE('',#166921,#166919,#92386,.T.); #207223=EDGE_CURVE('',#166920,#166921,#92387,.T.); #207224=EDGE_CURVE('',#166922,#166920,#92388,.T.); #207225=EDGE_CURVE('',#166923,#166921,#92389,.T.); #207226=EDGE_CURVE('',#166922,#166923,#92390,.T.); #207227=EDGE_CURVE('',#166924,#166922,#92391,.T.); #207228=EDGE_CURVE('',#166925,#166923,#92392,.T.); #207229=EDGE_CURVE('',#166924,#166925,#92393,.T.); #207230=EDGE_CURVE('',#166926,#166924,#68213,.T.); #207231=EDGE_CURVE('',#166927,#166925,#68214,.T.); #207232=EDGE_CURVE('',#166926,#166927,#92394,.T.); #207233=EDGE_CURVE('',#166928,#166926,#92395,.T.); #207234=EDGE_CURVE('',#166929,#166927,#92396,.T.); #207235=EDGE_CURVE('',#166928,#166929,#92397,.T.); #207236=EDGE_CURVE('',#166930,#166928,#68215,.T.); #207237=EDGE_CURVE('',#166931,#166929,#68216,.T.); #207238=EDGE_CURVE('',#166930,#166931,#92398,.T.); #207239=EDGE_CURVE('',#166932,#166930,#92399,.T.); #207240=EDGE_CURVE('',#166933,#166931,#92400,.T.); #207241=EDGE_CURVE('',#166932,#166933,#92401,.T.); #207242=EDGE_CURVE('',#166934,#166932,#68217,.T.); #207243=EDGE_CURVE('',#166935,#166933,#68218,.T.); #207244=EDGE_CURVE('',#166934,#166935,#92402,.T.); #207245=EDGE_CURVE('',#166936,#166934,#68219,.T.); #207246=EDGE_CURVE('',#166937,#166935,#68220,.T.); #207247=EDGE_CURVE('',#166936,#166937,#92403,.T.); #207248=EDGE_CURVE('',#166938,#166936,#92404,.T.); #207249=EDGE_CURVE('',#166939,#166937,#92405,.T.); #207250=EDGE_CURVE('',#166938,#166939,#92406,.T.); #207251=EDGE_CURVE('',#166940,#166938,#92407,.T.); #207252=EDGE_CURVE('',#166941,#166939,#92408,.T.); #207253=EDGE_CURVE('',#166940,#166941,#92409,.T.); #207254=EDGE_CURVE('',#166942,#166940,#92410,.T.); #207255=EDGE_CURVE('',#166943,#166941,#92411,.T.); #207256=EDGE_CURVE('',#166942,#166943,#92412,.T.); #207257=EDGE_CURVE('',#166944,#166942,#68221,.T.); #207258=EDGE_CURVE('',#166945,#166943,#68222,.T.); #207259=EDGE_CURVE('',#166944,#166945,#92413,.T.); #207260=EDGE_CURVE('',#166946,#166944,#92414,.T.); #207261=EDGE_CURVE('',#166947,#166945,#92415,.T.); #207262=EDGE_CURVE('',#166946,#166947,#92416,.T.); #207263=EDGE_CURVE('',#166948,#166946,#68223,.T.); #207264=EDGE_CURVE('',#166949,#166947,#68224,.T.); #207265=EDGE_CURVE('',#166948,#166949,#92417,.T.); #207266=EDGE_CURVE('',#166950,#166948,#92418,.T.); #207267=EDGE_CURVE('',#166951,#166949,#92419,.T.); #207268=EDGE_CURVE('',#166950,#166951,#92420,.T.); #207269=EDGE_CURVE('',#166952,#166950,#92421,.T.); #207270=EDGE_CURVE('',#166953,#166951,#92422,.T.); #207271=EDGE_CURVE('',#166952,#166953,#92423,.T.); #207272=EDGE_CURVE('',#166954,#166952,#92424,.T.); #207273=EDGE_CURVE('',#166955,#166953,#92425,.T.); #207274=EDGE_CURVE('',#166954,#166955,#92426,.T.); #207275=EDGE_CURVE('',#166956,#166954,#68225,.T.); #207276=EDGE_CURVE('',#166957,#166955,#68226,.T.); #207277=EDGE_CURVE('',#166956,#166957,#92427,.T.); #207278=EDGE_CURVE('',#166958,#166956,#92428,.T.); #207279=EDGE_CURVE('',#166959,#166957,#92429,.T.); #207280=EDGE_CURVE('',#166958,#166959,#92430,.T.); #207281=EDGE_CURVE('',#166960,#166958,#92431,.T.); #207282=EDGE_CURVE('',#166961,#166959,#92432,.T.); #207283=EDGE_CURVE('',#166960,#166961,#92433,.T.); #207284=EDGE_CURVE('',#166962,#166960,#68227,.T.); #207285=EDGE_CURVE('',#166963,#166961,#68228,.T.); #207286=EDGE_CURVE('',#166962,#166963,#92434,.T.); #207287=EDGE_CURVE('',#166964,#166962,#92435,.T.); #207288=EDGE_CURVE('',#166965,#166963,#92436,.T.); #207289=EDGE_CURVE('',#166964,#166965,#92437,.T.); #207290=EDGE_CURVE('',#166966,#166964,#68229,.T.); #207291=EDGE_CURVE('',#166967,#166965,#68230,.T.); #207292=EDGE_CURVE('',#166966,#166967,#92438,.T.); #207293=EDGE_CURVE('',#166968,#166966,#92439,.T.); #207294=EDGE_CURVE('',#166969,#166967,#92440,.T.); #207295=EDGE_CURVE('',#166968,#166969,#92441,.T.); #207296=EDGE_CURVE('',#166970,#166968,#68231,.T.); #207297=EDGE_CURVE('',#166971,#166969,#68232,.T.); #207298=EDGE_CURVE('',#166970,#166971,#92442,.T.); #207299=EDGE_CURVE('',#166972,#166970,#92443,.T.); #207300=EDGE_CURVE('',#166973,#166971,#92444,.T.); #207301=EDGE_CURVE('',#166972,#166973,#92445,.T.); #207302=EDGE_CURVE('',#166974,#166972,#68233,.T.); #207303=EDGE_CURVE('',#166975,#166973,#68234,.T.); #207304=EDGE_CURVE('',#166974,#166975,#92446,.T.); #207305=EDGE_CURVE('',#166976,#166974,#92447,.T.); #207306=EDGE_CURVE('',#166977,#166975,#92448,.T.); #207307=EDGE_CURVE('',#166976,#166977,#92449,.T.); #207308=EDGE_CURVE('',#166891,#166976,#68235,.T.); #207309=EDGE_CURVE('',#166892,#166977,#68236,.T.); #207310=EDGE_CURVE('',#166978,#166978,#68237,.T.); #207311=EDGE_CURVE('',#166978,#166979,#92450,.T.); #207312=EDGE_CURVE('',#166979,#166979,#68238,.T.); #207313=EDGE_CURVE('',#166980,#166980,#68239,.T.); #207314=EDGE_CURVE('',#166980,#166981,#92451,.T.); #207315=EDGE_CURVE('',#166981,#166981,#68240,.T.); #207316=EDGE_CURVE('',#166982,#166983,#92452,.T.); #207317=EDGE_CURVE('',#166983,#166984,#92453,.T.); #207318=EDGE_CURVE('',#166985,#166984,#92454,.T.); #207319=EDGE_CURVE('',#166982,#166985,#92455,.T.); #207320=EDGE_CURVE('',#166986,#166982,#68241,.T.); #207321=EDGE_CURVE('',#166987,#166985,#68242,.T.); #207322=EDGE_CURVE('',#166986,#166987,#92456,.T.); #207323=EDGE_CURVE('',#166988,#166986,#68243,.T.); #207324=EDGE_CURVE('',#166989,#166987,#68244,.T.); #207325=EDGE_CURVE('',#166988,#166989,#92457,.T.); #207326=EDGE_CURVE('',#166990,#166988,#92458,.T.); #207327=EDGE_CURVE('',#166991,#166989,#92459,.T.); #207328=EDGE_CURVE('',#166990,#166991,#92460,.T.); #207329=EDGE_CURVE('',#166992,#166990,#92461,.T.); #207330=EDGE_CURVE('',#166993,#166991,#92462,.T.); #207331=EDGE_CURVE('',#166992,#166993,#92463,.T.); #207332=EDGE_CURVE('',#166994,#166992,#68245,.T.); #207333=EDGE_CURVE('',#166995,#166993,#68246,.T.); #207334=EDGE_CURVE('',#166994,#166995,#92464,.T.); #207335=EDGE_CURVE('',#166996,#166994,#68247,.T.); #207336=EDGE_CURVE('',#166997,#166995,#68248,.T.); #207337=EDGE_CURVE('',#166996,#166997,#92465,.T.); #207338=EDGE_CURVE('',#166998,#166996,#92466,.T.); #207339=EDGE_CURVE('',#166999,#166997,#92467,.T.); #207340=EDGE_CURVE('',#166998,#166999,#92468,.T.); #207341=EDGE_CURVE('',#167000,#166998,#68249,.T.); #207342=EDGE_CURVE('',#167001,#166999,#68250,.T.); #207343=EDGE_CURVE('',#167000,#167001,#92469,.T.); #207344=EDGE_CURVE('',#167002,#167000,#92470,.T.); #207345=EDGE_CURVE('',#167003,#167001,#92471,.T.); #207346=EDGE_CURVE('',#167002,#167003,#92472,.T.); #207347=EDGE_CURVE('',#166983,#167002,#68251,.T.); #207348=EDGE_CURVE('',#166984,#167003,#68252,.T.); #207349=EDGE_CURVE('',#167004,#167004,#68253,.T.); #207350=EDGE_CURVE('',#167004,#167005,#92473,.T.); #207351=EDGE_CURVE('',#167005,#167005,#68254,.T.); #207352=EDGE_CURVE('',#167006,#167007,#92474,.T.); #207353=EDGE_CURVE('',#167007,#167008,#92475,.T.); #207354=EDGE_CURVE('',#167009,#167008,#92476,.T.); #207355=EDGE_CURVE('',#167006,#167009,#92477,.T.); #207356=EDGE_CURVE('',#167010,#167006,#92478,.T.); #207357=EDGE_CURVE('',#167011,#167009,#92479,.T.); #207358=EDGE_CURVE('',#167010,#167011,#92480,.T.); #207359=EDGE_CURVE('',#167012,#167010,#92481,.T.); #207360=EDGE_CURVE('',#167013,#167011,#92482,.T.); #207361=EDGE_CURVE('',#167012,#167013,#92483,.T.); #207362=EDGE_CURVE('',#167014,#167012,#92484,.T.); #207363=EDGE_CURVE('',#167015,#167013,#92485,.T.); #207364=EDGE_CURVE('',#167014,#167015,#92486,.T.); #207365=EDGE_CURVE('',#167016,#167014,#92487,.T.); #207366=EDGE_CURVE('',#167017,#167015,#92488,.T.); #207367=EDGE_CURVE('',#167016,#167017,#92489,.T.); #207368=EDGE_CURVE('',#167018,#167016,#68255,.T.); #207369=EDGE_CURVE('',#167019,#167017,#68256,.T.); #207370=EDGE_CURVE('',#167018,#167019,#92490,.T.); #207371=EDGE_CURVE('',#167020,#167018,#92491,.T.); #207372=EDGE_CURVE('',#167021,#167019,#92492,.T.); #207373=EDGE_CURVE('',#167020,#167021,#92493,.T.); #207374=EDGE_CURVE('',#167022,#167020,#68257,.T.); #207375=EDGE_CURVE('',#167023,#167021,#68258,.T.); #207376=EDGE_CURVE('',#167022,#167023,#92494,.T.); #207377=EDGE_CURVE('',#167024,#167022,#68259,.T.); #207378=EDGE_CURVE('',#167025,#167023,#68260,.T.); #207379=EDGE_CURVE('',#167024,#167025,#92495,.T.); #207380=EDGE_CURVE('',#167026,#167024,#68261,.T.); #207381=EDGE_CURVE('',#167027,#167025,#68262,.T.); #207382=EDGE_CURVE('',#167026,#167027,#92496,.T.); #207383=EDGE_CURVE('',#167028,#167026,#92497,.T.); #207384=EDGE_CURVE('',#167029,#167027,#92498,.T.); #207385=EDGE_CURVE('',#167028,#167029,#92499,.T.); #207386=EDGE_CURVE('',#167030,#167028,#68263,.T.); #207387=EDGE_CURVE('',#167031,#167029,#68264,.T.); #207388=EDGE_CURVE('',#167030,#167031,#92500,.T.); #207389=EDGE_CURVE('',#167032,#167030,#92501,.T.); #207390=EDGE_CURVE('',#167033,#167031,#92502,.T.); #207391=EDGE_CURVE('',#167032,#167033,#92503,.T.); #207392=EDGE_CURVE('',#167034,#167032,#92504,.T.); #207393=EDGE_CURVE('',#167035,#167033,#92505,.T.); #207394=EDGE_CURVE('',#167034,#167035,#92506,.T.); #207395=EDGE_CURVE('',#167036,#167034,#92507,.T.); #207396=EDGE_CURVE('',#167037,#167035,#92508,.T.); #207397=EDGE_CURVE('',#167036,#167037,#92509,.T.); #207398=EDGE_CURVE('',#167038,#167036,#92510,.T.); #207399=EDGE_CURVE('',#167039,#167037,#92511,.T.); #207400=EDGE_CURVE('',#167038,#167039,#92512,.T.); #207401=EDGE_CURVE('',#167040,#167038,#92513,.T.); #207402=EDGE_CURVE('',#167041,#167039,#92514,.T.); #207403=EDGE_CURVE('',#167040,#167041,#92515,.T.); #207404=EDGE_CURVE('',#167042,#167040,#92516,.T.); #207405=EDGE_CURVE('',#167043,#167041,#92517,.T.); #207406=EDGE_CURVE('',#167042,#167043,#92518,.T.); #207407=EDGE_CURVE('',#167044,#167042,#92519,.T.); #207408=EDGE_CURVE('',#167045,#167043,#92520,.T.); #207409=EDGE_CURVE('',#167044,#167045,#92521,.T.); #207410=EDGE_CURVE('',#167046,#167044,#92522,.T.); #207411=EDGE_CURVE('',#167047,#167045,#92523,.T.); #207412=EDGE_CURVE('',#167046,#167047,#92524,.T.); #207413=EDGE_CURVE('',#167048,#167046,#68265,.T.); #207414=EDGE_CURVE('',#167049,#167047,#68266,.T.); #207415=EDGE_CURVE('',#167048,#167049,#92525,.T.); #207416=EDGE_CURVE('',#167050,#167048,#92526,.T.); #207417=EDGE_CURVE('',#167051,#167049,#92527,.T.); #207418=EDGE_CURVE('',#167050,#167051,#92528,.T.); #207419=EDGE_CURVE('',#167052,#167050,#92529,.T.); #207420=EDGE_CURVE('',#167053,#167051,#92530,.T.); #207421=EDGE_CURVE('',#167052,#167053,#92531,.T.); #207422=EDGE_CURVE('',#167007,#167052,#92532,.T.); #207423=EDGE_CURVE('',#167008,#167053,#92533,.T.); #207424=EDGE_CURVE('',#167054,#167054,#68267,.T.); #207425=EDGE_CURVE('',#167054,#167055,#92534,.T.); #207426=EDGE_CURVE('',#167055,#167055,#68268,.T.); #207427=EDGE_CURVE('',#167056,#167057,#92535,.T.); #207428=EDGE_CURVE('',#167057,#167058,#92536,.T.); #207429=EDGE_CURVE('',#167059,#167058,#92537,.T.); #207430=EDGE_CURVE('',#167056,#167059,#92538,.T.); #207431=EDGE_CURVE('',#167060,#167056,#68269,.T.); #207432=EDGE_CURVE('',#167061,#167059,#68270,.T.); #207433=EDGE_CURVE('',#167060,#167061,#92539,.T.); #207434=EDGE_CURVE('',#167062,#167060,#68271,.T.); #207435=EDGE_CURVE('',#167063,#167061,#68272,.T.); #207436=EDGE_CURVE('',#167062,#167063,#92540,.T.); #207437=EDGE_CURVE('',#167064,#167062,#92541,.T.); #207438=EDGE_CURVE('',#167065,#167063,#92542,.T.); #207439=EDGE_CURVE('',#167064,#167065,#92543,.T.); #207440=EDGE_CURVE('',#167066,#167064,#68273,.T.); #207441=EDGE_CURVE('',#167067,#167065,#68274,.T.); #207442=EDGE_CURVE('',#167066,#167067,#92544,.T.); #207443=EDGE_CURVE('',#167068,#167066,#92545,.T.); #207444=EDGE_CURVE('',#167069,#167067,#92546,.T.); #207445=EDGE_CURVE('',#167068,#167069,#92547,.T.); #207446=EDGE_CURVE('',#167070,#167068,#92548,.T.); #207447=EDGE_CURVE('',#167071,#167069,#92549,.T.); #207448=EDGE_CURVE('',#167070,#167071,#92550,.T.); #207449=EDGE_CURVE('',#167072,#167070,#92551,.T.); #207450=EDGE_CURVE('',#167073,#167071,#92552,.T.); #207451=EDGE_CURVE('',#167072,#167073,#92553,.T.); #207452=EDGE_CURVE('',#167074,#167072,#92554,.T.); #207453=EDGE_CURVE('',#167075,#167073,#92555,.T.); #207454=EDGE_CURVE('',#167074,#167075,#92556,.T.); #207455=EDGE_CURVE('',#167076,#167074,#92557,.T.); #207456=EDGE_CURVE('',#167077,#167075,#92558,.T.); #207457=EDGE_CURVE('',#167076,#167077,#92559,.T.); #207458=EDGE_CURVE('',#167078,#167076,#92560,.T.); #207459=EDGE_CURVE('',#167079,#167077,#92561,.T.); #207460=EDGE_CURVE('',#167078,#167079,#92562,.T.); #207461=EDGE_CURVE('',#167080,#167078,#92563,.T.); #207462=EDGE_CURVE('',#167081,#167079,#92564,.T.); #207463=EDGE_CURVE('',#167080,#167081,#92565,.T.); #207464=EDGE_CURVE('',#167082,#167080,#92566,.T.); #207465=EDGE_CURVE('',#167083,#167081,#92567,.T.); #207466=EDGE_CURVE('',#167082,#167083,#92568,.T.); #207467=EDGE_CURVE('',#167084,#167082,#68275,.T.); #207468=EDGE_CURVE('',#167085,#167083,#68276,.T.); #207469=EDGE_CURVE('',#167084,#167085,#92569,.T.); #207470=EDGE_CURVE('',#167086,#167084,#92570,.T.); #207471=EDGE_CURVE('',#167087,#167085,#92571,.T.); #207472=EDGE_CURVE('',#167086,#167087,#92572,.T.); #207473=EDGE_CURVE('',#167088,#167086,#92573,.T.); #207474=EDGE_CURVE('',#167089,#167087,#92574,.T.); #207475=EDGE_CURVE('',#167088,#167089,#92575,.T.); #207476=EDGE_CURVE('',#167090,#167088,#92576,.T.); #207477=EDGE_CURVE('',#167091,#167089,#92577,.T.); #207478=EDGE_CURVE('',#167090,#167091,#92578,.T.); #207479=EDGE_CURVE('',#167092,#167090,#92579,.T.); #207480=EDGE_CURVE('',#167093,#167091,#92580,.T.); #207481=EDGE_CURVE('',#167092,#167093,#92581,.T.); #207482=EDGE_CURVE('',#167094,#167092,#92582,.T.); #207483=EDGE_CURVE('',#167095,#167093,#92583,.T.); #207484=EDGE_CURVE('',#167094,#167095,#92584,.T.); #207485=EDGE_CURVE('',#167096,#167094,#92585,.T.); #207486=EDGE_CURVE('',#167097,#167095,#92586,.T.); #207487=EDGE_CURVE('',#167096,#167097,#92587,.T.); #207488=EDGE_CURVE('',#167098,#167096,#92588,.T.); #207489=EDGE_CURVE('',#167099,#167097,#92589,.T.); #207490=EDGE_CURVE('',#167098,#167099,#92590,.T.); #207491=EDGE_CURVE('',#167100,#167098,#92591,.T.); #207492=EDGE_CURVE('',#167101,#167099,#92592,.T.); #207493=EDGE_CURVE('',#167100,#167101,#92593,.T.); #207494=EDGE_CURVE('',#167102,#167100,#68277,.T.); #207495=EDGE_CURVE('',#167103,#167101,#68278,.T.); #207496=EDGE_CURVE('',#167102,#167103,#92594,.T.); #207497=EDGE_CURVE('',#167104,#167102,#92595,.T.); #207498=EDGE_CURVE('',#167105,#167103,#92596,.T.); #207499=EDGE_CURVE('',#167104,#167105,#92597,.T.); #207500=EDGE_CURVE('',#167106,#167104,#68279,.T.); #207501=EDGE_CURVE('',#167107,#167105,#68280,.T.); #207502=EDGE_CURVE('',#167106,#167107,#92598,.T.); #207503=EDGE_CURVE('',#167057,#167106,#68281,.T.); #207504=EDGE_CURVE('',#167058,#167107,#68282,.T.); #207505=EDGE_CURVE('',#167108,#167108,#68283,.T.); #207506=EDGE_CURVE('',#167108,#167109,#92599,.T.); #207507=EDGE_CURVE('',#167109,#167109,#68284,.T.); #207508=EDGE_CURVE('',#167110,#167110,#68285,.T.); #207509=EDGE_CURVE('',#167110,#167111,#92600,.T.); #207510=EDGE_CURVE('',#167111,#167111,#68286,.T.); #207511=EDGE_CURVE('',#167112,#167113,#92601,.T.); #207512=EDGE_CURVE('',#167113,#167114,#92602,.T.); #207513=EDGE_CURVE('',#167115,#167114,#92603,.T.); #207514=EDGE_CURVE('',#167112,#167115,#92604,.T.); #207515=EDGE_CURVE('',#167116,#167112,#92605,.T.); #207516=EDGE_CURVE('',#167117,#167115,#92606,.T.); #207517=EDGE_CURVE('',#167116,#167117,#92607,.T.); #207518=EDGE_CURVE('',#167118,#167116,#68287,.T.); #207519=EDGE_CURVE('',#167119,#167117,#68288,.T.); #207520=EDGE_CURVE('',#167118,#167119,#92608,.T.); #207521=EDGE_CURVE('',#167120,#167118,#92609,.T.); #207522=EDGE_CURVE('',#167121,#167119,#92610,.T.); #207523=EDGE_CURVE('',#167120,#167121,#92611,.T.); #207524=EDGE_CURVE('',#167122,#167120,#92612,.T.); #207525=EDGE_CURVE('',#167123,#167121,#92613,.T.); #207526=EDGE_CURVE('',#167122,#167123,#92614,.T.); #207527=EDGE_CURVE('',#167124,#167122,#68289,.T.); #207528=EDGE_CURVE('',#167125,#167123,#68290,.T.); #207529=EDGE_CURVE('',#167124,#167125,#92615,.T.); #207530=EDGE_CURVE('',#167126,#167124,#68291,.T.); #207531=EDGE_CURVE('',#167127,#167125,#68292,.T.); #207532=EDGE_CURVE('',#167126,#167127,#92616,.T.); #207533=EDGE_CURVE('',#167128,#167126,#92617,.T.); #207534=EDGE_CURVE('',#167129,#167127,#92618,.T.); #207535=EDGE_CURVE('',#167128,#167129,#92619,.T.); #207536=EDGE_CURVE('',#167130,#167128,#68293,.T.); #207537=EDGE_CURVE('',#167131,#167129,#68294,.T.); #207538=EDGE_CURVE('',#167130,#167131,#92620,.T.); #207539=EDGE_CURVE('',#167132,#167130,#92621,.T.); #207540=EDGE_CURVE('',#167133,#167131,#92622,.T.); #207541=EDGE_CURVE('',#167132,#167133,#92623,.T.); #207542=EDGE_CURVE('',#167134,#167132,#92624,.T.); #207543=EDGE_CURVE('',#167135,#167133,#92625,.T.); #207544=EDGE_CURVE('',#167134,#167135,#92626,.T.); #207545=EDGE_CURVE('',#167136,#167134,#92627,.T.); #207546=EDGE_CURVE('',#167137,#167135,#92628,.T.); #207547=EDGE_CURVE('',#167136,#167137,#92629,.T.); #207548=EDGE_CURVE('',#167138,#167136,#68295,.T.); #207549=EDGE_CURVE('',#167139,#167137,#68296,.T.); #207550=EDGE_CURVE('',#167138,#167139,#92630,.T.); #207551=EDGE_CURVE('',#167140,#167138,#92631,.T.); #207552=EDGE_CURVE('',#167141,#167139,#92632,.T.); #207553=EDGE_CURVE('',#167140,#167141,#92633,.T.); #207554=EDGE_CURVE('',#167142,#167140,#92634,.T.); #207555=EDGE_CURVE('',#167143,#167141,#92635,.T.); #207556=EDGE_CURVE('',#167142,#167143,#92636,.T.); #207557=EDGE_CURVE('',#167144,#167142,#92637,.T.); #207558=EDGE_CURVE('',#167145,#167143,#92638,.T.); #207559=EDGE_CURVE('',#167144,#167145,#92639,.T.); #207560=EDGE_CURVE('',#167146,#167144,#92640,.T.); #207561=EDGE_CURVE('',#167147,#167145,#92641,.T.); #207562=EDGE_CURVE('',#167146,#167147,#92642,.T.); #207563=EDGE_CURVE('',#167148,#167146,#92643,.T.); #207564=EDGE_CURVE('',#167149,#167147,#92644,.T.); #207565=EDGE_CURVE('',#167148,#167149,#92645,.T.); #207566=EDGE_CURVE('',#167150,#167148,#68297,.T.); #207567=EDGE_CURVE('',#167151,#167149,#68298,.T.); #207568=EDGE_CURVE('',#167150,#167151,#92646,.T.); #207569=EDGE_CURVE('',#167152,#167150,#92647,.T.); #207570=EDGE_CURVE('',#167153,#167151,#92648,.T.); #207571=EDGE_CURVE('',#167152,#167153,#92649,.T.); #207572=EDGE_CURVE('',#167154,#167152,#92650,.T.); #207573=EDGE_CURVE('',#167155,#167153,#92651,.T.); #207574=EDGE_CURVE('',#167154,#167155,#92652,.T.); #207575=EDGE_CURVE('',#167156,#167154,#68299,.T.); #207576=EDGE_CURVE('',#167157,#167155,#68300,.T.); #207577=EDGE_CURVE('',#167156,#167157,#92653,.T.); #207578=EDGE_CURVE('',#167158,#167156,#92654,.T.); #207579=EDGE_CURVE('',#167159,#167157,#92655,.T.); #207580=EDGE_CURVE('',#167158,#167159,#92656,.T.); #207581=EDGE_CURVE('',#167160,#167158,#68301,.T.); #207582=EDGE_CURVE('',#167161,#167159,#68302,.T.); #207583=EDGE_CURVE('',#167160,#167161,#92657,.T.); #207584=EDGE_CURVE('',#167162,#167160,#92658,.T.); #207585=EDGE_CURVE('',#167163,#167161,#92659,.T.); #207586=EDGE_CURVE('',#167162,#167163,#92660,.T.); #207587=EDGE_CURVE('',#167164,#167162,#92661,.T.); #207588=EDGE_CURVE('',#167165,#167163,#92662,.T.); #207589=EDGE_CURVE('',#167164,#167165,#92663,.T.); #207590=EDGE_CURVE('',#167166,#167164,#92664,.T.); #207591=EDGE_CURVE('',#167167,#167165,#92665,.T.); #207592=EDGE_CURVE('',#167166,#167167,#92666,.T.); #207593=EDGE_CURVE('',#167168,#167166,#68303,.T.); #207594=EDGE_CURVE('',#167169,#167167,#68304,.T.); #207595=EDGE_CURVE('',#167168,#167169,#92667,.T.); #207596=EDGE_CURVE('',#167170,#167168,#92668,.T.); #207597=EDGE_CURVE('',#167171,#167169,#92669,.T.); #207598=EDGE_CURVE('',#167170,#167171,#92670,.T.); #207599=EDGE_CURVE('',#167172,#167170,#68305,.T.); #207600=EDGE_CURVE('',#167173,#167171,#68306,.T.); #207601=EDGE_CURVE('',#167172,#167173,#92671,.T.); #207602=EDGE_CURVE('',#167174,#167172,#92672,.T.); #207603=EDGE_CURVE('',#167175,#167173,#92673,.T.); #207604=EDGE_CURVE('',#167174,#167175,#92674,.T.); #207605=EDGE_CURVE('',#167176,#167174,#68307,.T.); #207606=EDGE_CURVE('',#167177,#167175,#68308,.T.); #207607=EDGE_CURVE('',#167176,#167177,#92675,.T.); #207608=EDGE_CURVE('',#167178,#167176,#92676,.T.); #207609=EDGE_CURVE('',#167179,#167177,#92677,.T.); #207610=EDGE_CURVE('',#167178,#167179,#92678,.T.); #207611=EDGE_CURVE('',#167180,#167178,#92679,.T.); #207612=EDGE_CURVE('',#167181,#167179,#92680,.T.); #207613=EDGE_CURVE('',#167180,#167181,#92681,.T.); #207614=EDGE_CURVE('',#167182,#167180,#68309,.T.); #207615=EDGE_CURVE('',#167183,#167181,#68310,.T.); #207616=EDGE_CURVE('',#167182,#167183,#92682,.T.); #207617=EDGE_CURVE('',#167184,#167182,#68311,.T.); #207618=EDGE_CURVE('',#167185,#167183,#68312,.T.); #207619=EDGE_CURVE('',#167184,#167185,#92683,.T.); #207620=EDGE_CURVE('',#167186,#167184,#92684,.T.); #207621=EDGE_CURVE('',#167187,#167185,#92685,.T.); #207622=EDGE_CURVE('',#167186,#167187,#92686,.T.); #207623=EDGE_CURVE('',#167188,#167186,#68313,.T.); #207624=EDGE_CURVE('',#167189,#167187,#68314,.T.); #207625=EDGE_CURVE('',#167188,#167189,#92687,.T.); #207626=EDGE_CURVE('',#167190,#167188,#92688,.T.); #207627=EDGE_CURVE('',#167191,#167189,#92689,.T.); #207628=EDGE_CURVE('',#167190,#167191,#92690,.T.); #207629=EDGE_CURVE('',#167192,#167190,#92691,.T.); #207630=EDGE_CURVE('',#167193,#167191,#92692,.T.); #207631=EDGE_CURVE('',#167192,#167193,#92693,.T.); #207632=EDGE_CURVE('',#167194,#167192,#92694,.T.); #207633=EDGE_CURVE('',#167195,#167193,#92695,.T.); #207634=EDGE_CURVE('',#167194,#167195,#92696,.T.); #207635=EDGE_CURVE('',#167196,#167194,#92697,.T.); #207636=EDGE_CURVE('',#167197,#167195,#92698,.T.); #207637=EDGE_CURVE('',#167196,#167197,#92699,.T.); #207638=EDGE_CURVE('',#167198,#167196,#68315,.T.); #207639=EDGE_CURVE('',#167199,#167197,#68316,.T.); #207640=EDGE_CURVE('',#167198,#167199,#92700,.T.); #207641=EDGE_CURVE('',#167200,#167198,#92701,.T.); #207642=EDGE_CURVE('',#167201,#167199,#92702,.T.); #207643=EDGE_CURVE('',#167200,#167201,#92703,.T.); #207644=EDGE_CURVE('',#167202,#167200,#68317,.T.); #207645=EDGE_CURVE('',#167203,#167201,#68318,.T.); #207646=EDGE_CURVE('',#167202,#167203,#92704,.T.); #207647=EDGE_CURVE('',#167204,#167202,#92705,.T.); #207648=EDGE_CURVE('',#167205,#167203,#92706,.T.); #207649=EDGE_CURVE('',#167204,#167205,#92707,.T.); #207650=EDGE_CURVE('',#167206,#167204,#92708,.T.); #207651=EDGE_CURVE('',#167207,#167205,#92709,.T.); #207652=EDGE_CURVE('',#167206,#167207,#92710,.T.); #207653=EDGE_CURVE('',#167208,#167206,#92711,.T.); #207654=EDGE_CURVE('',#167209,#167207,#92712,.T.); #207655=EDGE_CURVE('',#167208,#167209,#92713,.T.); #207656=EDGE_CURVE('',#167210,#167208,#68319,.T.); #207657=EDGE_CURVE('',#167211,#167209,#68320,.T.); #207658=EDGE_CURVE('',#167210,#167211,#92714,.T.); #207659=EDGE_CURVE('',#167212,#167210,#92715,.T.); #207660=EDGE_CURVE('',#167213,#167211,#92716,.T.); #207661=EDGE_CURVE('',#167212,#167213,#92717,.T.); #207662=EDGE_CURVE('',#167214,#167212,#92718,.T.); #207663=EDGE_CURVE('',#167215,#167213,#92719,.T.); #207664=EDGE_CURVE('',#167214,#167215,#92720,.T.); #207665=EDGE_CURVE('',#167216,#167214,#68321,.T.); #207666=EDGE_CURVE('',#167217,#167215,#68322,.T.); #207667=EDGE_CURVE('',#167216,#167217,#92721,.T.); #207668=EDGE_CURVE('',#167218,#167216,#92722,.T.); #207669=EDGE_CURVE('',#167219,#167217,#92723,.T.); #207670=EDGE_CURVE('',#167218,#167219,#92724,.T.); #207671=EDGE_CURVE('',#167220,#167218,#68323,.T.); #207672=EDGE_CURVE('',#167221,#167219,#68324,.T.); #207673=EDGE_CURVE('',#167220,#167221,#92725,.T.); #207674=EDGE_CURVE('',#167222,#167220,#92726,.T.); #207675=EDGE_CURVE('',#167223,#167221,#92727,.T.); #207676=EDGE_CURVE('',#167222,#167223,#92728,.T.); #207677=EDGE_CURVE('',#167224,#167222,#68325,.T.); #207678=EDGE_CURVE('',#167225,#167223,#68326,.T.); #207679=EDGE_CURVE('',#167224,#167225,#92729,.T.); #207680=EDGE_CURVE('',#167226,#167224,#92730,.T.); #207681=EDGE_CURVE('',#167227,#167225,#92731,.T.); #207682=EDGE_CURVE('',#167226,#167227,#92732,.T.); #207683=EDGE_CURVE('',#167228,#167226,#68327,.T.); #207684=EDGE_CURVE('',#167229,#167227,#68328,.T.); #207685=EDGE_CURVE('',#167228,#167229,#92733,.T.); #207686=EDGE_CURVE('',#167230,#167228,#92734,.T.); #207687=EDGE_CURVE('',#167231,#167229,#92735,.T.); #207688=EDGE_CURVE('',#167230,#167231,#92736,.T.); #207689=EDGE_CURVE('',#167232,#167230,#92737,.T.); #207690=EDGE_CURVE('',#167233,#167231,#92738,.T.); #207691=EDGE_CURVE('',#167232,#167233,#92739,.T.); #207692=EDGE_CURVE('',#167113,#167232,#68329,.T.); #207693=EDGE_CURVE('',#167114,#167233,#68330,.T.); #207694=EDGE_CURVE('',#167234,#167234,#68331,.T.); #207695=EDGE_CURVE('',#167234,#167235,#92740,.T.); #207696=EDGE_CURVE('',#167235,#167235,#68332,.T.); #207697=EDGE_CURVE('',#167236,#167236,#68333,.T.); #207698=EDGE_CURVE('',#167236,#167237,#92741,.T.); #207699=EDGE_CURVE('',#167237,#167237,#68334,.T.); #207700=EDGE_CURVE('',#167238,#167239,#92742,.T.); #207701=EDGE_CURVE('',#167239,#167240,#92743,.T.); #207702=EDGE_CURVE('',#167241,#167240,#92744,.T.); #207703=EDGE_CURVE('',#167238,#167241,#92745,.T.); #207704=EDGE_CURVE('',#167242,#167238,#68335,.T.); #207705=EDGE_CURVE('',#167243,#167241,#68336,.T.); #207706=EDGE_CURVE('',#167242,#167243,#92746,.T.); #207707=EDGE_CURVE('',#167244,#167242,#68337,.T.); #207708=EDGE_CURVE('',#167245,#167243,#68338,.T.); #207709=EDGE_CURVE('',#167244,#167245,#92747,.T.); #207710=EDGE_CURVE('',#167246,#167244,#92748,.T.); #207711=EDGE_CURVE('',#167247,#167245,#92749,.T.); #207712=EDGE_CURVE('',#167246,#167247,#92750,.T.); #207713=EDGE_CURVE('',#167248,#167246,#68339,.T.); #207714=EDGE_CURVE('',#167249,#167247,#68340,.T.); #207715=EDGE_CURVE('',#167248,#167249,#92751,.T.); #207716=EDGE_CURVE('',#167250,#167248,#68341,.T.); #207717=EDGE_CURVE('',#167251,#167249,#68342,.T.); #207718=EDGE_CURVE('',#167250,#167251,#92752,.T.); #207719=EDGE_CURVE('',#167252,#167250,#92753,.T.); #207720=EDGE_CURVE('',#167253,#167251,#92754,.T.); #207721=EDGE_CURVE('',#167252,#167253,#92755,.T.); #207722=EDGE_CURVE('',#167239,#167252,#68343,.T.); #207723=EDGE_CURVE('',#167240,#167253,#68344,.T.); #207724=EDGE_CURVE('',#167254,#167254,#68345,.T.); #207725=EDGE_CURVE('',#167254,#167255,#92756,.T.); #207726=EDGE_CURVE('',#167255,#167255,#68346,.T.); #207727=EDGE_CURVE('',#167256,#167256,#68347,.T.); #207728=EDGE_CURVE('',#167256,#167257,#92757,.T.); #207729=EDGE_CURVE('',#167257,#167257,#68348,.T.); #207730=EDGE_CURVE('',#167258,#167259,#92758,.T.); #207731=EDGE_CURVE('',#167259,#167260,#92759,.T.); #207732=EDGE_CURVE('',#167261,#167260,#92760,.T.); #207733=EDGE_CURVE('',#167258,#167261,#92761,.T.); #207734=EDGE_CURVE('',#167262,#167258,#68349,.T.); #207735=EDGE_CURVE('',#167263,#167261,#68350,.T.); #207736=EDGE_CURVE('',#167262,#167263,#92762,.T.); #207737=EDGE_CURVE('',#167264,#167262,#68351,.T.); #207738=EDGE_CURVE('',#167265,#167263,#68352,.T.); #207739=EDGE_CURVE('',#167264,#167265,#92763,.T.); #207740=EDGE_CURVE('',#167266,#167264,#92764,.T.); #207741=EDGE_CURVE('',#167267,#167265,#92765,.T.); #207742=EDGE_CURVE('',#167266,#167267,#92766,.T.); #207743=EDGE_CURVE('',#167268,#167266,#68353,.T.); #207744=EDGE_CURVE('',#167269,#167267,#68354,.T.); #207745=EDGE_CURVE('',#167268,#167269,#92767,.T.); #207746=EDGE_CURVE('',#167270,#167268,#68355,.T.); #207747=EDGE_CURVE('',#167271,#167269,#68356,.T.); #207748=EDGE_CURVE('',#167270,#167271,#92768,.T.); #207749=EDGE_CURVE('',#167272,#167270,#92769,.T.); #207750=EDGE_CURVE('',#167273,#167271,#92770,.T.); #207751=EDGE_CURVE('',#167272,#167273,#92771,.T.); #207752=EDGE_CURVE('',#167259,#167272,#68357,.T.); #207753=EDGE_CURVE('',#167260,#167273,#68358,.T.); #207754=EDGE_CURVE('',#167274,#167274,#68359,.T.); #207755=EDGE_CURVE('',#167274,#167275,#92772,.T.); #207756=EDGE_CURVE('',#167275,#167275,#68360,.T.); #207757=EDGE_CURVE('',#167276,#167276,#68361,.T.); #207758=EDGE_CURVE('',#167276,#167277,#92773,.T.); #207759=EDGE_CURVE('',#167277,#167277,#68362,.T.); #207760=EDGE_CURVE('',#167278,#167279,#92774,.T.); #207761=EDGE_CURVE('',#167279,#167280,#92775,.T.); #207762=EDGE_CURVE('',#167281,#167280,#92776,.T.); #207763=EDGE_CURVE('',#167278,#167281,#92777,.T.); #207764=EDGE_CURVE('',#167282,#167278,#68363,.T.); #207765=EDGE_CURVE('',#167283,#167281,#68364,.T.); #207766=EDGE_CURVE('',#167282,#167283,#92778,.T.); #207767=EDGE_CURVE('',#167284,#167282,#68365,.T.); #207768=EDGE_CURVE('',#167285,#167283,#68366,.T.); #207769=EDGE_CURVE('',#167284,#167285,#92779,.T.); #207770=EDGE_CURVE('',#167286,#167284,#92780,.T.); #207771=EDGE_CURVE('',#167287,#167285,#92781,.T.); #207772=EDGE_CURVE('',#167286,#167287,#92782,.T.); #207773=EDGE_CURVE('',#167288,#167286,#68367,.T.); #207774=EDGE_CURVE('',#167289,#167287,#68368,.T.); #207775=EDGE_CURVE('',#167288,#167289,#92783,.T.); #207776=EDGE_CURVE('',#167290,#167288,#68369,.T.); #207777=EDGE_CURVE('',#167291,#167289,#68370,.T.); #207778=EDGE_CURVE('',#167290,#167291,#92784,.T.); #207779=EDGE_CURVE('',#167292,#167290,#92785,.T.); #207780=EDGE_CURVE('',#167293,#167291,#92786,.T.); #207781=EDGE_CURVE('',#167292,#167293,#92787,.T.); #207782=EDGE_CURVE('',#167279,#167292,#68371,.T.); #207783=EDGE_CURVE('',#167280,#167293,#68372,.T.); #207784=EDGE_CURVE('',#167294,#167294,#68373,.T.); #207785=EDGE_CURVE('',#167294,#167295,#92788,.T.); #207786=EDGE_CURVE('',#167295,#167295,#68374,.T.); #207787=EDGE_CURVE('',#167296,#167296,#68375,.T.); #207788=EDGE_CURVE('',#167296,#167297,#92789,.T.); #207789=EDGE_CURVE('',#167297,#167297,#68376,.T.); #207790=EDGE_CURVE('',#167298,#167299,#92790,.T.); #207791=EDGE_CURVE('',#167299,#167300,#92791,.T.); #207792=EDGE_CURVE('',#167301,#167300,#92792,.T.); #207793=EDGE_CURVE('',#167298,#167301,#92793,.T.); #207794=EDGE_CURVE('',#167302,#167298,#68377,.T.); #207795=EDGE_CURVE('',#167303,#167301,#68378,.T.); #207796=EDGE_CURVE('',#167302,#167303,#92794,.T.); #207797=EDGE_CURVE('',#167304,#167302,#92795,.T.); #207798=EDGE_CURVE('',#167305,#167303,#92796,.T.); #207799=EDGE_CURVE('',#167304,#167305,#92797,.T.); #207800=EDGE_CURVE('',#167306,#167304,#92798,.T.); #207801=EDGE_CURVE('',#167307,#167305,#92799,.T.); #207802=EDGE_CURVE('',#167306,#167307,#92800,.T.); #207803=EDGE_CURVE('',#167308,#167306,#92801,.T.); #207804=EDGE_CURVE('',#167309,#167307,#92802,.T.); #207805=EDGE_CURVE('',#167308,#167309,#92803,.T.); #207806=EDGE_CURVE('',#167310,#167308,#68379,.T.); #207807=EDGE_CURVE('',#167311,#167309,#68380,.T.); #207808=EDGE_CURVE('',#167310,#167311,#92804,.T.); #207809=EDGE_CURVE('',#167312,#167310,#68381,.T.); #207810=EDGE_CURVE('',#167313,#167311,#68382,.T.); #207811=EDGE_CURVE('',#167312,#167313,#92805,.T.); #207812=EDGE_CURVE('',#167314,#167312,#92806,.T.); #207813=EDGE_CURVE('',#167315,#167313,#92807,.T.); #207814=EDGE_CURVE('',#167314,#167315,#92808,.T.); #207815=EDGE_CURVE('',#167316,#167314,#68383,.T.); #207816=EDGE_CURVE('',#167317,#167315,#68384,.T.); #207817=EDGE_CURVE('',#167316,#167317,#92809,.T.); #207818=EDGE_CURVE('',#167318,#167316,#92810,.T.); #207819=EDGE_CURVE('',#167319,#167317,#92811,.T.); #207820=EDGE_CURVE('',#167318,#167319,#92812,.T.); #207821=EDGE_CURVE('',#167320,#167318,#68385,.T.); #207822=EDGE_CURVE('',#167321,#167319,#68386,.T.); #207823=EDGE_CURVE('',#167320,#167321,#92813,.T.); #207824=EDGE_CURVE('',#167322,#167320,#92814,.T.); #207825=EDGE_CURVE('',#167323,#167321,#92815,.T.); #207826=EDGE_CURVE('',#167322,#167323,#92816,.T.); #207827=EDGE_CURVE('',#167299,#167322,#68387,.T.); #207828=EDGE_CURVE('',#167300,#167323,#68388,.T.); #207829=EDGE_CURVE('',#167324,#167324,#68389,.T.); #207830=EDGE_CURVE('',#167324,#167325,#92817,.T.); #207831=EDGE_CURVE('',#167325,#167325,#68390,.T.); #207832=EDGE_CURVE('',#167326,#167327,#92818,.T.); #207833=EDGE_CURVE('',#167327,#167328,#92819,.T.); #207834=EDGE_CURVE('',#167329,#167328,#92820,.T.); #207835=EDGE_CURVE('',#167326,#167329,#92821,.T.); #207836=EDGE_CURVE('',#167330,#167326,#92822,.T.); #207837=EDGE_CURVE('',#167331,#167329,#92823,.T.); #207838=EDGE_CURVE('',#167330,#167331,#92824,.T.); #207839=EDGE_CURVE('',#167332,#167330,#92825,.T.); #207840=EDGE_CURVE('',#167333,#167331,#92826,.T.); #207841=EDGE_CURVE('',#167332,#167333,#92827,.T.); #207842=EDGE_CURVE('',#167327,#167332,#92828,.T.); #207843=EDGE_CURVE('',#167328,#167333,#92829,.T.); #207844=EDGE_CURVE('',#167334,#167334,#68391,.T.); #207845=EDGE_CURVE('',#167334,#167335,#92830,.T.); #207846=EDGE_CURVE('',#167335,#167335,#68392,.T.); #207847=EDGE_CURVE('',#167336,#167337,#92831,.T.); #207848=EDGE_CURVE('',#167337,#167338,#92832,.T.); #207849=EDGE_CURVE('',#167339,#167338,#92833,.T.); #207850=EDGE_CURVE('',#167336,#167339,#92834,.T.); #207851=EDGE_CURVE('',#167340,#167336,#92835,.T.); #207852=EDGE_CURVE('',#167341,#167339,#92836,.T.); #207853=EDGE_CURVE('',#167340,#167341,#92837,.T.); #207854=EDGE_CURVE('',#167342,#167340,#92838,.T.); #207855=EDGE_CURVE('',#167343,#167341,#92839,.T.); #207856=EDGE_CURVE('',#167342,#167343,#92840,.T.); #207857=EDGE_CURVE('',#167337,#167342,#92841,.T.); #207858=EDGE_CURVE('',#167338,#167343,#92842,.T.); #207859=EDGE_CURVE('',#167344,#167344,#68393,.T.); #207860=EDGE_CURVE('',#167344,#167345,#92843,.T.); #207861=EDGE_CURVE('',#167345,#167345,#68394,.T.); #207862=EDGE_CURVE('',#167346,#167347,#92844,.T.); #207863=EDGE_CURVE('',#167347,#167348,#92845,.T.); #207864=EDGE_CURVE('',#167349,#167348,#92846,.T.); #207865=EDGE_CURVE('',#167346,#167349,#92847,.T.); #207866=EDGE_CURVE('',#167350,#167346,#92848,.T.); #207867=EDGE_CURVE('',#167351,#167349,#92849,.T.); #207868=EDGE_CURVE('',#167350,#167351,#92850,.T.); #207869=EDGE_CURVE('',#167352,#167350,#92851,.T.); #207870=EDGE_CURVE('',#167353,#167351,#92852,.T.); #207871=EDGE_CURVE('',#167352,#167353,#92853,.T.); #207872=EDGE_CURVE('',#167347,#167352,#92854,.T.); #207873=EDGE_CURVE('',#167348,#167353,#92855,.T.); #207874=EDGE_CURVE('',#167354,#167354,#68395,.T.); #207875=EDGE_CURVE('',#167354,#167355,#92856,.T.); #207876=EDGE_CURVE('',#167355,#167355,#68396,.T.); #207877=EDGE_CURVE('',#167356,#167357,#92857,.T.); #207878=EDGE_CURVE('',#167357,#167358,#92858,.T.); #207879=EDGE_CURVE('',#167359,#167358,#92859,.T.); #207880=EDGE_CURVE('',#167356,#167359,#92860,.T.); #207881=EDGE_CURVE('',#167360,#167356,#68397,.T.); #207882=EDGE_CURVE('',#167361,#167359,#68398,.T.); #207883=EDGE_CURVE('',#167360,#167361,#92861,.T.); #207884=EDGE_CURVE('',#167362,#167360,#92862,.T.); #207885=EDGE_CURVE('',#167363,#167361,#92863,.T.); #207886=EDGE_CURVE('',#167362,#167363,#92864,.T.); #207887=EDGE_CURVE('',#167364,#167362,#92865,.T.); #207888=EDGE_CURVE('',#167365,#167363,#92866,.T.); #207889=EDGE_CURVE('',#167364,#167365,#92867,.T.); #207890=EDGE_CURVE('',#167366,#167364,#92868,.T.); #207891=EDGE_CURVE('',#167367,#167365,#92869,.T.); #207892=EDGE_CURVE('',#167366,#167367,#92870,.T.); #207893=EDGE_CURVE('',#167368,#167366,#92871,.T.); #207894=EDGE_CURVE('',#167369,#167367,#92872,.T.); #207895=EDGE_CURVE('',#167368,#167369,#92873,.T.); #207896=EDGE_CURVE('',#167370,#167368,#92874,.T.); #207897=EDGE_CURVE('',#167371,#167369,#92875,.T.); #207898=EDGE_CURVE('',#167370,#167371,#92876,.T.); #207899=EDGE_CURVE('',#167372,#167370,#92877,.T.); #207900=EDGE_CURVE('',#167373,#167371,#92878,.T.); #207901=EDGE_CURVE('',#167372,#167373,#92879,.T.); #207902=EDGE_CURVE('',#167374,#167372,#92880,.T.); #207903=EDGE_CURVE('',#167375,#167373,#92881,.T.); #207904=EDGE_CURVE('',#167374,#167375,#92882,.T.); #207905=EDGE_CURVE('',#167357,#167374,#68399,.T.); #207906=EDGE_CURVE('',#167358,#167375,#68400,.T.); #207907=EDGE_CURVE('',#167376,#167376,#68401,.T.); #207908=EDGE_CURVE('',#167376,#167377,#92883,.T.); #207909=EDGE_CURVE('',#167377,#167377,#68402,.T.); #207910=EDGE_CURVE('',#167378,#167378,#68403,.T.); #207911=EDGE_CURVE('',#167378,#167379,#92884,.T.); #207912=EDGE_CURVE('',#167379,#167379,#68404,.T.); #207913=EDGE_CURVE('',#167380,#167381,#92885,.T.); #207914=EDGE_CURVE('',#167381,#167382,#92886,.T.); #207915=EDGE_CURVE('',#167383,#167382,#92887,.T.); #207916=EDGE_CURVE('',#167380,#167383,#92888,.T.); #207917=EDGE_CURVE('',#167384,#167380,#92889,.T.); #207918=EDGE_CURVE('',#167385,#167383,#92890,.T.); #207919=EDGE_CURVE('',#167384,#167385,#92891,.T.); #207920=EDGE_CURVE('',#167386,#167384,#68405,.T.); #207921=EDGE_CURVE('',#167387,#167385,#68406,.T.); #207922=EDGE_CURVE('',#167386,#167387,#92892,.T.); #207923=EDGE_CURVE('',#167388,#167386,#92893,.T.); #207924=EDGE_CURVE('',#167389,#167387,#92894,.T.); #207925=EDGE_CURVE('',#167388,#167389,#92895,.T.); #207926=EDGE_CURVE('',#167390,#167388,#92896,.T.); #207927=EDGE_CURVE('',#167391,#167389,#92897,.T.); #207928=EDGE_CURVE('',#167390,#167391,#92898,.T.); #207929=EDGE_CURVE('',#167392,#167390,#68407,.T.); #207930=EDGE_CURVE('',#167393,#167391,#68408,.T.); #207931=EDGE_CURVE('',#167392,#167393,#92899,.T.); #207932=EDGE_CURVE('',#167394,#167392,#92900,.T.); #207933=EDGE_CURVE('',#167395,#167393,#92901,.T.); #207934=EDGE_CURVE('',#167394,#167395,#92902,.T.); #207935=EDGE_CURVE('',#167396,#167394,#92903,.T.); #207936=EDGE_CURVE('',#167397,#167395,#92904,.T.); #207937=EDGE_CURVE('',#167396,#167397,#92905,.T.); #207938=EDGE_CURVE('',#167398,#167396,#68409,.T.); #207939=EDGE_CURVE('',#167399,#167397,#68410,.T.); #207940=EDGE_CURVE('',#167398,#167399,#92906,.T.); #207941=EDGE_CURVE('',#167400,#167398,#68411,.T.); #207942=EDGE_CURVE('',#167401,#167399,#68412,.T.); #207943=EDGE_CURVE('',#167400,#167401,#92907,.T.); #207944=EDGE_CURVE('',#167402,#167400,#92908,.T.); #207945=EDGE_CURVE('',#167403,#167401,#92909,.T.); #207946=EDGE_CURVE('',#167402,#167403,#92910,.T.); #207947=EDGE_CURVE('',#167404,#167402,#68413,.T.); #207948=EDGE_CURVE('',#167405,#167403,#68414,.T.); #207949=EDGE_CURVE('',#167404,#167405,#92911,.T.); #207950=EDGE_CURVE('',#167406,#167404,#92912,.T.); #207951=EDGE_CURVE('',#167407,#167405,#92913,.T.); #207952=EDGE_CURVE('',#167406,#167407,#92914,.T.); #207953=EDGE_CURVE('',#167408,#167406,#92915,.T.); #207954=EDGE_CURVE('',#167409,#167407,#92916,.T.); #207955=EDGE_CURVE('',#167408,#167409,#92917,.T.); #207956=EDGE_CURVE('',#167410,#167408,#68415,.T.); #207957=EDGE_CURVE('',#167411,#167409,#68416,.T.); #207958=EDGE_CURVE('',#167410,#167411,#92918,.T.); #207959=EDGE_CURVE('',#167412,#167410,#92919,.T.); #207960=EDGE_CURVE('',#167413,#167411,#92920,.T.); #207961=EDGE_CURVE('',#167412,#167413,#92921,.T.); #207962=EDGE_CURVE('',#167414,#167412,#92922,.T.); #207963=EDGE_CURVE('',#167415,#167413,#92923,.T.); #207964=EDGE_CURVE('',#167414,#167415,#92924,.T.); #207965=EDGE_CURVE('',#167416,#167414,#92925,.T.); #207966=EDGE_CURVE('',#167417,#167415,#92926,.T.); #207967=EDGE_CURVE('',#167416,#167417,#92927,.T.); #207968=EDGE_CURVE('',#167418,#167416,#92928,.T.); #207969=EDGE_CURVE('',#167419,#167417,#92929,.T.); #207970=EDGE_CURVE('',#167418,#167419,#92930,.T.); #207971=EDGE_CURVE('',#167420,#167418,#92931,.T.); #207972=EDGE_CURVE('',#167421,#167419,#92932,.T.); #207973=EDGE_CURVE('',#167420,#167421,#92933,.T.); #207974=EDGE_CURVE('',#167422,#167420,#92934,.T.); #207975=EDGE_CURVE('',#167423,#167421,#92935,.T.); #207976=EDGE_CURVE('',#167422,#167423,#92936,.T.); #207977=EDGE_CURVE('',#167424,#167422,#68417,.T.); #207978=EDGE_CURVE('',#167425,#167423,#68418,.T.); #207979=EDGE_CURVE('',#167424,#167425,#92937,.T.); #207980=EDGE_CURVE('',#167426,#167424,#92938,.T.); #207981=EDGE_CURVE('',#167427,#167425,#92939,.T.); #207982=EDGE_CURVE('',#167426,#167427,#92940,.T.); #207983=EDGE_CURVE('',#167428,#167426,#92941,.T.); #207984=EDGE_CURVE('',#167429,#167427,#92942,.T.); #207985=EDGE_CURVE('',#167428,#167429,#92943,.T.); #207986=EDGE_CURVE('',#167430,#167428,#68419,.T.); #207987=EDGE_CURVE('',#167431,#167429,#68420,.T.); #207988=EDGE_CURVE('',#167430,#167431,#92944,.T.); #207989=EDGE_CURVE('',#167432,#167430,#92945,.T.); #207990=EDGE_CURVE('',#167433,#167431,#92946,.T.); #207991=EDGE_CURVE('',#167432,#167433,#92947,.T.); #207992=EDGE_CURVE('',#167434,#167432,#68421,.T.); #207993=EDGE_CURVE('',#167435,#167433,#68422,.T.); #207994=EDGE_CURVE('',#167434,#167435,#92948,.T.); #207995=EDGE_CURVE('',#167436,#167434,#92949,.T.); #207996=EDGE_CURVE('',#167437,#167435,#92950,.T.); #207997=EDGE_CURVE('',#167436,#167437,#92951,.T.); #207998=EDGE_CURVE('',#167438,#167436,#92952,.T.); #207999=EDGE_CURVE('',#167439,#167437,#92953,.T.); #208000=EDGE_CURVE('',#167438,#167439,#92954,.T.); #208001=EDGE_CURVE('',#167440,#167438,#92955,.T.); #208002=EDGE_CURVE('',#167441,#167439,#92956,.T.); #208003=EDGE_CURVE('',#167440,#167441,#92957,.T.); #208004=EDGE_CURVE('',#167442,#167440,#68423,.T.); #208005=EDGE_CURVE('',#167443,#167441,#68424,.T.); #208006=EDGE_CURVE('',#167442,#167443,#92958,.T.); #208007=EDGE_CURVE('',#167444,#167442,#92959,.T.); #208008=EDGE_CURVE('',#167445,#167443,#92960,.T.); #208009=EDGE_CURVE('',#167444,#167445,#92961,.T.); #208010=EDGE_CURVE('',#167446,#167444,#68425,.T.); #208011=EDGE_CURVE('',#167447,#167445,#68426,.T.); #208012=EDGE_CURVE('',#167446,#167447,#92962,.T.); #208013=EDGE_CURVE('',#167448,#167446,#92963,.T.); #208014=EDGE_CURVE('',#167449,#167447,#92964,.T.); #208015=EDGE_CURVE('',#167448,#167449,#92965,.T.); #208016=EDGE_CURVE('',#167450,#167448,#92966,.T.); #208017=EDGE_CURVE('',#167451,#167449,#92967,.T.); #208018=EDGE_CURVE('',#167450,#167451,#92968,.T.); #208019=EDGE_CURVE('',#167452,#167450,#68427,.T.); #208020=EDGE_CURVE('',#167453,#167451,#68428,.T.); #208021=EDGE_CURVE('',#167452,#167453,#92969,.T.); #208022=EDGE_CURVE('',#167454,#167452,#92970,.T.); #208023=EDGE_CURVE('',#167455,#167453,#92971,.T.); #208024=EDGE_CURVE('',#167454,#167455,#92972,.T.); #208025=EDGE_CURVE('',#167456,#167454,#68429,.T.); #208026=EDGE_CURVE('',#167457,#167455,#68430,.T.); #208027=EDGE_CURVE('',#167456,#167457,#92973,.T.); #208028=EDGE_CURVE('',#167458,#167456,#68431,.T.); #208029=EDGE_CURVE('',#167459,#167457,#68432,.T.); #208030=EDGE_CURVE('',#167458,#167459,#92974,.T.); #208031=EDGE_CURVE('',#167460,#167458,#92975,.T.); #208032=EDGE_CURVE('',#167461,#167459,#92976,.T.); #208033=EDGE_CURVE('',#167460,#167461,#92977,.T.); #208034=EDGE_CURVE('',#167462,#167460,#92978,.T.); #208035=EDGE_CURVE('',#167463,#167461,#92979,.T.); #208036=EDGE_CURVE('',#167462,#167463,#92980,.T.); #208037=EDGE_CURVE('',#167464,#167462,#68433,.T.); #208038=EDGE_CURVE('',#167465,#167463,#68434,.T.); #208039=EDGE_CURVE('',#167464,#167465,#92981,.T.); #208040=EDGE_CURVE('',#167466,#167464,#92982,.T.); #208041=EDGE_CURVE('',#167467,#167465,#92983,.T.); #208042=EDGE_CURVE('',#167466,#167467,#92984,.T.); #208043=EDGE_CURVE('',#167468,#167466,#92985,.T.); #208044=EDGE_CURVE('',#167469,#167467,#92986,.T.); #208045=EDGE_CURVE('',#167468,#167469,#92987,.T.); #208046=EDGE_CURVE('',#167470,#167468,#92988,.T.); #208047=EDGE_CURVE('',#167471,#167469,#92989,.T.); #208048=EDGE_CURVE('',#167470,#167471,#92990,.T.); #208049=EDGE_CURVE('',#167472,#167470,#68435,.T.); #208050=EDGE_CURVE('',#167473,#167471,#68436,.T.); #208051=EDGE_CURVE('',#167472,#167473,#92991,.T.); #208052=EDGE_CURVE('',#167474,#167472,#92992,.T.); #208053=EDGE_CURVE('',#167475,#167473,#92993,.T.); #208054=EDGE_CURVE('',#167474,#167475,#92994,.T.); #208055=EDGE_CURVE('',#167476,#167474,#68437,.T.); #208056=EDGE_CURVE('',#167477,#167475,#68438,.T.); #208057=EDGE_CURVE('',#167476,#167477,#92995,.T.); #208058=EDGE_CURVE('',#167478,#167476,#92996,.T.); #208059=EDGE_CURVE('',#167479,#167477,#92997,.T.); #208060=EDGE_CURVE('',#167478,#167479,#92998,.T.); #208061=EDGE_CURVE('',#167480,#167478,#92999,.T.); #208062=EDGE_CURVE('',#167481,#167479,#93000,.T.); #208063=EDGE_CURVE('',#167480,#167481,#93001,.T.); #208064=EDGE_CURVE('',#167482,#167480,#93002,.T.); #208065=EDGE_CURVE('',#167483,#167481,#93003,.T.); #208066=EDGE_CURVE('',#167482,#167483,#93004,.T.); #208067=EDGE_CURVE('',#167484,#167482,#68439,.T.); #208068=EDGE_CURVE('',#167485,#167483,#68440,.T.); #208069=EDGE_CURVE('',#167484,#167485,#93005,.T.); #208070=EDGE_CURVE('',#167486,#167484,#93006,.T.); #208071=EDGE_CURVE('',#167487,#167485,#93007,.T.); #208072=EDGE_CURVE('',#167486,#167487,#93008,.T.); #208073=EDGE_CURVE('',#167488,#167486,#93009,.T.); #208074=EDGE_CURVE('',#167489,#167487,#93010,.T.); #208075=EDGE_CURVE('',#167488,#167489,#93011,.T.); #208076=EDGE_CURVE('',#167490,#167488,#68441,.T.); #208077=EDGE_CURVE('',#167491,#167489,#68442,.T.); #208078=EDGE_CURVE('',#167490,#167491,#93012,.T.); #208079=EDGE_CURVE('',#167492,#167490,#93013,.T.); #208080=EDGE_CURVE('',#167493,#167491,#93014,.T.); #208081=EDGE_CURVE('',#167492,#167493,#93015,.T.); #208082=EDGE_CURVE('',#167494,#167492,#68443,.T.); #208083=EDGE_CURVE('',#167495,#167493,#68444,.T.); #208084=EDGE_CURVE('',#167494,#167495,#93016,.T.); #208085=EDGE_CURVE('',#167496,#167494,#93017,.T.); #208086=EDGE_CURVE('',#167497,#167495,#93018,.T.); #208087=EDGE_CURVE('',#167496,#167497,#93019,.T.); #208088=EDGE_CURVE('',#167498,#167496,#68445,.T.); #208089=EDGE_CURVE('',#167499,#167497,#68446,.T.); #208090=EDGE_CURVE('',#167498,#167499,#93020,.T.); #208091=EDGE_CURVE('',#167500,#167498,#93021,.T.); #208092=EDGE_CURVE('',#167501,#167499,#93022,.T.); #208093=EDGE_CURVE('',#167500,#167501,#93023,.T.); #208094=EDGE_CURVE('',#167381,#167500,#68447,.T.); #208095=EDGE_CURVE('',#167382,#167501,#68448,.T.); #208096=EDGE_CURVE('',#167502,#167502,#68449,.T.); #208097=EDGE_CURVE('',#167502,#167503,#93024,.T.); #208098=EDGE_CURVE('',#167503,#167503,#68450,.T.); #208099=EDGE_CURVE('',#167504,#167504,#68451,.T.); #208100=EDGE_CURVE('',#167504,#167505,#93025,.T.); #208101=EDGE_CURVE('',#167505,#167505,#68452,.T.); #208102=EDGE_CURVE('',#167506,#167507,#93026,.T.); #208103=EDGE_CURVE('',#167507,#167508,#93027,.T.); #208104=EDGE_CURVE('',#167509,#167508,#93028,.T.); #208105=EDGE_CURVE('',#167506,#167509,#93029,.T.); #208106=EDGE_CURVE('',#167510,#167506,#68453,.T.); #208107=EDGE_CURVE('',#167511,#167509,#68454,.T.); #208108=EDGE_CURVE('',#167510,#167511,#93030,.T.); #208109=EDGE_CURVE('',#167512,#167510,#93031,.T.); #208110=EDGE_CURVE('',#167513,#167511,#93032,.T.); #208111=EDGE_CURVE('',#167512,#167513,#93033,.T.); #208112=EDGE_CURVE('',#167514,#167512,#68455,.T.); #208113=EDGE_CURVE('',#167515,#167513,#68456,.T.); #208114=EDGE_CURVE('',#167514,#167515,#93034,.T.); #208115=EDGE_CURVE('',#167516,#167514,#68457,.T.); #208116=EDGE_CURVE('',#167517,#167515,#68458,.T.); #208117=EDGE_CURVE('',#167516,#167517,#93035,.T.); #208118=EDGE_CURVE('',#167518,#167516,#93036,.T.); #208119=EDGE_CURVE('',#167519,#167517,#93037,.T.); #208120=EDGE_CURVE('',#167518,#167519,#93038,.T.); #208121=EDGE_CURVE('',#167507,#167518,#68459,.T.); #208122=EDGE_CURVE('',#167508,#167519,#68460,.T.); #208123=EDGE_CURVE('',#167520,#167520,#68461,.T.); #208124=EDGE_CURVE('',#167520,#167521,#93039,.T.); #208125=EDGE_CURVE('',#167521,#167521,#68462,.T.); #208126=EDGE_CURVE('',#167522,#167523,#93040,.T.); #208127=EDGE_CURVE('',#167523,#167524,#93041,.T.); #208128=EDGE_CURVE('',#167525,#167524,#93042,.T.); #208129=EDGE_CURVE('',#167522,#167525,#93043,.T.); #208130=EDGE_CURVE('',#167526,#167522,#68463,.T.); #208131=EDGE_CURVE('',#167527,#167525,#68464,.T.); #208132=EDGE_CURVE('',#167526,#167527,#93044,.T.); #208133=EDGE_CURVE('',#167528,#167526,#93045,.T.); #208134=EDGE_CURVE('',#167529,#167527,#93046,.T.); #208135=EDGE_CURVE('',#167528,#167529,#93047,.T.); #208136=EDGE_CURVE('',#167530,#167528,#93048,.T.); #208137=EDGE_CURVE('',#167531,#167529,#93049,.T.); #208138=EDGE_CURVE('',#167530,#167531,#93050,.T.); #208139=EDGE_CURVE('',#167532,#167530,#93051,.T.); #208140=EDGE_CURVE('',#167533,#167531,#93052,.T.); #208141=EDGE_CURVE('',#167532,#167533,#93053,.T.); #208142=EDGE_CURVE('',#167534,#167532,#93054,.T.); #208143=EDGE_CURVE('',#167535,#167533,#93055,.T.); #208144=EDGE_CURVE('',#167534,#167535,#93056,.T.); #208145=EDGE_CURVE('',#167536,#167534,#93057,.T.); #208146=EDGE_CURVE('',#167537,#167535,#93058,.T.); #208147=EDGE_CURVE('',#167536,#167537,#93059,.T.); #208148=EDGE_CURVE('',#167538,#167536,#93060,.T.); #208149=EDGE_CURVE('',#167539,#167537,#93061,.T.); #208150=EDGE_CURVE('',#167538,#167539,#93062,.T.); #208151=EDGE_CURVE('',#167540,#167538,#93063,.T.); #208152=EDGE_CURVE('',#167541,#167539,#93064,.T.); #208153=EDGE_CURVE('',#167540,#167541,#93065,.T.); #208154=EDGE_CURVE('',#167523,#167540,#68465,.T.); #208155=EDGE_CURVE('',#167524,#167541,#68466,.T.); #208156=EDGE_CURVE('',#167542,#167542,#68467,.T.); #208157=EDGE_CURVE('',#167542,#167543,#93066,.T.); #208158=EDGE_CURVE('',#167543,#167543,#68468,.T.); #208159=EDGE_CURVE('',#167544,#167545,#93067,.T.); #208160=EDGE_CURVE('',#167545,#167546,#93068,.T.); #208161=EDGE_CURVE('',#167547,#167546,#93069,.T.); #208162=EDGE_CURVE('',#167544,#167547,#93070,.T.); #208163=EDGE_CURVE('',#167548,#167544,#68469,.T.); #208164=EDGE_CURVE('',#167549,#167547,#68470,.T.); #208165=EDGE_CURVE('',#167548,#167549,#93071,.T.); #208166=EDGE_CURVE('',#167550,#167548,#93072,.T.); #208167=EDGE_CURVE('',#167551,#167549,#93073,.T.); #208168=EDGE_CURVE('',#167550,#167551,#93074,.T.); #208169=EDGE_CURVE('',#167552,#167550,#93075,.T.); #208170=EDGE_CURVE('',#167553,#167551,#93076,.T.); #208171=EDGE_CURVE('',#167552,#167553,#93077,.T.); #208172=EDGE_CURVE('',#167554,#167552,#93078,.T.); #208173=EDGE_CURVE('',#167555,#167553,#93079,.T.); #208174=EDGE_CURVE('',#167554,#167555,#93080,.T.); #208175=EDGE_CURVE('',#167556,#167554,#93081,.T.); #208176=EDGE_CURVE('',#167557,#167555,#93082,.T.); #208177=EDGE_CURVE('',#167556,#167557,#93083,.T.); #208178=EDGE_CURVE('',#167558,#167556,#93084,.T.); #208179=EDGE_CURVE('',#167559,#167557,#93085,.T.); #208180=EDGE_CURVE('',#167558,#167559,#93086,.T.); #208181=EDGE_CURVE('',#167560,#167558,#93087,.T.); #208182=EDGE_CURVE('',#167561,#167559,#93088,.T.); #208183=EDGE_CURVE('',#167560,#167561,#93089,.T.); #208184=EDGE_CURVE('',#167562,#167560,#93090,.T.); #208185=EDGE_CURVE('',#167563,#167561,#93091,.T.); #208186=EDGE_CURVE('',#167562,#167563,#93092,.T.); #208187=EDGE_CURVE('',#167545,#167562,#68471,.T.); #208188=EDGE_CURVE('',#167546,#167563,#68472,.T.); #208189=EDGE_CURVE('',#167564,#167564,#68473,.T.); #208190=EDGE_CURVE('',#167564,#167565,#93093,.T.); #208191=EDGE_CURVE('',#167565,#167565,#68474,.T.); #208192=EDGE_CURVE('',#167566,#167567,#93094,.T.); #208193=EDGE_CURVE('',#167567,#167568,#93095,.T.); #208194=EDGE_CURVE('',#167569,#167568,#93096,.T.); #208195=EDGE_CURVE('',#167566,#167569,#93097,.T.); #208196=EDGE_CURVE('',#167570,#167566,#68475,.T.); #208197=EDGE_CURVE('',#167571,#167569,#68476,.T.); #208198=EDGE_CURVE('',#167570,#167571,#93098,.T.); #208199=EDGE_CURVE('',#167572,#167570,#93099,.T.); #208200=EDGE_CURVE('',#167573,#167571,#93100,.T.); #208201=EDGE_CURVE('',#167572,#167573,#93101,.T.); #208202=EDGE_CURVE('',#167574,#167572,#68477,.T.); #208203=EDGE_CURVE('',#167575,#167573,#68478,.T.); #208204=EDGE_CURVE('',#167574,#167575,#93102,.T.); #208205=EDGE_CURVE('',#167576,#167574,#93103,.T.); #208206=EDGE_CURVE('',#167577,#167575,#93104,.T.); #208207=EDGE_CURVE('',#167576,#167577,#93105,.T.); #208208=EDGE_CURVE('',#167578,#167576,#68479,.T.); #208209=EDGE_CURVE('',#167579,#167577,#68480,.T.); #208210=EDGE_CURVE('',#167578,#167579,#93106,.T.); #208211=EDGE_CURVE('',#167580,#167578,#93107,.T.); #208212=EDGE_CURVE('',#167581,#167579,#93108,.T.); #208213=EDGE_CURVE('',#167580,#167581,#93109,.T.); #208214=EDGE_CURVE('',#167582,#167580,#93110,.T.); #208215=EDGE_CURVE('',#167583,#167581,#93111,.T.); #208216=EDGE_CURVE('',#167582,#167583,#93112,.T.); #208217=EDGE_CURVE('',#167584,#167582,#93113,.T.); #208218=EDGE_CURVE('',#167585,#167583,#93114,.T.); #208219=EDGE_CURVE('',#167584,#167585,#93115,.T.); #208220=EDGE_CURVE('',#167586,#167584,#93116,.T.); #208221=EDGE_CURVE('',#167587,#167585,#93117,.T.); #208222=EDGE_CURVE('',#167586,#167587,#93118,.T.); #208223=EDGE_CURVE('',#167588,#167586,#93119,.T.); #208224=EDGE_CURVE('',#167589,#167587,#93120,.T.); #208225=EDGE_CURVE('',#167588,#167589,#93121,.T.); #208226=EDGE_CURVE('',#167590,#167588,#93122,.T.); #208227=EDGE_CURVE('',#167591,#167589,#93123,.T.); #208228=EDGE_CURVE('',#167590,#167591,#93124,.T.); #208229=EDGE_CURVE('',#167592,#167590,#93125,.T.); #208230=EDGE_CURVE('',#167593,#167591,#93126,.T.); #208231=EDGE_CURVE('',#167592,#167593,#93127,.T.); #208232=EDGE_CURVE('',#167594,#167592,#93128,.T.); #208233=EDGE_CURVE('',#167595,#167593,#93129,.T.); #208234=EDGE_CURVE('',#167594,#167595,#93130,.T.); #208235=EDGE_CURVE('',#167596,#167594,#93131,.T.); #208236=EDGE_CURVE('',#167597,#167595,#93132,.T.); #208237=EDGE_CURVE('',#167596,#167597,#93133,.T.); #208238=EDGE_CURVE('',#167598,#167596,#68481,.T.); #208239=EDGE_CURVE('',#167599,#167597,#68482,.T.); #208240=EDGE_CURVE('',#167598,#167599,#93134,.T.); #208241=EDGE_CURVE('',#167567,#167598,#68483,.T.); #208242=EDGE_CURVE('',#167568,#167599,#68484,.T.); #208243=EDGE_CURVE('',#167600,#167600,#68485,.T.); #208244=EDGE_CURVE('',#167600,#167601,#93135,.T.); #208245=EDGE_CURVE('',#167601,#167601,#68486,.T.); #208246=EDGE_CURVE('',#167602,#167603,#93136,.T.); #208247=EDGE_CURVE('',#167603,#167604,#93137,.T.); #208248=EDGE_CURVE('',#167605,#167604,#93138,.T.); #208249=EDGE_CURVE('',#167602,#167605,#93139,.T.); #208250=EDGE_CURVE('',#167606,#167602,#93140,.T.); #208251=EDGE_CURVE('',#167607,#167605,#93141,.T.); #208252=EDGE_CURVE('',#167606,#167607,#93142,.T.); #208253=EDGE_CURVE('',#167608,#167606,#93143,.T.); #208254=EDGE_CURVE('',#167609,#167607,#93144,.T.); #208255=EDGE_CURVE('',#167608,#167609,#93145,.T.); #208256=EDGE_CURVE('',#167610,#167608,#93146,.T.); #208257=EDGE_CURVE('',#167611,#167609,#93147,.T.); #208258=EDGE_CURVE('',#167610,#167611,#93148,.T.); #208259=EDGE_CURVE('',#167612,#167610,#93149,.T.); #208260=EDGE_CURVE('',#167613,#167611,#93150,.T.); #208261=EDGE_CURVE('',#167612,#167613,#93151,.T.); #208262=EDGE_CURVE('',#167614,#167612,#93152,.T.); #208263=EDGE_CURVE('',#167615,#167613,#93153,.T.); #208264=EDGE_CURVE('',#167614,#167615,#93154,.T.); #208265=EDGE_CURVE('',#167616,#167614,#93155,.T.); #208266=EDGE_CURVE('',#167617,#167615,#93156,.T.); #208267=EDGE_CURVE('',#167616,#167617,#93157,.T.); #208268=EDGE_CURVE('',#167618,#167616,#93158,.T.); #208269=EDGE_CURVE('',#167619,#167617,#93159,.T.); #208270=EDGE_CURVE('',#167618,#167619,#93160,.T.); #208271=EDGE_CURVE('',#167620,#167618,#68487,.T.); #208272=EDGE_CURVE('',#167621,#167619,#68488,.T.); #208273=EDGE_CURVE('',#167620,#167621,#93161,.T.); #208274=EDGE_CURVE('',#167622,#167620,#68489,.T.); #208275=EDGE_CURVE('',#167623,#167621,#68490,.T.); #208276=EDGE_CURVE('',#167622,#167623,#93162,.T.); #208277=EDGE_CURVE('',#167624,#167622,#93163,.T.); #208278=EDGE_CURVE('',#167625,#167623,#93164,.T.); #208279=EDGE_CURVE('',#167624,#167625,#93165,.T.); #208280=EDGE_CURVE('',#167626,#167624,#68491,.T.); #208281=EDGE_CURVE('',#167627,#167625,#68492,.T.); #208282=EDGE_CURVE('',#167626,#167627,#93166,.T.); #208283=EDGE_CURVE('',#167628,#167626,#93167,.T.); #208284=EDGE_CURVE('',#167629,#167627,#93168,.T.); #208285=EDGE_CURVE('',#167628,#167629,#93169,.T.); #208286=EDGE_CURVE('',#167630,#167628,#68493,.T.); #208287=EDGE_CURVE('',#167631,#167629,#68494,.T.); #208288=EDGE_CURVE('',#167630,#167631,#93170,.T.); #208289=EDGE_CURVE('',#167603,#167630,#93171,.T.); #208290=EDGE_CURVE('',#167604,#167631,#93172,.T.); #208291=EDGE_CURVE('',#167632,#167632,#68495,.T.); #208292=EDGE_CURVE('',#167632,#167633,#93173,.T.); #208293=EDGE_CURVE('',#167633,#167633,#68496,.T.); #208294=EDGE_CURVE('',#167634,#167635,#93174,.T.); #208295=EDGE_CURVE('',#167635,#167636,#93175,.T.); #208296=EDGE_CURVE('',#167637,#167636,#93176,.T.); #208297=EDGE_CURVE('',#167634,#167637,#93177,.T.); #208298=EDGE_CURVE('',#167638,#167634,#68497,.T.); #208299=EDGE_CURVE('',#167639,#167637,#68498,.T.); #208300=EDGE_CURVE('',#167638,#167639,#93178,.T.); #208301=EDGE_CURVE('',#167635,#167638,#68499,.T.); #208302=EDGE_CURVE('',#167636,#167639,#68500,.T.); #208303=EDGE_CURVE('',#167640,#167640,#68501,.T.); #208304=EDGE_CURVE('',#167640,#167641,#93179,.T.); #208305=EDGE_CURVE('',#167641,#167641,#68502,.T.); #208306=EDGE_CURVE('',#167642,#167643,#93180,.T.); #208307=EDGE_CURVE('',#167643,#167644,#93181,.T.); #208308=EDGE_CURVE('',#167645,#167644,#93182,.T.); #208309=EDGE_CURVE('',#167642,#167645,#93183,.T.); #208310=EDGE_CURVE('',#167646,#167642,#93184,.T.); #208311=EDGE_CURVE('',#167647,#167645,#93185,.T.); #208312=EDGE_CURVE('',#167646,#167647,#93186,.T.); #208313=EDGE_CURVE('',#167648,#167646,#93187,.T.); #208314=EDGE_CURVE('',#167649,#167647,#93188,.T.); #208315=EDGE_CURVE('',#167648,#167649,#93189,.T.); #208316=EDGE_CURVE('',#167650,#167648,#93190,.T.); #208317=EDGE_CURVE('',#167651,#167649,#93191,.T.); #208318=EDGE_CURVE('',#167650,#167651,#93192,.T.); #208319=EDGE_CURVE('',#167652,#167650,#93193,.T.); #208320=EDGE_CURVE('',#167653,#167651,#93194,.T.); #208321=EDGE_CURVE('',#167652,#167653,#93195,.T.); #208322=EDGE_CURVE('',#167654,#167652,#93196,.T.); #208323=EDGE_CURVE('',#167655,#167653,#93197,.T.); #208324=EDGE_CURVE('',#167654,#167655,#93198,.T.); #208325=EDGE_CURVE('',#167656,#167654,#93199,.T.); #208326=EDGE_CURVE('',#167657,#167655,#93200,.T.); #208327=EDGE_CURVE('',#167656,#167657,#93201,.T.); #208328=EDGE_CURVE('',#167658,#167656,#68503,.T.); #208329=EDGE_CURVE('',#167659,#167657,#68504,.T.); #208330=EDGE_CURVE('',#167658,#167659,#93202,.T.); #208331=EDGE_CURVE('',#167660,#167658,#93203,.T.); #208332=EDGE_CURVE('',#167661,#167659,#93204,.T.); #208333=EDGE_CURVE('',#167660,#167661,#93205,.T.); #208334=EDGE_CURVE('',#167662,#167660,#93206,.T.); #208335=EDGE_CURVE('',#167663,#167661,#93207,.T.); #208336=EDGE_CURVE('',#167662,#167663,#93208,.T.); #208337=EDGE_CURVE('',#167664,#167662,#68505,.T.); #208338=EDGE_CURVE('',#167665,#167663,#68506,.T.); #208339=EDGE_CURVE('',#167664,#167665,#93209,.T.); #208340=EDGE_CURVE('',#167666,#167664,#68507,.T.); #208341=EDGE_CURVE('',#167667,#167665,#68508,.T.); #208342=EDGE_CURVE('',#167666,#167667,#93210,.T.); #208343=EDGE_CURVE('',#167668,#167666,#93211,.T.); #208344=EDGE_CURVE('',#167669,#167667,#93212,.T.); #208345=EDGE_CURVE('',#167668,#167669,#93213,.T.); #208346=EDGE_CURVE('',#167670,#167668,#68509,.T.); #208347=EDGE_CURVE('',#167671,#167669,#68510,.T.); #208348=EDGE_CURVE('',#167670,#167671,#93214,.T.); #208349=EDGE_CURVE('',#167672,#167670,#93215,.T.); #208350=EDGE_CURVE('',#167673,#167671,#93216,.T.); #208351=EDGE_CURVE('',#167672,#167673,#93217,.T.); #208352=EDGE_CURVE('',#167674,#167672,#93218,.T.); #208353=EDGE_CURVE('',#167675,#167673,#93219,.T.); #208354=EDGE_CURVE('',#167674,#167675,#93220,.T.); #208355=EDGE_CURVE('',#167676,#167674,#68511,.T.); #208356=EDGE_CURVE('',#167677,#167675,#68512,.T.); #208357=EDGE_CURVE('',#167676,#167677,#93221,.T.); #208358=EDGE_CURVE('',#167678,#167676,#93222,.T.); #208359=EDGE_CURVE('',#167679,#167677,#93223,.T.); #208360=EDGE_CURVE('',#167678,#167679,#93224,.T.); #208361=EDGE_CURVE('',#167680,#167678,#68513,.T.); #208362=EDGE_CURVE('',#167681,#167679,#68514,.T.); #208363=EDGE_CURVE('',#167680,#167681,#93225,.T.); #208364=EDGE_CURVE('',#167682,#167680,#93226,.T.); #208365=EDGE_CURVE('',#167683,#167681,#93227,.T.); #208366=EDGE_CURVE('',#167682,#167683,#93228,.T.); #208367=EDGE_CURVE('',#167643,#167682,#93229,.T.); #208368=EDGE_CURVE('',#167644,#167683,#93230,.T.); #208369=EDGE_CURVE('',#167684,#167684,#68515,.T.); #208370=EDGE_CURVE('',#167684,#167685,#93231,.T.); #208371=EDGE_CURVE('',#167685,#167685,#68516,.T.); #208372=EDGE_CURVE('',#167686,#167687,#93232,.T.); #208373=EDGE_CURVE('',#167687,#167688,#93233,.T.); #208374=EDGE_CURVE('',#167689,#167688,#93234,.T.); #208375=EDGE_CURVE('',#167686,#167689,#93235,.T.); #208376=EDGE_CURVE('',#167690,#167686,#93236,.T.); #208377=EDGE_CURVE('',#167691,#167689,#93237,.T.); #208378=EDGE_CURVE('',#167690,#167691,#93238,.T.); #208379=EDGE_CURVE('',#167692,#167690,#93239,.T.); #208380=EDGE_CURVE('',#167693,#167691,#93240,.T.); #208381=EDGE_CURVE('',#167692,#167693,#93241,.T.); #208382=EDGE_CURVE('',#167694,#167692,#93242,.T.); #208383=EDGE_CURVE('',#167695,#167693,#93243,.T.); #208384=EDGE_CURVE('',#167694,#167695,#93244,.T.); #208385=EDGE_CURVE('',#167696,#167694,#68517,.T.); #208386=EDGE_CURVE('',#167697,#167695,#68518,.T.); #208387=EDGE_CURVE('',#167696,#167697,#93245,.T.); #208388=EDGE_CURVE('',#167698,#167696,#93246,.T.); #208389=EDGE_CURVE('',#167699,#167697,#93247,.T.); #208390=EDGE_CURVE('',#167698,#167699,#93248,.T.); #208391=EDGE_CURVE('',#167700,#167698,#68519,.T.); #208392=EDGE_CURVE('',#167701,#167699,#68520,.T.); #208393=EDGE_CURVE('',#167700,#167701,#93249,.T.); #208394=EDGE_CURVE('',#167702,#167700,#93250,.T.); #208395=EDGE_CURVE('',#167703,#167701,#93251,.T.); #208396=EDGE_CURVE('',#167702,#167703,#93252,.T.); #208397=EDGE_CURVE('',#167704,#167702,#68521,.T.); #208398=EDGE_CURVE('',#167705,#167703,#68522,.T.); #208399=EDGE_CURVE('',#167704,#167705,#93253,.T.); #208400=EDGE_CURVE('',#167706,#167704,#68523,.T.); #208401=EDGE_CURVE('',#167707,#167705,#68524,.T.); #208402=EDGE_CURVE('',#167706,#167707,#93254,.T.); #208403=EDGE_CURVE('',#167708,#167706,#93255,.T.); #208404=EDGE_CURVE('',#167709,#167707,#93256,.T.); #208405=EDGE_CURVE('',#167708,#167709,#93257,.T.); #208406=EDGE_CURVE('',#167710,#167708,#93258,.T.); #208407=EDGE_CURVE('',#167711,#167709,#93259,.T.); #208408=EDGE_CURVE('',#167710,#167711,#93260,.T.); #208409=EDGE_CURVE('',#167712,#167710,#93261,.T.); #208410=EDGE_CURVE('',#167713,#167711,#93262,.T.); #208411=EDGE_CURVE('',#167712,#167713,#93263,.T.); #208412=EDGE_CURVE('',#167714,#167712,#93264,.T.); #208413=EDGE_CURVE('',#167715,#167713,#93265,.T.); #208414=EDGE_CURVE('',#167714,#167715,#93266,.T.); #208415=EDGE_CURVE('',#167687,#167714,#93267,.T.); #208416=EDGE_CURVE('',#167688,#167715,#93268,.T.); #208417=EDGE_CURVE('',#167716,#167717,#93269,.T.); #208418=EDGE_CURVE('',#167717,#167718,#93270,.T.); #208419=EDGE_CURVE('',#167719,#167718,#93271,.T.); #208420=EDGE_CURVE('',#167716,#167719,#93272,.T.); #208421=EDGE_CURVE('',#167720,#167716,#93273,.T.); #208422=EDGE_CURVE('',#167721,#167719,#93274,.T.); #208423=EDGE_CURVE('',#167720,#167721,#93275,.T.); #208424=EDGE_CURVE('',#167722,#167720,#93276,.T.); #208425=EDGE_CURVE('',#167723,#167721,#93277,.T.); #208426=EDGE_CURVE('',#167722,#167723,#93278,.T.); #208427=EDGE_CURVE('',#167724,#167722,#93279,.T.); #208428=EDGE_CURVE('',#167725,#167723,#93280,.T.); #208429=EDGE_CURVE('',#167724,#167725,#93281,.T.); #208430=EDGE_CURVE('',#167726,#167724,#93282,.T.); #208431=EDGE_CURVE('',#167727,#167725,#93283,.T.); #208432=EDGE_CURVE('',#167726,#167727,#93284,.T.); #208433=EDGE_CURVE('',#167728,#167726,#68525,.T.); #208434=EDGE_CURVE('',#167729,#167727,#68526,.T.); #208435=EDGE_CURVE('',#167728,#167729,#93285,.T.); #208436=EDGE_CURVE('',#167730,#167728,#93286,.T.); #208437=EDGE_CURVE('',#167731,#167729,#93287,.T.); #208438=EDGE_CURVE('',#167730,#167731,#93288,.T.); #208439=EDGE_CURVE('',#167732,#167730,#93289,.T.); #208440=EDGE_CURVE('',#167733,#167731,#93290,.T.); #208441=EDGE_CURVE('',#167732,#167733,#93291,.T.); #208442=EDGE_CURVE('',#167734,#167732,#93292,.T.); #208443=EDGE_CURVE('',#167735,#167733,#93293,.T.); #208444=EDGE_CURVE('',#167734,#167735,#93294,.T.); #208445=EDGE_CURVE('',#167736,#167734,#93295,.T.); #208446=EDGE_CURVE('',#167737,#167735,#93296,.T.); #208447=EDGE_CURVE('',#167736,#167737,#93297,.T.); #208448=EDGE_CURVE('',#167738,#167736,#93298,.T.); #208449=EDGE_CURVE('',#167739,#167737,#93299,.T.); #208450=EDGE_CURVE('',#167738,#167739,#93300,.T.); #208451=EDGE_CURVE('',#167740,#167738,#93301,.T.); #208452=EDGE_CURVE('',#167741,#167739,#93302,.T.); #208453=EDGE_CURVE('',#167740,#167741,#93303,.T.); #208454=EDGE_CURVE('',#167742,#167740,#93304,.T.); #208455=EDGE_CURVE('',#167743,#167741,#93305,.T.); #208456=EDGE_CURVE('',#167742,#167743,#93306,.T.); #208457=EDGE_CURVE('',#167717,#167742,#93307,.T.); #208458=EDGE_CURVE('',#167718,#167743,#93308,.T.); #208459=EDGE_CURVE('',#167744,#167745,#93309,.T.); #208460=EDGE_CURVE('',#167745,#167746,#93310,.T.); #208461=EDGE_CURVE('',#167747,#167746,#93311,.T.); #208462=EDGE_CURVE('',#167744,#167747,#93312,.T.); #208463=EDGE_CURVE('',#167748,#167744,#93313,.T.); #208464=EDGE_CURVE('',#167749,#167747,#93314,.T.); #208465=EDGE_CURVE('',#167748,#167749,#93315,.T.); #208466=EDGE_CURVE('',#167750,#167748,#93316,.T.); #208467=EDGE_CURVE('',#167751,#167749,#93317,.T.); #208468=EDGE_CURVE('',#167750,#167751,#93318,.T.); #208469=EDGE_CURVE('',#167752,#167750,#93319,.T.); #208470=EDGE_CURVE('',#167753,#167751,#93320,.T.); #208471=EDGE_CURVE('',#167752,#167753,#93321,.T.); #208472=EDGE_CURVE('',#167754,#167752,#93322,.T.); #208473=EDGE_CURVE('',#167755,#167753,#93323,.T.); #208474=EDGE_CURVE('',#167754,#167755,#93324,.T.); #208475=EDGE_CURVE('',#167756,#167754,#93325,.T.); #208476=EDGE_CURVE('',#167757,#167755,#93326,.T.); #208477=EDGE_CURVE('',#167756,#167757,#93327,.T.); #208478=EDGE_CURVE('',#167758,#167756,#93328,.T.); #208479=EDGE_CURVE('',#167759,#167757,#93329,.T.); #208480=EDGE_CURVE('',#167758,#167759,#93330,.T.); #208481=EDGE_CURVE('',#167760,#167758,#93331,.T.); #208482=EDGE_CURVE('',#167761,#167759,#93332,.T.); #208483=EDGE_CURVE('',#167760,#167761,#93333,.T.); #208484=EDGE_CURVE('',#167762,#167760,#93334,.T.); #208485=EDGE_CURVE('',#167763,#167761,#93335,.T.); #208486=EDGE_CURVE('',#167762,#167763,#93336,.T.); #208487=EDGE_CURVE('',#167764,#167762,#93337,.T.); #208488=EDGE_CURVE('',#167765,#167763,#93338,.T.); #208489=EDGE_CURVE('',#167764,#167765,#93339,.T.); #208490=EDGE_CURVE('',#167766,#167764,#93340,.T.); #208491=EDGE_CURVE('',#167767,#167765,#93341,.T.); #208492=EDGE_CURVE('',#167766,#167767,#93342,.T.); #208493=EDGE_CURVE('',#167768,#167766,#68527,.T.); #208494=EDGE_CURVE('',#167769,#167767,#68528,.T.); #208495=EDGE_CURVE('',#167768,#167769,#93343,.T.); #208496=EDGE_CURVE('',#167770,#167768,#93344,.T.); #208497=EDGE_CURVE('',#167771,#167769,#93345,.T.); #208498=EDGE_CURVE('',#167770,#167771,#93346,.T.); #208499=EDGE_CURVE('',#167745,#167770,#93347,.T.); #208500=EDGE_CURVE('',#167746,#167771,#93348,.T.); #208501=EDGE_CURVE('',#167772,#167772,#68529,.T.); #208502=EDGE_CURVE('',#167772,#167773,#93349,.T.); #208503=EDGE_CURVE('',#167773,#167773,#68530,.T.); #208504=EDGE_CURVE('',#167774,#167775,#93350,.T.); #208505=EDGE_CURVE('',#167775,#167776,#93351,.T.); #208506=EDGE_CURVE('',#167777,#167776,#93352,.T.); #208507=EDGE_CURVE('',#167774,#167777,#93353,.T.); #208508=EDGE_CURVE('',#167778,#167774,#93354,.T.); #208509=EDGE_CURVE('',#167779,#167777,#93355,.T.); #208510=EDGE_CURVE('',#167778,#167779,#93356,.T.); #208511=EDGE_CURVE('',#167780,#167778,#68531,.T.); #208512=EDGE_CURVE('',#167781,#167779,#68532,.T.); #208513=EDGE_CURVE('',#167780,#167781,#93357,.T.); #208514=EDGE_CURVE('',#167782,#167780,#93358,.T.); #208515=EDGE_CURVE('',#167783,#167781,#93359,.T.); #208516=EDGE_CURVE('',#167782,#167783,#93360,.T.); #208517=EDGE_CURVE('',#167784,#167782,#68533,.T.); #208518=EDGE_CURVE('',#167785,#167783,#68534,.T.); #208519=EDGE_CURVE('',#167784,#167785,#93361,.T.); #208520=EDGE_CURVE('',#167786,#167784,#93362,.T.); #208521=EDGE_CURVE('',#167787,#167785,#93363,.T.); #208522=EDGE_CURVE('',#167786,#167787,#93364,.T.); #208523=EDGE_CURVE('',#167788,#167786,#93365,.T.); #208524=EDGE_CURVE('',#167789,#167787,#93366,.T.); #208525=EDGE_CURVE('',#167788,#167789,#93367,.T.); #208526=EDGE_CURVE('',#167790,#167788,#68535,.T.); #208527=EDGE_CURVE('',#167791,#167789,#68536,.T.); #208528=EDGE_CURVE('',#167790,#167791,#93368,.T.); #208529=EDGE_CURVE('',#167792,#167790,#93369,.T.); #208530=EDGE_CURVE('',#167793,#167791,#93370,.T.); #208531=EDGE_CURVE('',#167792,#167793,#93371,.T.); #208532=EDGE_CURVE('',#167794,#167792,#93372,.T.); #208533=EDGE_CURVE('',#167795,#167793,#93373,.T.); #208534=EDGE_CURVE('',#167794,#167795,#93374,.T.); #208535=EDGE_CURVE('',#167796,#167794,#93375,.T.); #208536=EDGE_CURVE('',#167797,#167795,#93376,.T.); #208537=EDGE_CURVE('',#167796,#167797,#93377,.T.); #208538=EDGE_CURVE('',#167798,#167796,#93378,.T.); #208539=EDGE_CURVE('',#167799,#167797,#93379,.T.); #208540=EDGE_CURVE('',#167798,#167799,#93380,.T.); #208541=EDGE_CURVE('',#167800,#167798,#93381,.T.); #208542=EDGE_CURVE('',#167801,#167799,#93382,.T.); #208543=EDGE_CURVE('',#167800,#167801,#93383,.T.); #208544=EDGE_CURVE('',#167802,#167800,#93384,.T.); #208545=EDGE_CURVE('',#167803,#167801,#93385,.T.); #208546=EDGE_CURVE('',#167802,#167803,#93386,.T.); #208547=EDGE_CURVE('',#167804,#167802,#93387,.T.); #208548=EDGE_CURVE('',#167805,#167803,#93388,.T.); #208549=EDGE_CURVE('',#167804,#167805,#93389,.T.); #208550=EDGE_CURVE('',#167806,#167804,#93390,.T.); #208551=EDGE_CURVE('',#167807,#167805,#93391,.T.); #208552=EDGE_CURVE('',#167806,#167807,#93392,.T.); #208553=EDGE_CURVE('',#167808,#167806,#68537,.T.); #208554=EDGE_CURVE('',#167809,#167807,#68538,.T.); #208555=EDGE_CURVE('',#167808,#167809,#93393,.T.); #208556=EDGE_CURVE('',#167810,#167808,#93394,.T.); #208557=EDGE_CURVE('',#167811,#167809,#93395,.T.); #208558=EDGE_CURVE('',#167810,#167811,#93396,.T.); #208559=EDGE_CURVE('',#167812,#167810,#93397,.T.); #208560=EDGE_CURVE('',#167813,#167811,#93398,.T.); #208561=EDGE_CURVE('',#167812,#167813,#93399,.T.); #208562=EDGE_CURVE('',#167814,#167812,#93400,.T.); #208563=EDGE_CURVE('',#167815,#167813,#93401,.T.); #208564=EDGE_CURVE('',#167814,#167815,#93402,.T.); #208565=EDGE_CURVE('',#167816,#167814,#93403,.T.); #208566=EDGE_CURVE('',#167817,#167815,#93404,.T.); #208567=EDGE_CURVE('',#167816,#167817,#93405,.T.); #208568=EDGE_CURVE('',#167818,#167816,#68539,.T.); #208569=EDGE_CURVE('',#167819,#167817,#68540,.T.); #208570=EDGE_CURVE('',#167818,#167819,#93406,.T.); #208571=EDGE_CURVE('',#167820,#167818,#93407,.T.); #208572=EDGE_CURVE('',#167821,#167819,#93408,.T.); #208573=EDGE_CURVE('',#167820,#167821,#93409,.T.); #208574=EDGE_CURVE('',#167822,#167820,#93410,.T.); #208575=EDGE_CURVE('',#167823,#167821,#93411,.T.); #208576=EDGE_CURVE('',#167822,#167823,#93412,.T.); #208577=EDGE_CURVE('',#167824,#167822,#93413,.T.); #208578=EDGE_CURVE('',#167825,#167823,#93414,.T.); #208579=EDGE_CURVE('',#167824,#167825,#93415,.T.); #208580=EDGE_CURVE('',#167826,#167824,#93416,.T.); #208581=EDGE_CURVE('',#167827,#167825,#93417,.T.); #208582=EDGE_CURVE('',#167826,#167827,#93418,.T.); #208583=EDGE_CURVE('',#167828,#167826,#93419,.T.); #208584=EDGE_CURVE('',#167829,#167827,#93420,.T.); #208585=EDGE_CURVE('',#167828,#167829,#93421,.T.); #208586=EDGE_CURVE('',#167830,#167828,#93422,.T.); #208587=EDGE_CURVE('',#167831,#167829,#93423,.T.); #208588=EDGE_CURVE('',#167830,#167831,#93424,.T.); #208589=EDGE_CURVE('',#167832,#167830,#93425,.T.); #208590=EDGE_CURVE('',#167833,#167831,#93426,.T.); #208591=EDGE_CURVE('',#167832,#167833,#93427,.T.); #208592=EDGE_CURVE('',#167834,#167832,#93428,.T.); #208593=EDGE_CURVE('',#167835,#167833,#93429,.T.); #208594=EDGE_CURVE('',#167834,#167835,#93430,.T.); #208595=EDGE_CURVE('',#167836,#167834,#93431,.T.); #208596=EDGE_CURVE('',#167837,#167835,#93432,.T.); #208597=EDGE_CURVE('',#167836,#167837,#93433,.T.); #208598=EDGE_CURVE('',#167838,#167836,#93434,.T.); #208599=EDGE_CURVE('',#167839,#167837,#93435,.T.); #208600=EDGE_CURVE('',#167838,#167839,#93436,.T.); #208601=EDGE_CURVE('',#167840,#167838,#93437,.T.); #208602=EDGE_CURVE('',#167841,#167839,#93438,.T.); #208603=EDGE_CURVE('',#167840,#167841,#93439,.T.); #208604=EDGE_CURVE('',#167842,#167840,#93440,.T.); #208605=EDGE_CURVE('',#167843,#167841,#93441,.T.); #208606=EDGE_CURVE('',#167842,#167843,#93442,.T.); #208607=EDGE_CURVE('',#167844,#167842,#93443,.T.); #208608=EDGE_CURVE('',#167845,#167843,#93444,.T.); #208609=EDGE_CURVE('',#167844,#167845,#93445,.T.); #208610=EDGE_CURVE('',#167846,#167844,#93446,.T.); #208611=EDGE_CURVE('',#167847,#167845,#93447,.T.); #208612=EDGE_CURVE('',#167846,#167847,#93448,.T.); #208613=EDGE_CURVE('',#167848,#167846,#93449,.T.); #208614=EDGE_CURVE('',#167849,#167847,#93450,.T.); #208615=EDGE_CURVE('',#167848,#167849,#93451,.T.); #208616=EDGE_CURVE('',#167850,#167848,#93452,.T.); #208617=EDGE_CURVE('',#167851,#167849,#93453,.T.); #208618=EDGE_CURVE('',#167850,#167851,#93454,.T.); #208619=EDGE_CURVE('',#167775,#167850,#68541,.T.); #208620=EDGE_CURVE('',#167776,#167851,#68542,.T.); #208621=EDGE_CURVE('',#167852,#167852,#68543,.T.); #208622=EDGE_CURVE('',#167852,#167853,#93455,.T.); #208623=EDGE_CURVE('',#167853,#167853,#68544,.T.); #208624=EDGE_CURVE('',#167854,#167854,#68545,.T.); #208625=EDGE_CURVE('',#167854,#167855,#93456,.T.); #208626=EDGE_CURVE('',#167855,#167855,#68546,.T.); #208627=EDGE_CURVE('',#167856,#167857,#93457,.T.); #208628=EDGE_CURVE('',#167857,#167858,#93458,.T.); #208629=EDGE_CURVE('',#167859,#167858,#93459,.T.); #208630=EDGE_CURVE('',#167856,#167859,#93460,.T.); #208631=EDGE_CURVE('',#167860,#167856,#68547,.T.); #208632=EDGE_CURVE('',#167861,#167859,#68548,.T.); #208633=EDGE_CURVE('',#167860,#167861,#93461,.T.); #208634=EDGE_CURVE('',#167862,#167860,#93462,.T.); #208635=EDGE_CURVE('',#167863,#167861,#93463,.T.); #208636=EDGE_CURVE('',#167862,#167863,#93464,.T.); #208637=EDGE_CURVE('',#167864,#167862,#68549,.T.); #208638=EDGE_CURVE('',#167865,#167863,#68550,.T.); #208639=EDGE_CURVE('',#167864,#167865,#93465,.T.); #208640=EDGE_CURVE('',#167866,#167864,#68551,.T.); #208641=EDGE_CURVE('',#167867,#167865,#68552,.T.); #208642=EDGE_CURVE('',#167866,#167867,#93466,.T.); #208643=EDGE_CURVE('',#167868,#167866,#93467,.T.); #208644=EDGE_CURVE('',#167869,#167867,#93468,.T.); #208645=EDGE_CURVE('',#167868,#167869,#93469,.T.); #208646=EDGE_CURVE('',#167857,#167868,#68553,.T.); #208647=EDGE_CURVE('',#167858,#167869,#68554,.T.); #208648=EDGE_CURVE('',#167870,#167870,#68555,.T.); #208649=EDGE_CURVE('',#167870,#167871,#93470,.T.); #208650=EDGE_CURVE('',#167871,#167871,#68556,.T.); #208651=EDGE_CURVE('',#167872,#167873,#93471,.T.); #208652=EDGE_CURVE('',#167873,#167874,#93472,.T.); #208653=EDGE_CURVE('',#167875,#167874,#93473,.T.); #208654=EDGE_CURVE('',#167872,#167875,#93474,.T.); #208655=EDGE_CURVE('',#167876,#167872,#93475,.T.); #208656=EDGE_CURVE('',#167877,#167875,#93476,.T.); #208657=EDGE_CURVE('',#167876,#167877,#93477,.T.); #208658=EDGE_CURVE('',#167878,#167876,#93478,.T.); #208659=EDGE_CURVE('',#167879,#167877,#93479,.T.); #208660=EDGE_CURVE('',#167878,#167879,#93480,.T.); #208661=EDGE_CURVE('',#167873,#167878,#93481,.T.); #208662=EDGE_CURVE('',#167874,#167879,#93482,.T.); #208663=EDGE_CURVE('',#167880,#167880,#68557,.T.); #208664=EDGE_CURVE('',#167880,#167881,#93483,.T.); #208665=EDGE_CURVE('',#167881,#167881,#68558,.T.); #208666=EDGE_CURVE('',#167882,#167882,#68559,.T.); #208667=EDGE_CURVE('',#167882,#167883,#93484,.T.); #208668=EDGE_CURVE('',#167883,#167883,#68560,.T.); #208669=EDGE_CURVE('',#167884,#167885,#93485,.T.); #208670=EDGE_CURVE('',#167885,#167886,#93486,.T.); #208671=EDGE_CURVE('',#167887,#167886,#93487,.T.); #208672=EDGE_CURVE('',#167884,#167887,#93488,.T.); #208673=EDGE_CURVE('',#167888,#167884,#68561,.T.); #208674=EDGE_CURVE('',#167889,#167887,#68562,.T.); #208675=EDGE_CURVE('',#167888,#167889,#93489,.T.); #208676=EDGE_CURVE('',#167890,#167888,#93490,.T.); #208677=EDGE_CURVE('',#167891,#167889,#93491,.T.); #208678=EDGE_CURVE('',#167890,#167891,#93492,.T.); #208679=EDGE_CURVE('',#167892,#167890,#68563,.T.); #208680=EDGE_CURVE('',#167893,#167891,#68564,.T.); #208681=EDGE_CURVE('',#167892,#167893,#93493,.T.); #208682=EDGE_CURVE('',#167894,#167892,#93494,.T.); #208683=EDGE_CURVE('',#167895,#167893,#93495,.T.); #208684=EDGE_CURVE('',#167894,#167895,#93496,.T.); #208685=EDGE_CURVE('',#167896,#167894,#68565,.T.); #208686=EDGE_CURVE('',#167897,#167895,#68566,.T.); #208687=EDGE_CURVE('',#167896,#167897,#93497,.T.); #208688=EDGE_CURVE('',#167898,#167896,#93498,.T.); #208689=EDGE_CURVE('',#167899,#167897,#93499,.T.); #208690=EDGE_CURVE('',#167898,#167899,#93500,.T.); #208691=EDGE_CURVE('',#167900,#167898,#68567,.T.); #208692=EDGE_CURVE('',#167901,#167899,#68568,.T.); #208693=EDGE_CURVE('',#167900,#167901,#93501,.T.); #208694=EDGE_CURVE('',#167902,#167900,#93502,.T.); #208695=EDGE_CURVE('',#167903,#167901,#93503,.T.); #208696=EDGE_CURVE('',#167902,#167903,#93504,.T.); #208697=EDGE_CURVE('',#167904,#167902,#68569,.T.); #208698=EDGE_CURVE('',#167905,#167903,#68570,.T.); #208699=EDGE_CURVE('',#167904,#167905,#93505,.T.); #208700=EDGE_CURVE('',#167906,#167904,#93506,.T.); #208701=EDGE_CURVE('',#167907,#167905,#93507,.T.); #208702=EDGE_CURVE('',#167906,#167907,#93508,.T.); #208703=EDGE_CURVE('',#167908,#167906,#68571,.T.); #208704=EDGE_CURVE('',#167909,#167907,#68572,.T.); #208705=EDGE_CURVE('',#167908,#167909,#93509,.T.); #208706=EDGE_CURVE('',#167910,#167908,#93510,.T.); #208707=EDGE_CURVE('',#167911,#167909,#93511,.T.); #208708=EDGE_CURVE('',#167910,#167911,#93512,.T.); #208709=EDGE_CURVE('',#167912,#167910,#68573,.T.); #208710=EDGE_CURVE('',#167913,#167911,#68574,.T.); #208711=EDGE_CURVE('',#167912,#167913,#93513,.T.); #208712=EDGE_CURVE('',#167914,#167912,#68575,.T.); #208713=EDGE_CURVE('',#167915,#167913,#68576,.T.); #208714=EDGE_CURVE('',#167914,#167915,#93514,.T.); #208715=EDGE_CURVE('',#167916,#167914,#93515,.T.); #208716=EDGE_CURVE('',#167917,#167915,#93516,.T.); #208717=EDGE_CURVE('',#167916,#167917,#93517,.T.); #208718=EDGE_CURVE('',#167918,#167916,#93518,.T.); #208719=EDGE_CURVE('',#167919,#167917,#93519,.T.); #208720=EDGE_CURVE('',#167918,#167919,#93520,.T.); #208721=EDGE_CURVE('',#167920,#167918,#93521,.T.); #208722=EDGE_CURVE('',#167921,#167919,#93522,.T.); #208723=EDGE_CURVE('',#167920,#167921,#93523,.T.); #208724=EDGE_CURVE('',#167922,#167920,#93524,.T.); #208725=EDGE_CURVE('',#167923,#167921,#93525,.T.); #208726=EDGE_CURVE('',#167922,#167923,#93526,.T.); #208727=EDGE_CURVE('',#167924,#167922,#93527,.T.); #208728=EDGE_CURVE('',#167925,#167923,#93528,.T.); #208729=EDGE_CURVE('',#167924,#167925,#93529,.T.); #208730=EDGE_CURVE('',#167926,#167924,#93530,.T.); #208731=EDGE_CURVE('',#167927,#167925,#93531,.T.); #208732=EDGE_CURVE('',#167926,#167927,#93532,.T.); #208733=EDGE_CURVE('',#167928,#167926,#68577,.T.); #208734=EDGE_CURVE('',#167929,#167927,#68578,.T.); #208735=EDGE_CURVE('',#167928,#167929,#93533,.T.); #208736=EDGE_CURVE('',#167885,#167928,#68579,.T.); #208737=EDGE_CURVE('',#167886,#167929,#68580,.T.); #208738=EDGE_CURVE('',#167930,#167930,#68581,.T.); #208739=EDGE_CURVE('',#167930,#167931,#93534,.T.); #208740=EDGE_CURVE('',#167931,#167931,#68582,.T.); #208741=EDGE_CURVE('',#167932,#167932,#68583,.T.); #208742=EDGE_CURVE('',#167932,#167933,#93535,.T.); #208743=EDGE_CURVE('',#167933,#167933,#68584,.T.); #208744=EDGE_CURVE('',#167934,#167935,#93536,.T.); #208745=EDGE_CURVE('',#167935,#167936,#93537,.T.); #208746=EDGE_CURVE('',#167937,#167936,#93538,.T.); #208747=EDGE_CURVE('',#167934,#167937,#93539,.T.); #208748=EDGE_CURVE('',#167938,#167934,#68585,.T.); #208749=EDGE_CURVE('',#167939,#167937,#68586,.T.); #208750=EDGE_CURVE('',#167938,#167939,#93540,.T.); #208751=EDGE_CURVE('',#167940,#167938,#93541,.T.); #208752=EDGE_CURVE('',#167941,#167939,#93542,.T.); #208753=EDGE_CURVE('',#167940,#167941,#93543,.T.); #208754=EDGE_CURVE('',#167942,#167940,#68587,.T.); #208755=EDGE_CURVE('',#167943,#167941,#68588,.T.); #208756=EDGE_CURVE('',#167942,#167943,#93544,.T.); #208757=EDGE_CURVE('',#167944,#167942,#68589,.T.); #208758=EDGE_CURVE('',#167945,#167943,#68590,.T.); #208759=EDGE_CURVE('',#167944,#167945,#93545,.T.); #208760=EDGE_CURVE('',#167946,#167944,#93546,.T.); #208761=EDGE_CURVE('',#167947,#167945,#93547,.T.); #208762=EDGE_CURVE('',#167946,#167947,#93548,.T.); #208763=EDGE_CURVE('',#167948,#167946,#93549,.T.); #208764=EDGE_CURVE('',#167949,#167947,#93550,.T.); #208765=EDGE_CURVE('',#167948,#167949,#93551,.T.); #208766=EDGE_CURVE('',#167935,#167948,#68591,.T.); #208767=EDGE_CURVE('',#167936,#167949,#68592,.T.); #208768=EDGE_CURVE('',#167950,#167950,#68593,.T.); #208769=EDGE_CURVE('',#167950,#167951,#93552,.T.); #208770=EDGE_CURVE('',#167951,#167951,#68594,.T.); #208771=EDGE_CURVE('',#167952,#167953,#93553,.T.); #208772=EDGE_CURVE('',#167953,#167954,#93554,.T.); #208773=EDGE_CURVE('',#167955,#167954,#93555,.T.); #208774=EDGE_CURVE('',#167952,#167955,#93556,.T.); #208775=EDGE_CURVE('',#167956,#167952,#93557,.T.); #208776=EDGE_CURVE('',#167957,#167955,#93558,.T.); #208777=EDGE_CURVE('',#167956,#167957,#93559,.T.); #208778=EDGE_CURVE('',#167958,#167956,#93560,.T.); #208779=EDGE_CURVE('',#167959,#167957,#93561,.T.); #208780=EDGE_CURVE('',#167958,#167959,#93562,.T.); #208781=EDGE_CURVE('',#167953,#167958,#93563,.T.); #208782=EDGE_CURVE('',#167954,#167959,#93564,.T.); #208783=EDGE_CURVE('',#167960,#167960,#68595,.T.); #208784=EDGE_CURVE('',#167960,#167961,#93565,.T.); #208785=EDGE_CURVE('',#167961,#167961,#68596,.T.); #208786=EDGE_CURVE('',#167962,#167962,#68597,.T.); #208787=EDGE_CURVE('',#167962,#167963,#93566,.T.); #208788=EDGE_CURVE('',#167963,#167963,#68598,.T.); #208789=EDGE_CURVE('',#167964,#167964,#68599,.T.); #208790=EDGE_CURVE('',#167964,#167965,#93567,.T.); #208791=EDGE_CURVE('',#167965,#167965,#68600,.T.); #208792=EDGE_CURVE('',#167966,#167966,#68601,.T.); #208793=EDGE_CURVE('',#167966,#167967,#93568,.T.); #208794=EDGE_CURVE('',#167967,#167967,#68602,.T.); #208795=EDGE_CURVE('',#167968,#167969,#93569,.T.); #208796=EDGE_CURVE('',#167969,#167970,#93570,.T.); #208797=EDGE_CURVE('',#167971,#167970,#93571,.T.); #208798=EDGE_CURVE('',#167968,#167971,#93572,.T.); #208799=EDGE_CURVE('',#167972,#167968,#93573,.T.); #208800=EDGE_CURVE('',#167973,#167971,#93574,.T.); #208801=EDGE_CURVE('',#167972,#167973,#93575,.T.); #208802=EDGE_CURVE('',#167974,#167972,#93576,.T.); #208803=EDGE_CURVE('',#167975,#167973,#93577,.T.); #208804=EDGE_CURVE('',#167974,#167975,#93578,.T.); #208805=EDGE_CURVE('',#167976,#167974,#93579,.T.); #208806=EDGE_CURVE('',#167977,#167975,#93580,.T.); #208807=EDGE_CURVE('',#167976,#167977,#93581,.T.); #208808=EDGE_CURVE('',#167978,#167976,#93582,.T.); #208809=EDGE_CURVE('',#167979,#167977,#93583,.T.); #208810=EDGE_CURVE('',#167978,#167979,#93584,.T.); #208811=EDGE_CURVE('',#167980,#167978,#93585,.T.); #208812=EDGE_CURVE('',#167981,#167979,#93586,.T.); #208813=EDGE_CURVE('',#167980,#167981,#93587,.T.); #208814=EDGE_CURVE('',#167982,#167980,#93588,.T.); #208815=EDGE_CURVE('',#167983,#167981,#93589,.T.); #208816=EDGE_CURVE('',#167982,#167983,#93590,.T.); #208817=EDGE_CURVE('',#167984,#167982,#93591,.T.); #208818=EDGE_CURVE('',#167985,#167983,#93592,.T.); #208819=EDGE_CURVE('',#167984,#167985,#93593,.T.); #208820=EDGE_CURVE('',#167986,#167984,#93594,.T.); #208821=EDGE_CURVE('',#167987,#167985,#93595,.T.); #208822=EDGE_CURVE('',#167986,#167987,#93596,.T.); #208823=EDGE_CURVE('',#167988,#167986,#93597,.T.); #208824=EDGE_CURVE('',#167989,#167987,#93598,.T.); #208825=EDGE_CURVE('',#167988,#167989,#93599,.T.); #208826=EDGE_CURVE('',#167990,#167988,#93600,.T.); #208827=EDGE_CURVE('',#167991,#167989,#93601,.T.); #208828=EDGE_CURVE('',#167990,#167991,#93602,.T.); #208829=EDGE_CURVE('',#167992,#167990,#93603,.T.); #208830=EDGE_CURVE('',#167993,#167991,#93604,.T.); #208831=EDGE_CURVE('',#167992,#167993,#93605,.T.); #208832=EDGE_CURVE('',#167994,#167992,#93606,.T.); #208833=EDGE_CURVE('',#167995,#167993,#93607,.T.); #208834=EDGE_CURVE('',#167994,#167995,#93608,.T.); #208835=EDGE_CURVE('',#167996,#167994,#93609,.T.); #208836=EDGE_CURVE('',#167997,#167995,#93610,.T.); #208837=EDGE_CURVE('',#167996,#167997,#93611,.T.); #208838=EDGE_CURVE('',#167998,#167996,#93612,.T.); #208839=EDGE_CURVE('',#167999,#167997,#93613,.T.); #208840=EDGE_CURVE('',#167998,#167999,#93614,.T.); #208841=EDGE_CURVE('',#167969,#167998,#93615,.T.); #208842=EDGE_CURVE('',#167970,#167999,#93616,.T.); #208843=EDGE_CURVE('',#168000,#168001,#93617,.T.); #208844=EDGE_CURVE('',#168001,#168002,#93618,.T.); #208845=EDGE_CURVE('',#168003,#168002,#93619,.T.); #208846=EDGE_CURVE('',#168000,#168003,#93620,.T.); #208847=EDGE_CURVE('',#168004,#168000,#93621,.T.); #208848=EDGE_CURVE('',#168005,#168003,#93622,.T.); #208849=EDGE_CURVE('',#168004,#168005,#93623,.T.); #208850=EDGE_CURVE('',#168006,#168004,#93624,.T.); #208851=EDGE_CURVE('',#168007,#168005,#93625,.T.); #208852=EDGE_CURVE('',#168006,#168007,#93626,.T.); #208853=EDGE_CURVE('',#168008,#168006,#93627,.T.); #208854=EDGE_CURVE('',#168009,#168007,#93628,.T.); #208855=EDGE_CURVE('',#168008,#168009,#93629,.T.); #208856=EDGE_CURVE('',#168010,#168008,#93630,.T.); #208857=EDGE_CURVE('',#168011,#168009,#93631,.T.); #208858=EDGE_CURVE('',#168010,#168011,#93632,.T.); #208859=EDGE_CURVE('',#168012,#168010,#93633,.T.); #208860=EDGE_CURVE('',#168013,#168011,#93634,.T.); #208861=EDGE_CURVE('',#168012,#168013,#93635,.T.); #208862=EDGE_CURVE('',#168014,#168012,#93636,.T.); #208863=EDGE_CURVE('',#168015,#168013,#93637,.T.); #208864=EDGE_CURVE('',#168014,#168015,#93638,.T.); #208865=EDGE_CURVE('',#168016,#168014,#93639,.T.); #208866=EDGE_CURVE('',#168017,#168015,#93640,.T.); #208867=EDGE_CURVE('',#168016,#168017,#93641,.T.); #208868=EDGE_CURVE('',#168018,#168016,#93642,.T.); #208869=EDGE_CURVE('',#168019,#168017,#93643,.T.); #208870=EDGE_CURVE('',#168018,#168019,#93644,.T.); #208871=EDGE_CURVE('',#168020,#168018,#93645,.T.); #208872=EDGE_CURVE('',#168021,#168019,#93646,.T.); #208873=EDGE_CURVE('',#168020,#168021,#93647,.T.); #208874=EDGE_CURVE('',#168022,#168020,#93648,.T.); #208875=EDGE_CURVE('',#168023,#168021,#93649,.T.); #208876=EDGE_CURVE('',#168022,#168023,#93650,.T.); #208877=EDGE_CURVE('',#168024,#168022,#93651,.T.); #208878=EDGE_CURVE('',#168025,#168023,#93652,.T.); #208879=EDGE_CURVE('',#168024,#168025,#93653,.T.); #208880=EDGE_CURVE('',#168026,#168024,#93654,.T.); #208881=EDGE_CURVE('',#168027,#168025,#93655,.T.); #208882=EDGE_CURVE('',#168026,#168027,#93656,.T.); #208883=EDGE_CURVE('',#168028,#168026,#93657,.T.); #208884=EDGE_CURVE('',#168029,#168027,#93658,.T.); #208885=EDGE_CURVE('',#168028,#168029,#93659,.T.); #208886=EDGE_CURVE('',#168030,#168028,#93660,.T.); #208887=EDGE_CURVE('',#168031,#168029,#93661,.T.); #208888=EDGE_CURVE('',#168030,#168031,#93662,.T.); #208889=EDGE_CURVE('',#168032,#168030,#93663,.T.); #208890=EDGE_CURVE('',#168033,#168031,#93664,.T.); #208891=EDGE_CURVE('',#168032,#168033,#93665,.T.); #208892=EDGE_CURVE('',#168034,#168032,#93666,.T.); #208893=EDGE_CURVE('',#168035,#168033,#93667,.T.); #208894=EDGE_CURVE('',#168034,#168035,#93668,.T.); #208895=EDGE_CURVE('',#168036,#168034,#93669,.T.); #208896=EDGE_CURVE('',#168037,#168035,#93670,.T.); #208897=EDGE_CURVE('',#168036,#168037,#93671,.T.); #208898=EDGE_CURVE('',#168038,#168036,#93672,.T.); #208899=EDGE_CURVE('',#168039,#168037,#93673,.T.); #208900=EDGE_CURVE('',#168038,#168039,#93674,.T.); #208901=EDGE_CURVE('',#168040,#168038,#93675,.T.); #208902=EDGE_CURVE('',#168041,#168039,#93676,.T.); #208903=EDGE_CURVE('',#168040,#168041,#93677,.T.); #208904=EDGE_CURVE('',#168042,#168040,#93678,.T.); #208905=EDGE_CURVE('',#168043,#168041,#93679,.T.); #208906=EDGE_CURVE('',#168042,#168043,#93680,.T.); #208907=EDGE_CURVE('',#168044,#168042,#93681,.T.); #208908=EDGE_CURVE('',#168045,#168043,#93682,.T.); #208909=EDGE_CURVE('',#168044,#168045,#93683,.T.); #208910=EDGE_CURVE('',#168046,#168044,#93684,.T.); #208911=EDGE_CURVE('',#168047,#168045,#93685,.T.); #208912=EDGE_CURVE('',#168046,#168047,#93686,.T.); #208913=EDGE_CURVE('',#168048,#168046,#93687,.T.); #208914=EDGE_CURVE('',#168049,#168047,#93688,.T.); #208915=EDGE_CURVE('',#168048,#168049,#93689,.T.); #208916=EDGE_CURVE('',#168050,#168048,#93690,.T.); #208917=EDGE_CURVE('',#168051,#168049,#93691,.T.); #208918=EDGE_CURVE('',#168050,#168051,#93692,.T.); #208919=EDGE_CURVE('',#168052,#168050,#93693,.T.); #208920=EDGE_CURVE('',#168053,#168051,#93694,.T.); #208921=EDGE_CURVE('',#168052,#168053,#93695,.T.); #208922=EDGE_CURVE('',#168054,#168052,#93696,.T.); #208923=EDGE_CURVE('',#168055,#168053,#93697,.T.); #208924=EDGE_CURVE('',#168054,#168055,#93698,.T.); #208925=EDGE_CURVE('',#168056,#168054,#93699,.T.); #208926=EDGE_CURVE('',#168057,#168055,#93700,.T.); #208927=EDGE_CURVE('',#168056,#168057,#93701,.T.); #208928=EDGE_CURVE('',#168058,#168056,#93702,.T.); #208929=EDGE_CURVE('',#168059,#168057,#93703,.T.); #208930=EDGE_CURVE('',#168058,#168059,#93704,.T.); #208931=EDGE_CURVE('',#168060,#168058,#93705,.T.); #208932=EDGE_CURVE('',#168061,#168059,#93706,.T.); #208933=EDGE_CURVE('',#168060,#168061,#93707,.T.); #208934=EDGE_CURVE('',#168062,#168060,#93708,.T.); #208935=EDGE_CURVE('',#168063,#168061,#93709,.T.); #208936=EDGE_CURVE('',#168062,#168063,#93710,.T.); #208937=EDGE_CURVE('',#168064,#168062,#93711,.T.); #208938=EDGE_CURVE('',#168065,#168063,#93712,.T.); #208939=EDGE_CURVE('',#168064,#168065,#93713,.T.); #208940=EDGE_CURVE('',#168066,#168064,#93714,.T.); #208941=EDGE_CURVE('',#168067,#168065,#93715,.T.); #208942=EDGE_CURVE('',#168066,#168067,#93716,.T.); #208943=EDGE_CURVE('',#168068,#168066,#93717,.T.); #208944=EDGE_CURVE('',#168069,#168067,#93718,.T.); #208945=EDGE_CURVE('',#168068,#168069,#93719,.T.); #208946=EDGE_CURVE('',#168070,#168068,#93720,.T.); #208947=EDGE_CURVE('',#168071,#168069,#93721,.T.); #208948=EDGE_CURVE('',#168070,#168071,#93722,.T.); #208949=EDGE_CURVE('',#168072,#168070,#93723,.T.); #208950=EDGE_CURVE('',#168073,#168071,#93724,.T.); #208951=EDGE_CURVE('',#168072,#168073,#93725,.T.); #208952=EDGE_CURVE('',#168074,#168072,#93726,.T.); #208953=EDGE_CURVE('',#168075,#168073,#93727,.T.); #208954=EDGE_CURVE('',#168074,#168075,#93728,.T.); #208955=EDGE_CURVE('',#168076,#168074,#93729,.T.); #208956=EDGE_CURVE('',#168077,#168075,#93730,.T.); #208957=EDGE_CURVE('',#168076,#168077,#93731,.T.); #208958=EDGE_CURVE('',#168078,#168076,#93732,.T.); #208959=EDGE_CURVE('',#168079,#168077,#93733,.T.); #208960=EDGE_CURVE('',#168078,#168079,#93734,.T.); #208961=EDGE_CURVE('',#168080,#168078,#93735,.T.); #208962=EDGE_CURVE('',#168081,#168079,#93736,.T.); #208963=EDGE_CURVE('',#168080,#168081,#93737,.T.); #208964=EDGE_CURVE('',#168082,#168080,#93738,.T.); #208965=EDGE_CURVE('',#168083,#168081,#93739,.T.); #208966=EDGE_CURVE('',#168082,#168083,#93740,.T.); #208967=EDGE_CURVE('',#168084,#168082,#93741,.T.); #208968=EDGE_CURVE('',#168085,#168083,#93742,.T.); #208969=EDGE_CURVE('',#168084,#168085,#93743,.T.); #208970=EDGE_CURVE('',#168086,#168084,#93744,.T.); #208971=EDGE_CURVE('',#168087,#168085,#93745,.T.); #208972=EDGE_CURVE('',#168086,#168087,#93746,.T.); #208973=EDGE_CURVE('',#168088,#168086,#93747,.T.); #208974=EDGE_CURVE('',#168089,#168087,#93748,.T.); #208975=EDGE_CURVE('',#168088,#168089,#93749,.T.); #208976=EDGE_CURVE('',#168090,#168088,#93750,.T.); #208977=EDGE_CURVE('',#168091,#168089,#93751,.T.); #208978=EDGE_CURVE('',#168090,#168091,#93752,.T.); #208979=EDGE_CURVE('',#168092,#168090,#93753,.T.); #208980=EDGE_CURVE('',#168093,#168091,#93754,.T.); #208981=EDGE_CURVE('',#168092,#168093,#93755,.T.); #208982=EDGE_CURVE('',#168094,#168092,#93756,.T.); #208983=EDGE_CURVE('',#168095,#168093,#93757,.T.); #208984=EDGE_CURVE('',#168094,#168095,#93758,.T.); #208985=EDGE_CURVE('',#168096,#168094,#93759,.T.); #208986=EDGE_CURVE('',#168097,#168095,#93760,.T.); #208987=EDGE_CURVE('',#168096,#168097,#93761,.T.); #208988=EDGE_CURVE('',#168098,#168096,#93762,.T.); #208989=EDGE_CURVE('',#168099,#168097,#93763,.T.); #208990=EDGE_CURVE('',#168098,#168099,#93764,.T.); #208991=EDGE_CURVE('',#168100,#168098,#93765,.T.); #208992=EDGE_CURVE('',#168101,#168099,#93766,.T.); #208993=EDGE_CURVE('',#168100,#168101,#93767,.T.); #208994=EDGE_CURVE('',#168102,#168100,#93768,.T.); #208995=EDGE_CURVE('',#168103,#168101,#93769,.T.); #208996=EDGE_CURVE('',#168102,#168103,#93770,.T.); #208997=EDGE_CURVE('',#168104,#168102,#93771,.T.); #208998=EDGE_CURVE('',#168105,#168103,#93772,.T.); #208999=EDGE_CURVE('',#168104,#168105,#93773,.T.); #209000=EDGE_CURVE('',#168106,#168104,#93774,.T.); #209001=EDGE_CURVE('',#168107,#168105,#93775,.T.); #209002=EDGE_CURVE('',#168106,#168107,#93776,.T.); #209003=EDGE_CURVE('',#168108,#168106,#93777,.T.); #209004=EDGE_CURVE('',#168109,#168107,#93778,.T.); #209005=EDGE_CURVE('',#168108,#168109,#93779,.T.); #209006=EDGE_CURVE('',#168110,#168108,#68603,.T.); #209007=EDGE_CURVE('',#168111,#168109,#68604,.T.); #209008=EDGE_CURVE('',#168110,#168111,#93780,.T.); #209009=EDGE_CURVE('',#168112,#168110,#93781,.T.); #209010=EDGE_CURVE('',#168113,#168111,#93782,.T.); #209011=EDGE_CURVE('',#168112,#168113,#93783,.T.); #209012=EDGE_CURVE('',#168114,#168112,#93784,.T.); #209013=EDGE_CURVE('',#168115,#168113,#93785,.T.); #209014=EDGE_CURVE('',#168114,#168115,#93786,.T.); #209015=EDGE_CURVE('',#168116,#168114,#93787,.T.); #209016=EDGE_CURVE('',#168117,#168115,#93788,.T.); #209017=EDGE_CURVE('',#168116,#168117,#93789,.T.); #209018=EDGE_CURVE('',#168118,#168116,#68605,.T.); #209019=EDGE_CURVE('',#168119,#168117,#68606,.T.); #209020=EDGE_CURVE('',#168118,#168119,#93790,.T.); #209021=EDGE_CURVE('',#168120,#168118,#68607,.T.); #209022=EDGE_CURVE('',#168121,#168119,#68608,.T.); #209023=EDGE_CURVE('',#168120,#168121,#93791,.T.); #209024=EDGE_CURVE('',#168122,#168120,#93792,.T.); #209025=EDGE_CURVE('',#168123,#168121,#93793,.T.); #209026=EDGE_CURVE('',#168122,#168123,#93794,.T.); #209027=EDGE_CURVE('',#168124,#168122,#68609,.T.); #209028=EDGE_CURVE('',#168125,#168123,#68610,.T.); #209029=EDGE_CURVE('',#168124,#168125,#93795,.T.); #209030=EDGE_CURVE('',#168126,#168124,#93796,.T.); #209031=EDGE_CURVE('',#168127,#168125,#93797,.T.); #209032=EDGE_CURVE('',#168126,#168127,#93798,.T.); #209033=EDGE_CURVE('',#168128,#168126,#68611,.T.); #209034=EDGE_CURVE('',#168129,#168127,#68612,.T.); #209035=EDGE_CURVE('',#168128,#168129,#93799,.T.); #209036=EDGE_CURVE('',#168130,#168128,#93800,.T.); #209037=EDGE_CURVE('',#168131,#168129,#93801,.T.); #209038=EDGE_CURVE('',#168130,#168131,#93802,.T.); #209039=EDGE_CURVE('',#168132,#168130,#68613,.T.); #209040=EDGE_CURVE('',#168133,#168131,#68614,.T.); #209041=EDGE_CURVE('',#168132,#168133,#93803,.T.); #209042=EDGE_CURVE('',#168134,#168132,#93804,.T.); #209043=EDGE_CURVE('',#168135,#168133,#93805,.T.); #209044=EDGE_CURVE('',#168134,#168135,#93806,.T.); #209045=EDGE_CURVE('',#168136,#168134,#93807,.T.); #209046=EDGE_CURVE('',#168137,#168135,#93808,.T.); #209047=EDGE_CURVE('',#168136,#168137,#93809,.T.); #209048=EDGE_CURVE('',#168138,#168136,#93810,.T.); #209049=EDGE_CURVE('',#168139,#168137,#93811,.T.); #209050=EDGE_CURVE('',#168138,#168139,#93812,.T.); #209051=EDGE_CURVE('',#168140,#168138,#93813,.T.); #209052=EDGE_CURVE('',#168141,#168139,#93814,.T.); #209053=EDGE_CURVE('',#168140,#168141,#93815,.T.); #209054=EDGE_CURVE('',#168142,#168140,#93816,.T.); #209055=EDGE_CURVE('',#168143,#168141,#93817,.T.); #209056=EDGE_CURVE('',#168142,#168143,#93818,.T.); #209057=EDGE_CURVE('',#168144,#168142,#93819,.T.); #209058=EDGE_CURVE('',#168145,#168143,#93820,.T.); #209059=EDGE_CURVE('',#168144,#168145,#93821,.T.); #209060=EDGE_CURVE('',#168146,#168144,#93822,.T.); #209061=EDGE_CURVE('',#168147,#168145,#93823,.T.); #209062=EDGE_CURVE('',#168146,#168147,#93824,.T.); #209063=EDGE_CURVE('',#168001,#168146,#93825,.T.); #209064=EDGE_CURVE('',#168002,#168147,#93826,.T.); #209065=EDGE_CURVE('',#168148,#168148,#68615,.T.); #209066=EDGE_CURVE('',#168148,#168149,#93827,.T.); #209067=EDGE_CURVE('',#168149,#168149,#68616,.T.); #209068=EDGE_CURVE('',#168150,#168151,#93828,.T.); #209069=EDGE_CURVE('',#168151,#168152,#93829,.T.); #209070=EDGE_CURVE('',#168153,#168152,#93830,.T.); #209071=EDGE_CURVE('',#168150,#168153,#93831,.T.); #209072=EDGE_CURVE('',#168154,#168150,#93832,.T.); #209073=EDGE_CURVE('',#168155,#168153,#93833,.T.); #209074=EDGE_CURVE('',#168154,#168155,#93834,.T.); #209075=EDGE_CURVE('',#168156,#168154,#68617,.T.); #209076=EDGE_CURVE('',#168157,#168155,#68618,.T.); #209077=EDGE_CURVE('',#168156,#168157,#93835,.T.); #209078=EDGE_CURVE('',#168158,#168156,#93836,.T.); #209079=EDGE_CURVE('',#168159,#168157,#93837,.T.); #209080=EDGE_CURVE('',#168158,#168159,#93838,.T.); #209081=EDGE_CURVE('',#168160,#168158,#93839,.T.); #209082=EDGE_CURVE('',#168161,#168159,#93840,.T.); #209083=EDGE_CURVE('',#168160,#168161,#93841,.T.); #209084=EDGE_CURVE('',#168162,#168160,#93842,.T.); #209085=EDGE_CURVE('',#168163,#168161,#93843,.T.); #209086=EDGE_CURVE('',#168162,#168163,#93844,.T.); #209087=EDGE_CURVE('',#168164,#168162,#93845,.T.); #209088=EDGE_CURVE('',#168165,#168163,#93846,.T.); #209089=EDGE_CURVE('',#168164,#168165,#93847,.T.); #209090=EDGE_CURVE('',#168166,#168164,#93848,.T.); #209091=EDGE_CURVE('',#168167,#168165,#93849,.T.); #209092=EDGE_CURVE('',#168166,#168167,#93850,.T.); #209093=EDGE_CURVE('',#168168,#168166,#93851,.T.); #209094=EDGE_CURVE('',#168169,#168167,#93852,.T.); #209095=EDGE_CURVE('',#168168,#168169,#93853,.T.); #209096=EDGE_CURVE('',#168170,#168168,#93854,.T.); #209097=EDGE_CURVE('',#168171,#168169,#93855,.T.); #209098=EDGE_CURVE('',#168170,#168171,#93856,.T.); #209099=EDGE_CURVE('',#168172,#168170,#93857,.T.); #209100=EDGE_CURVE('',#168173,#168171,#93858,.T.); #209101=EDGE_CURVE('',#168172,#168173,#93859,.T.); #209102=EDGE_CURVE('',#168174,#168172,#93860,.T.); #209103=EDGE_CURVE('',#168175,#168173,#93861,.T.); #209104=EDGE_CURVE('',#168174,#168175,#93862,.T.); #209105=EDGE_CURVE('',#168176,#168174,#93863,.T.); #209106=EDGE_CURVE('',#168177,#168175,#93864,.T.); #209107=EDGE_CURVE('',#168176,#168177,#93865,.T.); #209108=EDGE_CURVE('',#168178,#168176,#93866,.T.); #209109=EDGE_CURVE('',#168179,#168177,#93867,.T.); #209110=EDGE_CURVE('',#168178,#168179,#93868,.T.); #209111=EDGE_CURVE('',#168180,#168178,#93869,.T.); #209112=EDGE_CURVE('',#168181,#168179,#93870,.T.); #209113=EDGE_CURVE('',#168180,#168181,#93871,.T.); #209114=EDGE_CURVE('',#168182,#168180,#93872,.T.); #209115=EDGE_CURVE('',#168183,#168181,#93873,.T.); #209116=EDGE_CURVE('',#168182,#168183,#93874,.T.); #209117=EDGE_CURVE('',#168184,#168182,#93875,.T.); #209118=EDGE_CURVE('',#168185,#168183,#93876,.T.); #209119=EDGE_CURVE('',#168184,#168185,#93877,.T.); #209120=EDGE_CURVE('',#168186,#168184,#93878,.T.); #209121=EDGE_CURVE('',#168187,#168185,#93879,.T.); #209122=EDGE_CURVE('',#168186,#168187,#93880,.T.); #209123=EDGE_CURVE('',#168188,#168186,#93881,.T.); #209124=EDGE_CURVE('',#168189,#168187,#93882,.T.); #209125=EDGE_CURVE('',#168188,#168189,#93883,.T.); #209126=EDGE_CURVE('',#168190,#168188,#93884,.T.); #209127=EDGE_CURVE('',#168191,#168189,#93885,.T.); #209128=EDGE_CURVE('',#168190,#168191,#93886,.T.); #209129=EDGE_CURVE('',#168192,#168190,#93887,.T.); #209130=EDGE_CURVE('',#168193,#168191,#93888,.T.); #209131=EDGE_CURVE('',#168192,#168193,#93889,.T.); #209132=EDGE_CURVE('',#168194,#168192,#93890,.T.); #209133=EDGE_CURVE('',#168195,#168193,#93891,.T.); #209134=EDGE_CURVE('',#168194,#168195,#93892,.T.); #209135=EDGE_CURVE('',#168196,#168194,#93893,.T.); #209136=EDGE_CURVE('',#168197,#168195,#93894,.T.); #209137=EDGE_CURVE('',#168196,#168197,#93895,.T.); #209138=EDGE_CURVE('',#168198,#168196,#68619,.T.); #209139=EDGE_CURVE('',#168199,#168197,#68620,.T.); #209140=EDGE_CURVE('',#168198,#168199,#93896,.T.); #209141=EDGE_CURVE('',#168200,#168198,#93897,.T.); #209142=EDGE_CURVE('',#168201,#168199,#93898,.T.); #209143=EDGE_CURVE('',#168200,#168201,#93899,.T.); #209144=EDGE_CURVE('',#168202,#168200,#93900,.T.); #209145=EDGE_CURVE('',#168203,#168201,#93901,.T.); #209146=EDGE_CURVE('',#168202,#168203,#93902,.T.); #209147=EDGE_CURVE('',#168204,#168202,#93903,.T.); #209148=EDGE_CURVE('',#168205,#168203,#93904,.T.); #209149=EDGE_CURVE('',#168204,#168205,#93905,.T.); #209150=EDGE_CURVE('',#168206,#168204,#68621,.T.); #209151=EDGE_CURVE('',#168207,#168205,#68622,.T.); #209152=EDGE_CURVE('',#168206,#168207,#93906,.T.); #209153=EDGE_CURVE('',#168208,#168206,#93907,.T.); #209154=EDGE_CURVE('',#168209,#168207,#93908,.T.); #209155=EDGE_CURVE('',#168208,#168209,#93909,.T.); #209156=EDGE_CURVE('',#168210,#168208,#93910,.T.); #209157=EDGE_CURVE('',#168211,#168209,#93911,.T.); #209158=EDGE_CURVE('',#168210,#168211,#93912,.T.); #209159=EDGE_CURVE('',#168212,#168210,#68623,.T.); #209160=EDGE_CURVE('',#168213,#168211,#68624,.T.); #209161=EDGE_CURVE('',#168212,#168213,#93913,.T.); #209162=EDGE_CURVE('',#168214,#168212,#93914,.T.); #209163=EDGE_CURVE('',#168215,#168213,#93915,.T.); #209164=EDGE_CURVE('',#168214,#168215,#93916,.T.); #209165=EDGE_CURVE('',#168216,#168214,#68625,.T.); #209166=EDGE_CURVE('',#168217,#168215,#68626,.T.); #209167=EDGE_CURVE('',#168216,#168217,#93917,.T.); #209168=EDGE_CURVE('',#168218,#168216,#68627,.T.); #209169=EDGE_CURVE('',#168219,#168217,#68628,.T.); #209170=EDGE_CURVE('',#168218,#168219,#93918,.T.); #209171=EDGE_CURVE('',#168220,#168218,#93919,.T.); #209172=EDGE_CURVE('',#168221,#168219,#93920,.T.); #209173=EDGE_CURVE('',#168220,#168221,#93921,.T.); #209174=EDGE_CURVE('',#168222,#168220,#93922,.T.); #209175=EDGE_CURVE('',#168223,#168221,#93923,.T.); #209176=EDGE_CURVE('',#168222,#168223,#93924,.T.); #209177=EDGE_CURVE('',#168224,#168222,#68629,.T.); #209178=EDGE_CURVE('',#168225,#168223,#68630,.T.); #209179=EDGE_CURVE('',#168224,#168225,#93925,.T.); #209180=EDGE_CURVE('',#168226,#168224,#93926,.T.); #209181=EDGE_CURVE('',#168227,#168225,#93927,.T.); #209182=EDGE_CURVE('',#168226,#168227,#93928,.T.); #209183=EDGE_CURVE('',#168228,#168226,#93929,.T.); #209184=EDGE_CURVE('',#168229,#168227,#93930,.T.); #209185=EDGE_CURVE('',#168228,#168229,#93931,.T.); #209186=EDGE_CURVE('',#168230,#168228,#68631,.T.); #209187=EDGE_CURVE('',#168231,#168229,#68632,.T.); #209188=EDGE_CURVE('',#168230,#168231,#93932,.T.); #209189=EDGE_CURVE('',#168232,#168230,#93933,.T.); #209190=EDGE_CURVE('',#168233,#168231,#93934,.T.); #209191=EDGE_CURVE('',#168232,#168233,#93935,.T.); #209192=EDGE_CURVE('',#168234,#168232,#68633,.T.); #209193=EDGE_CURVE('',#168235,#168233,#68634,.T.); #209194=EDGE_CURVE('',#168234,#168235,#93936,.T.); #209195=EDGE_CURVE('',#168236,#168234,#93937,.T.); #209196=EDGE_CURVE('',#168237,#168235,#93938,.T.); #209197=EDGE_CURVE('',#168236,#168237,#93939,.T.); #209198=EDGE_CURVE('',#168238,#168236,#93940,.T.); #209199=EDGE_CURVE('',#168239,#168237,#93941,.T.); #209200=EDGE_CURVE('',#168238,#168239,#93942,.T.); #209201=EDGE_CURVE('',#168240,#168238,#93943,.T.); #209202=EDGE_CURVE('',#168241,#168239,#93944,.T.); #209203=EDGE_CURVE('',#168240,#168241,#93945,.T.); #209204=EDGE_CURVE('',#168242,#168240,#93946,.T.); #209205=EDGE_CURVE('',#168243,#168241,#93947,.T.); #209206=EDGE_CURVE('',#168242,#168243,#93948,.T.); #209207=EDGE_CURVE('',#168244,#168242,#93949,.T.); #209208=EDGE_CURVE('',#168245,#168243,#93950,.T.); #209209=EDGE_CURVE('',#168244,#168245,#93951,.T.); #209210=EDGE_CURVE('',#168151,#168244,#68635,.T.); #209211=EDGE_CURVE('',#168152,#168245,#68636,.T.); #209212=EDGE_CURVE('',#168246,#168246,#68637,.T.); #209213=EDGE_CURVE('',#168246,#168247,#93952,.T.); #209214=EDGE_CURVE('',#168247,#168247,#68638,.T.); #209215=EDGE_CURVE('',#168248,#168248,#68639,.T.); #209216=EDGE_CURVE('',#168248,#168249,#93953,.T.); #209217=EDGE_CURVE('',#168249,#168249,#68640,.T.); #209218=EDGE_CURVE('',#168250,#168250,#68641,.T.); #209219=EDGE_CURVE('',#168250,#168251,#93954,.T.); #209220=EDGE_CURVE('',#168251,#168251,#68642,.T.); #209221=EDGE_CURVE('',#168252,#168252,#68643,.T.); #209222=EDGE_CURVE('',#168252,#168253,#93955,.T.); #209223=EDGE_CURVE('',#168253,#168253,#68644,.T.); #209224=EDGE_CURVE('',#168254,#168254,#68645,.T.); #209225=EDGE_CURVE('',#168254,#168255,#93956,.T.); #209226=EDGE_CURVE('',#168255,#168255,#68646,.T.); #209227=EDGE_CURVE('',#168256,#168256,#68647,.T.); #209228=EDGE_CURVE('',#168256,#168257,#93957,.T.); #209229=EDGE_CURVE('',#168257,#168257,#68648,.T.); #209230=EDGE_CURVE('',#168258,#168258,#68649,.T.); #209231=EDGE_CURVE('',#168258,#168259,#93958,.T.); #209232=EDGE_CURVE('',#168259,#168259,#68650,.T.); #209233=EDGE_CURVE('',#168260,#168260,#68651,.T.); #209234=EDGE_CURVE('',#168260,#168261,#93959,.T.); #209235=EDGE_CURVE('',#168261,#168261,#68652,.T.); #209236=EDGE_CURVE('',#168262,#168262,#68653,.T.); #209237=EDGE_CURVE('',#168262,#168263,#93960,.T.); #209238=EDGE_CURVE('',#168263,#168263,#68654,.T.); #209239=EDGE_CURVE('',#168264,#168264,#68655,.T.); #209240=EDGE_CURVE('',#168264,#168265,#93961,.T.); #209241=EDGE_CURVE('',#168265,#168265,#68656,.T.); #209242=EDGE_CURVE('',#168266,#168266,#68657,.T.); #209243=EDGE_CURVE('',#168266,#168267,#93962,.T.); #209244=EDGE_CURVE('',#168267,#168267,#68658,.T.); #209245=EDGE_CURVE('',#168268,#168268,#68659,.T.); #209246=EDGE_CURVE('',#168268,#168269,#93963,.T.); #209247=EDGE_CURVE('',#168269,#168269,#68660,.T.); #209248=EDGE_CURVE('',#168270,#168271,#93964,.T.); #209249=EDGE_CURVE('',#168271,#168272,#93965,.T.); #209250=EDGE_CURVE('',#168273,#168272,#93966,.T.); #209251=EDGE_CURVE('',#168270,#168273,#93967,.T.); #209252=EDGE_CURVE('',#168274,#168270,#93968,.T.); #209253=EDGE_CURVE('',#168275,#168273,#93969,.T.); #209254=EDGE_CURVE('',#168274,#168275,#93970,.T.); #209255=EDGE_CURVE('',#168276,#168274,#93971,.T.); #209256=EDGE_CURVE('',#168277,#168275,#93972,.T.); #209257=EDGE_CURVE('',#168276,#168277,#93973,.T.); #209258=EDGE_CURVE('',#168278,#168276,#93974,.T.); #209259=EDGE_CURVE('',#168279,#168277,#93975,.T.); #209260=EDGE_CURVE('',#168278,#168279,#93976,.T.); #209261=EDGE_CURVE('',#168280,#168278,#93977,.T.); #209262=EDGE_CURVE('',#168281,#168279,#93978,.T.); #209263=EDGE_CURVE('',#168280,#168281,#93979,.T.); #209264=EDGE_CURVE('',#168282,#168280,#93980,.T.); #209265=EDGE_CURVE('',#168283,#168281,#93981,.T.); #209266=EDGE_CURVE('',#168282,#168283,#93982,.T.); #209267=EDGE_CURVE('',#168284,#168282,#93983,.T.); #209268=EDGE_CURVE('',#168285,#168283,#93984,.T.); #209269=EDGE_CURVE('',#168284,#168285,#93985,.T.); #209270=EDGE_CURVE('',#168286,#168284,#93986,.T.); #209271=EDGE_CURVE('',#168287,#168285,#93987,.T.); #209272=EDGE_CURVE('',#168286,#168287,#93988,.T.); #209273=EDGE_CURVE('',#168288,#168286,#93989,.T.); #209274=EDGE_CURVE('',#168289,#168287,#93990,.T.); #209275=EDGE_CURVE('',#168288,#168289,#93991,.T.); #209276=EDGE_CURVE('',#168290,#168288,#93992,.T.); #209277=EDGE_CURVE('',#168291,#168289,#93993,.T.); #209278=EDGE_CURVE('',#168290,#168291,#93994,.T.); #209279=EDGE_CURVE('',#168292,#168290,#93995,.T.); #209280=EDGE_CURVE('',#168293,#168291,#93996,.T.); #209281=EDGE_CURVE('',#168292,#168293,#93997,.T.); #209282=EDGE_CURVE('',#168294,#168292,#93998,.T.); #209283=EDGE_CURVE('',#168295,#168293,#93999,.T.); #209284=EDGE_CURVE('',#168294,#168295,#94000,.T.); #209285=EDGE_CURVE('',#168296,#168294,#94001,.T.); #209286=EDGE_CURVE('',#168297,#168295,#94002,.T.); #209287=EDGE_CURVE('',#168296,#168297,#94003,.T.); #209288=EDGE_CURVE('',#168298,#168296,#94004,.T.); #209289=EDGE_CURVE('',#168299,#168297,#94005,.T.); #209290=EDGE_CURVE('',#168298,#168299,#94006,.T.); #209291=EDGE_CURVE('',#168300,#168298,#94007,.T.); #209292=EDGE_CURVE('',#168301,#168299,#94008,.T.); #209293=EDGE_CURVE('',#168300,#168301,#94009,.T.); #209294=EDGE_CURVE('',#168302,#168300,#94010,.T.); #209295=EDGE_CURVE('',#168303,#168301,#94011,.T.); #209296=EDGE_CURVE('',#168302,#168303,#94012,.T.); #209297=EDGE_CURVE('',#168304,#168302,#94013,.T.); #209298=EDGE_CURVE('',#168305,#168303,#94014,.T.); #209299=EDGE_CURVE('',#168304,#168305,#94015,.T.); #209300=EDGE_CURVE('',#168306,#168304,#94016,.T.); #209301=EDGE_CURVE('',#168307,#168305,#94017,.T.); #209302=EDGE_CURVE('',#168306,#168307,#94018,.T.); #209303=EDGE_CURVE('',#168308,#168306,#94019,.T.); #209304=EDGE_CURVE('',#168309,#168307,#94020,.T.); #209305=EDGE_CURVE('',#168308,#168309,#94021,.T.); #209306=EDGE_CURVE('',#168310,#168308,#94022,.T.); #209307=EDGE_CURVE('',#168311,#168309,#94023,.T.); #209308=EDGE_CURVE('',#168310,#168311,#94024,.T.); #209309=EDGE_CURVE('',#168312,#168310,#94025,.T.); #209310=EDGE_CURVE('',#168313,#168311,#94026,.T.); #209311=EDGE_CURVE('',#168312,#168313,#94027,.T.); #209312=EDGE_CURVE('',#168314,#168312,#94028,.T.); #209313=EDGE_CURVE('',#168315,#168313,#94029,.T.); #209314=EDGE_CURVE('',#168314,#168315,#94030,.T.); #209315=EDGE_CURVE('',#168316,#168314,#94031,.T.); #209316=EDGE_CURVE('',#168317,#168315,#94032,.T.); #209317=EDGE_CURVE('',#168316,#168317,#94033,.T.); #209318=EDGE_CURVE('',#168318,#168316,#94034,.T.); #209319=EDGE_CURVE('',#168319,#168317,#94035,.T.); #209320=EDGE_CURVE('',#168318,#168319,#94036,.T.); #209321=EDGE_CURVE('',#168320,#168318,#94037,.T.); #209322=EDGE_CURVE('',#168321,#168319,#94038,.T.); #209323=EDGE_CURVE('',#168320,#168321,#94039,.T.); #209324=EDGE_CURVE('',#168322,#168320,#94040,.T.); #209325=EDGE_CURVE('',#168323,#168321,#94041,.T.); #209326=EDGE_CURVE('',#168322,#168323,#94042,.T.); #209327=EDGE_CURVE('',#168324,#168322,#94043,.T.); #209328=EDGE_CURVE('',#168325,#168323,#94044,.T.); #209329=EDGE_CURVE('',#168324,#168325,#94045,.T.); #209330=EDGE_CURVE('',#168326,#168324,#94046,.T.); #209331=EDGE_CURVE('',#168327,#168325,#94047,.T.); #209332=EDGE_CURVE('',#168326,#168327,#94048,.T.); #209333=EDGE_CURVE('',#168328,#168326,#94049,.T.); #209334=EDGE_CURVE('',#168329,#168327,#94050,.T.); #209335=EDGE_CURVE('',#168328,#168329,#94051,.T.); #209336=EDGE_CURVE('',#168330,#168328,#94052,.T.); #209337=EDGE_CURVE('',#168331,#168329,#94053,.T.); #209338=EDGE_CURVE('',#168330,#168331,#94054,.T.); #209339=EDGE_CURVE('',#168332,#168330,#94055,.T.); #209340=EDGE_CURVE('',#168333,#168331,#94056,.T.); #209341=EDGE_CURVE('',#168332,#168333,#94057,.T.); #209342=EDGE_CURVE('',#168334,#168332,#94058,.T.); #209343=EDGE_CURVE('',#168335,#168333,#94059,.T.); #209344=EDGE_CURVE('',#168334,#168335,#94060,.T.); #209345=EDGE_CURVE('',#168336,#168334,#94061,.T.); #209346=EDGE_CURVE('',#168337,#168335,#94062,.T.); #209347=EDGE_CURVE('',#168336,#168337,#94063,.T.); #209348=EDGE_CURVE('',#168338,#168336,#94064,.T.); #209349=EDGE_CURVE('',#168339,#168337,#94065,.T.); #209350=EDGE_CURVE('',#168338,#168339,#94066,.T.); #209351=EDGE_CURVE('',#168340,#168338,#94067,.T.); #209352=EDGE_CURVE('',#168341,#168339,#94068,.T.); #209353=EDGE_CURVE('',#168340,#168341,#94069,.T.); #209354=EDGE_CURVE('',#168342,#168340,#94070,.T.); #209355=EDGE_CURVE('',#168343,#168341,#94071,.T.); #209356=EDGE_CURVE('',#168342,#168343,#94072,.T.); #209357=EDGE_CURVE('',#168344,#168342,#94073,.T.); #209358=EDGE_CURVE('',#168345,#168343,#94074,.T.); #209359=EDGE_CURVE('',#168344,#168345,#94075,.T.); #209360=EDGE_CURVE('',#168346,#168344,#94076,.T.); #209361=EDGE_CURVE('',#168347,#168345,#94077,.T.); #209362=EDGE_CURVE('',#168346,#168347,#94078,.T.); #209363=EDGE_CURVE('',#168348,#168346,#94079,.T.); #209364=EDGE_CURVE('',#168349,#168347,#94080,.T.); #209365=EDGE_CURVE('',#168348,#168349,#94081,.T.); #209366=EDGE_CURVE('',#168350,#168348,#94082,.T.); #209367=EDGE_CURVE('',#168351,#168349,#94083,.T.); #209368=EDGE_CURVE('',#168350,#168351,#94084,.T.); #209369=EDGE_CURVE('',#168352,#168350,#94085,.T.); #209370=EDGE_CURVE('',#168353,#168351,#94086,.T.); #209371=EDGE_CURVE('',#168352,#168353,#94087,.T.); #209372=EDGE_CURVE('',#168354,#168352,#94088,.T.); #209373=EDGE_CURVE('',#168355,#168353,#94089,.T.); #209374=EDGE_CURVE('',#168354,#168355,#94090,.T.); #209375=EDGE_CURVE('',#168356,#168354,#94091,.T.); #209376=EDGE_CURVE('',#168357,#168355,#94092,.T.); #209377=EDGE_CURVE('',#168356,#168357,#94093,.T.); #209378=EDGE_CURVE('',#168358,#168356,#94094,.T.); #209379=EDGE_CURVE('',#168359,#168357,#94095,.T.); #209380=EDGE_CURVE('',#168358,#168359,#94096,.T.); #209381=EDGE_CURVE('',#168360,#168358,#94097,.T.); #209382=EDGE_CURVE('',#168361,#168359,#94098,.T.); #209383=EDGE_CURVE('',#168360,#168361,#94099,.T.); #209384=EDGE_CURVE('',#168362,#168360,#94100,.T.); #209385=EDGE_CURVE('',#168363,#168361,#94101,.T.); #209386=EDGE_CURVE('',#168362,#168363,#94102,.T.); #209387=EDGE_CURVE('',#168364,#168362,#94103,.T.); #209388=EDGE_CURVE('',#168365,#168363,#94104,.T.); #209389=EDGE_CURVE('',#168364,#168365,#94105,.T.); #209390=EDGE_CURVE('',#168366,#168364,#94106,.T.); #209391=EDGE_CURVE('',#168367,#168365,#94107,.T.); #209392=EDGE_CURVE('',#168366,#168367,#94108,.T.); #209393=EDGE_CURVE('',#168368,#168366,#94109,.T.); #209394=EDGE_CURVE('',#168369,#168367,#94110,.T.); #209395=EDGE_CURVE('',#168368,#168369,#94111,.T.); #209396=EDGE_CURVE('',#168370,#168368,#94112,.T.); #209397=EDGE_CURVE('',#168371,#168369,#94113,.T.); #209398=EDGE_CURVE('',#168370,#168371,#94114,.T.); #209399=EDGE_CURVE('',#168372,#168370,#94115,.T.); #209400=EDGE_CURVE('',#168373,#168371,#94116,.T.); #209401=EDGE_CURVE('',#168372,#168373,#94117,.T.); #209402=EDGE_CURVE('',#168374,#168372,#94118,.T.); #209403=EDGE_CURVE('',#168375,#168373,#94119,.T.); #209404=EDGE_CURVE('',#168374,#168375,#94120,.T.); #209405=EDGE_CURVE('',#168376,#168374,#94121,.T.); #209406=EDGE_CURVE('',#168377,#168375,#94122,.T.); #209407=EDGE_CURVE('',#168376,#168377,#94123,.T.); #209408=EDGE_CURVE('',#168378,#168376,#94124,.T.); #209409=EDGE_CURVE('',#168379,#168377,#94125,.T.); #209410=EDGE_CURVE('',#168378,#168379,#94126,.T.); #209411=EDGE_CURVE('',#168380,#168378,#94127,.T.); #209412=EDGE_CURVE('',#168381,#168379,#94128,.T.); #209413=EDGE_CURVE('',#168380,#168381,#94129,.T.); #209414=EDGE_CURVE('',#168382,#168380,#94130,.T.); #209415=EDGE_CURVE('',#168383,#168381,#94131,.T.); #209416=EDGE_CURVE('',#168382,#168383,#94132,.T.); #209417=EDGE_CURVE('',#168384,#168382,#94133,.T.); #209418=EDGE_CURVE('',#168385,#168383,#94134,.T.); #209419=EDGE_CURVE('',#168384,#168385,#94135,.T.); #209420=EDGE_CURVE('',#168386,#168384,#94136,.T.); #209421=EDGE_CURVE('',#168387,#168385,#94137,.T.); #209422=EDGE_CURVE('',#168386,#168387,#94138,.T.); #209423=EDGE_CURVE('',#168388,#168386,#94139,.T.); #209424=EDGE_CURVE('',#168389,#168387,#94140,.T.); #209425=EDGE_CURVE('',#168388,#168389,#94141,.T.); #209426=EDGE_CURVE('',#168390,#168388,#94142,.T.); #209427=EDGE_CURVE('',#168391,#168389,#94143,.T.); #209428=EDGE_CURVE('',#168390,#168391,#94144,.T.); #209429=EDGE_CURVE('',#168392,#168390,#94145,.T.); #209430=EDGE_CURVE('',#168393,#168391,#94146,.T.); #209431=EDGE_CURVE('',#168392,#168393,#94147,.T.); #209432=EDGE_CURVE('',#168394,#168392,#94148,.T.); #209433=EDGE_CURVE('',#168395,#168393,#94149,.T.); #209434=EDGE_CURVE('',#168394,#168395,#94150,.T.); #209435=EDGE_CURVE('',#168396,#168394,#94151,.T.); #209436=EDGE_CURVE('',#168397,#168395,#94152,.T.); #209437=EDGE_CURVE('',#168396,#168397,#94153,.T.); #209438=EDGE_CURVE('',#168398,#168396,#94154,.T.); #209439=EDGE_CURVE('',#168399,#168397,#94155,.T.); #209440=EDGE_CURVE('',#168398,#168399,#94156,.T.); #209441=EDGE_CURVE('',#168400,#168398,#94157,.T.); #209442=EDGE_CURVE('',#168401,#168399,#94158,.T.); #209443=EDGE_CURVE('',#168400,#168401,#94159,.T.); #209444=EDGE_CURVE('',#168402,#168400,#94160,.T.); #209445=EDGE_CURVE('',#168403,#168401,#94161,.T.); #209446=EDGE_CURVE('',#168402,#168403,#94162,.T.); #209447=EDGE_CURVE('',#168404,#168402,#94163,.T.); #209448=EDGE_CURVE('',#168405,#168403,#94164,.T.); #209449=EDGE_CURVE('',#168404,#168405,#94165,.T.); #209450=EDGE_CURVE('',#168406,#168404,#94166,.T.); #209451=EDGE_CURVE('',#168407,#168405,#94167,.T.); #209452=EDGE_CURVE('',#168406,#168407,#94168,.T.); #209453=EDGE_CURVE('',#168408,#168406,#94169,.T.); #209454=EDGE_CURVE('',#168409,#168407,#94170,.T.); #209455=EDGE_CURVE('',#168408,#168409,#94171,.T.); #209456=EDGE_CURVE('',#168410,#168408,#94172,.T.); #209457=EDGE_CURVE('',#168411,#168409,#94173,.T.); #209458=EDGE_CURVE('',#168410,#168411,#94174,.T.); #209459=EDGE_CURVE('',#168412,#168410,#94175,.T.); #209460=EDGE_CURVE('',#168413,#168411,#94176,.T.); #209461=EDGE_CURVE('',#168412,#168413,#94177,.T.); #209462=EDGE_CURVE('',#168414,#168412,#94178,.T.); #209463=EDGE_CURVE('',#168415,#168413,#94179,.T.); #209464=EDGE_CURVE('',#168414,#168415,#94180,.T.); #209465=EDGE_CURVE('',#168416,#168414,#94181,.T.); #209466=EDGE_CURVE('',#168417,#168415,#94182,.T.); #209467=EDGE_CURVE('',#168416,#168417,#94183,.T.); #209468=EDGE_CURVE('',#168418,#168416,#94184,.T.); #209469=EDGE_CURVE('',#168419,#168417,#94185,.T.); #209470=EDGE_CURVE('',#168418,#168419,#94186,.T.); #209471=EDGE_CURVE('',#168420,#168418,#94187,.T.); #209472=EDGE_CURVE('',#168421,#168419,#94188,.T.); #209473=EDGE_CURVE('',#168420,#168421,#94189,.T.); #209474=EDGE_CURVE('',#168422,#168420,#94190,.T.); #209475=EDGE_CURVE('',#168423,#168421,#94191,.T.); #209476=EDGE_CURVE('',#168422,#168423,#94192,.T.); #209477=EDGE_CURVE('',#168424,#168422,#94193,.T.); #209478=EDGE_CURVE('',#168425,#168423,#94194,.T.); #209479=EDGE_CURVE('',#168424,#168425,#94195,.T.); #209480=EDGE_CURVE('',#168426,#168424,#94196,.T.); #209481=EDGE_CURVE('',#168427,#168425,#94197,.T.); #209482=EDGE_CURVE('',#168426,#168427,#94198,.T.); #209483=EDGE_CURVE('',#168428,#168426,#94199,.T.); #209484=EDGE_CURVE('',#168429,#168427,#94200,.T.); #209485=EDGE_CURVE('',#168428,#168429,#94201,.T.); #209486=EDGE_CURVE('',#168430,#168428,#94202,.T.); #209487=EDGE_CURVE('',#168431,#168429,#94203,.T.); #209488=EDGE_CURVE('',#168430,#168431,#94204,.T.); #209489=EDGE_CURVE('',#168432,#168430,#94205,.T.); #209490=EDGE_CURVE('',#168433,#168431,#94206,.T.); #209491=EDGE_CURVE('',#168432,#168433,#94207,.T.); #209492=EDGE_CURVE('',#168434,#168432,#94208,.T.); #209493=EDGE_CURVE('',#168435,#168433,#94209,.T.); #209494=EDGE_CURVE('',#168434,#168435,#94210,.T.); #209495=EDGE_CURVE('',#168436,#168434,#94211,.T.); #209496=EDGE_CURVE('',#168437,#168435,#94212,.T.); #209497=EDGE_CURVE('',#168436,#168437,#94213,.T.); #209498=EDGE_CURVE('',#168438,#168436,#94214,.T.); #209499=EDGE_CURVE('',#168439,#168437,#94215,.T.); #209500=EDGE_CURVE('',#168438,#168439,#94216,.T.); #209501=EDGE_CURVE('',#168440,#168438,#94217,.T.); #209502=EDGE_CURVE('',#168441,#168439,#94218,.T.); #209503=EDGE_CURVE('',#168440,#168441,#94219,.T.); #209504=EDGE_CURVE('',#168442,#168440,#94220,.T.); #209505=EDGE_CURVE('',#168443,#168441,#94221,.T.); #209506=EDGE_CURVE('',#168442,#168443,#94222,.T.); #209507=EDGE_CURVE('',#168444,#168442,#94223,.T.); #209508=EDGE_CURVE('',#168445,#168443,#94224,.T.); #209509=EDGE_CURVE('',#168444,#168445,#94225,.T.); #209510=EDGE_CURVE('',#168446,#168444,#94226,.T.); #209511=EDGE_CURVE('',#168447,#168445,#94227,.T.); #209512=EDGE_CURVE('',#168446,#168447,#94228,.T.); #209513=EDGE_CURVE('',#168448,#168446,#94229,.T.); #209514=EDGE_CURVE('',#168449,#168447,#94230,.T.); #209515=EDGE_CURVE('',#168448,#168449,#94231,.T.); #209516=EDGE_CURVE('',#168450,#168448,#94232,.T.); #209517=EDGE_CURVE('',#168451,#168449,#94233,.T.); #209518=EDGE_CURVE('',#168450,#168451,#94234,.T.); #209519=EDGE_CURVE('',#168452,#168450,#94235,.T.); #209520=EDGE_CURVE('',#168453,#168451,#94236,.T.); #209521=EDGE_CURVE('',#168452,#168453,#94237,.T.); #209522=EDGE_CURVE('',#168454,#168452,#94238,.T.); #209523=EDGE_CURVE('',#168455,#168453,#94239,.T.); #209524=EDGE_CURVE('',#168454,#168455,#94240,.T.); #209525=EDGE_CURVE('',#168456,#168454,#94241,.T.); #209526=EDGE_CURVE('',#168457,#168455,#94242,.T.); #209527=EDGE_CURVE('',#168456,#168457,#94243,.T.); #209528=EDGE_CURVE('',#168458,#168456,#94244,.T.); #209529=EDGE_CURVE('',#168459,#168457,#94245,.T.); #209530=EDGE_CURVE('',#168458,#168459,#94246,.T.); #209531=EDGE_CURVE('',#168460,#168458,#94247,.T.); #209532=EDGE_CURVE('',#168461,#168459,#94248,.T.); #209533=EDGE_CURVE('',#168460,#168461,#94249,.T.); #209534=EDGE_CURVE('',#168462,#168460,#94250,.T.); #209535=EDGE_CURVE('',#168463,#168461,#94251,.T.); #209536=EDGE_CURVE('',#168462,#168463,#94252,.T.); #209537=EDGE_CURVE('',#168271,#168462,#94253,.T.); #209538=EDGE_CURVE('',#168272,#168463,#94254,.T.); #209539=EDGE_CURVE('',#168464,#168465,#94255,.T.); #209540=EDGE_CURVE('',#168465,#168466,#94256,.T.); #209541=EDGE_CURVE('',#168467,#168466,#94257,.T.); #209542=EDGE_CURVE('',#168464,#168467,#94258,.T.); #209543=EDGE_CURVE('',#168468,#168464,#94259,.T.); #209544=EDGE_CURVE('',#168469,#168467,#94260,.T.); #209545=EDGE_CURVE('',#168468,#168469,#94261,.T.); #209546=EDGE_CURVE('',#168470,#168468,#94262,.T.); #209547=EDGE_CURVE('',#168471,#168469,#94263,.T.); #209548=EDGE_CURVE('',#168470,#168471,#94264,.T.); #209549=EDGE_CURVE('',#168472,#168470,#94265,.T.); #209550=EDGE_CURVE('',#168473,#168471,#94266,.T.); #209551=EDGE_CURVE('',#168472,#168473,#94267,.T.); #209552=EDGE_CURVE('',#168474,#168472,#94268,.T.); #209553=EDGE_CURVE('',#168475,#168473,#94269,.T.); #209554=EDGE_CURVE('',#168474,#168475,#94270,.T.); #209555=EDGE_CURVE('',#168476,#168474,#94271,.T.); #209556=EDGE_CURVE('',#168477,#168475,#94272,.T.); #209557=EDGE_CURVE('',#168476,#168477,#94273,.T.); #209558=EDGE_CURVE('',#168478,#168476,#94274,.T.); #209559=EDGE_CURVE('',#168479,#168477,#94275,.T.); #209560=EDGE_CURVE('',#168478,#168479,#94276,.T.); #209561=EDGE_CURVE('',#168480,#168478,#94277,.T.); #209562=EDGE_CURVE('',#168481,#168479,#94278,.T.); #209563=EDGE_CURVE('',#168480,#168481,#94279,.T.); #209564=EDGE_CURVE('',#168482,#168480,#94280,.T.); #209565=EDGE_CURVE('',#168483,#168481,#94281,.T.); #209566=EDGE_CURVE('',#168482,#168483,#94282,.T.); #209567=EDGE_CURVE('',#168484,#168482,#94283,.T.); #209568=EDGE_CURVE('',#168485,#168483,#94284,.T.); #209569=EDGE_CURVE('',#168484,#168485,#94285,.T.); #209570=EDGE_CURVE('',#168486,#168484,#94286,.T.); #209571=EDGE_CURVE('',#168487,#168485,#94287,.T.); #209572=EDGE_CURVE('',#168486,#168487,#94288,.T.); #209573=EDGE_CURVE('',#168488,#168486,#94289,.T.); #209574=EDGE_CURVE('',#168489,#168487,#94290,.T.); #209575=EDGE_CURVE('',#168488,#168489,#94291,.T.); #209576=EDGE_CURVE('',#168490,#168488,#94292,.T.); #209577=EDGE_CURVE('',#168491,#168489,#94293,.T.); #209578=EDGE_CURVE('',#168490,#168491,#94294,.T.); #209579=EDGE_CURVE('',#168492,#168490,#94295,.T.); #209580=EDGE_CURVE('',#168493,#168491,#94296,.T.); #209581=EDGE_CURVE('',#168492,#168493,#94297,.T.); #209582=EDGE_CURVE('',#168494,#168492,#94298,.T.); #209583=EDGE_CURVE('',#168495,#168493,#94299,.T.); #209584=EDGE_CURVE('',#168494,#168495,#94300,.T.); #209585=EDGE_CURVE('',#168496,#168494,#94301,.T.); #209586=EDGE_CURVE('',#168497,#168495,#94302,.T.); #209587=EDGE_CURVE('',#168496,#168497,#94303,.T.); #209588=EDGE_CURVE('',#168498,#168496,#94304,.T.); #209589=EDGE_CURVE('',#168499,#168497,#94305,.T.); #209590=EDGE_CURVE('',#168498,#168499,#94306,.T.); #209591=EDGE_CURVE('',#168500,#168498,#94307,.T.); #209592=EDGE_CURVE('',#168501,#168499,#94308,.T.); #209593=EDGE_CURVE('',#168500,#168501,#94309,.T.); #209594=EDGE_CURVE('',#168502,#168500,#94310,.T.); #209595=EDGE_CURVE('',#168503,#168501,#94311,.T.); #209596=EDGE_CURVE('',#168502,#168503,#94312,.T.); #209597=EDGE_CURVE('',#168504,#168502,#94313,.T.); #209598=EDGE_CURVE('',#168505,#168503,#94314,.T.); #209599=EDGE_CURVE('',#168504,#168505,#94315,.T.); #209600=EDGE_CURVE('',#168465,#168504,#94316,.T.); #209601=EDGE_CURVE('',#168466,#168505,#94317,.T.); #209602=EDGE_CURVE('',#168506,#168507,#94318,.T.); #209603=EDGE_CURVE('',#168507,#168508,#94319,.T.); #209604=EDGE_CURVE('',#168509,#168508,#94320,.T.); #209605=EDGE_CURVE('',#168506,#168509,#94321,.T.); #209606=EDGE_CURVE('',#168510,#168506,#94322,.T.); #209607=EDGE_CURVE('',#168511,#168509,#94323,.T.); #209608=EDGE_CURVE('',#168510,#168511,#94324,.T.); #209609=EDGE_CURVE('',#168512,#168510,#94325,.T.); #209610=EDGE_CURVE('',#168513,#168511,#94326,.T.); #209611=EDGE_CURVE('',#168512,#168513,#94327,.T.); #209612=EDGE_CURVE('',#168514,#168512,#94328,.T.); #209613=EDGE_CURVE('',#168515,#168513,#94329,.T.); #209614=EDGE_CURVE('',#168514,#168515,#94330,.T.); #209615=EDGE_CURVE('',#168516,#168514,#94331,.T.); #209616=EDGE_CURVE('',#168517,#168515,#94332,.T.); #209617=EDGE_CURVE('',#168516,#168517,#94333,.T.); #209618=EDGE_CURVE('',#168518,#168516,#94334,.T.); #209619=EDGE_CURVE('',#168519,#168517,#94335,.T.); #209620=EDGE_CURVE('',#168518,#168519,#94336,.T.); #209621=EDGE_CURVE('',#168520,#168518,#94337,.T.); #209622=EDGE_CURVE('',#168521,#168519,#94338,.T.); #209623=EDGE_CURVE('',#168520,#168521,#94339,.T.); #209624=EDGE_CURVE('',#168522,#168520,#94340,.T.); #209625=EDGE_CURVE('',#168523,#168521,#94341,.T.); #209626=EDGE_CURVE('',#168522,#168523,#94342,.T.); #209627=EDGE_CURVE('',#168524,#168522,#94343,.T.); #209628=EDGE_CURVE('',#168525,#168523,#94344,.T.); #209629=EDGE_CURVE('',#168524,#168525,#94345,.T.); #209630=EDGE_CURVE('',#168526,#168524,#94346,.T.); #209631=EDGE_CURVE('',#168527,#168525,#94347,.T.); #209632=EDGE_CURVE('',#168526,#168527,#94348,.T.); #209633=EDGE_CURVE('',#168528,#168526,#94349,.T.); #209634=EDGE_CURVE('',#168529,#168527,#94350,.T.); #209635=EDGE_CURVE('',#168528,#168529,#94351,.T.); #209636=EDGE_CURVE('',#168530,#168528,#94352,.T.); #209637=EDGE_CURVE('',#168531,#168529,#94353,.T.); #209638=EDGE_CURVE('',#168530,#168531,#94354,.T.); #209639=EDGE_CURVE('',#168532,#168530,#94355,.T.); #209640=EDGE_CURVE('',#168533,#168531,#94356,.T.); #209641=EDGE_CURVE('',#168532,#168533,#94357,.T.); #209642=EDGE_CURVE('',#168534,#168532,#94358,.T.); #209643=EDGE_CURVE('',#168535,#168533,#94359,.T.); #209644=EDGE_CURVE('',#168534,#168535,#94360,.T.); #209645=EDGE_CURVE('',#168536,#168534,#94361,.T.); #209646=EDGE_CURVE('',#168537,#168535,#94362,.T.); #209647=EDGE_CURVE('',#168536,#168537,#94363,.T.); #209648=EDGE_CURVE('',#168538,#168536,#94364,.T.); #209649=EDGE_CURVE('',#168539,#168537,#94365,.T.); #209650=EDGE_CURVE('',#168538,#168539,#94366,.T.); #209651=EDGE_CURVE('',#168540,#168538,#94367,.T.); #209652=EDGE_CURVE('',#168541,#168539,#94368,.T.); #209653=EDGE_CURVE('',#168540,#168541,#94369,.T.); #209654=EDGE_CURVE('',#168542,#168540,#94370,.T.); #209655=EDGE_CURVE('',#168543,#168541,#94371,.T.); #209656=EDGE_CURVE('',#168542,#168543,#94372,.T.); #209657=EDGE_CURVE('',#168544,#168542,#94373,.T.); #209658=EDGE_CURVE('',#168545,#168543,#94374,.T.); #209659=EDGE_CURVE('',#168544,#168545,#94375,.T.); #209660=EDGE_CURVE('',#168507,#168544,#94376,.T.); #209661=EDGE_CURVE('',#168508,#168545,#94377,.T.); #209662=EDGE_CURVE('',#168546,#168547,#94378,.T.); #209663=EDGE_CURVE('',#168547,#168548,#94379,.T.); #209664=EDGE_CURVE('',#168549,#168548,#94380,.T.); #209665=EDGE_CURVE('',#168546,#168549,#94381,.T.); #209666=EDGE_CURVE('',#168550,#168546,#94382,.T.); #209667=EDGE_CURVE('',#168551,#168549,#94383,.T.); #209668=EDGE_CURVE('',#168550,#168551,#94384,.T.); #209669=EDGE_CURVE('',#168552,#168550,#94385,.T.); #209670=EDGE_CURVE('',#168553,#168551,#94386,.T.); #209671=EDGE_CURVE('',#168552,#168553,#94387,.T.); #209672=EDGE_CURVE('',#168554,#168552,#94388,.T.); #209673=EDGE_CURVE('',#168555,#168553,#94389,.T.); #209674=EDGE_CURVE('',#168554,#168555,#94390,.T.); #209675=EDGE_CURVE('',#168556,#168554,#94391,.T.); #209676=EDGE_CURVE('',#168557,#168555,#94392,.T.); #209677=EDGE_CURVE('',#168556,#168557,#94393,.T.); #209678=EDGE_CURVE('',#168558,#168556,#94394,.T.); #209679=EDGE_CURVE('',#168559,#168557,#94395,.T.); #209680=EDGE_CURVE('',#168558,#168559,#94396,.T.); #209681=EDGE_CURVE('',#168560,#168558,#94397,.T.); #209682=EDGE_CURVE('',#168561,#168559,#94398,.T.); #209683=EDGE_CURVE('',#168560,#168561,#94399,.T.); #209684=EDGE_CURVE('',#168562,#168560,#94400,.T.); #209685=EDGE_CURVE('',#168563,#168561,#94401,.T.); #209686=EDGE_CURVE('',#168562,#168563,#94402,.T.); #209687=EDGE_CURVE('',#168564,#168562,#94403,.T.); #209688=EDGE_CURVE('',#168565,#168563,#94404,.T.); #209689=EDGE_CURVE('',#168564,#168565,#94405,.T.); #209690=EDGE_CURVE('',#168566,#168564,#94406,.T.); #209691=EDGE_CURVE('',#168567,#168565,#94407,.T.); #209692=EDGE_CURVE('',#168566,#168567,#94408,.T.); #209693=EDGE_CURVE('',#168568,#168566,#94409,.T.); #209694=EDGE_CURVE('',#168569,#168567,#94410,.T.); #209695=EDGE_CURVE('',#168568,#168569,#94411,.T.); #209696=EDGE_CURVE('',#168570,#168568,#94412,.T.); #209697=EDGE_CURVE('',#168571,#168569,#94413,.T.); #209698=EDGE_CURVE('',#168570,#168571,#94414,.T.); #209699=EDGE_CURVE('',#168572,#168570,#94415,.T.); #209700=EDGE_CURVE('',#168573,#168571,#94416,.T.); #209701=EDGE_CURVE('',#168572,#168573,#94417,.T.); #209702=EDGE_CURVE('',#168574,#168572,#94418,.T.); #209703=EDGE_CURVE('',#168575,#168573,#94419,.T.); #209704=EDGE_CURVE('',#168574,#168575,#94420,.T.); #209705=EDGE_CURVE('',#168576,#168574,#94421,.T.); #209706=EDGE_CURVE('',#168577,#168575,#94422,.T.); #209707=EDGE_CURVE('',#168576,#168577,#94423,.T.); #209708=EDGE_CURVE('',#168578,#168576,#94424,.T.); #209709=EDGE_CURVE('',#168579,#168577,#94425,.T.); #209710=EDGE_CURVE('',#168578,#168579,#94426,.T.); #209711=EDGE_CURVE('',#168580,#168578,#94427,.T.); #209712=EDGE_CURVE('',#168581,#168579,#94428,.T.); #209713=EDGE_CURVE('',#168580,#168581,#94429,.T.); #209714=EDGE_CURVE('',#168582,#168580,#94430,.T.); #209715=EDGE_CURVE('',#168583,#168581,#94431,.T.); #209716=EDGE_CURVE('',#168582,#168583,#94432,.T.); #209717=EDGE_CURVE('',#168584,#168582,#94433,.T.); #209718=EDGE_CURVE('',#168585,#168583,#94434,.T.); #209719=EDGE_CURVE('',#168584,#168585,#94435,.T.); #209720=EDGE_CURVE('',#168586,#168584,#94436,.T.); #209721=EDGE_CURVE('',#168587,#168585,#94437,.T.); #209722=EDGE_CURVE('',#168586,#168587,#94438,.T.); #209723=EDGE_CURVE('',#168588,#168586,#94439,.T.); #209724=EDGE_CURVE('',#168589,#168587,#94440,.T.); #209725=EDGE_CURVE('',#168588,#168589,#94441,.T.); #209726=EDGE_CURVE('',#168590,#168588,#94442,.T.); #209727=EDGE_CURVE('',#168591,#168589,#94443,.T.); #209728=EDGE_CURVE('',#168590,#168591,#94444,.T.); #209729=EDGE_CURVE('',#168592,#168590,#94445,.T.); #209730=EDGE_CURVE('',#168593,#168591,#94446,.T.); #209731=EDGE_CURVE('',#168592,#168593,#94447,.T.); #209732=EDGE_CURVE('',#168547,#168592,#94448,.T.); #209733=EDGE_CURVE('',#168548,#168593,#94449,.T.); #209734=EDGE_CURVE('',#168594,#168595,#94450,.T.); #209735=EDGE_CURVE('',#168595,#168596,#94451,.T.); #209736=EDGE_CURVE('',#168597,#168596,#94452,.T.); #209737=EDGE_CURVE('',#168594,#168597,#94453,.T.); #209738=EDGE_CURVE('',#168598,#168594,#94454,.T.); #209739=EDGE_CURVE('',#168599,#168597,#94455,.T.); #209740=EDGE_CURVE('',#168598,#168599,#94456,.T.); #209741=EDGE_CURVE('',#168600,#168598,#94457,.T.); #209742=EDGE_CURVE('',#168601,#168599,#94458,.T.); #209743=EDGE_CURVE('',#168600,#168601,#94459,.T.); #209744=EDGE_CURVE('',#168602,#168600,#94460,.T.); #209745=EDGE_CURVE('',#168603,#168601,#94461,.T.); #209746=EDGE_CURVE('',#168602,#168603,#94462,.T.); #209747=EDGE_CURVE('',#168604,#168602,#94463,.T.); #209748=EDGE_CURVE('',#168605,#168603,#94464,.T.); #209749=EDGE_CURVE('',#168604,#168605,#94465,.T.); #209750=EDGE_CURVE('',#168606,#168604,#94466,.T.); #209751=EDGE_CURVE('',#168607,#168605,#94467,.T.); #209752=EDGE_CURVE('',#168606,#168607,#94468,.T.); #209753=EDGE_CURVE('',#168608,#168606,#94469,.T.); #209754=EDGE_CURVE('',#168609,#168607,#94470,.T.); #209755=EDGE_CURVE('',#168608,#168609,#94471,.T.); #209756=EDGE_CURVE('',#168610,#168608,#94472,.T.); #209757=EDGE_CURVE('',#168611,#168609,#94473,.T.); #209758=EDGE_CURVE('',#168610,#168611,#94474,.T.); #209759=EDGE_CURVE('',#168612,#168610,#94475,.T.); #209760=EDGE_CURVE('',#168613,#168611,#94476,.T.); #209761=EDGE_CURVE('',#168612,#168613,#94477,.T.); #209762=EDGE_CURVE('',#168614,#168612,#94478,.T.); #209763=EDGE_CURVE('',#168615,#168613,#94479,.T.); #209764=EDGE_CURVE('',#168614,#168615,#94480,.T.); #209765=EDGE_CURVE('',#168616,#168614,#94481,.T.); #209766=EDGE_CURVE('',#168617,#168615,#94482,.T.); #209767=EDGE_CURVE('',#168616,#168617,#94483,.T.); #209768=EDGE_CURVE('',#168618,#168616,#94484,.T.); #209769=EDGE_CURVE('',#168619,#168617,#94485,.T.); #209770=EDGE_CURVE('',#168618,#168619,#94486,.T.); #209771=EDGE_CURVE('',#168620,#168618,#94487,.T.); #209772=EDGE_CURVE('',#168621,#168619,#94488,.T.); #209773=EDGE_CURVE('',#168620,#168621,#94489,.T.); #209774=EDGE_CURVE('',#168622,#168620,#94490,.T.); #209775=EDGE_CURVE('',#168623,#168621,#94491,.T.); #209776=EDGE_CURVE('',#168622,#168623,#94492,.T.); #209777=EDGE_CURVE('',#168624,#168622,#94493,.T.); #209778=EDGE_CURVE('',#168625,#168623,#94494,.T.); #209779=EDGE_CURVE('',#168624,#168625,#94495,.T.); #209780=EDGE_CURVE('',#168626,#168624,#94496,.T.); #209781=EDGE_CURVE('',#168627,#168625,#94497,.T.); #209782=EDGE_CURVE('',#168626,#168627,#94498,.T.); #209783=EDGE_CURVE('',#168628,#168626,#94499,.T.); #209784=EDGE_CURVE('',#168629,#168627,#94500,.T.); #209785=EDGE_CURVE('',#168628,#168629,#94501,.T.); #209786=EDGE_CURVE('',#168630,#168628,#94502,.T.); #209787=EDGE_CURVE('',#168631,#168629,#94503,.T.); #209788=EDGE_CURVE('',#168630,#168631,#94504,.T.); #209789=EDGE_CURVE('',#168632,#168630,#94505,.T.); #209790=EDGE_CURVE('',#168633,#168631,#94506,.T.); #209791=EDGE_CURVE('',#168632,#168633,#94507,.T.); #209792=EDGE_CURVE('',#168634,#168632,#94508,.T.); #209793=EDGE_CURVE('',#168635,#168633,#94509,.T.); #209794=EDGE_CURVE('',#168634,#168635,#94510,.T.); #209795=EDGE_CURVE('',#168595,#168634,#94511,.T.); #209796=EDGE_CURVE('',#168596,#168635,#94512,.T.); #209797=EDGE_CURVE('',#168636,#168637,#94513,.T.); #209798=EDGE_CURVE('',#168637,#168638,#94514,.T.); #209799=EDGE_CURVE('',#168639,#168638,#94515,.T.); #209800=EDGE_CURVE('',#168636,#168639,#94516,.T.); #209801=EDGE_CURVE('',#168640,#168636,#94517,.T.); #209802=EDGE_CURVE('',#168641,#168639,#94518,.T.); #209803=EDGE_CURVE('',#168640,#168641,#94519,.T.); #209804=EDGE_CURVE('',#168642,#168640,#94520,.T.); #209805=EDGE_CURVE('',#168643,#168641,#94521,.T.); #209806=EDGE_CURVE('',#168642,#168643,#94522,.T.); #209807=EDGE_CURVE('',#168644,#168642,#94523,.T.); #209808=EDGE_CURVE('',#168645,#168643,#94524,.T.); #209809=EDGE_CURVE('',#168644,#168645,#94525,.T.); #209810=EDGE_CURVE('',#168646,#168644,#94526,.T.); #209811=EDGE_CURVE('',#168647,#168645,#94527,.T.); #209812=EDGE_CURVE('',#168646,#168647,#94528,.T.); #209813=EDGE_CURVE('',#168648,#168646,#94529,.T.); #209814=EDGE_CURVE('',#168649,#168647,#94530,.T.); #209815=EDGE_CURVE('',#168648,#168649,#94531,.T.); #209816=EDGE_CURVE('',#168650,#168648,#94532,.T.); #209817=EDGE_CURVE('',#168651,#168649,#94533,.T.); #209818=EDGE_CURVE('',#168650,#168651,#94534,.T.); #209819=EDGE_CURVE('',#168652,#168650,#94535,.T.); #209820=EDGE_CURVE('',#168653,#168651,#94536,.T.); #209821=EDGE_CURVE('',#168652,#168653,#94537,.T.); #209822=EDGE_CURVE('',#168654,#168652,#94538,.T.); #209823=EDGE_CURVE('',#168655,#168653,#94539,.T.); #209824=EDGE_CURVE('',#168654,#168655,#94540,.T.); #209825=EDGE_CURVE('',#168656,#168654,#94541,.T.); #209826=EDGE_CURVE('',#168657,#168655,#94542,.T.); #209827=EDGE_CURVE('',#168656,#168657,#94543,.T.); #209828=EDGE_CURVE('',#168658,#168656,#94544,.T.); #209829=EDGE_CURVE('',#168659,#168657,#94545,.T.); #209830=EDGE_CURVE('',#168658,#168659,#94546,.T.); #209831=EDGE_CURVE('',#168660,#168658,#94547,.T.); #209832=EDGE_CURVE('',#168661,#168659,#94548,.T.); #209833=EDGE_CURVE('',#168660,#168661,#94549,.T.); #209834=EDGE_CURVE('',#168662,#168660,#94550,.T.); #209835=EDGE_CURVE('',#168663,#168661,#94551,.T.); #209836=EDGE_CURVE('',#168662,#168663,#94552,.T.); #209837=EDGE_CURVE('',#168664,#168662,#94553,.T.); #209838=EDGE_CURVE('',#168665,#168663,#94554,.T.); #209839=EDGE_CURVE('',#168664,#168665,#94555,.T.); #209840=EDGE_CURVE('',#168666,#168664,#94556,.T.); #209841=EDGE_CURVE('',#168667,#168665,#94557,.T.); #209842=EDGE_CURVE('',#168666,#168667,#94558,.T.); #209843=EDGE_CURVE('',#168668,#168666,#94559,.T.); #209844=EDGE_CURVE('',#168669,#168667,#94560,.T.); #209845=EDGE_CURVE('',#168668,#168669,#94561,.T.); #209846=EDGE_CURVE('',#168670,#168668,#94562,.T.); #209847=EDGE_CURVE('',#168671,#168669,#94563,.T.); #209848=EDGE_CURVE('',#168670,#168671,#94564,.T.); #209849=EDGE_CURVE('',#168672,#168670,#94565,.T.); #209850=EDGE_CURVE('',#168673,#168671,#94566,.T.); #209851=EDGE_CURVE('',#168672,#168673,#94567,.T.); #209852=EDGE_CURVE('',#168674,#168672,#94568,.T.); #209853=EDGE_CURVE('',#168675,#168673,#94569,.T.); #209854=EDGE_CURVE('',#168674,#168675,#94570,.T.); #209855=EDGE_CURVE('',#168676,#168674,#94571,.T.); #209856=EDGE_CURVE('',#168677,#168675,#94572,.T.); #209857=EDGE_CURVE('',#168676,#168677,#94573,.T.); #209858=EDGE_CURVE('',#168678,#168676,#94574,.T.); #209859=EDGE_CURVE('',#168679,#168677,#94575,.T.); #209860=EDGE_CURVE('',#168678,#168679,#94576,.T.); #209861=EDGE_CURVE('',#168680,#168678,#94577,.T.); #209862=EDGE_CURVE('',#168681,#168679,#94578,.T.); #209863=EDGE_CURVE('',#168680,#168681,#94579,.T.); #209864=EDGE_CURVE('',#168682,#168680,#94580,.T.); #209865=EDGE_CURVE('',#168683,#168681,#94581,.T.); #209866=EDGE_CURVE('',#168682,#168683,#94582,.T.); #209867=EDGE_CURVE('',#168684,#168682,#94583,.T.); #209868=EDGE_CURVE('',#168685,#168683,#94584,.T.); #209869=EDGE_CURVE('',#168684,#168685,#94585,.T.); #209870=EDGE_CURVE('',#168686,#168684,#94586,.T.); #209871=EDGE_CURVE('',#168687,#168685,#94587,.T.); #209872=EDGE_CURVE('',#168686,#168687,#94588,.T.); #209873=EDGE_CURVE('',#168688,#168686,#94589,.T.); #209874=EDGE_CURVE('',#168689,#168687,#94590,.T.); #209875=EDGE_CURVE('',#168688,#168689,#94591,.T.); #209876=EDGE_CURVE('',#168690,#168688,#94592,.T.); #209877=EDGE_CURVE('',#168691,#168689,#94593,.T.); #209878=EDGE_CURVE('',#168690,#168691,#94594,.T.); #209879=EDGE_CURVE('',#168692,#168690,#94595,.T.); #209880=EDGE_CURVE('',#168693,#168691,#94596,.T.); #209881=EDGE_CURVE('',#168692,#168693,#94597,.T.); #209882=EDGE_CURVE('',#168694,#168692,#94598,.T.); #209883=EDGE_CURVE('',#168695,#168693,#94599,.T.); #209884=EDGE_CURVE('',#168694,#168695,#94600,.T.); #209885=EDGE_CURVE('',#168696,#168694,#94601,.T.); #209886=EDGE_CURVE('',#168697,#168695,#94602,.T.); #209887=EDGE_CURVE('',#168696,#168697,#94603,.T.); #209888=EDGE_CURVE('',#168698,#168696,#94604,.T.); #209889=EDGE_CURVE('',#168699,#168697,#94605,.T.); #209890=EDGE_CURVE('',#168698,#168699,#94606,.T.); #209891=EDGE_CURVE('',#168700,#168698,#94607,.T.); #209892=EDGE_CURVE('',#168701,#168699,#94608,.T.); #209893=EDGE_CURVE('',#168700,#168701,#94609,.T.); #209894=EDGE_CURVE('',#168702,#168700,#94610,.T.); #209895=EDGE_CURVE('',#168703,#168701,#94611,.T.); #209896=EDGE_CURVE('',#168702,#168703,#94612,.T.); #209897=EDGE_CURVE('',#168704,#168702,#94613,.T.); #209898=EDGE_CURVE('',#168705,#168703,#94614,.T.); #209899=EDGE_CURVE('',#168704,#168705,#94615,.T.); #209900=EDGE_CURVE('',#168637,#168704,#94616,.T.); #209901=EDGE_CURVE('',#168638,#168705,#94617,.T.); #209902=EDGE_CURVE('',#168706,#168707,#94618,.T.); #209903=EDGE_CURVE('',#168707,#168708,#94619,.T.); #209904=EDGE_CURVE('',#168709,#168708,#94620,.T.); #209905=EDGE_CURVE('',#168706,#168709,#94621,.T.); #209906=EDGE_CURVE('',#168710,#168706,#94622,.T.); #209907=EDGE_CURVE('',#168711,#168709,#94623,.T.); #209908=EDGE_CURVE('',#168710,#168711,#94624,.T.); #209909=EDGE_CURVE('',#168712,#168710,#94625,.T.); #209910=EDGE_CURVE('',#168713,#168711,#94626,.T.); #209911=EDGE_CURVE('',#168712,#168713,#94627,.T.); #209912=EDGE_CURVE('',#168714,#168712,#94628,.T.); #209913=EDGE_CURVE('',#168715,#168713,#94629,.T.); #209914=EDGE_CURVE('',#168714,#168715,#94630,.T.); #209915=EDGE_CURVE('',#168716,#168714,#94631,.T.); #209916=EDGE_CURVE('',#168717,#168715,#94632,.T.); #209917=EDGE_CURVE('',#168716,#168717,#94633,.T.); #209918=EDGE_CURVE('',#168718,#168716,#94634,.T.); #209919=EDGE_CURVE('',#168719,#168717,#94635,.T.); #209920=EDGE_CURVE('',#168718,#168719,#94636,.T.); #209921=EDGE_CURVE('',#168720,#168718,#94637,.T.); #209922=EDGE_CURVE('',#168721,#168719,#94638,.T.); #209923=EDGE_CURVE('',#168720,#168721,#94639,.T.); #209924=EDGE_CURVE('',#168722,#168720,#94640,.T.); #209925=EDGE_CURVE('',#168723,#168721,#94641,.T.); #209926=EDGE_CURVE('',#168722,#168723,#94642,.T.); #209927=EDGE_CURVE('',#168724,#168722,#94643,.T.); #209928=EDGE_CURVE('',#168725,#168723,#94644,.T.); #209929=EDGE_CURVE('',#168724,#168725,#94645,.T.); #209930=EDGE_CURVE('',#168726,#168724,#94646,.T.); #209931=EDGE_CURVE('',#168727,#168725,#94647,.T.); #209932=EDGE_CURVE('',#168726,#168727,#94648,.T.); #209933=EDGE_CURVE('',#168728,#168726,#94649,.T.); #209934=EDGE_CURVE('',#168729,#168727,#94650,.T.); #209935=EDGE_CURVE('',#168728,#168729,#94651,.T.); #209936=EDGE_CURVE('',#168730,#168728,#94652,.T.); #209937=EDGE_CURVE('',#168731,#168729,#94653,.T.); #209938=EDGE_CURVE('',#168730,#168731,#94654,.T.); #209939=EDGE_CURVE('',#168732,#168730,#94655,.T.); #209940=EDGE_CURVE('',#168733,#168731,#94656,.T.); #209941=EDGE_CURVE('',#168732,#168733,#94657,.T.); #209942=EDGE_CURVE('',#168734,#168732,#94658,.T.); #209943=EDGE_CURVE('',#168735,#168733,#94659,.T.); #209944=EDGE_CURVE('',#168734,#168735,#94660,.T.); #209945=EDGE_CURVE('',#168736,#168734,#94661,.T.); #209946=EDGE_CURVE('',#168737,#168735,#94662,.T.); #209947=EDGE_CURVE('',#168736,#168737,#94663,.T.); #209948=EDGE_CURVE('',#168738,#168736,#94664,.T.); #209949=EDGE_CURVE('',#168739,#168737,#94665,.T.); #209950=EDGE_CURVE('',#168738,#168739,#94666,.T.); #209951=EDGE_CURVE('',#168740,#168738,#94667,.T.); #209952=EDGE_CURVE('',#168741,#168739,#94668,.T.); #209953=EDGE_CURVE('',#168740,#168741,#94669,.T.); #209954=EDGE_CURVE('',#168742,#168740,#94670,.T.); #209955=EDGE_CURVE('',#168743,#168741,#94671,.T.); #209956=EDGE_CURVE('',#168742,#168743,#94672,.T.); #209957=EDGE_CURVE('',#168744,#168742,#94673,.T.); #209958=EDGE_CURVE('',#168745,#168743,#94674,.T.); #209959=EDGE_CURVE('',#168744,#168745,#94675,.T.); #209960=EDGE_CURVE('',#168746,#168744,#94676,.T.); #209961=EDGE_CURVE('',#168747,#168745,#94677,.T.); #209962=EDGE_CURVE('',#168746,#168747,#94678,.T.); #209963=EDGE_CURVE('',#168707,#168746,#94679,.T.); #209964=EDGE_CURVE('',#168708,#168747,#94680,.T.); #209965=EDGE_CURVE('',#168748,#168749,#94681,.T.); #209966=EDGE_CURVE('',#168749,#168750,#94682,.T.); #209967=EDGE_CURVE('',#168751,#168750,#94683,.T.); #209968=EDGE_CURVE('',#168748,#168751,#94684,.T.); #209969=EDGE_CURVE('',#168752,#168748,#94685,.T.); #209970=EDGE_CURVE('',#168753,#168751,#94686,.T.); #209971=EDGE_CURVE('',#168752,#168753,#94687,.T.); #209972=EDGE_CURVE('',#168754,#168752,#94688,.T.); #209973=EDGE_CURVE('',#168755,#168753,#94689,.T.); #209974=EDGE_CURVE('',#168754,#168755,#94690,.T.); #209975=EDGE_CURVE('',#168756,#168754,#94691,.T.); #209976=EDGE_CURVE('',#168757,#168755,#94692,.T.); #209977=EDGE_CURVE('',#168756,#168757,#94693,.T.); #209978=EDGE_CURVE('',#168758,#168756,#94694,.T.); #209979=EDGE_CURVE('',#168759,#168757,#94695,.T.); #209980=EDGE_CURVE('',#168758,#168759,#94696,.T.); #209981=EDGE_CURVE('',#168760,#168758,#94697,.T.); #209982=EDGE_CURVE('',#168761,#168759,#94698,.T.); #209983=EDGE_CURVE('',#168760,#168761,#94699,.T.); #209984=EDGE_CURVE('',#168762,#168760,#94700,.T.); #209985=EDGE_CURVE('',#168763,#168761,#94701,.T.); #209986=EDGE_CURVE('',#168762,#168763,#94702,.T.); #209987=EDGE_CURVE('',#168764,#168762,#94703,.T.); #209988=EDGE_CURVE('',#168765,#168763,#94704,.T.); #209989=EDGE_CURVE('',#168764,#168765,#94705,.T.); #209990=EDGE_CURVE('',#168766,#168764,#94706,.T.); #209991=EDGE_CURVE('',#168767,#168765,#94707,.T.); #209992=EDGE_CURVE('',#168766,#168767,#94708,.T.); #209993=EDGE_CURVE('',#168768,#168766,#94709,.T.); #209994=EDGE_CURVE('',#168769,#168767,#94710,.T.); #209995=EDGE_CURVE('',#168768,#168769,#94711,.T.); #209996=EDGE_CURVE('',#168770,#168768,#94712,.T.); #209997=EDGE_CURVE('',#168771,#168769,#94713,.T.); #209998=EDGE_CURVE('',#168770,#168771,#94714,.T.); #209999=EDGE_CURVE('',#168772,#168770,#94715,.T.); #210000=EDGE_CURVE('',#168773,#168771,#94716,.T.); #210001=EDGE_CURVE('',#168772,#168773,#94717,.T.); #210002=EDGE_CURVE('',#168774,#168772,#94718,.T.); #210003=EDGE_CURVE('',#168775,#168773,#94719,.T.); #210004=EDGE_CURVE('',#168774,#168775,#94720,.T.); #210005=EDGE_CURVE('',#168776,#168774,#94721,.T.); #210006=EDGE_CURVE('',#168777,#168775,#94722,.T.); #210007=EDGE_CURVE('',#168776,#168777,#94723,.T.); #210008=EDGE_CURVE('',#168778,#168776,#94724,.T.); #210009=EDGE_CURVE('',#168779,#168777,#94725,.T.); #210010=EDGE_CURVE('',#168778,#168779,#94726,.T.); #210011=EDGE_CURVE('',#168780,#168778,#94727,.T.); #210012=EDGE_CURVE('',#168781,#168779,#94728,.T.); #210013=EDGE_CURVE('',#168780,#168781,#94729,.T.); #210014=EDGE_CURVE('',#168782,#168780,#94730,.T.); #210015=EDGE_CURVE('',#168783,#168781,#94731,.T.); #210016=EDGE_CURVE('',#168782,#168783,#94732,.T.); #210017=EDGE_CURVE('',#168784,#168782,#94733,.T.); #210018=EDGE_CURVE('',#168785,#168783,#94734,.T.); #210019=EDGE_CURVE('',#168784,#168785,#94735,.T.); #210020=EDGE_CURVE('',#168786,#168784,#94736,.T.); #210021=EDGE_CURVE('',#168787,#168785,#94737,.T.); #210022=EDGE_CURVE('',#168786,#168787,#94738,.T.); #210023=EDGE_CURVE('',#168788,#168786,#94739,.T.); #210024=EDGE_CURVE('',#168789,#168787,#94740,.T.); #210025=EDGE_CURVE('',#168788,#168789,#94741,.T.); #210026=EDGE_CURVE('',#168790,#168788,#94742,.T.); #210027=EDGE_CURVE('',#168791,#168789,#94743,.T.); #210028=EDGE_CURVE('',#168790,#168791,#94744,.T.); #210029=EDGE_CURVE('',#168792,#168790,#94745,.T.); #210030=EDGE_CURVE('',#168793,#168791,#94746,.T.); #210031=EDGE_CURVE('',#168792,#168793,#94747,.T.); #210032=EDGE_CURVE('',#168794,#168792,#94748,.T.); #210033=EDGE_CURVE('',#168795,#168793,#94749,.T.); #210034=EDGE_CURVE('',#168794,#168795,#94750,.T.); #210035=EDGE_CURVE('',#168796,#168794,#94751,.T.); #210036=EDGE_CURVE('',#168797,#168795,#94752,.T.); #210037=EDGE_CURVE('',#168796,#168797,#94753,.T.); #210038=EDGE_CURVE('',#168798,#168796,#94754,.T.); #210039=EDGE_CURVE('',#168799,#168797,#94755,.T.); #210040=EDGE_CURVE('',#168798,#168799,#94756,.T.); #210041=EDGE_CURVE('',#168800,#168798,#94757,.T.); #210042=EDGE_CURVE('',#168801,#168799,#94758,.T.); #210043=EDGE_CURVE('',#168800,#168801,#94759,.T.); #210044=EDGE_CURVE('',#168802,#168800,#94760,.T.); #210045=EDGE_CURVE('',#168803,#168801,#94761,.T.); #210046=EDGE_CURVE('',#168802,#168803,#94762,.T.); #210047=EDGE_CURVE('',#168804,#168802,#94763,.T.); #210048=EDGE_CURVE('',#168805,#168803,#94764,.T.); #210049=EDGE_CURVE('',#168804,#168805,#94765,.T.); #210050=EDGE_CURVE('',#168806,#168804,#94766,.T.); #210051=EDGE_CURVE('',#168807,#168805,#94767,.T.); #210052=EDGE_CURVE('',#168806,#168807,#94768,.T.); #210053=EDGE_CURVE('',#168808,#168806,#94769,.T.); #210054=EDGE_CURVE('',#168809,#168807,#94770,.T.); #210055=EDGE_CURVE('',#168808,#168809,#94771,.T.); #210056=EDGE_CURVE('',#168749,#168808,#94772,.T.); #210057=EDGE_CURVE('',#168750,#168809,#94773,.T.); #210058=EDGE_CURVE('',#168810,#168811,#94774,.T.); #210059=EDGE_CURVE('',#168811,#168812,#94775,.T.); #210060=EDGE_CURVE('',#168813,#168812,#94776,.T.); #210061=EDGE_CURVE('',#168810,#168813,#94777,.T.); #210062=EDGE_CURVE('',#168814,#168810,#94778,.T.); #210063=EDGE_CURVE('',#168815,#168813,#94779,.T.); #210064=EDGE_CURVE('',#168814,#168815,#94780,.T.); #210065=EDGE_CURVE('',#168816,#168814,#94781,.T.); #210066=EDGE_CURVE('',#168817,#168815,#94782,.T.); #210067=EDGE_CURVE('',#168816,#168817,#94783,.T.); #210068=EDGE_CURVE('',#168818,#168816,#94784,.T.); #210069=EDGE_CURVE('',#168819,#168817,#94785,.T.); #210070=EDGE_CURVE('',#168818,#168819,#94786,.T.); #210071=EDGE_CURVE('',#168820,#168818,#94787,.T.); #210072=EDGE_CURVE('',#168821,#168819,#94788,.T.); #210073=EDGE_CURVE('',#168820,#168821,#94789,.T.); #210074=EDGE_CURVE('',#168822,#168820,#94790,.T.); #210075=EDGE_CURVE('',#168823,#168821,#94791,.T.); #210076=EDGE_CURVE('',#168822,#168823,#94792,.T.); #210077=EDGE_CURVE('',#168824,#168822,#94793,.T.); #210078=EDGE_CURVE('',#168825,#168823,#94794,.T.); #210079=EDGE_CURVE('',#168824,#168825,#94795,.T.); #210080=EDGE_CURVE('',#168826,#168824,#94796,.T.); #210081=EDGE_CURVE('',#168827,#168825,#94797,.T.); #210082=EDGE_CURVE('',#168826,#168827,#94798,.T.); #210083=EDGE_CURVE('',#168828,#168826,#94799,.T.); #210084=EDGE_CURVE('',#168829,#168827,#94800,.T.); #210085=EDGE_CURVE('',#168828,#168829,#94801,.T.); #210086=EDGE_CURVE('',#168830,#168828,#94802,.T.); #210087=EDGE_CURVE('',#168831,#168829,#94803,.T.); #210088=EDGE_CURVE('',#168830,#168831,#94804,.T.); #210089=EDGE_CURVE('',#168832,#168830,#94805,.T.); #210090=EDGE_CURVE('',#168833,#168831,#94806,.T.); #210091=EDGE_CURVE('',#168832,#168833,#94807,.T.); #210092=EDGE_CURVE('',#168834,#168832,#94808,.T.); #210093=EDGE_CURVE('',#168835,#168833,#94809,.T.); #210094=EDGE_CURVE('',#168834,#168835,#94810,.T.); #210095=EDGE_CURVE('',#168836,#168834,#94811,.T.); #210096=EDGE_CURVE('',#168837,#168835,#94812,.T.); #210097=EDGE_CURVE('',#168836,#168837,#94813,.T.); #210098=EDGE_CURVE('',#168838,#168836,#94814,.T.); #210099=EDGE_CURVE('',#168839,#168837,#94815,.T.); #210100=EDGE_CURVE('',#168838,#168839,#94816,.T.); #210101=EDGE_CURVE('',#168840,#168838,#94817,.T.); #210102=EDGE_CURVE('',#168841,#168839,#94818,.T.); #210103=EDGE_CURVE('',#168840,#168841,#94819,.T.); #210104=EDGE_CURVE('',#168842,#168840,#94820,.T.); #210105=EDGE_CURVE('',#168843,#168841,#94821,.T.); #210106=EDGE_CURVE('',#168842,#168843,#94822,.T.); #210107=EDGE_CURVE('',#168844,#168842,#94823,.T.); #210108=EDGE_CURVE('',#168845,#168843,#94824,.T.); #210109=EDGE_CURVE('',#168844,#168845,#94825,.T.); #210110=EDGE_CURVE('',#168846,#168844,#94826,.T.); #210111=EDGE_CURVE('',#168847,#168845,#94827,.T.); #210112=EDGE_CURVE('',#168846,#168847,#94828,.T.); #210113=EDGE_CURVE('',#168848,#168846,#94829,.T.); #210114=EDGE_CURVE('',#168849,#168847,#94830,.T.); #210115=EDGE_CURVE('',#168848,#168849,#94831,.T.); #210116=EDGE_CURVE('',#168850,#168848,#94832,.T.); #210117=EDGE_CURVE('',#168851,#168849,#94833,.T.); #210118=EDGE_CURVE('',#168850,#168851,#94834,.T.); #210119=EDGE_CURVE('',#168852,#168850,#94835,.T.); #210120=EDGE_CURVE('',#168853,#168851,#94836,.T.); #210121=EDGE_CURVE('',#168852,#168853,#94837,.T.); #210122=EDGE_CURVE('',#168811,#168852,#94838,.T.); #210123=EDGE_CURVE('',#168812,#168853,#94839,.T.); #210124=EDGE_CURVE('',#168854,#168855,#94840,.T.); #210125=EDGE_CURVE('',#168855,#168856,#94841,.T.); #210126=EDGE_CURVE('',#168857,#168856,#94842,.T.); #210127=EDGE_CURVE('',#168854,#168857,#94843,.T.); #210128=EDGE_CURVE('',#168858,#168854,#94844,.T.); #210129=EDGE_CURVE('',#168859,#168857,#94845,.T.); #210130=EDGE_CURVE('',#168858,#168859,#94846,.T.); #210131=EDGE_CURVE('',#168860,#168858,#94847,.T.); #210132=EDGE_CURVE('',#168861,#168859,#94848,.T.); #210133=EDGE_CURVE('',#168860,#168861,#94849,.T.); #210134=EDGE_CURVE('',#168862,#168860,#94850,.T.); #210135=EDGE_CURVE('',#168863,#168861,#94851,.T.); #210136=EDGE_CURVE('',#168862,#168863,#94852,.T.); #210137=EDGE_CURVE('',#168864,#168862,#94853,.T.); #210138=EDGE_CURVE('',#168865,#168863,#94854,.T.); #210139=EDGE_CURVE('',#168864,#168865,#94855,.T.); #210140=EDGE_CURVE('',#168866,#168864,#94856,.T.); #210141=EDGE_CURVE('',#168867,#168865,#94857,.T.); #210142=EDGE_CURVE('',#168866,#168867,#94858,.T.); #210143=EDGE_CURVE('',#168868,#168866,#94859,.T.); #210144=EDGE_CURVE('',#168869,#168867,#94860,.T.); #210145=EDGE_CURVE('',#168868,#168869,#94861,.T.); #210146=EDGE_CURVE('',#168870,#168868,#94862,.T.); #210147=EDGE_CURVE('',#168871,#168869,#94863,.T.); #210148=EDGE_CURVE('',#168870,#168871,#94864,.T.); #210149=EDGE_CURVE('',#168872,#168870,#94865,.T.); #210150=EDGE_CURVE('',#168873,#168871,#94866,.T.); #210151=EDGE_CURVE('',#168872,#168873,#94867,.T.); #210152=EDGE_CURVE('',#168874,#168872,#94868,.T.); #210153=EDGE_CURVE('',#168875,#168873,#94869,.T.); #210154=EDGE_CURVE('',#168874,#168875,#94870,.T.); #210155=EDGE_CURVE('',#168876,#168874,#94871,.T.); #210156=EDGE_CURVE('',#168877,#168875,#94872,.T.); #210157=EDGE_CURVE('',#168876,#168877,#94873,.T.); #210158=EDGE_CURVE('',#168878,#168876,#94874,.T.); #210159=EDGE_CURVE('',#168879,#168877,#94875,.T.); #210160=EDGE_CURVE('',#168878,#168879,#94876,.T.); #210161=EDGE_CURVE('',#168880,#168878,#94877,.T.); #210162=EDGE_CURVE('',#168881,#168879,#94878,.T.); #210163=EDGE_CURVE('',#168880,#168881,#94879,.T.); #210164=EDGE_CURVE('',#168882,#168880,#94880,.T.); #210165=EDGE_CURVE('',#168883,#168881,#94881,.T.); #210166=EDGE_CURVE('',#168882,#168883,#94882,.T.); #210167=EDGE_CURVE('',#168884,#168882,#94883,.T.); #210168=EDGE_CURVE('',#168885,#168883,#94884,.T.); #210169=EDGE_CURVE('',#168884,#168885,#94885,.T.); #210170=EDGE_CURVE('',#168886,#168884,#94886,.T.); #210171=EDGE_CURVE('',#168887,#168885,#94887,.T.); #210172=EDGE_CURVE('',#168886,#168887,#94888,.T.); #210173=EDGE_CURVE('',#168888,#168886,#94889,.T.); #210174=EDGE_CURVE('',#168889,#168887,#94890,.T.); #210175=EDGE_CURVE('',#168888,#168889,#94891,.T.); #210176=EDGE_CURVE('',#168890,#168888,#94892,.T.); #210177=EDGE_CURVE('',#168891,#168889,#94893,.T.); #210178=EDGE_CURVE('',#168890,#168891,#94894,.T.); #210179=EDGE_CURVE('',#168892,#168890,#94895,.T.); #210180=EDGE_CURVE('',#168893,#168891,#94896,.T.); #210181=EDGE_CURVE('',#168892,#168893,#94897,.T.); #210182=EDGE_CURVE('',#168855,#168892,#94898,.T.); #210183=EDGE_CURVE('',#168856,#168893,#94899,.T.); #210184=EDGE_CURVE('',#168894,#168894,#68661,.T.); #210185=EDGE_CURVE('',#168894,#168895,#94900,.T.); #210186=EDGE_CURVE('',#168895,#168895,#68662,.T.); #210187=EDGE_CURVE('',#168896,#168896,#68663,.T.); #210188=EDGE_CURVE('',#168896,#168897,#94901,.T.); #210189=EDGE_CURVE('',#168897,#168897,#68664,.T.); #210190=EDGE_CURVE('',#168898,#168898,#68665,.T.); #210191=EDGE_CURVE('',#168898,#168899,#94902,.T.); #210192=EDGE_CURVE('',#168899,#168899,#68666,.T.); #210193=EDGE_CURVE('',#168900,#168900,#68667,.T.); #210194=EDGE_CURVE('',#168900,#168901,#94903,.T.); #210195=EDGE_CURVE('',#168901,#168901,#68668,.T.); #210196=EDGE_CURVE('',#168902,#168902,#68669,.T.); #210197=EDGE_CURVE('',#168902,#168903,#94904,.T.); #210198=EDGE_CURVE('',#168903,#168903,#68670,.T.); #210199=EDGE_CURVE('',#168904,#168904,#68671,.T.); #210200=EDGE_CURVE('',#168904,#168905,#94905,.T.); #210201=EDGE_CURVE('',#168905,#168905,#68672,.T.); #210202=EDGE_CURVE('',#168906,#168906,#68673,.T.); #210203=EDGE_CURVE('',#168906,#168907,#94906,.T.); #210204=EDGE_CURVE('',#168907,#168907,#68674,.T.); #210205=EDGE_CURVE('',#168908,#168908,#68675,.T.); #210206=EDGE_CURVE('',#168908,#168909,#94907,.T.); #210207=EDGE_CURVE('',#168909,#168909,#68676,.T.); #210208=EDGE_CURVE('',#168910,#168910,#68677,.T.); #210209=EDGE_CURVE('',#168910,#168911,#94908,.T.); #210210=EDGE_CURVE('',#168911,#168911,#68678,.T.); #210211=EDGE_CURVE('',#168912,#168912,#68679,.T.); #210212=EDGE_CURVE('',#168912,#168913,#94909,.T.); #210213=EDGE_CURVE('',#168913,#168913,#68680,.T.); #210214=EDGE_CURVE('',#168914,#168914,#68681,.T.); #210215=EDGE_CURVE('',#168914,#168915,#94910,.T.); #210216=EDGE_CURVE('',#168915,#168915,#68682,.T.); #210217=EDGE_CURVE('',#168916,#168916,#68683,.T.); #210218=EDGE_CURVE('',#168916,#168917,#94911,.T.); #210219=EDGE_CURVE('',#168917,#168917,#68684,.T.); #210220=EDGE_CURVE('',#168918,#168918,#68685,.T.); #210221=EDGE_CURVE('',#168918,#168919,#94912,.T.); #210222=EDGE_CURVE('',#168919,#168919,#68686,.T.); #210223=EDGE_CURVE('',#168920,#168920,#68687,.T.); #210224=EDGE_CURVE('',#168920,#168921,#94913,.T.); #210225=EDGE_CURVE('',#168921,#168921,#68688,.T.); #210226=EDGE_CURVE('',#168922,#168922,#68689,.T.); #210227=EDGE_CURVE('',#168922,#168923,#94914,.T.); #210228=EDGE_CURVE('',#168923,#168923,#68690,.T.); #210229=EDGE_CURVE('',#168924,#168924,#68691,.T.); #210230=EDGE_CURVE('',#168924,#168925,#94915,.T.); #210231=EDGE_CURVE('',#168925,#168925,#68692,.T.); #210232=EDGE_CURVE('',#168926,#168926,#68693,.T.); #210233=EDGE_CURVE('',#168926,#168927,#94916,.T.); #210234=EDGE_CURVE('',#168927,#168927,#68694,.T.); #210235=EDGE_CURVE('',#168928,#168928,#68695,.T.); #210236=EDGE_CURVE('',#168928,#168929,#94917,.T.); #210237=EDGE_CURVE('',#168929,#168929,#68696,.T.); #210238=EDGE_CURVE('',#168930,#168930,#68697,.T.); #210239=EDGE_CURVE('',#168930,#168931,#94918,.T.); #210240=EDGE_CURVE('',#168931,#168931,#68698,.T.); #210241=EDGE_CURVE('',#168932,#168932,#68699,.T.); #210242=EDGE_CURVE('',#168932,#168933,#94919,.T.); #210243=EDGE_CURVE('',#168933,#168933,#68700,.T.); #210244=EDGE_CURVE('',#168934,#168934,#68701,.T.); #210245=EDGE_CURVE('',#168934,#168935,#94920,.T.); #210246=EDGE_CURVE('',#168935,#168935,#68702,.T.); #210247=EDGE_CURVE('',#168936,#168936,#68703,.T.); #210248=EDGE_CURVE('',#168936,#168937,#94921,.T.); #210249=EDGE_CURVE('',#168937,#168937,#68704,.T.); #210250=EDGE_CURVE('',#168938,#168938,#68705,.T.); #210251=EDGE_CURVE('',#168938,#168939,#94922,.T.); #210252=EDGE_CURVE('',#168939,#168939,#68706,.T.); #210253=EDGE_CURVE('',#168940,#168940,#68707,.T.); #210254=EDGE_CURVE('',#168940,#168941,#94923,.T.); #210255=EDGE_CURVE('',#168941,#168941,#68708,.T.); #210256=EDGE_CURVE('',#168942,#168942,#68709,.T.); #210257=EDGE_CURVE('',#168942,#168943,#94924,.T.); #210258=EDGE_CURVE('',#168943,#168943,#68710,.T.); #210259=EDGE_CURVE('',#168944,#168944,#68711,.T.); #210260=EDGE_CURVE('',#168944,#168945,#94925,.T.); #210261=EDGE_CURVE('',#168945,#168945,#68712,.T.); #210262=EDGE_CURVE('',#168946,#168946,#68713,.T.); #210263=EDGE_CURVE('',#168946,#168947,#94926,.T.); #210264=EDGE_CURVE('',#168947,#168947,#68714,.T.); #210265=EDGE_CURVE('',#168948,#168948,#68715,.T.); #210266=EDGE_CURVE('',#168948,#168949,#94927,.T.); #210267=EDGE_CURVE('',#168949,#168949,#68716,.T.); #210268=EDGE_CURVE('',#168950,#168950,#68717,.T.); #210269=EDGE_CURVE('',#168950,#168951,#94928,.T.); #210270=EDGE_CURVE('',#168951,#168951,#68718,.T.); #210271=EDGE_CURVE('',#168952,#168952,#68719,.T.); #210272=EDGE_CURVE('',#168952,#168953,#94929,.T.); #210273=EDGE_CURVE('',#168953,#168953,#68720,.T.); #210274=EDGE_CURVE('',#168954,#168954,#68721,.T.); #210275=EDGE_CURVE('',#168954,#168955,#94930,.T.); #210276=EDGE_CURVE('',#168955,#168955,#68722,.T.); #210277=EDGE_CURVE('',#168956,#168956,#68723,.T.); #210278=EDGE_CURVE('',#168956,#168957,#94931,.T.); #210279=EDGE_CURVE('',#168957,#168957,#68724,.T.); #210280=EDGE_CURVE('',#168958,#168958,#68725,.T.); #210281=EDGE_CURVE('',#168958,#168959,#94932,.T.); #210282=EDGE_CURVE('',#168959,#168959,#68726,.T.); #210283=EDGE_CURVE('',#168960,#168960,#68727,.T.); #210284=EDGE_CURVE('',#168960,#168961,#94933,.T.); #210285=EDGE_CURVE('',#168961,#168961,#68728,.T.); #210286=EDGE_CURVE('',#168962,#168962,#68729,.T.); #210287=EDGE_CURVE('',#168962,#168963,#94934,.T.); #210288=EDGE_CURVE('',#168963,#168963,#68730,.T.); #210289=EDGE_CURVE('',#168964,#168964,#68731,.T.); #210290=EDGE_CURVE('',#168964,#168965,#94935,.T.); #210291=EDGE_CURVE('',#168965,#168965,#68732,.T.); #210292=EDGE_CURVE('',#168966,#168966,#68733,.T.); #210293=EDGE_CURVE('',#168966,#168967,#94936,.T.); #210294=EDGE_CURVE('',#168967,#168967,#68734,.T.); #210295=EDGE_CURVE('',#168968,#168968,#68735,.T.); #210296=EDGE_CURVE('',#168968,#168969,#94937,.T.); #210297=EDGE_CURVE('',#168969,#168969,#68736,.T.); #210298=EDGE_CURVE('',#168970,#168970,#68737,.T.); #210299=EDGE_CURVE('',#168970,#168971,#94938,.T.); #210300=EDGE_CURVE('',#168971,#168971,#68738,.T.); #210301=EDGE_CURVE('',#168972,#168972,#68739,.T.); #210302=EDGE_CURVE('',#168972,#168973,#94939,.T.); #210303=EDGE_CURVE('',#168973,#168973,#68740,.T.); #210304=EDGE_CURVE('',#168974,#168974,#68741,.T.); #210305=EDGE_CURVE('',#168974,#168975,#94940,.T.); #210306=EDGE_CURVE('',#168975,#168975,#68742,.T.); #210307=EDGE_CURVE('',#168976,#168976,#68743,.T.); #210308=EDGE_CURVE('',#168976,#168977,#94941,.T.); #210309=EDGE_CURVE('',#168977,#168977,#68744,.T.); #210310=EDGE_CURVE('',#168978,#168978,#68745,.T.); #210311=EDGE_CURVE('',#168978,#168979,#94942,.T.); #210312=EDGE_CURVE('',#168979,#168979,#68746,.T.); #210313=EDGE_CURVE('',#168980,#168980,#68747,.T.); #210314=EDGE_CURVE('',#168980,#168981,#94943,.T.); #210315=EDGE_CURVE('',#168981,#168981,#68748,.T.); #210316=EDGE_CURVE('',#168982,#168982,#68749,.T.); #210317=EDGE_CURVE('',#168982,#168983,#94944,.T.); #210318=EDGE_CURVE('',#168983,#168983,#68750,.T.); #210319=EDGE_CURVE('',#168984,#168984,#68751,.T.); #210320=EDGE_CURVE('',#168984,#168985,#94945,.T.); #210321=EDGE_CURVE('',#168985,#168985,#68752,.T.); #210322=EDGE_CURVE('',#168986,#168986,#68753,.T.); #210323=EDGE_CURVE('',#168986,#168987,#94946,.T.); #210324=EDGE_CURVE('',#168987,#168987,#68754,.T.); #210325=EDGE_CURVE('',#168988,#168988,#68755,.T.); #210326=EDGE_CURVE('',#168988,#168989,#94947,.T.); #210327=EDGE_CURVE('',#168989,#168989,#68756,.T.); #210328=EDGE_CURVE('',#168990,#168990,#68757,.T.); #210329=EDGE_CURVE('',#168990,#168991,#94948,.T.); #210330=EDGE_CURVE('',#168991,#168991,#68758,.T.); #210331=EDGE_CURVE('',#168992,#168992,#68759,.T.); #210332=EDGE_CURVE('',#168992,#168993,#94949,.T.); #210333=EDGE_CURVE('',#168993,#168993,#68760,.T.); #210334=EDGE_CURVE('',#168994,#168994,#68761,.T.); #210335=EDGE_CURVE('',#168994,#168995,#94950,.T.); #210336=EDGE_CURVE('',#168995,#168995,#68762,.T.); #210337=EDGE_CURVE('',#168996,#168996,#68763,.T.); #210338=EDGE_CURVE('',#168996,#168997,#94951,.T.); #210339=EDGE_CURVE('',#168997,#168997,#68764,.T.); #210340=EDGE_CURVE('',#168998,#168998,#68765,.T.); #210341=EDGE_CURVE('',#168998,#168999,#94952,.T.); #210342=EDGE_CURVE('',#168999,#168999,#68766,.T.); #210343=EDGE_CURVE('',#169000,#169000,#68767,.T.); #210344=EDGE_CURVE('',#169000,#169001,#94953,.T.); #210345=EDGE_CURVE('',#169001,#169001,#68768,.T.); #210346=EDGE_CURVE('',#169002,#169002,#68769,.T.); #210347=EDGE_CURVE('',#169002,#169003,#94954,.T.); #210348=EDGE_CURVE('',#169003,#169003,#68770,.T.); #210349=EDGE_CURVE('',#169004,#169004,#68771,.T.); #210350=EDGE_CURVE('',#169004,#169005,#94955,.T.); #210351=EDGE_CURVE('',#169005,#169005,#68772,.T.); #210352=EDGE_CURVE('',#169006,#169006,#68773,.T.); #210353=EDGE_CURVE('',#169006,#169007,#94956,.T.); #210354=EDGE_CURVE('',#169007,#169007,#68774,.T.); #210355=EDGE_CURVE('',#169008,#169008,#68775,.T.); #210356=EDGE_CURVE('',#169008,#169009,#94957,.T.); #210357=EDGE_CURVE('',#169009,#169009,#68776,.T.); #210358=EDGE_CURVE('',#169010,#169010,#68777,.T.); #210359=EDGE_CURVE('',#169010,#169011,#94958,.T.); #210360=EDGE_CURVE('',#169011,#169011,#68778,.T.); #210361=EDGE_CURVE('',#169012,#169012,#68779,.T.); #210362=EDGE_CURVE('',#169012,#169013,#94959,.T.); #210363=EDGE_CURVE('',#169013,#169013,#68780,.T.); #210364=EDGE_CURVE('',#169014,#169014,#68781,.T.); #210365=EDGE_CURVE('',#169014,#169015,#94960,.T.); #210366=EDGE_CURVE('',#169015,#169015,#68782,.T.); #210367=EDGE_CURVE('',#169016,#169016,#68783,.T.); #210368=EDGE_CURVE('',#169016,#169017,#94961,.T.); #210369=EDGE_CURVE('',#169017,#169017,#68784,.T.); #210370=EDGE_CURVE('',#169018,#169018,#68785,.T.); #210371=EDGE_CURVE('',#169018,#169019,#94962,.T.); #210372=EDGE_CURVE('',#169019,#169019,#68786,.T.); #210373=EDGE_CURVE('',#169020,#169020,#68787,.T.); #210374=EDGE_CURVE('',#169020,#169021,#94963,.T.); #210375=EDGE_CURVE('',#169021,#169021,#68788,.T.); #210376=EDGE_CURVE('',#169022,#169023,#94964,.T.); #210377=EDGE_CURVE('',#169024,#169022,#94965,.T.); #210378=EDGE_CURVE('',#169025,#169024,#94966,.T.); #210379=EDGE_CURVE('',#169025,#169023,#94967,.T.); #210380=EDGE_CURVE('',#169023,#169026,#68789,.T.); #210381=EDGE_CURVE('',#169027,#169025,#68790,.T.); #210382=EDGE_CURVE('',#169027,#169026,#94968,.T.); #210383=EDGE_CURVE('',#169026,#169028,#94969,.T.); #210384=EDGE_CURVE('',#169029,#169027,#94970,.T.); #210385=EDGE_CURVE('',#169029,#169028,#94971,.T.); #210386=EDGE_CURVE('',#169028,#169022,#68791,.T.); #210387=EDGE_CURVE('',#169024,#169029,#68792,.T.); #210388=EDGE_CURVE('',#169030,#169031,#94972,.T.); #210389=EDGE_CURVE('',#169032,#169030,#94973,.T.); #210390=EDGE_CURVE('',#169033,#169032,#94974,.T.); #210391=EDGE_CURVE('',#169033,#169031,#94975,.T.); #210392=EDGE_CURVE('',#169031,#169034,#68793,.T.); #210393=EDGE_CURVE('',#169035,#169033,#68794,.T.); #210394=EDGE_CURVE('',#169035,#169034,#94976,.T.); #210395=EDGE_CURVE('',#169034,#169036,#94977,.T.); #210396=EDGE_CURVE('',#169037,#169035,#94978,.T.); #210397=EDGE_CURVE('',#169037,#169036,#94979,.T.); #210398=EDGE_CURVE('',#169036,#169030,#68795,.T.); #210399=EDGE_CURVE('',#169032,#169037,#68796,.T.); #210400=EDGE_CURVE('',#169038,#169038,#68797,.T.); #210401=EDGE_CURVE('',#169038,#169039,#94980,.T.); #210402=EDGE_CURVE('',#169039,#169039,#68798,.T.); #210403=EDGE_CURVE('',#169040,#169040,#68799,.T.); #210404=EDGE_CURVE('',#169040,#169041,#94981,.T.); #210405=EDGE_CURVE('',#169041,#169041,#68800,.T.); #210406=EDGE_CURVE('',#169042,#169043,#94982,.T.); #210407=EDGE_CURVE('',#169044,#169042,#94983,.T.); #210408=EDGE_CURVE('',#169045,#169044,#94984,.T.); #210409=EDGE_CURVE('',#169045,#169043,#94985,.T.); #210410=EDGE_CURVE('',#169043,#169046,#68801,.T.); #210411=EDGE_CURVE('',#169047,#169045,#68802,.T.); #210412=EDGE_CURVE('',#169047,#169046,#94986,.T.); #210413=EDGE_CURVE('',#169046,#169048,#94987,.T.); #210414=EDGE_CURVE('',#169049,#169047,#94988,.T.); #210415=EDGE_CURVE('',#169049,#169048,#94989,.T.); #210416=EDGE_CURVE('',#169048,#169042,#68803,.T.); #210417=EDGE_CURVE('',#169044,#169049,#68804,.T.); #210418=EDGE_CURVE('',#169050,#169050,#68805,.T.); #210419=EDGE_CURVE('',#169050,#169051,#94990,.T.); #210420=EDGE_CURVE('',#169051,#169051,#68806,.T.); #210421=EDGE_CURVE('',#169052,#169052,#68807,.T.); #210422=EDGE_CURVE('',#169052,#169053,#94991,.T.); #210423=EDGE_CURVE('',#169053,#169053,#68808,.T.); #210424=EDGE_CURVE('',#169054,#169054,#68809,.T.); #210425=EDGE_CURVE('',#169054,#169055,#94992,.T.); #210426=EDGE_CURVE('',#169055,#169055,#68810,.T.); #210427=EDGE_CURVE('',#169056,#169056,#68811,.T.); #210428=EDGE_CURVE('',#169056,#169057,#94993,.T.); #210429=EDGE_CURVE('',#169057,#169057,#68812,.T.); #210430=EDGE_CURVE('',#169058,#169058,#68813,.T.); #210431=EDGE_CURVE('',#169058,#169059,#94994,.T.); #210432=EDGE_CURVE('',#169059,#169059,#68814,.T.); #210433=EDGE_CURVE('',#169060,#169061,#94995,.T.); #210434=EDGE_CURVE('',#169062,#169060,#94996,.T.); #210435=EDGE_CURVE('',#169063,#169062,#94997,.T.); #210436=EDGE_CURVE('',#169063,#169061,#94998,.T.); #210437=EDGE_CURVE('',#169061,#169064,#68815,.T.); #210438=EDGE_CURVE('',#169065,#169063,#68816,.T.); #210439=EDGE_CURVE('',#169065,#169064,#94999,.T.); #210440=EDGE_CURVE('',#169064,#169066,#95000,.T.); #210441=EDGE_CURVE('',#169067,#169065,#95001,.T.); #210442=EDGE_CURVE('',#169067,#169066,#95002,.T.); #210443=EDGE_CURVE('',#169066,#169060,#68817,.T.); #210444=EDGE_CURVE('',#169062,#169067,#68818,.T.); #210445=EDGE_CURVE('',#169068,#169068,#68819,.T.); #210446=EDGE_CURVE('',#169068,#169069,#95003,.T.); #210447=EDGE_CURVE('',#169069,#169069,#68820,.T.); #210448=EDGE_CURVE('',#169070,#169071,#95004,.T.); #210449=EDGE_CURVE('',#169072,#169070,#95005,.T.); #210450=EDGE_CURVE('',#169073,#169072,#95006,.T.); #210451=EDGE_CURVE('',#169073,#169071,#95007,.T.); #210452=EDGE_CURVE('',#169071,#169074,#68821,.T.); #210453=EDGE_CURVE('',#169075,#169073,#68822,.T.); #210454=EDGE_CURVE('',#169075,#169074,#95008,.T.); #210455=EDGE_CURVE('',#169074,#169076,#95009,.T.); #210456=EDGE_CURVE('',#169077,#169075,#95010,.T.); #210457=EDGE_CURVE('',#169077,#169076,#95011,.T.); #210458=EDGE_CURVE('',#169076,#169070,#68823,.T.); #210459=EDGE_CURVE('',#169072,#169077,#68824,.T.); #210460=EDGE_CURVE('',#169078,#169079,#95012,.T.); #210461=EDGE_CURVE('',#169080,#169078,#95013,.T.); #210462=EDGE_CURVE('',#169081,#169080,#95014,.T.); #210463=EDGE_CURVE('',#169081,#169079,#95015,.T.); #210464=EDGE_CURVE('',#169079,#169082,#68825,.T.); #210465=EDGE_CURVE('',#169083,#169081,#68826,.T.); #210466=EDGE_CURVE('',#169083,#169082,#95016,.T.); #210467=EDGE_CURVE('',#169082,#169084,#95017,.T.); #210468=EDGE_CURVE('',#169085,#169083,#95018,.T.); #210469=EDGE_CURVE('',#169085,#169084,#95019,.T.); #210470=EDGE_CURVE('',#169084,#169078,#68827,.T.); #210471=EDGE_CURVE('',#169080,#169085,#68828,.T.); #210472=EDGE_CURVE('',#169086,#169086,#68829,.T.); #210473=EDGE_CURVE('',#169086,#169087,#95020,.T.); #210474=EDGE_CURVE('',#169087,#169087,#68830,.T.); #210475=EDGE_CURVE('',#169088,#169088,#68831,.T.); #210476=EDGE_CURVE('',#169088,#169089,#95021,.T.); #210477=EDGE_CURVE('',#169089,#169089,#68832,.T.); #210478=EDGE_CURVE('',#169090,#169090,#68833,.T.); #210479=EDGE_CURVE('',#169090,#169091,#95022,.T.); #210480=EDGE_CURVE('',#169091,#169091,#68834,.T.); #210481=EDGE_CURVE('',#169092,#169092,#68835,.T.); #210482=EDGE_CURVE('',#169092,#169093,#95023,.T.); #210483=EDGE_CURVE('',#169093,#169093,#68836,.T.); #210484=EDGE_CURVE('',#169094,#169094,#68837,.T.); #210485=EDGE_CURVE('',#169094,#169095,#95024,.T.); #210486=EDGE_CURVE('',#169095,#169095,#68838,.T.); #210487=EDGE_CURVE('',#169096,#169096,#68839,.T.); #210488=EDGE_CURVE('',#169096,#169097,#95025,.T.); #210489=EDGE_CURVE('',#169097,#169097,#68840,.T.); #210490=EDGE_CURVE('',#169098,#169098,#68841,.T.); #210491=EDGE_CURVE('',#169098,#169099,#95026,.T.); #210492=EDGE_CURVE('',#169099,#169099,#68842,.T.); #210493=EDGE_CURVE('',#169100,#169100,#68843,.T.); #210494=EDGE_CURVE('',#169100,#169101,#95027,.T.); #210495=EDGE_CURVE('',#169101,#169101,#68844,.T.); #210496=EDGE_CURVE('',#169102,#169102,#68845,.T.); #210497=EDGE_CURVE('',#169102,#169103,#95028,.T.); #210498=EDGE_CURVE('',#169103,#169103,#68846,.T.); #210499=EDGE_CURVE('',#169104,#169104,#68847,.T.); #210500=EDGE_CURVE('',#169104,#169105,#95029,.T.); #210501=EDGE_CURVE('',#169105,#169105,#68848,.T.); #210502=EDGE_CURVE('',#169106,#169106,#68849,.T.); #210503=EDGE_CURVE('',#169106,#169107,#95030,.T.); #210504=EDGE_CURVE('',#169107,#169107,#68850,.T.); #210505=EDGE_CURVE('',#169108,#169108,#68851,.T.); #210506=EDGE_CURVE('',#169108,#169109,#95031,.T.); #210507=EDGE_CURVE('',#169109,#169109,#68852,.T.); #210508=EDGE_CURVE('',#169110,#169110,#68853,.T.); #210509=EDGE_CURVE('',#169110,#169111,#95032,.T.); #210510=EDGE_CURVE('',#169111,#169111,#68854,.T.); #210511=EDGE_CURVE('',#169112,#169112,#68855,.T.); #210512=EDGE_CURVE('',#169112,#169113,#95033,.T.); #210513=EDGE_CURVE('',#169113,#169113,#68856,.T.); #210514=EDGE_CURVE('',#169114,#169114,#68857,.T.); #210515=EDGE_CURVE('',#169114,#169115,#95034,.T.); #210516=EDGE_CURVE('',#169115,#169115,#68858,.T.); #210517=EDGE_CURVE('',#169116,#169116,#68859,.T.); #210518=EDGE_CURVE('',#169116,#169117,#95035,.T.); #210519=EDGE_CURVE('',#169117,#169117,#68860,.T.); #210520=EDGE_CURVE('',#169118,#169118,#68861,.T.); #210521=EDGE_CURVE('',#169118,#169119,#95036,.T.); #210522=EDGE_CURVE('',#169119,#169119,#68862,.T.); #210523=EDGE_CURVE('',#169120,#169120,#68863,.T.); #210524=EDGE_CURVE('',#169120,#169121,#95037,.T.); #210525=EDGE_CURVE('',#169121,#169121,#68864,.T.); #210526=EDGE_CURVE('',#169122,#169122,#68865,.T.); #210527=EDGE_CURVE('',#169122,#169123,#95038,.T.); #210528=EDGE_CURVE('',#169123,#169123,#68866,.T.); #210529=EDGE_CURVE('',#169124,#169124,#68867,.T.); #210530=EDGE_CURVE('',#169124,#169125,#95039,.T.); #210531=EDGE_CURVE('',#169125,#169125,#68868,.T.); #210532=EDGE_CURVE('',#169126,#169126,#68869,.T.); #210533=EDGE_CURVE('',#169126,#169127,#95040,.T.); #210534=EDGE_CURVE('',#169127,#169127,#68870,.T.); #210535=EDGE_CURVE('',#169128,#169128,#68871,.T.); #210536=EDGE_CURVE('',#169128,#169129,#95041,.T.); #210537=EDGE_CURVE('',#169129,#169129,#68872,.T.); #210538=EDGE_CURVE('',#169130,#169130,#68873,.T.); #210539=EDGE_CURVE('',#169130,#169131,#95042,.T.); #210540=EDGE_CURVE('',#169131,#169131,#68874,.T.); #210541=EDGE_CURVE('',#169132,#169132,#68875,.T.); #210542=EDGE_CURVE('',#169132,#169133,#95043,.T.); #210543=EDGE_CURVE('',#169133,#169133,#68876,.T.); #210544=EDGE_CURVE('',#169134,#169134,#68877,.T.); #210545=EDGE_CURVE('',#169134,#169135,#95044,.T.); #210546=EDGE_CURVE('',#169135,#169135,#68878,.T.); #210547=EDGE_CURVE('',#169136,#169136,#68879,.T.); #210548=EDGE_CURVE('',#169136,#169137,#95045,.T.); #210549=EDGE_CURVE('',#169137,#169137,#68880,.T.); #210550=EDGE_CURVE('',#169138,#169138,#68881,.T.); #210551=EDGE_CURVE('',#169138,#169139,#95046,.T.); #210552=EDGE_CURVE('',#169139,#169139,#68882,.T.); #210553=EDGE_CURVE('',#169140,#169140,#68883,.T.); #210554=EDGE_CURVE('',#169140,#169141,#95047,.T.); #210555=EDGE_CURVE('',#169141,#169141,#68884,.T.); #210556=EDGE_CURVE('',#169142,#169142,#68885,.T.); #210557=EDGE_CURVE('',#169142,#169143,#95048,.T.); #210558=EDGE_CURVE('',#169143,#169143,#68886,.T.); #210559=EDGE_CURVE('',#169144,#169144,#68887,.T.); #210560=EDGE_CURVE('',#169144,#169145,#95049,.T.); #210561=EDGE_CURVE('',#169145,#169145,#68888,.T.); #210562=EDGE_CURVE('',#169146,#169146,#68889,.T.); #210563=EDGE_CURVE('',#169146,#169147,#95050,.T.); #210564=EDGE_CURVE('',#169147,#169147,#68890,.T.); #210565=EDGE_CURVE('',#169148,#169148,#68891,.T.); #210566=EDGE_CURVE('',#169148,#169149,#95051,.T.); #210567=EDGE_CURVE('',#169149,#169149,#68892,.T.); #210568=EDGE_CURVE('',#169150,#169150,#68893,.T.); #210569=EDGE_CURVE('',#169150,#169151,#95052,.T.); #210570=EDGE_CURVE('',#169151,#169151,#68894,.T.); #210571=EDGE_CURVE('',#169152,#169152,#68895,.T.); #210572=EDGE_CURVE('',#169152,#169153,#95053,.T.); #210573=EDGE_CURVE('',#169153,#169153,#68896,.T.); #210574=EDGE_CURVE('',#169154,#169154,#68897,.T.); #210575=EDGE_CURVE('',#169154,#169155,#95054,.T.); #210576=EDGE_CURVE('',#169155,#169155,#68898,.T.); #210577=EDGE_CURVE('',#169156,#169156,#68899,.T.); #210578=EDGE_CURVE('',#169156,#169157,#95055,.T.); #210579=EDGE_CURVE('',#169157,#169157,#68900,.T.); #210580=EDGE_CURVE('',#169158,#169158,#68901,.T.); #210581=EDGE_CURVE('',#169158,#169159,#95056,.T.); #210582=EDGE_CURVE('',#169159,#169159,#68902,.T.); #210583=EDGE_CURVE('',#169160,#169160,#68903,.T.); #210584=EDGE_CURVE('',#169160,#169161,#95057,.T.); #210585=EDGE_CURVE('',#169161,#169161,#68904,.T.); #210586=EDGE_CURVE('',#169162,#169162,#68905,.T.); #210587=EDGE_CURVE('',#169162,#169163,#95058,.T.); #210588=EDGE_CURVE('',#169163,#169163,#68906,.T.); #210589=EDGE_CURVE('',#169164,#169164,#68907,.T.); #210590=EDGE_CURVE('',#169164,#169165,#95059,.T.); #210591=EDGE_CURVE('',#169165,#169165,#68908,.T.); #210592=EDGE_CURVE('',#169166,#169166,#68909,.T.); #210593=EDGE_CURVE('',#169166,#169167,#95060,.T.); #210594=EDGE_CURVE('',#169167,#169167,#68910,.T.); #210595=EDGE_CURVE('',#169168,#169168,#68911,.T.); #210596=EDGE_CURVE('',#169168,#169169,#95061,.T.); #210597=EDGE_CURVE('',#169169,#169169,#68912,.T.); #210598=EDGE_CURVE('',#169170,#169170,#68913,.T.); #210599=EDGE_CURVE('',#169170,#169171,#95062,.T.); #210600=EDGE_CURVE('',#169171,#169171,#68914,.T.); #210601=EDGE_CURVE('',#169172,#169172,#68915,.T.); #210602=EDGE_CURVE('',#169172,#169173,#95063,.T.); #210603=EDGE_CURVE('',#169173,#169173,#68916,.T.); #210604=EDGE_CURVE('',#169174,#169174,#68917,.T.); #210605=EDGE_CURVE('',#169174,#169175,#95064,.T.); #210606=EDGE_CURVE('',#169175,#169175,#68918,.T.); #210607=EDGE_CURVE('',#169176,#169176,#68919,.T.); #210608=EDGE_CURVE('',#169176,#169177,#95065,.T.); #210609=EDGE_CURVE('',#169177,#169177,#68920,.T.); #210610=EDGE_CURVE('',#169178,#169178,#68921,.T.); #210611=EDGE_CURVE('',#169178,#169179,#95066,.T.); #210612=EDGE_CURVE('',#169179,#169179,#68922,.T.); #210613=EDGE_CURVE('',#169180,#169180,#68923,.T.); #210614=EDGE_CURVE('',#169180,#169181,#95067,.T.); #210615=EDGE_CURVE('',#169181,#169181,#68924,.T.); #210616=EDGE_CURVE('',#169182,#169182,#68925,.T.); #210617=EDGE_CURVE('',#169182,#169183,#95068,.T.); #210618=EDGE_CURVE('',#169183,#169183,#68926,.T.); #210619=EDGE_CURVE('',#169184,#169184,#68927,.T.); #210620=EDGE_CURVE('',#169184,#169185,#95069,.T.); #210621=EDGE_CURVE('',#169185,#169185,#68928,.T.); #210622=EDGE_CURVE('',#169186,#169186,#68929,.T.); #210623=EDGE_CURVE('',#169186,#169187,#95070,.T.); #210624=EDGE_CURVE('',#169187,#169187,#68930,.T.); #210625=EDGE_CURVE('',#169188,#169188,#68931,.T.); #210626=EDGE_CURVE('',#169188,#169189,#95071,.T.); #210627=EDGE_CURVE('',#169189,#169189,#68932,.T.); #210628=EDGE_CURVE('',#169190,#169191,#95072,.T.); #210629=EDGE_CURVE('',#169191,#169192,#95073,.T.); #210630=EDGE_CURVE('',#169193,#169192,#95074,.T.); #210631=EDGE_CURVE('',#169190,#169193,#95075,.T.); #210632=EDGE_CURVE('',#169194,#169190,#95076,.T.); #210633=EDGE_CURVE('',#169195,#169193,#95077,.T.); #210634=EDGE_CURVE('',#169194,#169195,#95078,.T.); #210635=EDGE_CURVE('',#169196,#169194,#95079,.T.); #210636=EDGE_CURVE('',#169197,#169195,#95080,.T.); #210637=EDGE_CURVE('',#169196,#169197,#95081,.T.); #210638=EDGE_CURVE('',#169198,#169196,#95082,.T.); #210639=EDGE_CURVE('',#169199,#169197,#95083,.T.); #210640=EDGE_CURVE('',#169198,#169199,#95084,.T.); #210641=EDGE_CURVE('',#169200,#169198,#95085,.T.); #210642=EDGE_CURVE('',#169201,#169199,#95086,.T.); #210643=EDGE_CURVE('',#169200,#169201,#95087,.T.); #210644=EDGE_CURVE('',#169202,#169200,#95088,.T.); #210645=EDGE_CURVE('',#169203,#169201,#95089,.T.); #210646=EDGE_CURVE('',#169202,#169203,#95090,.T.); #210647=EDGE_CURVE('',#169204,#169202,#95091,.T.); #210648=EDGE_CURVE('',#169205,#169203,#95092,.T.); #210649=EDGE_CURVE('',#169204,#169205,#95093,.T.); #210650=EDGE_CURVE('',#169206,#169204,#95094,.T.); #210651=EDGE_CURVE('',#169207,#169205,#95095,.T.); #210652=EDGE_CURVE('',#169206,#169207,#95096,.T.); #210653=EDGE_CURVE('',#169208,#169206,#95097,.T.); #210654=EDGE_CURVE('',#169209,#169207,#95098,.T.); #210655=EDGE_CURVE('',#169208,#169209,#95099,.T.); #210656=EDGE_CURVE('',#169210,#169208,#95100,.T.); #210657=EDGE_CURVE('',#169211,#169209,#95101,.T.); #210658=EDGE_CURVE('',#169210,#169211,#95102,.T.); #210659=EDGE_CURVE('',#169212,#169210,#95103,.T.); #210660=EDGE_CURVE('',#169213,#169211,#95104,.T.); #210661=EDGE_CURVE('',#169212,#169213,#95105,.T.); #210662=EDGE_CURVE('',#169214,#169212,#95106,.T.); #210663=EDGE_CURVE('',#169215,#169213,#95107,.T.); #210664=EDGE_CURVE('',#169214,#169215,#95108,.T.); #210665=EDGE_CURVE('',#169216,#169214,#95109,.T.); #210666=EDGE_CURVE('',#169217,#169215,#95110,.T.); #210667=EDGE_CURVE('',#169216,#169217,#95111,.T.); #210668=EDGE_CURVE('',#169218,#169216,#95112,.T.); #210669=EDGE_CURVE('',#169219,#169217,#95113,.T.); #210670=EDGE_CURVE('',#169218,#169219,#95114,.T.); #210671=EDGE_CURVE('',#169220,#169218,#95115,.T.); #210672=EDGE_CURVE('',#169221,#169219,#95116,.T.); #210673=EDGE_CURVE('',#169220,#169221,#95117,.T.); #210674=EDGE_CURVE('',#169222,#169220,#95118,.T.); #210675=EDGE_CURVE('',#169223,#169221,#95119,.T.); #210676=EDGE_CURVE('',#169222,#169223,#95120,.T.); #210677=EDGE_CURVE('',#169224,#169222,#95121,.T.); #210678=EDGE_CURVE('',#169225,#169223,#95122,.T.); #210679=EDGE_CURVE('',#169224,#169225,#95123,.T.); #210680=EDGE_CURVE('',#169226,#169224,#95124,.T.); #210681=EDGE_CURVE('',#169227,#169225,#95125,.T.); #210682=EDGE_CURVE('',#169226,#169227,#95126,.T.); #210683=EDGE_CURVE('',#169228,#169226,#95127,.T.); #210684=EDGE_CURVE('',#169229,#169227,#95128,.T.); #210685=EDGE_CURVE('',#169228,#169229,#95129,.T.); #210686=EDGE_CURVE('',#169230,#169228,#95130,.T.); #210687=EDGE_CURVE('',#169231,#169229,#95131,.T.); #210688=EDGE_CURVE('',#169230,#169231,#95132,.T.); #210689=EDGE_CURVE('',#169232,#169230,#95133,.T.); #210690=EDGE_CURVE('',#169233,#169231,#95134,.T.); #210691=EDGE_CURVE('',#169232,#169233,#95135,.T.); #210692=EDGE_CURVE('',#169234,#169232,#95136,.T.); #210693=EDGE_CURVE('',#169235,#169233,#95137,.T.); #210694=EDGE_CURVE('',#169234,#169235,#95138,.T.); #210695=EDGE_CURVE('',#169236,#169234,#95139,.T.); #210696=EDGE_CURVE('',#169237,#169235,#95140,.T.); #210697=EDGE_CURVE('',#169236,#169237,#95141,.T.); #210698=EDGE_CURVE('',#169238,#169236,#95142,.T.); #210699=EDGE_CURVE('',#169239,#169237,#95143,.T.); #210700=EDGE_CURVE('',#169238,#169239,#95144,.T.); #210701=EDGE_CURVE('',#169240,#169238,#95145,.T.); #210702=EDGE_CURVE('',#169241,#169239,#95146,.T.); #210703=EDGE_CURVE('',#169240,#169241,#95147,.T.); #210704=EDGE_CURVE('',#169242,#169240,#95148,.T.); #210705=EDGE_CURVE('',#169243,#169241,#95149,.T.); #210706=EDGE_CURVE('',#169242,#169243,#95150,.T.); #210707=EDGE_CURVE('',#169244,#169242,#95151,.T.); #210708=EDGE_CURVE('',#169245,#169243,#95152,.T.); #210709=EDGE_CURVE('',#169244,#169245,#95153,.T.); #210710=EDGE_CURVE('',#169246,#169244,#95154,.T.); #210711=EDGE_CURVE('',#169247,#169245,#95155,.T.); #210712=EDGE_CURVE('',#169246,#169247,#95156,.T.); #210713=EDGE_CURVE('',#169248,#169246,#95157,.T.); #210714=EDGE_CURVE('',#169249,#169247,#95158,.T.); #210715=EDGE_CURVE('',#169248,#169249,#95159,.T.); #210716=EDGE_CURVE('',#169250,#169248,#95160,.T.); #210717=EDGE_CURVE('',#169251,#169249,#95161,.T.); #210718=EDGE_CURVE('',#169250,#169251,#95162,.T.); #210719=EDGE_CURVE('',#169252,#169250,#95163,.T.); #210720=EDGE_CURVE('',#169253,#169251,#95164,.T.); #210721=EDGE_CURVE('',#169252,#169253,#95165,.T.); #210722=EDGE_CURVE('',#169254,#169252,#95166,.T.); #210723=EDGE_CURVE('',#169255,#169253,#95167,.T.); #210724=EDGE_CURVE('',#169254,#169255,#95168,.T.); #210725=EDGE_CURVE('',#169256,#169254,#95169,.T.); #210726=EDGE_CURVE('',#169257,#169255,#95170,.T.); #210727=EDGE_CURVE('',#169256,#169257,#95171,.T.); #210728=EDGE_CURVE('',#169258,#169256,#95172,.T.); #210729=EDGE_CURVE('',#169259,#169257,#95173,.T.); #210730=EDGE_CURVE('',#169258,#169259,#95174,.T.); #210731=EDGE_CURVE('',#169260,#169258,#95175,.T.); #210732=EDGE_CURVE('',#169261,#169259,#95176,.T.); #210733=EDGE_CURVE('',#169260,#169261,#95177,.T.); #210734=EDGE_CURVE('',#169262,#169260,#95178,.T.); #210735=EDGE_CURVE('',#169263,#169261,#95179,.T.); #210736=EDGE_CURVE('',#169262,#169263,#95180,.T.); #210737=EDGE_CURVE('',#169264,#169262,#95181,.T.); #210738=EDGE_CURVE('',#169265,#169263,#95182,.T.); #210739=EDGE_CURVE('',#169264,#169265,#95183,.T.); #210740=EDGE_CURVE('',#169266,#169264,#95184,.T.); #210741=EDGE_CURVE('',#169267,#169265,#95185,.T.); #210742=EDGE_CURVE('',#169266,#169267,#95186,.T.); #210743=EDGE_CURVE('',#169268,#169266,#95187,.T.); #210744=EDGE_CURVE('',#169269,#169267,#95188,.T.); #210745=EDGE_CURVE('',#169268,#169269,#95189,.T.); #210746=EDGE_CURVE('',#169270,#169268,#95190,.T.); #210747=EDGE_CURVE('',#169271,#169269,#95191,.T.); #210748=EDGE_CURVE('',#169270,#169271,#95192,.T.); #210749=EDGE_CURVE('',#169272,#169270,#95193,.T.); #210750=EDGE_CURVE('',#169273,#169271,#95194,.T.); #210751=EDGE_CURVE('',#169272,#169273,#95195,.T.); #210752=EDGE_CURVE('',#169274,#169272,#95196,.T.); #210753=EDGE_CURVE('',#169275,#169273,#95197,.T.); #210754=EDGE_CURVE('',#169274,#169275,#95198,.T.); #210755=EDGE_CURVE('',#169276,#169274,#95199,.T.); #210756=EDGE_CURVE('',#169277,#169275,#95200,.T.); #210757=EDGE_CURVE('',#169276,#169277,#95201,.T.); #210758=EDGE_CURVE('',#169278,#169276,#95202,.T.); #210759=EDGE_CURVE('',#169279,#169277,#95203,.T.); #210760=EDGE_CURVE('',#169278,#169279,#95204,.T.); #210761=EDGE_CURVE('',#169280,#169278,#95205,.T.); #210762=EDGE_CURVE('',#169281,#169279,#95206,.T.); #210763=EDGE_CURVE('',#169280,#169281,#95207,.T.); #210764=EDGE_CURVE('',#169282,#169280,#95208,.T.); #210765=EDGE_CURVE('',#169283,#169281,#95209,.T.); #210766=EDGE_CURVE('',#169282,#169283,#95210,.T.); #210767=EDGE_CURVE('',#169284,#169282,#95211,.T.); #210768=EDGE_CURVE('',#169285,#169283,#95212,.T.); #210769=EDGE_CURVE('',#169284,#169285,#95213,.T.); #210770=EDGE_CURVE('',#169286,#169284,#95214,.T.); #210771=EDGE_CURVE('',#169287,#169285,#95215,.T.); #210772=EDGE_CURVE('',#169286,#169287,#95216,.T.); #210773=EDGE_CURVE('',#169288,#169286,#95217,.T.); #210774=EDGE_CURVE('',#169289,#169287,#95218,.T.); #210775=EDGE_CURVE('',#169288,#169289,#95219,.T.); #210776=EDGE_CURVE('',#169290,#169288,#95220,.T.); #210777=EDGE_CURVE('',#169291,#169289,#95221,.T.); #210778=EDGE_CURVE('',#169290,#169291,#95222,.T.); #210779=EDGE_CURVE('',#169292,#169290,#95223,.T.); #210780=EDGE_CURVE('',#169293,#169291,#95224,.T.); #210781=EDGE_CURVE('',#169292,#169293,#95225,.T.); #210782=EDGE_CURVE('',#169294,#169292,#95226,.T.); #210783=EDGE_CURVE('',#169295,#169293,#95227,.T.); #210784=EDGE_CURVE('',#169294,#169295,#95228,.T.); #210785=EDGE_CURVE('',#169296,#169294,#95229,.T.); #210786=EDGE_CURVE('',#169297,#169295,#95230,.T.); #210787=EDGE_CURVE('',#169296,#169297,#95231,.T.); #210788=EDGE_CURVE('',#169298,#169296,#95232,.T.); #210789=EDGE_CURVE('',#169299,#169297,#95233,.T.); #210790=EDGE_CURVE('',#169298,#169299,#95234,.T.); #210791=EDGE_CURVE('',#169300,#169298,#95235,.T.); #210792=EDGE_CURVE('',#169301,#169299,#95236,.T.); #210793=EDGE_CURVE('',#169300,#169301,#95237,.T.); #210794=EDGE_CURVE('',#169302,#169300,#95238,.T.); #210795=EDGE_CURVE('',#169303,#169301,#95239,.T.); #210796=EDGE_CURVE('',#169302,#169303,#95240,.T.); #210797=EDGE_CURVE('',#169304,#169302,#95241,.T.); #210798=EDGE_CURVE('',#169305,#169303,#95242,.T.); #210799=EDGE_CURVE('',#169304,#169305,#95243,.T.); #210800=EDGE_CURVE('',#169306,#169304,#95244,.T.); #210801=EDGE_CURVE('',#169307,#169305,#95245,.T.); #210802=EDGE_CURVE('',#169306,#169307,#95246,.T.); #210803=EDGE_CURVE('',#169308,#169306,#95247,.T.); #210804=EDGE_CURVE('',#169309,#169307,#95248,.T.); #210805=EDGE_CURVE('',#169308,#169309,#95249,.T.); #210806=EDGE_CURVE('',#169310,#169308,#95250,.T.); #210807=EDGE_CURVE('',#169311,#169309,#95251,.T.); #210808=EDGE_CURVE('',#169310,#169311,#95252,.T.); #210809=EDGE_CURVE('',#169312,#169310,#95253,.T.); #210810=EDGE_CURVE('',#169313,#169311,#95254,.T.); #210811=EDGE_CURVE('',#169312,#169313,#95255,.T.); #210812=EDGE_CURVE('',#169314,#169312,#95256,.T.); #210813=EDGE_CURVE('',#169315,#169313,#95257,.T.); #210814=EDGE_CURVE('',#169314,#169315,#95258,.T.); #210815=EDGE_CURVE('',#169316,#169314,#95259,.T.); #210816=EDGE_CURVE('',#169317,#169315,#95260,.T.); #210817=EDGE_CURVE('',#169316,#169317,#95261,.T.); #210818=EDGE_CURVE('',#169318,#169316,#95262,.T.); #210819=EDGE_CURVE('',#169319,#169317,#95263,.T.); #210820=EDGE_CURVE('',#169318,#169319,#95264,.T.); #210821=EDGE_CURVE('',#169320,#169318,#95265,.T.); #210822=EDGE_CURVE('',#169321,#169319,#95266,.T.); #210823=EDGE_CURVE('',#169320,#169321,#95267,.T.); #210824=EDGE_CURVE('',#169322,#169320,#95268,.T.); #210825=EDGE_CURVE('',#169323,#169321,#95269,.T.); #210826=EDGE_CURVE('',#169322,#169323,#95270,.T.); #210827=EDGE_CURVE('',#169324,#169322,#95271,.T.); #210828=EDGE_CURVE('',#169325,#169323,#95272,.T.); #210829=EDGE_CURVE('',#169324,#169325,#95273,.T.); #210830=EDGE_CURVE('',#169326,#169324,#95274,.T.); #210831=EDGE_CURVE('',#169327,#169325,#95275,.T.); #210832=EDGE_CURVE('',#169326,#169327,#95276,.T.); #210833=EDGE_CURVE('',#169328,#169326,#95277,.T.); #210834=EDGE_CURVE('',#169329,#169327,#95278,.T.); #210835=EDGE_CURVE('',#169328,#169329,#95279,.T.); #210836=EDGE_CURVE('',#169330,#169328,#95280,.T.); #210837=EDGE_CURVE('',#169331,#169329,#95281,.T.); #210838=EDGE_CURVE('',#169330,#169331,#95282,.T.); #210839=EDGE_CURVE('',#169332,#169330,#95283,.T.); #210840=EDGE_CURVE('',#169333,#169331,#95284,.T.); #210841=EDGE_CURVE('',#169332,#169333,#95285,.T.); #210842=EDGE_CURVE('',#169334,#169332,#95286,.T.); #210843=EDGE_CURVE('',#169335,#169333,#95287,.T.); #210844=EDGE_CURVE('',#169334,#169335,#95288,.T.); #210845=EDGE_CURVE('',#169336,#169334,#95289,.T.); #210846=EDGE_CURVE('',#169337,#169335,#95290,.T.); #210847=EDGE_CURVE('',#169336,#169337,#95291,.T.); #210848=EDGE_CURVE('',#169338,#169336,#95292,.T.); #210849=EDGE_CURVE('',#169339,#169337,#95293,.T.); #210850=EDGE_CURVE('',#169338,#169339,#95294,.T.); #210851=EDGE_CURVE('',#169340,#169338,#95295,.T.); #210852=EDGE_CURVE('',#169341,#169339,#95296,.T.); #210853=EDGE_CURVE('',#169340,#169341,#95297,.T.); #210854=EDGE_CURVE('',#169342,#169340,#95298,.T.); #210855=EDGE_CURVE('',#169343,#169341,#95299,.T.); #210856=EDGE_CURVE('',#169342,#169343,#95300,.T.); #210857=EDGE_CURVE('',#169344,#169342,#95301,.T.); #210858=EDGE_CURVE('',#169345,#169343,#95302,.T.); #210859=EDGE_CURVE('',#169344,#169345,#95303,.T.); #210860=EDGE_CURVE('',#169346,#169344,#95304,.T.); #210861=EDGE_CURVE('',#169347,#169345,#95305,.T.); #210862=EDGE_CURVE('',#169346,#169347,#95306,.T.); #210863=EDGE_CURVE('',#169348,#169346,#95307,.T.); #210864=EDGE_CURVE('',#169349,#169347,#95308,.T.); #210865=EDGE_CURVE('',#169348,#169349,#95309,.T.); #210866=EDGE_CURVE('',#169350,#169348,#95310,.T.); #210867=EDGE_CURVE('',#169351,#169349,#95311,.T.); #210868=EDGE_CURVE('',#169350,#169351,#95312,.T.); #210869=EDGE_CURVE('',#169352,#169350,#95313,.T.); #210870=EDGE_CURVE('',#169353,#169351,#95314,.T.); #210871=EDGE_CURVE('',#169352,#169353,#95315,.T.); #210872=EDGE_CURVE('',#169354,#169352,#95316,.T.); #210873=EDGE_CURVE('',#169355,#169353,#95317,.T.); #210874=EDGE_CURVE('',#169354,#169355,#95318,.T.); #210875=EDGE_CURVE('',#169356,#169354,#95319,.T.); #210876=EDGE_CURVE('',#169357,#169355,#95320,.T.); #210877=EDGE_CURVE('',#169356,#169357,#95321,.T.); #210878=EDGE_CURVE('',#169358,#169356,#95322,.T.); #210879=EDGE_CURVE('',#169359,#169357,#95323,.T.); #210880=EDGE_CURVE('',#169358,#169359,#95324,.T.); #210881=EDGE_CURVE('',#169360,#169358,#95325,.T.); #210882=EDGE_CURVE('',#169361,#169359,#95326,.T.); #210883=EDGE_CURVE('',#169360,#169361,#95327,.T.); #210884=EDGE_CURVE('',#169362,#169360,#95328,.T.); #210885=EDGE_CURVE('',#169363,#169361,#95329,.T.); #210886=EDGE_CURVE('',#169362,#169363,#95330,.T.); #210887=EDGE_CURVE('',#169364,#169362,#95331,.T.); #210888=EDGE_CURVE('',#169365,#169363,#95332,.T.); #210889=EDGE_CURVE('',#169364,#169365,#95333,.T.); #210890=EDGE_CURVE('',#169366,#169364,#95334,.T.); #210891=EDGE_CURVE('',#169367,#169365,#95335,.T.); #210892=EDGE_CURVE('',#169366,#169367,#95336,.T.); #210893=EDGE_CURVE('',#169368,#169366,#95337,.T.); #210894=EDGE_CURVE('',#169369,#169367,#95338,.T.); #210895=EDGE_CURVE('',#169368,#169369,#95339,.T.); #210896=EDGE_CURVE('',#169370,#169368,#95340,.T.); #210897=EDGE_CURVE('',#169371,#169369,#95341,.T.); #210898=EDGE_CURVE('',#169370,#169371,#95342,.T.); #210899=EDGE_CURVE('',#169372,#169370,#95343,.T.); #210900=EDGE_CURVE('',#169373,#169371,#95344,.T.); #210901=EDGE_CURVE('',#169372,#169373,#95345,.T.); #210902=EDGE_CURVE('',#169374,#169372,#95346,.T.); #210903=EDGE_CURVE('',#169375,#169373,#95347,.T.); #210904=EDGE_CURVE('',#169374,#169375,#95348,.T.); #210905=EDGE_CURVE('',#169376,#169374,#95349,.T.); #210906=EDGE_CURVE('',#169377,#169375,#95350,.T.); #210907=EDGE_CURVE('',#169376,#169377,#95351,.T.); #210908=EDGE_CURVE('',#169378,#169376,#95352,.T.); #210909=EDGE_CURVE('',#169379,#169377,#95353,.T.); #210910=EDGE_CURVE('',#169378,#169379,#95354,.T.); #210911=EDGE_CURVE('',#169380,#169378,#95355,.T.); #210912=EDGE_CURVE('',#169381,#169379,#95356,.T.); #210913=EDGE_CURVE('',#169380,#169381,#95357,.T.); #210914=EDGE_CURVE('',#169382,#169380,#95358,.T.); #210915=EDGE_CURVE('',#169383,#169381,#95359,.T.); #210916=EDGE_CURVE('',#169382,#169383,#95360,.T.); #210917=EDGE_CURVE('',#169384,#169382,#95361,.T.); #210918=EDGE_CURVE('',#169385,#169383,#95362,.T.); #210919=EDGE_CURVE('',#169384,#169385,#95363,.T.); #210920=EDGE_CURVE('',#169386,#169384,#95364,.T.); #210921=EDGE_CURVE('',#169387,#169385,#95365,.T.); #210922=EDGE_CURVE('',#169386,#169387,#95366,.T.); #210923=EDGE_CURVE('',#169388,#169386,#95367,.T.); #210924=EDGE_CURVE('',#169389,#169387,#95368,.T.); #210925=EDGE_CURVE('',#169388,#169389,#95369,.T.); #210926=EDGE_CURVE('',#169390,#169388,#95370,.T.); #210927=EDGE_CURVE('',#169391,#169389,#95371,.T.); #210928=EDGE_CURVE('',#169390,#169391,#95372,.T.); #210929=EDGE_CURVE('',#169392,#169390,#95373,.T.); #210930=EDGE_CURVE('',#169393,#169391,#95374,.T.); #210931=EDGE_CURVE('',#169392,#169393,#95375,.T.); #210932=EDGE_CURVE('',#169394,#169392,#95376,.T.); #210933=EDGE_CURVE('',#169395,#169393,#95377,.T.); #210934=EDGE_CURVE('',#169394,#169395,#95378,.T.); #210935=EDGE_CURVE('',#169396,#169394,#95379,.T.); #210936=EDGE_CURVE('',#169397,#169395,#95380,.T.); #210937=EDGE_CURVE('',#169396,#169397,#95381,.T.); #210938=EDGE_CURVE('',#169398,#169396,#95382,.T.); #210939=EDGE_CURVE('',#169399,#169397,#95383,.T.); #210940=EDGE_CURVE('',#169398,#169399,#95384,.T.); #210941=EDGE_CURVE('',#169400,#169398,#95385,.T.); #210942=EDGE_CURVE('',#169401,#169399,#95386,.T.); #210943=EDGE_CURVE('',#169400,#169401,#95387,.T.); #210944=EDGE_CURVE('',#169402,#169400,#95388,.T.); #210945=EDGE_CURVE('',#169403,#169401,#95389,.T.); #210946=EDGE_CURVE('',#169402,#169403,#95390,.T.); #210947=EDGE_CURVE('',#169404,#169402,#95391,.T.); #210948=EDGE_CURVE('',#169405,#169403,#95392,.T.); #210949=EDGE_CURVE('',#169404,#169405,#95393,.T.); #210950=EDGE_CURVE('',#169406,#169404,#95394,.T.); #210951=EDGE_CURVE('',#169407,#169405,#95395,.T.); #210952=EDGE_CURVE('',#169406,#169407,#95396,.T.); #210953=EDGE_CURVE('',#169408,#169406,#95397,.T.); #210954=EDGE_CURVE('',#169409,#169407,#95398,.T.); #210955=EDGE_CURVE('',#169408,#169409,#95399,.T.); #210956=EDGE_CURVE('',#169410,#169408,#95400,.T.); #210957=EDGE_CURVE('',#169411,#169409,#95401,.T.); #210958=EDGE_CURVE('',#169410,#169411,#95402,.T.); #210959=EDGE_CURVE('',#169412,#169410,#95403,.T.); #210960=EDGE_CURVE('',#169413,#169411,#95404,.T.); #210961=EDGE_CURVE('',#169412,#169413,#95405,.T.); #210962=EDGE_CURVE('',#169414,#169412,#95406,.T.); #210963=EDGE_CURVE('',#169415,#169413,#95407,.T.); #210964=EDGE_CURVE('',#169414,#169415,#95408,.T.); #210965=EDGE_CURVE('',#169416,#169414,#95409,.T.); #210966=EDGE_CURVE('',#169417,#169415,#95410,.T.); #210967=EDGE_CURVE('',#169416,#169417,#95411,.T.); #210968=EDGE_CURVE('',#169418,#169416,#95412,.T.); #210969=EDGE_CURVE('',#169419,#169417,#95413,.T.); #210970=EDGE_CURVE('',#169418,#169419,#95414,.T.); #210971=EDGE_CURVE('',#169420,#169418,#95415,.T.); #210972=EDGE_CURVE('',#169421,#169419,#95416,.T.); #210973=EDGE_CURVE('',#169420,#169421,#95417,.T.); #210974=EDGE_CURVE('',#169422,#169420,#95418,.T.); #210975=EDGE_CURVE('',#169423,#169421,#95419,.T.); #210976=EDGE_CURVE('',#169422,#169423,#95420,.T.); #210977=EDGE_CURVE('',#169424,#169422,#95421,.T.); #210978=EDGE_CURVE('',#169425,#169423,#95422,.T.); #210979=EDGE_CURVE('',#169424,#169425,#95423,.T.); #210980=EDGE_CURVE('',#169426,#169424,#95424,.T.); #210981=EDGE_CURVE('',#169427,#169425,#95425,.T.); #210982=EDGE_CURVE('',#169426,#169427,#95426,.T.); #210983=EDGE_CURVE('',#169428,#169426,#95427,.T.); #210984=EDGE_CURVE('',#169429,#169427,#95428,.T.); #210985=EDGE_CURVE('',#169428,#169429,#95429,.T.); #210986=EDGE_CURVE('',#169430,#169428,#95430,.T.); #210987=EDGE_CURVE('',#169431,#169429,#95431,.T.); #210988=EDGE_CURVE('',#169430,#169431,#95432,.T.); #210989=EDGE_CURVE('',#169432,#169430,#95433,.T.); #210990=EDGE_CURVE('',#169433,#169431,#95434,.T.); #210991=EDGE_CURVE('',#169432,#169433,#95435,.T.); #210992=EDGE_CURVE('',#169434,#169432,#95436,.T.); #210993=EDGE_CURVE('',#169435,#169433,#95437,.T.); #210994=EDGE_CURVE('',#169434,#169435,#95438,.T.); #210995=EDGE_CURVE('',#169436,#169434,#95439,.T.); #210996=EDGE_CURVE('',#169437,#169435,#95440,.T.); #210997=EDGE_CURVE('',#169436,#169437,#95441,.T.); #210998=EDGE_CURVE('',#169438,#169436,#95442,.T.); #210999=EDGE_CURVE('',#169439,#169437,#95443,.T.); #211000=EDGE_CURVE('',#169438,#169439,#95444,.T.); #211001=EDGE_CURVE('',#169440,#169438,#95445,.T.); #211002=EDGE_CURVE('',#169441,#169439,#95446,.T.); #211003=EDGE_CURVE('',#169440,#169441,#95447,.T.); #211004=EDGE_CURVE('',#169442,#169440,#95448,.T.); #211005=EDGE_CURVE('',#169443,#169441,#95449,.T.); #211006=EDGE_CURVE('',#169442,#169443,#95450,.T.); #211007=EDGE_CURVE('',#169444,#169442,#95451,.T.); #211008=EDGE_CURVE('',#169445,#169443,#95452,.T.); #211009=EDGE_CURVE('',#169444,#169445,#95453,.T.); #211010=EDGE_CURVE('',#169446,#169444,#95454,.T.); #211011=EDGE_CURVE('',#169447,#169445,#95455,.T.); #211012=EDGE_CURVE('',#169446,#169447,#95456,.T.); #211013=EDGE_CURVE('',#169448,#169446,#95457,.T.); #211014=EDGE_CURVE('',#169449,#169447,#95458,.T.); #211015=EDGE_CURVE('',#169448,#169449,#95459,.T.); #211016=EDGE_CURVE('',#169450,#169448,#95460,.T.); #211017=EDGE_CURVE('',#169451,#169449,#95461,.T.); #211018=EDGE_CURVE('',#169450,#169451,#95462,.T.); #211019=EDGE_CURVE('',#169452,#169450,#95463,.T.); #211020=EDGE_CURVE('',#169453,#169451,#95464,.T.); #211021=EDGE_CURVE('',#169452,#169453,#95465,.T.); #211022=EDGE_CURVE('',#169191,#169452,#95466,.T.); #211023=EDGE_CURVE('',#169192,#169453,#95467,.T.); #211024=EDGE_CURVE('',#169454,#169455,#95468,.T.); #211025=EDGE_CURVE('',#169455,#169456,#95469,.T.); #211026=EDGE_CURVE('',#169457,#169456,#95470,.T.); #211027=EDGE_CURVE('',#169454,#169457,#95471,.T.); #211028=EDGE_CURVE('',#169458,#169454,#95472,.T.); #211029=EDGE_CURVE('',#169459,#169457,#95473,.T.); #211030=EDGE_CURVE('',#169458,#169459,#95474,.T.); #211031=EDGE_CURVE('',#169460,#169458,#95475,.T.); #211032=EDGE_CURVE('',#169461,#169459,#95476,.T.); #211033=EDGE_CURVE('',#169460,#169461,#95477,.T.); #211034=EDGE_CURVE('',#169462,#169460,#95478,.T.); #211035=EDGE_CURVE('',#169463,#169461,#95479,.T.); #211036=EDGE_CURVE('',#169462,#169463,#95480,.T.); #211037=EDGE_CURVE('',#169464,#169462,#95481,.T.); #211038=EDGE_CURVE('',#169465,#169463,#95482,.T.); #211039=EDGE_CURVE('',#169464,#169465,#95483,.T.); #211040=EDGE_CURVE('',#169466,#169464,#95484,.T.); #211041=EDGE_CURVE('',#169467,#169465,#95485,.T.); #211042=EDGE_CURVE('',#169466,#169467,#95486,.T.); #211043=EDGE_CURVE('',#169468,#169466,#95487,.T.); #211044=EDGE_CURVE('',#169469,#169467,#95488,.T.); #211045=EDGE_CURVE('',#169468,#169469,#95489,.T.); #211046=EDGE_CURVE('',#169455,#169468,#95490,.T.); #211047=EDGE_CURVE('',#169456,#169469,#95491,.T.); #211048=EDGE_CURVE('',#169470,#169471,#95492,.T.); #211049=EDGE_CURVE('',#169471,#169472,#95493,.T.); #211050=EDGE_CURVE('',#169473,#169472,#95494,.T.); #211051=EDGE_CURVE('',#169470,#169473,#95495,.T.); #211052=EDGE_CURVE('',#169474,#169470,#95496,.T.); #211053=EDGE_CURVE('',#169475,#169473,#95497,.T.); #211054=EDGE_CURVE('',#169474,#169475,#95498,.T.); #211055=EDGE_CURVE('',#169476,#169474,#95499,.T.); #211056=EDGE_CURVE('',#169477,#169475,#95500,.T.); #211057=EDGE_CURVE('',#169476,#169477,#95501,.T.); #211058=EDGE_CURVE('',#169478,#169476,#95502,.T.); #211059=EDGE_CURVE('',#169479,#169477,#95503,.T.); #211060=EDGE_CURVE('',#169478,#169479,#95504,.T.); #211061=EDGE_CURVE('',#169480,#169478,#95505,.T.); #211062=EDGE_CURVE('',#169481,#169479,#95506,.T.); #211063=EDGE_CURVE('',#169480,#169481,#95507,.T.); #211064=EDGE_CURVE('',#169482,#169480,#95508,.T.); #211065=EDGE_CURVE('',#169483,#169481,#95509,.T.); #211066=EDGE_CURVE('',#169482,#169483,#95510,.T.); #211067=EDGE_CURVE('',#169484,#169482,#95511,.T.); #211068=EDGE_CURVE('',#169485,#169483,#95512,.T.); #211069=EDGE_CURVE('',#169484,#169485,#95513,.T.); #211070=EDGE_CURVE('',#169471,#169484,#95514,.T.); #211071=EDGE_CURVE('',#169472,#169485,#95515,.T.); #211072=EDGE_CURVE('',#169486,#169487,#95516,.T.); #211073=EDGE_CURVE('',#169487,#169488,#95517,.T.); #211074=EDGE_CURVE('',#169489,#169488,#95518,.T.); #211075=EDGE_CURVE('',#169486,#169489,#95519,.T.); #211076=EDGE_CURVE('',#169490,#169486,#95520,.T.); #211077=EDGE_CURVE('',#169491,#169489,#95521,.T.); #211078=EDGE_CURVE('',#169490,#169491,#95522,.T.); #211079=EDGE_CURVE('',#169492,#169490,#95523,.T.); #211080=EDGE_CURVE('',#169493,#169491,#95524,.T.); #211081=EDGE_CURVE('',#169492,#169493,#95525,.T.); #211082=EDGE_CURVE('',#169494,#169492,#95526,.T.); #211083=EDGE_CURVE('',#169495,#169493,#95527,.T.); #211084=EDGE_CURVE('',#169494,#169495,#95528,.T.); #211085=EDGE_CURVE('',#169496,#169494,#95529,.T.); #211086=EDGE_CURVE('',#169497,#169495,#95530,.T.); #211087=EDGE_CURVE('',#169496,#169497,#95531,.T.); #211088=EDGE_CURVE('',#169498,#169496,#95532,.T.); #211089=EDGE_CURVE('',#169499,#169497,#95533,.T.); #211090=EDGE_CURVE('',#169498,#169499,#95534,.T.); #211091=EDGE_CURVE('',#169500,#169498,#95535,.T.); #211092=EDGE_CURVE('',#169501,#169499,#95536,.T.); #211093=EDGE_CURVE('',#169500,#169501,#95537,.T.); #211094=EDGE_CURVE('',#169487,#169500,#95538,.T.); #211095=EDGE_CURVE('',#169488,#169501,#95539,.T.); #211096=EDGE_CURVE('',#169502,#169503,#95540,.T.); #211097=EDGE_CURVE('',#169503,#169504,#95541,.T.); #211098=EDGE_CURVE('',#169505,#169504,#95542,.T.); #211099=EDGE_CURVE('',#169502,#169505,#95543,.T.); #211100=EDGE_CURVE('',#169506,#169502,#95544,.T.); #211101=EDGE_CURVE('',#169507,#169505,#95545,.T.); #211102=EDGE_CURVE('',#169506,#169507,#95546,.T.); #211103=EDGE_CURVE('',#169508,#169506,#95547,.T.); #211104=EDGE_CURVE('',#169509,#169507,#95548,.T.); #211105=EDGE_CURVE('',#169508,#169509,#95549,.T.); #211106=EDGE_CURVE('',#169510,#169508,#95550,.T.); #211107=EDGE_CURVE('',#169511,#169509,#95551,.T.); #211108=EDGE_CURVE('',#169510,#169511,#95552,.T.); #211109=EDGE_CURVE('',#169512,#169510,#95553,.T.); #211110=EDGE_CURVE('',#169513,#169511,#95554,.T.); #211111=EDGE_CURVE('',#169512,#169513,#95555,.T.); #211112=EDGE_CURVE('',#169514,#169512,#95556,.T.); #211113=EDGE_CURVE('',#169515,#169513,#95557,.T.); #211114=EDGE_CURVE('',#169514,#169515,#95558,.T.); #211115=EDGE_CURVE('',#169516,#169514,#95559,.T.); #211116=EDGE_CURVE('',#169517,#169515,#95560,.T.); #211117=EDGE_CURVE('',#169516,#169517,#95561,.T.); #211118=EDGE_CURVE('',#169518,#169516,#95562,.T.); #211119=EDGE_CURVE('',#169519,#169517,#95563,.T.); #211120=EDGE_CURVE('',#169518,#169519,#95564,.T.); #211121=EDGE_CURVE('',#169520,#169518,#95565,.T.); #211122=EDGE_CURVE('',#169521,#169519,#95566,.T.); #211123=EDGE_CURVE('',#169520,#169521,#95567,.T.); #211124=EDGE_CURVE('',#169522,#169520,#95568,.T.); #211125=EDGE_CURVE('',#169523,#169521,#95569,.T.); #211126=EDGE_CURVE('',#169522,#169523,#95570,.T.); #211127=EDGE_CURVE('',#169524,#169522,#95571,.T.); #211128=EDGE_CURVE('',#169525,#169523,#95572,.T.); #211129=EDGE_CURVE('',#169524,#169525,#95573,.T.); #211130=EDGE_CURVE('',#169526,#169524,#95574,.T.); #211131=EDGE_CURVE('',#169527,#169525,#95575,.T.); #211132=EDGE_CURVE('',#169526,#169527,#95576,.T.); #211133=EDGE_CURVE('',#169528,#169526,#95577,.T.); #211134=EDGE_CURVE('',#169529,#169527,#95578,.T.); #211135=EDGE_CURVE('',#169528,#169529,#95579,.T.); #211136=EDGE_CURVE('',#169530,#169528,#95580,.T.); #211137=EDGE_CURVE('',#169531,#169529,#95581,.T.); #211138=EDGE_CURVE('',#169530,#169531,#95582,.T.); #211139=EDGE_CURVE('',#169532,#169530,#95583,.T.); #211140=EDGE_CURVE('',#169533,#169531,#95584,.T.); #211141=EDGE_CURVE('',#169532,#169533,#95585,.T.); #211142=EDGE_CURVE('',#169534,#169532,#95586,.T.); #211143=EDGE_CURVE('',#169535,#169533,#95587,.T.); #211144=EDGE_CURVE('',#169534,#169535,#95588,.T.); #211145=EDGE_CURVE('',#169536,#169534,#95589,.T.); #211146=EDGE_CURVE('',#169537,#169535,#95590,.T.); #211147=EDGE_CURVE('',#169536,#169537,#95591,.T.); #211148=EDGE_CURVE('',#169538,#169536,#95592,.T.); #211149=EDGE_CURVE('',#169539,#169537,#95593,.T.); #211150=EDGE_CURVE('',#169538,#169539,#95594,.T.); #211151=EDGE_CURVE('',#169540,#169538,#95595,.T.); #211152=EDGE_CURVE('',#169541,#169539,#95596,.T.); #211153=EDGE_CURVE('',#169540,#169541,#95597,.T.); #211154=EDGE_CURVE('',#169542,#169540,#95598,.T.); #211155=EDGE_CURVE('',#169543,#169541,#95599,.T.); #211156=EDGE_CURVE('',#169542,#169543,#95600,.T.); #211157=EDGE_CURVE('',#169544,#169542,#95601,.T.); #211158=EDGE_CURVE('',#169545,#169543,#95602,.T.); #211159=EDGE_CURVE('',#169544,#169545,#95603,.T.); #211160=EDGE_CURVE('',#169546,#169544,#95604,.T.); #211161=EDGE_CURVE('',#169547,#169545,#95605,.T.); #211162=EDGE_CURVE('',#169546,#169547,#95606,.T.); #211163=EDGE_CURVE('',#169548,#169546,#95607,.T.); #211164=EDGE_CURVE('',#169549,#169547,#95608,.T.); #211165=EDGE_CURVE('',#169548,#169549,#95609,.T.); #211166=EDGE_CURVE('',#169550,#169548,#95610,.T.); #211167=EDGE_CURVE('',#169551,#169549,#95611,.T.); #211168=EDGE_CURVE('',#169550,#169551,#95612,.T.); #211169=EDGE_CURVE('',#169552,#169550,#95613,.T.); #211170=EDGE_CURVE('',#169553,#169551,#95614,.T.); #211171=EDGE_CURVE('',#169552,#169553,#95615,.T.); #211172=EDGE_CURVE('',#169554,#169552,#95616,.T.); #211173=EDGE_CURVE('',#169555,#169553,#95617,.T.); #211174=EDGE_CURVE('',#169554,#169555,#95618,.T.); #211175=EDGE_CURVE('',#169556,#169554,#95619,.T.); #211176=EDGE_CURVE('',#169557,#169555,#95620,.T.); #211177=EDGE_CURVE('',#169556,#169557,#95621,.T.); #211178=EDGE_CURVE('',#169558,#169556,#95622,.T.); #211179=EDGE_CURVE('',#169559,#169557,#95623,.T.); #211180=EDGE_CURVE('',#169558,#169559,#95624,.T.); #211181=EDGE_CURVE('',#169560,#169558,#95625,.T.); #211182=EDGE_CURVE('',#169561,#169559,#95626,.T.); #211183=EDGE_CURVE('',#169560,#169561,#95627,.T.); #211184=EDGE_CURVE('',#169562,#169560,#95628,.T.); #211185=EDGE_CURVE('',#169563,#169561,#95629,.T.); #211186=EDGE_CURVE('',#169562,#169563,#95630,.T.); #211187=EDGE_CURVE('',#169564,#169562,#95631,.T.); #211188=EDGE_CURVE('',#169565,#169563,#95632,.T.); #211189=EDGE_CURVE('',#169564,#169565,#95633,.T.); #211190=EDGE_CURVE('',#169566,#169564,#95634,.T.); #211191=EDGE_CURVE('',#169567,#169565,#95635,.T.); #211192=EDGE_CURVE('',#169566,#169567,#95636,.T.); #211193=EDGE_CURVE('',#169568,#169566,#95637,.T.); #211194=EDGE_CURVE('',#169569,#169567,#95638,.T.); #211195=EDGE_CURVE('',#169568,#169569,#95639,.T.); #211196=EDGE_CURVE('',#169503,#169568,#95640,.T.); #211197=EDGE_CURVE('',#169504,#169569,#95641,.T.); #211198=EDGE_CURVE('',#169570,#169571,#95642,.T.); #211199=EDGE_CURVE('',#169571,#169572,#95643,.T.); #211200=EDGE_CURVE('',#169573,#169572,#95644,.T.); #211201=EDGE_CURVE('',#169570,#169573,#95645,.T.); #211202=EDGE_CURVE('',#169574,#169570,#95646,.T.); #211203=EDGE_CURVE('',#169575,#169573,#95647,.T.); #211204=EDGE_CURVE('',#169574,#169575,#95648,.T.); #211205=EDGE_CURVE('',#169576,#169574,#95649,.T.); #211206=EDGE_CURVE('',#169577,#169575,#95650,.T.); #211207=EDGE_CURVE('',#169576,#169577,#95651,.T.); #211208=EDGE_CURVE('',#169578,#169576,#95652,.T.); #211209=EDGE_CURVE('',#169579,#169577,#95653,.T.); #211210=EDGE_CURVE('',#169578,#169579,#95654,.T.); #211211=EDGE_CURVE('',#169580,#169578,#95655,.T.); #211212=EDGE_CURVE('',#169581,#169579,#95656,.T.); #211213=EDGE_CURVE('',#169580,#169581,#95657,.T.); #211214=EDGE_CURVE('',#169582,#169580,#95658,.T.); #211215=EDGE_CURVE('',#169583,#169581,#95659,.T.); #211216=EDGE_CURVE('',#169582,#169583,#95660,.T.); #211217=EDGE_CURVE('',#169584,#169582,#95661,.T.); #211218=EDGE_CURVE('',#169585,#169583,#95662,.T.); #211219=EDGE_CURVE('',#169584,#169585,#95663,.T.); #211220=EDGE_CURVE('',#169586,#169584,#95664,.T.); #211221=EDGE_CURVE('',#169587,#169585,#95665,.T.); #211222=EDGE_CURVE('',#169586,#169587,#95666,.T.); #211223=EDGE_CURVE('',#169588,#169586,#95667,.T.); #211224=EDGE_CURVE('',#169589,#169587,#95668,.T.); #211225=EDGE_CURVE('',#169588,#169589,#95669,.T.); #211226=EDGE_CURVE('',#169590,#169588,#95670,.T.); #211227=EDGE_CURVE('',#169591,#169589,#95671,.T.); #211228=EDGE_CURVE('',#169590,#169591,#95672,.T.); #211229=EDGE_CURVE('',#169592,#169590,#95673,.T.); #211230=EDGE_CURVE('',#169593,#169591,#95674,.T.); #211231=EDGE_CURVE('',#169592,#169593,#95675,.T.); #211232=EDGE_CURVE('',#169594,#169592,#95676,.T.); #211233=EDGE_CURVE('',#169595,#169593,#95677,.T.); #211234=EDGE_CURVE('',#169594,#169595,#95678,.T.); #211235=EDGE_CURVE('',#169596,#169594,#95679,.T.); #211236=EDGE_CURVE('',#169597,#169595,#95680,.T.); #211237=EDGE_CURVE('',#169596,#169597,#95681,.T.); #211238=EDGE_CURVE('',#169598,#169596,#95682,.T.); #211239=EDGE_CURVE('',#169599,#169597,#95683,.T.); #211240=EDGE_CURVE('',#169598,#169599,#95684,.T.); #211241=EDGE_CURVE('',#169600,#169598,#95685,.T.); #211242=EDGE_CURVE('',#169601,#169599,#95686,.T.); #211243=EDGE_CURVE('',#169600,#169601,#95687,.T.); #211244=EDGE_CURVE('',#169602,#169600,#95688,.T.); #211245=EDGE_CURVE('',#169603,#169601,#95689,.T.); #211246=EDGE_CURVE('',#169602,#169603,#95690,.T.); #211247=EDGE_CURVE('',#169604,#169602,#95691,.T.); #211248=EDGE_CURVE('',#169605,#169603,#95692,.T.); #211249=EDGE_CURVE('',#169604,#169605,#95693,.T.); #211250=EDGE_CURVE('',#169606,#169604,#95694,.T.); #211251=EDGE_CURVE('',#169607,#169605,#95695,.T.); #211252=EDGE_CURVE('',#169606,#169607,#95696,.T.); #211253=EDGE_CURVE('',#169608,#169606,#95697,.T.); #211254=EDGE_CURVE('',#169609,#169607,#95698,.T.); #211255=EDGE_CURVE('',#169608,#169609,#95699,.T.); #211256=EDGE_CURVE('',#169610,#169608,#95700,.T.); #211257=EDGE_CURVE('',#169611,#169609,#95701,.T.); #211258=EDGE_CURVE('',#169610,#169611,#95702,.T.); #211259=EDGE_CURVE('',#169612,#169610,#95703,.T.); #211260=EDGE_CURVE('',#169613,#169611,#95704,.T.); #211261=EDGE_CURVE('',#169612,#169613,#95705,.T.); #211262=EDGE_CURVE('',#169614,#169612,#95706,.T.); #211263=EDGE_CURVE('',#169615,#169613,#95707,.T.); #211264=EDGE_CURVE('',#169614,#169615,#95708,.T.); #211265=EDGE_CURVE('',#169616,#169614,#95709,.T.); #211266=EDGE_CURVE('',#169617,#169615,#95710,.T.); #211267=EDGE_CURVE('',#169616,#169617,#95711,.T.); #211268=EDGE_CURVE('',#169618,#169616,#95712,.T.); #211269=EDGE_CURVE('',#169619,#169617,#95713,.T.); #211270=EDGE_CURVE('',#169618,#169619,#95714,.T.); #211271=EDGE_CURVE('',#169620,#169618,#95715,.T.); #211272=EDGE_CURVE('',#169621,#169619,#95716,.T.); #211273=EDGE_CURVE('',#169620,#169621,#95717,.T.); #211274=EDGE_CURVE('',#169622,#169620,#95718,.T.); #211275=EDGE_CURVE('',#169623,#169621,#95719,.T.); #211276=EDGE_CURVE('',#169622,#169623,#95720,.T.); #211277=EDGE_CURVE('',#169624,#169622,#95721,.T.); #211278=EDGE_CURVE('',#169625,#169623,#95722,.T.); #211279=EDGE_CURVE('',#169624,#169625,#95723,.T.); #211280=EDGE_CURVE('',#169626,#169624,#95724,.T.); #211281=EDGE_CURVE('',#169627,#169625,#95725,.T.); #211282=EDGE_CURVE('',#169626,#169627,#95726,.T.); #211283=EDGE_CURVE('',#169628,#169626,#95727,.T.); #211284=EDGE_CURVE('',#169629,#169627,#95728,.T.); #211285=EDGE_CURVE('',#169628,#169629,#95729,.T.); #211286=EDGE_CURVE('',#169630,#169628,#95730,.T.); #211287=EDGE_CURVE('',#169631,#169629,#95731,.T.); #211288=EDGE_CURVE('',#169630,#169631,#95732,.T.); #211289=EDGE_CURVE('',#169632,#169630,#95733,.T.); #211290=EDGE_CURVE('',#169633,#169631,#95734,.T.); #211291=EDGE_CURVE('',#169632,#169633,#95735,.T.); #211292=EDGE_CURVE('',#169634,#169632,#95736,.T.); #211293=EDGE_CURVE('',#169635,#169633,#95737,.T.); #211294=EDGE_CURVE('',#169634,#169635,#95738,.T.); #211295=EDGE_CURVE('',#169636,#169634,#95739,.T.); #211296=EDGE_CURVE('',#169637,#169635,#95740,.T.); #211297=EDGE_CURVE('',#169636,#169637,#95741,.T.); #211298=EDGE_CURVE('',#169571,#169636,#95742,.T.); #211299=EDGE_CURVE('',#169572,#169637,#95743,.T.); #211300=EDGE_CURVE('',#169638,#169639,#95744,.T.); #211301=EDGE_CURVE('',#169639,#169640,#95745,.T.); #211302=EDGE_CURVE('',#169641,#169640,#95746,.T.); #211303=EDGE_CURVE('',#169638,#169641,#95747,.T.); #211304=EDGE_CURVE('',#169642,#169638,#95748,.T.); #211305=EDGE_CURVE('',#169643,#169641,#95749,.T.); #211306=EDGE_CURVE('',#169642,#169643,#95750,.T.); #211307=EDGE_CURVE('',#169644,#169642,#95751,.T.); #211308=EDGE_CURVE('',#169645,#169643,#95752,.T.); #211309=EDGE_CURVE('',#169644,#169645,#95753,.T.); #211310=EDGE_CURVE('',#169646,#169644,#95754,.T.); #211311=EDGE_CURVE('',#169647,#169645,#95755,.T.); #211312=EDGE_CURVE('',#169646,#169647,#95756,.T.); #211313=EDGE_CURVE('',#169648,#169646,#95757,.T.); #211314=EDGE_CURVE('',#169649,#169647,#95758,.T.); #211315=EDGE_CURVE('',#169648,#169649,#95759,.T.); #211316=EDGE_CURVE('',#169650,#169648,#95760,.T.); #211317=EDGE_CURVE('',#169651,#169649,#95761,.T.); #211318=EDGE_CURVE('',#169650,#169651,#95762,.T.); #211319=EDGE_CURVE('',#169652,#169650,#95763,.T.); #211320=EDGE_CURVE('',#169653,#169651,#95764,.T.); #211321=EDGE_CURVE('',#169652,#169653,#95765,.T.); #211322=EDGE_CURVE('',#169654,#169652,#95766,.T.); #211323=EDGE_CURVE('',#169655,#169653,#95767,.T.); #211324=EDGE_CURVE('',#169654,#169655,#95768,.T.); #211325=EDGE_CURVE('',#169656,#169654,#95769,.T.); #211326=EDGE_CURVE('',#169657,#169655,#95770,.T.); #211327=EDGE_CURVE('',#169656,#169657,#95771,.T.); #211328=EDGE_CURVE('',#169658,#169656,#95772,.T.); #211329=EDGE_CURVE('',#169659,#169657,#95773,.T.); #211330=EDGE_CURVE('',#169658,#169659,#95774,.T.); #211331=EDGE_CURVE('',#169660,#169658,#95775,.T.); #211332=EDGE_CURVE('',#169661,#169659,#95776,.T.); #211333=EDGE_CURVE('',#169660,#169661,#95777,.T.); #211334=EDGE_CURVE('',#169662,#169660,#95778,.T.); #211335=EDGE_CURVE('',#169663,#169661,#95779,.T.); #211336=EDGE_CURVE('',#169662,#169663,#95780,.T.); #211337=EDGE_CURVE('',#169664,#169662,#95781,.T.); #211338=EDGE_CURVE('',#169665,#169663,#95782,.T.); #211339=EDGE_CURVE('',#169664,#169665,#95783,.T.); #211340=EDGE_CURVE('',#169666,#169664,#95784,.T.); #211341=EDGE_CURVE('',#169667,#169665,#95785,.T.); #211342=EDGE_CURVE('',#169666,#169667,#95786,.T.); #211343=EDGE_CURVE('',#169668,#169666,#95787,.T.); #211344=EDGE_CURVE('',#169669,#169667,#95788,.T.); #211345=EDGE_CURVE('',#169668,#169669,#95789,.T.); #211346=EDGE_CURVE('',#169670,#169668,#95790,.T.); #211347=EDGE_CURVE('',#169671,#169669,#95791,.T.); #211348=EDGE_CURVE('',#169670,#169671,#95792,.T.); #211349=EDGE_CURVE('',#169672,#169670,#95793,.T.); #211350=EDGE_CURVE('',#169673,#169671,#95794,.T.); #211351=EDGE_CURVE('',#169672,#169673,#95795,.T.); #211352=EDGE_CURVE('',#169674,#169672,#95796,.T.); #211353=EDGE_CURVE('',#169675,#169673,#95797,.T.); #211354=EDGE_CURVE('',#169674,#169675,#95798,.T.); #211355=EDGE_CURVE('',#169676,#169674,#95799,.T.); #211356=EDGE_CURVE('',#169677,#169675,#95800,.T.); #211357=EDGE_CURVE('',#169676,#169677,#95801,.T.); #211358=EDGE_CURVE('',#169678,#169676,#95802,.T.); #211359=EDGE_CURVE('',#169679,#169677,#95803,.T.); #211360=EDGE_CURVE('',#169678,#169679,#95804,.T.); #211361=EDGE_CURVE('',#169680,#169678,#95805,.T.); #211362=EDGE_CURVE('',#169681,#169679,#95806,.T.); #211363=EDGE_CURVE('',#169680,#169681,#95807,.T.); #211364=EDGE_CURVE('',#169682,#169680,#95808,.T.); #211365=EDGE_CURVE('',#169683,#169681,#95809,.T.); #211366=EDGE_CURVE('',#169682,#169683,#95810,.T.); #211367=EDGE_CURVE('',#169684,#169682,#95811,.T.); #211368=EDGE_CURVE('',#169685,#169683,#95812,.T.); #211369=EDGE_CURVE('',#169684,#169685,#95813,.T.); #211370=EDGE_CURVE('',#169686,#169684,#95814,.T.); #211371=EDGE_CURVE('',#169687,#169685,#95815,.T.); #211372=EDGE_CURVE('',#169686,#169687,#95816,.T.); #211373=EDGE_CURVE('',#169688,#169686,#95817,.T.); #211374=EDGE_CURVE('',#169689,#169687,#95818,.T.); #211375=EDGE_CURVE('',#169688,#169689,#95819,.T.); #211376=EDGE_CURVE('',#169690,#169688,#95820,.T.); #211377=EDGE_CURVE('',#169691,#169689,#95821,.T.); #211378=EDGE_CURVE('',#169690,#169691,#95822,.T.); #211379=EDGE_CURVE('',#169692,#169690,#95823,.T.); #211380=EDGE_CURVE('',#169693,#169691,#95824,.T.); #211381=EDGE_CURVE('',#169692,#169693,#95825,.T.); #211382=EDGE_CURVE('',#169694,#169692,#95826,.T.); #211383=EDGE_CURVE('',#169695,#169693,#95827,.T.); #211384=EDGE_CURVE('',#169694,#169695,#95828,.T.); #211385=EDGE_CURVE('',#169696,#169694,#95829,.T.); #211386=EDGE_CURVE('',#169697,#169695,#95830,.T.); #211387=EDGE_CURVE('',#169696,#169697,#95831,.T.); #211388=EDGE_CURVE('',#169698,#169696,#95832,.T.); #211389=EDGE_CURVE('',#169699,#169697,#95833,.T.); #211390=EDGE_CURVE('',#169698,#169699,#95834,.T.); #211391=EDGE_CURVE('',#169700,#169698,#95835,.T.); #211392=EDGE_CURVE('',#169701,#169699,#95836,.T.); #211393=EDGE_CURVE('',#169700,#169701,#95837,.T.); #211394=EDGE_CURVE('',#169702,#169700,#95838,.T.); #211395=EDGE_CURVE('',#169703,#169701,#95839,.T.); #211396=EDGE_CURVE('',#169702,#169703,#95840,.T.); #211397=EDGE_CURVE('',#169704,#169702,#95841,.T.); #211398=EDGE_CURVE('',#169705,#169703,#95842,.T.); #211399=EDGE_CURVE('',#169704,#169705,#95843,.T.); #211400=EDGE_CURVE('',#169706,#169704,#95844,.T.); #211401=EDGE_CURVE('',#169707,#169705,#95845,.T.); #211402=EDGE_CURVE('',#169706,#169707,#95846,.T.); #211403=EDGE_CURVE('',#169708,#169706,#95847,.T.); #211404=EDGE_CURVE('',#169709,#169707,#95848,.T.); #211405=EDGE_CURVE('',#169708,#169709,#95849,.T.); #211406=EDGE_CURVE('',#169710,#169708,#95850,.T.); #211407=EDGE_CURVE('',#169711,#169709,#95851,.T.); #211408=EDGE_CURVE('',#169710,#169711,#95852,.T.); #211409=EDGE_CURVE('',#169712,#169710,#95853,.T.); #211410=EDGE_CURVE('',#169713,#169711,#95854,.T.); #211411=EDGE_CURVE('',#169712,#169713,#95855,.T.); #211412=EDGE_CURVE('',#169714,#169712,#95856,.T.); #211413=EDGE_CURVE('',#169715,#169713,#95857,.T.); #211414=EDGE_CURVE('',#169714,#169715,#95858,.T.); #211415=EDGE_CURVE('',#169716,#169714,#95859,.T.); #211416=EDGE_CURVE('',#169717,#169715,#95860,.T.); #211417=EDGE_CURVE('',#169716,#169717,#95861,.T.); #211418=EDGE_CURVE('',#169639,#169716,#95862,.T.); #211419=EDGE_CURVE('',#169640,#169717,#95863,.T.); #211420=EDGE_CURVE('',#169718,#169719,#95864,.T.); #211421=EDGE_CURVE('',#169719,#169720,#95865,.T.); #211422=EDGE_CURVE('',#169721,#169720,#95866,.T.); #211423=EDGE_CURVE('',#169718,#169721,#95867,.T.); #211424=EDGE_CURVE('',#169722,#169718,#95868,.T.); #211425=EDGE_CURVE('',#169723,#169721,#95869,.T.); #211426=EDGE_CURVE('',#169722,#169723,#95870,.T.); #211427=EDGE_CURVE('',#169724,#169722,#95871,.T.); #211428=EDGE_CURVE('',#169725,#169723,#95872,.T.); #211429=EDGE_CURVE('',#169724,#169725,#95873,.T.); #211430=EDGE_CURVE('',#169726,#169724,#95874,.T.); #211431=EDGE_CURVE('',#169727,#169725,#95875,.T.); #211432=EDGE_CURVE('',#169726,#169727,#95876,.T.); #211433=EDGE_CURVE('',#169728,#169726,#95877,.T.); #211434=EDGE_CURVE('',#169729,#169727,#95878,.T.); #211435=EDGE_CURVE('',#169728,#169729,#95879,.T.); #211436=EDGE_CURVE('',#169730,#169728,#95880,.T.); #211437=EDGE_CURVE('',#169731,#169729,#95881,.T.); #211438=EDGE_CURVE('',#169730,#169731,#95882,.T.); #211439=EDGE_CURVE('',#169732,#169730,#95883,.T.); #211440=EDGE_CURVE('',#169733,#169731,#95884,.T.); #211441=EDGE_CURVE('',#169732,#169733,#95885,.T.); #211442=EDGE_CURVE('',#169734,#169732,#95886,.T.); #211443=EDGE_CURVE('',#169735,#169733,#95887,.T.); #211444=EDGE_CURVE('',#169734,#169735,#95888,.T.); #211445=EDGE_CURVE('',#169736,#169734,#95889,.T.); #211446=EDGE_CURVE('',#169737,#169735,#95890,.T.); #211447=EDGE_CURVE('',#169736,#169737,#95891,.T.); #211448=EDGE_CURVE('',#169738,#169736,#95892,.T.); #211449=EDGE_CURVE('',#169739,#169737,#95893,.T.); #211450=EDGE_CURVE('',#169738,#169739,#95894,.T.); #211451=EDGE_CURVE('',#169740,#169738,#95895,.T.); #211452=EDGE_CURVE('',#169741,#169739,#95896,.T.); #211453=EDGE_CURVE('',#169740,#169741,#95897,.T.); #211454=EDGE_CURVE('',#169742,#169740,#95898,.T.); #211455=EDGE_CURVE('',#169743,#169741,#95899,.T.); #211456=EDGE_CURVE('',#169742,#169743,#95900,.T.); #211457=EDGE_CURVE('',#169744,#169742,#95901,.T.); #211458=EDGE_CURVE('',#169745,#169743,#95902,.T.); #211459=EDGE_CURVE('',#169744,#169745,#95903,.T.); #211460=EDGE_CURVE('',#169746,#169744,#95904,.T.); #211461=EDGE_CURVE('',#169747,#169745,#95905,.T.); #211462=EDGE_CURVE('',#169746,#169747,#95906,.T.); #211463=EDGE_CURVE('',#169748,#169746,#95907,.T.); #211464=EDGE_CURVE('',#169749,#169747,#95908,.T.); #211465=EDGE_CURVE('',#169748,#169749,#95909,.T.); #211466=EDGE_CURVE('',#169750,#169748,#95910,.T.); #211467=EDGE_CURVE('',#169751,#169749,#95911,.T.); #211468=EDGE_CURVE('',#169750,#169751,#95912,.T.); #211469=EDGE_CURVE('',#169752,#169750,#95913,.T.); #211470=EDGE_CURVE('',#169753,#169751,#95914,.T.); #211471=EDGE_CURVE('',#169752,#169753,#95915,.T.); #211472=EDGE_CURVE('',#169754,#169752,#95916,.T.); #211473=EDGE_CURVE('',#169755,#169753,#95917,.T.); #211474=EDGE_CURVE('',#169754,#169755,#95918,.T.); #211475=EDGE_CURVE('',#169756,#169754,#95919,.T.); #211476=EDGE_CURVE('',#169757,#169755,#95920,.T.); #211477=EDGE_CURVE('',#169756,#169757,#95921,.T.); #211478=EDGE_CURVE('',#169758,#169756,#95922,.T.); #211479=EDGE_CURVE('',#169759,#169757,#95923,.T.); #211480=EDGE_CURVE('',#169758,#169759,#95924,.T.); #211481=EDGE_CURVE('',#169760,#169758,#95925,.T.); #211482=EDGE_CURVE('',#169761,#169759,#95926,.T.); #211483=EDGE_CURVE('',#169760,#169761,#95927,.T.); #211484=EDGE_CURVE('',#169762,#169760,#95928,.T.); #211485=EDGE_CURVE('',#169763,#169761,#95929,.T.); #211486=EDGE_CURVE('',#169762,#169763,#95930,.T.); #211487=EDGE_CURVE('',#169764,#169762,#95931,.T.); #211488=EDGE_CURVE('',#169765,#169763,#95932,.T.); #211489=EDGE_CURVE('',#169764,#169765,#95933,.T.); #211490=EDGE_CURVE('',#169766,#169764,#95934,.T.); #211491=EDGE_CURVE('',#169767,#169765,#95935,.T.); #211492=EDGE_CURVE('',#169766,#169767,#95936,.T.); #211493=EDGE_CURVE('',#169768,#169766,#95937,.T.); #211494=EDGE_CURVE('',#169769,#169767,#95938,.T.); #211495=EDGE_CURVE('',#169768,#169769,#95939,.T.); #211496=EDGE_CURVE('',#169770,#169768,#95940,.T.); #211497=EDGE_CURVE('',#169771,#169769,#95941,.T.); #211498=EDGE_CURVE('',#169770,#169771,#95942,.T.); #211499=EDGE_CURVE('',#169772,#169770,#95943,.T.); #211500=EDGE_CURVE('',#169773,#169771,#95944,.T.); #211501=EDGE_CURVE('',#169772,#169773,#95945,.T.); #211502=EDGE_CURVE('',#169774,#169772,#95946,.T.); #211503=EDGE_CURVE('',#169775,#169773,#95947,.T.); #211504=EDGE_CURVE('',#169774,#169775,#95948,.T.); #211505=EDGE_CURVE('',#169776,#169774,#95949,.T.); #211506=EDGE_CURVE('',#169777,#169775,#95950,.T.); #211507=EDGE_CURVE('',#169776,#169777,#95951,.T.); #211508=EDGE_CURVE('',#169778,#169776,#95952,.T.); #211509=EDGE_CURVE('',#169779,#169777,#95953,.T.); #211510=EDGE_CURVE('',#169778,#169779,#95954,.T.); #211511=EDGE_CURVE('',#169780,#169778,#95955,.T.); #211512=EDGE_CURVE('',#169781,#169779,#95956,.T.); #211513=EDGE_CURVE('',#169780,#169781,#95957,.T.); #211514=EDGE_CURVE('',#169782,#169780,#95958,.T.); #211515=EDGE_CURVE('',#169783,#169781,#95959,.T.); #211516=EDGE_CURVE('',#169782,#169783,#95960,.T.); #211517=EDGE_CURVE('',#169784,#169782,#95961,.T.); #211518=EDGE_CURVE('',#169785,#169783,#95962,.T.); #211519=EDGE_CURVE('',#169784,#169785,#95963,.T.); #211520=EDGE_CURVE('',#169786,#169784,#95964,.T.); #211521=EDGE_CURVE('',#169787,#169785,#95965,.T.); #211522=EDGE_CURVE('',#169786,#169787,#95966,.T.); #211523=EDGE_CURVE('',#169788,#169786,#95967,.T.); #211524=EDGE_CURVE('',#169789,#169787,#95968,.T.); #211525=EDGE_CURVE('',#169788,#169789,#95969,.T.); #211526=EDGE_CURVE('',#169790,#169788,#95970,.T.); #211527=EDGE_CURVE('',#169791,#169789,#95971,.T.); #211528=EDGE_CURVE('',#169790,#169791,#95972,.T.); #211529=EDGE_CURVE('',#169792,#169790,#95973,.T.); #211530=EDGE_CURVE('',#169793,#169791,#95974,.T.); #211531=EDGE_CURVE('',#169792,#169793,#95975,.T.); #211532=EDGE_CURVE('',#169719,#169792,#95976,.T.); #211533=EDGE_CURVE('',#169720,#169793,#95977,.T.); #211534=EDGE_CURVE('',#169794,#169795,#95978,.T.); #211535=EDGE_CURVE('',#169795,#169796,#95979,.T.); #211536=EDGE_CURVE('',#169797,#169796,#95980,.T.); #211537=EDGE_CURVE('',#169794,#169797,#95981,.T.); #211538=EDGE_CURVE('',#169798,#169794,#95982,.T.); #211539=EDGE_CURVE('',#169799,#169797,#95983,.T.); #211540=EDGE_CURVE('',#169798,#169799,#95984,.T.); #211541=EDGE_CURVE('',#169800,#169798,#95985,.T.); #211542=EDGE_CURVE('',#169801,#169799,#95986,.T.); #211543=EDGE_CURVE('',#169800,#169801,#95987,.T.); #211544=EDGE_CURVE('',#169802,#169800,#95988,.T.); #211545=EDGE_CURVE('',#169803,#169801,#95989,.T.); #211546=EDGE_CURVE('',#169802,#169803,#95990,.T.); #211547=EDGE_CURVE('',#169804,#169802,#95991,.T.); #211548=EDGE_CURVE('',#169805,#169803,#95992,.T.); #211549=EDGE_CURVE('',#169804,#169805,#95993,.T.); #211550=EDGE_CURVE('',#169806,#169804,#95994,.T.); #211551=EDGE_CURVE('',#169807,#169805,#95995,.T.); #211552=EDGE_CURVE('',#169806,#169807,#95996,.T.); #211553=EDGE_CURVE('',#169808,#169806,#95997,.T.); #211554=EDGE_CURVE('',#169809,#169807,#95998,.T.); #211555=EDGE_CURVE('',#169808,#169809,#95999,.T.); #211556=EDGE_CURVE('',#169810,#169808,#96000,.T.); #211557=EDGE_CURVE('',#169811,#169809,#96001,.T.); #211558=EDGE_CURVE('',#169810,#169811,#96002,.T.); #211559=EDGE_CURVE('',#169812,#169810,#96003,.T.); #211560=EDGE_CURVE('',#169813,#169811,#96004,.T.); #211561=EDGE_CURVE('',#169812,#169813,#96005,.T.); #211562=EDGE_CURVE('',#169814,#169812,#96006,.T.); #211563=EDGE_CURVE('',#169815,#169813,#96007,.T.); #211564=EDGE_CURVE('',#169814,#169815,#96008,.T.); #211565=EDGE_CURVE('',#169816,#169814,#96009,.T.); #211566=EDGE_CURVE('',#169817,#169815,#96010,.T.); #211567=EDGE_CURVE('',#169816,#169817,#96011,.T.); #211568=EDGE_CURVE('',#169818,#169816,#96012,.T.); #211569=EDGE_CURVE('',#169819,#169817,#96013,.T.); #211570=EDGE_CURVE('',#169818,#169819,#96014,.T.); #211571=EDGE_CURVE('',#169820,#169818,#96015,.T.); #211572=EDGE_CURVE('',#169821,#169819,#96016,.T.); #211573=EDGE_CURVE('',#169820,#169821,#96017,.T.); #211574=EDGE_CURVE('',#169822,#169820,#96018,.T.); #211575=EDGE_CURVE('',#169823,#169821,#96019,.T.); #211576=EDGE_CURVE('',#169822,#169823,#96020,.T.); #211577=EDGE_CURVE('',#169824,#169822,#96021,.T.); #211578=EDGE_CURVE('',#169825,#169823,#96022,.T.); #211579=EDGE_CURVE('',#169824,#169825,#96023,.T.); #211580=EDGE_CURVE('',#169826,#169824,#96024,.T.); #211581=EDGE_CURVE('',#169827,#169825,#96025,.T.); #211582=EDGE_CURVE('',#169826,#169827,#96026,.T.); #211583=EDGE_CURVE('',#169828,#169826,#96027,.T.); #211584=EDGE_CURVE('',#169829,#169827,#96028,.T.); #211585=EDGE_CURVE('',#169828,#169829,#96029,.T.); #211586=EDGE_CURVE('',#169830,#169828,#96030,.T.); #211587=EDGE_CURVE('',#169831,#169829,#96031,.T.); #211588=EDGE_CURVE('',#169830,#169831,#96032,.T.); #211589=EDGE_CURVE('',#169832,#169830,#96033,.T.); #211590=EDGE_CURVE('',#169833,#169831,#96034,.T.); #211591=EDGE_CURVE('',#169832,#169833,#96035,.T.); #211592=EDGE_CURVE('',#169834,#169832,#96036,.T.); #211593=EDGE_CURVE('',#169835,#169833,#96037,.T.); #211594=EDGE_CURVE('',#169834,#169835,#96038,.T.); #211595=EDGE_CURVE('',#169836,#169834,#96039,.T.); #211596=EDGE_CURVE('',#169837,#169835,#96040,.T.); #211597=EDGE_CURVE('',#169836,#169837,#96041,.T.); #211598=EDGE_CURVE('',#169838,#169836,#96042,.T.); #211599=EDGE_CURVE('',#169839,#169837,#96043,.T.); #211600=EDGE_CURVE('',#169838,#169839,#96044,.T.); #211601=EDGE_CURVE('',#169840,#169838,#96045,.T.); #211602=EDGE_CURVE('',#169841,#169839,#96046,.T.); #211603=EDGE_CURVE('',#169840,#169841,#96047,.T.); #211604=EDGE_CURVE('',#169795,#169840,#96048,.T.); #211605=EDGE_CURVE('',#169796,#169841,#96049,.T.); #211606=EDGE_CURVE('',#169842,#169843,#96050,.T.); #211607=EDGE_CURVE('',#169843,#169844,#96051,.T.); #211608=EDGE_CURVE('',#169845,#169844,#96052,.T.); #211609=EDGE_CURVE('',#169842,#169845,#96053,.T.); #211610=EDGE_CURVE('',#169846,#169842,#96054,.T.); #211611=EDGE_CURVE('',#169847,#169845,#96055,.T.); #211612=EDGE_CURVE('',#169846,#169847,#96056,.T.); #211613=EDGE_CURVE('',#169848,#169846,#96057,.T.); #211614=EDGE_CURVE('',#169849,#169847,#96058,.T.); #211615=EDGE_CURVE('',#169848,#169849,#96059,.T.); #211616=EDGE_CURVE('',#169850,#169848,#96060,.T.); #211617=EDGE_CURVE('',#169851,#169849,#96061,.T.); #211618=EDGE_CURVE('',#169850,#169851,#96062,.T.); #211619=EDGE_CURVE('',#169852,#169850,#96063,.T.); #211620=EDGE_CURVE('',#169853,#169851,#96064,.T.); #211621=EDGE_CURVE('',#169852,#169853,#96065,.T.); #211622=EDGE_CURVE('',#169854,#169852,#96066,.T.); #211623=EDGE_CURVE('',#169855,#169853,#96067,.T.); #211624=EDGE_CURVE('',#169854,#169855,#96068,.T.); #211625=EDGE_CURVE('',#169856,#169854,#96069,.T.); #211626=EDGE_CURVE('',#169857,#169855,#96070,.T.); #211627=EDGE_CURVE('',#169856,#169857,#96071,.T.); #211628=EDGE_CURVE('',#169858,#169856,#96072,.T.); #211629=EDGE_CURVE('',#169859,#169857,#96073,.T.); #211630=EDGE_CURVE('',#169858,#169859,#96074,.T.); #211631=EDGE_CURVE('',#169860,#169858,#96075,.T.); #211632=EDGE_CURVE('',#169861,#169859,#96076,.T.); #211633=EDGE_CURVE('',#169860,#169861,#96077,.T.); #211634=EDGE_CURVE('',#169862,#169860,#96078,.T.); #211635=EDGE_CURVE('',#169863,#169861,#96079,.T.); #211636=EDGE_CURVE('',#169862,#169863,#96080,.T.); #211637=EDGE_CURVE('',#169864,#169862,#96081,.T.); #211638=EDGE_CURVE('',#169865,#169863,#96082,.T.); #211639=EDGE_CURVE('',#169864,#169865,#96083,.T.); #211640=EDGE_CURVE('',#169866,#169864,#96084,.T.); #211641=EDGE_CURVE('',#169867,#169865,#96085,.T.); #211642=EDGE_CURVE('',#169866,#169867,#96086,.T.); #211643=EDGE_CURVE('',#169868,#169866,#96087,.T.); #211644=EDGE_CURVE('',#169869,#169867,#96088,.T.); #211645=EDGE_CURVE('',#169868,#169869,#96089,.T.); #211646=EDGE_CURVE('',#169870,#169868,#96090,.T.); #211647=EDGE_CURVE('',#169871,#169869,#96091,.T.); #211648=EDGE_CURVE('',#169870,#169871,#96092,.T.); #211649=EDGE_CURVE('',#169872,#169870,#96093,.T.); #211650=EDGE_CURVE('',#169873,#169871,#96094,.T.); #211651=EDGE_CURVE('',#169872,#169873,#96095,.T.); #211652=EDGE_CURVE('',#169874,#169872,#96096,.T.); #211653=EDGE_CURVE('',#169875,#169873,#96097,.T.); #211654=EDGE_CURVE('',#169874,#169875,#96098,.T.); #211655=EDGE_CURVE('',#169876,#169874,#96099,.T.); #211656=EDGE_CURVE('',#169877,#169875,#96100,.T.); #211657=EDGE_CURVE('',#169876,#169877,#96101,.T.); #211658=EDGE_CURVE('',#169878,#169876,#96102,.T.); #211659=EDGE_CURVE('',#169879,#169877,#96103,.T.); #211660=EDGE_CURVE('',#169878,#169879,#96104,.T.); #211661=EDGE_CURVE('',#169880,#169878,#96105,.T.); #211662=EDGE_CURVE('',#169881,#169879,#96106,.T.); #211663=EDGE_CURVE('',#169880,#169881,#96107,.T.); #211664=EDGE_CURVE('',#169882,#169880,#96108,.T.); #211665=EDGE_CURVE('',#169883,#169881,#96109,.T.); #211666=EDGE_CURVE('',#169882,#169883,#96110,.T.); #211667=EDGE_CURVE('',#169884,#169882,#96111,.T.); #211668=EDGE_CURVE('',#169885,#169883,#96112,.T.); #211669=EDGE_CURVE('',#169884,#169885,#96113,.T.); #211670=EDGE_CURVE('',#169886,#169884,#96114,.T.); #211671=EDGE_CURVE('',#169887,#169885,#96115,.T.); #211672=EDGE_CURVE('',#169886,#169887,#96116,.T.); #211673=EDGE_CURVE('',#169888,#169886,#96117,.T.); #211674=EDGE_CURVE('',#169889,#169887,#96118,.T.); #211675=EDGE_CURVE('',#169888,#169889,#96119,.T.); #211676=EDGE_CURVE('',#169890,#169888,#96120,.T.); #211677=EDGE_CURVE('',#169891,#169889,#96121,.T.); #211678=EDGE_CURVE('',#169890,#169891,#96122,.T.); #211679=EDGE_CURVE('',#169892,#169890,#96123,.T.); #211680=EDGE_CURVE('',#169893,#169891,#96124,.T.); #211681=EDGE_CURVE('',#169892,#169893,#96125,.T.); #211682=EDGE_CURVE('',#169894,#169892,#96126,.T.); #211683=EDGE_CURVE('',#169895,#169893,#96127,.T.); #211684=EDGE_CURVE('',#169894,#169895,#96128,.T.); #211685=EDGE_CURVE('',#169896,#169894,#96129,.T.); #211686=EDGE_CURVE('',#169897,#169895,#96130,.T.); #211687=EDGE_CURVE('',#169896,#169897,#96131,.T.); #211688=EDGE_CURVE('',#169898,#169896,#96132,.T.); #211689=EDGE_CURVE('',#169899,#169897,#96133,.T.); #211690=EDGE_CURVE('',#169898,#169899,#96134,.T.); #211691=EDGE_CURVE('',#169900,#169898,#96135,.T.); #211692=EDGE_CURVE('',#169901,#169899,#96136,.T.); #211693=EDGE_CURVE('',#169900,#169901,#96137,.T.); #211694=EDGE_CURVE('',#169902,#169900,#96138,.T.); #211695=EDGE_CURVE('',#169903,#169901,#96139,.T.); #211696=EDGE_CURVE('',#169902,#169903,#96140,.T.); #211697=EDGE_CURVE('',#169904,#169902,#96141,.T.); #211698=EDGE_CURVE('',#169905,#169903,#96142,.T.); #211699=EDGE_CURVE('',#169904,#169905,#96143,.T.); #211700=EDGE_CURVE('',#169906,#169904,#96144,.T.); #211701=EDGE_CURVE('',#169907,#169905,#96145,.T.); #211702=EDGE_CURVE('',#169906,#169907,#96146,.T.); #211703=EDGE_CURVE('',#169908,#169906,#96147,.T.); #211704=EDGE_CURVE('',#169909,#169907,#96148,.T.); #211705=EDGE_CURVE('',#169908,#169909,#96149,.T.); #211706=EDGE_CURVE('',#169910,#169908,#96150,.T.); #211707=EDGE_CURVE('',#169911,#169909,#96151,.T.); #211708=EDGE_CURVE('',#169910,#169911,#96152,.T.); #211709=EDGE_CURVE('',#169912,#169910,#96153,.T.); #211710=EDGE_CURVE('',#169913,#169911,#96154,.T.); #211711=EDGE_CURVE('',#169912,#169913,#96155,.T.); #211712=EDGE_CURVE('',#169914,#169912,#96156,.T.); #211713=EDGE_CURVE('',#169915,#169913,#96157,.T.); #211714=EDGE_CURVE('',#169914,#169915,#96158,.T.); #211715=EDGE_CURVE('',#169916,#169914,#96159,.T.); #211716=EDGE_CURVE('',#169917,#169915,#96160,.T.); #211717=EDGE_CURVE('',#169916,#169917,#96161,.T.); #211718=EDGE_CURVE('',#169918,#169916,#96162,.T.); #211719=EDGE_CURVE('',#169919,#169917,#96163,.T.); #211720=EDGE_CURVE('',#169918,#169919,#96164,.T.); #211721=EDGE_CURVE('',#169920,#169918,#96165,.T.); #211722=EDGE_CURVE('',#169921,#169919,#96166,.T.); #211723=EDGE_CURVE('',#169920,#169921,#96167,.T.); #211724=EDGE_CURVE('',#169922,#169920,#96168,.T.); #211725=EDGE_CURVE('',#169923,#169921,#96169,.T.); #211726=EDGE_CURVE('',#169922,#169923,#96170,.T.); #211727=EDGE_CURVE('',#169924,#169922,#96171,.T.); #211728=EDGE_CURVE('',#169925,#169923,#96172,.T.); #211729=EDGE_CURVE('',#169924,#169925,#96173,.T.); #211730=EDGE_CURVE('',#169926,#169924,#96174,.T.); #211731=EDGE_CURVE('',#169927,#169925,#96175,.T.); #211732=EDGE_CURVE('',#169926,#169927,#96176,.T.); #211733=EDGE_CURVE('',#169928,#169926,#96177,.T.); #211734=EDGE_CURVE('',#169929,#169927,#96178,.T.); #211735=EDGE_CURVE('',#169928,#169929,#96179,.T.); #211736=EDGE_CURVE('',#169930,#169928,#96180,.T.); #211737=EDGE_CURVE('',#169931,#169929,#96181,.T.); #211738=EDGE_CURVE('',#169930,#169931,#96182,.T.); #211739=EDGE_CURVE('',#169932,#169930,#96183,.T.); #211740=EDGE_CURVE('',#169933,#169931,#96184,.T.); #211741=EDGE_CURVE('',#169932,#169933,#96185,.T.); #211742=EDGE_CURVE('',#169934,#169932,#96186,.T.); #211743=EDGE_CURVE('',#169935,#169933,#96187,.T.); #211744=EDGE_CURVE('',#169934,#169935,#96188,.T.); #211745=EDGE_CURVE('',#169936,#169934,#96189,.T.); #211746=EDGE_CURVE('',#169937,#169935,#96190,.T.); #211747=EDGE_CURVE('',#169936,#169937,#96191,.T.); #211748=EDGE_CURVE('',#169938,#169936,#96192,.T.); #211749=EDGE_CURVE('',#169939,#169937,#96193,.T.); #211750=EDGE_CURVE('',#169938,#169939,#96194,.T.); #211751=EDGE_CURVE('',#169940,#169938,#96195,.T.); #211752=EDGE_CURVE('',#169941,#169939,#96196,.T.); #211753=EDGE_CURVE('',#169940,#169941,#96197,.T.); #211754=EDGE_CURVE('',#169942,#169940,#96198,.T.); #211755=EDGE_CURVE('',#169943,#169941,#96199,.T.); #211756=EDGE_CURVE('',#169942,#169943,#96200,.T.); #211757=EDGE_CURVE('',#169944,#169942,#96201,.T.); #211758=EDGE_CURVE('',#169945,#169943,#96202,.T.); #211759=EDGE_CURVE('',#169944,#169945,#96203,.T.); #211760=EDGE_CURVE('',#169946,#169944,#96204,.T.); #211761=EDGE_CURVE('',#169947,#169945,#96205,.T.); #211762=EDGE_CURVE('',#169946,#169947,#96206,.T.); #211763=EDGE_CURVE('',#169948,#169946,#96207,.T.); #211764=EDGE_CURVE('',#169949,#169947,#96208,.T.); #211765=EDGE_CURVE('',#169948,#169949,#96209,.T.); #211766=EDGE_CURVE('',#169950,#169948,#96210,.T.); #211767=EDGE_CURVE('',#169951,#169949,#96211,.T.); #211768=EDGE_CURVE('',#169950,#169951,#96212,.T.); #211769=EDGE_CURVE('',#169952,#169950,#96213,.T.); #211770=EDGE_CURVE('',#169953,#169951,#96214,.T.); #211771=EDGE_CURVE('',#169952,#169953,#96215,.T.); #211772=EDGE_CURVE('',#169954,#169952,#96216,.T.); #211773=EDGE_CURVE('',#169955,#169953,#96217,.T.); #211774=EDGE_CURVE('',#169954,#169955,#96218,.T.); #211775=EDGE_CURVE('',#169956,#169954,#96219,.T.); #211776=EDGE_CURVE('',#169957,#169955,#96220,.T.); #211777=EDGE_CURVE('',#169956,#169957,#96221,.T.); #211778=EDGE_CURVE('',#169958,#169956,#96222,.T.); #211779=EDGE_CURVE('',#169959,#169957,#96223,.T.); #211780=EDGE_CURVE('',#169958,#169959,#96224,.T.); #211781=EDGE_CURVE('',#169960,#169958,#96225,.T.); #211782=EDGE_CURVE('',#169961,#169959,#96226,.T.); #211783=EDGE_CURVE('',#169960,#169961,#96227,.T.); #211784=EDGE_CURVE('',#169962,#169960,#96228,.T.); #211785=EDGE_CURVE('',#169963,#169961,#96229,.T.); #211786=EDGE_CURVE('',#169962,#169963,#96230,.T.); #211787=EDGE_CURVE('',#169964,#169962,#96231,.T.); #211788=EDGE_CURVE('',#169965,#169963,#96232,.T.); #211789=EDGE_CURVE('',#169964,#169965,#96233,.T.); #211790=EDGE_CURVE('',#169966,#169964,#96234,.T.); #211791=EDGE_CURVE('',#169967,#169965,#96235,.T.); #211792=EDGE_CURVE('',#169966,#169967,#96236,.T.); #211793=EDGE_CURVE('',#169968,#169966,#96237,.T.); #211794=EDGE_CURVE('',#169969,#169967,#96238,.T.); #211795=EDGE_CURVE('',#169968,#169969,#96239,.T.); #211796=EDGE_CURVE('',#169970,#169968,#96240,.T.); #211797=EDGE_CURVE('',#169971,#169969,#96241,.T.); #211798=EDGE_CURVE('',#169970,#169971,#96242,.T.); #211799=EDGE_CURVE('',#169972,#169970,#96243,.T.); #211800=EDGE_CURVE('',#169973,#169971,#96244,.T.); #211801=EDGE_CURVE('',#169972,#169973,#96245,.T.); #211802=EDGE_CURVE('',#169974,#169972,#96246,.T.); #211803=EDGE_CURVE('',#169975,#169973,#96247,.T.); #211804=EDGE_CURVE('',#169974,#169975,#96248,.T.); #211805=EDGE_CURVE('',#169976,#169974,#96249,.T.); #211806=EDGE_CURVE('',#169977,#169975,#96250,.T.); #211807=EDGE_CURVE('',#169976,#169977,#96251,.T.); #211808=EDGE_CURVE('',#169978,#169976,#96252,.T.); #211809=EDGE_CURVE('',#169979,#169977,#96253,.T.); #211810=EDGE_CURVE('',#169978,#169979,#96254,.T.); #211811=EDGE_CURVE('',#169980,#169978,#96255,.T.); #211812=EDGE_CURVE('',#169981,#169979,#96256,.T.); #211813=EDGE_CURVE('',#169980,#169981,#96257,.T.); #211814=EDGE_CURVE('',#169982,#169980,#96258,.T.); #211815=EDGE_CURVE('',#169983,#169981,#96259,.T.); #211816=EDGE_CURVE('',#169982,#169983,#96260,.T.); #211817=EDGE_CURVE('',#169984,#169982,#96261,.T.); #211818=EDGE_CURVE('',#169985,#169983,#96262,.T.); #211819=EDGE_CURVE('',#169984,#169985,#96263,.T.); #211820=EDGE_CURVE('',#169986,#169984,#96264,.T.); #211821=EDGE_CURVE('',#169987,#169985,#96265,.T.); #211822=EDGE_CURVE('',#169986,#169987,#96266,.T.); #211823=EDGE_CURVE('',#169988,#169986,#96267,.T.); #211824=EDGE_CURVE('',#169989,#169987,#96268,.T.); #211825=EDGE_CURVE('',#169988,#169989,#96269,.T.); #211826=EDGE_CURVE('',#169990,#169988,#96270,.T.); #211827=EDGE_CURVE('',#169991,#169989,#96271,.T.); #211828=EDGE_CURVE('',#169990,#169991,#96272,.T.); #211829=EDGE_CURVE('',#169992,#169990,#96273,.T.); #211830=EDGE_CURVE('',#169993,#169991,#96274,.T.); #211831=EDGE_CURVE('',#169992,#169993,#96275,.T.); #211832=EDGE_CURVE('',#169994,#169992,#96276,.T.); #211833=EDGE_CURVE('',#169995,#169993,#96277,.T.); #211834=EDGE_CURVE('',#169994,#169995,#96278,.T.); #211835=EDGE_CURVE('',#169996,#169994,#96279,.T.); #211836=EDGE_CURVE('',#169997,#169995,#96280,.T.); #211837=EDGE_CURVE('',#169996,#169997,#96281,.T.); #211838=EDGE_CURVE('',#169998,#169996,#96282,.T.); #211839=EDGE_CURVE('',#169999,#169997,#96283,.T.); #211840=EDGE_CURVE('',#169998,#169999,#96284,.T.); #211841=EDGE_CURVE('',#170000,#169998,#96285,.T.); #211842=EDGE_CURVE('',#170001,#169999,#96286,.T.); #211843=EDGE_CURVE('',#170000,#170001,#96287,.T.); #211844=EDGE_CURVE('',#170002,#170000,#96288,.T.); #211845=EDGE_CURVE('',#170003,#170001,#96289,.T.); #211846=EDGE_CURVE('',#170002,#170003,#96290,.T.); #211847=EDGE_CURVE('',#170004,#170002,#96291,.T.); #211848=EDGE_CURVE('',#170005,#170003,#96292,.T.); #211849=EDGE_CURVE('',#170004,#170005,#96293,.T.); #211850=EDGE_CURVE('',#170006,#170004,#96294,.T.); #211851=EDGE_CURVE('',#170007,#170005,#96295,.T.); #211852=EDGE_CURVE('',#170006,#170007,#96296,.T.); #211853=EDGE_CURVE('',#170008,#170006,#96297,.T.); #211854=EDGE_CURVE('',#170009,#170007,#96298,.T.); #211855=EDGE_CURVE('',#170008,#170009,#96299,.T.); #211856=EDGE_CURVE('',#170010,#170008,#96300,.T.); #211857=EDGE_CURVE('',#170011,#170009,#96301,.T.); #211858=EDGE_CURVE('',#170010,#170011,#96302,.T.); #211859=EDGE_CURVE('',#170012,#170010,#96303,.T.); #211860=EDGE_CURVE('',#170013,#170011,#96304,.T.); #211861=EDGE_CURVE('',#170012,#170013,#96305,.T.); #211862=EDGE_CURVE('',#170014,#170012,#96306,.T.); #211863=EDGE_CURVE('',#170015,#170013,#96307,.T.); #211864=EDGE_CURVE('',#170014,#170015,#96308,.T.); #211865=EDGE_CURVE('',#170016,#170014,#96309,.T.); #211866=EDGE_CURVE('',#170017,#170015,#96310,.T.); #211867=EDGE_CURVE('',#170016,#170017,#96311,.T.); #211868=EDGE_CURVE('',#170018,#170016,#96312,.T.); #211869=EDGE_CURVE('',#170019,#170017,#96313,.T.); #211870=EDGE_CURVE('',#170018,#170019,#96314,.T.); #211871=EDGE_CURVE('',#170020,#170018,#96315,.T.); #211872=EDGE_CURVE('',#170021,#170019,#96316,.T.); #211873=EDGE_CURVE('',#170020,#170021,#96317,.T.); #211874=EDGE_CURVE('',#170022,#170020,#96318,.T.); #211875=EDGE_CURVE('',#170023,#170021,#96319,.T.); #211876=EDGE_CURVE('',#170022,#170023,#96320,.T.); #211877=EDGE_CURVE('',#170024,#170022,#96321,.T.); #211878=EDGE_CURVE('',#170025,#170023,#96322,.T.); #211879=EDGE_CURVE('',#170024,#170025,#96323,.T.); #211880=EDGE_CURVE('',#170026,#170024,#96324,.T.); #211881=EDGE_CURVE('',#170027,#170025,#96325,.T.); #211882=EDGE_CURVE('',#170026,#170027,#96326,.T.); #211883=EDGE_CURVE('',#170028,#170026,#96327,.T.); #211884=EDGE_CURVE('',#170029,#170027,#96328,.T.); #211885=EDGE_CURVE('',#170028,#170029,#96329,.T.); #211886=EDGE_CURVE('',#170030,#170028,#96330,.T.); #211887=EDGE_CURVE('',#170031,#170029,#96331,.T.); #211888=EDGE_CURVE('',#170030,#170031,#96332,.T.); #211889=EDGE_CURVE('',#170032,#170030,#96333,.T.); #211890=EDGE_CURVE('',#170033,#170031,#96334,.T.); #211891=EDGE_CURVE('',#170032,#170033,#96335,.T.); #211892=EDGE_CURVE('',#170034,#170032,#96336,.T.); #211893=EDGE_CURVE('',#170035,#170033,#96337,.T.); #211894=EDGE_CURVE('',#170034,#170035,#96338,.T.); #211895=EDGE_CURVE('',#170036,#170034,#96339,.T.); #211896=EDGE_CURVE('',#170037,#170035,#96340,.T.); #211897=EDGE_CURVE('',#170036,#170037,#96341,.T.); #211898=EDGE_CURVE('',#170038,#170036,#96342,.T.); #211899=EDGE_CURVE('',#170039,#170037,#96343,.T.); #211900=EDGE_CURVE('',#170038,#170039,#96344,.T.); #211901=EDGE_CURVE('',#170040,#170038,#96345,.T.); #211902=EDGE_CURVE('',#170041,#170039,#96346,.T.); #211903=EDGE_CURVE('',#170040,#170041,#96347,.T.); #211904=EDGE_CURVE('',#170042,#170040,#96348,.T.); #211905=EDGE_CURVE('',#170043,#170041,#96349,.T.); #211906=EDGE_CURVE('',#170042,#170043,#96350,.T.); #211907=EDGE_CURVE('',#170044,#170042,#96351,.T.); #211908=EDGE_CURVE('',#170045,#170043,#96352,.T.); #211909=EDGE_CURVE('',#170044,#170045,#96353,.T.); #211910=EDGE_CURVE('',#170046,#170044,#96354,.T.); #211911=EDGE_CURVE('',#170047,#170045,#96355,.T.); #211912=EDGE_CURVE('',#170046,#170047,#96356,.T.); #211913=EDGE_CURVE('',#170048,#170046,#96357,.T.); #211914=EDGE_CURVE('',#170049,#170047,#96358,.T.); #211915=EDGE_CURVE('',#170048,#170049,#96359,.T.); #211916=EDGE_CURVE('',#170050,#170048,#96360,.T.); #211917=EDGE_CURVE('',#170051,#170049,#96361,.T.); #211918=EDGE_CURVE('',#170050,#170051,#96362,.T.); #211919=EDGE_CURVE('',#170052,#170050,#96363,.T.); #211920=EDGE_CURVE('',#170053,#170051,#96364,.T.); #211921=EDGE_CURVE('',#170052,#170053,#96365,.T.); #211922=EDGE_CURVE('',#170054,#170052,#96366,.T.); #211923=EDGE_CURVE('',#170055,#170053,#96367,.T.); #211924=EDGE_CURVE('',#170054,#170055,#96368,.T.); #211925=EDGE_CURVE('',#170056,#170054,#96369,.T.); #211926=EDGE_CURVE('',#170057,#170055,#96370,.T.); #211927=EDGE_CURVE('',#170056,#170057,#96371,.T.); #211928=EDGE_CURVE('',#170058,#170056,#96372,.T.); #211929=EDGE_CURVE('',#170059,#170057,#96373,.T.); #211930=EDGE_CURVE('',#170058,#170059,#96374,.T.); #211931=EDGE_CURVE('',#170060,#170058,#96375,.T.); #211932=EDGE_CURVE('',#170061,#170059,#96376,.T.); #211933=EDGE_CURVE('',#170060,#170061,#96377,.T.); #211934=EDGE_CURVE('',#170062,#170060,#96378,.T.); #211935=EDGE_CURVE('',#170063,#170061,#96379,.T.); #211936=EDGE_CURVE('',#170062,#170063,#96380,.T.); #211937=EDGE_CURVE('',#170064,#170062,#96381,.T.); #211938=EDGE_CURVE('',#170065,#170063,#96382,.T.); #211939=EDGE_CURVE('',#170064,#170065,#96383,.T.); #211940=EDGE_CURVE('',#170066,#170064,#96384,.T.); #211941=EDGE_CURVE('',#170067,#170065,#96385,.T.); #211942=EDGE_CURVE('',#170066,#170067,#96386,.T.); #211943=EDGE_CURVE('',#170068,#170066,#96387,.T.); #211944=EDGE_CURVE('',#170069,#170067,#96388,.T.); #211945=EDGE_CURVE('',#170068,#170069,#96389,.T.); #211946=EDGE_CURVE('',#170070,#170068,#96390,.T.); #211947=EDGE_CURVE('',#170071,#170069,#96391,.T.); #211948=EDGE_CURVE('',#170070,#170071,#96392,.T.); #211949=EDGE_CURVE('',#170072,#170070,#96393,.T.); #211950=EDGE_CURVE('',#170073,#170071,#96394,.T.); #211951=EDGE_CURVE('',#170072,#170073,#96395,.T.); #211952=EDGE_CURVE('',#170074,#170072,#96396,.T.); #211953=EDGE_CURVE('',#170075,#170073,#96397,.T.); #211954=EDGE_CURVE('',#170074,#170075,#96398,.T.); #211955=EDGE_CURVE('',#170076,#170074,#96399,.T.); #211956=EDGE_CURVE('',#170077,#170075,#96400,.T.); #211957=EDGE_CURVE('',#170076,#170077,#96401,.T.); #211958=EDGE_CURVE('',#170078,#170076,#96402,.T.); #211959=EDGE_CURVE('',#170079,#170077,#96403,.T.); #211960=EDGE_CURVE('',#170078,#170079,#96404,.T.); #211961=EDGE_CURVE('',#170080,#170078,#96405,.T.); #211962=EDGE_CURVE('',#170081,#170079,#96406,.T.); #211963=EDGE_CURVE('',#170080,#170081,#96407,.T.); #211964=EDGE_CURVE('',#170082,#170080,#96408,.T.); #211965=EDGE_CURVE('',#170083,#170081,#96409,.T.); #211966=EDGE_CURVE('',#170082,#170083,#96410,.T.); #211967=EDGE_CURVE('',#170084,#170082,#96411,.T.); #211968=EDGE_CURVE('',#170085,#170083,#96412,.T.); #211969=EDGE_CURVE('',#170084,#170085,#96413,.T.); #211970=EDGE_CURVE('',#170086,#170084,#96414,.T.); #211971=EDGE_CURVE('',#170087,#170085,#96415,.T.); #211972=EDGE_CURVE('',#170086,#170087,#96416,.T.); #211973=EDGE_CURVE('',#170088,#170086,#96417,.T.); #211974=EDGE_CURVE('',#170089,#170087,#96418,.T.); #211975=EDGE_CURVE('',#170088,#170089,#96419,.T.); #211976=EDGE_CURVE('',#170090,#170088,#96420,.T.); #211977=EDGE_CURVE('',#170091,#170089,#96421,.T.); #211978=EDGE_CURVE('',#170090,#170091,#96422,.T.); #211979=EDGE_CURVE('',#170092,#170090,#96423,.T.); #211980=EDGE_CURVE('',#170093,#170091,#96424,.T.); #211981=EDGE_CURVE('',#170092,#170093,#96425,.T.); #211982=EDGE_CURVE('',#170094,#170092,#96426,.T.); #211983=EDGE_CURVE('',#170095,#170093,#96427,.T.); #211984=EDGE_CURVE('',#170094,#170095,#96428,.T.); #211985=EDGE_CURVE('',#170096,#170094,#96429,.T.); #211986=EDGE_CURVE('',#170097,#170095,#96430,.T.); #211987=EDGE_CURVE('',#170096,#170097,#96431,.T.); #211988=EDGE_CURVE('',#170098,#170096,#96432,.T.); #211989=EDGE_CURVE('',#170099,#170097,#96433,.T.); #211990=EDGE_CURVE('',#170098,#170099,#96434,.T.); #211991=EDGE_CURVE('',#170100,#170098,#96435,.T.); #211992=EDGE_CURVE('',#170101,#170099,#96436,.T.); #211993=EDGE_CURVE('',#170100,#170101,#96437,.T.); #211994=EDGE_CURVE('',#170102,#170100,#96438,.T.); #211995=EDGE_CURVE('',#170103,#170101,#96439,.T.); #211996=EDGE_CURVE('',#170102,#170103,#96440,.T.); #211997=EDGE_CURVE('',#170104,#170102,#96441,.T.); #211998=EDGE_CURVE('',#170105,#170103,#96442,.T.); #211999=EDGE_CURVE('',#170104,#170105,#96443,.T.); #212000=EDGE_CURVE('',#170106,#170104,#96444,.T.); #212001=EDGE_CURVE('',#170107,#170105,#96445,.T.); #212002=EDGE_CURVE('',#170106,#170107,#96446,.T.); #212003=EDGE_CURVE('',#170108,#170106,#96447,.T.); #212004=EDGE_CURVE('',#170109,#170107,#96448,.T.); #212005=EDGE_CURVE('',#170108,#170109,#96449,.T.); #212006=EDGE_CURVE('',#170110,#170108,#96450,.T.); #212007=EDGE_CURVE('',#170111,#170109,#96451,.T.); #212008=EDGE_CURVE('',#170110,#170111,#96452,.T.); #212009=EDGE_CURVE('',#170112,#170110,#96453,.T.); #212010=EDGE_CURVE('',#170113,#170111,#96454,.T.); #212011=EDGE_CURVE('',#170112,#170113,#96455,.T.); #212012=EDGE_CURVE('',#170114,#170112,#96456,.T.); #212013=EDGE_CURVE('',#170115,#170113,#96457,.T.); #212014=EDGE_CURVE('',#170114,#170115,#96458,.T.); #212015=EDGE_CURVE('',#170116,#170114,#96459,.T.); #212016=EDGE_CURVE('',#170117,#170115,#96460,.T.); #212017=EDGE_CURVE('',#170116,#170117,#96461,.T.); #212018=EDGE_CURVE('',#170118,#170116,#96462,.T.); #212019=EDGE_CURVE('',#170119,#170117,#96463,.T.); #212020=EDGE_CURVE('',#170118,#170119,#96464,.T.); #212021=EDGE_CURVE('',#170120,#170118,#96465,.T.); #212022=EDGE_CURVE('',#170121,#170119,#96466,.T.); #212023=EDGE_CURVE('',#170120,#170121,#96467,.T.); #212024=EDGE_CURVE('',#170122,#170120,#96468,.T.); #212025=EDGE_CURVE('',#170123,#170121,#96469,.T.); #212026=EDGE_CURVE('',#170122,#170123,#96470,.T.); #212027=EDGE_CURVE('',#170124,#170122,#96471,.T.); #212028=EDGE_CURVE('',#170125,#170123,#96472,.T.); #212029=EDGE_CURVE('',#170124,#170125,#96473,.T.); #212030=EDGE_CURVE('',#170126,#170124,#96474,.T.); #212031=EDGE_CURVE('',#170127,#170125,#96475,.T.); #212032=EDGE_CURVE('',#170126,#170127,#96476,.T.); #212033=EDGE_CURVE('',#170128,#170126,#96477,.T.); #212034=EDGE_CURVE('',#170129,#170127,#96478,.T.); #212035=EDGE_CURVE('',#170128,#170129,#96479,.T.); #212036=EDGE_CURVE('',#170130,#170128,#96480,.T.); #212037=EDGE_CURVE('',#170131,#170129,#96481,.T.); #212038=EDGE_CURVE('',#170130,#170131,#96482,.T.); #212039=EDGE_CURVE('',#170132,#170130,#96483,.T.); #212040=EDGE_CURVE('',#170133,#170131,#96484,.T.); #212041=EDGE_CURVE('',#170132,#170133,#96485,.T.); #212042=EDGE_CURVE('',#170134,#170132,#96486,.T.); #212043=EDGE_CURVE('',#170135,#170133,#96487,.T.); #212044=EDGE_CURVE('',#170134,#170135,#96488,.T.); #212045=EDGE_CURVE('',#170136,#170134,#96489,.T.); #212046=EDGE_CURVE('',#170137,#170135,#96490,.T.); #212047=EDGE_CURVE('',#170136,#170137,#96491,.T.); #212048=EDGE_CURVE('',#170138,#170136,#96492,.T.); #212049=EDGE_CURVE('',#170139,#170137,#96493,.T.); #212050=EDGE_CURVE('',#170138,#170139,#96494,.T.); #212051=EDGE_CURVE('',#170140,#170138,#96495,.T.); #212052=EDGE_CURVE('',#170141,#170139,#96496,.T.); #212053=EDGE_CURVE('',#170140,#170141,#96497,.T.); #212054=EDGE_CURVE('',#170142,#170140,#96498,.T.); #212055=EDGE_CURVE('',#170143,#170141,#96499,.T.); #212056=EDGE_CURVE('',#170142,#170143,#96500,.T.); #212057=EDGE_CURVE('',#170144,#170142,#96501,.T.); #212058=EDGE_CURVE('',#170145,#170143,#96502,.T.); #212059=EDGE_CURVE('',#170144,#170145,#96503,.T.); #212060=EDGE_CURVE('',#170146,#170144,#96504,.T.); #212061=EDGE_CURVE('',#170147,#170145,#96505,.T.); #212062=EDGE_CURVE('',#170146,#170147,#96506,.T.); #212063=EDGE_CURVE('',#170148,#170146,#96507,.T.); #212064=EDGE_CURVE('',#170149,#170147,#96508,.T.); #212065=EDGE_CURVE('',#170148,#170149,#96509,.T.); #212066=EDGE_CURVE('',#170150,#170148,#96510,.T.); #212067=EDGE_CURVE('',#170151,#170149,#96511,.T.); #212068=EDGE_CURVE('',#170150,#170151,#96512,.T.); #212069=EDGE_CURVE('',#170152,#170150,#96513,.T.); #212070=EDGE_CURVE('',#170153,#170151,#96514,.T.); #212071=EDGE_CURVE('',#170152,#170153,#96515,.T.); #212072=EDGE_CURVE('',#170154,#170152,#96516,.T.); #212073=EDGE_CURVE('',#170155,#170153,#96517,.T.); #212074=EDGE_CURVE('',#170154,#170155,#96518,.T.); #212075=EDGE_CURVE('',#170156,#170154,#96519,.T.); #212076=EDGE_CURVE('',#170157,#170155,#96520,.T.); #212077=EDGE_CURVE('',#170156,#170157,#96521,.T.); #212078=EDGE_CURVE('',#170158,#170156,#96522,.T.); #212079=EDGE_CURVE('',#170159,#170157,#96523,.T.); #212080=EDGE_CURVE('',#170158,#170159,#96524,.T.); #212081=EDGE_CURVE('',#170160,#170158,#96525,.T.); #212082=EDGE_CURVE('',#170161,#170159,#96526,.T.); #212083=EDGE_CURVE('',#170160,#170161,#96527,.T.); #212084=EDGE_CURVE('',#170162,#170160,#96528,.T.); #212085=EDGE_CURVE('',#170163,#170161,#96529,.T.); #212086=EDGE_CURVE('',#170162,#170163,#96530,.T.); #212087=EDGE_CURVE('',#170164,#170162,#96531,.T.); #212088=EDGE_CURVE('',#170165,#170163,#96532,.T.); #212089=EDGE_CURVE('',#170164,#170165,#96533,.T.); #212090=EDGE_CURVE('',#170166,#170164,#96534,.T.); #212091=EDGE_CURVE('',#170167,#170165,#96535,.T.); #212092=EDGE_CURVE('',#170166,#170167,#96536,.T.); #212093=EDGE_CURVE('',#170168,#170166,#96537,.T.); #212094=EDGE_CURVE('',#170169,#170167,#96538,.T.); #212095=EDGE_CURVE('',#170168,#170169,#96539,.T.); #212096=EDGE_CURVE('',#170170,#170168,#96540,.T.); #212097=EDGE_CURVE('',#170171,#170169,#96541,.T.); #212098=EDGE_CURVE('',#170170,#170171,#96542,.T.); #212099=EDGE_CURVE('',#170172,#170170,#96543,.T.); #212100=EDGE_CURVE('',#170173,#170171,#96544,.T.); #212101=EDGE_CURVE('',#170172,#170173,#96545,.T.); #212102=EDGE_CURVE('',#170174,#170172,#96546,.T.); #212103=EDGE_CURVE('',#170175,#170173,#96547,.T.); #212104=EDGE_CURVE('',#170174,#170175,#96548,.T.); #212105=EDGE_CURVE('',#170176,#170174,#96549,.T.); #212106=EDGE_CURVE('',#170177,#170175,#96550,.T.); #212107=EDGE_CURVE('',#170176,#170177,#96551,.T.); #212108=EDGE_CURVE('',#170178,#170176,#96552,.T.); #212109=EDGE_CURVE('',#170179,#170177,#96553,.T.); #212110=EDGE_CURVE('',#170178,#170179,#96554,.T.); #212111=EDGE_CURVE('',#170180,#170178,#96555,.T.); #212112=EDGE_CURVE('',#170181,#170179,#96556,.T.); #212113=EDGE_CURVE('',#170180,#170181,#96557,.T.); #212114=EDGE_CURVE('',#170182,#170180,#96558,.T.); #212115=EDGE_CURVE('',#170183,#170181,#96559,.T.); #212116=EDGE_CURVE('',#170182,#170183,#96560,.T.); #212117=EDGE_CURVE('',#170184,#170182,#96561,.T.); #212118=EDGE_CURVE('',#170185,#170183,#96562,.T.); #212119=EDGE_CURVE('',#170184,#170185,#96563,.T.); #212120=EDGE_CURVE('',#170186,#170184,#96564,.T.); #212121=EDGE_CURVE('',#170187,#170185,#96565,.T.); #212122=EDGE_CURVE('',#170186,#170187,#96566,.T.); #212123=EDGE_CURVE('',#170188,#170186,#96567,.T.); #212124=EDGE_CURVE('',#170189,#170187,#96568,.T.); #212125=EDGE_CURVE('',#170188,#170189,#96569,.T.); #212126=EDGE_CURVE('',#170190,#170188,#96570,.T.); #212127=EDGE_CURVE('',#170191,#170189,#96571,.T.); #212128=EDGE_CURVE('',#170190,#170191,#96572,.T.); #212129=EDGE_CURVE('',#170192,#170190,#96573,.T.); #212130=EDGE_CURVE('',#170193,#170191,#96574,.T.); #212131=EDGE_CURVE('',#170192,#170193,#96575,.T.); #212132=EDGE_CURVE('',#170194,#170192,#96576,.T.); #212133=EDGE_CURVE('',#170195,#170193,#96577,.T.); #212134=EDGE_CURVE('',#170194,#170195,#96578,.T.); #212135=EDGE_CURVE('',#170196,#170194,#96579,.T.); #212136=EDGE_CURVE('',#170197,#170195,#96580,.T.); #212137=EDGE_CURVE('',#170196,#170197,#96581,.T.); #212138=EDGE_CURVE('',#170198,#170196,#96582,.T.); #212139=EDGE_CURVE('',#170199,#170197,#96583,.T.); #212140=EDGE_CURVE('',#170198,#170199,#96584,.T.); #212141=EDGE_CURVE('',#170200,#170198,#96585,.T.); #212142=EDGE_CURVE('',#170201,#170199,#96586,.T.); #212143=EDGE_CURVE('',#170200,#170201,#96587,.T.); #212144=EDGE_CURVE('',#170202,#170200,#96588,.T.); #212145=EDGE_CURVE('',#170203,#170201,#96589,.T.); #212146=EDGE_CURVE('',#170202,#170203,#96590,.T.); #212147=EDGE_CURVE('',#170204,#170202,#96591,.T.); #212148=EDGE_CURVE('',#170205,#170203,#96592,.T.); #212149=EDGE_CURVE('',#170204,#170205,#96593,.T.); #212150=EDGE_CURVE('',#170206,#170204,#96594,.T.); #212151=EDGE_CURVE('',#170207,#170205,#96595,.T.); #212152=EDGE_CURVE('',#170206,#170207,#96596,.T.); #212153=EDGE_CURVE('',#170208,#170206,#96597,.T.); #212154=EDGE_CURVE('',#170209,#170207,#96598,.T.); #212155=EDGE_CURVE('',#170208,#170209,#96599,.T.); #212156=EDGE_CURVE('',#170210,#170208,#96600,.T.); #212157=EDGE_CURVE('',#170211,#170209,#96601,.T.); #212158=EDGE_CURVE('',#170210,#170211,#96602,.T.); #212159=EDGE_CURVE('',#170212,#170210,#96603,.T.); #212160=EDGE_CURVE('',#170213,#170211,#96604,.T.); #212161=EDGE_CURVE('',#170212,#170213,#96605,.T.); #212162=EDGE_CURVE('',#170214,#170212,#96606,.T.); #212163=EDGE_CURVE('',#170215,#170213,#96607,.T.); #212164=EDGE_CURVE('',#170214,#170215,#96608,.T.); #212165=EDGE_CURVE('',#170216,#170214,#96609,.T.); #212166=EDGE_CURVE('',#170217,#170215,#96610,.T.); #212167=EDGE_CURVE('',#170216,#170217,#96611,.T.); #212168=EDGE_CURVE('',#170218,#170216,#96612,.T.); #212169=EDGE_CURVE('',#170219,#170217,#96613,.T.); #212170=EDGE_CURVE('',#170218,#170219,#96614,.T.); #212171=EDGE_CURVE('',#170220,#170218,#96615,.T.); #212172=EDGE_CURVE('',#170221,#170219,#96616,.T.); #212173=EDGE_CURVE('',#170220,#170221,#96617,.T.); #212174=EDGE_CURVE('',#170222,#170220,#96618,.T.); #212175=EDGE_CURVE('',#170223,#170221,#96619,.T.); #212176=EDGE_CURVE('',#170222,#170223,#96620,.T.); #212177=EDGE_CURVE('',#170224,#170222,#96621,.T.); #212178=EDGE_CURVE('',#170225,#170223,#96622,.T.); #212179=EDGE_CURVE('',#170224,#170225,#96623,.T.); #212180=EDGE_CURVE('',#170226,#170224,#96624,.T.); #212181=EDGE_CURVE('',#170227,#170225,#96625,.T.); #212182=EDGE_CURVE('',#170226,#170227,#96626,.T.); #212183=EDGE_CURVE('',#170228,#170226,#96627,.T.); #212184=EDGE_CURVE('',#170229,#170227,#96628,.T.); #212185=EDGE_CURVE('',#170228,#170229,#96629,.T.); #212186=EDGE_CURVE('',#170230,#170228,#96630,.T.); #212187=EDGE_CURVE('',#170231,#170229,#96631,.T.); #212188=EDGE_CURVE('',#170230,#170231,#96632,.T.); #212189=EDGE_CURVE('',#170232,#170230,#96633,.T.); #212190=EDGE_CURVE('',#170233,#170231,#96634,.T.); #212191=EDGE_CURVE('',#170232,#170233,#96635,.T.); #212192=EDGE_CURVE('',#170234,#170232,#96636,.T.); #212193=EDGE_CURVE('',#170235,#170233,#96637,.T.); #212194=EDGE_CURVE('',#170234,#170235,#96638,.T.); #212195=EDGE_CURVE('',#170236,#170234,#96639,.T.); #212196=EDGE_CURVE('',#170237,#170235,#96640,.T.); #212197=EDGE_CURVE('',#170236,#170237,#96641,.T.); #212198=EDGE_CURVE('',#170238,#170236,#96642,.T.); #212199=EDGE_CURVE('',#170239,#170237,#96643,.T.); #212200=EDGE_CURVE('',#170238,#170239,#96644,.T.); #212201=EDGE_CURVE('',#170240,#170238,#96645,.T.); #212202=EDGE_CURVE('',#170241,#170239,#96646,.T.); #212203=EDGE_CURVE('',#170240,#170241,#96647,.T.); #212204=EDGE_CURVE('',#170242,#170240,#96648,.T.); #212205=EDGE_CURVE('',#170243,#170241,#96649,.T.); #212206=EDGE_CURVE('',#170242,#170243,#96650,.T.); #212207=EDGE_CURVE('',#170244,#170242,#96651,.T.); #212208=EDGE_CURVE('',#170245,#170243,#96652,.T.); #212209=EDGE_CURVE('',#170244,#170245,#96653,.T.); #212210=EDGE_CURVE('',#170246,#170244,#96654,.T.); #212211=EDGE_CURVE('',#170247,#170245,#96655,.T.); #212212=EDGE_CURVE('',#170246,#170247,#96656,.T.); #212213=EDGE_CURVE('',#170248,#170246,#96657,.T.); #212214=EDGE_CURVE('',#170249,#170247,#96658,.T.); #212215=EDGE_CURVE('',#170248,#170249,#96659,.T.); #212216=EDGE_CURVE('',#170250,#170248,#96660,.T.); #212217=EDGE_CURVE('',#170251,#170249,#96661,.T.); #212218=EDGE_CURVE('',#170250,#170251,#96662,.T.); #212219=EDGE_CURVE('',#170252,#170250,#96663,.T.); #212220=EDGE_CURVE('',#170253,#170251,#96664,.T.); #212221=EDGE_CURVE('',#170252,#170253,#96665,.T.); #212222=EDGE_CURVE('',#170254,#170252,#96666,.T.); #212223=EDGE_CURVE('',#170255,#170253,#96667,.T.); #212224=EDGE_CURVE('',#170254,#170255,#96668,.T.); #212225=EDGE_CURVE('',#170256,#170254,#96669,.T.); #212226=EDGE_CURVE('',#170257,#170255,#96670,.T.); #212227=EDGE_CURVE('',#170256,#170257,#96671,.T.); #212228=EDGE_CURVE('',#170258,#170256,#96672,.T.); #212229=EDGE_CURVE('',#170259,#170257,#96673,.T.); #212230=EDGE_CURVE('',#170258,#170259,#96674,.T.); #212231=EDGE_CURVE('',#170260,#170258,#96675,.T.); #212232=EDGE_CURVE('',#170261,#170259,#96676,.T.); #212233=EDGE_CURVE('',#170260,#170261,#96677,.T.); #212234=EDGE_CURVE('',#170262,#170260,#96678,.T.); #212235=EDGE_CURVE('',#170263,#170261,#96679,.T.); #212236=EDGE_CURVE('',#170262,#170263,#96680,.T.); #212237=EDGE_CURVE('',#170264,#170262,#96681,.T.); #212238=EDGE_CURVE('',#170265,#170263,#96682,.T.); #212239=EDGE_CURVE('',#170264,#170265,#96683,.T.); #212240=EDGE_CURVE('',#170266,#170264,#96684,.T.); #212241=EDGE_CURVE('',#170267,#170265,#96685,.T.); #212242=EDGE_CURVE('',#170266,#170267,#96686,.T.); #212243=EDGE_CURVE('',#170268,#170266,#96687,.T.); #212244=EDGE_CURVE('',#170269,#170267,#96688,.T.); #212245=EDGE_CURVE('',#170268,#170269,#96689,.T.); #212246=EDGE_CURVE('',#170270,#170268,#96690,.T.); #212247=EDGE_CURVE('',#170271,#170269,#96691,.T.); #212248=EDGE_CURVE('',#170270,#170271,#96692,.T.); #212249=EDGE_CURVE('',#170272,#170270,#96693,.T.); #212250=EDGE_CURVE('',#170273,#170271,#96694,.T.); #212251=EDGE_CURVE('',#170272,#170273,#96695,.T.); #212252=EDGE_CURVE('',#170274,#170272,#96696,.T.); #212253=EDGE_CURVE('',#170275,#170273,#96697,.T.); #212254=EDGE_CURVE('',#170274,#170275,#96698,.T.); #212255=EDGE_CURVE('',#170276,#170274,#96699,.T.); #212256=EDGE_CURVE('',#170277,#170275,#96700,.T.); #212257=EDGE_CURVE('',#170276,#170277,#96701,.T.); #212258=EDGE_CURVE('',#170278,#170276,#96702,.T.); #212259=EDGE_CURVE('',#170279,#170277,#96703,.T.); #212260=EDGE_CURVE('',#170278,#170279,#96704,.T.); #212261=EDGE_CURVE('',#170280,#170278,#96705,.T.); #212262=EDGE_CURVE('',#170281,#170279,#96706,.T.); #212263=EDGE_CURVE('',#170280,#170281,#96707,.T.); #212264=EDGE_CURVE('',#170282,#170280,#96708,.T.); #212265=EDGE_CURVE('',#170283,#170281,#96709,.T.); #212266=EDGE_CURVE('',#170282,#170283,#96710,.T.); #212267=EDGE_CURVE('',#170284,#170282,#96711,.T.); #212268=EDGE_CURVE('',#170285,#170283,#96712,.T.); #212269=EDGE_CURVE('',#170284,#170285,#96713,.T.); #212270=EDGE_CURVE('',#170286,#170284,#96714,.T.); #212271=EDGE_CURVE('',#170287,#170285,#96715,.T.); #212272=EDGE_CURVE('',#170286,#170287,#96716,.T.); #212273=EDGE_CURVE('',#170288,#170286,#96717,.T.); #212274=EDGE_CURVE('',#170289,#170287,#96718,.T.); #212275=EDGE_CURVE('',#170288,#170289,#96719,.T.); #212276=EDGE_CURVE('',#170290,#170288,#96720,.T.); #212277=EDGE_CURVE('',#170291,#170289,#96721,.T.); #212278=EDGE_CURVE('',#170290,#170291,#96722,.T.); #212279=EDGE_CURVE('',#170292,#170290,#96723,.T.); #212280=EDGE_CURVE('',#170293,#170291,#96724,.T.); #212281=EDGE_CURVE('',#170292,#170293,#96725,.T.); #212282=EDGE_CURVE('',#170294,#170292,#96726,.T.); #212283=EDGE_CURVE('',#170295,#170293,#96727,.T.); #212284=EDGE_CURVE('',#170294,#170295,#96728,.T.); #212285=EDGE_CURVE('',#170296,#170294,#96729,.T.); #212286=EDGE_CURVE('',#170297,#170295,#96730,.T.); #212287=EDGE_CURVE('',#170296,#170297,#96731,.T.); #212288=EDGE_CURVE('',#170298,#170296,#96732,.T.); #212289=EDGE_CURVE('',#170299,#170297,#96733,.T.); #212290=EDGE_CURVE('',#170298,#170299,#96734,.T.); #212291=EDGE_CURVE('',#170300,#170298,#96735,.T.); #212292=EDGE_CURVE('',#170301,#170299,#96736,.T.); #212293=EDGE_CURVE('',#170300,#170301,#96737,.T.); #212294=EDGE_CURVE('',#170302,#170300,#96738,.T.); #212295=EDGE_CURVE('',#170303,#170301,#96739,.T.); #212296=EDGE_CURVE('',#170302,#170303,#96740,.T.); #212297=EDGE_CURVE('',#170304,#170302,#96741,.T.); #212298=EDGE_CURVE('',#170305,#170303,#96742,.T.); #212299=EDGE_CURVE('',#170304,#170305,#96743,.T.); #212300=EDGE_CURVE('',#170306,#170304,#96744,.T.); #212301=EDGE_CURVE('',#170307,#170305,#96745,.T.); #212302=EDGE_CURVE('',#170306,#170307,#96746,.T.); #212303=EDGE_CURVE('',#170308,#170306,#96747,.T.); #212304=EDGE_CURVE('',#170309,#170307,#96748,.T.); #212305=EDGE_CURVE('',#170308,#170309,#96749,.T.); #212306=EDGE_CURVE('',#170310,#170308,#96750,.T.); #212307=EDGE_CURVE('',#170311,#170309,#96751,.T.); #212308=EDGE_CURVE('',#170310,#170311,#96752,.T.); #212309=EDGE_CURVE('',#170312,#170310,#96753,.T.); #212310=EDGE_CURVE('',#170313,#170311,#96754,.T.); #212311=EDGE_CURVE('',#170312,#170313,#96755,.T.); #212312=EDGE_CURVE('',#170314,#170312,#96756,.T.); #212313=EDGE_CURVE('',#170315,#170313,#96757,.T.); #212314=EDGE_CURVE('',#170314,#170315,#96758,.T.); #212315=EDGE_CURVE('',#170316,#170314,#96759,.T.); #212316=EDGE_CURVE('',#170317,#170315,#96760,.T.); #212317=EDGE_CURVE('',#170316,#170317,#96761,.T.); #212318=EDGE_CURVE('',#170318,#170316,#96762,.T.); #212319=EDGE_CURVE('',#170319,#170317,#96763,.T.); #212320=EDGE_CURVE('',#170318,#170319,#96764,.T.); #212321=EDGE_CURVE('',#170320,#170318,#96765,.T.); #212322=EDGE_CURVE('',#170321,#170319,#96766,.T.); #212323=EDGE_CURVE('',#170320,#170321,#96767,.T.); #212324=EDGE_CURVE('',#170322,#170320,#96768,.T.); #212325=EDGE_CURVE('',#170323,#170321,#96769,.T.); #212326=EDGE_CURVE('',#170322,#170323,#96770,.T.); #212327=EDGE_CURVE('',#170324,#170322,#96771,.T.); #212328=EDGE_CURVE('',#170325,#170323,#96772,.T.); #212329=EDGE_CURVE('',#170324,#170325,#96773,.T.); #212330=EDGE_CURVE('',#170326,#170324,#96774,.T.); #212331=EDGE_CURVE('',#170327,#170325,#96775,.T.); #212332=EDGE_CURVE('',#170326,#170327,#96776,.T.); #212333=EDGE_CURVE('',#170328,#170326,#96777,.T.); #212334=EDGE_CURVE('',#170329,#170327,#96778,.T.); #212335=EDGE_CURVE('',#170328,#170329,#96779,.T.); #212336=EDGE_CURVE('',#170330,#170328,#96780,.T.); #212337=EDGE_CURVE('',#170331,#170329,#96781,.T.); #212338=EDGE_CURVE('',#170330,#170331,#96782,.T.); #212339=EDGE_CURVE('',#170332,#170330,#96783,.T.); #212340=EDGE_CURVE('',#170333,#170331,#96784,.T.); #212341=EDGE_CURVE('',#170332,#170333,#96785,.T.); #212342=EDGE_CURVE('',#170334,#170332,#96786,.T.); #212343=EDGE_CURVE('',#170335,#170333,#96787,.T.); #212344=EDGE_CURVE('',#170334,#170335,#96788,.T.); #212345=EDGE_CURVE('',#170336,#170334,#96789,.T.); #212346=EDGE_CURVE('',#170337,#170335,#96790,.T.); #212347=EDGE_CURVE('',#170336,#170337,#96791,.T.); #212348=EDGE_CURVE('',#170338,#170336,#96792,.T.); #212349=EDGE_CURVE('',#170339,#170337,#96793,.T.); #212350=EDGE_CURVE('',#170338,#170339,#96794,.T.); #212351=EDGE_CURVE('',#170340,#170338,#96795,.T.); #212352=EDGE_CURVE('',#170341,#170339,#96796,.T.); #212353=EDGE_CURVE('',#170340,#170341,#96797,.T.); #212354=EDGE_CURVE('',#170342,#170340,#96798,.T.); #212355=EDGE_CURVE('',#170343,#170341,#96799,.T.); #212356=EDGE_CURVE('',#170342,#170343,#96800,.T.); #212357=EDGE_CURVE('',#170344,#170342,#96801,.T.); #212358=EDGE_CURVE('',#170345,#170343,#96802,.T.); #212359=EDGE_CURVE('',#170344,#170345,#96803,.T.); #212360=EDGE_CURVE('',#170346,#170344,#96804,.T.); #212361=EDGE_CURVE('',#170347,#170345,#96805,.T.); #212362=EDGE_CURVE('',#170346,#170347,#96806,.T.); #212363=EDGE_CURVE('',#170348,#170346,#96807,.T.); #212364=EDGE_CURVE('',#170349,#170347,#96808,.T.); #212365=EDGE_CURVE('',#170348,#170349,#96809,.T.); #212366=EDGE_CURVE('',#170350,#170348,#96810,.T.); #212367=EDGE_CURVE('',#170351,#170349,#96811,.T.); #212368=EDGE_CURVE('',#170350,#170351,#96812,.T.); #212369=EDGE_CURVE('',#170352,#170350,#96813,.T.); #212370=EDGE_CURVE('',#170353,#170351,#96814,.T.); #212371=EDGE_CURVE('',#170352,#170353,#96815,.T.); #212372=EDGE_CURVE('',#170354,#170352,#96816,.T.); #212373=EDGE_CURVE('',#170355,#170353,#96817,.T.); #212374=EDGE_CURVE('',#170354,#170355,#96818,.T.); #212375=EDGE_CURVE('',#170356,#170354,#96819,.T.); #212376=EDGE_CURVE('',#170357,#170355,#96820,.T.); #212377=EDGE_CURVE('',#170356,#170357,#96821,.T.); #212378=EDGE_CURVE('',#170358,#170356,#96822,.T.); #212379=EDGE_CURVE('',#170359,#170357,#96823,.T.); #212380=EDGE_CURVE('',#170358,#170359,#96824,.T.); #212381=EDGE_CURVE('',#170360,#170358,#96825,.T.); #212382=EDGE_CURVE('',#170361,#170359,#96826,.T.); #212383=EDGE_CURVE('',#170360,#170361,#96827,.T.); #212384=EDGE_CURVE('',#170362,#170360,#96828,.T.); #212385=EDGE_CURVE('',#170363,#170361,#96829,.T.); #212386=EDGE_CURVE('',#170362,#170363,#96830,.T.); #212387=EDGE_CURVE('',#170364,#170362,#96831,.T.); #212388=EDGE_CURVE('',#170365,#170363,#96832,.T.); #212389=EDGE_CURVE('',#170364,#170365,#96833,.T.); #212390=EDGE_CURVE('',#170366,#170364,#96834,.T.); #212391=EDGE_CURVE('',#170367,#170365,#96835,.T.); #212392=EDGE_CURVE('',#170366,#170367,#96836,.T.); #212393=EDGE_CURVE('',#170368,#170366,#96837,.T.); #212394=EDGE_CURVE('',#170369,#170367,#96838,.T.); #212395=EDGE_CURVE('',#170368,#170369,#96839,.T.); #212396=EDGE_CURVE('',#170370,#170368,#96840,.T.); #212397=EDGE_CURVE('',#170371,#170369,#96841,.T.); #212398=EDGE_CURVE('',#170370,#170371,#96842,.T.); #212399=EDGE_CURVE('',#170372,#170370,#96843,.T.); #212400=EDGE_CURVE('',#170373,#170371,#96844,.T.); #212401=EDGE_CURVE('',#170372,#170373,#96845,.T.); #212402=EDGE_CURVE('',#170374,#170372,#96846,.T.); #212403=EDGE_CURVE('',#170375,#170373,#96847,.T.); #212404=EDGE_CURVE('',#170374,#170375,#96848,.T.); #212405=EDGE_CURVE('',#170376,#170374,#96849,.T.); #212406=EDGE_CURVE('',#170377,#170375,#96850,.T.); #212407=EDGE_CURVE('',#170376,#170377,#96851,.T.); #212408=EDGE_CURVE('',#170378,#170376,#96852,.T.); #212409=EDGE_CURVE('',#170379,#170377,#96853,.T.); #212410=EDGE_CURVE('',#170378,#170379,#96854,.T.); #212411=EDGE_CURVE('',#170380,#170378,#96855,.T.); #212412=EDGE_CURVE('',#170381,#170379,#96856,.T.); #212413=EDGE_CURVE('',#170380,#170381,#96857,.T.); #212414=EDGE_CURVE('',#170382,#170380,#96858,.T.); #212415=EDGE_CURVE('',#170383,#170381,#96859,.T.); #212416=EDGE_CURVE('',#170382,#170383,#96860,.T.); #212417=EDGE_CURVE('',#170384,#170382,#96861,.T.); #212418=EDGE_CURVE('',#170385,#170383,#96862,.T.); #212419=EDGE_CURVE('',#170384,#170385,#96863,.T.); #212420=EDGE_CURVE('',#170386,#170384,#96864,.T.); #212421=EDGE_CURVE('',#170387,#170385,#96865,.T.); #212422=EDGE_CURVE('',#170386,#170387,#96866,.T.); #212423=EDGE_CURVE('',#170388,#170386,#96867,.T.); #212424=EDGE_CURVE('',#170389,#170387,#96868,.T.); #212425=EDGE_CURVE('',#170388,#170389,#96869,.T.); #212426=EDGE_CURVE('',#170390,#170388,#96870,.T.); #212427=EDGE_CURVE('',#170391,#170389,#96871,.T.); #212428=EDGE_CURVE('',#170390,#170391,#96872,.T.); #212429=EDGE_CURVE('',#170392,#170390,#96873,.T.); #212430=EDGE_CURVE('',#170393,#170391,#96874,.T.); #212431=EDGE_CURVE('',#170392,#170393,#96875,.T.); #212432=EDGE_CURVE('',#170394,#170392,#96876,.T.); #212433=EDGE_CURVE('',#170395,#170393,#96877,.T.); #212434=EDGE_CURVE('',#170394,#170395,#96878,.T.); #212435=EDGE_CURVE('',#170396,#170394,#96879,.T.); #212436=EDGE_CURVE('',#170397,#170395,#96880,.T.); #212437=EDGE_CURVE('',#170396,#170397,#96881,.T.); #212438=EDGE_CURVE('',#170398,#170396,#96882,.T.); #212439=EDGE_CURVE('',#170399,#170397,#96883,.T.); #212440=EDGE_CURVE('',#170398,#170399,#96884,.T.); #212441=EDGE_CURVE('',#170400,#170398,#96885,.T.); #212442=EDGE_CURVE('',#170401,#170399,#96886,.T.); #212443=EDGE_CURVE('',#170400,#170401,#96887,.T.); #212444=EDGE_CURVE('',#170402,#170400,#96888,.T.); #212445=EDGE_CURVE('',#170403,#170401,#96889,.T.); #212446=EDGE_CURVE('',#170402,#170403,#96890,.T.); #212447=EDGE_CURVE('',#170404,#170402,#96891,.T.); #212448=EDGE_CURVE('',#170405,#170403,#96892,.T.); #212449=EDGE_CURVE('',#170404,#170405,#96893,.T.); #212450=EDGE_CURVE('',#170406,#170404,#96894,.T.); #212451=EDGE_CURVE('',#170407,#170405,#96895,.T.); #212452=EDGE_CURVE('',#170406,#170407,#96896,.T.); #212453=EDGE_CURVE('',#170408,#170406,#96897,.T.); #212454=EDGE_CURVE('',#170409,#170407,#96898,.T.); #212455=EDGE_CURVE('',#170408,#170409,#96899,.T.); #212456=EDGE_CURVE('',#170410,#170408,#96900,.T.); #212457=EDGE_CURVE('',#170411,#170409,#96901,.T.); #212458=EDGE_CURVE('',#170410,#170411,#96902,.T.); #212459=EDGE_CURVE('',#170412,#170410,#96903,.T.); #212460=EDGE_CURVE('',#170413,#170411,#96904,.T.); #212461=EDGE_CURVE('',#170412,#170413,#96905,.T.); #212462=EDGE_CURVE('',#170414,#170412,#96906,.T.); #212463=EDGE_CURVE('',#170415,#170413,#96907,.T.); #212464=EDGE_CURVE('',#170414,#170415,#96908,.T.); #212465=EDGE_CURVE('',#170416,#170414,#96909,.T.); #212466=EDGE_CURVE('',#170417,#170415,#96910,.T.); #212467=EDGE_CURVE('',#170416,#170417,#96911,.T.); #212468=EDGE_CURVE('',#170418,#170416,#96912,.T.); #212469=EDGE_CURVE('',#170419,#170417,#96913,.T.); #212470=EDGE_CURVE('',#170418,#170419,#96914,.T.); #212471=EDGE_CURVE('',#170420,#170418,#96915,.T.); #212472=EDGE_CURVE('',#170421,#170419,#96916,.T.); #212473=EDGE_CURVE('',#170420,#170421,#96917,.T.); #212474=EDGE_CURVE('',#170422,#170420,#96918,.T.); #212475=EDGE_CURVE('',#170423,#170421,#96919,.T.); #212476=EDGE_CURVE('',#170422,#170423,#96920,.T.); #212477=EDGE_CURVE('',#170424,#170422,#96921,.T.); #212478=EDGE_CURVE('',#170425,#170423,#96922,.T.); #212479=EDGE_CURVE('',#170424,#170425,#96923,.T.); #212480=EDGE_CURVE('',#170426,#170424,#96924,.T.); #212481=EDGE_CURVE('',#170427,#170425,#96925,.T.); #212482=EDGE_CURVE('',#170426,#170427,#96926,.T.); #212483=EDGE_CURVE('',#170428,#170426,#96927,.T.); #212484=EDGE_CURVE('',#170429,#170427,#96928,.T.); #212485=EDGE_CURVE('',#170428,#170429,#96929,.T.); #212486=EDGE_CURVE('',#170430,#170428,#96930,.T.); #212487=EDGE_CURVE('',#170431,#170429,#96931,.T.); #212488=EDGE_CURVE('',#170430,#170431,#96932,.T.); #212489=EDGE_CURVE('',#170432,#170430,#96933,.T.); #212490=EDGE_CURVE('',#170433,#170431,#96934,.T.); #212491=EDGE_CURVE('',#170432,#170433,#96935,.T.); #212492=EDGE_CURVE('',#170434,#170432,#96936,.T.); #212493=EDGE_CURVE('',#170435,#170433,#96937,.T.); #212494=EDGE_CURVE('',#170434,#170435,#96938,.T.); #212495=EDGE_CURVE('',#170436,#170434,#96939,.T.); #212496=EDGE_CURVE('',#170437,#170435,#96940,.T.); #212497=EDGE_CURVE('',#170436,#170437,#96941,.T.); #212498=EDGE_CURVE('',#170438,#170436,#96942,.T.); #212499=EDGE_CURVE('',#170439,#170437,#96943,.T.); #212500=EDGE_CURVE('',#170438,#170439,#96944,.T.); #212501=EDGE_CURVE('',#170440,#170438,#96945,.T.); #212502=EDGE_CURVE('',#170441,#170439,#96946,.T.); #212503=EDGE_CURVE('',#170440,#170441,#96947,.T.); #212504=EDGE_CURVE('',#170442,#170440,#96948,.T.); #212505=EDGE_CURVE('',#170443,#170441,#96949,.T.); #212506=EDGE_CURVE('',#170442,#170443,#96950,.T.); #212507=EDGE_CURVE('',#170444,#170442,#96951,.T.); #212508=EDGE_CURVE('',#170445,#170443,#96952,.T.); #212509=EDGE_CURVE('',#170444,#170445,#96953,.T.); #212510=EDGE_CURVE('',#170446,#170444,#96954,.T.); #212511=EDGE_CURVE('',#170447,#170445,#96955,.T.); #212512=EDGE_CURVE('',#170446,#170447,#96956,.T.); #212513=EDGE_CURVE('',#170448,#170446,#96957,.T.); #212514=EDGE_CURVE('',#170449,#170447,#96958,.T.); #212515=EDGE_CURVE('',#170448,#170449,#96959,.T.); #212516=EDGE_CURVE('',#170450,#170448,#96960,.T.); #212517=EDGE_CURVE('',#170451,#170449,#96961,.T.); #212518=EDGE_CURVE('',#170450,#170451,#96962,.T.); #212519=EDGE_CURVE('',#170452,#170450,#96963,.T.); #212520=EDGE_CURVE('',#170453,#170451,#96964,.T.); #212521=EDGE_CURVE('',#170452,#170453,#96965,.T.); #212522=EDGE_CURVE('',#170454,#170452,#96966,.T.); #212523=EDGE_CURVE('',#170455,#170453,#96967,.T.); #212524=EDGE_CURVE('',#170454,#170455,#96968,.T.); #212525=EDGE_CURVE('',#170456,#170454,#96969,.T.); #212526=EDGE_CURVE('',#170457,#170455,#96970,.T.); #212527=EDGE_CURVE('',#170456,#170457,#96971,.T.); #212528=EDGE_CURVE('',#170458,#170456,#96972,.T.); #212529=EDGE_CURVE('',#170459,#170457,#96973,.T.); #212530=EDGE_CURVE('',#170458,#170459,#96974,.T.); #212531=EDGE_CURVE('',#170460,#170458,#96975,.T.); #212532=EDGE_CURVE('',#170461,#170459,#96976,.T.); #212533=EDGE_CURVE('',#170460,#170461,#96977,.T.); #212534=EDGE_CURVE('',#170462,#170460,#96978,.T.); #212535=EDGE_CURVE('',#170463,#170461,#96979,.T.); #212536=EDGE_CURVE('',#170462,#170463,#96980,.T.); #212537=EDGE_CURVE('',#170464,#170462,#96981,.T.); #212538=EDGE_CURVE('',#170465,#170463,#96982,.T.); #212539=EDGE_CURVE('',#170464,#170465,#96983,.T.); #212540=EDGE_CURVE('',#170466,#170464,#96984,.T.); #212541=EDGE_CURVE('',#170467,#170465,#96985,.T.); #212542=EDGE_CURVE('',#170466,#170467,#96986,.T.); #212543=EDGE_CURVE('',#170468,#170466,#96987,.T.); #212544=EDGE_CURVE('',#170469,#170467,#96988,.T.); #212545=EDGE_CURVE('',#170468,#170469,#96989,.T.); #212546=EDGE_CURVE('',#170470,#170468,#96990,.T.); #212547=EDGE_CURVE('',#170471,#170469,#96991,.T.); #212548=EDGE_CURVE('',#170470,#170471,#96992,.T.); #212549=EDGE_CURVE('',#170472,#170470,#96993,.T.); #212550=EDGE_CURVE('',#170473,#170471,#96994,.T.); #212551=EDGE_CURVE('',#170472,#170473,#96995,.T.); #212552=EDGE_CURVE('',#170474,#170472,#96996,.T.); #212553=EDGE_CURVE('',#170475,#170473,#96997,.T.); #212554=EDGE_CURVE('',#170474,#170475,#96998,.T.); #212555=EDGE_CURVE('',#170476,#170474,#96999,.T.); #212556=EDGE_CURVE('',#170477,#170475,#97000,.T.); #212557=EDGE_CURVE('',#170476,#170477,#97001,.T.); #212558=EDGE_CURVE('',#170478,#170476,#97002,.T.); #212559=EDGE_CURVE('',#170479,#170477,#97003,.T.); #212560=EDGE_CURVE('',#170478,#170479,#97004,.T.); #212561=EDGE_CURVE('',#170480,#170478,#97005,.T.); #212562=EDGE_CURVE('',#170481,#170479,#97006,.T.); #212563=EDGE_CURVE('',#170480,#170481,#97007,.T.); #212564=EDGE_CURVE('',#170482,#170480,#97008,.T.); #212565=EDGE_CURVE('',#170483,#170481,#97009,.T.); #212566=EDGE_CURVE('',#170482,#170483,#97010,.T.); #212567=EDGE_CURVE('',#170484,#170482,#97011,.T.); #212568=EDGE_CURVE('',#170485,#170483,#97012,.T.); #212569=EDGE_CURVE('',#170484,#170485,#97013,.T.); #212570=EDGE_CURVE('',#170486,#170484,#97014,.T.); #212571=EDGE_CURVE('',#170487,#170485,#97015,.T.); #212572=EDGE_CURVE('',#170486,#170487,#97016,.T.); #212573=EDGE_CURVE('',#170488,#170486,#97017,.T.); #212574=EDGE_CURVE('',#170489,#170487,#97018,.T.); #212575=EDGE_CURVE('',#170488,#170489,#97019,.T.); #212576=EDGE_CURVE('',#170490,#170488,#97020,.T.); #212577=EDGE_CURVE('',#170491,#170489,#97021,.T.); #212578=EDGE_CURVE('',#170490,#170491,#97022,.T.); #212579=EDGE_CURVE('',#170492,#170490,#97023,.T.); #212580=EDGE_CURVE('',#170493,#170491,#97024,.T.); #212581=EDGE_CURVE('',#170492,#170493,#97025,.T.); #212582=EDGE_CURVE('',#170494,#170492,#97026,.T.); #212583=EDGE_CURVE('',#170495,#170493,#97027,.T.); #212584=EDGE_CURVE('',#170494,#170495,#97028,.T.); #212585=EDGE_CURVE('',#170496,#170494,#97029,.T.); #212586=EDGE_CURVE('',#170497,#170495,#97030,.T.); #212587=EDGE_CURVE('',#170496,#170497,#97031,.T.); #212588=EDGE_CURVE('',#170498,#170496,#97032,.T.); #212589=EDGE_CURVE('',#170499,#170497,#97033,.T.); #212590=EDGE_CURVE('',#170498,#170499,#97034,.T.); #212591=EDGE_CURVE('',#170500,#170498,#97035,.T.); #212592=EDGE_CURVE('',#170501,#170499,#97036,.T.); #212593=EDGE_CURVE('',#170500,#170501,#97037,.T.); #212594=EDGE_CURVE('',#170502,#170500,#97038,.T.); #212595=EDGE_CURVE('',#170503,#170501,#97039,.T.); #212596=EDGE_CURVE('',#170502,#170503,#97040,.T.); #212597=EDGE_CURVE('',#170504,#170502,#97041,.T.); #212598=EDGE_CURVE('',#170505,#170503,#97042,.T.); #212599=EDGE_CURVE('',#170504,#170505,#97043,.T.); #212600=EDGE_CURVE('',#170506,#170504,#97044,.T.); #212601=EDGE_CURVE('',#170507,#170505,#97045,.T.); #212602=EDGE_CURVE('',#170506,#170507,#97046,.T.); #212603=EDGE_CURVE('',#170508,#170506,#97047,.T.); #212604=EDGE_CURVE('',#170509,#170507,#97048,.T.); #212605=EDGE_CURVE('',#170508,#170509,#97049,.T.); #212606=EDGE_CURVE('',#170510,#170508,#97050,.T.); #212607=EDGE_CURVE('',#170511,#170509,#97051,.T.); #212608=EDGE_CURVE('',#170510,#170511,#97052,.T.); #212609=EDGE_CURVE('',#170512,#170510,#97053,.T.); #212610=EDGE_CURVE('',#170513,#170511,#97054,.T.); #212611=EDGE_CURVE('',#170512,#170513,#97055,.T.); #212612=EDGE_CURVE('',#170514,#170512,#97056,.T.); #212613=EDGE_CURVE('',#170515,#170513,#97057,.T.); #212614=EDGE_CURVE('',#170514,#170515,#97058,.T.); #212615=EDGE_CURVE('',#170516,#170514,#97059,.T.); #212616=EDGE_CURVE('',#170517,#170515,#97060,.T.); #212617=EDGE_CURVE('',#170516,#170517,#97061,.T.); #212618=EDGE_CURVE('',#170518,#170516,#97062,.T.); #212619=EDGE_CURVE('',#170519,#170517,#97063,.T.); #212620=EDGE_CURVE('',#170518,#170519,#97064,.T.); #212621=EDGE_CURVE('',#170520,#170518,#97065,.T.); #212622=EDGE_CURVE('',#170521,#170519,#97066,.T.); #212623=EDGE_CURVE('',#170520,#170521,#97067,.T.); #212624=EDGE_CURVE('',#170522,#170520,#97068,.T.); #212625=EDGE_CURVE('',#170523,#170521,#97069,.T.); #212626=EDGE_CURVE('',#170522,#170523,#97070,.T.); #212627=EDGE_CURVE('',#170524,#170522,#97071,.T.); #212628=EDGE_CURVE('',#170525,#170523,#97072,.T.); #212629=EDGE_CURVE('',#170524,#170525,#97073,.T.); #212630=EDGE_CURVE('',#170526,#170524,#97074,.T.); #212631=EDGE_CURVE('',#170527,#170525,#97075,.T.); #212632=EDGE_CURVE('',#170526,#170527,#97076,.T.); #212633=EDGE_CURVE('',#170528,#170526,#97077,.T.); #212634=EDGE_CURVE('',#170529,#170527,#97078,.T.); #212635=EDGE_CURVE('',#170528,#170529,#97079,.T.); #212636=EDGE_CURVE('',#170530,#170528,#97080,.T.); #212637=EDGE_CURVE('',#170531,#170529,#97081,.T.); #212638=EDGE_CURVE('',#170530,#170531,#97082,.T.); #212639=EDGE_CURVE('',#170532,#170530,#97083,.T.); #212640=EDGE_CURVE('',#170533,#170531,#97084,.T.); #212641=EDGE_CURVE('',#170532,#170533,#97085,.T.); #212642=EDGE_CURVE('',#170534,#170532,#97086,.T.); #212643=EDGE_CURVE('',#170535,#170533,#97087,.T.); #212644=EDGE_CURVE('',#170534,#170535,#97088,.T.); #212645=EDGE_CURVE('',#170536,#170534,#97089,.T.); #212646=EDGE_CURVE('',#170537,#170535,#97090,.T.); #212647=EDGE_CURVE('',#170536,#170537,#97091,.T.); #212648=EDGE_CURVE('',#170538,#170536,#97092,.T.); #212649=EDGE_CURVE('',#170539,#170537,#97093,.T.); #212650=EDGE_CURVE('',#170538,#170539,#97094,.T.); #212651=EDGE_CURVE('',#170540,#170538,#97095,.T.); #212652=EDGE_CURVE('',#170541,#170539,#97096,.T.); #212653=EDGE_CURVE('',#170540,#170541,#97097,.T.); #212654=EDGE_CURVE('',#170542,#170540,#97098,.T.); #212655=EDGE_CURVE('',#170543,#170541,#97099,.T.); #212656=EDGE_CURVE('',#170542,#170543,#97100,.T.); #212657=EDGE_CURVE('',#170544,#170542,#97101,.T.); #212658=EDGE_CURVE('',#170545,#170543,#97102,.T.); #212659=EDGE_CURVE('',#170544,#170545,#97103,.T.); #212660=EDGE_CURVE('',#170546,#170544,#97104,.T.); #212661=EDGE_CURVE('',#170547,#170545,#97105,.T.); #212662=EDGE_CURVE('',#170546,#170547,#97106,.T.); #212663=EDGE_CURVE('',#170548,#170546,#97107,.T.); #212664=EDGE_CURVE('',#170549,#170547,#97108,.T.); #212665=EDGE_CURVE('',#170548,#170549,#97109,.T.); #212666=EDGE_CURVE('',#170550,#170548,#97110,.T.); #212667=EDGE_CURVE('',#170551,#170549,#97111,.T.); #212668=EDGE_CURVE('',#170550,#170551,#97112,.T.); #212669=EDGE_CURVE('',#170552,#170550,#97113,.T.); #212670=EDGE_CURVE('',#170553,#170551,#97114,.T.); #212671=EDGE_CURVE('',#170552,#170553,#97115,.T.); #212672=EDGE_CURVE('',#170554,#170552,#97116,.T.); #212673=EDGE_CURVE('',#170555,#170553,#97117,.T.); #212674=EDGE_CURVE('',#170554,#170555,#97118,.T.); #212675=EDGE_CURVE('',#170556,#170554,#97119,.T.); #212676=EDGE_CURVE('',#170557,#170555,#97120,.T.); #212677=EDGE_CURVE('',#170556,#170557,#97121,.T.); #212678=EDGE_CURVE('',#170558,#170556,#97122,.T.); #212679=EDGE_CURVE('',#170559,#170557,#97123,.T.); #212680=EDGE_CURVE('',#170558,#170559,#97124,.T.); #212681=EDGE_CURVE('',#170560,#170558,#97125,.T.); #212682=EDGE_CURVE('',#170561,#170559,#97126,.T.); #212683=EDGE_CURVE('',#170560,#170561,#97127,.T.); #212684=EDGE_CURVE('',#170562,#170560,#97128,.T.); #212685=EDGE_CURVE('',#170563,#170561,#97129,.T.); #212686=EDGE_CURVE('',#170562,#170563,#97130,.T.); #212687=EDGE_CURVE('',#170564,#170562,#97131,.T.); #212688=EDGE_CURVE('',#170565,#170563,#97132,.T.); #212689=EDGE_CURVE('',#170564,#170565,#97133,.T.); #212690=EDGE_CURVE('',#170566,#170564,#97134,.T.); #212691=EDGE_CURVE('',#170567,#170565,#97135,.T.); #212692=EDGE_CURVE('',#170566,#170567,#97136,.T.); #212693=EDGE_CURVE('',#170568,#170566,#97137,.T.); #212694=EDGE_CURVE('',#170569,#170567,#97138,.T.); #212695=EDGE_CURVE('',#170568,#170569,#97139,.T.); #212696=EDGE_CURVE('',#170570,#170568,#97140,.T.); #212697=EDGE_CURVE('',#170571,#170569,#97141,.T.); #212698=EDGE_CURVE('',#170570,#170571,#97142,.T.); #212699=EDGE_CURVE('',#170572,#170570,#97143,.T.); #212700=EDGE_CURVE('',#170573,#170571,#97144,.T.); #212701=EDGE_CURVE('',#170572,#170573,#97145,.T.); #212702=EDGE_CURVE('',#170574,#170572,#97146,.T.); #212703=EDGE_CURVE('',#170575,#170573,#97147,.T.); #212704=EDGE_CURVE('',#170574,#170575,#97148,.T.); #212705=EDGE_CURVE('',#170576,#170574,#97149,.T.); #212706=EDGE_CURVE('',#170577,#170575,#97150,.T.); #212707=EDGE_CURVE('',#170576,#170577,#97151,.T.); #212708=EDGE_CURVE('',#170578,#170576,#97152,.T.); #212709=EDGE_CURVE('',#170579,#170577,#97153,.T.); #212710=EDGE_CURVE('',#170578,#170579,#97154,.T.); #212711=EDGE_CURVE('',#170580,#170578,#97155,.T.); #212712=EDGE_CURVE('',#170581,#170579,#97156,.T.); #212713=EDGE_CURVE('',#170580,#170581,#97157,.T.); #212714=EDGE_CURVE('',#170582,#170580,#97158,.T.); #212715=EDGE_CURVE('',#170583,#170581,#97159,.T.); #212716=EDGE_CURVE('',#170582,#170583,#97160,.T.); #212717=EDGE_CURVE('',#170584,#170582,#97161,.T.); #212718=EDGE_CURVE('',#170585,#170583,#97162,.T.); #212719=EDGE_CURVE('',#170584,#170585,#97163,.T.); #212720=EDGE_CURVE('',#170586,#170584,#97164,.T.); #212721=EDGE_CURVE('',#170587,#170585,#97165,.T.); #212722=EDGE_CURVE('',#170586,#170587,#97166,.T.); #212723=EDGE_CURVE('',#170588,#170586,#97167,.T.); #212724=EDGE_CURVE('',#170589,#170587,#97168,.T.); #212725=EDGE_CURVE('',#170588,#170589,#97169,.T.); #212726=EDGE_CURVE('',#170590,#170588,#97170,.T.); #212727=EDGE_CURVE('',#170591,#170589,#97171,.T.); #212728=EDGE_CURVE('',#170590,#170591,#97172,.T.); #212729=EDGE_CURVE('',#170592,#170590,#97173,.T.); #212730=EDGE_CURVE('',#170593,#170591,#97174,.T.); #212731=EDGE_CURVE('',#170592,#170593,#97175,.T.); #212732=EDGE_CURVE('',#170594,#170592,#97176,.T.); #212733=EDGE_CURVE('',#170595,#170593,#97177,.T.); #212734=EDGE_CURVE('',#170594,#170595,#97178,.T.); #212735=EDGE_CURVE('',#170596,#170594,#97179,.T.); #212736=EDGE_CURVE('',#170597,#170595,#97180,.T.); #212737=EDGE_CURVE('',#170596,#170597,#97181,.T.); #212738=EDGE_CURVE('',#170598,#170596,#97182,.T.); #212739=EDGE_CURVE('',#170599,#170597,#97183,.T.); #212740=EDGE_CURVE('',#170598,#170599,#97184,.T.); #212741=EDGE_CURVE('',#170600,#170598,#97185,.T.); #212742=EDGE_CURVE('',#170601,#170599,#97186,.T.); #212743=EDGE_CURVE('',#170600,#170601,#97187,.T.); #212744=EDGE_CURVE('',#170602,#170600,#97188,.T.); #212745=EDGE_CURVE('',#170603,#170601,#97189,.T.); #212746=EDGE_CURVE('',#170602,#170603,#97190,.T.); #212747=EDGE_CURVE('',#170604,#170602,#97191,.T.); #212748=EDGE_CURVE('',#170605,#170603,#97192,.T.); #212749=EDGE_CURVE('',#170604,#170605,#97193,.T.); #212750=EDGE_CURVE('',#170606,#170604,#97194,.T.); #212751=EDGE_CURVE('',#170607,#170605,#97195,.T.); #212752=EDGE_CURVE('',#170606,#170607,#97196,.T.); #212753=EDGE_CURVE('',#170608,#170606,#97197,.T.); #212754=EDGE_CURVE('',#170609,#170607,#97198,.T.); #212755=EDGE_CURVE('',#170608,#170609,#97199,.T.); #212756=EDGE_CURVE('',#170610,#170608,#97200,.T.); #212757=EDGE_CURVE('',#170611,#170609,#97201,.T.); #212758=EDGE_CURVE('',#170610,#170611,#97202,.T.); #212759=EDGE_CURVE('',#170612,#170610,#97203,.T.); #212760=EDGE_CURVE('',#170613,#170611,#97204,.T.); #212761=EDGE_CURVE('',#170612,#170613,#97205,.T.); #212762=EDGE_CURVE('',#170614,#170612,#97206,.T.); #212763=EDGE_CURVE('',#170615,#170613,#97207,.T.); #212764=EDGE_CURVE('',#170614,#170615,#97208,.T.); #212765=EDGE_CURVE('',#170616,#170614,#97209,.T.); #212766=EDGE_CURVE('',#170617,#170615,#97210,.T.); #212767=EDGE_CURVE('',#170616,#170617,#97211,.T.); #212768=EDGE_CURVE('',#170618,#170616,#97212,.T.); #212769=EDGE_CURVE('',#170619,#170617,#97213,.T.); #212770=EDGE_CURVE('',#170618,#170619,#97214,.T.); #212771=EDGE_CURVE('',#170620,#170618,#97215,.T.); #212772=EDGE_CURVE('',#170621,#170619,#97216,.T.); #212773=EDGE_CURVE('',#170620,#170621,#97217,.T.); #212774=EDGE_CURVE('',#170622,#170620,#97218,.T.); #212775=EDGE_CURVE('',#170623,#170621,#97219,.T.); #212776=EDGE_CURVE('',#170622,#170623,#97220,.T.); #212777=EDGE_CURVE('',#170624,#170622,#97221,.T.); #212778=EDGE_CURVE('',#170625,#170623,#97222,.T.); #212779=EDGE_CURVE('',#170624,#170625,#97223,.T.); #212780=EDGE_CURVE('',#170626,#170624,#97224,.T.); #212781=EDGE_CURVE('',#170627,#170625,#97225,.T.); #212782=EDGE_CURVE('',#170626,#170627,#97226,.T.); #212783=EDGE_CURVE('',#170628,#170626,#97227,.T.); #212784=EDGE_CURVE('',#170629,#170627,#97228,.T.); #212785=EDGE_CURVE('',#170628,#170629,#97229,.T.); #212786=EDGE_CURVE('',#170630,#170628,#97230,.T.); #212787=EDGE_CURVE('',#170631,#170629,#97231,.T.); #212788=EDGE_CURVE('',#170630,#170631,#97232,.T.); #212789=EDGE_CURVE('',#170632,#170630,#97233,.T.); #212790=EDGE_CURVE('',#170633,#170631,#97234,.T.); #212791=EDGE_CURVE('',#170632,#170633,#97235,.T.); #212792=EDGE_CURVE('',#170634,#170632,#97236,.T.); #212793=EDGE_CURVE('',#170635,#170633,#97237,.T.); #212794=EDGE_CURVE('',#170634,#170635,#97238,.T.); #212795=EDGE_CURVE('',#170636,#170634,#97239,.T.); #212796=EDGE_CURVE('',#170637,#170635,#97240,.T.); #212797=EDGE_CURVE('',#170636,#170637,#97241,.T.); #212798=EDGE_CURVE('',#170638,#170636,#97242,.T.); #212799=EDGE_CURVE('',#170639,#170637,#97243,.T.); #212800=EDGE_CURVE('',#170638,#170639,#97244,.T.); #212801=EDGE_CURVE('',#170640,#170638,#97245,.T.); #212802=EDGE_CURVE('',#170641,#170639,#97246,.T.); #212803=EDGE_CURVE('',#170640,#170641,#97247,.T.); #212804=EDGE_CURVE('',#170642,#170640,#97248,.T.); #212805=EDGE_CURVE('',#170643,#170641,#97249,.T.); #212806=EDGE_CURVE('',#170642,#170643,#97250,.T.); #212807=EDGE_CURVE('',#170644,#170642,#97251,.T.); #212808=EDGE_CURVE('',#170645,#170643,#97252,.T.); #212809=EDGE_CURVE('',#170644,#170645,#97253,.T.); #212810=EDGE_CURVE('',#170646,#170644,#97254,.T.); #212811=EDGE_CURVE('',#170647,#170645,#97255,.T.); #212812=EDGE_CURVE('',#170646,#170647,#97256,.T.); #212813=EDGE_CURVE('',#170648,#170646,#97257,.T.); #212814=EDGE_CURVE('',#170649,#170647,#97258,.T.); #212815=EDGE_CURVE('',#170648,#170649,#97259,.T.); #212816=EDGE_CURVE('',#170650,#170648,#97260,.T.); #212817=EDGE_CURVE('',#170651,#170649,#97261,.T.); #212818=EDGE_CURVE('',#170650,#170651,#97262,.T.); #212819=EDGE_CURVE('',#170652,#170650,#97263,.T.); #212820=EDGE_CURVE('',#170653,#170651,#97264,.T.); #212821=EDGE_CURVE('',#170652,#170653,#97265,.T.); #212822=EDGE_CURVE('',#170654,#170652,#97266,.T.); #212823=EDGE_CURVE('',#170655,#170653,#97267,.T.); #212824=EDGE_CURVE('',#170654,#170655,#97268,.T.); #212825=EDGE_CURVE('',#170656,#170654,#97269,.T.); #212826=EDGE_CURVE('',#170657,#170655,#97270,.T.); #212827=EDGE_CURVE('',#170656,#170657,#97271,.T.); #212828=EDGE_CURVE('',#170658,#170656,#97272,.T.); #212829=EDGE_CURVE('',#170659,#170657,#97273,.T.); #212830=EDGE_CURVE('',#170658,#170659,#97274,.T.); #212831=EDGE_CURVE('',#170660,#170658,#97275,.T.); #212832=EDGE_CURVE('',#170661,#170659,#97276,.T.); #212833=EDGE_CURVE('',#170660,#170661,#97277,.T.); #212834=EDGE_CURVE('',#170662,#170660,#97278,.T.); #212835=EDGE_CURVE('',#170663,#170661,#97279,.T.); #212836=EDGE_CURVE('',#170662,#170663,#97280,.T.); #212837=EDGE_CURVE('',#170664,#170662,#97281,.T.); #212838=EDGE_CURVE('',#170665,#170663,#97282,.T.); #212839=EDGE_CURVE('',#170664,#170665,#97283,.T.); #212840=EDGE_CURVE('',#170666,#170664,#97284,.T.); #212841=EDGE_CURVE('',#170667,#170665,#97285,.T.); #212842=EDGE_CURVE('',#170666,#170667,#97286,.T.); #212843=EDGE_CURVE('',#170668,#170666,#97287,.T.); #212844=EDGE_CURVE('',#170669,#170667,#97288,.T.); #212845=EDGE_CURVE('',#170668,#170669,#97289,.T.); #212846=EDGE_CURVE('',#170670,#170668,#97290,.T.); #212847=EDGE_CURVE('',#170671,#170669,#97291,.T.); #212848=EDGE_CURVE('',#170670,#170671,#97292,.T.); #212849=EDGE_CURVE('',#170672,#170670,#97293,.T.); #212850=EDGE_CURVE('',#170673,#170671,#97294,.T.); #212851=EDGE_CURVE('',#170672,#170673,#97295,.T.); #212852=EDGE_CURVE('',#170674,#170672,#97296,.T.); #212853=EDGE_CURVE('',#170675,#170673,#97297,.T.); #212854=EDGE_CURVE('',#170674,#170675,#97298,.T.); #212855=EDGE_CURVE('',#170676,#170674,#97299,.T.); #212856=EDGE_CURVE('',#170677,#170675,#97300,.T.); #212857=EDGE_CURVE('',#170676,#170677,#97301,.T.); #212858=EDGE_CURVE('',#170678,#170676,#97302,.T.); #212859=EDGE_CURVE('',#170679,#170677,#97303,.T.); #212860=EDGE_CURVE('',#170678,#170679,#97304,.T.); #212861=EDGE_CURVE('',#170680,#170678,#97305,.T.); #212862=EDGE_CURVE('',#170681,#170679,#97306,.T.); #212863=EDGE_CURVE('',#170680,#170681,#97307,.T.); #212864=EDGE_CURVE('',#170682,#170680,#97308,.T.); #212865=EDGE_CURVE('',#170683,#170681,#97309,.T.); #212866=EDGE_CURVE('',#170682,#170683,#97310,.T.); #212867=EDGE_CURVE('',#170684,#170682,#97311,.T.); #212868=EDGE_CURVE('',#170685,#170683,#97312,.T.); #212869=EDGE_CURVE('',#170684,#170685,#97313,.T.); #212870=EDGE_CURVE('',#170686,#170684,#97314,.T.); #212871=EDGE_CURVE('',#170687,#170685,#97315,.T.); #212872=EDGE_CURVE('',#170686,#170687,#97316,.T.); #212873=EDGE_CURVE('',#170688,#170686,#97317,.T.); #212874=EDGE_CURVE('',#170689,#170687,#97318,.T.); #212875=EDGE_CURVE('',#170688,#170689,#97319,.T.); #212876=EDGE_CURVE('',#170690,#170688,#97320,.T.); #212877=EDGE_CURVE('',#170691,#170689,#97321,.T.); #212878=EDGE_CURVE('',#170690,#170691,#97322,.T.); #212879=EDGE_CURVE('',#170692,#170690,#97323,.T.); #212880=EDGE_CURVE('',#170693,#170691,#97324,.T.); #212881=EDGE_CURVE('',#170692,#170693,#97325,.T.); #212882=EDGE_CURVE('',#170694,#170692,#97326,.T.); #212883=EDGE_CURVE('',#170695,#170693,#97327,.T.); #212884=EDGE_CURVE('',#170694,#170695,#97328,.T.); #212885=EDGE_CURVE('',#170696,#170694,#97329,.T.); #212886=EDGE_CURVE('',#170697,#170695,#97330,.T.); #212887=EDGE_CURVE('',#170696,#170697,#97331,.T.); #212888=EDGE_CURVE('',#170698,#170696,#97332,.T.); #212889=EDGE_CURVE('',#170699,#170697,#97333,.T.); #212890=EDGE_CURVE('',#170698,#170699,#97334,.T.); #212891=EDGE_CURVE('',#170700,#170698,#97335,.T.); #212892=EDGE_CURVE('',#170701,#170699,#97336,.T.); #212893=EDGE_CURVE('',#170700,#170701,#97337,.T.); #212894=EDGE_CURVE('',#170702,#170700,#97338,.T.); #212895=EDGE_CURVE('',#170703,#170701,#97339,.T.); #212896=EDGE_CURVE('',#170702,#170703,#97340,.T.); #212897=EDGE_CURVE('',#170704,#170702,#97341,.T.); #212898=EDGE_CURVE('',#170705,#170703,#97342,.T.); #212899=EDGE_CURVE('',#170704,#170705,#97343,.T.); #212900=EDGE_CURVE('',#170706,#170704,#97344,.T.); #212901=EDGE_CURVE('',#170707,#170705,#97345,.T.); #212902=EDGE_CURVE('',#170706,#170707,#97346,.T.); #212903=EDGE_CURVE('',#170708,#170706,#97347,.T.); #212904=EDGE_CURVE('',#170709,#170707,#97348,.T.); #212905=EDGE_CURVE('',#170708,#170709,#97349,.T.); #212906=EDGE_CURVE('',#170710,#170708,#97350,.T.); #212907=EDGE_CURVE('',#170711,#170709,#97351,.T.); #212908=EDGE_CURVE('',#170710,#170711,#97352,.T.); #212909=EDGE_CURVE('',#170712,#170710,#97353,.T.); #212910=EDGE_CURVE('',#170713,#170711,#97354,.T.); #212911=EDGE_CURVE('',#170712,#170713,#97355,.T.); #212912=EDGE_CURVE('',#170714,#170712,#97356,.T.); #212913=EDGE_CURVE('',#170715,#170713,#97357,.T.); #212914=EDGE_CURVE('',#170714,#170715,#97358,.T.); #212915=EDGE_CURVE('',#170716,#170714,#97359,.T.); #212916=EDGE_CURVE('',#170717,#170715,#97360,.T.); #212917=EDGE_CURVE('',#170716,#170717,#97361,.T.); #212918=EDGE_CURVE('',#170718,#170716,#97362,.T.); #212919=EDGE_CURVE('',#170719,#170717,#97363,.T.); #212920=EDGE_CURVE('',#170718,#170719,#97364,.T.); #212921=EDGE_CURVE('',#170720,#170718,#97365,.T.); #212922=EDGE_CURVE('',#170721,#170719,#97366,.T.); #212923=EDGE_CURVE('',#170720,#170721,#97367,.T.); #212924=EDGE_CURVE('',#170722,#170720,#97368,.T.); #212925=EDGE_CURVE('',#170723,#170721,#97369,.T.); #212926=EDGE_CURVE('',#170722,#170723,#97370,.T.); #212927=EDGE_CURVE('',#170724,#170722,#97371,.T.); #212928=EDGE_CURVE('',#170725,#170723,#97372,.T.); #212929=EDGE_CURVE('',#170724,#170725,#97373,.T.); #212930=EDGE_CURVE('',#170726,#170724,#97374,.T.); #212931=EDGE_CURVE('',#170727,#170725,#97375,.T.); #212932=EDGE_CURVE('',#170726,#170727,#97376,.T.); #212933=EDGE_CURVE('',#170728,#170726,#97377,.T.); #212934=EDGE_CURVE('',#170729,#170727,#97378,.T.); #212935=EDGE_CURVE('',#170728,#170729,#97379,.T.); #212936=EDGE_CURVE('',#170730,#170728,#97380,.T.); #212937=EDGE_CURVE('',#170731,#170729,#97381,.T.); #212938=EDGE_CURVE('',#170730,#170731,#97382,.T.); #212939=EDGE_CURVE('',#170732,#170730,#97383,.T.); #212940=EDGE_CURVE('',#170733,#170731,#97384,.T.); #212941=EDGE_CURVE('',#170732,#170733,#97385,.T.); #212942=EDGE_CURVE('',#170734,#170732,#97386,.T.); #212943=EDGE_CURVE('',#170735,#170733,#97387,.T.); #212944=EDGE_CURVE('',#170734,#170735,#97388,.T.); #212945=EDGE_CURVE('',#170736,#170734,#97389,.T.); #212946=EDGE_CURVE('',#170737,#170735,#97390,.T.); #212947=EDGE_CURVE('',#170736,#170737,#97391,.T.); #212948=EDGE_CURVE('',#170738,#170736,#97392,.T.); #212949=EDGE_CURVE('',#170739,#170737,#97393,.T.); #212950=EDGE_CURVE('',#170738,#170739,#97394,.T.); #212951=EDGE_CURVE('',#170740,#170738,#97395,.T.); #212952=EDGE_CURVE('',#170741,#170739,#97396,.T.); #212953=EDGE_CURVE('',#170740,#170741,#97397,.T.); #212954=EDGE_CURVE('',#170742,#170740,#97398,.T.); #212955=EDGE_CURVE('',#170743,#170741,#97399,.T.); #212956=EDGE_CURVE('',#170742,#170743,#97400,.T.); #212957=EDGE_CURVE('',#170744,#170742,#97401,.T.); #212958=EDGE_CURVE('',#170745,#170743,#97402,.T.); #212959=EDGE_CURVE('',#170744,#170745,#97403,.T.); #212960=EDGE_CURVE('',#170746,#170744,#97404,.T.); #212961=EDGE_CURVE('',#170747,#170745,#97405,.T.); #212962=EDGE_CURVE('',#170746,#170747,#97406,.T.); #212963=EDGE_CURVE('',#170748,#170746,#97407,.T.); #212964=EDGE_CURVE('',#170749,#170747,#97408,.T.); #212965=EDGE_CURVE('',#170748,#170749,#97409,.T.); #212966=EDGE_CURVE('',#170750,#170748,#97410,.T.); #212967=EDGE_CURVE('',#170751,#170749,#97411,.T.); #212968=EDGE_CURVE('',#170750,#170751,#97412,.T.); #212969=EDGE_CURVE('',#170752,#170750,#97413,.T.); #212970=EDGE_CURVE('',#170753,#170751,#97414,.T.); #212971=EDGE_CURVE('',#170752,#170753,#97415,.T.); #212972=EDGE_CURVE('',#170754,#170752,#97416,.T.); #212973=EDGE_CURVE('',#170755,#170753,#97417,.T.); #212974=EDGE_CURVE('',#170754,#170755,#97418,.T.); #212975=EDGE_CURVE('',#170756,#170754,#97419,.T.); #212976=EDGE_CURVE('',#170757,#170755,#97420,.T.); #212977=EDGE_CURVE('',#170756,#170757,#97421,.T.); #212978=EDGE_CURVE('',#170758,#170756,#97422,.T.); #212979=EDGE_CURVE('',#170759,#170757,#97423,.T.); #212980=EDGE_CURVE('',#170758,#170759,#97424,.T.); #212981=EDGE_CURVE('',#170760,#170758,#97425,.T.); #212982=EDGE_CURVE('',#170761,#170759,#97426,.T.); #212983=EDGE_CURVE('',#170760,#170761,#97427,.T.); #212984=EDGE_CURVE('',#170762,#170760,#97428,.T.); #212985=EDGE_CURVE('',#170763,#170761,#97429,.T.); #212986=EDGE_CURVE('',#170762,#170763,#97430,.T.); #212987=EDGE_CURVE('',#170764,#170762,#97431,.T.); #212988=EDGE_CURVE('',#170765,#170763,#97432,.T.); #212989=EDGE_CURVE('',#170764,#170765,#97433,.T.); #212990=EDGE_CURVE('',#170766,#170764,#97434,.T.); #212991=EDGE_CURVE('',#170767,#170765,#97435,.T.); #212992=EDGE_CURVE('',#170766,#170767,#97436,.T.); #212993=EDGE_CURVE('',#170768,#170766,#97437,.T.); #212994=EDGE_CURVE('',#170769,#170767,#97438,.T.); #212995=EDGE_CURVE('',#170768,#170769,#97439,.T.); #212996=EDGE_CURVE('',#170770,#170768,#97440,.T.); #212997=EDGE_CURVE('',#170771,#170769,#97441,.T.); #212998=EDGE_CURVE('',#170770,#170771,#97442,.T.); #212999=EDGE_CURVE('',#170772,#170770,#97443,.T.); #213000=EDGE_CURVE('',#170773,#170771,#97444,.T.); #213001=EDGE_CURVE('',#170772,#170773,#97445,.T.); #213002=EDGE_CURVE('',#170774,#170772,#97446,.T.); #213003=EDGE_CURVE('',#170775,#170773,#97447,.T.); #213004=EDGE_CURVE('',#170774,#170775,#97448,.T.); #213005=EDGE_CURVE('',#170776,#170774,#97449,.T.); #213006=EDGE_CURVE('',#170777,#170775,#97450,.T.); #213007=EDGE_CURVE('',#170776,#170777,#97451,.T.); #213008=EDGE_CURVE('',#170778,#170776,#97452,.T.); #213009=EDGE_CURVE('',#170779,#170777,#97453,.T.); #213010=EDGE_CURVE('',#170778,#170779,#97454,.T.); #213011=EDGE_CURVE('',#170780,#170778,#97455,.T.); #213012=EDGE_CURVE('',#170781,#170779,#97456,.T.); #213013=EDGE_CURVE('',#170780,#170781,#97457,.T.); #213014=EDGE_CURVE('',#170782,#170780,#97458,.T.); #213015=EDGE_CURVE('',#170783,#170781,#97459,.T.); #213016=EDGE_CURVE('',#170782,#170783,#97460,.T.); #213017=EDGE_CURVE('',#170784,#170782,#97461,.T.); #213018=EDGE_CURVE('',#170785,#170783,#97462,.T.); #213019=EDGE_CURVE('',#170784,#170785,#97463,.T.); #213020=EDGE_CURVE('',#170786,#170784,#97464,.T.); #213021=EDGE_CURVE('',#170787,#170785,#97465,.T.); #213022=EDGE_CURVE('',#170786,#170787,#97466,.T.); #213023=EDGE_CURVE('',#170788,#170786,#97467,.T.); #213024=EDGE_CURVE('',#170789,#170787,#97468,.T.); #213025=EDGE_CURVE('',#170788,#170789,#97469,.T.); #213026=EDGE_CURVE('',#170790,#170788,#97470,.T.); #213027=EDGE_CURVE('',#170791,#170789,#97471,.T.); #213028=EDGE_CURVE('',#170790,#170791,#97472,.T.); #213029=EDGE_CURVE('',#170792,#170790,#97473,.T.); #213030=EDGE_CURVE('',#170793,#170791,#97474,.T.); #213031=EDGE_CURVE('',#170792,#170793,#97475,.T.); #213032=EDGE_CURVE('',#170794,#170792,#97476,.T.); #213033=EDGE_CURVE('',#170795,#170793,#97477,.T.); #213034=EDGE_CURVE('',#170794,#170795,#97478,.T.); #213035=EDGE_CURVE('',#170796,#170794,#97479,.T.); #213036=EDGE_CURVE('',#170797,#170795,#97480,.T.); #213037=EDGE_CURVE('',#170796,#170797,#97481,.T.); #213038=EDGE_CURVE('',#170798,#170796,#97482,.T.); #213039=EDGE_CURVE('',#170799,#170797,#97483,.T.); #213040=EDGE_CURVE('',#170798,#170799,#97484,.T.); #213041=EDGE_CURVE('',#170800,#170798,#97485,.T.); #213042=EDGE_CURVE('',#170801,#170799,#97486,.T.); #213043=EDGE_CURVE('',#170800,#170801,#97487,.T.); #213044=EDGE_CURVE('',#170802,#170800,#97488,.T.); #213045=EDGE_CURVE('',#170803,#170801,#97489,.T.); #213046=EDGE_CURVE('',#170802,#170803,#97490,.T.); #213047=EDGE_CURVE('',#170804,#170802,#97491,.T.); #213048=EDGE_CURVE('',#170805,#170803,#97492,.T.); #213049=EDGE_CURVE('',#170804,#170805,#97493,.T.); #213050=EDGE_CURVE('',#170806,#170804,#97494,.T.); #213051=EDGE_CURVE('',#170807,#170805,#97495,.T.); #213052=EDGE_CURVE('',#170806,#170807,#97496,.T.); #213053=EDGE_CURVE('',#170808,#170806,#97497,.T.); #213054=EDGE_CURVE('',#170809,#170807,#97498,.T.); #213055=EDGE_CURVE('',#170808,#170809,#97499,.T.); #213056=EDGE_CURVE('',#170810,#170808,#97500,.T.); #213057=EDGE_CURVE('',#170811,#170809,#97501,.T.); #213058=EDGE_CURVE('',#170810,#170811,#97502,.T.); #213059=EDGE_CURVE('',#170812,#170810,#97503,.T.); #213060=EDGE_CURVE('',#170813,#170811,#97504,.T.); #213061=EDGE_CURVE('',#170812,#170813,#97505,.T.); #213062=EDGE_CURVE('',#170814,#170812,#97506,.T.); #213063=EDGE_CURVE('',#170815,#170813,#97507,.T.); #213064=EDGE_CURVE('',#170814,#170815,#97508,.T.); #213065=EDGE_CURVE('',#170816,#170814,#97509,.T.); #213066=EDGE_CURVE('',#170817,#170815,#97510,.T.); #213067=EDGE_CURVE('',#170816,#170817,#97511,.T.); #213068=EDGE_CURVE('',#170818,#170816,#97512,.T.); #213069=EDGE_CURVE('',#170819,#170817,#97513,.T.); #213070=EDGE_CURVE('',#170818,#170819,#97514,.T.); #213071=EDGE_CURVE('',#170820,#170818,#97515,.T.); #213072=EDGE_CURVE('',#170821,#170819,#97516,.T.); #213073=EDGE_CURVE('',#170820,#170821,#97517,.T.); #213074=EDGE_CURVE('',#170822,#170820,#97518,.T.); #213075=EDGE_CURVE('',#170823,#170821,#97519,.T.); #213076=EDGE_CURVE('',#170822,#170823,#97520,.T.); #213077=EDGE_CURVE('',#170824,#170822,#97521,.T.); #213078=EDGE_CURVE('',#170825,#170823,#97522,.T.); #213079=EDGE_CURVE('',#170824,#170825,#97523,.T.); #213080=EDGE_CURVE('',#170826,#170824,#97524,.T.); #213081=EDGE_CURVE('',#170827,#170825,#97525,.T.); #213082=EDGE_CURVE('',#170826,#170827,#97526,.T.); #213083=EDGE_CURVE('',#170828,#170826,#97527,.T.); #213084=EDGE_CURVE('',#170829,#170827,#97528,.T.); #213085=EDGE_CURVE('',#170828,#170829,#97529,.T.); #213086=EDGE_CURVE('',#170830,#170828,#97530,.T.); #213087=EDGE_CURVE('',#170831,#170829,#97531,.T.); #213088=EDGE_CURVE('',#170830,#170831,#97532,.T.); #213089=EDGE_CURVE('',#170832,#170830,#97533,.T.); #213090=EDGE_CURVE('',#170833,#170831,#97534,.T.); #213091=EDGE_CURVE('',#170832,#170833,#97535,.T.); #213092=EDGE_CURVE('',#170834,#170832,#97536,.T.); #213093=EDGE_CURVE('',#170835,#170833,#97537,.T.); #213094=EDGE_CURVE('',#170834,#170835,#97538,.T.); #213095=EDGE_CURVE('',#170836,#170834,#97539,.T.); #213096=EDGE_CURVE('',#170837,#170835,#97540,.T.); #213097=EDGE_CURVE('',#170836,#170837,#97541,.T.); #213098=EDGE_CURVE('',#170838,#170836,#97542,.T.); #213099=EDGE_CURVE('',#170839,#170837,#97543,.T.); #213100=EDGE_CURVE('',#170838,#170839,#97544,.T.); #213101=EDGE_CURVE('',#170840,#170838,#97545,.T.); #213102=EDGE_CURVE('',#170841,#170839,#97546,.T.); #213103=EDGE_CURVE('',#170840,#170841,#97547,.T.); #213104=EDGE_CURVE('',#170842,#170840,#97548,.T.); #213105=EDGE_CURVE('',#170843,#170841,#97549,.T.); #213106=EDGE_CURVE('',#170842,#170843,#97550,.T.); #213107=EDGE_CURVE('',#170844,#170842,#97551,.T.); #213108=EDGE_CURVE('',#170845,#170843,#97552,.T.); #213109=EDGE_CURVE('',#170844,#170845,#97553,.T.); #213110=EDGE_CURVE('',#170846,#170844,#97554,.T.); #213111=EDGE_CURVE('',#170847,#170845,#97555,.T.); #213112=EDGE_CURVE('',#170846,#170847,#97556,.T.); #213113=EDGE_CURVE('',#170848,#170846,#97557,.T.); #213114=EDGE_CURVE('',#170849,#170847,#97558,.T.); #213115=EDGE_CURVE('',#170848,#170849,#97559,.T.); #213116=EDGE_CURVE('',#170850,#170848,#97560,.T.); #213117=EDGE_CURVE('',#170851,#170849,#97561,.T.); #213118=EDGE_CURVE('',#170850,#170851,#97562,.T.); #213119=EDGE_CURVE('',#170852,#170850,#97563,.T.); #213120=EDGE_CURVE('',#170853,#170851,#97564,.T.); #213121=EDGE_CURVE('',#170852,#170853,#97565,.T.); #213122=EDGE_CURVE('',#170854,#170852,#97566,.T.); #213123=EDGE_CURVE('',#170855,#170853,#97567,.T.); #213124=EDGE_CURVE('',#170854,#170855,#97568,.T.); #213125=EDGE_CURVE('',#170856,#170854,#97569,.T.); #213126=EDGE_CURVE('',#170857,#170855,#97570,.T.); #213127=EDGE_CURVE('',#170856,#170857,#97571,.T.); #213128=EDGE_CURVE('',#170858,#170856,#97572,.T.); #213129=EDGE_CURVE('',#170859,#170857,#97573,.T.); #213130=EDGE_CURVE('',#170858,#170859,#97574,.T.); #213131=EDGE_CURVE('',#170860,#170858,#97575,.T.); #213132=EDGE_CURVE('',#170861,#170859,#97576,.T.); #213133=EDGE_CURVE('',#170860,#170861,#97577,.T.); #213134=EDGE_CURVE('',#170862,#170860,#97578,.T.); #213135=EDGE_CURVE('',#170863,#170861,#97579,.T.); #213136=EDGE_CURVE('',#170862,#170863,#97580,.T.); #213137=EDGE_CURVE('',#170864,#170862,#97581,.T.); #213138=EDGE_CURVE('',#170865,#170863,#97582,.T.); #213139=EDGE_CURVE('',#170864,#170865,#97583,.T.); #213140=EDGE_CURVE('',#170866,#170864,#97584,.T.); #213141=EDGE_CURVE('',#170867,#170865,#97585,.T.); #213142=EDGE_CURVE('',#170866,#170867,#97586,.T.); #213143=EDGE_CURVE('',#170868,#170866,#97587,.T.); #213144=EDGE_CURVE('',#170869,#170867,#97588,.T.); #213145=EDGE_CURVE('',#170868,#170869,#97589,.T.); #213146=EDGE_CURVE('',#170870,#170868,#97590,.T.); #213147=EDGE_CURVE('',#170871,#170869,#97591,.T.); #213148=EDGE_CURVE('',#170870,#170871,#97592,.T.); #213149=EDGE_CURVE('',#170872,#170870,#97593,.T.); #213150=EDGE_CURVE('',#170873,#170871,#97594,.T.); #213151=EDGE_CURVE('',#170872,#170873,#97595,.T.); #213152=EDGE_CURVE('',#170874,#170872,#97596,.T.); #213153=EDGE_CURVE('',#170875,#170873,#97597,.T.); #213154=EDGE_CURVE('',#170874,#170875,#97598,.T.); #213155=EDGE_CURVE('',#170876,#170874,#97599,.T.); #213156=EDGE_CURVE('',#170877,#170875,#97600,.T.); #213157=EDGE_CURVE('',#170876,#170877,#97601,.T.); #213158=EDGE_CURVE('',#170878,#170876,#97602,.T.); #213159=EDGE_CURVE('',#170879,#170877,#97603,.T.); #213160=EDGE_CURVE('',#170878,#170879,#97604,.T.); #213161=EDGE_CURVE('',#170880,#170878,#97605,.T.); #213162=EDGE_CURVE('',#170881,#170879,#97606,.T.); #213163=EDGE_CURVE('',#170880,#170881,#97607,.T.); #213164=EDGE_CURVE('',#170882,#170880,#97608,.T.); #213165=EDGE_CURVE('',#170883,#170881,#97609,.T.); #213166=EDGE_CURVE('',#170882,#170883,#97610,.T.); #213167=EDGE_CURVE('',#170884,#170882,#97611,.T.); #213168=EDGE_CURVE('',#170885,#170883,#97612,.T.); #213169=EDGE_CURVE('',#170884,#170885,#97613,.T.); #213170=EDGE_CURVE('',#170886,#170884,#97614,.T.); #213171=EDGE_CURVE('',#170887,#170885,#97615,.T.); #213172=EDGE_CURVE('',#170886,#170887,#97616,.T.); #213173=EDGE_CURVE('',#170888,#170886,#97617,.T.); #213174=EDGE_CURVE('',#170889,#170887,#97618,.T.); #213175=EDGE_CURVE('',#170888,#170889,#97619,.T.); #213176=EDGE_CURVE('',#170890,#170888,#97620,.T.); #213177=EDGE_CURVE('',#170891,#170889,#97621,.T.); #213178=EDGE_CURVE('',#170890,#170891,#97622,.T.); #213179=EDGE_CURVE('',#170892,#170890,#97623,.T.); #213180=EDGE_CURVE('',#170893,#170891,#97624,.T.); #213181=EDGE_CURVE('',#170892,#170893,#97625,.T.); #213182=EDGE_CURVE('',#170894,#170892,#97626,.T.); #213183=EDGE_CURVE('',#170895,#170893,#97627,.T.); #213184=EDGE_CURVE('',#170894,#170895,#97628,.T.); #213185=EDGE_CURVE('',#170896,#170894,#97629,.T.); #213186=EDGE_CURVE('',#170897,#170895,#97630,.T.); #213187=EDGE_CURVE('',#170896,#170897,#97631,.T.); #213188=EDGE_CURVE('',#170898,#170896,#97632,.T.); #213189=EDGE_CURVE('',#170899,#170897,#97633,.T.); #213190=EDGE_CURVE('',#170898,#170899,#97634,.T.); #213191=EDGE_CURVE('',#170900,#170898,#97635,.T.); #213192=EDGE_CURVE('',#170901,#170899,#97636,.T.); #213193=EDGE_CURVE('',#170900,#170901,#97637,.T.); #213194=EDGE_CURVE('',#170902,#170900,#97638,.T.); #213195=EDGE_CURVE('',#170903,#170901,#97639,.T.); #213196=EDGE_CURVE('',#170902,#170903,#97640,.T.); #213197=EDGE_CURVE('',#170904,#170902,#97641,.T.); #213198=EDGE_CURVE('',#170905,#170903,#97642,.T.); #213199=EDGE_CURVE('',#170904,#170905,#97643,.T.); #213200=EDGE_CURVE('',#170906,#170904,#97644,.T.); #213201=EDGE_CURVE('',#170907,#170905,#97645,.T.); #213202=EDGE_CURVE('',#170906,#170907,#97646,.T.); #213203=EDGE_CURVE('',#170908,#170906,#97647,.T.); #213204=EDGE_CURVE('',#170909,#170907,#97648,.T.); #213205=EDGE_CURVE('',#170908,#170909,#97649,.T.); #213206=EDGE_CURVE('',#170910,#170908,#97650,.T.); #213207=EDGE_CURVE('',#170911,#170909,#97651,.T.); #213208=EDGE_CURVE('',#170910,#170911,#97652,.T.); #213209=EDGE_CURVE('',#170912,#170910,#97653,.T.); #213210=EDGE_CURVE('',#170913,#170911,#97654,.T.); #213211=EDGE_CURVE('',#170912,#170913,#97655,.T.); #213212=EDGE_CURVE('',#170914,#170912,#97656,.T.); #213213=EDGE_CURVE('',#170915,#170913,#97657,.T.); #213214=EDGE_CURVE('',#170914,#170915,#97658,.T.); #213215=EDGE_CURVE('',#170916,#170914,#97659,.T.); #213216=EDGE_CURVE('',#170917,#170915,#97660,.T.); #213217=EDGE_CURVE('',#170916,#170917,#97661,.T.); #213218=EDGE_CURVE('',#170918,#170916,#97662,.T.); #213219=EDGE_CURVE('',#170919,#170917,#97663,.T.); #213220=EDGE_CURVE('',#170918,#170919,#97664,.T.); #213221=EDGE_CURVE('',#170920,#170918,#97665,.T.); #213222=EDGE_CURVE('',#170921,#170919,#97666,.T.); #213223=EDGE_CURVE('',#170920,#170921,#97667,.T.); #213224=EDGE_CURVE('',#170922,#170920,#97668,.T.); #213225=EDGE_CURVE('',#170923,#170921,#97669,.T.); #213226=EDGE_CURVE('',#170922,#170923,#97670,.T.); #213227=EDGE_CURVE('',#170924,#170922,#97671,.T.); #213228=EDGE_CURVE('',#170925,#170923,#97672,.T.); #213229=EDGE_CURVE('',#170924,#170925,#97673,.T.); #213230=EDGE_CURVE('',#170926,#170924,#97674,.T.); #213231=EDGE_CURVE('',#170927,#170925,#97675,.T.); #213232=EDGE_CURVE('',#170926,#170927,#97676,.T.); #213233=EDGE_CURVE('',#170928,#170926,#97677,.T.); #213234=EDGE_CURVE('',#170929,#170927,#97678,.T.); #213235=EDGE_CURVE('',#170928,#170929,#97679,.T.); #213236=EDGE_CURVE('',#170930,#170928,#97680,.T.); #213237=EDGE_CURVE('',#170931,#170929,#97681,.T.); #213238=EDGE_CURVE('',#170930,#170931,#97682,.T.); #213239=EDGE_CURVE('',#170932,#170930,#97683,.T.); #213240=EDGE_CURVE('',#170933,#170931,#97684,.T.); #213241=EDGE_CURVE('',#170932,#170933,#97685,.T.); #213242=EDGE_CURVE('',#170934,#170932,#97686,.T.); #213243=EDGE_CURVE('',#170935,#170933,#97687,.T.); #213244=EDGE_CURVE('',#170934,#170935,#97688,.T.); #213245=EDGE_CURVE('',#170936,#170934,#97689,.T.); #213246=EDGE_CURVE('',#170937,#170935,#97690,.T.); #213247=EDGE_CURVE('',#170936,#170937,#97691,.T.); #213248=EDGE_CURVE('',#170938,#170936,#97692,.T.); #213249=EDGE_CURVE('',#170939,#170937,#97693,.T.); #213250=EDGE_CURVE('',#170938,#170939,#97694,.T.); #213251=EDGE_CURVE('',#170940,#170938,#97695,.T.); #213252=EDGE_CURVE('',#170941,#170939,#97696,.T.); #213253=EDGE_CURVE('',#170940,#170941,#97697,.T.); #213254=EDGE_CURVE('',#170942,#170940,#97698,.T.); #213255=EDGE_CURVE('',#170943,#170941,#97699,.T.); #213256=EDGE_CURVE('',#170942,#170943,#97700,.T.); #213257=EDGE_CURVE('',#170944,#170942,#97701,.T.); #213258=EDGE_CURVE('',#170945,#170943,#97702,.T.); #213259=EDGE_CURVE('',#170944,#170945,#97703,.T.); #213260=EDGE_CURVE('',#170946,#170944,#97704,.T.); #213261=EDGE_CURVE('',#170947,#170945,#97705,.T.); #213262=EDGE_CURVE('',#170946,#170947,#97706,.T.); #213263=EDGE_CURVE('',#170948,#170946,#97707,.T.); #213264=EDGE_CURVE('',#170949,#170947,#97708,.T.); #213265=EDGE_CURVE('',#170948,#170949,#97709,.T.); #213266=EDGE_CURVE('',#170950,#170948,#97710,.T.); #213267=EDGE_CURVE('',#170951,#170949,#97711,.T.); #213268=EDGE_CURVE('',#170950,#170951,#97712,.T.); #213269=EDGE_CURVE('',#170952,#170950,#97713,.T.); #213270=EDGE_CURVE('',#170953,#170951,#97714,.T.); #213271=EDGE_CURVE('',#170952,#170953,#97715,.T.); #213272=EDGE_CURVE('',#170954,#170952,#97716,.T.); #213273=EDGE_CURVE('',#170955,#170953,#97717,.T.); #213274=EDGE_CURVE('',#170954,#170955,#97718,.T.); #213275=EDGE_CURVE('',#170956,#170954,#97719,.T.); #213276=EDGE_CURVE('',#170957,#170955,#97720,.T.); #213277=EDGE_CURVE('',#170956,#170957,#97721,.T.); #213278=EDGE_CURVE('',#170958,#170956,#97722,.T.); #213279=EDGE_CURVE('',#170959,#170957,#97723,.T.); #213280=EDGE_CURVE('',#170958,#170959,#97724,.T.); #213281=EDGE_CURVE('',#170960,#170958,#97725,.T.); #213282=EDGE_CURVE('',#170961,#170959,#97726,.T.); #213283=EDGE_CURVE('',#170960,#170961,#97727,.T.); #213284=EDGE_CURVE('',#170962,#170960,#97728,.T.); #213285=EDGE_CURVE('',#170963,#170961,#97729,.T.); #213286=EDGE_CURVE('',#170962,#170963,#97730,.T.); #213287=EDGE_CURVE('',#170964,#170962,#97731,.T.); #213288=EDGE_CURVE('',#170965,#170963,#97732,.T.); #213289=EDGE_CURVE('',#170964,#170965,#97733,.T.); #213290=EDGE_CURVE('',#170966,#170964,#97734,.T.); #213291=EDGE_CURVE('',#170967,#170965,#97735,.T.); #213292=EDGE_CURVE('',#170966,#170967,#97736,.T.); #213293=EDGE_CURVE('',#170968,#170966,#97737,.T.); #213294=EDGE_CURVE('',#170969,#170967,#97738,.T.); #213295=EDGE_CURVE('',#170968,#170969,#97739,.T.); #213296=EDGE_CURVE('',#170970,#170968,#97740,.T.); #213297=EDGE_CURVE('',#170971,#170969,#97741,.T.); #213298=EDGE_CURVE('',#170970,#170971,#97742,.T.); #213299=EDGE_CURVE('',#170972,#170970,#97743,.T.); #213300=EDGE_CURVE('',#170973,#170971,#97744,.T.); #213301=EDGE_CURVE('',#170972,#170973,#97745,.T.); #213302=EDGE_CURVE('',#170974,#170972,#97746,.T.); #213303=EDGE_CURVE('',#170975,#170973,#97747,.T.); #213304=EDGE_CURVE('',#170974,#170975,#97748,.T.); #213305=EDGE_CURVE('',#170976,#170974,#97749,.T.); #213306=EDGE_CURVE('',#170977,#170975,#97750,.T.); #213307=EDGE_CURVE('',#170976,#170977,#97751,.T.); #213308=EDGE_CURVE('',#170978,#170976,#97752,.T.); #213309=EDGE_CURVE('',#170979,#170977,#97753,.T.); #213310=EDGE_CURVE('',#170978,#170979,#97754,.T.); #213311=EDGE_CURVE('',#170980,#170978,#97755,.T.); #213312=EDGE_CURVE('',#170981,#170979,#97756,.T.); #213313=EDGE_CURVE('',#170980,#170981,#97757,.T.); #213314=EDGE_CURVE('',#170982,#170980,#97758,.T.); #213315=EDGE_CURVE('',#170983,#170981,#97759,.T.); #213316=EDGE_CURVE('',#170982,#170983,#97760,.T.); #213317=EDGE_CURVE('',#170984,#170982,#97761,.T.); #213318=EDGE_CURVE('',#170985,#170983,#97762,.T.); #213319=EDGE_CURVE('',#170984,#170985,#97763,.T.); #213320=EDGE_CURVE('',#170986,#170984,#97764,.T.); #213321=EDGE_CURVE('',#170987,#170985,#97765,.T.); #213322=EDGE_CURVE('',#170986,#170987,#97766,.T.); #213323=EDGE_CURVE('',#170988,#170986,#97767,.T.); #213324=EDGE_CURVE('',#170989,#170987,#97768,.T.); #213325=EDGE_CURVE('',#170988,#170989,#97769,.T.); #213326=EDGE_CURVE('',#170990,#170988,#97770,.T.); #213327=EDGE_CURVE('',#170991,#170989,#97771,.T.); #213328=EDGE_CURVE('',#170990,#170991,#97772,.T.); #213329=EDGE_CURVE('',#170992,#170990,#97773,.T.); #213330=EDGE_CURVE('',#170993,#170991,#97774,.T.); #213331=EDGE_CURVE('',#170992,#170993,#97775,.T.); #213332=EDGE_CURVE('',#170994,#170992,#97776,.T.); #213333=EDGE_CURVE('',#170995,#170993,#97777,.T.); #213334=EDGE_CURVE('',#170994,#170995,#97778,.T.); #213335=EDGE_CURVE('',#170996,#170994,#97779,.T.); #213336=EDGE_CURVE('',#170997,#170995,#97780,.T.); #213337=EDGE_CURVE('',#170996,#170997,#97781,.T.); #213338=EDGE_CURVE('',#170998,#170996,#97782,.T.); #213339=EDGE_CURVE('',#170999,#170997,#97783,.T.); #213340=EDGE_CURVE('',#170998,#170999,#97784,.T.); #213341=EDGE_CURVE('',#171000,#170998,#97785,.T.); #213342=EDGE_CURVE('',#171001,#170999,#97786,.T.); #213343=EDGE_CURVE('',#171000,#171001,#97787,.T.); #213344=EDGE_CURVE('',#171002,#171000,#97788,.T.); #213345=EDGE_CURVE('',#171003,#171001,#97789,.T.); #213346=EDGE_CURVE('',#171002,#171003,#97790,.T.); #213347=EDGE_CURVE('',#171004,#171002,#97791,.T.); #213348=EDGE_CURVE('',#171005,#171003,#97792,.T.); #213349=EDGE_CURVE('',#171004,#171005,#97793,.T.); #213350=EDGE_CURVE('',#171006,#171004,#97794,.T.); #213351=EDGE_CURVE('',#171007,#171005,#97795,.T.); #213352=EDGE_CURVE('',#171006,#171007,#97796,.T.); #213353=EDGE_CURVE('',#171008,#171006,#97797,.T.); #213354=EDGE_CURVE('',#171009,#171007,#97798,.T.); #213355=EDGE_CURVE('',#171008,#171009,#97799,.T.); #213356=EDGE_CURVE('',#171010,#171008,#97800,.T.); #213357=EDGE_CURVE('',#171011,#171009,#97801,.T.); #213358=EDGE_CURVE('',#171010,#171011,#97802,.T.); #213359=EDGE_CURVE('',#171012,#171010,#97803,.T.); #213360=EDGE_CURVE('',#171013,#171011,#97804,.T.); #213361=EDGE_CURVE('',#171012,#171013,#97805,.T.); #213362=EDGE_CURVE('',#171014,#171012,#97806,.T.); #213363=EDGE_CURVE('',#171015,#171013,#97807,.T.); #213364=EDGE_CURVE('',#171014,#171015,#97808,.T.); #213365=EDGE_CURVE('',#171016,#171014,#97809,.T.); #213366=EDGE_CURVE('',#171017,#171015,#97810,.T.); #213367=EDGE_CURVE('',#171016,#171017,#97811,.T.); #213368=EDGE_CURVE('',#171018,#171016,#97812,.T.); #213369=EDGE_CURVE('',#171019,#171017,#97813,.T.); #213370=EDGE_CURVE('',#171018,#171019,#97814,.T.); #213371=EDGE_CURVE('',#171020,#171018,#97815,.T.); #213372=EDGE_CURVE('',#171021,#171019,#97816,.T.); #213373=EDGE_CURVE('',#171020,#171021,#97817,.T.); #213374=EDGE_CURVE('',#171022,#171020,#97818,.T.); #213375=EDGE_CURVE('',#171023,#171021,#97819,.T.); #213376=EDGE_CURVE('',#171022,#171023,#97820,.T.); #213377=EDGE_CURVE('',#171024,#171022,#97821,.T.); #213378=EDGE_CURVE('',#171025,#171023,#97822,.T.); #213379=EDGE_CURVE('',#171024,#171025,#97823,.T.); #213380=EDGE_CURVE('',#171026,#171024,#97824,.T.); #213381=EDGE_CURVE('',#171027,#171025,#97825,.T.); #213382=EDGE_CURVE('',#171026,#171027,#97826,.T.); #213383=EDGE_CURVE('',#171028,#171026,#97827,.T.); #213384=EDGE_CURVE('',#171029,#171027,#97828,.T.); #213385=EDGE_CURVE('',#171028,#171029,#97829,.T.); #213386=EDGE_CURVE('',#171030,#171028,#97830,.T.); #213387=EDGE_CURVE('',#171031,#171029,#97831,.T.); #213388=EDGE_CURVE('',#171030,#171031,#97832,.T.); #213389=EDGE_CURVE('',#171032,#171030,#97833,.T.); #213390=EDGE_CURVE('',#171033,#171031,#97834,.T.); #213391=EDGE_CURVE('',#171032,#171033,#97835,.T.); #213392=EDGE_CURVE('',#171034,#171032,#97836,.T.); #213393=EDGE_CURVE('',#171035,#171033,#97837,.T.); #213394=EDGE_CURVE('',#171034,#171035,#97838,.T.); #213395=EDGE_CURVE('',#171036,#171034,#97839,.T.); #213396=EDGE_CURVE('',#171037,#171035,#97840,.T.); #213397=EDGE_CURVE('',#171036,#171037,#97841,.T.); #213398=EDGE_CURVE('',#171038,#171036,#97842,.T.); #213399=EDGE_CURVE('',#171039,#171037,#97843,.T.); #213400=EDGE_CURVE('',#171038,#171039,#97844,.T.); #213401=EDGE_CURVE('',#171040,#171038,#97845,.T.); #213402=EDGE_CURVE('',#171041,#171039,#97846,.T.); #213403=EDGE_CURVE('',#171040,#171041,#97847,.T.); #213404=EDGE_CURVE('',#171042,#171040,#97848,.T.); #213405=EDGE_CURVE('',#171043,#171041,#97849,.T.); #213406=EDGE_CURVE('',#171042,#171043,#97850,.T.); #213407=EDGE_CURVE('',#171044,#171042,#97851,.T.); #213408=EDGE_CURVE('',#171045,#171043,#97852,.T.); #213409=EDGE_CURVE('',#171044,#171045,#97853,.T.); #213410=EDGE_CURVE('',#171046,#171044,#97854,.T.); #213411=EDGE_CURVE('',#171047,#171045,#97855,.T.); #213412=EDGE_CURVE('',#171046,#171047,#97856,.T.); #213413=EDGE_CURVE('',#171048,#171046,#97857,.T.); #213414=EDGE_CURVE('',#171049,#171047,#97858,.T.); #213415=EDGE_CURVE('',#171048,#171049,#97859,.T.); #213416=EDGE_CURVE('',#171050,#171048,#97860,.T.); #213417=EDGE_CURVE('',#171051,#171049,#97861,.T.); #213418=EDGE_CURVE('',#171050,#171051,#97862,.T.); #213419=EDGE_CURVE('',#171052,#171050,#97863,.T.); #213420=EDGE_CURVE('',#171053,#171051,#97864,.T.); #213421=EDGE_CURVE('',#171052,#171053,#97865,.T.); #213422=EDGE_CURVE('',#171054,#171052,#97866,.T.); #213423=EDGE_CURVE('',#171055,#171053,#97867,.T.); #213424=EDGE_CURVE('',#171054,#171055,#97868,.T.); #213425=EDGE_CURVE('',#171056,#171054,#97869,.T.); #213426=EDGE_CURVE('',#171057,#171055,#97870,.T.); #213427=EDGE_CURVE('',#171056,#171057,#97871,.T.); #213428=EDGE_CURVE('',#171058,#171056,#97872,.T.); #213429=EDGE_CURVE('',#171059,#171057,#97873,.T.); #213430=EDGE_CURVE('',#171058,#171059,#97874,.T.); #213431=EDGE_CURVE('',#171060,#171058,#97875,.T.); #213432=EDGE_CURVE('',#171061,#171059,#97876,.T.); #213433=EDGE_CURVE('',#171060,#171061,#97877,.T.); #213434=EDGE_CURVE('',#171062,#171060,#97878,.T.); #213435=EDGE_CURVE('',#171063,#171061,#97879,.T.); #213436=EDGE_CURVE('',#171062,#171063,#97880,.T.); #213437=EDGE_CURVE('',#171064,#171062,#97881,.T.); #213438=EDGE_CURVE('',#171065,#171063,#97882,.T.); #213439=EDGE_CURVE('',#171064,#171065,#97883,.T.); #213440=EDGE_CURVE('',#171066,#171064,#97884,.T.); #213441=EDGE_CURVE('',#171067,#171065,#97885,.T.); #213442=EDGE_CURVE('',#171066,#171067,#97886,.T.); #213443=EDGE_CURVE('',#171068,#171066,#97887,.T.); #213444=EDGE_CURVE('',#171069,#171067,#97888,.T.); #213445=EDGE_CURVE('',#171068,#171069,#97889,.T.); #213446=EDGE_CURVE('',#171070,#171068,#97890,.T.); #213447=EDGE_CURVE('',#171071,#171069,#97891,.T.); #213448=EDGE_CURVE('',#171070,#171071,#97892,.T.); #213449=EDGE_CURVE('',#171072,#171070,#97893,.T.); #213450=EDGE_CURVE('',#171073,#171071,#97894,.T.); #213451=EDGE_CURVE('',#171072,#171073,#97895,.T.); #213452=EDGE_CURVE('',#171074,#171072,#97896,.T.); #213453=EDGE_CURVE('',#171075,#171073,#97897,.T.); #213454=EDGE_CURVE('',#171074,#171075,#97898,.T.); #213455=EDGE_CURVE('',#171076,#171074,#97899,.T.); #213456=EDGE_CURVE('',#171077,#171075,#97900,.T.); #213457=EDGE_CURVE('',#171076,#171077,#97901,.T.); #213458=EDGE_CURVE('',#171078,#171076,#97902,.T.); #213459=EDGE_CURVE('',#171079,#171077,#97903,.T.); #213460=EDGE_CURVE('',#171078,#171079,#97904,.T.); #213461=EDGE_CURVE('',#171080,#171078,#97905,.T.); #213462=EDGE_CURVE('',#171081,#171079,#97906,.T.); #213463=EDGE_CURVE('',#171080,#171081,#97907,.T.); #213464=EDGE_CURVE('',#171082,#171080,#97908,.T.); #213465=EDGE_CURVE('',#171083,#171081,#97909,.T.); #213466=EDGE_CURVE('',#171082,#171083,#97910,.T.); #213467=EDGE_CURVE('',#171084,#171082,#97911,.T.); #213468=EDGE_CURVE('',#171085,#171083,#97912,.T.); #213469=EDGE_CURVE('',#171084,#171085,#97913,.T.); #213470=EDGE_CURVE('',#171086,#171084,#97914,.T.); #213471=EDGE_CURVE('',#171087,#171085,#97915,.T.); #213472=EDGE_CURVE('',#171086,#171087,#97916,.T.); #213473=EDGE_CURVE('',#171088,#171086,#97917,.T.); #213474=EDGE_CURVE('',#171089,#171087,#97918,.T.); #213475=EDGE_CURVE('',#171088,#171089,#97919,.T.); #213476=EDGE_CURVE('',#171090,#171088,#97920,.T.); #213477=EDGE_CURVE('',#171091,#171089,#97921,.T.); #213478=EDGE_CURVE('',#171090,#171091,#97922,.T.); #213479=EDGE_CURVE('',#171092,#171090,#97923,.T.); #213480=EDGE_CURVE('',#171093,#171091,#97924,.T.); #213481=EDGE_CURVE('',#171092,#171093,#97925,.T.); #213482=EDGE_CURVE('',#171094,#171092,#97926,.T.); #213483=EDGE_CURVE('',#171095,#171093,#97927,.T.); #213484=EDGE_CURVE('',#171094,#171095,#97928,.T.); #213485=EDGE_CURVE('',#171096,#171094,#97929,.T.); #213486=EDGE_CURVE('',#171097,#171095,#97930,.T.); #213487=EDGE_CURVE('',#171096,#171097,#97931,.T.); #213488=EDGE_CURVE('',#171098,#171096,#97932,.T.); #213489=EDGE_CURVE('',#171099,#171097,#97933,.T.); #213490=EDGE_CURVE('',#171098,#171099,#97934,.T.); #213491=EDGE_CURVE('',#171100,#171098,#97935,.T.); #213492=EDGE_CURVE('',#171101,#171099,#97936,.T.); #213493=EDGE_CURVE('',#171100,#171101,#97937,.T.); #213494=EDGE_CURVE('',#171102,#171100,#97938,.T.); #213495=EDGE_CURVE('',#171103,#171101,#97939,.T.); #213496=EDGE_CURVE('',#171102,#171103,#97940,.T.); #213497=EDGE_CURVE('',#171104,#171102,#97941,.T.); #213498=EDGE_CURVE('',#171105,#171103,#97942,.T.); #213499=EDGE_CURVE('',#171104,#171105,#97943,.T.); #213500=EDGE_CURVE('',#171106,#171104,#97944,.T.); #213501=EDGE_CURVE('',#171107,#171105,#97945,.T.); #213502=EDGE_CURVE('',#171106,#171107,#97946,.T.); #213503=EDGE_CURVE('',#171108,#171106,#97947,.T.); #213504=EDGE_CURVE('',#171109,#171107,#97948,.T.); #213505=EDGE_CURVE('',#171108,#171109,#97949,.T.); #213506=EDGE_CURVE('',#171110,#171108,#97950,.T.); #213507=EDGE_CURVE('',#171111,#171109,#97951,.T.); #213508=EDGE_CURVE('',#171110,#171111,#97952,.T.); #213509=EDGE_CURVE('',#171112,#171110,#97953,.T.); #213510=EDGE_CURVE('',#171113,#171111,#97954,.T.); #213511=EDGE_CURVE('',#171112,#171113,#97955,.T.); #213512=EDGE_CURVE('',#171114,#171112,#97956,.T.); #213513=EDGE_CURVE('',#171115,#171113,#97957,.T.); #213514=EDGE_CURVE('',#171114,#171115,#97958,.T.); #213515=EDGE_CURVE('',#171116,#171114,#97959,.T.); #213516=EDGE_CURVE('',#171117,#171115,#97960,.T.); #213517=EDGE_CURVE('',#171116,#171117,#97961,.T.); #213518=EDGE_CURVE('',#171118,#171116,#97962,.T.); #213519=EDGE_CURVE('',#171119,#171117,#97963,.T.); #213520=EDGE_CURVE('',#171118,#171119,#97964,.T.); #213521=EDGE_CURVE('',#171120,#171118,#97965,.T.); #213522=EDGE_CURVE('',#171121,#171119,#97966,.T.); #213523=EDGE_CURVE('',#171120,#171121,#97967,.T.); #213524=EDGE_CURVE('',#171122,#171120,#97968,.T.); #213525=EDGE_CURVE('',#171123,#171121,#97969,.T.); #213526=EDGE_CURVE('',#171122,#171123,#97970,.T.); #213527=EDGE_CURVE('',#171124,#171122,#97971,.T.); #213528=EDGE_CURVE('',#171125,#171123,#97972,.T.); #213529=EDGE_CURVE('',#171124,#171125,#97973,.T.); #213530=EDGE_CURVE('',#171126,#171124,#97974,.T.); #213531=EDGE_CURVE('',#171127,#171125,#97975,.T.); #213532=EDGE_CURVE('',#171126,#171127,#97976,.T.); #213533=EDGE_CURVE('',#171128,#171126,#97977,.T.); #213534=EDGE_CURVE('',#171129,#171127,#97978,.T.); #213535=EDGE_CURVE('',#171128,#171129,#97979,.T.); #213536=EDGE_CURVE('',#171130,#171128,#97980,.T.); #213537=EDGE_CURVE('',#171131,#171129,#97981,.T.); #213538=EDGE_CURVE('',#171130,#171131,#97982,.T.); #213539=EDGE_CURVE('',#171132,#171130,#97983,.T.); #213540=EDGE_CURVE('',#171133,#171131,#97984,.T.); #213541=EDGE_CURVE('',#171132,#171133,#97985,.T.); #213542=EDGE_CURVE('',#169843,#171132,#97986,.T.); #213543=EDGE_CURVE('',#169844,#171133,#97987,.T.); #213544=EDGE_CURVE('',#171134,#171135,#97988,.T.); #213545=EDGE_CURVE('',#171135,#171136,#97989,.T.); #213546=EDGE_CURVE('',#171137,#171136,#97990,.T.); #213547=EDGE_CURVE('',#171134,#171137,#97991,.T.); #213548=EDGE_CURVE('',#171138,#171134,#97992,.T.); #213549=EDGE_CURVE('',#171139,#171137,#97993,.T.); #213550=EDGE_CURVE('',#171138,#171139,#97994,.T.); #213551=EDGE_CURVE('',#171140,#171138,#97995,.T.); #213552=EDGE_CURVE('',#171141,#171139,#97996,.T.); #213553=EDGE_CURVE('',#171140,#171141,#97997,.T.); #213554=EDGE_CURVE('',#171142,#171140,#97998,.T.); #213555=EDGE_CURVE('',#171143,#171141,#97999,.T.); #213556=EDGE_CURVE('',#171142,#171143,#98000,.T.); #213557=EDGE_CURVE('',#171144,#171142,#98001,.T.); #213558=EDGE_CURVE('',#171145,#171143,#98002,.T.); #213559=EDGE_CURVE('',#171144,#171145,#98003,.T.); #213560=EDGE_CURVE('',#171146,#171144,#98004,.T.); #213561=EDGE_CURVE('',#171147,#171145,#98005,.T.); #213562=EDGE_CURVE('',#171146,#171147,#98006,.T.); #213563=EDGE_CURVE('',#171148,#171146,#98007,.T.); #213564=EDGE_CURVE('',#171149,#171147,#98008,.T.); #213565=EDGE_CURVE('',#171148,#171149,#98009,.T.); #213566=EDGE_CURVE('',#171150,#171148,#98010,.T.); #213567=EDGE_CURVE('',#171151,#171149,#98011,.T.); #213568=EDGE_CURVE('',#171150,#171151,#98012,.T.); #213569=EDGE_CURVE('',#171152,#171150,#98013,.T.); #213570=EDGE_CURVE('',#171153,#171151,#98014,.T.); #213571=EDGE_CURVE('',#171152,#171153,#98015,.T.); #213572=EDGE_CURVE('',#171154,#171152,#98016,.T.); #213573=EDGE_CURVE('',#171155,#171153,#98017,.T.); #213574=EDGE_CURVE('',#171154,#171155,#98018,.T.); #213575=EDGE_CURVE('',#171156,#171154,#98019,.T.); #213576=EDGE_CURVE('',#171157,#171155,#98020,.T.); #213577=EDGE_CURVE('',#171156,#171157,#98021,.T.); #213578=EDGE_CURVE('',#171158,#171156,#98022,.T.); #213579=EDGE_CURVE('',#171159,#171157,#98023,.T.); #213580=EDGE_CURVE('',#171158,#171159,#98024,.T.); #213581=EDGE_CURVE('',#171160,#171158,#98025,.T.); #213582=EDGE_CURVE('',#171161,#171159,#98026,.T.); #213583=EDGE_CURVE('',#171160,#171161,#98027,.T.); #213584=EDGE_CURVE('',#171162,#171160,#98028,.T.); #213585=EDGE_CURVE('',#171163,#171161,#98029,.T.); #213586=EDGE_CURVE('',#171162,#171163,#98030,.T.); #213587=EDGE_CURVE('',#171164,#171162,#98031,.T.); #213588=EDGE_CURVE('',#171165,#171163,#98032,.T.); #213589=EDGE_CURVE('',#171164,#171165,#98033,.T.); #213590=EDGE_CURVE('',#171166,#171164,#98034,.T.); #213591=EDGE_CURVE('',#171167,#171165,#98035,.T.); #213592=EDGE_CURVE('',#171166,#171167,#98036,.T.); #213593=EDGE_CURVE('',#171168,#171166,#98037,.T.); #213594=EDGE_CURVE('',#171169,#171167,#98038,.T.); #213595=EDGE_CURVE('',#171168,#171169,#98039,.T.); #213596=EDGE_CURVE('',#171170,#171168,#98040,.T.); #213597=EDGE_CURVE('',#171171,#171169,#98041,.T.); #213598=EDGE_CURVE('',#171170,#171171,#98042,.T.); #213599=EDGE_CURVE('',#171172,#171170,#98043,.T.); #213600=EDGE_CURVE('',#171173,#171171,#98044,.T.); #213601=EDGE_CURVE('',#171172,#171173,#98045,.T.); #213602=EDGE_CURVE('',#171174,#171172,#98046,.T.); #213603=EDGE_CURVE('',#171175,#171173,#98047,.T.); #213604=EDGE_CURVE('',#171174,#171175,#98048,.T.); #213605=EDGE_CURVE('',#171176,#171174,#98049,.T.); #213606=EDGE_CURVE('',#171177,#171175,#98050,.T.); #213607=EDGE_CURVE('',#171176,#171177,#98051,.T.); #213608=EDGE_CURVE('',#171178,#171176,#98052,.T.); #213609=EDGE_CURVE('',#171179,#171177,#98053,.T.); #213610=EDGE_CURVE('',#171178,#171179,#98054,.T.); #213611=EDGE_CURVE('',#171180,#171178,#98055,.T.); #213612=EDGE_CURVE('',#171181,#171179,#98056,.T.); #213613=EDGE_CURVE('',#171180,#171181,#98057,.T.); #213614=EDGE_CURVE('',#171182,#171180,#98058,.T.); #213615=EDGE_CURVE('',#171183,#171181,#98059,.T.); #213616=EDGE_CURVE('',#171182,#171183,#98060,.T.); #213617=EDGE_CURVE('',#171184,#171182,#98061,.T.); #213618=EDGE_CURVE('',#171185,#171183,#98062,.T.); #213619=EDGE_CURVE('',#171184,#171185,#98063,.T.); #213620=EDGE_CURVE('',#171186,#171184,#98064,.T.); #213621=EDGE_CURVE('',#171187,#171185,#98065,.T.); #213622=EDGE_CURVE('',#171186,#171187,#98066,.T.); #213623=EDGE_CURVE('',#171188,#171186,#98067,.T.); #213624=EDGE_CURVE('',#171189,#171187,#98068,.T.); #213625=EDGE_CURVE('',#171188,#171189,#98069,.T.); #213626=EDGE_CURVE('',#171190,#171188,#98070,.T.); #213627=EDGE_CURVE('',#171191,#171189,#98071,.T.); #213628=EDGE_CURVE('',#171190,#171191,#98072,.T.); #213629=EDGE_CURVE('',#171192,#171190,#98073,.T.); #213630=EDGE_CURVE('',#171193,#171191,#98074,.T.); #213631=EDGE_CURVE('',#171192,#171193,#98075,.T.); #213632=EDGE_CURVE('',#171194,#171192,#98076,.T.); #213633=EDGE_CURVE('',#171195,#171193,#98077,.T.); #213634=EDGE_CURVE('',#171194,#171195,#98078,.T.); #213635=EDGE_CURVE('',#171196,#171194,#98079,.T.); #213636=EDGE_CURVE('',#171197,#171195,#98080,.T.); #213637=EDGE_CURVE('',#171196,#171197,#98081,.T.); #213638=EDGE_CURVE('',#171198,#171196,#98082,.T.); #213639=EDGE_CURVE('',#171199,#171197,#98083,.T.); #213640=EDGE_CURVE('',#171198,#171199,#98084,.T.); #213641=EDGE_CURVE('',#171200,#171198,#98085,.T.); #213642=EDGE_CURVE('',#171201,#171199,#98086,.T.); #213643=EDGE_CURVE('',#171200,#171201,#98087,.T.); #213644=EDGE_CURVE('',#171202,#171200,#98088,.T.); #213645=EDGE_CURVE('',#171203,#171201,#98089,.T.); #213646=EDGE_CURVE('',#171202,#171203,#98090,.T.); #213647=EDGE_CURVE('',#171204,#171202,#98091,.T.); #213648=EDGE_CURVE('',#171205,#171203,#98092,.T.); #213649=EDGE_CURVE('',#171204,#171205,#98093,.T.); #213650=EDGE_CURVE('',#171206,#171204,#98094,.T.); #213651=EDGE_CURVE('',#171207,#171205,#98095,.T.); #213652=EDGE_CURVE('',#171206,#171207,#98096,.T.); #213653=EDGE_CURVE('',#171208,#171206,#98097,.T.); #213654=EDGE_CURVE('',#171209,#171207,#98098,.T.); #213655=EDGE_CURVE('',#171208,#171209,#98099,.T.); #213656=EDGE_CURVE('',#171210,#171208,#98100,.T.); #213657=EDGE_CURVE('',#171211,#171209,#98101,.T.); #213658=EDGE_CURVE('',#171210,#171211,#98102,.T.); #213659=EDGE_CURVE('',#171212,#171210,#98103,.T.); #213660=EDGE_CURVE('',#171213,#171211,#98104,.T.); #213661=EDGE_CURVE('',#171212,#171213,#98105,.T.); #213662=EDGE_CURVE('',#171214,#171212,#98106,.T.); #213663=EDGE_CURVE('',#171215,#171213,#98107,.T.); #213664=EDGE_CURVE('',#171214,#171215,#98108,.T.); #213665=EDGE_CURVE('',#171216,#171214,#98109,.T.); #213666=EDGE_CURVE('',#171217,#171215,#98110,.T.); #213667=EDGE_CURVE('',#171216,#171217,#98111,.T.); #213668=EDGE_CURVE('',#171218,#171216,#98112,.T.); #213669=EDGE_CURVE('',#171219,#171217,#98113,.T.); #213670=EDGE_CURVE('',#171218,#171219,#98114,.T.); #213671=EDGE_CURVE('',#171220,#171218,#98115,.T.); #213672=EDGE_CURVE('',#171221,#171219,#98116,.T.); #213673=EDGE_CURVE('',#171220,#171221,#98117,.T.); #213674=EDGE_CURVE('',#171222,#171220,#98118,.T.); #213675=EDGE_CURVE('',#171223,#171221,#98119,.T.); #213676=EDGE_CURVE('',#171222,#171223,#98120,.T.); #213677=EDGE_CURVE('',#171224,#171222,#98121,.T.); #213678=EDGE_CURVE('',#171225,#171223,#98122,.T.); #213679=EDGE_CURVE('',#171224,#171225,#98123,.T.); #213680=EDGE_CURVE('',#171226,#171224,#98124,.T.); #213681=EDGE_CURVE('',#171227,#171225,#98125,.T.); #213682=EDGE_CURVE('',#171226,#171227,#98126,.T.); #213683=EDGE_CURVE('',#171228,#171226,#98127,.T.); #213684=EDGE_CURVE('',#171229,#171227,#98128,.T.); #213685=EDGE_CURVE('',#171228,#171229,#98129,.T.); #213686=EDGE_CURVE('',#171230,#171228,#98130,.T.); #213687=EDGE_CURVE('',#171231,#171229,#98131,.T.); #213688=EDGE_CURVE('',#171230,#171231,#98132,.T.); #213689=EDGE_CURVE('',#171232,#171230,#98133,.T.); #213690=EDGE_CURVE('',#171233,#171231,#98134,.T.); #213691=EDGE_CURVE('',#171232,#171233,#98135,.T.); #213692=EDGE_CURVE('',#171234,#171232,#98136,.T.); #213693=EDGE_CURVE('',#171235,#171233,#98137,.T.); #213694=EDGE_CURVE('',#171234,#171235,#98138,.T.); #213695=EDGE_CURVE('',#171236,#171234,#98139,.T.); #213696=EDGE_CURVE('',#171237,#171235,#98140,.T.); #213697=EDGE_CURVE('',#171236,#171237,#98141,.T.); #213698=EDGE_CURVE('',#171238,#171236,#98142,.T.); #213699=EDGE_CURVE('',#171239,#171237,#98143,.T.); #213700=EDGE_CURVE('',#171238,#171239,#98144,.T.); #213701=EDGE_CURVE('',#171240,#171238,#98145,.T.); #213702=EDGE_CURVE('',#171241,#171239,#98146,.T.); #213703=EDGE_CURVE('',#171240,#171241,#98147,.T.); #213704=EDGE_CURVE('',#171242,#171240,#98148,.T.); #213705=EDGE_CURVE('',#171243,#171241,#98149,.T.); #213706=EDGE_CURVE('',#171242,#171243,#98150,.T.); #213707=EDGE_CURVE('',#171244,#171242,#98151,.T.); #213708=EDGE_CURVE('',#171245,#171243,#98152,.T.); #213709=EDGE_CURVE('',#171244,#171245,#98153,.T.); #213710=EDGE_CURVE('',#171246,#171244,#98154,.T.); #213711=EDGE_CURVE('',#171247,#171245,#98155,.T.); #213712=EDGE_CURVE('',#171246,#171247,#98156,.T.); #213713=EDGE_CURVE('',#171248,#171246,#98157,.T.); #213714=EDGE_CURVE('',#171249,#171247,#98158,.T.); #213715=EDGE_CURVE('',#171248,#171249,#98159,.T.); #213716=EDGE_CURVE('',#171250,#171248,#98160,.T.); #213717=EDGE_CURVE('',#171251,#171249,#98161,.T.); #213718=EDGE_CURVE('',#171250,#171251,#98162,.T.); #213719=EDGE_CURVE('',#171252,#171250,#98163,.T.); #213720=EDGE_CURVE('',#171253,#171251,#98164,.T.); #213721=EDGE_CURVE('',#171252,#171253,#98165,.T.); #213722=EDGE_CURVE('',#171254,#171252,#98166,.T.); #213723=EDGE_CURVE('',#171255,#171253,#98167,.T.); #213724=EDGE_CURVE('',#171254,#171255,#98168,.T.); #213725=EDGE_CURVE('',#171256,#171254,#98169,.T.); #213726=EDGE_CURVE('',#171257,#171255,#98170,.T.); #213727=EDGE_CURVE('',#171256,#171257,#98171,.T.); #213728=EDGE_CURVE('',#171258,#171256,#98172,.T.); #213729=EDGE_CURVE('',#171259,#171257,#98173,.T.); #213730=EDGE_CURVE('',#171258,#171259,#98174,.T.); #213731=EDGE_CURVE('',#171260,#171258,#98175,.T.); #213732=EDGE_CURVE('',#171261,#171259,#98176,.T.); #213733=EDGE_CURVE('',#171260,#171261,#98177,.T.); #213734=EDGE_CURVE('',#171262,#171260,#98178,.T.); #213735=EDGE_CURVE('',#171263,#171261,#98179,.T.); #213736=EDGE_CURVE('',#171262,#171263,#98180,.T.); #213737=EDGE_CURVE('',#171264,#171262,#98181,.T.); #213738=EDGE_CURVE('',#171265,#171263,#98182,.T.); #213739=EDGE_CURVE('',#171264,#171265,#98183,.T.); #213740=EDGE_CURVE('',#171266,#171264,#98184,.T.); #213741=EDGE_CURVE('',#171267,#171265,#98185,.T.); #213742=EDGE_CURVE('',#171266,#171267,#98186,.T.); #213743=EDGE_CURVE('',#171268,#171266,#98187,.T.); #213744=EDGE_CURVE('',#171269,#171267,#98188,.T.); #213745=EDGE_CURVE('',#171268,#171269,#98189,.T.); #213746=EDGE_CURVE('',#171270,#171268,#98190,.T.); #213747=EDGE_CURVE('',#171271,#171269,#98191,.T.); #213748=EDGE_CURVE('',#171270,#171271,#98192,.T.); #213749=EDGE_CURVE('',#171272,#171270,#98193,.T.); #213750=EDGE_CURVE('',#171273,#171271,#98194,.T.); #213751=EDGE_CURVE('',#171272,#171273,#98195,.T.); #213752=EDGE_CURVE('',#171274,#171272,#98196,.T.); #213753=EDGE_CURVE('',#171275,#171273,#98197,.T.); #213754=EDGE_CURVE('',#171274,#171275,#98198,.T.); #213755=EDGE_CURVE('',#171276,#171274,#98199,.T.); #213756=EDGE_CURVE('',#171277,#171275,#98200,.T.); #213757=EDGE_CURVE('',#171276,#171277,#98201,.T.); #213758=EDGE_CURVE('',#171278,#171276,#98202,.T.); #213759=EDGE_CURVE('',#171279,#171277,#98203,.T.); #213760=EDGE_CURVE('',#171278,#171279,#98204,.T.); #213761=EDGE_CURVE('',#171280,#171278,#98205,.T.); #213762=EDGE_CURVE('',#171281,#171279,#98206,.T.); #213763=EDGE_CURVE('',#171280,#171281,#98207,.T.); #213764=EDGE_CURVE('',#171282,#171280,#98208,.T.); #213765=EDGE_CURVE('',#171283,#171281,#98209,.T.); #213766=EDGE_CURVE('',#171282,#171283,#98210,.T.); #213767=EDGE_CURVE('',#171284,#171282,#98211,.T.); #213768=EDGE_CURVE('',#171285,#171283,#98212,.T.); #213769=EDGE_CURVE('',#171284,#171285,#98213,.T.); #213770=EDGE_CURVE('',#171286,#171284,#98214,.T.); #213771=EDGE_CURVE('',#171287,#171285,#98215,.T.); #213772=EDGE_CURVE('',#171286,#171287,#98216,.T.); #213773=EDGE_CURVE('',#171288,#171286,#98217,.T.); #213774=EDGE_CURVE('',#171289,#171287,#98218,.T.); #213775=EDGE_CURVE('',#171288,#171289,#98219,.T.); #213776=EDGE_CURVE('',#171290,#171288,#98220,.T.); #213777=EDGE_CURVE('',#171291,#171289,#98221,.T.); #213778=EDGE_CURVE('',#171290,#171291,#98222,.T.); #213779=EDGE_CURVE('',#171292,#171290,#98223,.T.); #213780=EDGE_CURVE('',#171293,#171291,#98224,.T.); #213781=EDGE_CURVE('',#171292,#171293,#98225,.T.); #213782=EDGE_CURVE('',#171294,#171292,#98226,.T.); #213783=EDGE_CURVE('',#171295,#171293,#98227,.T.); #213784=EDGE_CURVE('',#171294,#171295,#98228,.T.); #213785=EDGE_CURVE('',#171296,#171294,#98229,.T.); #213786=EDGE_CURVE('',#171297,#171295,#98230,.T.); #213787=EDGE_CURVE('',#171296,#171297,#98231,.T.); #213788=EDGE_CURVE('',#171298,#171296,#98232,.T.); #213789=EDGE_CURVE('',#171299,#171297,#98233,.T.); #213790=EDGE_CURVE('',#171298,#171299,#98234,.T.); #213791=EDGE_CURVE('',#171300,#171298,#98235,.T.); #213792=EDGE_CURVE('',#171301,#171299,#98236,.T.); #213793=EDGE_CURVE('',#171300,#171301,#98237,.T.); #213794=EDGE_CURVE('',#171302,#171300,#98238,.T.); #213795=EDGE_CURVE('',#171303,#171301,#98239,.T.); #213796=EDGE_CURVE('',#171302,#171303,#98240,.T.); #213797=EDGE_CURVE('',#171304,#171302,#98241,.T.); #213798=EDGE_CURVE('',#171305,#171303,#98242,.T.); #213799=EDGE_CURVE('',#171304,#171305,#98243,.T.); #213800=EDGE_CURVE('',#171306,#171304,#98244,.T.); #213801=EDGE_CURVE('',#171307,#171305,#98245,.T.); #213802=EDGE_CURVE('',#171306,#171307,#98246,.T.); #213803=EDGE_CURVE('',#171308,#171306,#98247,.T.); #213804=EDGE_CURVE('',#171309,#171307,#98248,.T.); #213805=EDGE_CURVE('',#171308,#171309,#98249,.T.); #213806=EDGE_CURVE('',#171310,#171308,#98250,.T.); #213807=EDGE_CURVE('',#171311,#171309,#98251,.T.); #213808=EDGE_CURVE('',#171310,#171311,#98252,.T.); #213809=EDGE_CURVE('',#171312,#171310,#98253,.T.); #213810=EDGE_CURVE('',#171313,#171311,#98254,.T.); #213811=EDGE_CURVE('',#171312,#171313,#98255,.T.); #213812=EDGE_CURVE('',#171314,#171312,#98256,.T.); #213813=EDGE_CURVE('',#171315,#171313,#98257,.T.); #213814=EDGE_CURVE('',#171314,#171315,#98258,.T.); #213815=EDGE_CURVE('',#171316,#171314,#98259,.T.); #213816=EDGE_CURVE('',#171317,#171315,#98260,.T.); #213817=EDGE_CURVE('',#171316,#171317,#98261,.T.); #213818=EDGE_CURVE('',#171318,#171316,#98262,.T.); #213819=EDGE_CURVE('',#171319,#171317,#98263,.T.); #213820=EDGE_CURVE('',#171318,#171319,#98264,.T.); #213821=EDGE_CURVE('',#171320,#171318,#98265,.T.); #213822=EDGE_CURVE('',#171321,#171319,#98266,.T.); #213823=EDGE_CURVE('',#171320,#171321,#98267,.T.); #213824=EDGE_CURVE('',#171322,#171320,#98268,.T.); #213825=EDGE_CURVE('',#171323,#171321,#98269,.T.); #213826=EDGE_CURVE('',#171322,#171323,#98270,.T.); #213827=EDGE_CURVE('',#171324,#171322,#98271,.T.); #213828=EDGE_CURVE('',#171325,#171323,#98272,.T.); #213829=EDGE_CURVE('',#171324,#171325,#98273,.T.); #213830=EDGE_CURVE('',#171326,#171324,#98274,.T.); #213831=EDGE_CURVE('',#171327,#171325,#98275,.T.); #213832=EDGE_CURVE('',#171326,#171327,#98276,.T.); #213833=EDGE_CURVE('',#171328,#171326,#98277,.T.); #213834=EDGE_CURVE('',#171329,#171327,#98278,.T.); #213835=EDGE_CURVE('',#171328,#171329,#98279,.T.); #213836=EDGE_CURVE('',#171330,#171328,#98280,.T.); #213837=EDGE_CURVE('',#171331,#171329,#98281,.T.); #213838=EDGE_CURVE('',#171330,#171331,#98282,.T.); #213839=EDGE_CURVE('',#171332,#171330,#98283,.T.); #213840=EDGE_CURVE('',#171333,#171331,#98284,.T.); #213841=EDGE_CURVE('',#171332,#171333,#98285,.T.); #213842=EDGE_CURVE('',#171135,#171332,#98286,.T.); #213843=EDGE_CURVE('',#171136,#171333,#98287,.T.); #213844=EDGE_CURVE('',#171334,#171335,#98288,.T.); #213845=EDGE_CURVE('',#171335,#171336,#98289,.T.); #213846=EDGE_CURVE('',#171337,#171336,#98290,.T.); #213847=EDGE_CURVE('',#171334,#171337,#98291,.T.); #213848=EDGE_CURVE('',#171338,#171334,#98292,.T.); #213849=EDGE_CURVE('',#171339,#171337,#98293,.T.); #213850=EDGE_CURVE('',#171338,#171339,#98294,.T.); #213851=EDGE_CURVE('',#171340,#171338,#98295,.T.); #213852=EDGE_CURVE('',#171341,#171339,#98296,.T.); #213853=EDGE_CURVE('',#171340,#171341,#98297,.T.); #213854=EDGE_CURVE('',#171342,#171340,#98298,.T.); #213855=EDGE_CURVE('',#171343,#171341,#98299,.T.); #213856=EDGE_CURVE('',#171342,#171343,#98300,.T.); #213857=EDGE_CURVE('',#171344,#171342,#98301,.T.); #213858=EDGE_CURVE('',#171345,#171343,#98302,.T.); #213859=EDGE_CURVE('',#171344,#171345,#98303,.T.); #213860=EDGE_CURVE('',#171346,#171344,#98304,.T.); #213861=EDGE_CURVE('',#171347,#171345,#98305,.T.); #213862=EDGE_CURVE('',#171346,#171347,#98306,.T.); #213863=EDGE_CURVE('',#171348,#171346,#98307,.T.); #213864=EDGE_CURVE('',#171349,#171347,#98308,.T.); #213865=EDGE_CURVE('',#171348,#171349,#98309,.T.); #213866=EDGE_CURVE('',#171350,#171348,#98310,.T.); #213867=EDGE_CURVE('',#171351,#171349,#98311,.T.); #213868=EDGE_CURVE('',#171350,#171351,#98312,.T.); #213869=EDGE_CURVE('',#171352,#171350,#98313,.T.); #213870=EDGE_CURVE('',#171353,#171351,#98314,.T.); #213871=EDGE_CURVE('',#171352,#171353,#98315,.T.); #213872=EDGE_CURVE('',#171354,#171352,#98316,.T.); #213873=EDGE_CURVE('',#171355,#171353,#98317,.T.); #213874=EDGE_CURVE('',#171354,#171355,#98318,.T.); #213875=EDGE_CURVE('',#171356,#171354,#98319,.T.); #213876=EDGE_CURVE('',#171357,#171355,#98320,.T.); #213877=EDGE_CURVE('',#171356,#171357,#98321,.T.); #213878=EDGE_CURVE('',#171358,#171356,#98322,.T.); #213879=EDGE_CURVE('',#171359,#171357,#98323,.T.); #213880=EDGE_CURVE('',#171358,#171359,#98324,.T.); #213881=EDGE_CURVE('',#171360,#171358,#98325,.T.); #213882=EDGE_CURVE('',#171361,#171359,#98326,.T.); #213883=EDGE_CURVE('',#171360,#171361,#98327,.T.); #213884=EDGE_CURVE('',#171362,#171360,#98328,.T.); #213885=EDGE_CURVE('',#171363,#171361,#98329,.T.); #213886=EDGE_CURVE('',#171362,#171363,#98330,.T.); #213887=EDGE_CURVE('',#171364,#171362,#98331,.T.); #213888=EDGE_CURVE('',#171365,#171363,#98332,.T.); #213889=EDGE_CURVE('',#171364,#171365,#98333,.T.); #213890=EDGE_CURVE('',#171366,#171364,#98334,.T.); #213891=EDGE_CURVE('',#171367,#171365,#98335,.T.); #213892=EDGE_CURVE('',#171366,#171367,#98336,.T.); #213893=EDGE_CURVE('',#171368,#171366,#98337,.T.); #213894=EDGE_CURVE('',#171369,#171367,#98338,.T.); #213895=EDGE_CURVE('',#171368,#171369,#98339,.T.); #213896=EDGE_CURVE('',#171370,#171368,#98340,.T.); #213897=EDGE_CURVE('',#171371,#171369,#98341,.T.); #213898=EDGE_CURVE('',#171370,#171371,#98342,.T.); #213899=EDGE_CURVE('',#171372,#171370,#98343,.T.); #213900=EDGE_CURVE('',#171373,#171371,#98344,.T.); #213901=EDGE_CURVE('',#171372,#171373,#98345,.T.); #213902=EDGE_CURVE('',#171374,#171372,#98346,.T.); #213903=EDGE_CURVE('',#171375,#171373,#98347,.T.); #213904=EDGE_CURVE('',#171374,#171375,#98348,.T.); #213905=EDGE_CURVE('',#171376,#171374,#98349,.T.); #213906=EDGE_CURVE('',#171377,#171375,#98350,.T.); #213907=EDGE_CURVE('',#171376,#171377,#98351,.T.); #213908=EDGE_CURVE('',#171378,#171376,#98352,.T.); #213909=EDGE_CURVE('',#171379,#171377,#98353,.T.); #213910=EDGE_CURVE('',#171378,#171379,#98354,.T.); #213911=EDGE_CURVE('',#171380,#171378,#98355,.T.); #213912=EDGE_CURVE('',#171381,#171379,#98356,.T.); #213913=EDGE_CURVE('',#171380,#171381,#98357,.T.); #213914=EDGE_CURVE('',#171382,#171380,#98358,.T.); #213915=EDGE_CURVE('',#171383,#171381,#98359,.T.); #213916=EDGE_CURVE('',#171382,#171383,#98360,.T.); #213917=EDGE_CURVE('',#171384,#171382,#98361,.T.); #213918=EDGE_CURVE('',#171385,#171383,#98362,.T.); #213919=EDGE_CURVE('',#171384,#171385,#98363,.T.); #213920=EDGE_CURVE('',#171386,#171384,#98364,.T.); #213921=EDGE_CURVE('',#171387,#171385,#98365,.T.); #213922=EDGE_CURVE('',#171386,#171387,#98366,.T.); #213923=EDGE_CURVE('',#171388,#171386,#98367,.T.); #213924=EDGE_CURVE('',#171389,#171387,#98368,.T.); #213925=EDGE_CURVE('',#171388,#171389,#98369,.T.); #213926=EDGE_CURVE('',#171390,#171388,#98370,.T.); #213927=EDGE_CURVE('',#171391,#171389,#98371,.T.); #213928=EDGE_CURVE('',#171390,#171391,#98372,.T.); #213929=EDGE_CURVE('',#171392,#171390,#98373,.T.); #213930=EDGE_CURVE('',#171393,#171391,#98374,.T.); #213931=EDGE_CURVE('',#171392,#171393,#98375,.T.); #213932=EDGE_CURVE('',#171394,#171392,#98376,.T.); #213933=EDGE_CURVE('',#171395,#171393,#98377,.T.); #213934=EDGE_CURVE('',#171394,#171395,#98378,.T.); #213935=EDGE_CURVE('',#171396,#171394,#98379,.T.); #213936=EDGE_CURVE('',#171397,#171395,#98380,.T.); #213937=EDGE_CURVE('',#171396,#171397,#98381,.T.); #213938=EDGE_CURVE('',#171398,#171396,#98382,.T.); #213939=EDGE_CURVE('',#171399,#171397,#98383,.T.); #213940=EDGE_CURVE('',#171398,#171399,#98384,.T.); #213941=EDGE_CURVE('',#171400,#171398,#98385,.T.); #213942=EDGE_CURVE('',#171401,#171399,#98386,.T.); #213943=EDGE_CURVE('',#171400,#171401,#98387,.T.); #213944=EDGE_CURVE('',#171402,#171400,#98388,.T.); #213945=EDGE_CURVE('',#171403,#171401,#98389,.T.); #213946=EDGE_CURVE('',#171402,#171403,#98390,.T.); #213947=EDGE_CURVE('',#171404,#171402,#98391,.T.); #213948=EDGE_CURVE('',#171405,#171403,#98392,.T.); #213949=EDGE_CURVE('',#171404,#171405,#98393,.T.); #213950=EDGE_CURVE('',#171406,#171404,#98394,.T.); #213951=EDGE_CURVE('',#171407,#171405,#98395,.T.); #213952=EDGE_CURVE('',#171406,#171407,#98396,.T.); #213953=EDGE_CURVE('',#171408,#171406,#98397,.T.); #213954=EDGE_CURVE('',#171409,#171407,#98398,.T.); #213955=EDGE_CURVE('',#171408,#171409,#98399,.T.); #213956=EDGE_CURVE('',#171410,#171408,#98400,.T.); #213957=EDGE_CURVE('',#171411,#171409,#98401,.T.); #213958=EDGE_CURVE('',#171410,#171411,#98402,.T.); #213959=EDGE_CURVE('',#171412,#171410,#98403,.T.); #213960=EDGE_CURVE('',#171413,#171411,#98404,.T.); #213961=EDGE_CURVE('',#171412,#171413,#98405,.T.); #213962=EDGE_CURVE('',#171414,#171412,#98406,.T.); #213963=EDGE_CURVE('',#171415,#171413,#98407,.T.); #213964=EDGE_CURVE('',#171414,#171415,#98408,.T.); #213965=EDGE_CURVE('',#171416,#171414,#98409,.T.); #213966=EDGE_CURVE('',#171417,#171415,#98410,.T.); #213967=EDGE_CURVE('',#171416,#171417,#98411,.T.); #213968=EDGE_CURVE('',#171418,#171416,#98412,.T.); #213969=EDGE_CURVE('',#171419,#171417,#98413,.T.); #213970=EDGE_CURVE('',#171418,#171419,#98414,.T.); #213971=EDGE_CURVE('',#171420,#171418,#98415,.T.); #213972=EDGE_CURVE('',#171421,#171419,#98416,.T.); #213973=EDGE_CURVE('',#171420,#171421,#98417,.T.); #213974=EDGE_CURVE('',#171422,#171420,#98418,.T.); #213975=EDGE_CURVE('',#171423,#171421,#98419,.T.); #213976=EDGE_CURVE('',#171422,#171423,#98420,.T.); #213977=EDGE_CURVE('',#171424,#171422,#98421,.T.); #213978=EDGE_CURVE('',#171425,#171423,#98422,.T.); #213979=EDGE_CURVE('',#171424,#171425,#98423,.T.); #213980=EDGE_CURVE('',#171426,#171424,#98424,.T.); #213981=EDGE_CURVE('',#171427,#171425,#98425,.T.); #213982=EDGE_CURVE('',#171426,#171427,#98426,.T.); #213983=EDGE_CURVE('',#171428,#171426,#98427,.T.); #213984=EDGE_CURVE('',#171429,#171427,#98428,.T.); #213985=EDGE_CURVE('',#171428,#171429,#98429,.T.); #213986=EDGE_CURVE('',#171430,#171428,#98430,.T.); #213987=EDGE_CURVE('',#171431,#171429,#98431,.T.); #213988=EDGE_CURVE('',#171430,#171431,#98432,.T.); #213989=EDGE_CURVE('',#171432,#171430,#98433,.T.); #213990=EDGE_CURVE('',#171433,#171431,#98434,.T.); #213991=EDGE_CURVE('',#171432,#171433,#98435,.T.); #213992=EDGE_CURVE('',#171434,#171432,#98436,.T.); #213993=EDGE_CURVE('',#171435,#171433,#98437,.T.); #213994=EDGE_CURVE('',#171434,#171435,#98438,.T.); #213995=EDGE_CURVE('',#171436,#171434,#98439,.T.); #213996=EDGE_CURVE('',#171437,#171435,#98440,.T.); #213997=EDGE_CURVE('',#171436,#171437,#98441,.T.); #213998=EDGE_CURVE('',#171438,#171436,#98442,.T.); #213999=EDGE_CURVE('',#171439,#171437,#98443,.T.); #214000=EDGE_CURVE('',#171438,#171439,#98444,.T.); #214001=EDGE_CURVE('',#171440,#171438,#98445,.T.); #214002=EDGE_CURVE('',#171441,#171439,#98446,.T.); #214003=EDGE_CURVE('',#171440,#171441,#98447,.T.); #214004=EDGE_CURVE('',#171442,#171440,#98448,.T.); #214005=EDGE_CURVE('',#171443,#171441,#98449,.T.); #214006=EDGE_CURVE('',#171442,#171443,#98450,.T.); #214007=EDGE_CURVE('',#171444,#171442,#98451,.T.); #214008=EDGE_CURVE('',#171445,#171443,#98452,.T.); #214009=EDGE_CURVE('',#171444,#171445,#98453,.T.); #214010=EDGE_CURVE('',#171446,#171444,#98454,.T.); #214011=EDGE_CURVE('',#171447,#171445,#98455,.T.); #214012=EDGE_CURVE('',#171446,#171447,#98456,.T.); #214013=EDGE_CURVE('',#171448,#171446,#98457,.T.); #214014=EDGE_CURVE('',#171449,#171447,#98458,.T.); #214015=EDGE_CURVE('',#171448,#171449,#98459,.T.); #214016=EDGE_CURVE('',#171450,#171448,#98460,.T.); #214017=EDGE_CURVE('',#171451,#171449,#98461,.T.); #214018=EDGE_CURVE('',#171450,#171451,#98462,.T.); #214019=EDGE_CURVE('',#171452,#171450,#98463,.T.); #214020=EDGE_CURVE('',#171453,#171451,#98464,.T.); #214021=EDGE_CURVE('',#171452,#171453,#98465,.T.); #214022=EDGE_CURVE('',#171454,#171452,#98466,.T.); #214023=EDGE_CURVE('',#171455,#171453,#98467,.T.); #214024=EDGE_CURVE('',#171454,#171455,#98468,.T.); #214025=EDGE_CURVE('',#171456,#171454,#98469,.T.); #214026=EDGE_CURVE('',#171457,#171455,#98470,.T.); #214027=EDGE_CURVE('',#171456,#171457,#98471,.T.); #214028=EDGE_CURVE('',#171458,#171456,#98472,.T.); #214029=EDGE_CURVE('',#171459,#171457,#98473,.T.); #214030=EDGE_CURVE('',#171458,#171459,#98474,.T.); #214031=EDGE_CURVE('',#171460,#171458,#98475,.T.); #214032=EDGE_CURVE('',#171461,#171459,#98476,.T.); #214033=EDGE_CURVE('',#171460,#171461,#98477,.T.); #214034=EDGE_CURVE('',#171462,#171460,#98478,.T.); #214035=EDGE_CURVE('',#171463,#171461,#98479,.T.); #214036=EDGE_CURVE('',#171462,#171463,#98480,.T.); #214037=EDGE_CURVE('',#171464,#171462,#98481,.T.); #214038=EDGE_CURVE('',#171465,#171463,#98482,.T.); #214039=EDGE_CURVE('',#171464,#171465,#98483,.T.); #214040=EDGE_CURVE('',#171466,#171464,#98484,.T.); #214041=EDGE_CURVE('',#171467,#171465,#98485,.T.); #214042=EDGE_CURVE('',#171466,#171467,#98486,.T.); #214043=EDGE_CURVE('',#171468,#171466,#98487,.T.); #214044=EDGE_CURVE('',#171469,#171467,#98488,.T.); #214045=EDGE_CURVE('',#171468,#171469,#98489,.T.); #214046=EDGE_CURVE('',#171470,#171468,#98490,.T.); #214047=EDGE_CURVE('',#171471,#171469,#98491,.T.); #214048=EDGE_CURVE('',#171470,#171471,#98492,.T.); #214049=EDGE_CURVE('',#171472,#171470,#98493,.T.); #214050=EDGE_CURVE('',#171473,#171471,#98494,.T.); #214051=EDGE_CURVE('',#171472,#171473,#98495,.T.); #214052=EDGE_CURVE('',#171474,#171472,#98496,.T.); #214053=EDGE_CURVE('',#171475,#171473,#98497,.T.); #214054=EDGE_CURVE('',#171474,#171475,#98498,.T.); #214055=EDGE_CURVE('',#171476,#171474,#98499,.T.); #214056=EDGE_CURVE('',#171477,#171475,#98500,.T.); #214057=EDGE_CURVE('',#171476,#171477,#98501,.T.); #214058=EDGE_CURVE('',#171478,#171476,#98502,.T.); #214059=EDGE_CURVE('',#171479,#171477,#98503,.T.); #214060=EDGE_CURVE('',#171478,#171479,#98504,.T.); #214061=EDGE_CURVE('',#171480,#171478,#98505,.T.); #214062=EDGE_CURVE('',#171481,#171479,#98506,.T.); #214063=EDGE_CURVE('',#171480,#171481,#98507,.T.); #214064=EDGE_CURVE('',#171482,#171480,#98508,.T.); #214065=EDGE_CURVE('',#171483,#171481,#98509,.T.); #214066=EDGE_CURVE('',#171482,#171483,#98510,.T.); #214067=EDGE_CURVE('',#171484,#171482,#98511,.T.); #214068=EDGE_CURVE('',#171485,#171483,#98512,.T.); #214069=EDGE_CURVE('',#171484,#171485,#98513,.T.); #214070=EDGE_CURVE('',#171486,#171484,#98514,.T.); #214071=EDGE_CURVE('',#171487,#171485,#98515,.T.); #214072=EDGE_CURVE('',#171486,#171487,#98516,.T.); #214073=EDGE_CURVE('',#171488,#171486,#98517,.T.); #214074=EDGE_CURVE('',#171489,#171487,#98518,.T.); #214075=EDGE_CURVE('',#171488,#171489,#98519,.T.); #214076=EDGE_CURVE('',#171490,#171488,#98520,.T.); #214077=EDGE_CURVE('',#171491,#171489,#98521,.T.); #214078=EDGE_CURVE('',#171490,#171491,#98522,.T.); #214079=EDGE_CURVE('',#171492,#171490,#98523,.T.); #214080=EDGE_CURVE('',#171493,#171491,#98524,.T.); #214081=EDGE_CURVE('',#171492,#171493,#98525,.T.); #214082=EDGE_CURVE('',#171494,#171492,#98526,.T.); #214083=EDGE_CURVE('',#171495,#171493,#98527,.T.); #214084=EDGE_CURVE('',#171494,#171495,#98528,.T.); #214085=EDGE_CURVE('',#171496,#171494,#98529,.T.); #214086=EDGE_CURVE('',#171497,#171495,#98530,.T.); #214087=EDGE_CURVE('',#171496,#171497,#98531,.T.); #214088=EDGE_CURVE('',#171498,#171496,#98532,.T.); #214089=EDGE_CURVE('',#171499,#171497,#98533,.T.); #214090=EDGE_CURVE('',#171498,#171499,#98534,.T.); #214091=EDGE_CURVE('',#171500,#171498,#98535,.T.); #214092=EDGE_CURVE('',#171501,#171499,#98536,.T.); #214093=EDGE_CURVE('',#171500,#171501,#98537,.T.); #214094=EDGE_CURVE('',#171502,#171500,#98538,.T.); #214095=EDGE_CURVE('',#171503,#171501,#98539,.T.); #214096=EDGE_CURVE('',#171502,#171503,#98540,.T.); #214097=EDGE_CURVE('',#171504,#171502,#98541,.T.); #214098=EDGE_CURVE('',#171505,#171503,#98542,.T.); #214099=EDGE_CURVE('',#171504,#171505,#98543,.T.); #214100=EDGE_CURVE('',#171506,#171504,#98544,.T.); #214101=EDGE_CURVE('',#171507,#171505,#98545,.T.); #214102=EDGE_CURVE('',#171506,#171507,#98546,.T.); #214103=EDGE_CURVE('',#171508,#171506,#98547,.T.); #214104=EDGE_CURVE('',#171509,#171507,#98548,.T.); #214105=EDGE_CURVE('',#171508,#171509,#98549,.T.); #214106=EDGE_CURVE('',#171510,#171508,#98550,.T.); #214107=EDGE_CURVE('',#171511,#171509,#98551,.T.); #214108=EDGE_CURVE('',#171510,#171511,#98552,.T.); #214109=EDGE_CURVE('',#171512,#171510,#98553,.T.); #214110=EDGE_CURVE('',#171513,#171511,#98554,.T.); #214111=EDGE_CURVE('',#171512,#171513,#98555,.T.); #214112=EDGE_CURVE('',#171514,#171512,#98556,.T.); #214113=EDGE_CURVE('',#171515,#171513,#98557,.T.); #214114=EDGE_CURVE('',#171514,#171515,#98558,.T.); #214115=EDGE_CURVE('',#171516,#171514,#98559,.T.); #214116=EDGE_CURVE('',#171517,#171515,#98560,.T.); #214117=EDGE_CURVE('',#171516,#171517,#98561,.T.); #214118=EDGE_CURVE('',#171518,#171516,#98562,.T.); #214119=EDGE_CURVE('',#171519,#171517,#98563,.T.); #214120=EDGE_CURVE('',#171518,#171519,#98564,.T.); #214121=EDGE_CURVE('',#171520,#171518,#98565,.T.); #214122=EDGE_CURVE('',#171521,#171519,#98566,.T.); #214123=EDGE_CURVE('',#171520,#171521,#98567,.T.); #214124=EDGE_CURVE('',#171522,#171520,#98568,.T.); #214125=EDGE_CURVE('',#171523,#171521,#98569,.T.); #214126=EDGE_CURVE('',#171522,#171523,#98570,.T.); #214127=EDGE_CURVE('',#171524,#171522,#98571,.T.); #214128=EDGE_CURVE('',#171525,#171523,#98572,.T.); #214129=EDGE_CURVE('',#171524,#171525,#98573,.T.); #214130=EDGE_CURVE('',#171526,#171524,#98574,.T.); #214131=EDGE_CURVE('',#171527,#171525,#98575,.T.); #214132=EDGE_CURVE('',#171526,#171527,#98576,.T.); #214133=EDGE_CURVE('',#171528,#171526,#98577,.T.); #214134=EDGE_CURVE('',#171529,#171527,#98578,.T.); #214135=EDGE_CURVE('',#171528,#171529,#98579,.T.); #214136=EDGE_CURVE('',#171530,#171528,#98580,.T.); #214137=EDGE_CURVE('',#171531,#171529,#98581,.T.); #214138=EDGE_CURVE('',#171530,#171531,#98582,.T.); #214139=EDGE_CURVE('',#171532,#171530,#98583,.T.); #214140=EDGE_CURVE('',#171533,#171531,#98584,.T.); #214141=EDGE_CURVE('',#171532,#171533,#98585,.T.); #214142=EDGE_CURVE('',#171534,#171532,#98586,.T.); #214143=EDGE_CURVE('',#171535,#171533,#98587,.T.); #214144=EDGE_CURVE('',#171534,#171535,#98588,.T.); #214145=EDGE_CURVE('',#171536,#171534,#98589,.T.); #214146=EDGE_CURVE('',#171537,#171535,#98590,.T.); #214147=EDGE_CURVE('',#171536,#171537,#98591,.T.); #214148=EDGE_CURVE('',#171538,#171536,#98592,.T.); #214149=EDGE_CURVE('',#171539,#171537,#98593,.T.); #214150=EDGE_CURVE('',#171538,#171539,#98594,.T.); #214151=EDGE_CURVE('',#171540,#171538,#98595,.T.); #214152=EDGE_CURVE('',#171541,#171539,#98596,.T.); #214153=EDGE_CURVE('',#171540,#171541,#98597,.T.); #214154=EDGE_CURVE('',#171542,#171540,#98598,.T.); #214155=EDGE_CURVE('',#171543,#171541,#98599,.T.); #214156=EDGE_CURVE('',#171542,#171543,#98600,.T.); #214157=EDGE_CURVE('',#171544,#171542,#98601,.T.); #214158=EDGE_CURVE('',#171545,#171543,#98602,.T.); #214159=EDGE_CURVE('',#171544,#171545,#98603,.T.); #214160=EDGE_CURVE('',#171546,#171544,#98604,.T.); #214161=EDGE_CURVE('',#171547,#171545,#98605,.T.); #214162=EDGE_CURVE('',#171546,#171547,#98606,.T.); #214163=EDGE_CURVE('',#171548,#171546,#98607,.T.); #214164=EDGE_CURVE('',#171549,#171547,#98608,.T.); #214165=EDGE_CURVE('',#171548,#171549,#98609,.T.); #214166=EDGE_CURVE('',#171550,#171548,#98610,.T.); #214167=EDGE_CURVE('',#171551,#171549,#98611,.T.); #214168=EDGE_CURVE('',#171550,#171551,#98612,.T.); #214169=EDGE_CURVE('',#171552,#171550,#98613,.T.); #214170=EDGE_CURVE('',#171553,#171551,#98614,.T.); #214171=EDGE_CURVE('',#171552,#171553,#98615,.T.); #214172=EDGE_CURVE('',#171554,#171552,#98616,.T.); #214173=EDGE_CURVE('',#171555,#171553,#98617,.T.); #214174=EDGE_CURVE('',#171554,#171555,#98618,.T.); #214175=EDGE_CURVE('',#171556,#171554,#98619,.T.); #214176=EDGE_CURVE('',#171557,#171555,#98620,.T.); #214177=EDGE_CURVE('',#171556,#171557,#98621,.T.); #214178=EDGE_CURVE('',#171558,#171556,#98622,.T.); #214179=EDGE_CURVE('',#171559,#171557,#98623,.T.); #214180=EDGE_CURVE('',#171558,#171559,#98624,.T.); #214181=EDGE_CURVE('',#171560,#171558,#98625,.T.); #214182=EDGE_CURVE('',#171561,#171559,#98626,.T.); #214183=EDGE_CURVE('',#171560,#171561,#98627,.T.); #214184=EDGE_CURVE('',#171562,#171560,#98628,.T.); #214185=EDGE_CURVE('',#171563,#171561,#98629,.T.); #214186=EDGE_CURVE('',#171562,#171563,#98630,.T.); #214187=EDGE_CURVE('',#171564,#171562,#98631,.T.); #214188=EDGE_CURVE('',#171565,#171563,#98632,.T.); #214189=EDGE_CURVE('',#171564,#171565,#98633,.T.); #214190=EDGE_CURVE('',#171566,#171564,#98634,.T.); #214191=EDGE_CURVE('',#171567,#171565,#98635,.T.); #214192=EDGE_CURVE('',#171566,#171567,#98636,.T.); #214193=EDGE_CURVE('',#171568,#171566,#98637,.T.); #214194=EDGE_CURVE('',#171569,#171567,#98638,.T.); #214195=EDGE_CURVE('',#171568,#171569,#98639,.T.); #214196=EDGE_CURVE('',#171570,#171568,#98640,.T.); #214197=EDGE_CURVE('',#171571,#171569,#98641,.T.); #214198=EDGE_CURVE('',#171570,#171571,#98642,.T.); #214199=EDGE_CURVE('',#171572,#171570,#98643,.T.); #214200=EDGE_CURVE('',#171573,#171571,#98644,.T.); #214201=EDGE_CURVE('',#171572,#171573,#98645,.T.); #214202=EDGE_CURVE('',#171574,#171572,#98646,.T.); #214203=EDGE_CURVE('',#171575,#171573,#98647,.T.); #214204=EDGE_CURVE('',#171574,#171575,#98648,.T.); #214205=EDGE_CURVE('',#171576,#171574,#98649,.T.); #214206=EDGE_CURVE('',#171577,#171575,#98650,.T.); #214207=EDGE_CURVE('',#171576,#171577,#98651,.T.); #214208=EDGE_CURVE('',#171578,#171576,#98652,.T.); #214209=EDGE_CURVE('',#171579,#171577,#98653,.T.); #214210=EDGE_CURVE('',#171578,#171579,#98654,.T.); #214211=EDGE_CURVE('',#171580,#171578,#98655,.T.); #214212=EDGE_CURVE('',#171581,#171579,#98656,.T.); #214213=EDGE_CURVE('',#171580,#171581,#98657,.T.); #214214=EDGE_CURVE('',#171582,#171580,#98658,.T.); #214215=EDGE_CURVE('',#171583,#171581,#98659,.T.); #214216=EDGE_CURVE('',#171582,#171583,#98660,.T.); #214217=EDGE_CURVE('',#171584,#171582,#98661,.T.); #214218=EDGE_CURVE('',#171585,#171583,#98662,.T.); #214219=EDGE_CURVE('',#171584,#171585,#98663,.T.); #214220=EDGE_CURVE('',#171586,#171584,#98664,.T.); #214221=EDGE_CURVE('',#171587,#171585,#98665,.T.); #214222=EDGE_CURVE('',#171586,#171587,#98666,.T.); #214223=EDGE_CURVE('',#171588,#171586,#98667,.T.); #214224=EDGE_CURVE('',#171589,#171587,#98668,.T.); #214225=EDGE_CURVE('',#171588,#171589,#98669,.T.); #214226=EDGE_CURVE('',#171590,#171588,#98670,.T.); #214227=EDGE_CURVE('',#171591,#171589,#98671,.T.); #214228=EDGE_CURVE('',#171590,#171591,#98672,.T.); #214229=EDGE_CURVE('',#171592,#171590,#98673,.T.); #214230=EDGE_CURVE('',#171593,#171591,#98674,.T.); #214231=EDGE_CURVE('',#171592,#171593,#98675,.T.); #214232=EDGE_CURVE('',#171594,#171592,#98676,.T.); #214233=EDGE_CURVE('',#171595,#171593,#98677,.T.); #214234=EDGE_CURVE('',#171594,#171595,#98678,.T.); #214235=EDGE_CURVE('',#171596,#171594,#98679,.T.); #214236=EDGE_CURVE('',#171597,#171595,#98680,.T.); #214237=EDGE_CURVE('',#171596,#171597,#98681,.T.); #214238=EDGE_CURVE('',#171598,#171596,#98682,.T.); #214239=EDGE_CURVE('',#171599,#171597,#98683,.T.); #214240=EDGE_CURVE('',#171598,#171599,#98684,.T.); #214241=EDGE_CURVE('',#171600,#171598,#98685,.T.); #214242=EDGE_CURVE('',#171601,#171599,#98686,.T.); #214243=EDGE_CURVE('',#171600,#171601,#98687,.T.); #214244=EDGE_CURVE('',#171602,#171600,#98688,.T.); #214245=EDGE_CURVE('',#171603,#171601,#98689,.T.); #214246=EDGE_CURVE('',#171602,#171603,#98690,.T.); #214247=EDGE_CURVE('',#171604,#171602,#98691,.T.); #214248=EDGE_CURVE('',#171605,#171603,#98692,.T.); #214249=EDGE_CURVE('',#171604,#171605,#98693,.T.); #214250=EDGE_CURVE('',#171606,#171604,#98694,.T.); #214251=EDGE_CURVE('',#171607,#171605,#98695,.T.); #214252=EDGE_CURVE('',#171606,#171607,#98696,.T.); #214253=EDGE_CURVE('',#171608,#171606,#98697,.T.); #214254=EDGE_CURVE('',#171609,#171607,#98698,.T.); #214255=EDGE_CURVE('',#171608,#171609,#98699,.T.); #214256=EDGE_CURVE('',#171610,#171608,#98700,.T.); #214257=EDGE_CURVE('',#171611,#171609,#98701,.T.); #214258=EDGE_CURVE('',#171610,#171611,#98702,.T.); #214259=EDGE_CURVE('',#171612,#171610,#98703,.T.); #214260=EDGE_CURVE('',#171613,#171611,#98704,.T.); #214261=EDGE_CURVE('',#171612,#171613,#98705,.T.); #214262=EDGE_CURVE('',#171614,#171612,#98706,.T.); #214263=EDGE_CURVE('',#171615,#171613,#98707,.T.); #214264=EDGE_CURVE('',#171614,#171615,#98708,.T.); #214265=EDGE_CURVE('',#171616,#171614,#98709,.T.); #214266=EDGE_CURVE('',#171617,#171615,#98710,.T.); #214267=EDGE_CURVE('',#171616,#171617,#98711,.T.); #214268=EDGE_CURVE('',#171618,#171616,#98712,.T.); #214269=EDGE_CURVE('',#171619,#171617,#98713,.T.); #214270=EDGE_CURVE('',#171618,#171619,#98714,.T.); #214271=EDGE_CURVE('',#171620,#171618,#98715,.T.); #214272=EDGE_CURVE('',#171621,#171619,#98716,.T.); #214273=EDGE_CURVE('',#171620,#171621,#98717,.T.); #214274=EDGE_CURVE('',#171622,#171620,#98718,.T.); #214275=EDGE_CURVE('',#171623,#171621,#98719,.T.); #214276=EDGE_CURVE('',#171622,#171623,#98720,.T.); #214277=EDGE_CURVE('',#171624,#171622,#98721,.T.); #214278=EDGE_CURVE('',#171625,#171623,#98722,.T.); #214279=EDGE_CURVE('',#171624,#171625,#98723,.T.); #214280=EDGE_CURVE('',#171626,#171624,#98724,.T.); #214281=EDGE_CURVE('',#171627,#171625,#98725,.T.); #214282=EDGE_CURVE('',#171626,#171627,#98726,.T.); #214283=EDGE_CURVE('',#171628,#171626,#98727,.T.); #214284=EDGE_CURVE('',#171629,#171627,#98728,.T.); #214285=EDGE_CURVE('',#171628,#171629,#98729,.T.); #214286=EDGE_CURVE('',#171630,#171628,#98730,.T.); #214287=EDGE_CURVE('',#171631,#171629,#98731,.T.); #214288=EDGE_CURVE('',#171630,#171631,#98732,.T.); #214289=EDGE_CURVE('',#171632,#171630,#98733,.T.); #214290=EDGE_CURVE('',#171633,#171631,#98734,.T.); #214291=EDGE_CURVE('',#171632,#171633,#98735,.T.); #214292=EDGE_CURVE('',#171634,#171632,#98736,.T.); #214293=EDGE_CURVE('',#171635,#171633,#98737,.T.); #214294=EDGE_CURVE('',#171634,#171635,#98738,.T.); #214295=EDGE_CURVE('',#171636,#171634,#98739,.T.); #214296=EDGE_CURVE('',#171637,#171635,#98740,.T.); #214297=EDGE_CURVE('',#171636,#171637,#98741,.T.); #214298=EDGE_CURVE('',#171638,#171636,#98742,.T.); #214299=EDGE_CURVE('',#171639,#171637,#98743,.T.); #214300=EDGE_CURVE('',#171638,#171639,#98744,.T.); #214301=EDGE_CURVE('',#171640,#171638,#98745,.T.); #214302=EDGE_CURVE('',#171641,#171639,#98746,.T.); #214303=EDGE_CURVE('',#171640,#171641,#98747,.T.); #214304=EDGE_CURVE('',#171642,#171640,#98748,.T.); #214305=EDGE_CURVE('',#171643,#171641,#98749,.T.); #214306=EDGE_CURVE('',#171642,#171643,#98750,.T.); #214307=EDGE_CURVE('',#171644,#171642,#98751,.T.); #214308=EDGE_CURVE('',#171645,#171643,#98752,.T.); #214309=EDGE_CURVE('',#171644,#171645,#98753,.T.); #214310=EDGE_CURVE('',#171646,#171644,#98754,.T.); #214311=EDGE_CURVE('',#171647,#171645,#98755,.T.); #214312=EDGE_CURVE('',#171646,#171647,#98756,.T.); #214313=EDGE_CURVE('',#171648,#171646,#98757,.T.); #214314=EDGE_CURVE('',#171649,#171647,#98758,.T.); #214315=EDGE_CURVE('',#171648,#171649,#98759,.T.); #214316=EDGE_CURVE('',#171650,#171648,#98760,.T.); #214317=EDGE_CURVE('',#171651,#171649,#98761,.T.); #214318=EDGE_CURVE('',#171650,#171651,#98762,.T.); #214319=EDGE_CURVE('',#171652,#171650,#98763,.T.); #214320=EDGE_CURVE('',#171653,#171651,#98764,.T.); #214321=EDGE_CURVE('',#171652,#171653,#98765,.T.); #214322=EDGE_CURVE('',#171654,#171652,#98766,.T.); #214323=EDGE_CURVE('',#171655,#171653,#98767,.T.); #214324=EDGE_CURVE('',#171654,#171655,#98768,.T.); #214325=EDGE_CURVE('',#171656,#171654,#98769,.T.); #214326=EDGE_CURVE('',#171657,#171655,#98770,.T.); #214327=EDGE_CURVE('',#171656,#171657,#98771,.T.); #214328=EDGE_CURVE('',#171658,#171656,#98772,.T.); #214329=EDGE_CURVE('',#171659,#171657,#98773,.T.); #214330=EDGE_CURVE('',#171658,#171659,#98774,.T.); #214331=EDGE_CURVE('',#171660,#171658,#98775,.T.); #214332=EDGE_CURVE('',#171661,#171659,#98776,.T.); #214333=EDGE_CURVE('',#171660,#171661,#98777,.T.); #214334=EDGE_CURVE('',#171662,#171660,#98778,.T.); #214335=EDGE_CURVE('',#171663,#171661,#98779,.T.); #214336=EDGE_CURVE('',#171662,#171663,#98780,.T.); #214337=EDGE_CURVE('',#171664,#171662,#98781,.T.); #214338=EDGE_CURVE('',#171665,#171663,#98782,.T.); #214339=EDGE_CURVE('',#171664,#171665,#98783,.T.); #214340=EDGE_CURVE('',#171666,#171664,#98784,.T.); #214341=EDGE_CURVE('',#171667,#171665,#98785,.T.); #214342=EDGE_CURVE('',#171666,#171667,#98786,.T.); #214343=EDGE_CURVE('',#171668,#171666,#98787,.T.); #214344=EDGE_CURVE('',#171669,#171667,#98788,.T.); #214345=EDGE_CURVE('',#171668,#171669,#98789,.T.); #214346=EDGE_CURVE('',#171670,#171668,#98790,.T.); #214347=EDGE_CURVE('',#171671,#171669,#98791,.T.); #214348=EDGE_CURVE('',#171670,#171671,#98792,.T.); #214349=EDGE_CURVE('',#171672,#171670,#98793,.T.); #214350=EDGE_CURVE('',#171673,#171671,#98794,.T.); #214351=EDGE_CURVE('',#171672,#171673,#98795,.T.); #214352=EDGE_CURVE('',#171674,#171672,#98796,.T.); #214353=EDGE_CURVE('',#171675,#171673,#98797,.T.); #214354=EDGE_CURVE('',#171674,#171675,#98798,.T.); #214355=EDGE_CURVE('',#171676,#171674,#98799,.T.); #214356=EDGE_CURVE('',#171677,#171675,#98800,.T.); #214357=EDGE_CURVE('',#171676,#171677,#98801,.T.); #214358=EDGE_CURVE('',#171678,#171676,#98802,.T.); #214359=EDGE_CURVE('',#171679,#171677,#98803,.T.); #214360=EDGE_CURVE('',#171678,#171679,#98804,.T.); #214361=EDGE_CURVE('',#171680,#171678,#98805,.T.); #214362=EDGE_CURVE('',#171681,#171679,#98806,.T.); #214363=EDGE_CURVE('',#171680,#171681,#98807,.T.); #214364=EDGE_CURVE('',#171682,#171680,#98808,.T.); #214365=EDGE_CURVE('',#171683,#171681,#98809,.T.); #214366=EDGE_CURVE('',#171682,#171683,#98810,.T.); #214367=EDGE_CURVE('',#171684,#171682,#98811,.T.); #214368=EDGE_CURVE('',#171685,#171683,#98812,.T.); #214369=EDGE_CURVE('',#171684,#171685,#98813,.T.); #214370=EDGE_CURVE('',#171686,#171684,#98814,.T.); #214371=EDGE_CURVE('',#171687,#171685,#98815,.T.); #214372=EDGE_CURVE('',#171686,#171687,#98816,.T.); #214373=EDGE_CURVE('',#171688,#171686,#98817,.T.); #214374=EDGE_CURVE('',#171689,#171687,#98818,.T.); #214375=EDGE_CURVE('',#171688,#171689,#98819,.T.); #214376=EDGE_CURVE('',#171690,#171688,#98820,.T.); #214377=EDGE_CURVE('',#171691,#171689,#98821,.T.); #214378=EDGE_CURVE('',#171690,#171691,#98822,.T.); #214379=EDGE_CURVE('',#171692,#171690,#98823,.T.); #214380=EDGE_CURVE('',#171693,#171691,#98824,.T.); #214381=EDGE_CURVE('',#171692,#171693,#98825,.T.); #214382=EDGE_CURVE('',#171694,#171692,#98826,.T.); #214383=EDGE_CURVE('',#171695,#171693,#98827,.T.); #214384=EDGE_CURVE('',#171694,#171695,#98828,.T.); #214385=EDGE_CURVE('',#171696,#171694,#98829,.T.); #214386=EDGE_CURVE('',#171697,#171695,#98830,.T.); #214387=EDGE_CURVE('',#171696,#171697,#98831,.T.); #214388=EDGE_CURVE('',#171698,#171696,#98832,.T.); #214389=EDGE_CURVE('',#171699,#171697,#98833,.T.); #214390=EDGE_CURVE('',#171698,#171699,#98834,.T.); #214391=EDGE_CURVE('',#171700,#171698,#98835,.T.); #214392=EDGE_CURVE('',#171701,#171699,#98836,.T.); #214393=EDGE_CURVE('',#171700,#171701,#98837,.T.); #214394=EDGE_CURVE('',#171702,#171700,#98838,.T.); #214395=EDGE_CURVE('',#171703,#171701,#98839,.T.); #214396=EDGE_CURVE('',#171702,#171703,#98840,.T.); #214397=EDGE_CURVE('',#171704,#171702,#98841,.T.); #214398=EDGE_CURVE('',#171705,#171703,#98842,.T.); #214399=EDGE_CURVE('',#171704,#171705,#98843,.T.); #214400=EDGE_CURVE('',#171706,#171704,#98844,.T.); #214401=EDGE_CURVE('',#171707,#171705,#98845,.T.); #214402=EDGE_CURVE('',#171706,#171707,#98846,.T.); #214403=EDGE_CURVE('',#171708,#171706,#98847,.T.); #214404=EDGE_CURVE('',#171709,#171707,#98848,.T.); #214405=EDGE_CURVE('',#171708,#171709,#98849,.T.); #214406=EDGE_CURVE('',#171710,#171708,#98850,.T.); #214407=EDGE_CURVE('',#171711,#171709,#98851,.T.); #214408=EDGE_CURVE('',#171710,#171711,#98852,.T.); #214409=EDGE_CURVE('',#171712,#171710,#98853,.T.); #214410=EDGE_CURVE('',#171713,#171711,#98854,.T.); #214411=EDGE_CURVE('',#171712,#171713,#98855,.T.); #214412=EDGE_CURVE('',#171714,#171712,#98856,.T.); #214413=EDGE_CURVE('',#171715,#171713,#98857,.T.); #214414=EDGE_CURVE('',#171714,#171715,#98858,.T.); #214415=EDGE_CURVE('',#171716,#171714,#98859,.T.); #214416=EDGE_CURVE('',#171717,#171715,#98860,.T.); #214417=EDGE_CURVE('',#171716,#171717,#98861,.T.); #214418=EDGE_CURVE('',#171718,#171716,#98862,.T.); #214419=EDGE_CURVE('',#171719,#171717,#98863,.T.); #214420=EDGE_CURVE('',#171718,#171719,#98864,.T.); #214421=EDGE_CURVE('',#171720,#171718,#98865,.T.); #214422=EDGE_CURVE('',#171721,#171719,#98866,.T.); #214423=EDGE_CURVE('',#171720,#171721,#98867,.T.); #214424=EDGE_CURVE('',#171722,#171720,#98868,.T.); #214425=EDGE_CURVE('',#171723,#171721,#98869,.T.); #214426=EDGE_CURVE('',#171722,#171723,#98870,.T.); #214427=EDGE_CURVE('',#171724,#171722,#98871,.T.); #214428=EDGE_CURVE('',#171725,#171723,#98872,.T.); #214429=EDGE_CURVE('',#171724,#171725,#98873,.T.); #214430=EDGE_CURVE('',#171726,#171724,#98874,.T.); #214431=EDGE_CURVE('',#171727,#171725,#98875,.T.); #214432=EDGE_CURVE('',#171726,#171727,#98876,.T.); #214433=EDGE_CURVE('',#171728,#171726,#98877,.T.); #214434=EDGE_CURVE('',#171729,#171727,#98878,.T.); #214435=EDGE_CURVE('',#171728,#171729,#98879,.T.); #214436=EDGE_CURVE('',#171730,#171728,#98880,.T.); #214437=EDGE_CURVE('',#171731,#171729,#98881,.T.); #214438=EDGE_CURVE('',#171730,#171731,#98882,.T.); #214439=EDGE_CURVE('',#171732,#171730,#98883,.T.); #214440=EDGE_CURVE('',#171733,#171731,#98884,.T.); #214441=EDGE_CURVE('',#171732,#171733,#98885,.T.); #214442=EDGE_CURVE('',#171734,#171732,#98886,.T.); #214443=EDGE_CURVE('',#171735,#171733,#98887,.T.); #214444=EDGE_CURVE('',#171734,#171735,#98888,.T.); #214445=EDGE_CURVE('',#171736,#171734,#98889,.T.); #214446=EDGE_CURVE('',#171737,#171735,#98890,.T.); #214447=EDGE_CURVE('',#171736,#171737,#98891,.T.); #214448=EDGE_CURVE('',#171738,#171736,#98892,.T.); #214449=EDGE_CURVE('',#171739,#171737,#98893,.T.); #214450=EDGE_CURVE('',#171738,#171739,#98894,.T.); #214451=EDGE_CURVE('',#171740,#171738,#98895,.T.); #214452=EDGE_CURVE('',#171741,#171739,#98896,.T.); #214453=EDGE_CURVE('',#171740,#171741,#98897,.T.); #214454=EDGE_CURVE('',#171742,#171740,#98898,.T.); #214455=EDGE_CURVE('',#171743,#171741,#98899,.T.); #214456=EDGE_CURVE('',#171742,#171743,#98900,.T.); #214457=EDGE_CURVE('',#171744,#171742,#98901,.T.); #214458=EDGE_CURVE('',#171745,#171743,#98902,.T.); #214459=EDGE_CURVE('',#171744,#171745,#98903,.T.); #214460=EDGE_CURVE('',#171746,#171744,#98904,.T.); #214461=EDGE_CURVE('',#171747,#171745,#98905,.T.); #214462=EDGE_CURVE('',#171746,#171747,#98906,.T.); #214463=EDGE_CURVE('',#171748,#171746,#98907,.T.); #214464=EDGE_CURVE('',#171749,#171747,#98908,.T.); #214465=EDGE_CURVE('',#171748,#171749,#98909,.T.); #214466=EDGE_CURVE('',#171750,#171748,#98910,.T.); #214467=EDGE_CURVE('',#171751,#171749,#98911,.T.); #214468=EDGE_CURVE('',#171750,#171751,#98912,.T.); #214469=EDGE_CURVE('',#171752,#171750,#98913,.T.); #214470=EDGE_CURVE('',#171753,#171751,#98914,.T.); #214471=EDGE_CURVE('',#171752,#171753,#98915,.T.); #214472=EDGE_CURVE('',#171754,#171752,#98916,.T.); #214473=EDGE_CURVE('',#171755,#171753,#98917,.T.); #214474=EDGE_CURVE('',#171754,#171755,#98918,.T.); #214475=EDGE_CURVE('',#171756,#171754,#98919,.T.); #214476=EDGE_CURVE('',#171757,#171755,#98920,.T.); #214477=EDGE_CURVE('',#171756,#171757,#98921,.T.); #214478=EDGE_CURVE('',#171758,#171756,#98922,.T.); #214479=EDGE_CURVE('',#171759,#171757,#98923,.T.); #214480=EDGE_CURVE('',#171758,#171759,#98924,.T.); #214481=EDGE_CURVE('',#171760,#171758,#98925,.T.); #214482=EDGE_CURVE('',#171761,#171759,#98926,.T.); #214483=EDGE_CURVE('',#171760,#171761,#98927,.T.); #214484=EDGE_CURVE('',#171762,#171760,#98928,.T.); #214485=EDGE_CURVE('',#171763,#171761,#98929,.T.); #214486=EDGE_CURVE('',#171762,#171763,#98930,.T.); #214487=EDGE_CURVE('',#171764,#171762,#98931,.T.); #214488=EDGE_CURVE('',#171765,#171763,#98932,.T.); #214489=EDGE_CURVE('',#171764,#171765,#98933,.T.); #214490=EDGE_CURVE('',#171766,#171764,#98934,.T.); #214491=EDGE_CURVE('',#171767,#171765,#98935,.T.); #214492=EDGE_CURVE('',#171766,#171767,#98936,.T.); #214493=EDGE_CURVE('',#171768,#171766,#98937,.T.); #214494=EDGE_CURVE('',#171769,#171767,#98938,.T.); #214495=EDGE_CURVE('',#171768,#171769,#98939,.T.); #214496=EDGE_CURVE('',#171770,#171768,#98940,.T.); #214497=EDGE_CURVE('',#171771,#171769,#98941,.T.); #214498=EDGE_CURVE('',#171770,#171771,#98942,.T.); #214499=EDGE_CURVE('',#171772,#171770,#98943,.T.); #214500=EDGE_CURVE('',#171773,#171771,#98944,.T.); #214501=EDGE_CURVE('',#171772,#171773,#98945,.T.); #214502=EDGE_CURVE('',#171774,#171772,#98946,.T.); #214503=EDGE_CURVE('',#171775,#171773,#98947,.T.); #214504=EDGE_CURVE('',#171774,#171775,#98948,.T.); #214505=EDGE_CURVE('',#171776,#171774,#98949,.T.); #214506=EDGE_CURVE('',#171777,#171775,#98950,.T.); #214507=EDGE_CURVE('',#171776,#171777,#98951,.T.); #214508=EDGE_CURVE('',#171778,#171776,#98952,.T.); #214509=EDGE_CURVE('',#171779,#171777,#98953,.T.); #214510=EDGE_CURVE('',#171778,#171779,#98954,.T.); #214511=EDGE_CURVE('',#171780,#171778,#98955,.T.); #214512=EDGE_CURVE('',#171781,#171779,#98956,.T.); #214513=EDGE_CURVE('',#171780,#171781,#98957,.T.); #214514=EDGE_CURVE('',#171782,#171780,#98958,.T.); #214515=EDGE_CURVE('',#171783,#171781,#98959,.T.); #214516=EDGE_CURVE('',#171782,#171783,#98960,.T.); #214517=EDGE_CURVE('',#171784,#171782,#98961,.T.); #214518=EDGE_CURVE('',#171785,#171783,#98962,.T.); #214519=EDGE_CURVE('',#171784,#171785,#98963,.T.); #214520=EDGE_CURVE('',#171786,#171784,#98964,.T.); #214521=EDGE_CURVE('',#171787,#171785,#98965,.T.); #214522=EDGE_CURVE('',#171786,#171787,#98966,.T.); #214523=EDGE_CURVE('',#171788,#171786,#98967,.T.); #214524=EDGE_CURVE('',#171789,#171787,#98968,.T.); #214525=EDGE_CURVE('',#171788,#171789,#98969,.T.); #214526=EDGE_CURVE('',#171790,#171788,#98970,.T.); #214527=EDGE_CURVE('',#171791,#171789,#98971,.T.); #214528=EDGE_CURVE('',#171790,#171791,#98972,.T.); #214529=EDGE_CURVE('',#171792,#171790,#98973,.T.); #214530=EDGE_CURVE('',#171793,#171791,#98974,.T.); #214531=EDGE_CURVE('',#171792,#171793,#98975,.T.); #214532=EDGE_CURVE('',#171794,#171792,#98976,.T.); #214533=EDGE_CURVE('',#171795,#171793,#98977,.T.); #214534=EDGE_CURVE('',#171794,#171795,#98978,.T.); #214535=EDGE_CURVE('',#171796,#171794,#98979,.T.); #214536=EDGE_CURVE('',#171797,#171795,#98980,.T.); #214537=EDGE_CURVE('',#171796,#171797,#98981,.T.); #214538=EDGE_CURVE('',#171798,#171796,#98982,.T.); #214539=EDGE_CURVE('',#171799,#171797,#98983,.T.); #214540=EDGE_CURVE('',#171798,#171799,#98984,.T.); #214541=EDGE_CURVE('',#171800,#171798,#98985,.T.); #214542=EDGE_CURVE('',#171801,#171799,#98986,.T.); #214543=EDGE_CURVE('',#171800,#171801,#98987,.T.); #214544=EDGE_CURVE('',#171802,#171800,#98988,.T.); #214545=EDGE_CURVE('',#171803,#171801,#98989,.T.); #214546=EDGE_CURVE('',#171802,#171803,#98990,.T.); #214547=EDGE_CURVE('',#171804,#171802,#98991,.T.); #214548=EDGE_CURVE('',#171805,#171803,#98992,.T.); #214549=EDGE_CURVE('',#171804,#171805,#98993,.T.); #214550=EDGE_CURVE('',#171806,#171804,#98994,.T.); #214551=EDGE_CURVE('',#171807,#171805,#98995,.T.); #214552=EDGE_CURVE('',#171806,#171807,#98996,.T.); #214553=EDGE_CURVE('',#171808,#171806,#98997,.T.); #214554=EDGE_CURVE('',#171809,#171807,#98998,.T.); #214555=EDGE_CURVE('',#171808,#171809,#98999,.T.); #214556=EDGE_CURVE('',#171810,#171808,#99000,.T.); #214557=EDGE_CURVE('',#171811,#171809,#99001,.T.); #214558=EDGE_CURVE('',#171810,#171811,#99002,.T.); #214559=EDGE_CURVE('',#171812,#171810,#99003,.T.); #214560=EDGE_CURVE('',#171813,#171811,#99004,.T.); #214561=EDGE_CURVE('',#171812,#171813,#99005,.T.); #214562=EDGE_CURVE('',#171814,#171812,#99006,.T.); #214563=EDGE_CURVE('',#171815,#171813,#99007,.T.); #214564=EDGE_CURVE('',#171814,#171815,#99008,.T.); #214565=EDGE_CURVE('',#171816,#171814,#99009,.T.); #214566=EDGE_CURVE('',#171817,#171815,#99010,.T.); #214567=EDGE_CURVE('',#171816,#171817,#99011,.T.); #214568=EDGE_CURVE('',#171818,#171816,#99012,.T.); #214569=EDGE_CURVE('',#171819,#171817,#99013,.T.); #214570=EDGE_CURVE('',#171818,#171819,#99014,.T.); #214571=EDGE_CURVE('',#171820,#171818,#99015,.T.); #214572=EDGE_CURVE('',#171821,#171819,#99016,.T.); #214573=EDGE_CURVE('',#171820,#171821,#99017,.T.); #214574=EDGE_CURVE('',#171822,#171820,#99018,.T.); #214575=EDGE_CURVE('',#171823,#171821,#99019,.T.); #214576=EDGE_CURVE('',#171822,#171823,#99020,.T.); #214577=EDGE_CURVE('',#171824,#171822,#99021,.T.); #214578=EDGE_CURVE('',#171825,#171823,#99022,.T.); #214579=EDGE_CURVE('',#171824,#171825,#99023,.T.); #214580=EDGE_CURVE('',#171826,#171824,#99024,.T.); #214581=EDGE_CURVE('',#171827,#171825,#99025,.T.); #214582=EDGE_CURVE('',#171826,#171827,#99026,.T.); #214583=EDGE_CURVE('',#171828,#171826,#99027,.T.); #214584=EDGE_CURVE('',#171829,#171827,#99028,.T.); #214585=EDGE_CURVE('',#171828,#171829,#99029,.T.); #214586=EDGE_CURVE('',#171830,#171828,#99030,.T.); #214587=EDGE_CURVE('',#171831,#171829,#99031,.T.); #214588=EDGE_CURVE('',#171830,#171831,#99032,.T.); #214589=EDGE_CURVE('',#171832,#171830,#99033,.T.); #214590=EDGE_CURVE('',#171833,#171831,#99034,.T.); #214591=EDGE_CURVE('',#171832,#171833,#99035,.T.); #214592=EDGE_CURVE('',#171834,#171832,#99036,.T.); #214593=EDGE_CURVE('',#171835,#171833,#99037,.T.); #214594=EDGE_CURVE('',#171834,#171835,#99038,.T.); #214595=EDGE_CURVE('',#171335,#171834,#99039,.T.); #214596=EDGE_CURVE('',#171336,#171835,#99040,.T.); #214597=EDGE_CURVE('',#171836,#171837,#99041,.T.); #214598=EDGE_CURVE('',#171837,#171838,#99042,.T.); #214599=EDGE_CURVE('',#171839,#171838,#99043,.T.); #214600=EDGE_CURVE('',#171836,#171839,#99044,.T.); #214601=EDGE_CURVE('',#171840,#171836,#99045,.T.); #214602=EDGE_CURVE('',#171841,#171839,#99046,.T.); #214603=EDGE_CURVE('',#171840,#171841,#99047,.T.); #214604=EDGE_CURVE('',#171842,#171840,#99048,.T.); #214605=EDGE_CURVE('',#171843,#171841,#99049,.T.); #214606=EDGE_CURVE('',#171842,#171843,#99050,.T.); #214607=EDGE_CURVE('',#171844,#171842,#99051,.T.); #214608=EDGE_CURVE('',#171845,#171843,#99052,.T.); #214609=EDGE_CURVE('',#171844,#171845,#99053,.T.); #214610=EDGE_CURVE('',#171846,#171844,#99054,.T.); #214611=EDGE_CURVE('',#171847,#171845,#99055,.T.); #214612=EDGE_CURVE('',#171846,#171847,#99056,.T.); #214613=EDGE_CURVE('',#171848,#171846,#99057,.T.); #214614=EDGE_CURVE('',#171849,#171847,#99058,.T.); #214615=EDGE_CURVE('',#171848,#171849,#99059,.T.); #214616=EDGE_CURVE('',#171850,#171848,#99060,.T.); #214617=EDGE_CURVE('',#171851,#171849,#99061,.T.); #214618=EDGE_CURVE('',#171850,#171851,#99062,.T.); #214619=EDGE_CURVE('',#171852,#171850,#99063,.T.); #214620=EDGE_CURVE('',#171853,#171851,#99064,.T.); #214621=EDGE_CURVE('',#171852,#171853,#99065,.T.); #214622=EDGE_CURVE('',#171854,#171852,#99066,.T.); #214623=EDGE_CURVE('',#171855,#171853,#99067,.T.); #214624=EDGE_CURVE('',#171854,#171855,#99068,.T.); #214625=EDGE_CURVE('',#171856,#171854,#99069,.T.); #214626=EDGE_CURVE('',#171857,#171855,#99070,.T.); #214627=EDGE_CURVE('',#171856,#171857,#99071,.T.); #214628=EDGE_CURVE('',#171858,#171856,#99072,.T.); #214629=EDGE_CURVE('',#171859,#171857,#99073,.T.); #214630=EDGE_CURVE('',#171858,#171859,#99074,.T.); #214631=EDGE_CURVE('',#171860,#171858,#99075,.T.); #214632=EDGE_CURVE('',#171861,#171859,#99076,.T.); #214633=EDGE_CURVE('',#171860,#171861,#99077,.T.); #214634=EDGE_CURVE('',#171862,#171860,#99078,.T.); #214635=EDGE_CURVE('',#171863,#171861,#99079,.T.); #214636=EDGE_CURVE('',#171862,#171863,#99080,.T.); #214637=EDGE_CURVE('',#171864,#171862,#99081,.T.); #214638=EDGE_CURVE('',#171865,#171863,#99082,.T.); #214639=EDGE_CURVE('',#171864,#171865,#99083,.T.); #214640=EDGE_CURVE('',#171866,#171864,#99084,.T.); #214641=EDGE_CURVE('',#171867,#171865,#99085,.T.); #214642=EDGE_CURVE('',#171866,#171867,#99086,.T.); #214643=EDGE_CURVE('',#171868,#171866,#99087,.T.); #214644=EDGE_CURVE('',#171869,#171867,#99088,.T.); #214645=EDGE_CURVE('',#171868,#171869,#99089,.T.); #214646=EDGE_CURVE('',#171870,#171868,#99090,.T.); #214647=EDGE_CURVE('',#171871,#171869,#99091,.T.); #214648=EDGE_CURVE('',#171870,#171871,#99092,.T.); #214649=EDGE_CURVE('',#171872,#171870,#99093,.T.); #214650=EDGE_CURVE('',#171873,#171871,#99094,.T.); #214651=EDGE_CURVE('',#171872,#171873,#99095,.T.); #214652=EDGE_CURVE('',#171874,#171872,#99096,.T.); #214653=EDGE_CURVE('',#171875,#171873,#99097,.T.); #214654=EDGE_CURVE('',#171874,#171875,#99098,.T.); #214655=EDGE_CURVE('',#171876,#171874,#99099,.T.); #214656=EDGE_CURVE('',#171877,#171875,#99100,.T.); #214657=EDGE_CURVE('',#171876,#171877,#99101,.T.); #214658=EDGE_CURVE('',#171878,#171876,#99102,.T.); #214659=EDGE_CURVE('',#171879,#171877,#99103,.T.); #214660=EDGE_CURVE('',#171878,#171879,#99104,.T.); #214661=EDGE_CURVE('',#171880,#171878,#99105,.T.); #214662=EDGE_CURVE('',#171881,#171879,#99106,.T.); #214663=EDGE_CURVE('',#171880,#171881,#99107,.T.); #214664=EDGE_CURVE('',#171882,#171880,#99108,.T.); #214665=EDGE_CURVE('',#171883,#171881,#99109,.T.); #214666=EDGE_CURVE('',#171882,#171883,#99110,.T.); #214667=EDGE_CURVE('',#171884,#171882,#99111,.T.); #214668=EDGE_CURVE('',#171885,#171883,#99112,.T.); #214669=EDGE_CURVE('',#171884,#171885,#99113,.T.); #214670=EDGE_CURVE('',#171886,#171884,#99114,.T.); #214671=EDGE_CURVE('',#171887,#171885,#99115,.T.); #214672=EDGE_CURVE('',#171886,#171887,#99116,.T.); #214673=EDGE_CURVE('',#171888,#171886,#99117,.T.); #214674=EDGE_CURVE('',#171889,#171887,#99118,.T.); #214675=EDGE_CURVE('',#171888,#171889,#99119,.T.); #214676=EDGE_CURVE('',#171890,#171888,#99120,.T.); #214677=EDGE_CURVE('',#171891,#171889,#99121,.T.); #214678=EDGE_CURVE('',#171890,#171891,#99122,.T.); #214679=EDGE_CURVE('',#171892,#171890,#99123,.T.); #214680=EDGE_CURVE('',#171893,#171891,#99124,.T.); #214681=EDGE_CURVE('',#171892,#171893,#99125,.T.); #214682=EDGE_CURVE('',#171894,#171892,#99126,.T.); #214683=EDGE_CURVE('',#171895,#171893,#99127,.T.); #214684=EDGE_CURVE('',#171894,#171895,#99128,.T.); #214685=EDGE_CURVE('',#171837,#171894,#99129,.T.); #214686=EDGE_CURVE('',#171838,#171895,#99130,.T.); #214687=EDGE_CURVE('',#171896,#171897,#99131,.T.); #214688=EDGE_CURVE('',#171897,#171898,#99132,.T.); #214689=EDGE_CURVE('',#171899,#171898,#99133,.T.); #214690=EDGE_CURVE('',#171896,#171899,#99134,.T.); #214691=EDGE_CURVE('',#171900,#171896,#99135,.T.); #214692=EDGE_CURVE('',#171901,#171899,#99136,.T.); #214693=EDGE_CURVE('',#171900,#171901,#99137,.T.); #214694=EDGE_CURVE('',#171902,#171900,#99138,.T.); #214695=EDGE_CURVE('',#171903,#171901,#99139,.T.); #214696=EDGE_CURVE('',#171902,#171903,#99140,.T.); #214697=EDGE_CURVE('',#171904,#171902,#99141,.T.); #214698=EDGE_CURVE('',#171905,#171903,#99142,.T.); #214699=EDGE_CURVE('',#171904,#171905,#99143,.T.); #214700=EDGE_CURVE('',#171906,#171904,#99144,.T.); #214701=EDGE_CURVE('',#171907,#171905,#99145,.T.); #214702=EDGE_CURVE('',#171906,#171907,#99146,.T.); #214703=EDGE_CURVE('',#171908,#171906,#99147,.T.); #214704=EDGE_CURVE('',#171909,#171907,#99148,.T.); #214705=EDGE_CURVE('',#171908,#171909,#99149,.T.); #214706=EDGE_CURVE('',#171910,#171908,#99150,.T.); #214707=EDGE_CURVE('',#171911,#171909,#99151,.T.); #214708=EDGE_CURVE('',#171910,#171911,#99152,.T.); #214709=EDGE_CURVE('',#171912,#171910,#99153,.T.); #214710=EDGE_CURVE('',#171913,#171911,#99154,.T.); #214711=EDGE_CURVE('',#171912,#171913,#99155,.T.); #214712=EDGE_CURVE('',#171914,#171912,#99156,.T.); #214713=EDGE_CURVE('',#171915,#171913,#99157,.T.); #214714=EDGE_CURVE('',#171914,#171915,#99158,.T.); #214715=EDGE_CURVE('',#171916,#171914,#99159,.T.); #214716=EDGE_CURVE('',#171917,#171915,#99160,.T.); #214717=EDGE_CURVE('',#171916,#171917,#99161,.T.); #214718=EDGE_CURVE('',#171918,#171916,#99162,.T.); #214719=EDGE_CURVE('',#171919,#171917,#99163,.T.); #214720=EDGE_CURVE('',#171918,#171919,#99164,.T.); #214721=EDGE_CURVE('',#171920,#171918,#99165,.T.); #214722=EDGE_CURVE('',#171921,#171919,#99166,.T.); #214723=EDGE_CURVE('',#171920,#171921,#99167,.T.); #214724=EDGE_CURVE('',#171922,#171920,#99168,.T.); #214725=EDGE_CURVE('',#171923,#171921,#99169,.T.); #214726=EDGE_CURVE('',#171922,#171923,#99170,.T.); #214727=EDGE_CURVE('',#171924,#171922,#99171,.T.); #214728=EDGE_CURVE('',#171925,#171923,#99172,.T.); #214729=EDGE_CURVE('',#171924,#171925,#99173,.T.); #214730=EDGE_CURVE('',#171926,#171924,#99174,.T.); #214731=EDGE_CURVE('',#171927,#171925,#99175,.T.); #214732=EDGE_CURVE('',#171926,#171927,#99176,.T.); #214733=EDGE_CURVE('',#171928,#171926,#99177,.T.); #214734=EDGE_CURVE('',#171929,#171927,#99178,.T.); #214735=EDGE_CURVE('',#171928,#171929,#99179,.T.); #214736=EDGE_CURVE('',#171930,#171928,#99180,.T.); #214737=EDGE_CURVE('',#171931,#171929,#99181,.T.); #214738=EDGE_CURVE('',#171930,#171931,#99182,.T.); #214739=EDGE_CURVE('',#171932,#171930,#99183,.T.); #214740=EDGE_CURVE('',#171933,#171931,#99184,.T.); #214741=EDGE_CURVE('',#171932,#171933,#99185,.T.); #214742=EDGE_CURVE('',#171934,#171932,#99186,.T.); #214743=EDGE_CURVE('',#171935,#171933,#99187,.T.); #214744=EDGE_CURVE('',#171934,#171935,#99188,.T.); #214745=EDGE_CURVE('',#171936,#171934,#99189,.T.); #214746=EDGE_CURVE('',#171937,#171935,#99190,.T.); #214747=EDGE_CURVE('',#171936,#171937,#99191,.T.); #214748=EDGE_CURVE('',#171938,#171936,#99192,.T.); #214749=EDGE_CURVE('',#171939,#171937,#99193,.T.); #214750=EDGE_CURVE('',#171938,#171939,#99194,.T.); #214751=EDGE_CURVE('',#171940,#171938,#99195,.T.); #214752=EDGE_CURVE('',#171941,#171939,#99196,.T.); #214753=EDGE_CURVE('',#171940,#171941,#99197,.T.); #214754=EDGE_CURVE('',#171942,#171940,#99198,.T.); #214755=EDGE_CURVE('',#171943,#171941,#99199,.T.); #214756=EDGE_CURVE('',#171942,#171943,#99200,.T.); #214757=EDGE_CURVE('',#171944,#171942,#99201,.T.); #214758=EDGE_CURVE('',#171945,#171943,#99202,.T.); #214759=EDGE_CURVE('',#171944,#171945,#99203,.T.); #214760=EDGE_CURVE('',#171946,#171944,#99204,.T.); #214761=EDGE_CURVE('',#171947,#171945,#99205,.T.); #214762=EDGE_CURVE('',#171946,#171947,#99206,.T.); #214763=EDGE_CURVE('',#171948,#171946,#99207,.T.); #214764=EDGE_CURVE('',#171949,#171947,#99208,.T.); #214765=EDGE_CURVE('',#171948,#171949,#99209,.T.); #214766=EDGE_CURVE('',#171950,#171948,#99210,.T.); #214767=EDGE_CURVE('',#171951,#171949,#99211,.T.); #214768=EDGE_CURVE('',#171950,#171951,#99212,.T.); #214769=EDGE_CURVE('',#171952,#171950,#99213,.T.); #214770=EDGE_CURVE('',#171953,#171951,#99214,.T.); #214771=EDGE_CURVE('',#171952,#171953,#99215,.T.); #214772=EDGE_CURVE('',#171954,#171952,#99216,.T.); #214773=EDGE_CURVE('',#171955,#171953,#99217,.T.); #214774=EDGE_CURVE('',#171954,#171955,#99218,.T.); #214775=EDGE_CURVE('',#171897,#171954,#99219,.T.); #214776=EDGE_CURVE('',#171898,#171955,#99220,.T.); #214777=EDGE_CURVE('',#171956,#171957,#99221,.T.); #214778=EDGE_CURVE('',#171957,#171958,#99222,.T.); #214779=EDGE_CURVE('',#171959,#171958,#99223,.T.); #214780=EDGE_CURVE('',#171956,#171959,#99224,.T.); #214781=EDGE_CURVE('',#171960,#171956,#99225,.T.); #214782=EDGE_CURVE('',#171961,#171959,#99226,.T.); #214783=EDGE_CURVE('',#171960,#171961,#99227,.T.); #214784=EDGE_CURVE('',#171962,#171960,#99228,.T.); #214785=EDGE_CURVE('',#171963,#171961,#99229,.T.); #214786=EDGE_CURVE('',#171962,#171963,#99230,.T.); #214787=EDGE_CURVE('',#171964,#171962,#99231,.T.); #214788=EDGE_CURVE('',#171965,#171963,#99232,.T.); #214789=EDGE_CURVE('',#171964,#171965,#99233,.T.); #214790=EDGE_CURVE('',#171966,#171964,#99234,.T.); #214791=EDGE_CURVE('',#171967,#171965,#99235,.T.); #214792=EDGE_CURVE('',#171966,#171967,#99236,.T.); #214793=EDGE_CURVE('',#171968,#171966,#99237,.T.); #214794=EDGE_CURVE('',#171969,#171967,#99238,.T.); #214795=EDGE_CURVE('',#171968,#171969,#99239,.T.); #214796=EDGE_CURVE('',#171970,#171968,#99240,.T.); #214797=EDGE_CURVE('',#171971,#171969,#99241,.T.); #214798=EDGE_CURVE('',#171970,#171971,#99242,.T.); #214799=EDGE_CURVE('',#171972,#171970,#99243,.T.); #214800=EDGE_CURVE('',#171973,#171971,#99244,.T.); #214801=EDGE_CURVE('',#171972,#171973,#99245,.T.); #214802=EDGE_CURVE('',#171974,#171972,#99246,.T.); #214803=EDGE_CURVE('',#171975,#171973,#99247,.T.); #214804=EDGE_CURVE('',#171974,#171975,#99248,.T.); #214805=EDGE_CURVE('',#171976,#171974,#99249,.T.); #214806=EDGE_CURVE('',#171977,#171975,#99250,.T.); #214807=EDGE_CURVE('',#171976,#171977,#99251,.T.); #214808=EDGE_CURVE('',#171978,#171976,#99252,.T.); #214809=EDGE_CURVE('',#171979,#171977,#99253,.T.); #214810=EDGE_CURVE('',#171978,#171979,#99254,.T.); #214811=EDGE_CURVE('',#171980,#171978,#99255,.T.); #214812=EDGE_CURVE('',#171981,#171979,#99256,.T.); #214813=EDGE_CURVE('',#171980,#171981,#99257,.T.); #214814=EDGE_CURVE('',#171982,#171980,#99258,.T.); #214815=EDGE_CURVE('',#171983,#171981,#99259,.T.); #214816=EDGE_CURVE('',#171982,#171983,#99260,.T.); #214817=EDGE_CURVE('',#171984,#171982,#99261,.T.); #214818=EDGE_CURVE('',#171985,#171983,#99262,.T.); #214819=EDGE_CURVE('',#171984,#171985,#99263,.T.); #214820=EDGE_CURVE('',#171986,#171984,#99264,.T.); #214821=EDGE_CURVE('',#171987,#171985,#99265,.T.); #214822=EDGE_CURVE('',#171986,#171987,#99266,.T.); #214823=EDGE_CURVE('',#171988,#171986,#99267,.T.); #214824=EDGE_CURVE('',#171989,#171987,#99268,.T.); #214825=EDGE_CURVE('',#171988,#171989,#99269,.T.); #214826=EDGE_CURVE('',#171990,#171988,#99270,.T.); #214827=EDGE_CURVE('',#171991,#171989,#99271,.T.); #214828=EDGE_CURVE('',#171990,#171991,#99272,.T.); #214829=EDGE_CURVE('',#171992,#171990,#99273,.T.); #214830=EDGE_CURVE('',#171993,#171991,#99274,.T.); #214831=EDGE_CURVE('',#171992,#171993,#99275,.T.); #214832=EDGE_CURVE('',#171994,#171992,#99276,.T.); #214833=EDGE_CURVE('',#171995,#171993,#99277,.T.); #214834=EDGE_CURVE('',#171994,#171995,#99278,.T.); #214835=EDGE_CURVE('',#171996,#171994,#99279,.T.); #214836=EDGE_CURVE('',#171997,#171995,#99280,.T.); #214837=EDGE_CURVE('',#171996,#171997,#99281,.T.); #214838=EDGE_CURVE('',#171998,#171996,#99282,.T.); #214839=EDGE_CURVE('',#171999,#171997,#99283,.T.); #214840=EDGE_CURVE('',#171998,#171999,#99284,.T.); #214841=EDGE_CURVE('',#172000,#171998,#99285,.T.); #214842=EDGE_CURVE('',#172001,#171999,#99286,.T.); #214843=EDGE_CURVE('',#172000,#172001,#99287,.T.); #214844=EDGE_CURVE('',#172002,#172000,#99288,.T.); #214845=EDGE_CURVE('',#172003,#172001,#99289,.T.); #214846=EDGE_CURVE('',#172002,#172003,#99290,.T.); #214847=EDGE_CURVE('',#172004,#172002,#99291,.T.); #214848=EDGE_CURVE('',#172005,#172003,#99292,.T.); #214849=EDGE_CURVE('',#172004,#172005,#99293,.T.); #214850=EDGE_CURVE('',#172006,#172004,#99294,.T.); #214851=EDGE_CURVE('',#172007,#172005,#99295,.T.); #214852=EDGE_CURVE('',#172006,#172007,#99296,.T.); #214853=EDGE_CURVE('',#172008,#172006,#99297,.T.); #214854=EDGE_CURVE('',#172009,#172007,#99298,.T.); #214855=EDGE_CURVE('',#172008,#172009,#99299,.T.); #214856=EDGE_CURVE('',#172010,#172008,#99300,.T.); #214857=EDGE_CURVE('',#172011,#172009,#99301,.T.); #214858=EDGE_CURVE('',#172010,#172011,#99302,.T.); #214859=EDGE_CURVE('',#172012,#172010,#99303,.T.); #214860=EDGE_CURVE('',#172013,#172011,#99304,.T.); #214861=EDGE_CURVE('',#172012,#172013,#99305,.T.); #214862=EDGE_CURVE('',#172014,#172012,#99306,.T.); #214863=EDGE_CURVE('',#172015,#172013,#99307,.T.); #214864=EDGE_CURVE('',#172014,#172015,#99308,.T.); #214865=EDGE_CURVE('',#171957,#172014,#99309,.T.); #214866=EDGE_CURVE('',#171958,#172015,#99310,.T.); #214867=EDGE_CURVE('',#172016,#172017,#99311,.T.); #214868=EDGE_CURVE('',#172017,#172018,#99312,.T.); #214869=EDGE_CURVE('',#172019,#172018,#99313,.T.); #214870=EDGE_CURVE('',#172016,#172019,#99314,.T.); #214871=EDGE_CURVE('',#172020,#172016,#99315,.T.); #214872=EDGE_CURVE('',#172021,#172019,#99316,.T.); #214873=EDGE_CURVE('',#172020,#172021,#99317,.T.); #214874=EDGE_CURVE('',#172022,#172020,#99318,.T.); #214875=EDGE_CURVE('',#172023,#172021,#99319,.T.); #214876=EDGE_CURVE('',#172022,#172023,#99320,.T.); #214877=EDGE_CURVE('',#172024,#172022,#99321,.T.); #214878=EDGE_CURVE('',#172025,#172023,#99322,.T.); #214879=EDGE_CURVE('',#172024,#172025,#99323,.T.); #214880=EDGE_CURVE('',#172026,#172024,#99324,.T.); #214881=EDGE_CURVE('',#172027,#172025,#99325,.T.); #214882=EDGE_CURVE('',#172026,#172027,#99326,.T.); #214883=EDGE_CURVE('',#172028,#172026,#99327,.T.); #214884=EDGE_CURVE('',#172029,#172027,#99328,.T.); #214885=EDGE_CURVE('',#172028,#172029,#99329,.T.); #214886=EDGE_CURVE('',#172030,#172028,#99330,.T.); #214887=EDGE_CURVE('',#172031,#172029,#99331,.T.); #214888=EDGE_CURVE('',#172030,#172031,#99332,.T.); #214889=EDGE_CURVE('',#172032,#172030,#99333,.T.); #214890=EDGE_CURVE('',#172033,#172031,#99334,.T.); #214891=EDGE_CURVE('',#172032,#172033,#99335,.T.); #214892=EDGE_CURVE('',#172034,#172032,#99336,.T.); #214893=EDGE_CURVE('',#172035,#172033,#99337,.T.); #214894=EDGE_CURVE('',#172034,#172035,#99338,.T.); #214895=EDGE_CURVE('',#172036,#172034,#99339,.T.); #214896=EDGE_CURVE('',#172037,#172035,#99340,.T.); #214897=EDGE_CURVE('',#172036,#172037,#99341,.T.); #214898=EDGE_CURVE('',#172038,#172036,#99342,.T.); #214899=EDGE_CURVE('',#172039,#172037,#99343,.T.); #214900=EDGE_CURVE('',#172038,#172039,#99344,.T.); #214901=EDGE_CURVE('',#172040,#172038,#99345,.T.); #214902=EDGE_CURVE('',#172041,#172039,#99346,.T.); #214903=EDGE_CURVE('',#172040,#172041,#99347,.T.); #214904=EDGE_CURVE('',#172042,#172040,#99348,.T.); #214905=EDGE_CURVE('',#172043,#172041,#99349,.T.); #214906=EDGE_CURVE('',#172042,#172043,#99350,.T.); #214907=EDGE_CURVE('',#172044,#172042,#99351,.T.); #214908=EDGE_CURVE('',#172045,#172043,#99352,.T.); #214909=EDGE_CURVE('',#172044,#172045,#99353,.T.); #214910=EDGE_CURVE('',#172046,#172044,#99354,.T.); #214911=EDGE_CURVE('',#172047,#172045,#99355,.T.); #214912=EDGE_CURVE('',#172046,#172047,#99356,.T.); #214913=EDGE_CURVE('',#172048,#172046,#99357,.T.); #214914=EDGE_CURVE('',#172049,#172047,#99358,.T.); #214915=EDGE_CURVE('',#172048,#172049,#99359,.T.); #214916=EDGE_CURVE('',#172050,#172048,#99360,.T.); #214917=EDGE_CURVE('',#172051,#172049,#99361,.T.); #214918=EDGE_CURVE('',#172050,#172051,#99362,.T.); #214919=EDGE_CURVE('',#172052,#172050,#99363,.T.); #214920=EDGE_CURVE('',#172053,#172051,#99364,.T.); #214921=EDGE_CURVE('',#172052,#172053,#99365,.T.); #214922=EDGE_CURVE('',#172054,#172052,#99366,.T.); #214923=EDGE_CURVE('',#172055,#172053,#99367,.T.); #214924=EDGE_CURVE('',#172054,#172055,#99368,.T.); #214925=EDGE_CURVE('',#172056,#172054,#99369,.T.); #214926=EDGE_CURVE('',#172057,#172055,#99370,.T.); #214927=EDGE_CURVE('',#172056,#172057,#99371,.T.); #214928=EDGE_CURVE('',#172058,#172056,#99372,.T.); #214929=EDGE_CURVE('',#172059,#172057,#99373,.T.); #214930=EDGE_CURVE('',#172058,#172059,#99374,.T.); #214931=EDGE_CURVE('',#172060,#172058,#99375,.T.); #214932=EDGE_CURVE('',#172061,#172059,#99376,.T.); #214933=EDGE_CURVE('',#172060,#172061,#99377,.T.); #214934=EDGE_CURVE('',#172062,#172060,#99378,.T.); #214935=EDGE_CURVE('',#172063,#172061,#99379,.T.); #214936=EDGE_CURVE('',#172062,#172063,#99380,.T.); #214937=EDGE_CURVE('',#172064,#172062,#99381,.T.); #214938=EDGE_CURVE('',#172065,#172063,#99382,.T.); #214939=EDGE_CURVE('',#172064,#172065,#99383,.T.); #214940=EDGE_CURVE('',#172066,#172064,#99384,.T.); #214941=EDGE_CURVE('',#172067,#172065,#99385,.T.); #214942=EDGE_CURVE('',#172066,#172067,#99386,.T.); #214943=EDGE_CURVE('',#172068,#172066,#99387,.T.); #214944=EDGE_CURVE('',#172069,#172067,#99388,.T.); #214945=EDGE_CURVE('',#172068,#172069,#99389,.T.); #214946=EDGE_CURVE('',#172070,#172068,#99390,.T.); #214947=EDGE_CURVE('',#172071,#172069,#99391,.T.); #214948=EDGE_CURVE('',#172070,#172071,#99392,.T.); #214949=EDGE_CURVE('',#172072,#172070,#99393,.T.); #214950=EDGE_CURVE('',#172073,#172071,#99394,.T.); #214951=EDGE_CURVE('',#172072,#172073,#99395,.T.); #214952=EDGE_CURVE('',#172074,#172072,#99396,.T.); #214953=EDGE_CURVE('',#172075,#172073,#99397,.T.); #214954=EDGE_CURVE('',#172074,#172075,#99398,.T.); #214955=EDGE_CURVE('',#172076,#172074,#99399,.T.); #214956=EDGE_CURVE('',#172077,#172075,#99400,.T.); #214957=EDGE_CURVE('',#172076,#172077,#99401,.T.); #214958=EDGE_CURVE('',#172078,#172076,#99402,.T.); #214959=EDGE_CURVE('',#172079,#172077,#99403,.T.); #214960=EDGE_CURVE('',#172078,#172079,#99404,.T.); #214961=EDGE_CURVE('',#172080,#172078,#99405,.T.); #214962=EDGE_CURVE('',#172081,#172079,#99406,.T.); #214963=EDGE_CURVE('',#172080,#172081,#99407,.T.); #214964=EDGE_CURVE('',#172082,#172080,#99408,.T.); #214965=EDGE_CURVE('',#172083,#172081,#99409,.T.); #214966=EDGE_CURVE('',#172082,#172083,#99410,.T.); #214967=EDGE_CURVE('',#172084,#172082,#99411,.T.); #214968=EDGE_CURVE('',#172085,#172083,#99412,.T.); #214969=EDGE_CURVE('',#172084,#172085,#99413,.T.); #214970=EDGE_CURVE('',#172086,#172084,#99414,.T.); #214971=EDGE_CURVE('',#172087,#172085,#99415,.T.); #214972=EDGE_CURVE('',#172086,#172087,#99416,.T.); #214973=EDGE_CURVE('',#172088,#172086,#99417,.T.); #214974=EDGE_CURVE('',#172089,#172087,#99418,.T.); #214975=EDGE_CURVE('',#172088,#172089,#99419,.T.); #214976=EDGE_CURVE('',#172090,#172088,#99420,.T.); #214977=EDGE_CURVE('',#172091,#172089,#99421,.T.); #214978=EDGE_CURVE('',#172090,#172091,#99422,.T.); #214979=EDGE_CURVE('',#172092,#172090,#99423,.T.); #214980=EDGE_CURVE('',#172093,#172091,#99424,.T.); #214981=EDGE_CURVE('',#172092,#172093,#99425,.T.); #214982=EDGE_CURVE('',#172094,#172092,#99426,.T.); #214983=EDGE_CURVE('',#172095,#172093,#99427,.T.); #214984=EDGE_CURVE('',#172094,#172095,#99428,.T.); #214985=EDGE_CURVE('',#172096,#172094,#99429,.T.); #214986=EDGE_CURVE('',#172097,#172095,#99430,.T.); #214987=EDGE_CURVE('',#172096,#172097,#99431,.T.); #214988=EDGE_CURVE('',#172098,#172096,#99432,.T.); #214989=EDGE_CURVE('',#172099,#172097,#99433,.T.); #214990=EDGE_CURVE('',#172098,#172099,#99434,.T.); #214991=EDGE_CURVE('',#172100,#172098,#99435,.T.); #214992=EDGE_CURVE('',#172101,#172099,#99436,.T.); #214993=EDGE_CURVE('',#172100,#172101,#99437,.T.); #214994=EDGE_CURVE('',#172102,#172100,#99438,.T.); #214995=EDGE_CURVE('',#172103,#172101,#99439,.T.); #214996=EDGE_CURVE('',#172102,#172103,#99440,.T.); #214997=EDGE_CURVE('',#172104,#172102,#99441,.T.); #214998=EDGE_CURVE('',#172105,#172103,#99442,.T.); #214999=EDGE_CURVE('',#172104,#172105,#99443,.T.); #215000=EDGE_CURVE('',#172106,#172104,#99444,.T.); #215001=EDGE_CURVE('',#172107,#172105,#99445,.T.); #215002=EDGE_CURVE('',#172106,#172107,#99446,.T.); #215003=EDGE_CURVE('',#172108,#172106,#99447,.T.); #215004=EDGE_CURVE('',#172109,#172107,#99448,.T.); #215005=EDGE_CURVE('',#172108,#172109,#99449,.T.); #215006=EDGE_CURVE('',#172110,#172108,#99450,.T.); #215007=EDGE_CURVE('',#172111,#172109,#99451,.T.); #215008=EDGE_CURVE('',#172110,#172111,#99452,.T.); #215009=EDGE_CURVE('',#172112,#172110,#99453,.T.); #215010=EDGE_CURVE('',#172113,#172111,#99454,.T.); #215011=EDGE_CURVE('',#172112,#172113,#99455,.T.); #215012=EDGE_CURVE('',#172114,#172112,#99456,.T.); #215013=EDGE_CURVE('',#172115,#172113,#99457,.T.); #215014=EDGE_CURVE('',#172114,#172115,#99458,.T.); #215015=EDGE_CURVE('',#172116,#172114,#99459,.T.); #215016=EDGE_CURVE('',#172117,#172115,#99460,.T.); #215017=EDGE_CURVE('',#172116,#172117,#99461,.T.); #215018=EDGE_CURVE('',#172118,#172116,#99462,.T.); #215019=EDGE_CURVE('',#172119,#172117,#99463,.T.); #215020=EDGE_CURVE('',#172118,#172119,#99464,.T.); #215021=EDGE_CURVE('',#172120,#172118,#99465,.T.); #215022=EDGE_CURVE('',#172121,#172119,#99466,.T.); #215023=EDGE_CURVE('',#172120,#172121,#99467,.T.); #215024=EDGE_CURVE('',#172122,#172120,#99468,.T.); #215025=EDGE_CURVE('',#172123,#172121,#99469,.T.); #215026=EDGE_CURVE('',#172122,#172123,#99470,.T.); #215027=EDGE_CURVE('',#172124,#172122,#99471,.T.); #215028=EDGE_CURVE('',#172125,#172123,#99472,.T.); #215029=EDGE_CURVE('',#172124,#172125,#99473,.T.); #215030=EDGE_CURVE('',#172126,#172124,#99474,.T.); #215031=EDGE_CURVE('',#172127,#172125,#99475,.T.); #215032=EDGE_CURVE('',#172126,#172127,#99476,.T.); #215033=EDGE_CURVE('',#172128,#172126,#99477,.T.); #215034=EDGE_CURVE('',#172129,#172127,#99478,.T.); #215035=EDGE_CURVE('',#172128,#172129,#99479,.T.); #215036=EDGE_CURVE('',#172130,#172128,#99480,.T.); #215037=EDGE_CURVE('',#172131,#172129,#99481,.T.); #215038=EDGE_CURVE('',#172130,#172131,#99482,.T.); #215039=EDGE_CURVE('',#172132,#172130,#99483,.T.); #215040=EDGE_CURVE('',#172133,#172131,#99484,.T.); #215041=EDGE_CURVE('',#172132,#172133,#99485,.T.); #215042=EDGE_CURVE('',#172134,#172132,#99486,.T.); #215043=EDGE_CURVE('',#172135,#172133,#99487,.T.); #215044=EDGE_CURVE('',#172134,#172135,#99488,.T.); #215045=EDGE_CURVE('',#172136,#172134,#99489,.T.); #215046=EDGE_CURVE('',#172137,#172135,#99490,.T.); #215047=EDGE_CURVE('',#172136,#172137,#99491,.T.); #215048=EDGE_CURVE('',#172138,#172136,#99492,.T.); #215049=EDGE_CURVE('',#172139,#172137,#99493,.T.); #215050=EDGE_CURVE('',#172138,#172139,#99494,.T.); #215051=EDGE_CURVE('',#172140,#172138,#99495,.T.); #215052=EDGE_CURVE('',#172141,#172139,#99496,.T.); #215053=EDGE_CURVE('',#172140,#172141,#99497,.T.); #215054=EDGE_CURVE('',#172142,#172140,#99498,.T.); #215055=EDGE_CURVE('',#172143,#172141,#99499,.T.); #215056=EDGE_CURVE('',#172142,#172143,#99500,.T.); #215057=EDGE_CURVE('',#172144,#172142,#99501,.T.); #215058=EDGE_CURVE('',#172145,#172143,#99502,.T.); #215059=EDGE_CURVE('',#172144,#172145,#99503,.T.); #215060=EDGE_CURVE('',#172146,#172144,#99504,.T.); #215061=EDGE_CURVE('',#172147,#172145,#99505,.T.); #215062=EDGE_CURVE('',#172146,#172147,#99506,.T.); #215063=EDGE_CURVE('',#172148,#172146,#99507,.T.); #215064=EDGE_CURVE('',#172149,#172147,#99508,.T.); #215065=EDGE_CURVE('',#172148,#172149,#99509,.T.); #215066=EDGE_CURVE('',#172150,#172148,#99510,.T.); #215067=EDGE_CURVE('',#172151,#172149,#99511,.T.); #215068=EDGE_CURVE('',#172150,#172151,#99512,.T.); #215069=EDGE_CURVE('',#172152,#172150,#99513,.T.); #215070=EDGE_CURVE('',#172153,#172151,#99514,.T.); #215071=EDGE_CURVE('',#172152,#172153,#99515,.T.); #215072=EDGE_CURVE('',#172154,#172152,#99516,.T.); #215073=EDGE_CURVE('',#172155,#172153,#99517,.T.); #215074=EDGE_CURVE('',#172154,#172155,#99518,.T.); #215075=EDGE_CURVE('',#172156,#172154,#99519,.T.); #215076=EDGE_CURVE('',#172157,#172155,#99520,.T.); #215077=EDGE_CURVE('',#172156,#172157,#99521,.T.); #215078=EDGE_CURVE('',#172158,#172156,#99522,.T.); #215079=EDGE_CURVE('',#172159,#172157,#99523,.T.); #215080=EDGE_CURVE('',#172158,#172159,#99524,.T.); #215081=EDGE_CURVE('',#172160,#172158,#99525,.T.); #215082=EDGE_CURVE('',#172161,#172159,#99526,.T.); #215083=EDGE_CURVE('',#172160,#172161,#99527,.T.); #215084=EDGE_CURVE('',#172162,#172160,#99528,.T.); #215085=EDGE_CURVE('',#172163,#172161,#99529,.T.); #215086=EDGE_CURVE('',#172162,#172163,#99530,.T.); #215087=EDGE_CURVE('',#172164,#172162,#99531,.T.); #215088=EDGE_CURVE('',#172165,#172163,#99532,.T.); #215089=EDGE_CURVE('',#172164,#172165,#99533,.T.); #215090=EDGE_CURVE('',#172166,#172164,#99534,.T.); #215091=EDGE_CURVE('',#172167,#172165,#99535,.T.); #215092=EDGE_CURVE('',#172166,#172167,#99536,.T.); #215093=EDGE_CURVE('',#172168,#172166,#99537,.T.); #215094=EDGE_CURVE('',#172169,#172167,#99538,.T.); #215095=EDGE_CURVE('',#172168,#172169,#99539,.T.); #215096=EDGE_CURVE('',#172170,#172168,#99540,.T.); #215097=EDGE_CURVE('',#172171,#172169,#99541,.T.); #215098=EDGE_CURVE('',#172170,#172171,#99542,.T.); #215099=EDGE_CURVE('',#172172,#172170,#99543,.T.); #215100=EDGE_CURVE('',#172173,#172171,#99544,.T.); #215101=EDGE_CURVE('',#172172,#172173,#99545,.T.); #215102=EDGE_CURVE('',#172174,#172172,#99546,.T.); #215103=EDGE_CURVE('',#172175,#172173,#99547,.T.); #215104=EDGE_CURVE('',#172174,#172175,#99548,.T.); #215105=EDGE_CURVE('',#172176,#172174,#99549,.T.); #215106=EDGE_CURVE('',#172177,#172175,#99550,.T.); #215107=EDGE_CURVE('',#172176,#172177,#99551,.T.); #215108=EDGE_CURVE('',#172178,#172176,#99552,.T.); #215109=EDGE_CURVE('',#172179,#172177,#99553,.T.); #215110=EDGE_CURVE('',#172178,#172179,#99554,.T.); #215111=EDGE_CURVE('',#172180,#172178,#99555,.T.); #215112=EDGE_CURVE('',#172181,#172179,#99556,.T.); #215113=EDGE_CURVE('',#172180,#172181,#99557,.T.); #215114=EDGE_CURVE('',#172182,#172180,#99558,.T.); #215115=EDGE_CURVE('',#172183,#172181,#99559,.T.); #215116=EDGE_CURVE('',#172182,#172183,#99560,.T.); #215117=EDGE_CURVE('',#172184,#172182,#99561,.T.); #215118=EDGE_CURVE('',#172185,#172183,#99562,.T.); #215119=EDGE_CURVE('',#172184,#172185,#99563,.T.); #215120=EDGE_CURVE('',#172186,#172184,#99564,.T.); #215121=EDGE_CURVE('',#172187,#172185,#99565,.T.); #215122=EDGE_CURVE('',#172186,#172187,#99566,.T.); #215123=EDGE_CURVE('',#172188,#172186,#99567,.T.); #215124=EDGE_CURVE('',#172189,#172187,#99568,.T.); #215125=EDGE_CURVE('',#172188,#172189,#99569,.T.); #215126=EDGE_CURVE('',#172190,#172188,#99570,.T.); #215127=EDGE_CURVE('',#172191,#172189,#99571,.T.); #215128=EDGE_CURVE('',#172190,#172191,#99572,.T.); #215129=EDGE_CURVE('',#172192,#172190,#99573,.T.); #215130=EDGE_CURVE('',#172193,#172191,#99574,.T.); #215131=EDGE_CURVE('',#172192,#172193,#99575,.T.); #215132=EDGE_CURVE('',#172194,#172192,#99576,.T.); #215133=EDGE_CURVE('',#172195,#172193,#99577,.T.); #215134=EDGE_CURVE('',#172194,#172195,#99578,.T.); #215135=EDGE_CURVE('',#172196,#172194,#99579,.T.); #215136=EDGE_CURVE('',#172197,#172195,#99580,.T.); #215137=EDGE_CURVE('',#172196,#172197,#99581,.T.); #215138=EDGE_CURVE('',#172198,#172196,#99582,.T.); #215139=EDGE_CURVE('',#172199,#172197,#99583,.T.); #215140=EDGE_CURVE('',#172198,#172199,#99584,.T.); #215141=EDGE_CURVE('',#172200,#172198,#99585,.T.); #215142=EDGE_CURVE('',#172201,#172199,#99586,.T.); #215143=EDGE_CURVE('',#172200,#172201,#99587,.T.); #215144=EDGE_CURVE('',#172202,#172200,#99588,.T.); #215145=EDGE_CURVE('',#172203,#172201,#99589,.T.); #215146=EDGE_CURVE('',#172202,#172203,#99590,.T.); #215147=EDGE_CURVE('',#172204,#172202,#99591,.T.); #215148=EDGE_CURVE('',#172205,#172203,#99592,.T.); #215149=EDGE_CURVE('',#172204,#172205,#99593,.T.); #215150=EDGE_CURVE('',#172206,#172204,#99594,.T.); #215151=EDGE_CURVE('',#172207,#172205,#99595,.T.); #215152=EDGE_CURVE('',#172206,#172207,#99596,.T.); #215153=EDGE_CURVE('',#172208,#172206,#99597,.T.); #215154=EDGE_CURVE('',#172209,#172207,#99598,.T.); #215155=EDGE_CURVE('',#172208,#172209,#99599,.T.); #215156=EDGE_CURVE('',#172210,#172208,#99600,.T.); #215157=EDGE_CURVE('',#172211,#172209,#99601,.T.); #215158=EDGE_CURVE('',#172210,#172211,#99602,.T.); #215159=EDGE_CURVE('',#172212,#172210,#99603,.T.); #215160=EDGE_CURVE('',#172213,#172211,#99604,.T.); #215161=EDGE_CURVE('',#172212,#172213,#99605,.T.); #215162=EDGE_CURVE('',#172214,#172212,#99606,.T.); #215163=EDGE_CURVE('',#172215,#172213,#99607,.T.); #215164=EDGE_CURVE('',#172214,#172215,#99608,.T.); #215165=EDGE_CURVE('',#172216,#172214,#99609,.T.); #215166=EDGE_CURVE('',#172217,#172215,#99610,.T.); #215167=EDGE_CURVE('',#172216,#172217,#99611,.T.); #215168=EDGE_CURVE('',#172218,#172216,#99612,.T.); #215169=EDGE_CURVE('',#172219,#172217,#99613,.T.); #215170=EDGE_CURVE('',#172218,#172219,#99614,.T.); #215171=EDGE_CURVE('',#172220,#172218,#99615,.T.); #215172=EDGE_CURVE('',#172221,#172219,#99616,.T.); #215173=EDGE_CURVE('',#172220,#172221,#99617,.T.); #215174=EDGE_CURVE('',#172222,#172220,#99618,.T.); #215175=EDGE_CURVE('',#172223,#172221,#99619,.T.); #215176=EDGE_CURVE('',#172222,#172223,#99620,.T.); #215177=EDGE_CURVE('',#172224,#172222,#99621,.T.); #215178=EDGE_CURVE('',#172225,#172223,#99622,.T.); #215179=EDGE_CURVE('',#172224,#172225,#99623,.T.); #215180=EDGE_CURVE('',#172226,#172224,#99624,.T.); #215181=EDGE_CURVE('',#172227,#172225,#99625,.T.); #215182=EDGE_CURVE('',#172226,#172227,#99626,.T.); #215183=EDGE_CURVE('',#172228,#172226,#99627,.T.); #215184=EDGE_CURVE('',#172229,#172227,#99628,.T.); #215185=EDGE_CURVE('',#172228,#172229,#99629,.T.); #215186=EDGE_CURVE('',#172230,#172228,#99630,.T.); #215187=EDGE_CURVE('',#172231,#172229,#99631,.T.); #215188=EDGE_CURVE('',#172230,#172231,#99632,.T.); #215189=EDGE_CURVE('',#172232,#172230,#99633,.T.); #215190=EDGE_CURVE('',#172233,#172231,#99634,.T.); #215191=EDGE_CURVE('',#172232,#172233,#99635,.T.); #215192=EDGE_CURVE('',#172234,#172232,#99636,.T.); #215193=EDGE_CURVE('',#172235,#172233,#99637,.T.); #215194=EDGE_CURVE('',#172234,#172235,#99638,.T.); #215195=EDGE_CURVE('',#172236,#172234,#99639,.T.); #215196=EDGE_CURVE('',#172237,#172235,#99640,.T.); #215197=EDGE_CURVE('',#172236,#172237,#99641,.T.); #215198=EDGE_CURVE('',#172238,#172236,#99642,.T.); #215199=EDGE_CURVE('',#172239,#172237,#99643,.T.); #215200=EDGE_CURVE('',#172238,#172239,#99644,.T.); #215201=EDGE_CURVE('',#172240,#172238,#99645,.T.); #215202=EDGE_CURVE('',#172241,#172239,#99646,.T.); #215203=EDGE_CURVE('',#172240,#172241,#99647,.T.); #215204=EDGE_CURVE('',#172242,#172240,#99648,.T.); #215205=EDGE_CURVE('',#172243,#172241,#99649,.T.); #215206=EDGE_CURVE('',#172242,#172243,#99650,.T.); #215207=EDGE_CURVE('',#172244,#172242,#99651,.T.); #215208=EDGE_CURVE('',#172245,#172243,#99652,.T.); #215209=EDGE_CURVE('',#172244,#172245,#99653,.T.); #215210=EDGE_CURVE('',#172246,#172244,#99654,.T.); #215211=EDGE_CURVE('',#172247,#172245,#99655,.T.); #215212=EDGE_CURVE('',#172246,#172247,#99656,.T.); #215213=EDGE_CURVE('',#172248,#172246,#99657,.T.); #215214=EDGE_CURVE('',#172249,#172247,#99658,.T.); #215215=EDGE_CURVE('',#172248,#172249,#99659,.T.); #215216=EDGE_CURVE('',#172250,#172248,#99660,.T.); #215217=EDGE_CURVE('',#172251,#172249,#99661,.T.); #215218=EDGE_CURVE('',#172250,#172251,#99662,.T.); #215219=EDGE_CURVE('',#172252,#172250,#99663,.T.); #215220=EDGE_CURVE('',#172253,#172251,#99664,.T.); #215221=EDGE_CURVE('',#172252,#172253,#99665,.T.); #215222=EDGE_CURVE('',#172254,#172252,#99666,.T.); #215223=EDGE_CURVE('',#172255,#172253,#99667,.T.); #215224=EDGE_CURVE('',#172254,#172255,#99668,.T.); #215225=EDGE_CURVE('',#172017,#172254,#99669,.T.); #215226=EDGE_CURVE('',#172018,#172255,#99670,.T.); #215227=EDGE_CURVE('',#172256,#172257,#99671,.T.); #215228=EDGE_CURVE('',#172257,#172258,#99672,.T.); #215229=EDGE_CURVE('',#172259,#172258,#99673,.T.); #215230=EDGE_CURVE('',#172256,#172259,#99674,.T.); #215231=EDGE_CURVE('',#172260,#172256,#99675,.T.); #215232=EDGE_CURVE('',#172261,#172259,#99676,.T.); #215233=EDGE_CURVE('',#172260,#172261,#99677,.T.); #215234=EDGE_CURVE('',#172262,#172260,#99678,.T.); #215235=EDGE_CURVE('',#172263,#172261,#99679,.T.); #215236=EDGE_CURVE('',#172262,#172263,#99680,.T.); #215237=EDGE_CURVE('',#172264,#172262,#99681,.T.); #215238=EDGE_CURVE('',#172265,#172263,#99682,.T.); #215239=EDGE_CURVE('',#172264,#172265,#99683,.T.); #215240=EDGE_CURVE('',#172266,#172264,#99684,.T.); #215241=EDGE_CURVE('',#172267,#172265,#99685,.T.); #215242=EDGE_CURVE('',#172266,#172267,#99686,.T.); #215243=EDGE_CURVE('',#172268,#172266,#99687,.T.); #215244=EDGE_CURVE('',#172269,#172267,#99688,.T.); #215245=EDGE_CURVE('',#172268,#172269,#99689,.T.); #215246=EDGE_CURVE('',#172270,#172268,#99690,.T.); #215247=EDGE_CURVE('',#172271,#172269,#99691,.T.); #215248=EDGE_CURVE('',#172270,#172271,#99692,.T.); #215249=EDGE_CURVE('',#172272,#172270,#99693,.T.); #215250=EDGE_CURVE('',#172273,#172271,#99694,.T.); #215251=EDGE_CURVE('',#172272,#172273,#99695,.T.); #215252=EDGE_CURVE('',#172274,#172272,#99696,.T.); #215253=EDGE_CURVE('',#172275,#172273,#99697,.T.); #215254=EDGE_CURVE('',#172274,#172275,#99698,.T.); #215255=EDGE_CURVE('',#172276,#172274,#99699,.T.); #215256=EDGE_CURVE('',#172277,#172275,#99700,.T.); #215257=EDGE_CURVE('',#172276,#172277,#99701,.T.); #215258=EDGE_CURVE('',#172278,#172276,#99702,.T.); #215259=EDGE_CURVE('',#172279,#172277,#99703,.T.); #215260=EDGE_CURVE('',#172278,#172279,#99704,.T.); #215261=EDGE_CURVE('',#172280,#172278,#99705,.T.); #215262=EDGE_CURVE('',#172281,#172279,#99706,.T.); #215263=EDGE_CURVE('',#172280,#172281,#99707,.T.); #215264=EDGE_CURVE('',#172282,#172280,#99708,.T.); #215265=EDGE_CURVE('',#172283,#172281,#99709,.T.); #215266=EDGE_CURVE('',#172282,#172283,#99710,.T.); #215267=EDGE_CURVE('',#172284,#172282,#99711,.T.); #215268=EDGE_CURVE('',#172285,#172283,#99712,.T.); #215269=EDGE_CURVE('',#172284,#172285,#99713,.T.); #215270=EDGE_CURVE('',#172286,#172284,#99714,.T.); #215271=EDGE_CURVE('',#172287,#172285,#99715,.T.); #215272=EDGE_CURVE('',#172286,#172287,#99716,.T.); #215273=EDGE_CURVE('',#172288,#172286,#99717,.T.); #215274=EDGE_CURVE('',#172289,#172287,#99718,.T.); #215275=EDGE_CURVE('',#172288,#172289,#99719,.T.); #215276=EDGE_CURVE('',#172290,#172288,#99720,.T.); #215277=EDGE_CURVE('',#172291,#172289,#99721,.T.); #215278=EDGE_CURVE('',#172290,#172291,#99722,.T.); #215279=EDGE_CURVE('',#172292,#172290,#99723,.T.); #215280=EDGE_CURVE('',#172293,#172291,#99724,.T.); #215281=EDGE_CURVE('',#172292,#172293,#99725,.T.); #215282=EDGE_CURVE('',#172294,#172292,#99726,.T.); #215283=EDGE_CURVE('',#172295,#172293,#99727,.T.); #215284=EDGE_CURVE('',#172294,#172295,#99728,.T.); #215285=EDGE_CURVE('',#172296,#172294,#99729,.T.); #215286=EDGE_CURVE('',#172297,#172295,#99730,.T.); #215287=EDGE_CURVE('',#172296,#172297,#99731,.T.); #215288=EDGE_CURVE('',#172298,#172296,#99732,.T.); #215289=EDGE_CURVE('',#172299,#172297,#99733,.T.); #215290=EDGE_CURVE('',#172298,#172299,#99734,.T.); #215291=EDGE_CURVE('',#172300,#172298,#99735,.T.); #215292=EDGE_CURVE('',#172301,#172299,#99736,.T.); #215293=EDGE_CURVE('',#172300,#172301,#99737,.T.); #215294=EDGE_CURVE('',#172302,#172300,#99738,.T.); #215295=EDGE_CURVE('',#172303,#172301,#99739,.T.); #215296=EDGE_CURVE('',#172302,#172303,#99740,.T.); #215297=EDGE_CURVE('',#172304,#172302,#99741,.T.); #215298=EDGE_CURVE('',#172305,#172303,#99742,.T.); #215299=EDGE_CURVE('',#172304,#172305,#99743,.T.); #215300=EDGE_CURVE('',#172306,#172304,#99744,.T.); #215301=EDGE_CURVE('',#172307,#172305,#99745,.T.); #215302=EDGE_CURVE('',#172306,#172307,#99746,.T.); #215303=EDGE_CURVE('',#172308,#172306,#99747,.T.); #215304=EDGE_CURVE('',#172309,#172307,#99748,.T.); #215305=EDGE_CURVE('',#172308,#172309,#99749,.T.); #215306=EDGE_CURVE('',#172257,#172308,#99750,.T.); #215307=EDGE_CURVE('',#172258,#172309,#99751,.T.); #215308=EDGE_CURVE('',#172310,#172311,#99752,.T.); #215309=EDGE_CURVE('',#172311,#172312,#99753,.T.); #215310=EDGE_CURVE('',#172313,#172312,#99754,.T.); #215311=EDGE_CURVE('',#172310,#172313,#99755,.T.); #215312=EDGE_CURVE('',#172314,#172310,#99756,.T.); #215313=EDGE_CURVE('',#172315,#172313,#99757,.T.); #215314=EDGE_CURVE('',#172314,#172315,#99758,.T.); #215315=EDGE_CURVE('',#172316,#172314,#99759,.T.); #215316=EDGE_CURVE('',#172317,#172315,#99760,.T.); #215317=EDGE_CURVE('',#172316,#172317,#99761,.T.); #215318=EDGE_CURVE('',#172318,#172316,#99762,.T.); #215319=EDGE_CURVE('',#172319,#172317,#99763,.T.); #215320=EDGE_CURVE('',#172318,#172319,#99764,.T.); #215321=EDGE_CURVE('',#172320,#172318,#99765,.T.); #215322=EDGE_CURVE('',#172321,#172319,#99766,.T.); #215323=EDGE_CURVE('',#172320,#172321,#99767,.T.); #215324=EDGE_CURVE('',#172322,#172320,#99768,.T.); #215325=EDGE_CURVE('',#172323,#172321,#99769,.T.); #215326=EDGE_CURVE('',#172322,#172323,#99770,.T.); #215327=EDGE_CURVE('',#172324,#172322,#99771,.T.); #215328=EDGE_CURVE('',#172325,#172323,#99772,.T.); #215329=EDGE_CURVE('',#172324,#172325,#99773,.T.); #215330=EDGE_CURVE('',#172326,#172324,#99774,.T.); #215331=EDGE_CURVE('',#172327,#172325,#99775,.T.); #215332=EDGE_CURVE('',#172326,#172327,#99776,.T.); #215333=EDGE_CURVE('',#172328,#172326,#99777,.T.); #215334=EDGE_CURVE('',#172329,#172327,#99778,.T.); #215335=EDGE_CURVE('',#172328,#172329,#99779,.T.); #215336=EDGE_CURVE('',#172330,#172328,#99780,.T.); #215337=EDGE_CURVE('',#172331,#172329,#99781,.T.); #215338=EDGE_CURVE('',#172330,#172331,#99782,.T.); #215339=EDGE_CURVE('',#172332,#172330,#99783,.T.); #215340=EDGE_CURVE('',#172333,#172331,#99784,.T.); #215341=EDGE_CURVE('',#172332,#172333,#99785,.T.); #215342=EDGE_CURVE('',#172334,#172332,#99786,.T.); #215343=EDGE_CURVE('',#172335,#172333,#99787,.T.); #215344=EDGE_CURVE('',#172334,#172335,#99788,.T.); #215345=EDGE_CURVE('',#172336,#172334,#99789,.T.); #215346=EDGE_CURVE('',#172337,#172335,#99790,.T.); #215347=EDGE_CURVE('',#172336,#172337,#99791,.T.); #215348=EDGE_CURVE('',#172338,#172336,#99792,.T.); #215349=EDGE_CURVE('',#172339,#172337,#99793,.T.); #215350=EDGE_CURVE('',#172338,#172339,#99794,.T.); #215351=EDGE_CURVE('',#172340,#172338,#99795,.T.); #215352=EDGE_CURVE('',#172341,#172339,#99796,.T.); #215353=EDGE_CURVE('',#172340,#172341,#99797,.T.); #215354=EDGE_CURVE('',#172342,#172340,#99798,.T.); #215355=EDGE_CURVE('',#172343,#172341,#99799,.T.); #215356=EDGE_CURVE('',#172342,#172343,#99800,.T.); #215357=EDGE_CURVE('',#172344,#172342,#99801,.T.); #215358=EDGE_CURVE('',#172345,#172343,#99802,.T.); #215359=EDGE_CURVE('',#172344,#172345,#99803,.T.); #215360=EDGE_CURVE('',#172346,#172344,#99804,.T.); #215361=EDGE_CURVE('',#172347,#172345,#99805,.T.); #215362=EDGE_CURVE('',#172346,#172347,#99806,.T.); #215363=EDGE_CURVE('',#172348,#172346,#99807,.T.); #215364=EDGE_CURVE('',#172349,#172347,#99808,.T.); #215365=EDGE_CURVE('',#172348,#172349,#99809,.T.); #215366=EDGE_CURVE('',#172350,#172348,#99810,.T.); #215367=EDGE_CURVE('',#172351,#172349,#99811,.T.); #215368=EDGE_CURVE('',#172350,#172351,#99812,.T.); #215369=EDGE_CURVE('',#172311,#172350,#99813,.T.); #215370=EDGE_CURVE('',#172312,#172351,#99814,.T.); #215371=EDGE_CURVE('',#172352,#172353,#99815,.T.); #215372=EDGE_CURVE('',#172353,#172354,#99816,.T.); #215373=EDGE_CURVE('',#172355,#172354,#99817,.T.); #215374=EDGE_CURVE('',#172352,#172355,#99818,.T.); #215375=EDGE_CURVE('',#172356,#172352,#99819,.T.); #215376=EDGE_CURVE('',#172357,#172355,#99820,.T.); #215377=EDGE_CURVE('',#172356,#172357,#99821,.T.); #215378=EDGE_CURVE('',#172358,#172356,#99822,.T.); #215379=EDGE_CURVE('',#172359,#172357,#99823,.T.); #215380=EDGE_CURVE('',#172358,#172359,#99824,.T.); #215381=EDGE_CURVE('',#172360,#172358,#99825,.T.); #215382=EDGE_CURVE('',#172361,#172359,#99826,.T.); #215383=EDGE_CURVE('',#172360,#172361,#99827,.T.); #215384=EDGE_CURVE('',#172362,#172360,#99828,.T.); #215385=EDGE_CURVE('',#172363,#172361,#99829,.T.); #215386=EDGE_CURVE('',#172362,#172363,#99830,.T.); #215387=EDGE_CURVE('',#172364,#172362,#99831,.T.); #215388=EDGE_CURVE('',#172365,#172363,#99832,.T.); #215389=EDGE_CURVE('',#172364,#172365,#99833,.T.); #215390=EDGE_CURVE('',#172366,#172364,#99834,.T.); #215391=EDGE_CURVE('',#172367,#172365,#99835,.T.); #215392=EDGE_CURVE('',#172366,#172367,#99836,.T.); #215393=EDGE_CURVE('',#172368,#172366,#99837,.T.); #215394=EDGE_CURVE('',#172369,#172367,#99838,.T.); #215395=EDGE_CURVE('',#172368,#172369,#99839,.T.); #215396=EDGE_CURVE('',#172370,#172368,#99840,.T.); #215397=EDGE_CURVE('',#172371,#172369,#99841,.T.); #215398=EDGE_CURVE('',#172370,#172371,#99842,.T.); #215399=EDGE_CURVE('',#172372,#172370,#99843,.T.); #215400=EDGE_CURVE('',#172373,#172371,#99844,.T.); #215401=EDGE_CURVE('',#172372,#172373,#99845,.T.); #215402=EDGE_CURVE('',#172374,#172372,#99846,.T.); #215403=EDGE_CURVE('',#172375,#172373,#99847,.T.); #215404=EDGE_CURVE('',#172374,#172375,#99848,.T.); #215405=EDGE_CURVE('',#172376,#172374,#99849,.T.); #215406=EDGE_CURVE('',#172377,#172375,#99850,.T.); #215407=EDGE_CURVE('',#172376,#172377,#99851,.T.); #215408=EDGE_CURVE('',#172378,#172376,#99852,.T.); #215409=EDGE_CURVE('',#172379,#172377,#99853,.T.); #215410=EDGE_CURVE('',#172378,#172379,#99854,.T.); #215411=EDGE_CURVE('',#172380,#172378,#99855,.T.); #215412=EDGE_CURVE('',#172381,#172379,#99856,.T.); #215413=EDGE_CURVE('',#172380,#172381,#99857,.T.); #215414=EDGE_CURVE('',#172382,#172380,#99858,.T.); #215415=EDGE_CURVE('',#172383,#172381,#99859,.T.); #215416=EDGE_CURVE('',#172382,#172383,#99860,.T.); #215417=EDGE_CURVE('',#172384,#172382,#99861,.T.); #215418=EDGE_CURVE('',#172385,#172383,#99862,.T.); #215419=EDGE_CURVE('',#172384,#172385,#99863,.T.); #215420=EDGE_CURVE('',#172386,#172384,#99864,.T.); #215421=EDGE_CURVE('',#172387,#172385,#99865,.T.); #215422=EDGE_CURVE('',#172386,#172387,#99866,.T.); #215423=EDGE_CURVE('',#172388,#172386,#99867,.T.); #215424=EDGE_CURVE('',#172389,#172387,#99868,.T.); #215425=EDGE_CURVE('',#172388,#172389,#99869,.T.); #215426=EDGE_CURVE('',#172390,#172388,#99870,.T.); #215427=EDGE_CURVE('',#172391,#172389,#99871,.T.); #215428=EDGE_CURVE('',#172390,#172391,#99872,.T.); #215429=EDGE_CURVE('',#172353,#172390,#99873,.T.); #215430=EDGE_CURVE('',#172354,#172391,#99874,.T.); #215431=EDGE_CURVE('',#172392,#172393,#99875,.T.); #215432=EDGE_CURVE('',#172393,#172394,#99876,.T.); #215433=EDGE_CURVE('',#172395,#172394,#99877,.T.); #215434=EDGE_CURVE('',#172392,#172395,#99878,.T.); #215435=EDGE_CURVE('',#172396,#172392,#99879,.T.); #215436=EDGE_CURVE('',#172397,#172395,#99880,.T.); #215437=EDGE_CURVE('',#172396,#172397,#99881,.T.); #215438=EDGE_CURVE('',#172398,#172396,#99882,.T.); #215439=EDGE_CURVE('',#172399,#172397,#99883,.T.); #215440=EDGE_CURVE('',#172398,#172399,#99884,.T.); #215441=EDGE_CURVE('',#172400,#172398,#99885,.T.); #215442=EDGE_CURVE('',#172401,#172399,#99886,.T.); #215443=EDGE_CURVE('',#172400,#172401,#99887,.T.); #215444=EDGE_CURVE('',#172402,#172400,#99888,.T.); #215445=EDGE_CURVE('',#172403,#172401,#99889,.T.); #215446=EDGE_CURVE('',#172402,#172403,#99890,.T.); #215447=EDGE_CURVE('',#172404,#172402,#99891,.T.); #215448=EDGE_CURVE('',#172405,#172403,#99892,.T.); #215449=EDGE_CURVE('',#172404,#172405,#99893,.T.); #215450=EDGE_CURVE('',#172406,#172404,#99894,.T.); #215451=EDGE_CURVE('',#172407,#172405,#99895,.T.); #215452=EDGE_CURVE('',#172406,#172407,#99896,.T.); #215453=EDGE_CURVE('',#172408,#172406,#99897,.T.); #215454=EDGE_CURVE('',#172409,#172407,#99898,.T.); #215455=EDGE_CURVE('',#172408,#172409,#99899,.T.); #215456=EDGE_CURVE('',#172410,#172408,#99900,.T.); #215457=EDGE_CURVE('',#172411,#172409,#99901,.T.); #215458=EDGE_CURVE('',#172410,#172411,#99902,.T.); #215459=EDGE_CURVE('',#172412,#172410,#99903,.T.); #215460=EDGE_CURVE('',#172413,#172411,#99904,.T.); #215461=EDGE_CURVE('',#172412,#172413,#99905,.T.); #215462=EDGE_CURVE('',#172414,#172412,#99906,.T.); #215463=EDGE_CURVE('',#172415,#172413,#99907,.T.); #215464=EDGE_CURVE('',#172414,#172415,#99908,.T.); #215465=EDGE_CURVE('',#172416,#172414,#99909,.T.); #215466=EDGE_CURVE('',#172417,#172415,#99910,.T.); #215467=EDGE_CURVE('',#172416,#172417,#99911,.T.); #215468=EDGE_CURVE('',#172418,#172416,#99912,.T.); #215469=EDGE_CURVE('',#172419,#172417,#99913,.T.); #215470=EDGE_CURVE('',#172418,#172419,#99914,.T.); #215471=EDGE_CURVE('',#172420,#172418,#99915,.T.); #215472=EDGE_CURVE('',#172421,#172419,#99916,.T.); #215473=EDGE_CURVE('',#172420,#172421,#99917,.T.); #215474=EDGE_CURVE('',#172422,#172420,#99918,.T.); #215475=EDGE_CURVE('',#172423,#172421,#99919,.T.); #215476=EDGE_CURVE('',#172422,#172423,#99920,.T.); #215477=EDGE_CURVE('',#172424,#172422,#99921,.T.); #215478=EDGE_CURVE('',#172425,#172423,#99922,.T.); #215479=EDGE_CURVE('',#172424,#172425,#99923,.T.); #215480=EDGE_CURVE('',#172426,#172424,#99924,.T.); #215481=EDGE_CURVE('',#172427,#172425,#99925,.T.); #215482=EDGE_CURVE('',#172426,#172427,#99926,.T.); #215483=EDGE_CURVE('',#172428,#172426,#99927,.T.); #215484=EDGE_CURVE('',#172429,#172427,#99928,.T.); #215485=EDGE_CURVE('',#172428,#172429,#99929,.T.); #215486=EDGE_CURVE('',#172430,#172428,#99930,.T.); #215487=EDGE_CURVE('',#172431,#172429,#99931,.T.); #215488=EDGE_CURVE('',#172430,#172431,#99932,.T.); #215489=EDGE_CURVE('',#172432,#172430,#99933,.T.); #215490=EDGE_CURVE('',#172433,#172431,#99934,.T.); #215491=EDGE_CURVE('',#172432,#172433,#99935,.T.); #215492=EDGE_CURVE('',#172434,#172432,#99936,.T.); #215493=EDGE_CURVE('',#172435,#172433,#99937,.T.); #215494=EDGE_CURVE('',#172434,#172435,#99938,.T.); #215495=EDGE_CURVE('',#172436,#172434,#99939,.T.); #215496=EDGE_CURVE('',#172437,#172435,#99940,.T.); #215497=EDGE_CURVE('',#172436,#172437,#99941,.T.); #215498=EDGE_CURVE('',#172438,#172436,#99942,.T.); #215499=EDGE_CURVE('',#172439,#172437,#99943,.T.); #215500=EDGE_CURVE('',#172438,#172439,#99944,.T.); #215501=EDGE_CURVE('',#172440,#172438,#99945,.T.); #215502=EDGE_CURVE('',#172441,#172439,#99946,.T.); #215503=EDGE_CURVE('',#172440,#172441,#99947,.T.); #215504=EDGE_CURVE('',#172442,#172440,#99948,.T.); #215505=EDGE_CURVE('',#172443,#172441,#99949,.T.); #215506=EDGE_CURVE('',#172442,#172443,#99950,.T.); #215507=EDGE_CURVE('',#172444,#172442,#99951,.T.); #215508=EDGE_CURVE('',#172445,#172443,#99952,.T.); #215509=EDGE_CURVE('',#172444,#172445,#99953,.T.); #215510=EDGE_CURVE('',#172446,#172444,#99954,.T.); #215511=EDGE_CURVE('',#172447,#172445,#99955,.T.); #215512=EDGE_CURVE('',#172446,#172447,#99956,.T.); #215513=EDGE_CURVE('',#172448,#172446,#99957,.T.); #215514=EDGE_CURVE('',#172449,#172447,#99958,.T.); #215515=EDGE_CURVE('',#172448,#172449,#99959,.T.); #215516=EDGE_CURVE('',#172450,#172448,#99960,.T.); #215517=EDGE_CURVE('',#172451,#172449,#99961,.T.); #215518=EDGE_CURVE('',#172450,#172451,#99962,.T.); #215519=EDGE_CURVE('',#172452,#172450,#99963,.T.); #215520=EDGE_CURVE('',#172453,#172451,#99964,.T.); #215521=EDGE_CURVE('',#172452,#172453,#99965,.T.); #215522=EDGE_CURVE('',#172454,#172452,#99966,.T.); #215523=EDGE_CURVE('',#172455,#172453,#99967,.T.); #215524=EDGE_CURVE('',#172454,#172455,#99968,.T.); #215525=EDGE_CURVE('',#172456,#172454,#99969,.T.); #215526=EDGE_CURVE('',#172457,#172455,#99970,.T.); #215527=EDGE_CURVE('',#172456,#172457,#99971,.T.); #215528=EDGE_CURVE('',#172458,#172456,#99972,.T.); #215529=EDGE_CURVE('',#172459,#172457,#99973,.T.); #215530=EDGE_CURVE('',#172458,#172459,#99974,.T.); #215531=EDGE_CURVE('',#172460,#172458,#99975,.T.); #215532=EDGE_CURVE('',#172461,#172459,#99976,.T.); #215533=EDGE_CURVE('',#172460,#172461,#99977,.T.); #215534=EDGE_CURVE('',#172462,#172460,#99978,.T.); #215535=EDGE_CURVE('',#172463,#172461,#99979,.T.); #215536=EDGE_CURVE('',#172462,#172463,#99980,.T.); #215537=EDGE_CURVE('',#172464,#172462,#99981,.T.); #215538=EDGE_CURVE('',#172465,#172463,#99982,.T.); #215539=EDGE_CURVE('',#172464,#172465,#99983,.T.); #215540=EDGE_CURVE('',#172466,#172464,#99984,.T.); #215541=EDGE_CURVE('',#172467,#172465,#99985,.T.); #215542=EDGE_CURVE('',#172466,#172467,#99986,.T.); #215543=EDGE_CURVE('',#172468,#172466,#99987,.T.); #215544=EDGE_CURVE('',#172469,#172467,#99988,.T.); #215545=EDGE_CURVE('',#172468,#172469,#99989,.T.); #215546=EDGE_CURVE('',#172470,#172468,#99990,.T.); #215547=EDGE_CURVE('',#172471,#172469,#99991,.T.); #215548=EDGE_CURVE('',#172470,#172471,#99992,.T.); #215549=EDGE_CURVE('',#172472,#172470,#99993,.T.); #215550=EDGE_CURVE('',#172473,#172471,#99994,.T.); #215551=EDGE_CURVE('',#172472,#172473,#99995,.T.); #215552=EDGE_CURVE('',#172474,#172472,#99996,.T.); #215553=EDGE_CURVE('',#172475,#172473,#99997,.T.); #215554=EDGE_CURVE('',#172474,#172475,#99998,.T.); #215555=EDGE_CURVE('',#172476,#172474,#99999,.T.); #215556=EDGE_CURVE('',#172477,#172475,#100000,.T.); #215557=EDGE_CURVE('',#172476,#172477,#100001,.T.); #215558=EDGE_CURVE('',#172478,#172476,#100002,.T.); #215559=EDGE_CURVE('',#172479,#172477,#100003,.T.); #215560=EDGE_CURVE('',#172478,#172479,#100004,.T.); #215561=EDGE_CURVE('',#172480,#172478,#100005,.T.); #215562=EDGE_CURVE('',#172481,#172479,#100006,.T.); #215563=EDGE_CURVE('',#172480,#172481,#100007,.T.); #215564=EDGE_CURVE('',#172482,#172480,#100008,.T.); #215565=EDGE_CURVE('',#172483,#172481,#100009,.T.); #215566=EDGE_CURVE('',#172482,#172483,#100010,.T.); #215567=EDGE_CURVE('',#172484,#172482,#100011,.T.); #215568=EDGE_CURVE('',#172485,#172483,#100012,.T.); #215569=EDGE_CURVE('',#172484,#172485,#100013,.T.); #215570=EDGE_CURVE('',#172393,#172484,#100014,.T.); #215571=EDGE_CURVE('',#172394,#172485,#100015,.T.); #215572=EDGE_CURVE('',#172486,#172487,#100016,.T.); #215573=EDGE_CURVE('',#172487,#172488,#100017,.T.); #215574=EDGE_CURVE('',#172489,#172488,#100018,.T.); #215575=EDGE_CURVE('',#172486,#172489,#100019,.T.); #215576=EDGE_CURVE('',#172490,#172486,#100020,.T.); #215577=EDGE_CURVE('',#172491,#172489,#100021,.T.); #215578=EDGE_CURVE('',#172490,#172491,#100022,.T.); #215579=EDGE_CURVE('',#172492,#172490,#100023,.T.); #215580=EDGE_CURVE('',#172493,#172491,#100024,.T.); #215581=EDGE_CURVE('',#172492,#172493,#100025,.T.); #215582=EDGE_CURVE('',#172494,#172492,#100026,.T.); #215583=EDGE_CURVE('',#172495,#172493,#100027,.T.); #215584=EDGE_CURVE('',#172494,#172495,#100028,.T.); #215585=EDGE_CURVE('',#172496,#172494,#100029,.T.); #215586=EDGE_CURVE('',#172497,#172495,#100030,.T.); #215587=EDGE_CURVE('',#172496,#172497,#100031,.T.); #215588=EDGE_CURVE('',#172498,#172496,#100032,.T.); #215589=EDGE_CURVE('',#172499,#172497,#100033,.T.); #215590=EDGE_CURVE('',#172498,#172499,#100034,.T.); #215591=EDGE_CURVE('',#172500,#172498,#100035,.T.); #215592=EDGE_CURVE('',#172501,#172499,#100036,.T.); #215593=EDGE_CURVE('',#172500,#172501,#100037,.T.); #215594=EDGE_CURVE('',#172502,#172500,#100038,.T.); #215595=EDGE_CURVE('',#172503,#172501,#100039,.T.); #215596=EDGE_CURVE('',#172502,#172503,#100040,.T.); #215597=EDGE_CURVE('',#172504,#172502,#100041,.T.); #215598=EDGE_CURVE('',#172505,#172503,#100042,.T.); #215599=EDGE_CURVE('',#172504,#172505,#100043,.T.); #215600=EDGE_CURVE('',#172506,#172504,#100044,.T.); #215601=EDGE_CURVE('',#172507,#172505,#100045,.T.); #215602=EDGE_CURVE('',#172506,#172507,#100046,.T.); #215603=EDGE_CURVE('',#172508,#172506,#100047,.T.); #215604=EDGE_CURVE('',#172509,#172507,#100048,.T.); #215605=EDGE_CURVE('',#172508,#172509,#100049,.T.); #215606=EDGE_CURVE('',#172510,#172508,#100050,.T.); #215607=EDGE_CURVE('',#172511,#172509,#100051,.T.); #215608=EDGE_CURVE('',#172510,#172511,#100052,.T.); #215609=EDGE_CURVE('',#172512,#172510,#100053,.T.); #215610=EDGE_CURVE('',#172513,#172511,#100054,.T.); #215611=EDGE_CURVE('',#172512,#172513,#100055,.T.); #215612=EDGE_CURVE('',#172514,#172512,#100056,.T.); #215613=EDGE_CURVE('',#172515,#172513,#100057,.T.); #215614=EDGE_CURVE('',#172514,#172515,#100058,.T.); #215615=EDGE_CURVE('',#172516,#172514,#100059,.T.); #215616=EDGE_CURVE('',#172517,#172515,#100060,.T.); #215617=EDGE_CURVE('',#172516,#172517,#100061,.T.); #215618=EDGE_CURVE('',#172518,#172516,#100062,.T.); #215619=EDGE_CURVE('',#172519,#172517,#100063,.T.); #215620=EDGE_CURVE('',#172518,#172519,#100064,.T.); #215621=EDGE_CURVE('',#172520,#172518,#100065,.T.); #215622=EDGE_CURVE('',#172521,#172519,#100066,.T.); #215623=EDGE_CURVE('',#172520,#172521,#100067,.T.); #215624=EDGE_CURVE('',#172522,#172520,#100068,.T.); #215625=EDGE_CURVE('',#172523,#172521,#100069,.T.); #215626=EDGE_CURVE('',#172522,#172523,#100070,.T.); #215627=EDGE_CURVE('',#172524,#172522,#100071,.T.); #215628=EDGE_CURVE('',#172525,#172523,#100072,.T.); #215629=EDGE_CURVE('',#172524,#172525,#100073,.T.); #215630=EDGE_CURVE('',#172526,#172524,#100074,.T.); #215631=EDGE_CURVE('',#172527,#172525,#100075,.T.); #215632=EDGE_CURVE('',#172526,#172527,#100076,.T.); #215633=EDGE_CURVE('',#172528,#172526,#100077,.T.); #215634=EDGE_CURVE('',#172529,#172527,#100078,.T.); #215635=EDGE_CURVE('',#172528,#172529,#100079,.T.); #215636=EDGE_CURVE('',#172530,#172528,#100080,.T.); #215637=EDGE_CURVE('',#172531,#172529,#100081,.T.); #215638=EDGE_CURVE('',#172530,#172531,#100082,.T.); #215639=EDGE_CURVE('',#172532,#172530,#100083,.T.); #215640=EDGE_CURVE('',#172533,#172531,#100084,.T.); #215641=EDGE_CURVE('',#172532,#172533,#100085,.T.); #215642=EDGE_CURVE('',#172534,#172532,#100086,.T.); #215643=EDGE_CURVE('',#172535,#172533,#100087,.T.); #215644=EDGE_CURVE('',#172534,#172535,#100088,.T.); #215645=EDGE_CURVE('',#172536,#172534,#100089,.T.); #215646=EDGE_CURVE('',#172537,#172535,#100090,.T.); #215647=EDGE_CURVE('',#172536,#172537,#100091,.T.); #215648=EDGE_CURVE('',#172538,#172536,#100092,.T.); #215649=EDGE_CURVE('',#172539,#172537,#100093,.T.); #215650=EDGE_CURVE('',#172538,#172539,#100094,.T.); #215651=EDGE_CURVE('',#172540,#172538,#100095,.T.); #215652=EDGE_CURVE('',#172541,#172539,#100096,.T.); #215653=EDGE_CURVE('',#172540,#172541,#100097,.T.); #215654=EDGE_CURVE('',#172542,#172540,#100098,.T.); #215655=EDGE_CURVE('',#172543,#172541,#100099,.T.); #215656=EDGE_CURVE('',#172542,#172543,#100100,.T.); #215657=EDGE_CURVE('',#172544,#172542,#100101,.T.); #215658=EDGE_CURVE('',#172545,#172543,#100102,.T.); #215659=EDGE_CURVE('',#172544,#172545,#100103,.T.); #215660=EDGE_CURVE('',#172546,#172544,#100104,.T.); #215661=EDGE_CURVE('',#172547,#172545,#100105,.T.); #215662=EDGE_CURVE('',#172546,#172547,#100106,.T.); #215663=EDGE_CURVE('',#172548,#172546,#100107,.T.); #215664=EDGE_CURVE('',#172549,#172547,#100108,.T.); #215665=EDGE_CURVE('',#172548,#172549,#100109,.T.); #215666=EDGE_CURVE('',#172550,#172548,#100110,.T.); #215667=EDGE_CURVE('',#172551,#172549,#100111,.T.); #215668=EDGE_CURVE('',#172550,#172551,#100112,.T.); #215669=EDGE_CURVE('',#172552,#172550,#100113,.T.); #215670=EDGE_CURVE('',#172553,#172551,#100114,.T.); #215671=EDGE_CURVE('',#172552,#172553,#100115,.T.); #215672=EDGE_CURVE('',#172487,#172552,#100116,.T.); #215673=EDGE_CURVE('',#172488,#172553,#100117,.T.); #215674=EDGE_CURVE('',#172554,#172555,#100118,.T.); #215675=EDGE_CURVE('',#172555,#172556,#100119,.T.); #215676=EDGE_CURVE('',#172557,#172556,#100120,.T.); #215677=EDGE_CURVE('',#172554,#172557,#100121,.T.); #215678=EDGE_CURVE('',#172558,#172554,#100122,.T.); #215679=EDGE_CURVE('',#172559,#172557,#100123,.T.); #215680=EDGE_CURVE('',#172558,#172559,#100124,.T.); #215681=EDGE_CURVE('',#172560,#172558,#100125,.T.); #215682=EDGE_CURVE('',#172561,#172559,#100126,.T.); #215683=EDGE_CURVE('',#172560,#172561,#100127,.T.); #215684=EDGE_CURVE('',#172562,#172560,#100128,.T.); #215685=EDGE_CURVE('',#172563,#172561,#100129,.T.); #215686=EDGE_CURVE('',#172562,#172563,#100130,.T.); #215687=EDGE_CURVE('',#172564,#172562,#100131,.T.); #215688=EDGE_CURVE('',#172565,#172563,#100132,.T.); #215689=EDGE_CURVE('',#172564,#172565,#100133,.T.); #215690=EDGE_CURVE('',#172566,#172564,#100134,.T.); #215691=EDGE_CURVE('',#172567,#172565,#100135,.T.); #215692=EDGE_CURVE('',#172566,#172567,#100136,.T.); #215693=EDGE_CURVE('',#172568,#172566,#100137,.T.); #215694=EDGE_CURVE('',#172569,#172567,#100138,.T.); #215695=EDGE_CURVE('',#172568,#172569,#100139,.T.); #215696=EDGE_CURVE('',#172570,#172568,#100140,.T.); #215697=EDGE_CURVE('',#172571,#172569,#100141,.T.); #215698=EDGE_CURVE('',#172570,#172571,#100142,.T.); #215699=EDGE_CURVE('',#172572,#172570,#100143,.T.); #215700=EDGE_CURVE('',#172573,#172571,#100144,.T.); #215701=EDGE_CURVE('',#172572,#172573,#100145,.T.); #215702=EDGE_CURVE('',#172574,#172572,#100146,.T.); #215703=EDGE_CURVE('',#172575,#172573,#100147,.T.); #215704=EDGE_CURVE('',#172574,#172575,#100148,.T.); #215705=EDGE_CURVE('',#172576,#172574,#100149,.T.); #215706=EDGE_CURVE('',#172577,#172575,#100150,.T.); #215707=EDGE_CURVE('',#172576,#172577,#100151,.T.); #215708=EDGE_CURVE('',#172578,#172576,#100152,.T.); #215709=EDGE_CURVE('',#172579,#172577,#100153,.T.); #215710=EDGE_CURVE('',#172578,#172579,#100154,.T.); #215711=EDGE_CURVE('',#172580,#172578,#100155,.T.); #215712=EDGE_CURVE('',#172581,#172579,#100156,.T.); #215713=EDGE_CURVE('',#172580,#172581,#100157,.T.); #215714=EDGE_CURVE('',#172582,#172580,#100158,.T.); #215715=EDGE_CURVE('',#172583,#172581,#100159,.T.); #215716=EDGE_CURVE('',#172582,#172583,#100160,.T.); #215717=EDGE_CURVE('',#172584,#172582,#100161,.T.); #215718=EDGE_CURVE('',#172585,#172583,#100162,.T.); #215719=EDGE_CURVE('',#172584,#172585,#100163,.T.); #215720=EDGE_CURVE('',#172586,#172584,#100164,.T.); #215721=EDGE_CURVE('',#172587,#172585,#100165,.T.); #215722=EDGE_CURVE('',#172586,#172587,#100166,.T.); #215723=EDGE_CURVE('',#172588,#172586,#100167,.T.); #215724=EDGE_CURVE('',#172589,#172587,#100168,.T.); #215725=EDGE_CURVE('',#172588,#172589,#100169,.T.); #215726=EDGE_CURVE('',#172590,#172588,#100170,.T.); #215727=EDGE_CURVE('',#172591,#172589,#100171,.T.); #215728=EDGE_CURVE('',#172590,#172591,#100172,.T.); #215729=EDGE_CURVE('',#172592,#172590,#100173,.T.); #215730=EDGE_CURVE('',#172593,#172591,#100174,.T.); #215731=EDGE_CURVE('',#172592,#172593,#100175,.T.); #215732=EDGE_CURVE('',#172594,#172592,#100176,.T.); #215733=EDGE_CURVE('',#172595,#172593,#100177,.T.); #215734=EDGE_CURVE('',#172594,#172595,#100178,.T.); #215735=EDGE_CURVE('',#172596,#172594,#100179,.T.); #215736=EDGE_CURVE('',#172597,#172595,#100180,.T.); #215737=EDGE_CURVE('',#172596,#172597,#100181,.T.); #215738=EDGE_CURVE('',#172555,#172596,#100182,.T.); #215739=EDGE_CURVE('',#172556,#172597,#100183,.T.); #215740=EDGE_CURVE('',#172598,#172599,#100184,.T.); #215741=EDGE_CURVE('',#172599,#172600,#100185,.T.); #215742=EDGE_CURVE('',#172601,#172600,#100186,.T.); #215743=EDGE_CURVE('',#172598,#172601,#100187,.T.); #215744=EDGE_CURVE('',#172602,#172598,#100188,.T.); #215745=EDGE_CURVE('',#172603,#172601,#100189,.T.); #215746=EDGE_CURVE('',#172602,#172603,#100190,.T.); #215747=EDGE_CURVE('',#172604,#172602,#100191,.T.); #215748=EDGE_CURVE('',#172605,#172603,#100192,.T.); #215749=EDGE_CURVE('',#172604,#172605,#100193,.T.); #215750=EDGE_CURVE('',#172606,#172604,#100194,.T.); #215751=EDGE_CURVE('',#172607,#172605,#100195,.T.); #215752=EDGE_CURVE('',#172606,#172607,#100196,.T.); #215753=EDGE_CURVE('',#172608,#172606,#100197,.T.); #215754=EDGE_CURVE('',#172609,#172607,#100198,.T.); #215755=EDGE_CURVE('',#172608,#172609,#100199,.T.); #215756=EDGE_CURVE('',#172610,#172608,#100200,.T.); #215757=EDGE_CURVE('',#172611,#172609,#100201,.T.); #215758=EDGE_CURVE('',#172610,#172611,#100202,.T.); #215759=EDGE_CURVE('',#172612,#172610,#100203,.T.); #215760=EDGE_CURVE('',#172613,#172611,#100204,.T.); #215761=EDGE_CURVE('',#172612,#172613,#100205,.T.); #215762=EDGE_CURVE('',#172614,#172612,#100206,.T.); #215763=EDGE_CURVE('',#172615,#172613,#100207,.T.); #215764=EDGE_CURVE('',#172614,#172615,#100208,.T.); #215765=EDGE_CURVE('',#172616,#172614,#100209,.T.); #215766=EDGE_CURVE('',#172617,#172615,#100210,.T.); #215767=EDGE_CURVE('',#172616,#172617,#100211,.T.); #215768=EDGE_CURVE('',#172618,#172616,#100212,.T.); #215769=EDGE_CURVE('',#172619,#172617,#100213,.T.); #215770=EDGE_CURVE('',#172618,#172619,#100214,.T.); #215771=EDGE_CURVE('',#172620,#172618,#100215,.T.); #215772=EDGE_CURVE('',#172621,#172619,#100216,.T.); #215773=EDGE_CURVE('',#172620,#172621,#100217,.T.); #215774=EDGE_CURVE('',#172622,#172620,#100218,.T.); #215775=EDGE_CURVE('',#172623,#172621,#100219,.T.); #215776=EDGE_CURVE('',#172622,#172623,#100220,.T.); #215777=EDGE_CURVE('',#172624,#172622,#100221,.T.); #215778=EDGE_CURVE('',#172625,#172623,#100222,.T.); #215779=EDGE_CURVE('',#172624,#172625,#100223,.T.); #215780=EDGE_CURVE('',#172626,#172624,#100224,.T.); #215781=EDGE_CURVE('',#172627,#172625,#100225,.T.); #215782=EDGE_CURVE('',#172626,#172627,#100226,.T.); #215783=EDGE_CURVE('',#172628,#172626,#100227,.T.); #215784=EDGE_CURVE('',#172629,#172627,#100228,.T.); #215785=EDGE_CURVE('',#172628,#172629,#100229,.T.); #215786=EDGE_CURVE('',#172630,#172628,#100230,.T.); #215787=EDGE_CURVE('',#172631,#172629,#100231,.T.); #215788=EDGE_CURVE('',#172630,#172631,#100232,.T.); #215789=EDGE_CURVE('',#172632,#172630,#100233,.T.); #215790=EDGE_CURVE('',#172633,#172631,#100234,.T.); #215791=EDGE_CURVE('',#172632,#172633,#100235,.T.); #215792=EDGE_CURVE('',#172634,#172632,#100236,.T.); #215793=EDGE_CURVE('',#172635,#172633,#100237,.T.); #215794=EDGE_CURVE('',#172634,#172635,#100238,.T.); #215795=EDGE_CURVE('',#172636,#172634,#100239,.T.); #215796=EDGE_CURVE('',#172637,#172635,#100240,.T.); #215797=EDGE_CURVE('',#172636,#172637,#100241,.T.); #215798=EDGE_CURVE('',#172599,#172636,#100242,.T.); #215799=EDGE_CURVE('',#172600,#172637,#100243,.T.); #215800=EDGE_CURVE('',#172638,#172639,#100244,.T.); #215801=EDGE_CURVE('',#172639,#172640,#100245,.T.); #215802=EDGE_CURVE('',#172641,#172640,#100246,.T.); #215803=EDGE_CURVE('',#172638,#172641,#100247,.T.); #215804=EDGE_CURVE('',#172642,#172638,#100248,.T.); #215805=EDGE_CURVE('',#172643,#172641,#100249,.T.); #215806=EDGE_CURVE('',#172642,#172643,#100250,.T.); #215807=EDGE_CURVE('',#172644,#172642,#100251,.T.); #215808=EDGE_CURVE('',#172645,#172643,#100252,.T.); #215809=EDGE_CURVE('',#172644,#172645,#100253,.T.); #215810=EDGE_CURVE('',#172646,#172644,#100254,.T.); #215811=EDGE_CURVE('',#172647,#172645,#100255,.T.); #215812=EDGE_CURVE('',#172646,#172647,#100256,.T.); #215813=EDGE_CURVE('',#172648,#172646,#100257,.T.); #215814=EDGE_CURVE('',#172649,#172647,#100258,.T.); #215815=EDGE_CURVE('',#172648,#172649,#100259,.T.); #215816=EDGE_CURVE('',#172650,#172648,#100260,.T.); #215817=EDGE_CURVE('',#172651,#172649,#100261,.T.); #215818=EDGE_CURVE('',#172650,#172651,#100262,.T.); #215819=EDGE_CURVE('',#172652,#172650,#100263,.T.); #215820=EDGE_CURVE('',#172653,#172651,#100264,.T.); #215821=EDGE_CURVE('',#172652,#172653,#100265,.T.); #215822=EDGE_CURVE('',#172654,#172652,#100266,.T.); #215823=EDGE_CURVE('',#172655,#172653,#100267,.T.); #215824=EDGE_CURVE('',#172654,#172655,#100268,.T.); #215825=EDGE_CURVE('',#172656,#172654,#100269,.T.); #215826=EDGE_CURVE('',#172657,#172655,#100270,.T.); #215827=EDGE_CURVE('',#172656,#172657,#100271,.T.); #215828=EDGE_CURVE('',#172658,#172656,#100272,.T.); #215829=EDGE_CURVE('',#172659,#172657,#100273,.T.); #215830=EDGE_CURVE('',#172658,#172659,#100274,.T.); #215831=EDGE_CURVE('',#172660,#172658,#100275,.T.); #215832=EDGE_CURVE('',#172661,#172659,#100276,.T.); #215833=EDGE_CURVE('',#172660,#172661,#100277,.T.); #215834=EDGE_CURVE('',#172662,#172660,#100278,.T.); #215835=EDGE_CURVE('',#172663,#172661,#100279,.T.); #215836=EDGE_CURVE('',#172662,#172663,#100280,.T.); #215837=EDGE_CURVE('',#172664,#172662,#100281,.T.); #215838=EDGE_CURVE('',#172665,#172663,#100282,.T.); #215839=EDGE_CURVE('',#172664,#172665,#100283,.T.); #215840=EDGE_CURVE('',#172666,#172664,#100284,.T.); #215841=EDGE_CURVE('',#172667,#172665,#100285,.T.); #215842=EDGE_CURVE('',#172666,#172667,#100286,.T.); #215843=EDGE_CURVE('',#172668,#172666,#100287,.T.); #215844=EDGE_CURVE('',#172669,#172667,#100288,.T.); #215845=EDGE_CURVE('',#172668,#172669,#100289,.T.); #215846=EDGE_CURVE('',#172670,#172668,#100290,.T.); #215847=EDGE_CURVE('',#172671,#172669,#100291,.T.); #215848=EDGE_CURVE('',#172670,#172671,#100292,.T.); #215849=EDGE_CURVE('',#172672,#172670,#100293,.T.); #215850=EDGE_CURVE('',#172673,#172671,#100294,.T.); #215851=EDGE_CURVE('',#172672,#172673,#100295,.T.); #215852=EDGE_CURVE('',#172674,#172672,#100296,.T.); #215853=EDGE_CURVE('',#172675,#172673,#100297,.T.); #215854=EDGE_CURVE('',#172674,#172675,#100298,.T.); #215855=EDGE_CURVE('',#172676,#172674,#100299,.T.); #215856=EDGE_CURVE('',#172677,#172675,#100300,.T.); #215857=EDGE_CURVE('',#172676,#172677,#100301,.T.); #215858=EDGE_CURVE('',#172678,#172676,#100302,.T.); #215859=EDGE_CURVE('',#172679,#172677,#100303,.T.); #215860=EDGE_CURVE('',#172678,#172679,#100304,.T.); #215861=EDGE_CURVE('',#172680,#172678,#100305,.T.); #215862=EDGE_CURVE('',#172681,#172679,#100306,.T.); #215863=EDGE_CURVE('',#172680,#172681,#100307,.T.); #215864=EDGE_CURVE('',#172682,#172680,#100308,.T.); #215865=EDGE_CURVE('',#172683,#172681,#100309,.T.); #215866=EDGE_CURVE('',#172682,#172683,#100310,.T.); #215867=EDGE_CURVE('',#172684,#172682,#100311,.T.); #215868=EDGE_CURVE('',#172685,#172683,#100312,.T.); #215869=EDGE_CURVE('',#172684,#172685,#100313,.T.); #215870=EDGE_CURVE('',#172686,#172684,#100314,.T.); #215871=EDGE_CURVE('',#172687,#172685,#100315,.T.); #215872=EDGE_CURVE('',#172686,#172687,#100316,.T.); #215873=EDGE_CURVE('',#172688,#172686,#100317,.T.); #215874=EDGE_CURVE('',#172689,#172687,#100318,.T.); #215875=EDGE_CURVE('',#172688,#172689,#100319,.T.); #215876=EDGE_CURVE('',#172690,#172688,#100320,.T.); #215877=EDGE_CURVE('',#172691,#172689,#100321,.T.); #215878=EDGE_CURVE('',#172690,#172691,#100322,.T.); #215879=EDGE_CURVE('',#172692,#172690,#100323,.T.); #215880=EDGE_CURVE('',#172693,#172691,#100324,.T.); #215881=EDGE_CURVE('',#172692,#172693,#100325,.T.); #215882=EDGE_CURVE('',#172694,#172692,#100326,.T.); #215883=EDGE_CURVE('',#172695,#172693,#100327,.T.); #215884=EDGE_CURVE('',#172694,#172695,#100328,.T.); #215885=EDGE_CURVE('',#172696,#172694,#100329,.T.); #215886=EDGE_CURVE('',#172697,#172695,#100330,.T.); #215887=EDGE_CURVE('',#172696,#172697,#100331,.T.); #215888=EDGE_CURVE('',#172698,#172696,#100332,.T.); #215889=EDGE_CURVE('',#172699,#172697,#100333,.T.); #215890=EDGE_CURVE('',#172698,#172699,#100334,.T.); #215891=EDGE_CURVE('',#172700,#172698,#100335,.T.); #215892=EDGE_CURVE('',#172701,#172699,#100336,.T.); #215893=EDGE_CURVE('',#172700,#172701,#100337,.T.); #215894=EDGE_CURVE('',#172702,#172700,#100338,.T.); #215895=EDGE_CURVE('',#172703,#172701,#100339,.T.); #215896=EDGE_CURVE('',#172702,#172703,#100340,.T.); #215897=EDGE_CURVE('',#172704,#172702,#100341,.T.); #215898=EDGE_CURVE('',#172705,#172703,#100342,.T.); #215899=EDGE_CURVE('',#172704,#172705,#100343,.T.); #215900=EDGE_CURVE('',#172706,#172704,#100344,.T.); #215901=EDGE_CURVE('',#172707,#172705,#100345,.T.); #215902=EDGE_CURVE('',#172706,#172707,#100346,.T.); #215903=EDGE_CURVE('',#172708,#172706,#100347,.T.); #215904=EDGE_CURVE('',#172709,#172707,#100348,.T.); #215905=EDGE_CURVE('',#172708,#172709,#100349,.T.); #215906=EDGE_CURVE('',#172710,#172708,#100350,.T.); #215907=EDGE_CURVE('',#172711,#172709,#100351,.T.); #215908=EDGE_CURVE('',#172710,#172711,#100352,.T.); #215909=EDGE_CURVE('',#172712,#172710,#100353,.T.); #215910=EDGE_CURVE('',#172713,#172711,#100354,.T.); #215911=EDGE_CURVE('',#172712,#172713,#100355,.T.); #215912=EDGE_CURVE('',#172714,#172712,#100356,.T.); #215913=EDGE_CURVE('',#172715,#172713,#100357,.T.); #215914=EDGE_CURVE('',#172714,#172715,#100358,.T.); #215915=EDGE_CURVE('',#172716,#172714,#100359,.T.); #215916=EDGE_CURVE('',#172717,#172715,#100360,.T.); #215917=EDGE_CURVE('',#172716,#172717,#100361,.T.); #215918=EDGE_CURVE('',#172718,#172716,#100362,.T.); #215919=EDGE_CURVE('',#172719,#172717,#100363,.T.); #215920=EDGE_CURVE('',#172718,#172719,#100364,.T.); #215921=EDGE_CURVE('',#172720,#172718,#100365,.T.); #215922=EDGE_CURVE('',#172721,#172719,#100366,.T.); #215923=EDGE_CURVE('',#172720,#172721,#100367,.T.); #215924=EDGE_CURVE('',#172722,#172720,#100368,.T.); #215925=EDGE_CURVE('',#172723,#172721,#100369,.T.); #215926=EDGE_CURVE('',#172722,#172723,#100370,.T.); #215927=EDGE_CURVE('',#172724,#172722,#100371,.T.); #215928=EDGE_CURVE('',#172725,#172723,#100372,.T.); #215929=EDGE_CURVE('',#172724,#172725,#100373,.T.); #215930=EDGE_CURVE('',#172726,#172724,#100374,.T.); #215931=EDGE_CURVE('',#172727,#172725,#100375,.T.); #215932=EDGE_CURVE('',#172726,#172727,#100376,.T.); #215933=EDGE_CURVE('',#172728,#172726,#100377,.T.); #215934=EDGE_CURVE('',#172729,#172727,#100378,.T.); #215935=EDGE_CURVE('',#172728,#172729,#100379,.T.); #215936=EDGE_CURVE('',#172730,#172728,#100380,.T.); #215937=EDGE_CURVE('',#172731,#172729,#100381,.T.); #215938=EDGE_CURVE('',#172730,#172731,#100382,.T.); #215939=EDGE_CURVE('',#172732,#172730,#100383,.T.); #215940=EDGE_CURVE('',#172733,#172731,#100384,.T.); #215941=EDGE_CURVE('',#172732,#172733,#100385,.T.); #215942=EDGE_CURVE('',#172734,#172732,#100386,.T.); #215943=EDGE_CURVE('',#172735,#172733,#100387,.T.); #215944=EDGE_CURVE('',#172734,#172735,#100388,.T.); #215945=EDGE_CURVE('',#172736,#172734,#100389,.T.); #215946=EDGE_CURVE('',#172737,#172735,#100390,.T.); #215947=EDGE_CURVE('',#172736,#172737,#100391,.T.); #215948=EDGE_CURVE('',#172738,#172736,#100392,.T.); #215949=EDGE_CURVE('',#172739,#172737,#100393,.T.); #215950=EDGE_CURVE('',#172738,#172739,#100394,.T.); #215951=EDGE_CURVE('',#172740,#172738,#100395,.T.); #215952=EDGE_CURVE('',#172741,#172739,#100396,.T.); #215953=EDGE_CURVE('',#172740,#172741,#100397,.T.); #215954=EDGE_CURVE('',#172742,#172740,#100398,.T.); #215955=EDGE_CURVE('',#172743,#172741,#100399,.T.); #215956=EDGE_CURVE('',#172742,#172743,#100400,.T.); #215957=EDGE_CURVE('',#172744,#172742,#100401,.T.); #215958=EDGE_CURVE('',#172745,#172743,#100402,.T.); #215959=EDGE_CURVE('',#172744,#172745,#100403,.T.); #215960=EDGE_CURVE('',#172746,#172744,#100404,.T.); #215961=EDGE_CURVE('',#172747,#172745,#100405,.T.); #215962=EDGE_CURVE('',#172746,#172747,#100406,.T.); #215963=EDGE_CURVE('',#172748,#172746,#100407,.T.); #215964=EDGE_CURVE('',#172749,#172747,#100408,.T.); #215965=EDGE_CURVE('',#172748,#172749,#100409,.T.); #215966=EDGE_CURVE('',#172750,#172748,#100410,.T.); #215967=EDGE_CURVE('',#172751,#172749,#100411,.T.); #215968=EDGE_CURVE('',#172750,#172751,#100412,.T.); #215969=EDGE_CURVE('',#172752,#172750,#100413,.T.); #215970=EDGE_CURVE('',#172753,#172751,#100414,.T.); #215971=EDGE_CURVE('',#172752,#172753,#100415,.T.); #215972=EDGE_CURVE('',#172754,#172752,#100416,.T.); #215973=EDGE_CURVE('',#172755,#172753,#100417,.T.); #215974=EDGE_CURVE('',#172754,#172755,#100418,.T.); #215975=EDGE_CURVE('',#172756,#172754,#100419,.T.); #215976=EDGE_CURVE('',#172757,#172755,#100420,.T.); #215977=EDGE_CURVE('',#172756,#172757,#100421,.T.); #215978=EDGE_CURVE('',#172758,#172756,#100422,.T.); #215979=EDGE_CURVE('',#172759,#172757,#100423,.T.); #215980=EDGE_CURVE('',#172758,#172759,#100424,.T.); #215981=EDGE_CURVE('',#172760,#172758,#100425,.T.); #215982=EDGE_CURVE('',#172761,#172759,#100426,.T.); #215983=EDGE_CURVE('',#172760,#172761,#100427,.T.); #215984=EDGE_CURVE('',#172762,#172760,#100428,.T.); #215985=EDGE_CURVE('',#172763,#172761,#100429,.T.); #215986=EDGE_CURVE('',#172762,#172763,#100430,.T.); #215987=EDGE_CURVE('',#172764,#172762,#100431,.T.); #215988=EDGE_CURVE('',#172765,#172763,#100432,.T.); #215989=EDGE_CURVE('',#172764,#172765,#100433,.T.); #215990=EDGE_CURVE('',#172766,#172764,#100434,.T.); #215991=EDGE_CURVE('',#172767,#172765,#100435,.T.); #215992=EDGE_CURVE('',#172766,#172767,#100436,.T.); #215993=EDGE_CURVE('',#172768,#172766,#100437,.T.); #215994=EDGE_CURVE('',#172769,#172767,#100438,.T.); #215995=EDGE_CURVE('',#172768,#172769,#100439,.T.); #215996=EDGE_CURVE('',#172770,#172768,#100440,.T.); #215997=EDGE_CURVE('',#172771,#172769,#100441,.T.); #215998=EDGE_CURVE('',#172770,#172771,#100442,.T.); #215999=EDGE_CURVE('',#172772,#172770,#100443,.T.); #216000=EDGE_CURVE('',#172773,#172771,#100444,.T.); #216001=EDGE_CURVE('',#172772,#172773,#100445,.T.); #216002=EDGE_CURVE('',#172774,#172772,#100446,.T.); #216003=EDGE_CURVE('',#172775,#172773,#100447,.T.); #216004=EDGE_CURVE('',#172774,#172775,#100448,.T.); #216005=EDGE_CURVE('',#172776,#172774,#100449,.T.); #216006=EDGE_CURVE('',#172777,#172775,#100450,.T.); #216007=EDGE_CURVE('',#172776,#172777,#100451,.T.); #216008=EDGE_CURVE('',#172778,#172776,#100452,.T.); #216009=EDGE_CURVE('',#172779,#172777,#100453,.T.); #216010=EDGE_CURVE('',#172778,#172779,#100454,.T.); #216011=EDGE_CURVE('',#172780,#172778,#100455,.T.); #216012=EDGE_CURVE('',#172781,#172779,#100456,.T.); #216013=EDGE_CURVE('',#172780,#172781,#100457,.T.); #216014=EDGE_CURVE('',#172639,#172780,#100458,.T.); #216015=EDGE_CURVE('',#172640,#172781,#100459,.T.); #216016=EDGE_CURVE('',#172782,#172783,#100460,.T.); #216017=EDGE_CURVE('',#172783,#172784,#100461,.T.); #216018=EDGE_CURVE('',#172785,#172784,#100462,.T.); #216019=EDGE_CURVE('',#172782,#172785,#100463,.T.); #216020=EDGE_CURVE('',#172786,#172782,#100464,.T.); #216021=EDGE_CURVE('',#172787,#172785,#100465,.T.); #216022=EDGE_CURVE('',#172786,#172787,#100466,.T.); #216023=EDGE_CURVE('',#172788,#172786,#100467,.T.); #216024=EDGE_CURVE('',#172789,#172787,#100468,.T.); #216025=EDGE_CURVE('',#172788,#172789,#100469,.T.); #216026=EDGE_CURVE('',#172790,#172788,#100470,.T.); #216027=EDGE_CURVE('',#172791,#172789,#100471,.T.); #216028=EDGE_CURVE('',#172790,#172791,#100472,.T.); #216029=EDGE_CURVE('',#172792,#172790,#100473,.T.); #216030=EDGE_CURVE('',#172793,#172791,#100474,.T.); #216031=EDGE_CURVE('',#172792,#172793,#100475,.T.); #216032=EDGE_CURVE('',#172794,#172792,#100476,.T.); #216033=EDGE_CURVE('',#172795,#172793,#100477,.T.); #216034=EDGE_CURVE('',#172794,#172795,#100478,.T.); #216035=EDGE_CURVE('',#172796,#172794,#100479,.T.); #216036=EDGE_CURVE('',#172797,#172795,#100480,.T.); #216037=EDGE_CURVE('',#172796,#172797,#100481,.T.); #216038=EDGE_CURVE('',#172798,#172796,#100482,.T.); #216039=EDGE_CURVE('',#172799,#172797,#100483,.T.); #216040=EDGE_CURVE('',#172798,#172799,#100484,.T.); #216041=EDGE_CURVE('',#172800,#172798,#100485,.T.); #216042=EDGE_CURVE('',#172801,#172799,#100486,.T.); #216043=EDGE_CURVE('',#172800,#172801,#100487,.T.); #216044=EDGE_CURVE('',#172802,#172800,#100488,.T.); #216045=EDGE_CURVE('',#172803,#172801,#100489,.T.); #216046=EDGE_CURVE('',#172802,#172803,#100490,.T.); #216047=EDGE_CURVE('',#172804,#172802,#100491,.T.); #216048=EDGE_CURVE('',#172805,#172803,#100492,.T.); #216049=EDGE_CURVE('',#172804,#172805,#100493,.T.); #216050=EDGE_CURVE('',#172806,#172804,#100494,.T.); #216051=EDGE_CURVE('',#172807,#172805,#100495,.T.); #216052=EDGE_CURVE('',#172806,#172807,#100496,.T.); #216053=EDGE_CURVE('',#172808,#172806,#100497,.T.); #216054=EDGE_CURVE('',#172809,#172807,#100498,.T.); #216055=EDGE_CURVE('',#172808,#172809,#100499,.T.); #216056=EDGE_CURVE('',#172810,#172808,#100500,.T.); #216057=EDGE_CURVE('',#172811,#172809,#100501,.T.); #216058=EDGE_CURVE('',#172810,#172811,#100502,.T.); #216059=EDGE_CURVE('',#172812,#172810,#100503,.T.); #216060=EDGE_CURVE('',#172813,#172811,#100504,.T.); #216061=EDGE_CURVE('',#172812,#172813,#100505,.T.); #216062=EDGE_CURVE('',#172814,#172812,#100506,.T.); #216063=EDGE_CURVE('',#172815,#172813,#100507,.T.); #216064=EDGE_CURVE('',#172814,#172815,#100508,.T.); #216065=EDGE_CURVE('',#172816,#172814,#100509,.T.); #216066=EDGE_CURVE('',#172817,#172815,#100510,.T.); #216067=EDGE_CURVE('',#172816,#172817,#100511,.T.); #216068=EDGE_CURVE('',#172818,#172816,#100512,.T.); #216069=EDGE_CURVE('',#172819,#172817,#100513,.T.); #216070=EDGE_CURVE('',#172818,#172819,#100514,.T.); #216071=EDGE_CURVE('',#172820,#172818,#100515,.T.); #216072=EDGE_CURVE('',#172821,#172819,#100516,.T.); #216073=EDGE_CURVE('',#172820,#172821,#100517,.T.); #216074=EDGE_CURVE('',#172822,#172820,#100518,.T.); #216075=EDGE_CURVE('',#172823,#172821,#100519,.T.); #216076=EDGE_CURVE('',#172822,#172823,#100520,.T.); #216077=EDGE_CURVE('',#172824,#172822,#100521,.T.); #216078=EDGE_CURVE('',#172825,#172823,#100522,.T.); #216079=EDGE_CURVE('',#172824,#172825,#100523,.T.); #216080=EDGE_CURVE('',#172826,#172824,#100524,.T.); #216081=EDGE_CURVE('',#172827,#172825,#100525,.T.); #216082=EDGE_CURVE('',#172826,#172827,#100526,.T.); #216083=EDGE_CURVE('',#172828,#172826,#100527,.T.); #216084=EDGE_CURVE('',#172829,#172827,#100528,.T.); #216085=EDGE_CURVE('',#172828,#172829,#100529,.T.); #216086=EDGE_CURVE('',#172830,#172828,#100530,.T.); #216087=EDGE_CURVE('',#172831,#172829,#100531,.T.); #216088=EDGE_CURVE('',#172830,#172831,#100532,.T.); #216089=EDGE_CURVE('',#172832,#172830,#100533,.T.); #216090=EDGE_CURVE('',#172833,#172831,#100534,.T.); #216091=EDGE_CURVE('',#172832,#172833,#100535,.T.); #216092=EDGE_CURVE('',#172834,#172832,#100536,.T.); #216093=EDGE_CURVE('',#172835,#172833,#100537,.T.); #216094=EDGE_CURVE('',#172834,#172835,#100538,.T.); #216095=EDGE_CURVE('',#172836,#172834,#100539,.T.); #216096=EDGE_CURVE('',#172837,#172835,#100540,.T.); #216097=EDGE_CURVE('',#172836,#172837,#100541,.T.); #216098=EDGE_CURVE('',#172783,#172836,#100542,.T.); #216099=EDGE_CURVE('',#172784,#172837,#100543,.T.); #216100=EDGE_CURVE('',#172838,#172838,#68933,.T.); #216101=EDGE_CURVE('',#172838,#172839,#100544,.T.); #216102=EDGE_CURVE('',#172839,#172839,#68934,.T.); #216103=EDGE_CURVE('',#172840,#172840,#68935,.T.); #216104=EDGE_CURVE('',#172840,#172841,#100545,.T.); #216105=EDGE_CURVE('',#172841,#172841,#68936,.T.); #216106=EDGE_CURVE('',#172842,#172842,#68937,.T.); #216107=EDGE_CURVE('',#172842,#172843,#100546,.T.); #216108=EDGE_CURVE('',#172843,#172843,#68938,.T.); #216109=EDGE_CURVE('',#172844,#172844,#68939,.T.); #216110=EDGE_CURVE('',#172844,#172845,#100547,.T.); #216111=EDGE_CURVE('',#172845,#172845,#68940,.T.); #216112=EDGE_CURVE('',#172846,#172846,#68941,.T.); #216113=EDGE_CURVE('',#172846,#172847,#100548,.T.); #216114=EDGE_CURVE('',#172847,#172847,#68942,.T.); #216115=EDGE_CURVE('',#172848,#172848,#68943,.T.); #216116=EDGE_CURVE('',#172848,#172849,#100549,.T.); #216117=EDGE_CURVE('',#172849,#172849,#68944,.T.); #216118=EDGE_CURVE('',#172850,#172850,#68945,.T.); #216119=EDGE_CURVE('',#172850,#172851,#100550,.T.); #216120=EDGE_CURVE('',#172851,#172851,#68946,.T.); #216121=EDGE_CURVE('',#172852,#172852,#68947,.T.); #216122=EDGE_CURVE('',#172852,#172853,#100551,.T.); #216123=EDGE_CURVE('',#172853,#172853,#68948,.T.); #216124=EDGE_CURVE('',#172854,#172854,#68949,.T.); #216125=EDGE_CURVE('',#172854,#172855,#100552,.T.); #216126=EDGE_CURVE('',#172855,#172855,#68950,.T.); #216127=EDGE_CURVE('',#172856,#172856,#68951,.T.); #216128=EDGE_CURVE('',#172856,#172857,#100553,.T.); #216129=EDGE_CURVE('',#172857,#172857,#68952,.T.); #216130=EDGE_CURVE('',#172858,#172858,#68953,.T.); #216131=EDGE_CURVE('',#172858,#172859,#100554,.T.); #216132=EDGE_CURVE('',#172859,#172859,#68954,.T.); #216133=EDGE_CURVE('',#172860,#172860,#68955,.T.); #216134=EDGE_CURVE('',#172860,#172861,#100555,.T.); #216135=EDGE_CURVE('',#172861,#172861,#68956,.T.); #216136=EDGE_CURVE('',#172862,#172862,#68957,.T.); #216137=EDGE_CURVE('',#172862,#172863,#100556,.T.); #216138=EDGE_CURVE('',#172863,#172863,#68958,.T.); #216139=EDGE_CURVE('',#172864,#172864,#68959,.T.); #216140=EDGE_CURVE('',#172864,#172865,#100557,.T.); #216141=EDGE_CURVE('',#172865,#172865,#68960,.T.); #216142=EDGE_CURVE('',#172866,#172866,#68961,.T.); #216143=EDGE_CURVE('',#172866,#172867,#100558,.T.); #216144=EDGE_CURVE('',#172867,#172867,#68962,.T.); #216145=EDGE_CURVE('',#172868,#172868,#68963,.T.); #216146=EDGE_CURVE('',#172868,#172869,#100559,.T.); #216147=EDGE_CURVE('',#172869,#172869,#68964,.T.); #216148=EDGE_CURVE('',#172870,#172871,#100560,.T.); #216149=EDGE_CURVE('',#172871,#172872,#100561,.T.); #216150=EDGE_CURVE('',#172873,#172872,#100562,.T.); #216151=EDGE_CURVE('',#172870,#172873,#100563,.T.); #216152=EDGE_CURVE('',#172874,#172870,#100564,.T.); #216153=EDGE_CURVE('',#172875,#172873,#100565,.T.); #216154=EDGE_CURVE('',#172874,#172875,#100566,.T.); #216155=EDGE_CURVE('',#172876,#172874,#100567,.T.); #216156=EDGE_CURVE('',#172877,#172875,#100568,.T.); #216157=EDGE_CURVE('',#172876,#172877,#100569,.T.); #216158=EDGE_CURVE('',#172878,#172876,#100570,.T.); #216159=EDGE_CURVE('',#172879,#172877,#100571,.T.); #216160=EDGE_CURVE('',#172878,#172879,#100572,.T.); #216161=EDGE_CURVE('',#172880,#172878,#100573,.T.); #216162=EDGE_CURVE('',#172881,#172879,#100574,.T.); #216163=EDGE_CURVE('',#172880,#172881,#100575,.T.); #216164=EDGE_CURVE('',#172882,#172880,#100576,.T.); #216165=EDGE_CURVE('',#172883,#172881,#100577,.T.); #216166=EDGE_CURVE('',#172882,#172883,#100578,.T.); #216167=EDGE_CURVE('',#172884,#172882,#100579,.T.); #216168=EDGE_CURVE('',#172885,#172883,#100580,.T.); #216169=EDGE_CURVE('',#172884,#172885,#100581,.T.); #216170=EDGE_CURVE('',#172886,#172884,#100582,.T.); #216171=EDGE_CURVE('',#172887,#172885,#100583,.T.); #216172=EDGE_CURVE('',#172886,#172887,#100584,.T.); #216173=EDGE_CURVE('',#172888,#172886,#100585,.T.); #216174=EDGE_CURVE('',#172889,#172887,#100586,.T.); #216175=EDGE_CURVE('',#172888,#172889,#100587,.T.); #216176=EDGE_CURVE('',#172890,#172888,#100588,.T.); #216177=EDGE_CURVE('',#172891,#172889,#100589,.T.); #216178=EDGE_CURVE('',#172890,#172891,#100590,.T.); #216179=EDGE_CURVE('',#172892,#172890,#100591,.T.); #216180=EDGE_CURVE('',#172893,#172891,#100592,.T.); #216181=EDGE_CURVE('',#172892,#172893,#100593,.T.); #216182=EDGE_CURVE('',#172894,#172892,#100594,.T.); #216183=EDGE_CURVE('',#172895,#172893,#100595,.T.); #216184=EDGE_CURVE('',#172894,#172895,#100596,.T.); #216185=EDGE_CURVE('',#172896,#172894,#100597,.T.); #216186=EDGE_CURVE('',#172897,#172895,#100598,.T.); #216187=EDGE_CURVE('',#172896,#172897,#100599,.T.); #216188=EDGE_CURVE('',#172898,#172896,#100600,.T.); #216189=EDGE_CURVE('',#172899,#172897,#100601,.T.); #216190=EDGE_CURVE('',#172898,#172899,#100602,.T.); #216191=EDGE_CURVE('',#172900,#172898,#100603,.T.); #216192=EDGE_CURVE('',#172901,#172899,#100604,.T.); #216193=EDGE_CURVE('',#172900,#172901,#100605,.T.); #216194=EDGE_CURVE('',#172902,#172900,#100606,.T.); #216195=EDGE_CURVE('',#172903,#172901,#100607,.T.); #216196=EDGE_CURVE('',#172902,#172903,#100608,.T.); #216197=EDGE_CURVE('',#172904,#172902,#100609,.T.); #216198=EDGE_CURVE('',#172905,#172903,#100610,.T.); #216199=EDGE_CURVE('',#172904,#172905,#100611,.T.); #216200=EDGE_CURVE('',#172906,#172904,#100612,.T.); #216201=EDGE_CURVE('',#172907,#172905,#100613,.T.); #216202=EDGE_CURVE('',#172906,#172907,#100614,.T.); #216203=EDGE_CURVE('',#172908,#172906,#100615,.T.); #216204=EDGE_CURVE('',#172909,#172907,#100616,.T.); #216205=EDGE_CURVE('',#172908,#172909,#100617,.T.); #216206=EDGE_CURVE('',#172910,#172908,#100618,.T.); #216207=EDGE_CURVE('',#172911,#172909,#100619,.T.); #216208=EDGE_CURVE('',#172910,#172911,#100620,.T.); #216209=EDGE_CURVE('',#172912,#172910,#100621,.T.); #216210=EDGE_CURVE('',#172913,#172911,#100622,.T.); #216211=EDGE_CURVE('',#172912,#172913,#100623,.T.); #216212=EDGE_CURVE('',#172914,#172912,#100624,.T.); #216213=EDGE_CURVE('',#172915,#172913,#100625,.T.); #216214=EDGE_CURVE('',#172914,#172915,#100626,.T.); #216215=EDGE_CURVE('',#172916,#172914,#100627,.T.); #216216=EDGE_CURVE('',#172917,#172915,#100628,.T.); #216217=EDGE_CURVE('',#172916,#172917,#100629,.T.); #216218=EDGE_CURVE('',#172918,#172916,#100630,.T.); #216219=EDGE_CURVE('',#172919,#172917,#100631,.T.); #216220=EDGE_CURVE('',#172918,#172919,#100632,.T.); #216221=EDGE_CURVE('',#172920,#172918,#100633,.T.); #216222=EDGE_CURVE('',#172921,#172919,#100634,.T.); #216223=EDGE_CURVE('',#172920,#172921,#100635,.T.); #216224=EDGE_CURVE('',#172922,#172920,#100636,.T.); #216225=EDGE_CURVE('',#172923,#172921,#100637,.T.); #216226=EDGE_CURVE('',#172922,#172923,#100638,.T.); #216227=EDGE_CURVE('',#172924,#172922,#100639,.T.); #216228=EDGE_CURVE('',#172925,#172923,#100640,.T.); #216229=EDGE_CURVE('',#172924,#172925,#100641,.T.); #216230=EDGE_CURVE('',#172926,#172924,#100642,.T.); #216231=EDGE_CURVE('',#172927,#172925,#100643,.T.); #216232=EDGE_CURVE('',#172926,#172927,#100644,.T.); #216233=EDGE_CURVE('',#172928,#172926,#100645,.T.); #216234=EDGE_CURVE('',#172929,#172927,#100646,.T.); #216235=EDGE_CURVE('',#172928,#172929,#100647,.T.); #216236=EDGE_CURVE('',#172930,#172928,#100648,.T.); #216237=EDGE_CURVE('',#172931,#172929,#100649,.T.); #216238=EDGE_CURVE('',#172930,#172931,#100650,.T.); #216239=EDGE_CURVE('',#172932,#172930,#100651,.T.); #216240=EDGE_CURVE('',#172933,#172931,#100652,.T.); #216241=EDGE_CURVE('',#172932,#172933,#100653,.T.); #216242=EDGE_CURVE('',#172934,#172932,#100654,.T.); #216243=EDGE_CURVE('',#172935,#172933,#100655,.T.); #216244=EDGE_CURVE('',#172934,#172935,#100656,.T.); #216245=EDGE_CURVE('',#172936,#172934,#100657,.T.); #216246=EDGE_CURVE('',#172937,#172935,#100658,.T.); #216247=EDGE_CURVE('',#172936,#172937,#100659,.T.); #216248=EDGE_CURVE('',#172938,#172936,#100660,.T.); #216249=EDGE_CURVE('',#172939,#172937,#100661,.T.); #216250=EDGE_CURVE('',#172938,#172939,#100662,.T.); #216251=EDGE_CURVE('',#172940,#172938,#100663,.T.); #216252=EDGE_CURVE('',#172941,#172939,#100664,.T.); #216253=EDGE_CURVE('',#172940,#172941,#100665,.T.); #216254=EDGE_CURVE('',#172942,#172940,#100666,.T.); #216255=EDGE_CURVE('',#172943,#172941,#100667,.T.); #216256=EDGE_CURVE('',#172942,#172943,#100668,.T.); #216257=EDGE_CURVE('',#172944,#172942,#100669,.T.); #216258=EDGE_CURVE('',#172945,#172943,#100670,.T.); #216259=EDGE_CURVE('',#172944,#172945,#100671,.T.); #216260=EDGE_CURVE('',#172946,#172944,#100672,.T.); #216261=EDGE_CURVE('',#172947,#172945,#100673,.T.); #216262=EDGE_CURVE('',#172946,#172947,#100674,.T.); #216263=EDGE_CURVE('',#172948,#172946,#100675,.T.); #216264=EDGE_CURVE('',#172949,#172947,#100676,.T.); #216265=EDGE_CURVE('',#172948,#172949,#100677,.T.); #216266=EDGE_CURVE('',#172950,#172948,#100678,.T.); #216267=EDGE_CURVE('',#172951,#172949,#100679,.T.); #216268=EDGE_CURVE('',#172950,#172951,#100680,.T.); #216269=EDGE_CURVE('',#172952,#172950,#100681,.T.); #216270=EDGE_CURVE('',#172953,#172951,#100682,.T.); #216271=EDGE_CURVE('',#172952,#172953,#100683,.T.); #216272=EDGE_CURVE('',#172954,#172952,#100684,.T.); #216273=EDGE_CURVE('',#172955,#172953,#100685,.T.); #216274=EDGE_CURVE('',#172954,#172955,#100686,.T.); #216275=EDGE_CURVE('',#172956,#172954,#100687,.T.); #216276=EDGE_CURVE('',#172957,#172955,#100688,.T.); #216277=EDGE_CURVE('',#172956,#172957,#100689,.T.); #216278=EDGE_CURVE('',#172958,#172956,#100690,.T.); #216279=EDGE_CURVE('',#172959,#172957,#100691,.T.); #216280=EDGE_CURVE('',#172958,#172959,#100692,.T.); #216281=EDGE_CURVE('',#172960,#172958,#100693,.T.); #216282=EDGE_CURVE('',#172961,#172959,#100694,.T.); #216283=EDGE_CURVE('',#172960,#172961,#100695,.T.); #216284=EDGE_CURVE('',#172962,#172960,#100696,.T.); #216285=EDGE_CURVE('',#172963,#172961,#100697,.T.); #216286=EDGE_CURVE('',#172962,#172963,#100698,.T.); #216287=EDGE_CURVE('',#172964,#172962,#100699,.T.); #216288=EDGE_CURVE('',#172965,#172963,#100700,.T.); #216289=EDGE_CURVE('',#172964,#172965,#100701,.T.); #216290=EDGE_CURVE('',#172966,#172964,#100702,.T.); #216291=EDGE_CURVE('',#172967,#172965,#100703,.T.); #216292=EDGE_CURVE('',#172966,#172967,#100704,.T.); #216293=EDGE_CURVE('',#172968,#172966,#100705,.T.); #216294=EDGE_CURVE('',#172969,#172967,#100706,.T.); #216295=EDGE_CURVE('',#172968,#172969,#100707,.T.); #216296=EDGE_CURVE('',#172970,#172968,#100708,.T.); #216297=EDGE_CURVE('',#172971,#172969,#100709,.T.); #216298=EDGE_CURVE('',#172970,#172971,#100710,.T.); #216299=EDGE_CURVE('',#172972,#172970,#100711,.T.); #216300=EDGE_CURVE('',#172973,#172971,#100712,.T.); #216301=EDGE_CURVE('',#172972,#172973,#100713,.T.); #216302=EDGE_CURVE('',#172974,#172972,#100714,.T.); #216303=EDGE_CURVE('',#172975,#172973,#100715,.T.); #216304=EDGE_CURVE('',#172974,#172975,#100716,.T.); #216305=EDGE_CURVE('',#172976,#172974,#100717,.T.); #216306=EDGE_CURVE('',#172977,#172975,#100718,.T.); #216307=EDGE_CURVE('',#172976,#172977,#100719,.T.); #216308=EDGE_CURVE('',#172978,#172976,#100720,.T.); #216309=EDGE_CURVE('',#172979,#172977,#100721,.T.); #216310=EDGE_CURVE('',#172978,#172979,#100722,.T.); #216311=EDGE_CURVE('',#172980,#172978,#100723,.T.); #216312=EDGE_CURVE('',#172981,#172979,#100724,.T.); #216313=EDGE_CURVE('',#172980,#172981,#100725,.T.); #216314=EDGE_CURVE('',#172982,#172980,#100726,.T.); #216315=EDGE_CURVE('',#172983,#172981,#100727,.T.); #216316=EDGE_CURVE('',#172982,#172983,#100728,.T.); #216317=EDGE_CURVE('',#172984,#172982,#100729,.T.); #216318=EDGE_CURVE('',#172985,#172983,#100730,.T.); #216319=EDGE_CURVE('',#172984,#172985,#100731,.T.); #216320=EDGE_CURVE('',#172986,#172984,#100732,.T.); #216321=EDGE_CURVE('',#172987,#172985,#100733,.T.); #216322=EDGE_CURVE('',#172986,#172987,#100734,.T.); #216323=EDGE_CURVE('',#172988,#172986,#100735,.T.); #216324=EDGE_CURVE('',#172989,#172987,#100736,.T.); #216325=EDGE_CURVE('',#172988,#172989,#100737,.T.); #216326=EDGE_CURVE('',#172990,#172988,#100738,.T.); #216327=EDGE_CURVE('',#172991,#172989,#100739,.T.); #216328=EDGE_CURVE('',#172990,#172991,#100740,.T.); #216329=EDGE_CURVE('',#172992,#172990,#100741,.T.); #216330=EDGE_CURVE('',#172993,#172991,#100742,.T.); #216331=EDGE_CURVE('',#172992,#172993,#100743,.T.); #216332=EDGE_CURVE('',#172994,#172992,#100744,.T.); #216333=EDGE_CURVE('',#172995,#172993,#100745,.T.); #216334=EDGE_CURVE('',#172994,#172995,#100746,.T.); #216335=EDGE_CURVE('',#172996,#172994,#100747,.T.); #216336=EDGE_CURVE('',#172997,#172995,#100748,.T.); #216337=EDGE_CURVE('',#172996,#172997,#100749,.T.); #216338=EDGE_CURVE('',#172998,#172996,#100750,.T.); #216339=EDGE_CURVE('',#172999,#172997,#100751,.T.); #216340=EDGE_CURVE('',#172998,#172999,#100752,.T.); #216341=EDGE_CURVE('',#173000,#172998,#100753,.T.); #216342=EDGE_CURVE('',#173001,#172999,#100754,.T.); #216343=EDGE_CURVE('',#173000,#173001,#100755,.T.); #216344=EDGE_CURVE('',#173002,#173000,#100756,.T.); #216345=EDGE_CURVE('',#173003,#173001,#100757,.T.); #216346=EDGE_CURVE('',#173002,#173003,#100758,.T.); #216347=EDGE_CURVE('',#173004,#173002,#100759,.T.); #216348=EDGE_CURVE('',#173005,#173003,#100760,.T.); #216349=EDGE_CURVE('',#173004,#173005,#100761,.T.); #216350=EDGE_CURVE('',#173006,#173004,#100762,.T.); #216351=EDGE_CURVE('',#173007,#173005,#100763,.T.); #216352=EDGE_CURVE('',#173006,#173007,#100764,.T.); #216353=EDGE_CURVE('',#173008,#173006,#100765,.T.); #216354=EDGE_CURVE('',#173009,#173007,#100766,.T.); #216355=EDGE_CURVE('',#173008,#173009,#100767,.T.); #216356=EDGE_CURVE('',#173010,#173008,#100768,.T.); #216357=EDGE_CURVE('',#173011,#173009,#100769,.T.); #216358=EDGE_CURVE('',#173010,#173011,#100770,.T.); #216359=EDGE_CURVE('',#173012,#173010,#100771,.T.); #216360=EDGE_CURVE('',#173013,#173011,#100772,.T.); #216361=EDGE_CURVE('',#173012,#173013,#100773,.T.); #216362=EDGE_CURVE('',#173014,#173012,#100774,.T.); #216363=EDGE_CURVE('',#173015,#173013,#100775,.T.); #216364=EDGE_CURVE('',#173014,#173015,#100776,.T.); #216365=EDGE_CURVE('',#173016,#173014,#100777,.T.); #216366=EDGE_CURVE('',#173017,#173015,#100778,.T.); #216367=EDGE_CURVE('',#173016,#173017,#100779,.T.); #216368=EDGE_CURVE('',#172871,#173016,#100780,.T.); #216369=EDGE_CURVE('',#172872,#173017,#100781,.T.); #216370=EDGE_CURVE('',#173018,#173018,#68965,.T.); #216371=EDGE_CURVE('',#173018,#173019,#100782,.T.); #216372=EDGE_CURVE('',#173019,#173019,#68966,.T.); #216373=EDGE_CURVE('',#173020,#173020,#68967,.T.); #216374=EDGE_CURVE('',#173020,#173021,#100783,.T.); #216375=EDGE_CURVE('',#173021,#173021,#68968,.T.); #216376=EDGE_CURVE('',#173022,#173022,#68969,.T.); #216377=EDGE_CURVE('',#173022,#173023,#100784,.T.); #216378=EDGE_CURVE('',#173023,#173023,#68970,.T.); #216379=EDGE_CURVE('',#173024,#173024,#68971,.T.); #216380=EDGE_CURVE('',#173024,#173025,#100785,.T.); #216381=EDGE_CURVE('',#173025,#173025,#68972,.T.); #216382=EDGE_CURVE('',#173026,#173026,#68973,.T.); #216383=EDGE_CURVE('',#173026,#173027,#100786,.T.); #216384=EDGE_CURVE('',#173027,#173027,#68974,.T.); #216385=EDGE_CURVE('',#173028,#173028,#68975,.T.); #216386=EDGE_CURVE('',#173028,#173029,#100787,.T.); #216387=EDGE_CURVE('',#173029,#173029,#68976,.T.); #216388=EDGE_CURVE('',#173030,#173030,#68977,.T.); #216389=EDGE_CURVE('',#173030,#173031,#100788,.T.); #216390=EDGE_CURVE('',#173031,#173031,#68978,.T.); #216391=EDGE_CURVE('',#173032,#173032,#68979,.T.); #216392=EDGE_CURVE('',#173032,#173033,#100789,.T.); #216393=EDGE_CURVE('',#173033,#173033,#68980,.T.); #216394=EDGE_CURVE('',#173034,#173034,#68981,.T.); #216395=EDGE_CURVE('',#173034,#173035,#100790,.T.); #216396=EDGE_CURVE('',#173035,#173035,#68982,.T.); #216397=EDGE_CURVE('',#173036,#173036,#68983,.T.); #216398=EDGE_CURVE('',#173036,#173037,#100791,.T.); #216399=EDGE_CURVE('',#173037,#173037,#68984,.T.); #216400=EDGE_CURVE('',#173038,#173038,#68985,.T.); #216401=EDGE_CURVE('',#173038,#173039,#100792,.T.); #216402=EDGE_CURVE('',#173039,#173039,#68986,.T.); #216403=EDGE_CURVE('',#173040,#173040,#68987,.T.); #216404=EDGE_CURVE('',#173040,#173041,#100793,.T.); #216405=EDGE_CURVE('',#173041,#173041,#68988,.T.); #216406=EDGE_CURVE('',#173042,#173042,#68989,.T.); #216407=EDGE_CURVE('',#173042,#173043,#100794,.T.); #216408=EDGE_CURVE('',#173043,#173043,#68990,.T.); #216409=EDGE_CURVE('',#173044,#173044,#68991,.T.); #216410=EDGE_CURVE('',#173044,#173045,#100795,.T.); #216411=EDGE_CURVE('',#173045,#173045,#68992,.T.); #216412=EDGE_CURVE('',#173046,#173046,#68993,.T.); #216413=EDGE_CURVE('',#173046,#173047,#100796,.T.); #216414=EDGE_CURVE('',#173047,#173047,#68994,.T.); #216415=EDGE_CURVE('',#173048,#173048,#68995,.T.); #216416=EDGE_CURVE('',#173048,#173049,#100797,.T.); #216417=EDGE_CURVE('',#173049,#173049,#68996,.T.); #216418=EDGE_CURVE('',#173050,#173051,#100798,.T.); #216419=EDGE_CURVE('',#173051,#173052,#100799,.T.); #216420=EDGE_CURVE('',#173053,#173052,#100800,.T.); #216421=EDGE_CURVE('',#173050,#173053,#100801,.T.); #216422=EDGE_CURVE('',#173054,#173050,#100802,.T.); #216423=EDGE_CURVE('',#173055,#173053,#100803,.T.); #216424=EDGE_CURVE('',#173054,#173055,#100804,.T.); #216425=EDGE_CURVE('',#173056,#173054,#100805,.T.); #216426=EDGE_CURVE('',#173057,#173055,#100806,.T.); #216427=EDGE_CURVE('',#173056,#173057,#100807,.T.); #216428=EDGE_CURVE('',#173058,#173056,#100808,.T.); #216429=EDGE_CURVE('',#173059,#173057,#100809,.T.); #216430=EDGE_CURVE('',#173058,#173059,#100810,.T.); #216431=EDGE_CURVE('',#173060,#173058,#100811,.T.); #216432=EDGE_CURVE('',#173061,#173059,#100812,.T.); #216433=EDGE_CURVE('',#173060,#173061,#100813,.T.); #216434=EDGE_CURVE('',#173062,#173060,#100814,.T.); #216435=EDGE_CURVE('',#173063,#173061,#100815,.T.); #216436=EDGE_CURVE('',#173062,#173063,#100816,.T.); #216437=EDGE_CURVE('',#173064,#173062,#100817,.T.); #216438=EDGE_CURVE('',#173065,#173063,#100818,.T.); #216439=EDGE_CURVE('',#173064,#173065,#100819,.T.); #216440=EDGE_CURVE('',#173066,#173064,#100820,.T.); #216441=EDGE_CURVE('',#173067,#173065,#100821,.T.); #216442=EDGE_CURVE('',#173066,#173067,#100822,.T.); #216443=EDGE_CURVE('',#173068,#173066,#100823,.T.); #216444=EDGE_CURVE('',#173069,#173067,#100824,.T.); #216445=EDGE_CURVE('',#173068,#173069,#100825,.T.); #216446=EDGE_CURVE('',#173070,#173068,#100826,.T.); #216447=EDGE_CURVE('',#173071,#173069,#100827,.T.); #216448=EDGE_CURVE('',#173070,#173071,#100828,.T.); #216449=EDGE_CURVE('',#173072,#173070,#100829,.T.); #216450=EDGE_CURVE('',#173073,#173071,#100830,.T.); #216451=EDGE_CURVE('',#173072,#173073,#100831,.T.); #216452=EDGE_CURVE('',#173074,#173072,#100832,.T.); #216453=EDGE_CURVE('',#173075,#173073,#100833,.T.); #216454=EDGE_CURVE('',#173074,#173075,#100834,.T.); #216455=EDGE_CURVE('',#173076,#173074,#100835,.T.); #216456=EDGE_CURVE('',#173077,#173075,#100836,.T.); #216457=EDGE_CURVE('',#173076,#173077,#100837,.T.); #216458=EDGE_CURVE('',#173078,#173076,#100838,.T.); #216459=EDGE_CURVE('',#173079,#173077,#100839,.T.); #216460=EDGE_CURVE('',#173078,#173079,#100840,.T.); #216461=EDGE_CURVE('',#173080,#173078,#100841,.T.); #216462=EDGE_CURVE('',#173081,#173079,#100842,.T.); #216463=EDGE_CURVE('',#173080,#173081,#100843,.T.); #216464=EDGE_CURVE('',#173082,#173080,#100844,.T.); #216465=EDGE_CURVE('',#173083,#173081,#100845,.T.); #216466=EDGE_CURVE('',#173082,#173083,#100846,.T.); #216467=EDGE_CURVE('',#173084,#173082,#100847,.T.); #216468=EDGE_CURVE('',#173085,#173083,#100848,.T.); #216469=EDGE_CURVE('',#173084,#173085,#100849,.T.); #216470=EDGE_CURVE('',#173086,#173084,#100850,.T.); #216471=EDGE_CURVE('',#173087,#173085,#100851,.T.); #216472=EDGE_CURVE('',#173086,#173087,#100852,.T.); #216473=EDGE_CURVE('',#173088,#173086,#100853,.T.); #216474=EDGE_CURVE('',#173089,#173087,#100854,.T.); #216475=EDGE_CURVE('',#173088,#173089,#100855,.T.); #216476=EDGE_CURVE('',#173090,#173088,#100856,.T.); #216477=EDGE_CURVE('',#173091,#173089,#100857,.T.); #216478=EDGE_CURVE('',#173090,#173091,#100858,.T.); #216479=EDGE_CURVE('',#173092,#173090,#100859,.T.); #216480=EDGE_CURVE('',#173093,#173091,#100860,.T.); #216481=EDGE_CURVE('',#173092,#173093,#100861,.T.); #216482=EDGE_CURVE('',#173094,#173092,#100862,.T.); #216483=EDGE_CURVE('',#173095,#173093,#100863,.T.); #216484=EDGE_CURVE('',#173094,#173095,#100864,.T.); #216485=EDGE_CURVE('',#173096,#173094,#100865,.T.); #216486=EDGE_CURVE('',#173097,#173095,#100866,.T.); #216487=EDGE_CURVE('',#173096,#173097,#100867,.T.); #216488=EDGE_CURVE('',#173098,#173096,#100868,.T.); #216489=EDGE_CURVE('',#173099,#173097,#100869,.T.); #216490=EDGE_CURVE('',#173098,#173099,#100870,.T.); #216491=EDGE_CURVE('',#173100,#173098,#100871,.T.); #216492=EDGE_CURVE('',#173101,#173099,#100872,.T.); #216493=EDGE_CURVE('',#173100,#173101,#100873,.T.); #216494=EDGE_CURVE('',#173102,#173100,#100874,.T.); #216495=EDGE_CURVE('',#173103,#173101,#100875,.T.); #216496=EDGE_CURVE('',#173102,#173103,#100876,.T.); #216497=EDGE_CURVE('',#173104,#173102,#100877,.T.); #216498=EDGE_CURVE('',#173105,#173103,#100878,.T.); #216499=EDGE_CURVE('',#173104,#173105,#100879,.T.); #216500=EDGE_CURVE('',#173106,#173104,#100880,.T.); #216501=EDGE_CURVE('',#173107,#173105,#100881,.T.); #216502=EDGE_CURVE('',#173106,#173107,#100882,.T.); #216503=EDGE_CURVE('',#173108,#173106,#100883,.T.); #216504=EDGE_CURVE('',#173109,#173107,#100884,.T.); #216505=EDGE_CURVE('',#173108,#173109,#100885,.T.); #216506=EDGE_CURVE('',#173110,#173108,#100886,.T.); #216507=EDGE_CURVE('',#173111,#173109,#100887,.T.); #216508=EDGE_CURVE('',#173110,#173111,#100888,.T.); #216509=EDGE_CURVE('',#173112,#173110,#100889,.T.); #216510=EDGE_CURVE('',#173113,#173111,#100890,.T.); #216511=EDGE_CURVE('',#173112,#173113,#100891,.T.); #216512=EDGE_CURVE('',#173114,#173112,#100892,.T.); #216513=EDGE_CURVE('',#173115,#173113,#100893,.T.); #216514=EDGE_CURVE('',#173114,#173115,#100894,.T.); #216515=EDGE_CURVE('',#173116,#173114,#100895,.T.); #216516=EDGE_CURVE('',#173117,#173115,#100896,.T.); #216517=EDGE_CURVE('',#173116,#173117,#100897,.T.); #216518=EDGE_CURVE('',#173118,#173116,#100898,.T.); #216519=EDGE_CURVE('',#173119,#173117,#100899,.T.); #216520=EDGE_CURVE('',#173118,#173119,#100900,.T.); #216521=EDGE_CURVE('',#173120,#173118,#100901,.T.); #216522=EDGE_CURVE('',#173121,#173119,#100902,.T.); #216523=EDGE_CURVE('',#173120,#173121,#100903,.T.); #216524=EDGE_CURVE('',#173122,#173120,#100904,.T.); #216525=EDGE_CURVE('',#173123,#173121,#100905,.T.); #216526=EDGE_CURVE('',#173122,#173123,#100906,.T.); #216527=EDGE_CURVE('',#173124,#173122,#100907,.T.); #216528=EDGE_CURVE('',#173125,#173123,#100908,.T.); #216529=EDGE_CURVE('',#173124,#173125,#100909,.T.); #216530=EDGE_CURVE('',#173126,#173124,#100910,.T.); #216531=EDGE_CURVE('',#173127,#173125,#100911,.T.); #216532=EDGE_CURVE('',#173126,#173127,#100912,.T.); #216533=EDGE_CURVE('',#173128,#173126,#100913,.T.); #216534=EDGE_CURVE('',#173129,#173127,#100914,.T.); #216535=EDGE_CURVE('',#173128,#173129,#100915,.T.); #216536=EDGE_CURVE('',#173130,#173128,#100916,.T.); #216537=EDGE_CURVE('',#173131,#173129,#100917,.T.); #216538=EDGE_CURVE('',#173130,#173131,#100918,.T.); #216539=EDGE_CURVE('',#173132,#173130,#100919,.T.); #216540=EDGE_CURVE('',#173133,#173131,#100920,.T.); #216541=EDGE_CURVE('',#173132,#173133,#100921,.T.); #216542=EDGE_CURVE('',#173134,#173132,#100922,.T.); #216543=EDGE_CURVE('',#173135,#173133,#100923,.T.); #216544=EDGE_CURVE('',#173134,#173135,#100924,.T.); #216545=EDGE_CURVE('',#173136,#173134,#100925,.T.); #216546=EDGE_CURVE('',#173137,#173135,#100926,.T.); #216547=EDGE_CURVE('',#173136,#173137,#100927,.T.); #216548=EDGE_CURVE('',#173138,#173136,#100928,.T.); #216549=EDGE_CURVE('',#173139,#173137,#100929,.T.); #216550=EDGE_CURVE('',#173138,#173139,#100930,.T.); #216551=EDGE_CURVE('',#173140,#173138,#100931,.T.); #216552=EDGE_CURVE('',#173141,#173139,#100932,.T.); #216553=EDGE_CURVE('',#173140,#173141,#100933,.T.); #216554=EDGE_CURVE('',#173142,#173140,#100934,.T.); #216555=EDGE_CURVE('',#173143,#173141,#100935,.T.); #216556=EDGE_CURVE('',#173142,#173143,#100936,.T.); #216557=EDGE_CURVE('',#173144,#173142,#100937,.T.); #216558=EDGE_CURVE('',#173145,#173143,#100938,.T.); #216559=EDGE_CURVE('',#173144,#173145,#100939,.T.); #216560=EDGE_CURVE('',#173146,#173144,#100940,.T.); #216561=EDGE_CURVE('',#173147,#173145,#100941,.T.); #216562=EDGE_CURVE('',#173146,#173147,#100942,.T.); #216563=EDGE_CURVE('',#173148,#173146,#100943,.T.); #216564=EDGE_CURVE('',#173149,#173147,#100944,.T.); #216565=EDGE_CURVE('',#173148,#173149,#100945,.T.); #216566=EDGE_CURVE('',#173150,#173148,#100946,.T.); #216567=EDGE_CURVE('',#173151,#173149,#100947,.T.); #216568=EDGE_CURVE('',#173150,#173151,#100948,.T.); #216569=EDGE_CURVE('',#173152,#173150,#100949,.T.); #216570=EDGE_CURVE('',#173153,#173151,#100950,.T.); #216571=EDGE_CURVE('',#173152,#173153,#100951,.T.); #216572=EDGE_CURVE('',#173154,#173152,#100952,.T.); #216573=EDGE_CURVE('',#173155,#173153,#100953,.T.); #216574=EDGE_CURVE('',#173154,#173155,#100954,.T.); #216575=EDGE_CURVE('',#173156,#173154,#100955,.T.); #216576=EDGE_CURVE('',#173157,#173155,#100956,.T.); #216577=EDGE_CURVE('',#173156,#173157,#100957,.T.); #216578=EDGE_CURVE('',#173158,#173156,#100958,.T.); #216579=EDGE_CURVE('',#173159,#173157,#100959,.T.); #216580=EDGE_CURVE('',#173158,#173159,#100960,.T.); #216581=EDGE_CURVE('',#173160,#173158,#100961,.T.); #216582=EDGE_CURVE('',#173161,#173159,#100962,.T.); #216583=EDGE_CURVE('',#173160,#173161,#100963,.T.); #216584=EDGE_CURVE('',#173162,#173160,#100964,.T.); #216585=EDGE_CURVE('',#173163,#173161,#100965,.T.); #216586=EDGE_CURVE('',#173162,#173163,#100966,.T.); #216587=EDGE_CURVE('',#173164,#173162,#100967,.T.); #216588=EDGE_CURVE('',#173165,#173163,#100968,.T.); #216589=EDGE_CURVE('',#173164,#173165,#100969,.T.); #216590=EDGE_CURVE('',#173166,#173164,#100970,.T.); #216591=EDGE_CURVE('',#173167,#173165,#100971,.T.); #216592=EDGE_CURVE('',#173166,#173167,#100972,.T.); #216593=EDGE_CURVE('',#173168,#173166,#100973,.T.); #216594=EDGE_CURVE('',#173169,#173167,#100974,.T.); #216595=EDGE_CURVE('',#173168,#173169,#100975,.T.); #216596=EDGE_CURVE('',#173170,#173168,#100976,.T.); #216597=EDGE_CURVE('',#173171,#173169,#100977,.T.); #216598=EDGE_CURVE('',#173170,#173171,#100978,.T.); #216599=EDGE_CURVE('',#173172,#173170,#100979,.T.); #216600=EDGE_CURVE('',#173173,#173171,#100980,.T.); #216601=EDGE_CURVE('',#173172,#173173,#100981,.T.); #216602=EDGE_CURVE('',#173174,#173172,#100982,.T.); #216603=EDGE_CURVE('',#173175,#173173,#100983,.T.); #216604=EDGE_CURVE('',#173174,#173175,#100984,.T.); #216605=EDGE_CURVE('',#173176,#173174,#100985,.T.); #216606=EDGE_CURVE('',#173177,#173175,#100986,.T.); #216607=EDGE_CURVE('',#173176,#173177,#100987,.T.); #216608=EDGE_CURVE('',#173178,#173176,#100988,.T.); #216609=EDGE_CURVE('',#173179,#173177,#100989,.T.); #216610=EDGE_CURVE('',#173178,#173179,#100990,.T.); #216611=EDGE_CURVE('',#173180,#173178,#100991,.T.); #216612=EDGE_CURVE('',#173181,#173179,#100992,.T.); #216613=EDGE_CURVE('',#173180,#173181,#100993,.T.); #216614=EDGE_CURVE('',#173182,#173180,#100994,.T.); #216615=EDGE_CURVE('',#173183,#173181,#100995,.T.); #216616=EDGE_CURVE('',#173182,#173183,#100996,.T.); #216617=EDGE_CURVE('',#173184,#173182,#100997,.T.); #216618=EDGE_CURVE('',#173185,#173183,#100998,.T.); #216619=EDGE_CURVE('',#173184,#173185,#100999,.T.); #216620=EDGE_CURVE('',#173186,#173184,#101000,.T.); #216621=EDGE_CURVE('',#173187,#173185,#101001,.T.); #216622=EDGE_CURVE('',#173186,#173187,#101002,.T.); #216623=EDGE_CURVE('',#173188,#173186,#101003,.T.); #216624=EDGE_CURVE('',#173189,#173187,#101004,.T.); #216625=EDGE_CURVE('',#173188,#173189,#101005,.T.); #216626=EDGE_CURVE('',#173051,#173188,#101006,.T.); #216627=EDGE_CURVE('',#173052,#173189,#101007,.T.); #216628=EDGE_CURVE('',#173190,#173190,#68997,.T.); #216629=EDGE_CURVE('',#173190,#173191,#101008,.T.); #216630=EDGE_CURVE('',#173191,#173191,#68998,.T.); #216631=EDGE_CURVE('',#173192,#173192,#68999,.T.); #216632=EDGE_CURVE('',#173192,#173193,#101009,.T.); #216633=EDGE_CURVE('',#173193,#173193,#69000,.T.); #216634=EDGE_CURVE('',#173194,#173194,#69001,.T.); #216635=EDGE_CURVE('',#173194,#173195,#101010,.T.); #216636=EDGE_CURVE('',#173195,#173195,#69002,.T.); #216637=EDGE_CURVE('',#173196,#173196,#69003,.T.); #216638=EDGE_CURVE('',#173196,#173197,#101011,.T.); #216639=EDGE_CURVE('',#173197,#173197,#69004,.T.); #216640=EDGE_CURVE('',#173198,#173198,#69005,.T.); #216641=EDGE_CURVE('',#173198,#173199,#101012,.T.); #216642=EDGE_CURVE('',#173199,#173199,#69006,.T.); #216643=EDGE_CURVE('',#173200,#173200,#69007,.T.); #216644=EDGE_CURVE('',#173200,#173201,#101013,.T.); #216645=EDGE_CURVE('',#173201,#173201,#69008,.T.); #216646=EDGE_CURVE('',#173202,#173202,#69009,.T.); #216647=EDGE_CURVE('',#173202,#173203,#101014,.T.); #216648=EDGE_CURVE('',#173203,#173203,#69010,.T.); #216649=EDGE_CURVE('',#173204,#173204,#69011,.T.); #216650=EDGE_CURVE('',#173204,#173205,#101015,.T.); #216651=EDGE_CURVE('',#173205,#173205,#69012,.T.); #216652=EDGE_CURVE('',#173206,#173206,#69013,.T.); #216653=EDGE_CURVE('',#173206,#173207,#101016,.T.); #216654=EDGE_CURVE('',#173207,#173207,#69014,.T.); #216655=EDGE_CURVE('',#173208,#173208,#69015,.T.); #216656=EDGE_CURVE('',#173208,#173209,#101017,.T.); #216657=EDGE_CURVE('',#173209,#173209,#69016,.T.); #216658=EDGE_CURVE('',#173210,#173210,#69017,.T.); #216659=EDGE_CURVE('',#173210,#173211,#101018,.T.); #216660=EDGE_CURVE('',#173211,#173211,#69018,.T.); #216661=EDGE_CURVE('',#173212,#173212,#69019,.T.); #216662=EDGE_CURVE('',#173212,#173213,#101019,.T.); #216663=EDGE_CURVE('',#173213,#173213,#69020,.T.); #216664=EDGE_CURVE('',#173214,#173214,#69021,.T.); #216665=EDGE_CURVE('',#173214,#173215,#101020,.T.); #216666=EDGE_CURVE('',#173215,#173215,#69022,.T.); #216667=EDGE_CURVE('',#173216,#173216,#69023,.T.); #216668=EDGE_CURVE('',#173216,#173217,#101021,.T.); #216669=EDGE_CURVE('',#173217,#173217,#69024,.T.); #216670=EDGE_CURVE('',#173218,#173218,#69025,.T.); #216671=EDGE_CURVE('',#173218,#173219,#101022,.T.); #216672=EDGE_CURVE('',#173219,#173219,#69026,.T.); #216673=EDGE_CURVE('',#173220,#173220,#69027,.T.); #216674=EDGE_CURVE('',#173220,#173221,#101023,.T.); #216675=EDGE_CURVE('',#173221,#173221,#69028,.T.); #216676=EDGE_CURVE('',#173222,#173222,#69029,.T.); #216677=EDGE_CURVE('',#173222,#173223,#101024,.T.); #216678=EDGE_CURVE('',#173223,#173223,#69030,.T.); #216679=EDGE_CURVE('',#173224,#173224,#69031,.T.); #216680=EDGE_CURVE('',#173224,#173225,#101025,.T.); #216681=EDGE_CURVE('',#173225,#173225,#69032,.T.); #216682=EDGE_CURVE('',#173226,#173226,#69033,.T.); #216683=EDGE_CURVE('',#173226,#173227,#101026,.T.); #216684=EDGE_CURVE('',#173227,#173227,#69034,.T.); #216685=EDGE_CURVE('',#173228,#173228,#69035,.T.); #216686=EDGE_CURVE('',#173228,#173229,#101027,.T.); #216687=EDGE_CURVE('',#173229,#173229,#69036,.T.); #216688=EDGE_CURVE('',#173230,#173230,#69037,.T.); #216689=EDGE_CURVE('',#173230,#173231,#101028,.T.); #216690=EDGE_CURVE('',#173231,#173231,#69038,.T.); #216691=EDGE_CURVE('',#173232,#173232,#69039,.T.); #216692=EDGE_CURVE('',#173232,#173233,#101029,.T.); #216693=EDGE_CURVE('',#173233,#173233,#69040,.T.); #216694=EDGE_CURVE('',#173234,#173234,#69041,.T.); #216695=EDGE_CURVE('',#173234,#173235,#101030,.T.); #216696=EDGE_CURVE('',#173235,#173235,#69042,.T.); #216697=EDGE_CURVE('',#173236,#173236,#69043,.T.); #216698=EDGE_CURVE('',#173236,#173237,#101031,.T.); #216699=EDGE_CURVE('',#173237,#173237,#69044,.T.); #216700=EDGE_CURVE('',#173238,#173238,#69045,.T.); #216701=EDGE_CURVE('',#173238,#173239,#101032,.T.); #216702=EDGE_CURVE('',#173239,#173239,#69046,.T.); #216703=EDGE_CURVE('',#173240,#173240,#69047,.T.); #216704=EDGE_CURVE('',#173240,#173241,#101033,.T.); #216705=EDGE_CURVE('',#173241,#173241,#69048,.T.); #216706=EDGE_CURVE('',#173242,#173242,#69049,.T.); #216707=EDGE_CURVE('',#173242,#173243,#101034,.T.); #216708=EDGE_CURVE('',#173243,#173243,#69050,.T.); #216709=EDGE_CURVE('',#173244,#173244,#69051,.T.); #216710=EDGE_CURVE('',#173244,#173245,#101035,.T.); #216711=EDGE_CURVE('',#173245,#173245,#69052,.T.); #216712=EDGE_CURVE('',#173246,#173246,#69053,.T.); #216713=EDGE_CURVE('',#173246,#173247,#101036,.T.); #216714=EDGE_CURVE('',#173247,#173247,#69054,.T.); #216715=EDGE_CURVE('',#173248,#173248,#69055,.T.); #216716=EDGE_CURVE('',#173248,#173249,#101037,.T.); #216717=EDGE_CURVE('',#173249,#173249,#69056,.T.); #216718=EDGE_CURVE('',#173250,#173251,#101038,.T.); #216719=EDGE_CURVE('',#173251,#173252,#101039,.T.); #216720=EDGE_CURVE('',#173253,#173252,#101040,.T.); #216721=EDGE_CURVE('',#173250,#173253,#101041,.T.); #216722=EDGE_CURVE('',#173254,#173250,#101042,.T.); #216723=EDGE_CURVE('',#173255,#173253,#101043,.T.); #216724=EDGE_CURVE('',#173254,#173255,#101044,.T.); #216725=EDGE_CURVE('',#173256,#173254,#101045,.T.); #216726=EDGE_CURVE('',#173257,#173255,#101046,.T.); #216727=EDGE_CURVE('',#173256,#173257,#101047,.T.); #216728=EDGE_CURVE('',#173258,#173256,#101048,.T.); #216729=EDGE_CURVE('',#173259,#173257,#101049,.T.); #216730=EDGE_CURVE('',#173258,#173259,#101050,.T.); #216731=EDGE_CURVE('',#173260,#173258,#101051,.T.); #216732=EDGE_CURVE('',#173261,#173259,#101052,.T.); #216733=EDGE_CURVE('',#173260,#173261,#101053,.T.); #216734=EDGE_CURVE('',#173262,#173260,#101054,.T.); #216735=EDGE_CURVE('',#173263,#173261,#101055,.T.); #216736=EDGE_CURVE('',#173262,#173263,#101056,.T.); #216737=EDGE_CURVE('',#173264,#173262,#101057,.T.); #216738=EDGE_CURVE('',#173265,#173263,#101058,.T.); #216739=EDGE_CURVE('',#173264,#173265,#101059,.T.); #216740=EDGE_CURVE('',#173266,#173264,#101060,.T.); #216741=EDGE_CURVE('',#173267,#173265,#101061,.T.); #216742=EDGE_CURVE('',#173266,#173267,#101062,.T.); #216743=EDGE_CURVE('',#173268,#173266,#101063,.T.); #216744=EDGE_CURVE('',#173269,#173267,#101064,.T.); #216745=EDGE_CURVE('',#173268,#173269,#101065,.T.); #216746=EDGE_CURVE('',#173270,#173268,#101066,.T.); #216747=EDGE_CURVE('',#173271,#173269,#101067,.T.); #216748=EDGE_CURVE('',#173270,#173271,#101068,.T.); #216749=EDGE_CURVE('',#173272,#173270,#101069,.T.); #216750=EDGE_CURVE('',#173273,#173271,#101070,.T.); #216751=EDGE_CURVE('',#173272,#173273,#101071,.T.); #216752=EDGE_CURVE('',#173274,#173272,#101072,.T.); #216753=EDGE_CURVE('',#173275,#173273,#101073,.T.); #216754=EDGE_CURVE('',#173274,#173275,#101074,.T.); #216755=EDGE_CURVE('',#173276,#173274,#101075,.T.); #216756=EDGE_CURVE('',#173277,#173275,#101076,.T.); #216757=EDGE_CURVE('',#173276,#173277,#101077,.T.); #216758=EDGE_CURVE('',#173278,#173276,#101078,.T.); #216759=EDGE_CURVE('',#173279,#173277,#101079,.T.); #216760=EDGE_CURVE('',#173278,#173279,#101080,.T.); #216761=EDGE_CURVE('',#173280,#173278,#101081,.T.); #216762=EDGE_CURVE('',#173281,#173279,#101082,.T.); #216763=EDGE_CURVE('',#173280,#173281,#101083,.T.); #216764=EDGE_CURVE('',#173282,#173280,#101084,.T.); #216765=EDGE_CURVE('',#173283,#173281,#101085,.T.); #216766=EDGE_CURVE('',#173282,#173283,#101086,.T.); #216767=EDGE_CURVE('',#173284,#173282,#101087,.T.); #216768=EDGE_CURVE('',#173285,#173283,#101088,.T.); #216769=EDGE_CURVE('',#173284,#173285,#101089,.T.); #216770=EDGE_CURVE('',#173286,#173284,#101090,.T.); #216771=EDGE_CURVE('',#173287,#173285,#101091,.T.); #216772=EDGE_CURVE('',#173286,#173287,#101092,.T.); #216773=EDGE_CURVE('',#173288,#173286,#101093,.T.); #216774=EDGE_CURVE('',#173289,#173287,#101094,.T.); #216775=EDGE_CURVE('',#173288,#173289,#101095,.T.); #216776=EDGE_CURVE('',#173290,#173288,#101096,.T.); #216777=EDGE_CURVE('',#173291,#173289,#101097,.T.); #216778=EDGE_CURVE('',#173290,#173291,#101098,.T.); #216779=EDGE_CURVE('',#173292,#173290,#101099,.T.); #216780=EDGE_CURVE('',#173293,#173291,#101100,.T.); #216781=EDGE_CURVE('',#173292,#173293,#101101,.T.); #216782=EDGE_CURVE('',#173294,#173292,#101102,.T.); #216783=EDGE_CURVE('',#173295,#173293,#101103,.T.); #216784=EDGE_CURVE('',#173294,#173295,#101104,.T.); #216785=EDGE_CURVE('',#173296,#173294,#101105,.T.); #216786=EDGE_CURVE('',#173297,#173295,#101106,.T.); #216787=EDGE_CURVE('',#173296,#173297,#101107,.T.); #216788=EDGE_CURVE('',#173298,#173296,#101108,.T.); #216789=EDGE_CURVE('',#173299,#173297,#101109,.T.); #216790=EDGE_CURVE('',#173298,#173299,#101110,.T.); #216791=EDGE_CURVE('',#173300,#173298,#101111,.T.); #216792=EDGE_CURVE('',#173301,#173299,#101112,.T.); #216793=EDGE_CURVE('',#173300,#173301,#101113,.T.); #216794=EDGE_CURVE('',#173302,#173300,#101114,.T.); #216795=EDGE_CURVE('',#173303,#173301,#101115,.T.); #216796=EDGE_CURVE('',#173302,#173303,#101116,.T.); #216797=EDGE_CURVE('',#173304,#173302,#101117,.T.); #216798=EDGE_CURVE('',#173305,#173303,#101118,.T.); #216799=EDGE_CURVE('',#173304,#173305,#101119,.T.); #216800=EDGE_CURVE('',#173306,#173304,#101120,.T.); #216801=EDGE_CURVE('',#173307,#173305,#101121,.T.); #216802=EDGE_CURVE('',#173306,#173307,#101122,.T.); #216803=EDGE_CURVE('',#173308,#173306,#101123,.T.); #216804=EDGE_CURVE('',#173309,#173307,#101124,.T.); #216805=EDGE_CURVE('',#173308,#173309,#101125,.T.); #216806=EDGE_CURVE('',#173310,#173308,#101126,.T.); #216807=EDGE_CURVE('',#173311,#173309,#101127,.T.); #216808=EDGE_CURVE('',#173310,#173311,#101128,.T.); #216809=EDGE_CURVE('',#173312,#173310,#101129,.T.); #216810=EDGE_CURVE('',#173313,#173311,#101130,.T.); #216811=EDGE_CURVE('',#173312,#173313,#101131,.T.); #216812=EDGE_CURVE('',#173314,#173312,#101132,.T.); #216813=EDGE_CURVE('',#173315,#173313,#101133,.T.); #216814=EDGE_CURVE('',#173314,#173315,#101134,.T.); #216815=EDGE_CURVE('',#173316,#173314,#101135,.T.); #216816=EDGE_CURVE('',#173317,#173315,#101136,.T.); #216817=EDGE_CURVE('',#173316,#173317,#101137,.T.); #216818=EDGE_CURVE('',#173318,#173316,#101138,.T.); #216819=EDGE_CURVE('',#173319,#173317,#101139,.T.); #216820=EDGE_CURVE('',#173318,#173319,#101140,.T.); #216821=EDGE_CURVE('',#173320,#173318,#101141,.T.); #216822=EDGE_CURVE('',#173321,#173319,#101142,.T.); #216823=EDGE_CURVE('',#173320,#173321,#101143,.T.); #216824=EDGE_CURVE('',#173322,#173320,#101144,.T.); #216825=EDGE_CURVE('',#173323,#173321,#101145,.T.); #216826=EDGE_CURVE('',#173322,#173323,#101146,.T.); #216827=EDGE_CURVE('',#173324,#173322,#101147,.T.); #216828=EDGE_CURVE('',#173325,#173323,#101148,.T.); #216829=EDGE_CURVE('',#173324,#173325,#101149,.T.); #216830=EDGE_CURVE('',#173326,#173324,#101150,.T.); #216831=EDGE_CURVE('',#173327,#173325,#101151,.T.); #216832=EDGE_CURVE('',#173326,#173327,#101152,.T.); #216833=EDGE_CURVE('',#173328,#173326,#101153,.T.); #216834=EDGE_CURVE('',#173329,#173327,#101154,.T.); #216835=EDGE_CURVE('',#173328,#173329,#101155,.T.); #216836=EDGE_CURVE('',#173330,#173328,#101156,.T.); #216837=EDGE_CURVE('',#173331,#173329,#101157,.T.); #216838=EDGE_CURVE('',#173330,#173331,#101158,.T.); #216839=EDGE_CURVE('',#173332,#173330,#101159,.T.); #216840=EDGE_CURVE('',#173333,#173331,#101160,.T.); #216841=EDGE_CURVE('',#173332,#173333,#101161,.T.); #216842=EDGE_CURVE('',#173334,#173332,#101162,.T.); #216843=EDGE_CURVE('',#173335,#173333,#101163,.T.); #216844=EDGE_CURVE('',#173334,#173335,#101164,.T.); #216845=EDGE_CURVE('',#173336,#173334,#101165,.T.); #216846=EDGE_CURVE('',#173337,#173335,#101166,.T.); #216847=EDGE_CURVE('',#173336,#173337,#101167,.T.); #216848=EDGE_CURVE('',#173338,#173336,#101168,.T.); #216849=EDGE_CURVE('',#173339,#173337,#101169,.T.); #216850=EDGE_CURVE('',#173338,#173339,#101170,.T.); #216851=EDGE_CURVE('',#173251,#173338,#101171,.T.); #216852=EDGE_CURVE('',#173252,#173339,#101172,.T.); #216853=EDGE_CURVE('',#173340,#173340,#69057,.T.); #216854=EDGE_CURVE('',#173340,#173341,#101173,.T.); #216855=EDGE_CURVE('',#173341,#173341,#69058,.T.); #216856=EDGE_CURVE('',#173342,#173342,#69059,.T.); #216857=EDGE_CURVE('',#173342,#173343,#101174,.T.); #216858=EDGE_CURVE('',#173343,#173343,#69060,.T.); #216859=EDGE_CURVE('',#173344,#173345,#101175,.T.); #216860=EDGE_CURVE('',#173345,#173346,#101176,.T.); #216861=EDGE_CURVE('',#173347,#173346,#101177,.T.); #216862=EDGE_CURVE('',#173344,#173347,#101178,.T.); #216863=EDGE_CURVE('',#173348,#173344,#69061,.T.); #216864=EDGE_CURVE('',#173349,#173347,#69062,.T.); #216865=EDGE_CURVE('',#173348,#173349,#101179,.T.); #216866=EDGE_CURVE('',#173350,#173348,#101180,.T.); #216867=EDGE_CURVE('',#173351,#173349,#101181,.T.); #216868=EDGE_CURVE('',#173350,#173351,#101182,.T.); #216869=EDGE_CURVE('',#173352,#173350,#69063,.T.); #216870=EDGE_CURVE('',#173353,#173351,#69064,.T.); #216871=EDGE_CURVE('',#173352,#173353,#101183,.T.); #216872=EDGE_CURVE('',#173354,#173352,#69065,.T.); #216873=EDGE_CURVE('',#173355,#173353,#69066,.T.); #216874=EDGE_CURVE('',#173354,#173355,#101184,.T.); #216875=EDGE_CURVE('',#173356,#173354,#101185,.T.); #216876=EDGE_CURVE('',#173357,#173355,#101186,.T.); #216877=EDGE_CURVE('',#173356,#173357,#101187,.T.); #216878=EDGE_CURVE('',#173345,#173356,#69067,.T.); #216879=EDGE_CURVE('',#173346,#173357,#69068,.T.); #216880=EDGE_CURVE('',#173358,#173358,#69069,.T.); #216881=EDGE_CURVE('',#173358,#173359,#101188,.T.); #216882=EDGE_CURVE('',#173359,#173359,#69070,.T.); #216883=EDGE_CURVE('',#173360,#173360,#69071,.T.); #216884=EDGE_CURVE('',#173360,#173361,#101189,.T.); #216885=EDGE_CURVE('',#173361,#173361,#69072,.T.); #216886=EDGE_CURVE('',#173362,#173362,#69073,.T.); #216887=EDGE_CURVE('',#173362,#173363,#101190,.T.); #216888=EDGE_CURVE('',#173363,#173363,#69074,.T.); #216889=EDGE_CURVE('',#173364,#173364,#69075,.T.); #216890=EDGE_CURVE('',#173364,#173365,#101191,.T.); #216891=EDGE_CURVE('',#173365,#173365,#69076,.T.); #216892=EDGE_CURVE('',#173366,#173366,#69077,.T.); #216893=EDGE_CURVE('',#173366,#173367,#101192,.T.); #216894=EDGE_CURVE('',#173367,#173367,#69078,.T.); #216895=EDGE_CURVE('',#173368,#173368,#69079,.T.); #216896=EDGE_CURVE('',#173368,#173369,#101193,.T.); #216897=EDGE_CURVE('',#173369,#173369,#69080,.T.); #216898=EDGE_CURVE('',#173370,#173370,#69081,.T.); #216899=EDGE_CURVE('',#173370,#173371,#101194,.T.); #216900=EDGE_CURVE('',#173371,#173371,#69082,.T.); #216901=EDGE_CURVE('',#173372,#173372,#69083,.T.); #216902=EDGE_CURVE('',#173372,#173373,#101195,.T.); #216903=EDGE_CURVE('',#173373,#173373,#69084,.T.); #216904=EDGE_CURVE('',#173374,#173374,#69085,.T.); #216905=EDGE_CURVE('',#173374,#173375,#101196,.T.); #216906=EDGE_CURVE('',#173375,#173375,#69086,.T.); #216907=EDGE_CURVE('',#173376,#173376,#69087,.T.); #216908=EDGE_CURVE('',#173376,#173377,#101197,.T.); #216909=EDGE_CURVE('',#173377,#173377,#69088,.T.); #216910=EDGE_CURVE('',#173378,#173379,#101198,.T.); #216911=EDGE_CURVE('',#173379,#173380,#101199,.T.); #216912=EDGE_CURVE('',#173381,#173380,#101200,.T.); #216913=EDGE_CURVE('',#173378,#173381,#101201,.T.); #216914=EDGE_CURVE('',#173382,#173378,#101202,.T.); #216915=EDGE_CURVE('',#173383,#173381,#101203,.T.); #216916=EDGE_CURVE('',#173382,#173383,#101204,.T.); #216917=EDGE_CURVE('',#173384,#173382,#101205,.T.); #216918=EDGE_CURVE('',#173385,#173383,#101206,.T.); #216919=EDGE_CURVE('',#173384,#173385,#101207,.T.); #216920=EDGE_CURVE('',#173386,#173384,#101208,.T.); #216921=EDGE_CURVE('',#173387,#173385,#101209,.T.); #216922=EDGE_CURVE('',#173386,#173387,#101210,.T.); #216923=EDGE_CURVE('',#173388,#173386,#101211,.T.); #216924=EDGE_CURVE('',#173389,#173387,#101212,.T.); #216925=EDGE_CURVE('',#173388,#173389,#101213,.T.); #216926=EDGE_CURVE('',#173390,#173388,#101214,.T.); #216927=EDGE_CURVE('',#173391,#173389,#101215,.T.); #216928=EDGE_CURVE('',#173390,#173391,#101216,.T.); #216929=EDGE_CURVE('',#173392,#173390,#101217,.T.); #216930=EDGE_CURVE('',#173393,#173391,#101218,.T.); #216931=EDGE_CURVE('',#173392,#173393,#101219,.T.); #216932=EDGE_CURVE('',#173394,#173392,#101220,.T.); #216933=EDGE_CURVE('',#173395,#173393,#101221,.T.); #216934=EDGE_CURVE('',#173394,#173395,#101222,.T.); #216935=EDGE_CURVE('',#173396,#173394,#101223,.T.); #216936=EDGE_CURVE('',#173397,#173395,#101224,.T.); #216937=EDGE_CURVE('',#173396,#173397,#101225,.T.); #216938=EDGE_CURVE('',#173398,#173396,#101226,.T.); #216939=EDGE_CURVE('',#173399,#173397,#101227,.T.); #216940=EDGE_CURVE('',#173398,#173399,#101228,.T.); #216941=EDGE_CURVE('',#173400,#173398,#101229,.T.); #216942=EDGE_CURVE('',#173401,#173399,#101230,.T.); #216943=EDGE_CURVE('',#173400,#173401,#101231,.T.); #216944=EDGE_CURVE('',#173402,#173400,#101232,.T.); #216945=EDGE_CURVE('',#173403,#173401,#101233,.T.); #216946=EDGE_CURVE('',#173402,#173403,#101234,.T.); #216947=EDGE_CURVE('',#173404,#173402,#101235,.T.); #216948=EDGE_CURVE('',#173405,#173403,#101236,.T.); #216949=EDGE_CURVE('',#173404,#173405,#101237,.T.); #216950=EDGE_CURVE('',#173406,#173404,#101238,.T.); #216951=EDGE_CURVE('',#173407,#173405,#101239,.T.); #216952=EDGE_CURVE('',#173406,#173407,#101240,.T.); #216953=EDGE_CURVE('',#173408,#173406,#101241,.T.); #216954=EDGE_CURVE('',#173409,#173407,#101242,.T.); #216955=EDGE_CURVE('',#173408,#173409,#101243,.T.); #216956=EDGE_CURVE('',#173410,#173408,#101244,.T.); #216957=EDGE_CURVE('',#173411,#173409,#101245,.T.); #216958=EDGE_CURVE('',#173410,#173411,#101246,.T.); #216959=EDGE_CURVE('',#173412,#173410,#101247,.T.); #216960=EDGE_CURVE('',#173413,#173411,#101248,.T.); #216961=EDGE_CURVE('',#173412,#173413,#101249,.T.); #216962=EDGE_CURVE('',#173414,#173412,#101250,.T.); #216963=EDGE_CURVE('',#173415,#173413,#101251,.T.); #216964=EDGE_CURVE('',#173414,#173415,#101252,.T.); #216965=EDGE_CURVE('',#173416,#173414,#101253,.T.); #216966=EDGE_CURVE('',#173417,#173415,#101254,.T.); #216967=EDGE_CURVE('',#173416,#173417,#101255,.T.); #216968=EDGE_CURVE('',#173418,#173416,#101256,.T.); #216969=EDGE_CURVE('',#173419,#173417,#101257,.T.); #216970=EDGE_CURVE('',#173418,#173419,#101258,.T.); #216971=EDGE_CURVE('',#173420,#173418,#101259,.T.); #216972=EDGE_CURVE('',#173421,#173419,#101260,.T.); #216973=EDGE_CURVE('',#173420,#173421,#101261,.T.); #216974=EDGE_CURVE('',#173422,#173420,#101262,.T.); #216975=EDGE_CURVE('',#173423,#173421,#101263,.T.); #216976=EDGE_CURVE('',#173422,#173423,#101264,.T.); #216977=EDGE_CURVE('',#173424,#173422,#101265,.T.); #216978=EDGE_CURVE('',#173425,#173423,#101266,.T.); #216979=EDGE_CURVE('',#173424,#173425,#101267,.T.); #216980=EDGE_CURVE('',#173379,#173424,#101268,.T.); #216981=EDGE_CURVE('',#173380,#173425,#101269,.T.); #216982=EDGE_CURVE('',#173426,#173426,#69089,.T.); #216983=EDGE_CURVE('',#173426,#173427,#101270,.T.); #216984=EDGE_CURVE('',#173427,#173427,#69090,.T.); #216985=EDGE_CURVE('',#173428,#173429,#101271,.T.); #216986=EDGE_CURVE('',#173429,#173430,#101272,.T.); #216987=EDGE_CURVE('',#173431,#173430,#101273,.T.); #216988=EDGE_CURVE('',#173428,#173431,#101274,.T.); #216989=EDGE_CURVE('',#173432,#173428,#69091,.T.); #216990=EDGE_CURVE('',#173433,#173431,#69092,.T.); #216991=EDGE_CURVE('',#173432,#173433,#101275,.T.); #216992=EDGE_CURVE('',#173429,#173432,#69093,.T.); #216993=EDGE_CURVE('',#173430,#173433,#69094,.T.); #216994=EDGE_CURVE('',#173434,#173434,#69095,.T.); #216995=EDGE_CURVE('',#173434,#173435,#101276,.T.); #216996=EDGE_CURVE('',#173435,#173435,#69096,.T.); #216997=EDGE_CURVE('',#173436,#173436,#69097,.T.); #216998=EDGE_CURVE('',#173436,#173437,#101277,.T.); #216999=EDGE_CURVE('',#173437,#173437,#69098,.T.); #217000=EDGE_CURVE('',#173438,#173438,#69099,.T.); #217001=EDGE_CURVE('',#173438,#173439,#101278,.T.); #217002=EDGE_CURVE('',#173439,#173439,#69100,.T.); #217003=EDGE_CURVE('',#173440,#173440,#69101,.T.); #217004=EDGE_CURVE('',#173440,#173441,#101279,.T.); #217005=EDGE_CURVE('',#173441,#173441,#69102,.T.); #217006=EDGE_CURVE('',#173442,#173442,#69103,.T.); #217007=EDGE_CURVE('',#173442,#173443,#101280,.T.); #217008=EDGE_CURVE('',#173443,#173443,#69104,.T.); #217009=EDGE_CURVE('',#173444,#173444,#69105,.T.); #217010=EDGE_CURVE('',#173444,#173445,#101281,.T.); #217011=EDGE_CURVE('',#173445,#173445,#69106,.T.); #217012=EDGE_CURVE('',#173446,#173446,#69107,.T.); #217013=EDGE_CURVE('',#173446,#173447,#101282,.T.); #217014=EDGE_CURVE('',#173447,#173447,#69108,.T.); #217015=EDGE_CURVE('',#173448,#173448,#69109,.T.); #217016=EDGE_CURVE('',#173448,#173449,#101283,.T.); #217017=EDGE_CURVE('',#173449,#173449,#69110,.T.); #217018=EDGE_CURVE('',#173450,#173450,#69111,.T.); #217019=EDGE_CURVE('',#173450,#173451,#101284,.T.); #217020=EDGE_CURVE('',#173451,#173451,#69112,.T.); #217021=EDGE_CURVE('',#173452,#173452,#69113,.T.); #217022=EDGE_CURVE('',#173452,#173453,#101285,.T.); #217023=EDGE_CURVE('',#173453,#173453,#69114,.T.); #217024=EDGE_CURVE('',#173454,#173454,#69115,.T.); #217025=EDGE_CURVE('',#173454,#173455,#101286,.T.); #217026=EDGE_CURVE('',#173455,#173455,#69116,.T.); #217027=EDGE_CURVE('',#173456,#173456,#69117,.T.); #217028=EDGE_CURVE('',#173456,#173457,#101287,.T.); #217029=EDGE_CURVE('',#173457,#173457,#69118,.T.); #217030=EDGE_CURVE('',#173458,#173458,#69119,.T.); #217031=EDGE_CURVE('',#173458,#173459,#101288,.T.); #217032=EDGE_CURVE('',#173459,#173459,#69120,.T.); #217033=EDGE_CURVE('',#173460,#173460,#69121,.T.); #217034=EDGE_CURVE('',#173460,#173461,#101289,.T.); #217035=EDGE_CURVE('',#173461,#173461,#69122,.T.); #217036=EDGE_CURVE('',#173462,#173462,#69123,.T.); #217037=EDGE_CURVE('',#173462,#173463,#101290,.T.); #217038=EDGE_CURVE('',#173463,#173463,#69124,.T.); #217039=EDGE_CURVE('',#173464,#173464,#69125,.T.); #217040=EDGE_CURVE('',#173464,#173465,#101291,.T.); #217041=EDGE_CURVE('',#173465,#173465,#69126,.T.); #217042=EDGE_CURVE('',#173466,#173466,#69127,.T.); #217043=EDGE_CURVE('',#173466,#173467,#101292,.T.); #217044=EDGE_CURVE('',#173467,#173467,#69128,.T.); #217045=EDGE_CURVE('',#173468,#173468,#69129,.T.); #217046=EDGE_CURVE('',#173468,#173469,#101293,.T.); #217047=EDGE_CURVE('',#173469,#173469,#69130,.T.); #217048=EDGE_CURVE('',#173470,#173470,#69131,.T.); #217049=EDGE_CURVE('',#173470,#173471,#101294,.T.); #217050=EDGE_CURVE('',#173471,#173471,#69132,.T.); #217051=EDGE_CURVE('',#173472,#173472,#69133,.T.); #217052=EDGE_CURVE('',#173472,#173473,#101295,.T.); #217053=EDGE_CURVE('',#173473,#173473,#69134,.T.); #217054=EDGE_CURVE('',#173474,#173474,#69135,.T.); #217055=EDGE_CURVE('',#173474,#173475,#101296,.T.); #217056=EDGE_CURVE('',#173475,#173475,#69136,.T.); #217057=EDGE_CURVE('',#173476,#173476,#69137,.T.); #217058=EDGE_CURVE('',#173476,#173477,#101297,.T.); #217059=EDGE_CURVE('',#173477,#173477,#69138,.T.); #217060=EDGE_CURVE('',#173478,#173478,#69139,.T.); #217061=EDGE_CURVE('',#173478,#173479,#101298,.T.); #217062=EDGE_CURVE('',#173479,#173479,#69140,.T.); #217063=EDGE_CURVE('',#173480,#173480,#69141,.T.); #217064=EDGE_CURVE('',#173480,#173481,#101299,.T.); #217065=EDGE_CURVE('',#173481,#173481,#69142,.T.); #217066=EDGE_CURVE('',#173482,#173482,#69143,.T.); #217067=EDGE_CURVE('',#173482,#173483,#101300,.T.); #217068=EDGE_CURVE('',#173483,#173483,#69144,.T.); #217069=EDGE_CURVE('',#173484,#173484,#69145,.T.); #217070=EDGE_CURVE('',#173484,#173485,#101301,.T.); #217071=EDGE_CURVE('',#173485,#173485,#69146,.T.); #217072=EDGE_CURVE('',#173486,#173486,#69147,.T.); #217073=EDGE_CURVE('',#173486,#173487,#101302,.T.); #217074=EDGE_CURVE('',#173487,#173487,#69148,.T.); #217075=EDGE_CURVE('',#173488,#173488,#69149,.T.); #217076=EDGE_CURVE('',#173488,#173489,#101303,.T.); #217077=EDGE_CURVE('',#173489,#173489,#69150,.T.); #217078=EDGE_CURVE('',#173490,#173490,#69151,.T.); #217079=EDGE_CURVE('',#173490,#173491,#101304,.T.); #217080=EDGE_CURVE('',#173491,#173491,#69152,.T.); #217081=EDGE_CURVE('',#173492,#173492,#69153,.T.); #217082=EDGE_CURVE('',#173492,#173493,#101305,.T.); #217083=EDGE_CURVE('',#173493,#173493,#69154,.T.); #217084=EDGE_CURVE('',#173494,#173495,#101306,.T.); #217085=EDGE_CURVE('',#173495,#173496,#101307,.T.); #217086=EDGE_CURVE('',#173497,#173496,#101308,.T.); #217087=EDGE_CURVE('',#173494,#173497,#101309,.T.); #217088=EDGE_CURVE('',#173498,#173494,#101310,.T.); #217089=EDGE_CURVE('',#173499,#173497,#101311,.T.); #217090=EDGE_CURVE('',#173498,#173499,#101312,.T.); #217091=EDGE_CURVE('',#173500,#173498,#101313,.T.); #217092=EDGE_CURVE('',#173501,#173499,#101314,.T.); #217093=EDGE_CURVE('',#173500,#173501,#101315,.T.); #217094=EDGE_CURVE('',#173502,#173500,#101316,.T.); #217095=EDGE_CURVE('',#173503,#173501,#101317,.T.); #217096=EDGE_CURVE('',#173502,#173503,#101318,.T.); #217097=EDGE_CURVE('',#173504,#173502,#101319,.T.); #217098=EDGE_CURVE('',#173505,#173503,#101320,.T.); #217099=EDGE_CURVE('',#173504,#173505,#101321,.T.); #217100=EDGE_CURVE('',#173506,#173504,#101322,.T.); #217101=EDGE_CURVE('',#173507,#173505,#101323,.T.); #217102=EDGE_CURVE('',#173506,#173507,#101324,.T.); #217103=EDGE_CURVE('',#173508,#173506,#101325,.T.); #217104=EDGE_CURVE('',#173509,#173507,#101326,.T.); #217105=EDGE_CURVE('',#173508,#173509,#101327,.T.); #217106=EDGE_CURVE('',#173510,#173508,#101328,.T.); #217107=EDGE_CURVE('',#173511,#173509,#101329,.T.); #217108=EDGE_CURVE('',#173510,#173511,#101330,.T.); #217109=EDGE_CURVE('',#173512,#173510,#101331,.T.); #217110=EDGE_CURVE('',#173513,#173511,#101332,.T.); #217111=EDGE_CURVE('',#173512,#173513,#101333,.T.); #217112=EDGE_CURVE('',#173514,#173512,#101334,.T.); #217113=EDGE_CURVE('',#173515,#173513,#101335,.T.); #217114=EDGE_CURVE('',#173514,#173515,#101336,.T.); #217115=EDGE_CURVE('',#173516,#173514,#101337,.T.); #217116=EDGE_CURVE('',#173517,#173515,#101338,.T.); #217117=EDGE_CURVE('',#173516,#173517,#101339,.T.); #217118=EDGE_CURVE('',#173518,#173516,#101340,.T.); #217119=EDGE_CURVE('',#173519,#173517,#101341,.T.); #217120=EDGE_CURVE('',#173518,#173519,#101342,.T.); #217121=EDGE_CURVE('',#173520,#173518,#101343,.T.); #217122=EDGE_CURVE('',#173521,#173519,#101344,.T.); #217123=EDGE_CURVE('',#173520,#173521,#101345,.T.); #217124=EDGE_CURVE('',#173522,#173520,#101346,.T.); #217125=EDGE_CURVE('',#173523,#173521,#101347,.T.); #217126=EDGE_CURVE('',#173522,#173523,#101348,.T.); #217127=EDGE_CURVE('',#173524,#173522,#101349,.T.); #217128=EDGE_CURVE('',#173525,#173523,#101350,.T.); #217129=EDGE_CURVE('',#173524,#173525,#101351,.T.); #217130=EDGE_CURVE('',#173526,#173524,#101352,.T.); #217131=EDGE_CURVE('',#173527,#173525,#101353,.T.); #217132=EDGE_CURVE('',#173526,#173527,#101354,.T.); #217133=EDGE_CURVE('',#173528,#173526,#101355,.T.); #217134=EDGE_CURVE('',#173529,#173527,#101356,.T.); #217135=EDGE_CURVE('',#173528,#173529,#101357,.T.); #217136=EDGE_CURVE('',#173530,#173528,#101358,.T.); #217137=EDGE_CURVE('',#173531,#173529,#101359,.T.); #217138=EDGE_CURVE('',#173530,#173531,#101360,.T.); #217139=EDGE_CURVE('',#173532,#173530,#101361,.T.); #217140=EDGE_CURVE('',#173533,#173531,#101362,.T.); #217141=EDGE_CURVE('',#173532,#173533,#101363,.T.); #217142=EDGE_CURVE('',#173534,#173532,#101364,.T.); #217143=EDGE_CURVE('',#173535,#173533,#101365,.T.); #217144=EDGE_CURVE('',#173534,#173535,#101366,.T.); #217145=EDGE_CURVE('',#173536,#173534,#101367,.T.); #217146=EDGE_CURVE('',#173537,#173535,#101368,.T.); #217147=EDGE_CURVE('',#173536,#173537,#101369,.T.); #217148=EDGE_CURVE('',#173538,#173536,#101370,.T.); #217149=EDGE_CURVE('',#173539,#173537,#101371,.T.); #217150=EDGE_CURVE('',#173538,#173539,#101372,.T.); #217151=EDGE_CURVE('',#173540,#173538,#101373,.T.); #217152=EDGE_CURVE('',#173541,#173539,#101374,.T.); #217153=EDGE_CURVE('',#173540,#173541,#101375,.T.); #217154=EDGE_CURVE('',#173542,#173540,#101376,.T.); #217155=EDGE_CURVE('',#173543,#173541,#101377,.T.); #217156=EDGE_CURVE('',#173542,#173543,#101378,.T.); #217157=EDGE_CURVE('',#173544,#173542,#101379,.T.); #217158=EDGE_CURVE('',#173545,#173543,#101380,.T.); #217159=EDGE_CURVE('',#173544,#173545,#101381,.T.); #217160=EDGE_CURVE('',#173546,#173544,#101382,.T.); #217161=EDGE_CURVE('',#173547,#173545,#101383,.T.); #217162=EDGE_CURVE('',#173546,#173547,#101384,.T.); #217163=EDGE_CURVE('',#173548,#173546,#101385,.T.); #217164=EDGE_CURVE('',#173549,#173547,#101386,.T.); #217165=EDGE_CURVE('',#173548,#173549,#101387,.T.); #217166=EDGE_CURVE('',#173550,#173548,#101388,.T.); #217167=EDGE_CURVE('',#173551,#173549,#101389,.T.); #217168=EDGE_CURVE('',#173550,#173551,#101390,.T.); #217169=EDGE_CURVE('',#173552,#173550,#101391,.T.); #217170=EDGE_CURVE('',#173553,#173551,#101392,.T.); #217171=EDGE_CURVE('',#173552,#173553,#101393,.T.); #217172=EDGE_CURVE('',#173554,#173552,#101394,.T.); #217173=EDGE_CURVE('',#173555,#173553,#101395,.T.); #217174=EDGE_CURVE('',#173554,#173555,#101396,.T.); #217175=EDGE_CURVE('',#173556,#173554,#101397,.T.); #217176=EDGE_CURVE('',#173557,#173555,#101398,.T.); #217177=EDGE_CURVE('',#173556,#173557,#101399,.T.); #217178=EDGE_CURVE('',#173558,#173556,#101400,.T.); #217179=EDGE_CURVE('',#173559,#173557,#101401,.T.); #217180=EDGE_CURVE('',#173558,#173559,#101402,.T.); #217181=EDGE_CURVE('',#173560,#173558,#101403,.T.); #217182=EDGE_CURVE('',#173561,#173559,#101404,.T.); #217183=EDGE_CURVE('',#173560,#173561,#101405,.T.); #217184=EDGE_CURVE('',#173562,#173560,#101406,.T.); #217185=EDGE_CURVE('',#173563,#173561,#101407,.T.); #217186=EDGE_CURVE('',#173562,#173563,#101408,.T.); #217187=EDGE_CURVE('',#173564,#173562,#101409,.T.); #217188=EDGE_CURVE('',#173565,#173563,#101410,.T.); #217189=EDGE_CURVE('',#173564,#173565,#101411,.T.); #217190=EDGE_CURVE('',#173566,#173564,#101412,.T.); #217191=EDGE_CURVE('',#173567,#173565,#101413,.T.); #217192=EDGE_CURVE('',#173566,#173567,#101414,.T.); #217193=EDGE_CURVE('',#173568,#173566,#101415,.T.); #217194=EDGE_CURVE('',#173569,#173567,#101416,.T.); #217195=EDGE_CURVE('',#173568,#173569,#101417,.T.); #217196=EDGE_CURVE('',#173570,#173568,#101418,.T.); #217197=EDGE_CURVE('',#173571,#173569,#101419,.T.); #217198=EDGE_CURVE('',#173570,#173571,#101420,.T.); #217199=EDGE_CURVE('',#173572,#173570,#101421,.T.); #217200=EDGE_CURVE('',#173573,#173571,#101422,.T.); #217201=EDGE_CURVE('',#173572,#173573,#101423,.T.); #217202=EDGE_CURVE('',#173574,#173572,#101424,.T.); #217203=EDGE_CURVE('',#173575,#173573,#101425,.T.); #217204=EDGE_CURVE('',#173574,#173575,#101426,.T.); #217205=EDGE_CURVE('',#173576,#173574,#101427,.T.); #217206=EDGE_CURVE('',#173577,#173575,#101428,.T.); #217207=EDGE_CURVE('',#173576,#173577,#101429,.T.); #217208=EDGE_CURVE('',#173578,#173576,#101430,.T.); #217209=EDGE_CURVE('',#173579,#173577,#101431,.T.); #217210=EDGE_CURVE('',#173578,#173579,#101432,.T.); #217211=EDGE_CURVE('',#173580,#173578,#101433,.T.); #217212=EDGE_CURVE('',#173581,#173579,#101434,.T.); #217213=EDGE_CURVE('',#173580,#173581,#101435,.T.); #217214=EDGE_CURVE('',#173582,#173580,#101436,.T.); #217215=EDGE_CURVE('',#173583,#173581,#101437,.T.); #217216=EDGE_CURVE('',#173582,#173583,#101438,.T.); #217217=EDGE_CURVE('',#173495,#173582,#101439,.T.); #217218=EDGE_CURVE('',#173496,#173583,#101440,.T.); #217219=EDGE_CURVE('',#173584,#173584,#69155,.T.); #217220=EDGE_CURVE('',#173584,#173585,#101441,.T.); #217221=EDGE_CURVE('',#173585,#173585,#69156,.T.); #217222=EDGE_CURVE('',#173586,#173586,#69157,.T.); #217223=EDGE_CURVE('',#173586,#173587,#101442,.T.); #217224=EDGE_CURVE('',#173587,#173587,#69158,.T.); #217225=EDGE_CURVE('',#173588,#173589,#101443,.T.); #217226=EDGE_CURVE('',#173589,#173590,#101444,.T.); #217227=EDGE_CURVE('',#173591,#173590,#101445,.T.); #217228=EDGE_CURVE('',#173588,#173591,#101446,.T.); #217229=EDGE_CURVE('',#173592,#173588,#69159,.T.); #217230=EDGE_CURVE('',#173593,#173591,#69160,.T.); #217231=EDGE_CURVE('',#173592,#173593,#101447,.T.); #217232=EDGE_CURVE('',#173594,#173592,#101448,.T.); #217233=EDGE_CURVE('',#173595,#173593,#101449,.T.); #217234=EDGE_CURVE('',#173594,#173595,#101450,.T.); #217235=EDGE_CURVE('',#173596,#173594,#69161,.T.); #217236=EDGE_CURVE('',#173597,#173595,#69162,.T.); #217237=EDGE_CURVE('',#173596,#173597,#101451,.T.); #217238=EDGE_CURVE('',#173598,#173596,#69163,.T.); #217239=EDGE_CURVE('',#173599,#173597,#69164,.T.); #217240=EDGE_CURVE('',#173598,#173599,#101452,.T.); #217241=EDGE_CURVE('',#173600,#173598,#101453,.T.); #217242=EDGE_CURVE('',#173601,#173599,#101454,.T.); #217243=EDGE_CURVE('',#173600,#173601,#101455,.T.); #217244=EDGE_CURVE('',#173589,#173600,#69165,.T.); #217245=EDGE_CURVE('',#173590,#173601,#69166,.T.); #217246=EDGE_CURVE('',#173602,#173602,#69167,.T.); #217247=EDGE_CURVE('',#173602,#173603,#101456,.T.); #217248=EDGE_CURVE('',#173603,#173603,#69168,.T.); #217249=EDGE_CURVE('',#173604,#173605,#101457,.T.); #217250=EDGE_CURVE('',#173605,#173606,#101458,.T.); #217251=EDGE_CURVE('',#173607,#173606,#101459,.T.); #217252=EDGE_CURVE('',#173604,#173607,#101460,.T.); #217253=EDGE_CURVE('',#173608,#173604,#69169,.T.); #217254=EDGE_CURVE('',#173609,#173607,#69170,.T.); #217255=EDGE_CURVE('',#173608,#173609,#101461,.T.); #217256=EDGE_CURVE('',#173605,#173608,#69171,.T.); #217257=EDGE_CURVE('',#173606,#173609,#69172,.T.); #217258=EDGE_CURVE('',#173610,#173610,#69173,.T.); #217259=EDGE_CURVE('',#173610,#173611,#101462,.T.); #217260=EDGE_CURVE('',#173611,#173611,#69174,.T.); #217261=EDGE_CURVE('',#173612,#173612,#69175,.T.); #217262=EDGE_CURVE('',#173612,#173613,#101463,.T.); #217263=EDGE_CURVE('',#173613,#173613,#69176,.T.); #217264=EDGE_CURVE('',#173614,#173614,#69177,.T.); #217265=EDGE_CURVE('',#173614,#173615,#101464,.T.); #217266=EDGE_CURVE('',#173615,#173615,#69178,.T.); #217267=EDGE_CURVE('',#173616,#173616,#69179,.T.); #217268=EDGE_CURVE('',#173616,#173617,#101465,.T.); #217269=EDGE_CURVE('',#173617,#173617,#69180,.T.); #217270=EDGE_CURVE('',#173618,#173618,#69181,.T.); #217271=EDGE_CURVE('',#173618,#173619,#101466,.T.); #217272=EDGE_CURVE('',#173619,#173619,#69182,.T.); #217273=EDGE_CURVE('',#173620,#173620,#69183,.T.); #217274=EDGE_CURVE('',#173620,#173621,#101467,.T.); #217275=EDGE_CURVE('',#173621,#173621,#69184,.T.); #217276=EDGE_CURVE('',#173622,#173622,#69185,.T.); #217277=EDGE_CURVE('',#173622,#173623,#101468,.T.); #217278=EDGE_CURVE('',#173623,#173623,#69186,.T.); #217279=EDGE_CURVE('',#173624,#173624,#69187,.T.); #217280=EDGE_CURVE('',#173624,#173625,#101469,.T.); #217281=EDGE_CURVE('',#173625,#173625,#69188,.T.); #217282=EDGE_CURVE('',#173626,#173626,#69189,.T.); #217283=EDGE_CURVE('',#173626,#173627,#101470,.T.); #217284=EDGE_CURVE('',#173627,#173627,#69190,.T.); #217285=EDGE_CURVE('',#173628,#173628,#69191,.T.); #217286=EDGE_CURVE('',#173628,#173629,#101471,.T.); #217287=EDGE_CURVE('',#173629,#173629,#69192,.T.); #217288=EDGE_CURVE('',#173630,#173630,#69193,.T.); #217289=EDGE_CURVE('',#173630,#173631,#101472,.T.); #217290=EDGE_CURVE('',#173631,#173631,#69194,.T.); #217291=EDGE_CURVE('',#173632,#173632,#69195,.T.); #217292=EDGE_CURVE('',#173632,#173633,#101473,.T.); #217293=EDGE_CURVE('',#173633,#173633,#69196,.T.); #217294=EDGE_CURVE('',#173634,#173634,#69197,.T.); #217295=EDGE_CURVE('',#173634,#173635,#101474,.T.); #217296=EDGE_CURVE('',#173635,#173635,#69198,.T.); #217297=EDGE_CURVE('',#173636,#173636,#69199,.T.); #217298=EDGE_CURVE('',#173636,#173637,#101475,.T.); #217299=EDGE_CURVE('',#173637,#173637,#69200,.T.); #217300=EDGE_CURVE('',#173638,#173638,#69201,.T.); #217301=EDGE_CURVE('',#173638,#173639,#101476,.T.); #217302=EDGE_CURVE('',#173639,#173639,#69202,.T.); #217303=EDGE_CURVE('',#173640,#173641,#101477,.T.); #217304=EDGE_CURVE('',#173641,#173642,#101478,.T.); #217305=EDGE_CURVE('',#173643,#173642,#101479,.T.); #217306=EDGE_CURVE('',#173640,#173643,#101480,.T.); #217307=EDGE_CURVE('',#173644,#173640,#101481,.T.); #217308=EDGE_CURVE('',#173645,#173643,#101482,.T.); #217309=EDGE_CURVE('',#173644,#173645,#101483,.T.); #217310=EDGE_CURVE('',#173646,#173644,#101484,.T.); #217311=EDGE_CURVE('',#173647,#173645,#101485,.T.); #217312=EDGE_CURVE('',#173646,#173647,#101486,.T.); #217313=EDGE_CURVE('',#173648,#173646,#101487,.T.); #217314=EDGE_CURVE('',#173649,#173647,#101488,.T.); #217315=EDGE_CURVE('',#173648,#173649,#101489,.T.); #217316=EDGE_CURVE('',#173650,#173648,#101490,.T.); #217317=EDGE_CURVE('',#173651,#173649,#101491,.T.); #217318=EDGE_CURVE('',#173650,#173651,#101492,.T.); #217319=EDGE_CURVE('',#173652,#173650,#101493,.T.); #217320=EDGE_CURVE('',#173653,#173651,#101494,.T.); #217321=EDGE_CURVE('',#173652,#173653,#101495,.T.); #217322=EDGE_CURVE('',#173654,#173652,#101496,.T.); #217323=EDGE_CURVE('',#173655,#173653,#101497,.T.); #217324=EDGE_CURVE('',#173654,#173655,#101498,.T.); #217325=EDGE_CURVE('',#173656,#173654,#101499,.T.); #217326=EDGE_CURVE('',#173657,#173655,#101500,.T.); #217327=EDGE_CURVE('',#173656,#173657,#101501,.T.); #217328=EDGE_CURVE('',#173658,#173656,#101502,.T.); #217329=EDGE_CURVE('',#173659,#173657,#101503,.T.); #217330=EDGE_CURVE('',#173658,#173659,#101504,.T.); #217331=EDGE_CURVE('',#173660,#173658,#101505,.T.); #217332=EDGE_CURVE('',#173661,#173659,#101506,.T.); #217333=EDGE_CURVE('',#173660,#173661,#101507,.T.); #217334=EDGE_CURVE('',#173662,#173660,#101508,.T.); #217335=EDGE_CURVE('',#173663,#173661,#101509,.T.); #217336=EDGE_CURVE('',#173662,#173663,#101510,.T.); #217337=EDGE_CURVE('',#173664,#173662,#101511,.T.); #217338=EDGE_CURVE('',#173665,#173663,#101512,.T.); #217339=EDGE_CURVE('',#173664,#173665,#101513,.T.); #217340=EDGE_CURVE('',#173666,#173664,#101514,.T.); #217341=EDGE_CURVE('',#173667,#173665,#101515,.T.); #217342=EDGE_CURVE('',#173666,#173667,#101516,.T.); #217343=EDGE_CURVE('',#173668,#173666,#101517,.T.); #217344=EDGE_CURVE('',#173669,#173667,#101518,.T.); #217345=EDGE_CURVE('',#173668,#173669,#101519,.T.); #217346=EDGE_CURVE('',#173670,#173668,#101520,.T.); #217347=EDGE_CURVE('',#173671,#173669,#101521,.T.); #217348=EDGE_CURVE('',#173670,#173671,#101522,.T.); #217349=EDGE_CURVE('',#173672,#173670,#101523,.T.); #217350=EDGE_CURVE('',#173673,#173671,#101524,.T.); #217351=EDGE_CURVE('',#173672,#173673,#101525,.T.); #217352=EDGE_CURVE('',#173674,#173672,#101526,.T.); #217353=EDGE_CURVE('',#173675,#173673,#101527,.T.); #217354=EDGE_CURVE('',#173674,#173675,#101528,.T.); #217355=EDGE_CURVE('',#173676,#173674,#101529,.T.); #217356=EDGE_CURVE('',#173677,#173675,#101530,.T.); #217357=EDGE_CURVE('',#173676,#173677,#101531,.T.); #217358=EDGE_CURVE('',#173678,#173676,#101532,.T.); #217359=EDGE_CURVE('',#173679,#173677,#101533,.T.); #217360=EDGE_CURVE('',#173678,#173679,#101534,.T.); #217361=EDGE_CURVE('',#173680,#173678,#101535,.T.); #217362=EDGE_CURVE('',#173681,#173679,#101536,.T.); #217363=EDGE_CURVE('',#173680,#173681,#101537,.T.); #217364=EDGE_CURVE('',#173682,#173680,#101538,.T.); #217365=EDGE_CURVE('',#173683,#173681,#101539,.T.); #217366=EDGE_CURVE('',#173682,#173683,#101540,.T.); #217367=EDGE_CURVE('',#173684,#173682,#101541,.T.); #217368=EDGE_CURVE('',#173685,#173683,#101542,.T.); #217369=EDGE_CURVE('',#173684,#173685,#101543,.T.); #217370=EDGE_CURVE('',#173686,#173684,#101544,.T.); #217371=EDGE_CURVE('',#173687,#173685,#101545,.T.); #217372=EDGE_CURVE('',#173686,#173687,#101546,.T.); #217373=EDGE_CURVE('',#173688,#173686,#101547,.T.); #217374=EDGE_CURVE('',#173689,#173687,#101548,.T.); #217375=EDGE_CURVE('',#173688,#173689,#101549,.T.); #217376=EDGE_CURVE('',#173690,#173688,#101550,.T.); #217377=EDGE_CURVE('',#173691,#173689,#101551,.T.); #217378=EDGE_CURVE('',#173690,#173691,#101552,.T.); #217379=EDGE_CURVE('',#173692,#173690,#101553,.T.); #217380=EDGE_CURVE('',#173693,#173691,#101554,.T.); #217381=EDGE_CURVE('',#173692,#173693,#101555,.T.); #217382=EDGE_CURVE('',#173694,#173692,#101556,.T.); #217383=EDGE_CURVE('',#173695,#173693,#101557,.T.); #217384=EDGE_CURVE('',#173694,#173695,#101558,.T.); #217385=EDGE_CURVE('',#173696,#173694,#101559,.T.); #217386=EDGE_CURVE('',#173697,#173695,#101560,.T.); #217387=EDGE_CURVE('',#173696,#173697,#101561,.T.); #217388=EDGE_CURVE('',#173698,#173696,#101562,.T.); #217389=EDGE_CURVE('',#173699,#173697,#101563,.T.); #217390=EDGE_CURVE('',#173698,#173699,#101564,.T.); #217391=EDGE_CURVE('',#173700,#173698,#101565,.T.); #217392=EDGE_CURVE('',#173701,#173699,#101566,.T.); #217393=EDGE_CURVE('',#173700,#173701,#101567,.T.); #217394=EDGE_CURVE('',#173702,#173700,#101568,.T.); #217395=EDGE_CURVE('',#173703,#173701,#101569,.T.); #217396=EDGE_CURVE('',#173702,#173703,#101570,.T.); #217397=EDGE_CURVE('',#173704,#173702,#101571,.T.); #217398=EDGE_CURVE('',#173705,#173703,#101572,.T.); #217399=EDGE_CURVE('',#173704,#173705,#101573,.T.); #217400=EDGE_CURVE('',#173706,#173704,#101574,.T.); #217401=EDGE_CURVE('',#173707,#173705,#101575,.T.); #217402=EDGE_CURVE('',#173706,#173707,#101576,.T.); #217403=EDGE_CURVE('',#173708,#173706,#101577,.T.); #217404=EDGE_CURVE('',#173709,#173707,#101578,.T.); #217405=EDGE_CURVE('',#173708,#173709,#101579,.T.); #217406=EDGE_CURVE('',#173710,#173708,#101580,.T.); #217407=EDGE_CURVE('',#173711,#173709,#101581,.T.); #217408=EDGE_CURVE('',#173710,#173711,#101582,.T.); #217409=EDGE_CURVE('',#173712,#173710,#101583,.T.); #217410=EDGE_CURVE('',#173713,#173711,#101584,.T.); #217411=EDGE_CURVE('',#173712,#173713,#101585,.T.); #217412=EDGE_CURVE('',#173714,#173712,#101586,.T.); #217413=EDGE_CURVE('',#173715,#173713,#101587,.T.); #217414=EDGE_CURVE('',#173714,#173715,#101588,.T.); #217415=EDGE_CURVE('',#173716,#173714,#101589,.T.); #217416=EDGE_CURVE('',#173717,#173715,#101590,.T.); #217417=EDGE_CURVE('',#173716,#173717,#101591,.T.); #217418=EDGE_CURVE('',#173718,#173716,#101592,.T.); #217419=EDGE_CURVE('',#173719,#173717,#101593,.T.); #217420=EDGE_CURVE('',#173718,#173719,#101594,.T.); #217421=EDGE_CURVE('',#173720,#173718,#101595,.T.); #217422=EDGE_CURVE('',#173721,#173719,#101596,.T.); #217423=EDGE_CURVE('',#173720,#173721,#101597,.T.); #217424=EDGE_CURVE('',#173722,#173720,#101598,.T.); #217425=EDGE_CURVE('',#173723,#173721,#101599,.T.); #217426=EDGE_CURVE('',#173722,#173723,#101600,.T.); #217427=EDGE_CURVE('',#173724,#173722,#101601,.T.); #217428=EDGE_CURVE('',#173725,#173723,#101602,.T.); #217429=EDGE_CURVE('',#173724,#173725,#101603,.T.); #217430=EDGE_CURVE('',#173726,#173724,#101604,.T.); #217431=EDGE_CURVE('',#173727,#173725,#101605,.T.); #217432=EDGE_CURVE('',#173726,#173727,#101606,.T.); #217433=EDGE_CURVE('',#173728,#173726,#101607,.T.); #217434=EDGE_CURVE('',#173729,#173727,#101608,.T.); #217435=EDGE_CURVE('',#173728,#173729,#101609,.T.); #217436=EDGE_CURVE('',#173730,#173728,#101610,.T.); #217437=EDGE_CURVE('',#173731,#173729,#101611,.T.); #217438=EDGE_CURVE('',#173730,#173731,#101612,.T.); #217439=EDGE_CURVE('',#173732,#173730,#101613,.T.); #217440=EDGE_CURVE('',#173733,#173731,#101614,.T.); #217441=EDGE_CURVE('',#173732,#173733,#101615,.T.); #217442=EDGE_CURVE('',#173734,#173732,#101616,.T.); #217443=EDGE_CURVE('',#173735,#173733,#101617,.T.); #217444=EDGE_CURVE('',#173734,#173735,#101618,.T.); #217445=EDGE_CURVE('',#173736,#173734,#101619,.T.); #217446=EDGE_CURVE('',#173737,#173735,#101620,.T.); #217447=EDGE_CURVE('',#173736,#173737,#101621,.T.); #217448=EDGE_CURVE('',#173738,#173736,#101622,.T.); #217449=EDGE_CURVE('',#173739,#173737,#101623,.T.); #217450=EDGE_CURVE('',#173738,#173739,#101624,.T.); #217451=EDGE_CURVE('',#173740,#173738,#101625,.T.); #217452=EDGE_CURVE('',#173741,#173739,#101626,.T.); #217453=EDGE_CURVE('',#173740,#173741,#101627,.T.); #217454=EDGE_CURVE('',#173742,#173740,#101628,.T.); #217455=EDGE_CURVE('',#173743,#173741,#101629,.T.); #217456=EDGE_CURVE('',#173742,#173743,#101630,.T.); #217457=EDGE_CURVE('',#173744,#173742,#101631,.T.); #217458=EDGE_CURVE('',#173745,#173743,#101632,.T.); #217459=EDGE_CURVE('',#173744,#173745,#101633,.T.); #217460=EDGE_CURVE('',#173746,#173744,#101634,.T.); #217461=EDGE_CURVE('',#173747,#173745,#101635,.T.); #217462=EDGE_CURVE('',#173746,#173747,#101636,.T.); #217463=EDGE_CURVE('',#173748,#173746,#101637,.T.); #217464=EDGE_CURVE('',#173749,#173747,#101638,.T.); #217465=EDGE_CURVE('',#173748,#173749,#101639,.T.); #217466=EDGE_CURVE('',#173750,#173748,#101640,.T.); #217467=EDGE_CURVE('',#173751,#173749,#101641,.T.); #217468=EDGE_CURVE('',#173750,#173751,#101642,.T.); #217469=EDGE_CURVE('',#173752,#173750,#101643,.T.); #217470=EDGE_CURVE('',#173753,#173751,#101644,.T.); #217471=EDGE_CURVE('',#173752,#173753,#101645,.T.); #217472=EDGE_CURVE('',#173754,#173752,#101646,.T.); #217473=EDGE_CURVE('',#173755,#173753,#101647,.T.); #217474=EDGE_CURVE('',#173754,#173755,#101648,.T.); #217475=EDGE_CURVE('',#173756,#173754,#101649,.T.); #217476=EDGE_CURVE('',#173757,#173755,#101650,.T.); #217477=EDGE_CURVE('',#173756,#173757,#101651,.T.); #217478=EDGE_CURVE('',#173758,#173756,#101652,.T.); #217479=EDGE_CURVE('',#173759,#173757,#101653,.T.); #217480=EDGE_CURVE('',#173758,#173759,#101654,.T.); #217481=EDGE_CURVE('',#173760,#173758,#101655,.T.); #217482=EDGE_CURVE('',#173761,#173759,#101656,.T.); #217483=EDGE_CURVE('',#173760,#173761,#101657,.T.); #217484=EDGE_CURVE('',#173762,#173760,#101658,.T.); #217485=EDGE_CURVE('',#173763,#173761,#101659,.T.); #217486=EDGE_CURVE('',#173762,#173763,#101660,.T.); #217487=EDGE_CURVE('',#173764,#173762,#101661,.T.); #217488=EDGE_CURVE('',#173765,#173763,#101662,.T.); #217489=EDGE_CURVE('',#173764,#173765,#101663,.T.); #217490=EDGE_CURVE('',#173766,#173764,#101664,.T.); #217491=EDGE_CURVE('',#173767,#173765,#101665,.T.); #217492=EDGE_CURVE('',#173766,#173767,#101666,.T.); #217493=EDGE_CURVE('',#173768,#173766,#101667,.T.); #217494=EDGE_CURVE('',#173769,#173767,#101668,.T.); #217495=EDGE_CURVE('',#173768,#173769,#101669,.T.); #217496=EDGE_CURVE('',#173770,#173768,#101670,.T.); #217497=EDGE_CURVE('',#173771,#173769,#101671,.T.); #217498=EDGE_CURVE('',#173770,#173771,#101672,.T.); #217499=EDGE_CURVE('',#173772,#173770,#101673,.T.); #217500=EDGE_CURVE('',#173773,#173771,#101674,.T.); #217501=EDGE_CURVE('',#173772,#173773,#101675,.T.); #217502=EDGE_CURVE('',#173774,#173772,#101676,.T.); #217503=EDGE_CURVE('',#173775,#173773,#101677,.T.); #217504=EDGE_CURVE('',#173774,#173775,#101678,.T.); #217505=EDGE_CURVE('',#173776,#173774,#101679,.T.); #217506=EDGE_CURVE('',#173777,#173775,#101680,.T.); #217507=EDGE_CURVE('',#173776,#173777,#101681,.T.); #217508=EDGE_CURVE('',#173778,#173776,#101682,.T.); #217509=EDGE_CURVE('',#173779,#173777,#101683,.T.); #217510=EDGE_CURVE('',#173778,#173779,#101684,.T.); #217511=EDGE_CURVE('',#173780,#173778,#101685,.T.); #217512=EDGE_CURVE('',#173781,#173779,#101686,.T.); #217513=EDGE_CURVE('',#173780,#173781,#101687,.T.); #217514=EDGE_CURVE('',#173782,#173780,#101688,.T.); #217515=EDGE_CURVE('',#173783,#173781,#101689,.T.); #217516=EDGE_CURVE('',#173782,#173783,#101690,.T.); #217517=EDGE_CURVE('',#173641,#173782,#101691,.T.); #217518=EDGE_CURVE('',#173642,#173783,#101692,.T.); #217519=EDGE_CURVE('',#173784,#173785,#101693,.T.); #217520=EDGE_CURVE('',#173785,#173786,#101694,.T.); #217521=EDGE_CURVE('',#173787,#173786,#101695,.T.); #217522=EDGE_CURVE('',#173784,#173787,#101696,.T.); #217523=EDGE_CURVE('',#173788,#173784,#101697,.T.); #217524=EDGE_CURVE('',#173789,#173787,#101698,.T.); #217525=EDGE_CURVE('',#173788,#173789,#101699,.T.); #217526=EDGE_CURVE('',#173790,#173788,#101700,.T.); #217527=EDGE_CURVE('',#173791,#173789,#101701,.T.); #217528=EDGE_CURVE('',#173790,#173791,#101702,.T.); #217529=EDGE_CURVE('',#173792,#173790,#101703,.T.); #217530=EDGE_CURVE('',#173793,#173791,#101704,.T.); #217531=EDGE_CURVE('',#173792,#173793,#101705,.T.); #217532=EDGE_CURVE('',#173794,#173792,#101706,.T.); #217533=EDGE_CURVE('',#173795,#173793,#101707,.T.); #217534=EDGE_CURVE('',#173794,#173795,#101708,.T.); #217535=EDGE_CURVE('',#173796,#173794,#101709,.T.); #217536=EDGE_CURVE('',#173797,#173795,#101710,.T.); #217537=EDGE_CURVE('',#173796,#173797,#101711,.T.); #217538=EDGE_CURVE('',#173798,#173796,#101712,.T.); #217539=EDGE_CURVE('',#173799,#173797,#101713,.T.); #217540=EDGE_CURVE('',#173798,#173799,#101714,.T.); #217541=EDGE_CURVE('',#173800,#173798,#101715,.T.); #217542=EDGE_CURVE('',#173801,#173799,#101716,.T.); #217543=EDGE_CURVE('',#173800,#173801,#101717,.T.); #217544=EDGE_CURVE('',#173802,#173800,#101718,.T.); #217545=EDGE_CURVE('',#173803,#173801,#101719,.T.); #217546=EDGE_CURVE('',#173802,#173803,#101720,.T.); #217547=EDGE_CURVE('',#173804,#173802,#101721,.T.); #217548=EDGE_CURVE('',#173805,#173803,#101722,.T.); #217549=EDGE_CURVE('',#173804,#173805,#101723,.T.); #217550=EDGE_CURVE('',#173806,#173804,#101724,.T.); #217551=EDGE_CURVE('',#173807,#173805,#101725,.T.); #217552=EDGE_CURVE('',#173806,#173807,#101726,.T.); #217553=EDGE_CURVE('',#173808,#173806,#101727,.T.); #217554=EDGE_CURVE('',#173809,#173807,#101728,.T.); #217555=EDGE_CURVE('',#173808,#173809,#101729,.T.); #217556=EDGE_CURVE('',#173810,#173808,#101730,.T.); #217557=EDGE_CURVE('',#173811,#173809,#101731,.T.); #217558=EDGE_CURVE('',#173810,#173811,#101732,.T.); #217559=EDGE_CURVE('',#173812,#173810,#101733,.T.); #217560=EDGE_CURVE('',#173813,#173811,#101734,.T.); #217561=EDGE_CURVE('',#173812,#173813,#101735,.T.); #217562=EDGE_CURVE('',#173814,#173812,#101736,.T.); #217563=EDGE_CURVE('',#173815,#173813,#101737,.T.); #217564=EDGE_CURVE('',#173814,#173815,#101738,.T.); #217565=EDGE_CURVE('',#173816,#173814,#101739,.T.); #217566=EDGE_CURVE('',#173817,#173815,#101740,.T.); #217567=EDGE_CURVE('',#173816,#173817,#101741,.T.); #217568=EDGE_CURVE('',#173818,#173816,#101742,.T.); #217569=EDGE_CURVE('',#173819,#173817,#101743,.T.); #217570=EDGE_CURVE('',#173818,#173819,#101744,.T.); #217571=EDGE_CURVE('',#173820,#173818,#101745,.T.); #217572=EDGE_CURVE('',#173821,#173819,#101746,.T.); #217573=EDGE_CURVE('',#173820,#173821,#101747,.T.); #217574=EDGE_CURVE('',#173822,#173820,#101748,.T.); #217575=EDGE_CURVE('',#173823,#173821,#101749,.T.); #217576=EDGE_CURVE('',#173822,#173823,#101750,.T.); #217577=EDGE_CURVE('',#173824,#173822,#101751,.T.); #217578=EDGE_CURVE('',#173825,#173823,#101752,.T.); #217579=EDGE_CURVE('',#173824,#173825,#101753,.T.); #217580=EDGE_CURVE('',#173826,#173824,#101754,.T.); #217581=EDGE_CURVE('',#173827,#173825,#101755,.T.); #217582=EDGE_CURVE('',#173826,#173827,#101756,.T.); #217583=EDGE_CURVE('',#173828,#173826,#101757,.T.); #217584=EDGE_CURVE('',#173829,#173827,#101758,.T.); #217585=EDGE_CURVE('',#173828,#173829,#101759,.T.); #217586=EDGE_CURVE('',#173830,#173828,#101760,.T.); #217587=EDGE_CURVE('',#173831,#173829,#101761,.T.); #217588=EDGE_CURVE('',#173830,#173831,#101762,.T.); #217589=EDGE_CURVE('',#173832,#173830,#101763,.T.); #217590=EDGE_CURVE('',#173833,#173831,#101764,.T.); #217591=EDGE_CURVE('',#173832,#173833,#101765,.T.); #217592=EDGE_CURVE('',#173834,#173832,#101766,.T.); #217593=EDGE_CURVE('',#173835,#173833,#101767,.T.); #217594=EDGE_CURVE('',#173834,#173835,#101768,.T.); #217595=EDGE_CURVE('',#173836,#173834,#101769,.T.); #217596=EDGE_CURVE('',#173837,#173835,#101770,.T.); #217597=EDGE_CURVE('',#173836,#173837,#101771,.T.); #217598=EDGE_CURVE('',#173838,#173836,#101772,.T.); #217599=EDGE_CURVE('',#173839,#173837,#101773,.T.); #217600=EDGE_CURVE('',#173838,#173839,#101774,.T.); #217601=EDGE_CURVE('',#173840,#173838,#101775,.T.); #217602=EDGE_CURVE('',#173841,#173839,#101776,.T.); #217603=EDGE_CURVE('',#173840,#173841,#101777,.T.); #217604=EDGE_CURVE('',#173842,#173840,#101778,.T.); #217605=EDGE_CURVE('',#173843,#173841,#101779,.T.); #217606=EDGE_CURVE('',#173842,#173843,#101780,.T.); #217607=EDGE_CURVE('',#173844,#173842,#101781,.T.); #217608=EDGE_CURVE('',#173845,#173843,#101782,.T.); #217609=EDGE_CURVE('',#173844,#173845,#101783,.T.); #217610=EDGE_CURVE('',#173846,#173844,#101784,.T.); #217611=EDGE_CURVE('',#173847,#173845,#101785,.T.); #217612=EDGE_CURVE('',#173846,#173847,#101786,.T.); #217613=EDGE_CURVE('',#173848,#173846,#101787,.T.); #217614=EDGE_CURVE('',#173849,#173847,#101788,.T.); #217615=EDGE_CURVE('',#173848,#173849,#101789,.T.); #217616=EDGE_CURVE('',#173850,#173848,#101790,.T.); #217617=EDGE_CURVE('',#173851,#173849,#101791,.T.); #217618=EDGE_CURVE('',#173850,#173851,#101792,.T.); #217619=EDGE_CURVE('',#173852,#173850,#101793,.T.); #217620=EDGE_CURVE('',#173853,#173851,#101794,.T.); #217621=EDGE_CURVE('',#173852,#173853,#101795,.T.); #217622=EDGE_CURVE('',#173854,#173852,#101796,.T.); #217623=EDGE_CURVE('',#173855,#173853,#101797,.T.); #217624=EDGE_CURVE('',#173854,#173855,#101798,.T.); #217625=EDGE_CURVE('',#173856,#173854,#101799,.T.); #217626=EDGE_CURVE('',#173857,#173855,#101800,.T.); #217627=EDGE_CURVE('',#173856,#173857,#101801,.T.); #217628=EDGE_CURVE('',#173858,#173856,#101802,.T.); #217629=EDGE_CURVE('',#173859,#173857,#101803,.T.); #217630=EDGE_CURVE('',#173858,#173859,#101804,.T.); #217631=EDGE_CURVE('',#173860,#173858,#101805,.T.); #217632=EDGE_CURVE('',#173861,#173859,#101806,.T.); #217633=EDGE_CURVE('',#173860,#173861,#101807,.T.); #217634=EDGE_CURVE('',#173862,#173860,#101808,.T.); #217635=EDGE_CURVE('',#173863,#173861,#101809,.T.); #217636=EDGE_CURVE('',#173862,#173863,#101810,.T.); #217637=EDGE_CURVE('',#173864,#173862,#101811,.T.); #217638=EDGE_CURVE('',#173865,#173863,#101812,.T.); #217639=EDGE_CURVE('',#173864,#173865,#101813,.T.); #217640=EDGE_CURVE('',#173866,#173864,#101814,.T.); #217641=EDGE_CURVE('',#173867,#173865,#101815,.T.); #217642=EDGE_CURVE('',#173866,#173867,#101816,.T.); #217643=EDGE_CURVE('',#173868,#173866,#101817,.T.); #217644=EDGE_CURVE('',#173869,#173867,#101818,.T.); #217645=EDGE_CURVE('',#173868,#173869,#101819,.T.); #217646=EDGE_CURVE('',#173870,#173868,#101820,.T.); #217647=EDGE_CURVE('',#173871,#173869,#101821,.T.); #217648=EDGE_CURVE('',#173870,#173871,#101822,.T.); #217649=EDGE_CURVE('',#173872,#173870,#101823,.T.); #217650=EDGE_CURVE('',#173873,#173871,#101824,.T.); #217651=EDGE_CURVE('',#173872,#173873,#101825,.T.); #217652=EDGE_CURVE('',#173874,#173872,#101826,.T.); #217653=EDGE_CURVE('',#173875,#173873,#101827,.T.); #217654=EDGE_CURVE('',#173874,#173875,#101828,.T.); #217655=EDGE_CURVE('',#173876,#173874,#101829,.T.); #217656=EDGE_CURVE('',#173877,#173875,#101830,.T.); #217657=EDGE_CURVE('',#173876,#173877,#101831,.T.); #217658=EDGE_CURVE('',#173878,#173876,#101832,.T.); #217659=EDGE_CURVE('',#173879,#173877,#101833,.T.); #217660=EDGE_CURVE('',#173878,#173879,#101834,.T.); #217661=EDGE_CURVE('',#173880,#173878,#101835,.T.); #217662=EDGE_CURVE('',#173881,#173879,#101836,.T.); #217663=EDGE_CURVE('',#173880,#173881,#101837,.T.); #217664=EDGE_CURVE('',#173882,#173880,#101838,.T.); #217665=EDGE_CURVE('',#173883,#173881,#101839,.T.); #217666=EDGE_CURVE('',#173882,#173883,#101840,.T.); #217667=EDGE_CURVE('',#173884,#173882,#101841,.T.); #217668=EDGE_CURVE('',#173885,#173883,#101842,.T.); #217669=EDGE_CURVE('',#173884,#173885,#101843,.T.); #217670=EDGE_CURVE('',#173886,#173884,#101844,.T.); #217671=EDGE_CURVE('',#173887,#173885,#101845,.T.); #217672=EDGE_CURVE('',#173886,#173887,#101846,.T.); #217673=EDGE_CURVE('',#173888,#173886,#101847,.T.); #217674=EDGE_CURVE('',#173889,#173887,#101848,.T.); #217675=EDGE_CURVE('',#173888,#173889,#101849,.T.); #217676=EDGE_CURVE('',#173890,#173888,#101850,.T.); #217677=EDGE_CURVE('',#173891,#173889,#101851,.T.); #217678=EDGE_CURVE('',#173890,#173891,#101852,.T.); #217679=EDGE_CURVE('',#173892,#173890,#101853,.T.); #217680=EDGE_CURVE('',#173893,#173891,#101854,.T.); #217681=EDGE_CURVE('',#173892,#173893,#101855,.T.); #217682=EDGE_CURVE('',#173894,#173892,#101856,.T.); #217683=EDGE_CURVE('',#173895,#173893,#101857,.T.); #217684=EDGE_CURVE('',#173894,#173895,#101858,.T.); #217685=EDGE_CURVE('',#173896,#173894,#101859,.T.); #217686=EDGE_CURVE('',#173897,#173895,#101860,.T.); #217687=EDGE_CURVE('',#173896,#173897,#101861,.T.); #217688=EDGE_CURVE('',#173898,#173896,#101862,.T.); #217689=EDGE_CURVE('',#173899,#173897,#101863,.T.); #217690=EDGE_CURVE('',#173898,#173899,#101864,.T.); #217691=EDGE_CURVE('',#173900,#173898,#101865,.T.); #217692=EDGE_CURVE('',#173901,#173899,#101866,.T.); #217693=EDGE_CURVE('',#173900,#173901,#101867,.T.); #217694=EDGE_CURVE('',#173902,#173900,#101868,.T.); #217695=EDGE_CURVE('',#173903,#173901,#101869,.T.); #217696=EDGE_CURVE('',#173902,#173903,#101870,.T.); #217697=EDGE_CURVE('',#173904,#173902,#101871,.T.); #217698=EDGE_CURVE('',#173905,#173903,#101872,.T.); #217699=EDGE_CURVE('',#173904,#173905,#101873,.T.); #217700=EDGE_CURVE('',#173906,#173904,#101874,.T.); #217701=EDGE_CURVE('',#173907,#173905,#101875,.T.); #217702=EDGE_CURVE('',#173906,#173907,#101876,.T.); #217703=EDGE_CURVE('',#173908,#173906,#101877,.T.); #217704=EDGE_CURVE('',#173909,#173907,#101878,.T.); #217705=EDGE_CURVE('',#173908,#173909,#101879,.T.); #217706=EDGE_CURVE('',#173910,#173908,#101880,.T.); #217707=EDGE_CURVE('',#173911,#173909,#101881,.T.); #217708=EDGE_CURVE('',#173910,#173911,#101882,.T.); #217709=EDGE_CURVE('',#173912,#173910,#101883,.T.); #217710=EDGE_CURVE('',#173913,#173911,#101884,.T.); #217711=EDGE_CURVE('',#173912,#173913,#101885,.T.); #217712=EDGE_CURVE('',#173914,#173912,#101886,.T.); #217713=EDGE_CURVE('',#173915,#173913,#101887,.T.); #217714=EDGE_CURVE('',#173914,#173915,#101888,.T.); #217715=EDGE_CURVE('',#173916,#173914,#101889,.T.); #217716=EDGE_CURVE('',#173917,#173915,#101890,.T.); #217717=EDGE_CURVE('',#173916,#173917,#101891,.T.); #217718=EDGE_CURVE('',#173918,#173916,#101892,.T.); #217719=EDGE_CURVE('',#173919,#173917,#101893,.T.); #217720=EDGE_CURVE('',#173918,#173919,#101894,.T.); #217721=EDGE_CURVE('',#173920,#173918,#101895,.T.); #217722=EDGE_CURVE('',#173921,#173919,#101896,.T.); #217723=EDGE_CURVE('',#173920,#173921,#101897,.T.); #217724=EDGE_CURVE('',#173922,#173920,#101898,.T.); #217725=EDGE_CURVE('',#173923,#173921,#101899,.T.); #217726=EDGE_CURVE('',#173922,#173923,#101900,.T.); #217727=EDGE_CURVE('',#173924,#173922,#101901,.T.); #217728=EDGE_CURVE('',#173925,#173923,#101902,.T.); #217729=EDGE_CURVE('',#173924,#173925,#101903,.T.); #217730=EDGE_CURVE('',#173926,#173924,#101904,.T.); #217731=EDGE_CURVE('',#173927,#173925,#101905,.T.); #217732=EDGE_CURVE('',#173926,#173927,#101906,.T.); #217733=EDGE_CURVE('',#173928,#173926,#101907,.T.); #217734=EDGE_CURVE('',#173929,#173927,#101908,.T.); #217735=EDGE_CURVE('',#173928,#173929,#101909,.T.); #217736=EDGE_CURVE('',#173930,#173928,#101910,.T.); #217737=EDGE_CURVE('',#173931,#173929,#101911,.T.); #217738=EDGE_CURVE('',#173930,#173931,#101912,.T.); #217739=EDGE_CURVE('',#173932,#173930,#101913,.T.); #217740=EDGE_CURVE('',#173933,#173931,#101914,.T.); #217741=EDGE_CURVE('',#173932,#173933,#101915,.T.); #217742=EDGE_CURVE('',#173934,#173932,#101916,.T.); #217743=EDGE_CURVE('',#173935,#173933,#101917,.T.); #217744=EDGE_CURVE('',#173934,#173935,#101918,.T.); #217745=EDGE_CURVE('',#173936,#173934,#101919,.T.); #217746=EDGE_CURVE('',#173937,#173935,#101920,.T.); #217747=EDGE_CURVE('',#173936,#173937,#101921,.T.); #217748=EDGE_CURVE('',#173938,#173936,#101922,.T.); #217749=EDGE_CURVE('',#173939,#173937,#101923,.T.); #217750=EDGE_CURVE('',#173938,#173939,#101924,.T.); #217751=EDGE_CURVE('',#173940,#173938,#101925,.T.); #217752=EDGE_CURVE('',#173941,#173939,#101926,.T.); #217753=EDGE_CURVE('',#173940,#173941,#101927,.T.); #217754=EDGE_CURVE('',#173942,#173940,#101928,.T.); #217755=EDGE_CURVE('',#173943,#173941,#101929,.T.); #217756=EDGE_CURVE('',#173942,#173943,#101930,.T.); #217757=EDGE_CURVE('',#173944,#173942,#101931,.T.); #217758=EDGE_CURVE('',#173945,#173943,#101932,.T.); #217759=EDGE_CURVE('',#173944,#173945,#101933,.T.); #217760=EDGE_CURVE('',#173946,#173944,#101934,.T.); #217761=EDGE_CURVE('',#173947,#173945,#101935,.T.); #217762=EDGE_CURVE('',#173946,#173947,#101936,.T.); #217763=EDGE_CURVE('',#173948,#173946,#101937,.T.); #217764=EDGE_CURVE('',#173949,#173947,#101938,.T.); #217765=EDGE_CURVE('',#173948,#173949,#101939,.T.); #217766=EDGE_CURVE('',#173950,#173948,#101940,.T.); #217767=EDGE_CURVE('',#173951,#173949,#101941,.T.); #217768=EDGE_CURVE('',#173950,#173951,#101942,.T.); #217769=EDGE_CURVE('',#173952,#173950,#101943,.T.); #217770=EDGE_CURVE('',#173953,#173951,#101944,.T.); #217771=EDGE_CURVE('',#173952,#173953,#101945,.T.); #217772=EDGE_CURVE('',#173954,#173952,#101946,.T.); #217773=EDGE_CURVE('',#173955,#173953,#101947,.T.); #217774=EDGE_CURVE('',#173954,#173955,#101948,.T.); #217775=EDGE_CURVE('',#173956,#173954,#101949,.T.); #217776=EDGE_CURVE('',#173957,#173955,#101950,.T.); #217777=EDGE_CURVE('',#173956,#173957,#101951,.T.); #217778=EDGE_CURVE('',#173958,#173956,#101952,.T.); #217779=EDGE_CURVE('',#173959,#173957,#101953,.T.); #217780=EDGE_CURVE('',#173958,#173959,#101954,.T.); #217781=EDGE_CURVE('',#173960,#173958,#101955,.T.); #217782=EDGE_CURVE('',#173961,#173959,#101956,.T.); #217783=EDGE_CURVE('',#173960,#173961,#101957,.T.); #217784=EDGE_CURVE('',#173962,#173960,#101958,.T.); #217785=EDGE_CURVE('',#173963,#173961,#101959,.T.); #217786=EDGE_CURVE('',#173962,#173963,#101960,.T.); #217787=EDGE_CURVE('',#173964,#173962,#101961,.T.); #217788=EDGE_CURVE('',#173965,#173963,#101962,.T.); #217789=EDGE_CURVE('',#173964,#173965,#101963,.T.); #217790=EDGE_CURVE('',#173966,#173964,#101964,.T.); #217791=EDGE_CURVE('',#173967,#173965,#101965,.T.); #217792=EDGE_CURVE('',#173966,#173967,#101966,.T.); #217793=EDGE_CURVE('',#173968,#173966,#101967,.T.); #217794=EDGE_CURVE('',#173969,#173967,#101968,.T.); #217795=EDGE_CURVE('',#173968,#173969,#101969,.T.); #217796=EDGE_CURVE('',#173970,#173968,#101970,.T.); #217797=EDGE_CURVE('',#173971,#173969,#101971,.T.); #217798=EDGE_CURVE('',#173970,#173971,#101972,.T.); #217799=EDGE_CURVE('',#173972,#173970,#101973,.T.); #217800=EDGE_CURVE('',#173973,#173971,#101974,.T.); #217801=EDGE_CURVE('',#173972,#173973,#101975,.T.); #217802=EDGE_CURVE('',#173785,#173972,#101976,.T.); #217803=EDGE_CURVE('',#173786,#173973,#101977,.T.); #217804=EDGE_CURVE('',#173974,#173974,#69203,.T.); #217805=EDGE_CURVE('',#173974,#173975,#101978,.T.); #217806=EDGE_CURVE('',#173975,#173975,#69204,.T.); #217807=EDGE_CURVE('',#173976,#173977,#101979,.T.); #217808=EDGE_CURVE('',#173977,#173978,#101980,.T.); #217809=EDGE_CURVE('',#173979,#173978,#101981,.T.); #217810=EDGE_CURVE('',#173976,#173979,#101982,.T.); #217811=EDGE_CURVE('',#173980,#173976,#69205,.T.); #217812=EDGE_CURVE('',#173981,#173979,#69206,.T.); #217813=EDGE_CURVE('',#173980,#173981,#101983,.T.); #217814=EDGE_CURVE('',#173977,#173980,#69207,.T.); #217815=EDGE_CURVE('',#173978,#173981,#69208,.T.); #217816=EDGE_CURVE('',#173982,#173982,#69209,.T.); #217817=EDGE_CURVE('',#173982,#173983,#101984,.T.); #217818=EDGE_CURVE('',#173983,#173983,#69210,.T.); #217819=EDGE_CURVE('',#173984,#173985,#101985,.T.); #217820=EDGE_CURVE('',#173985,#173986,#101986,.T.); #217821=EDGE_CURVE('',#173987,#173986,#101987,.T.); #217822=EDGE_CURVE('',#173984,#173987,#101988,.T.); #217823=EDGE_CURVE('',#173988,#173984,#69211,.T.); #217824=EDGE_CURVE('',#173989,#173987,#69212,.T.); #217825=EDGE_CURVE('',#173988,#173989,#101989,.T.); #217826=EDGE_CURVE('',#173985,#173988,#69213,.T.); #217827=EDGE_CURVE('',#173986,#173989,#69214,.T.); #217828=EDGE_CURVE('',#173990,#173990,#69215,.T.); #217829=EDGE_CURVE('',#173990,#173991,#101990,.T.); #217830=EDGE_CURVE('',#173991,#173991,#69216,.T.); #217831=EDGE_CURVE('',#173992,#173993,#101991,.T.); #217832=EDGE_CURVE('',#173993,#173994,#101992,.T.); #217833=EDGE_CURVE('',#173995,#173994,#101993,.T.); #217834=EDGE_CURVE('',#173992,#173995,#101994,.T.); #217835=EDGE_CURVE('',#173996,#173992,#69217,.T.); #217836=EDGE_CURVE('',#173997,#173995,#69218,.T.); #217837=EDGE_CURVE('',#173996,#173997,#101995,.T.); #217838=EDGE_CURVE('',#173993,#173996,#69219,.T.); #217839=EDGE_CURVE('',#173994,#173997,#69220,.T.); #217840=EDGE_CURVE('',#173998,#173998,#69221,.T.); #217841=EDGE_CURVE('',#173998,#173999,#101996,.T.); #217842=EDGE_CURVE('',#173999,#173999,#69222,.T.); #217843=EDGE_CURVE('',#174000,#174001,#101997,.T.); #217844=EDGE_CURVE('',#174001,#174002,#101998,.T.); #217845=EDGE_CURVE('',#174003,#174002,#101999,.T.); #217846=EDGE_CURVE('',#174000,#174003,#102000,.T.); #217847=EDGE_CURVE('',#174004,#174000,#69223,.T.); #217848=EDGE_CURVE('',#174005,#174003,#69224,.T.); #217849=EDGE_CURVE('',#174004,#174005,#102001,.T.); #217850=EDGE_CURVE('',#174001,#174004,#69225,.T.); #217851=EDGE_CURVE('',#174002,#174005,#69226,.T.); #217852=EDGE_CURVE('',#174006,#174006,#69227,.T.); #217853=EDGE_CURVE('',#174006,#174007,#102002,.T.); #217854=EDGE_CURVE('',#174007,#174007,#69228,.T.); #217855=EDGE_CURVE('',#174008,#174009,#102003,.T.); #217856=EDGE_CURVE('',#174009,#174010,#102004,.T.); #217857=EDGE_CURVE('',#174011,#174010,#102005,.T.); #217858=EDGE_CURVE('',#174008,#174011,#102006,.T.); #217859=EDGE_CURVE('',#174012,#174008,#102007,.T.); #217860=EDGE_CURVE('',#174013,#174011,#102008,.T.); #217861=EDGE_CURVE('',#174012,#174013,#102009,.T.); #217862=EDGE_CURVE('',#174014,#174012,#102010,.T.); #217863=EDGE_CURVE('',#174015,#174013,#102011,.T.); #217864=EDGE_CURVE('',#174014,#174015,#102012,.T.); #217865=EDGE_CURVE('',#174009,#174014,#102013,.T.); #217866=EDGE_CURVE('',#174010,#174015,#102014,.T.); #217867=EDGE_CURVE('',#174016,#174016,#69229,.T.); #217868=EDGE_CURVE('',#174016,#174017,#102015,.T.); #217869=EDGE_CURVE('',#174017,#174017,#69230,.T.); #217870=EDGE_CURVE('',#174018,#174019,#102016,.T.); #217871=EDGE_CURVE('',#174019,#174020,#102017,.T.); #217872=EDGE_CURVE('',#174021,#174020,#102018,.T.); #217873=EDGE_CURVE('',#174018,#174021,#102019,.T.); #217874=EDGE_CURVE('',#174022,#174018,#69231,.T.); #217875=EDGE_CURVE('',#174023,#174021,#69232,.T.); #217876=EDGE_CURVE('',#174022,#174023,#102020,.T.); #217877=EDGE_CURVE('',#174019,#174022,#69233,.T.); #217878=EDGE_CURVE('',#174020,#174023,#69234,.T.); #217879=EDGE_CURVE('',#174024,#174024,#69235,.T.); #217880=EDGE_CURVE('',#174024,#174025,#102021,.T.); #217881=EDGE_CURVE('',#174025,#174025,#69236,.T.); #217882=EDGE_CURVE('',#174026,#174027,#102022,.T.); #217883=EDGE_CURVE('',#174027,#174028,#102023,.T.); #217884=EDGE_CURVE('',#174029,#174028,#102024,.T.); #217885=EDGE_CURVE('',#174026,#174029,#102025,.T.); #217886=EDGE_CURVE('',#174030,#174026,#69237,.T.); #217887=EDGE_CURVE('',#174031,#174029,#69238,.T.); #217888=EDGE_CURVE('',#174030,#174031,#102026,.T.); #217889=EDGE_CURVE('',#174027,#174030,#69239,.T.); #217890=EDGE_CURVE('',#174028,#174031,#69240,.T.); #217891=EDGE_CURVE('',#174032,#174032,#69241,.T.); #217892=EDGE_CURVE('',#174032,#174033,#102027,.T.); #217893=EDGE_CURVE('',#174033,#174033,#69242,.T.); #217894=EDGE_CURVE('',#174034,#174035,#102028,.T.); #217895=EDGE_CURVE('',#174035,#174036,#102029,.T.); #217896=EDGE_CURVE('',#174037,#174036,#102030,.T.); #217897=EDGE_CURVE('',#174034,#174037,#102031,.T.); #217898=EDGE_CURVE('',#174038,#174034,#69243,.T.); #217899=EDGE_CURVE('',#174039,#174037,#69244,.T.); #217900=EDGE_CURVE('',#174038,#174039,#102032,.T.); #217901=EDGE_CURVE('',#174035,#174038,#69245,.T.); #217902=EDGE_CURVE('',#174036,#174039,#69246,.T.); #217903=EDGE_CURVE('',#174040,#174040,#69247,.T.); #217904=EDGE_CURVE('',#174040,#174041,#102033,.T.); #217905=EDGE_CURVE('',#174041,#174041,#69248,.T.); #217906=EDGE_CURVE('',#174042,#174043,#102034,.T.); #217907=EDGE_CURVE('',#174043,#174044,#102035,.T.); #217908=EDGE_CURVE('',#174045,#174044,#102036,.T.); #217909=EDGE_CURVE('',#174042,#174045,#102037,.T.); #217910=EDGE_CURVE('',#174046,#174042,#69249,.T.); #217911=EDGE_CURVE('',#174047,#174045,#69250,.T.); #217912=EDGE_CURVE('',#174046,#174047,#102038,.T.); #217913=EDGE_CURVE('',#174043,#174046,#69251,.T.); #217914=EDGE_CURVE('',#174044,#174047,#69252,.T.); #217915=EDGE_CURVE('',#174048,#174049,#102039,.T.); #217916=EDGE_CURVE('',#174050,#174048,#102040,.T.); #217917=EDGE_CURVE('',#174051,#174050,#102041,.T.); #217918=EDGE_CURVE('',#174051,#174049,#102042,.T.); #217919=EDGE_CURVE('',#174049,#174052,#69253,.T.); #217920=EDGE_CURVE('',#174053,#174051,#69254,.T.); #217921=EDGE_CURVE('',#174053,#174052,#102043,.T.); #217922=EDGE_CURVE('',#174052,#174054,#102044,.T.); #217923=EDGE_CURVE('',#174055,#174053,#102045,.T.); #217924=EDGE_CURVE('',#174055,#174054,#102046,.T.); #217925=EDGE_CURVE('',#174054,#174048,#69255,.T.); #217926=EDGE_CURVE('',#174050,#174055,#69256,.T.); #217927=EDGE_CURVE('',#174056,#174057,#102047,.T.); #217928=EDGE_CURVE('',#174057,#174058,#102048,.T.); #217929=EDGE_CURVE('',#174059,#174058,#102049,.T.); #217930=EDGE_CURVE('',#174056,#174059,#102050,.T.); #217931=EDGE_CURVE('',#174060,#174056,#102051,.T.); #217932=EDGE_CURVE('',#174061,#174059,#102052,.T.); #217933=EDGE_CURVE('',#174060,#174061,#102053,.T.); #217934=EDGE_CURVE('',#174062,#174060,#102054,.T.); #217935=EDGE_CURVE('',#174063,#174061,#102055,.T.); #217936=EDGE_CURVE('',#174062,#174063,#102056,.T.); #217937=EDGE_CURVE('',#174064,#174062,#102057,.T.); #217938=EDGE_CURVE('',#174065,#174063,#102058,.T.); #217939=EDGE_CURVE('',#174064,#174065,#102059,.T.); #217940=EDGE_CURVE('',#174066,#174064,#102060,.T.); #217941=EDGE_CURVE('',#174067,#174065,#102061,.T.); #217942=EDGE_CURVE('',#174066,#174067,#102062,.T.); #217943=EDGE_CURVE('',#174068,#174066,#102063,.T.); #217944=EDGE_CURVE('',#174069,#174067,#102064,.T.); #217945=EDGE_CURVE('',#174068,#174069,#102065,.T.); #217946=EDGE_CURVE('',#174070,#174068,#102066,.T.); #217947=EDGE_CURVE('',#174071,#174069,#102067,.T.); #217948=EDGE_CURVE('',#174070,#174071,#102068,.T.); #217949=EDGE_CURVE('',#174072,#174070,#102069,.T.); #217950=EDGE_CURVE('',#174073,#174071,#102070,.T.); #217951=EDGE_CURVE('',#174072,#174073,#102071,.T.); #217952=EDGE_CURVE('',#174074,#174072,#102072,.T.); #217953=EDGE_CURVE('',#174075,#174073,#102073,.T.); #217954=EDGE_CURVE('',#174074,#174075,#102074,.T.); #217955=EDGE_CURVE('',#174076,#174074,#102075,.T.); #217956=EDGE_CURVE('',#174077,#174075,#102076,.T.); #217957=EDGE_CURVE('',#174076,#174077,#102077,.T.); #217958=EDGE_CURVE('',#174078,#174076,#102078,.T.); #217959=EDGE_CURVE('',#174079,#174077,#102079,.T.); #217960=EDGE_CURVE('',#174078,#174079,#102080,.T.); #217961=EDGE_CURVE('',#174080,#174078,#102081,.T.); #217962=EDGE_CURVE('',#174081,#174079,#102082,.T.); #217963=EDGE_CURVE('',#174080,#174081,#102083,.T.); #217964=EDGE_CURVE('',#174082,#174080,#102084,.T.); #217965=EDGE_CURVE('',#174083,#174081,#102085,.T.); #217966=EDGE_CURVE('',#174082,#174083,#102086,.T.); #217967=EDGE_CURVE('',#174084,#174082,#102087,.T.); #217968=EDGE_CURVE('',#174085,#174083,#102088,.T.); #217969=EDGE_CURVE('',#174084,#174085,#102089,.T.); #217970=EDGE_CURVE('',#174086,#174084,#102090,.T.); #217971=EDGE_CURVE('',#174087,#174085,#102091,.T.); #217972=EDGE_CURVE('',#174086,#174087,#102092,.T.); #217973=EDGE_CURVE('',#174088,#174086,#102093,.T.); #217974=EDGE_CURVE('',#174089,#174087,#102094,.T.); #217975=EDGE_CURVE('',#174088,#174089,#102095,.T.); #217976=EDGE_CURVE('',#174090,#174088,#102096,.T.); #217977=EDGE_CURVE('',#174091,#174089,#102097,.T.); #217978=EDGE_CURVE('',#174090,#174091,#102098,.T.); #217979=EDGE_CURVE('',#174092,#174090,#102099,.T.); #217980=EDGE_CURVE('',#174093,#174091,#102100,.T.); #217981=EDGE_CURVE('',#174092,#174093,#102101,.T.); #217982=EDGE_CURVE('',#174094,#174092,#102102,.T.); #217983=EDGE_CURVE('',#174095,#174093,#102103,.T.); #217984=EDGE_CURVE('',#174094,#174095,#102104,.T.); #217985=EDGE_CURVE('',#174096,#174094,#102105,.T.); #217986=EDGE_CURVE('',#174097,#174095,#102106,.T.); #217987=EDGE_CURVE('',#174096,#174097,#102107,.T.); #217988=EDGE_CURVE('',#174098,#174096,#102108,.T.); #217989=EDGE_CURVE('',#174099,#174097,#102109,.T.); #217990=EDGE_CURVE('',#174098,#174099,#102110,.T.); #217991=EDGE_CURVE('',#174100,#174098,#102111,.T.); #217992=EDGE_CURVE('',#174101,#174099,#102112,.T.); #217993=EDGE_CURVE('',#174100,#174101,#102113,.T.); #217994=EDGE_CURVE('',#174102,#174100,#102114,.T.); #217995=EDGE_CURVE('',#174103,#174101,#102115,.T.); #217996=EDGE_CURVE('',#174102,#174103,#102116,.T.); #217997=EDGE_CURVE('',#174104,#174102,#102117,.T.); #217998=EDGE_CURVE('',#174105,#174103,#102118,.T.); #217999=EDGE_CURVE('',#174104,#174105,#102119,.T.); #218000=EDGE_CURVE('',#174106,#174104,#102120,.T.); #218001=EDGE_CURVE('',#174107,#174105,#102121,.T.); #218002=EDGE_CURVE('',#174106,#174107,#102122,.T.); #218003=EDGE_CURVE('',#174108,#174106,#102123,.T.); #218004=EDGE_CURVE('',#174109,#174107,#102124,.T.); #218005=EDGE_CURVE('',#174108,#174109,#102125,.T.); #218006=EDGE_CURVE('',#174110,#174108,#102126,.T.); #218007=EDGE_CURVE('',#174111,#174109,#102127,.T.); #218008=EDGE_CURVE('',#174110,#174111,#102128,.T.); #218009=EDGE_CURVE('',#174112,#174110,#102129,.T.); #218010=EDGE_CURVE('',#174113,#174111,#102130,.T.); #218011=EDGE_CURVE('',#174112,#174113,#102131,.T.); #218012=EDGE_CURVE('',#174114,#174112,#102132,.T.); #218013=EDGE_CURVE('',#174115,#174113,#102133,.T.); #218014=EDGE_CURVE('',#174114,#174115,#102134,.T.); #218015=EDGE_CURVE('',#174116,#174114,#102135,.T.); #218016=EDGE_CURVE('',#174117,#174115,#102136,.T.); #218017=EDGE_CURVE('',#174116,#174117,#102137,.T.); #218018=EDGE_CURVE('',#174118,#174116,#102138,.T.); #218019=EDGE_CURVE('',#174119,#174117,#102139,.T.); #218020=EDGE_CURVE('',#174118,#174119,#102140,.T.); #218021=EDGE_CURVE('',#174120,#174118,#102141,.T.); #218022=EDGE_CURVE('',#174121,#174119,#102142,.T.); #218023=EDGE_CURVE('',#174120,#174121,#102143,.T.); #218024=EDGE_CURVE('',#174122,#174120,#102144,.T.); #218025=EDGE_CURVE('',#174123,#174121,#102145,.T.); #218026=EDGE_CURVE('',#174122,#174123,#102146,.T.); #218027=EDGE_CURVE('',#174124,#174122,#102147,.T.); #218028=EDGE_CURVE('',#174125,#174123,#102148,.T.); #218029=EDGE_CURVE('',#174124,#174125,#102149,.T.); #218030=EDGE_CURVE('',#174126,#174124,#102150,.T.); #218031=EDGE_CURVE('',#174127,#174125,#102151,.T.); #218032=EDGE_CURVE('',#174126,#174127,#102152,.T.); #218033=EDGE_CURVE('',#174128,#174126,#102153,.T.); #218034=EDGE_CURVE('',#174129,#174127,#102154,.T.); #218035=EDGE_CURVE('',#174128,#174129,#102155,.T.); #218036=EDGE_CURVE('',#174130,#174128,#102156,.T.); #218037=EDGE_CURVE('',#174131,#174129,#102157,.T.); #218038=EDGE_CURVE('',#174130,#174131,#102158,.T.); #218039=EDGE_CURVE('',#174132,#174130,#102159,.T.); #218040=EDGE_CURVE('',#174133,#174131,#102160,.T.); #218041=EDGE_CURVE('',#174132,#174133,#102161,.T.); #218042=EDGE_CURVE('',#174134,#174132,#102162,.T.); #218043=EDGE_CURVE('',#174135,#174133,#102163,.T.); #218044=EDGE_CURVE('',#174134,#174135,#102164,.T.); #218045=EDGE_CURVE('',#174136,#174134,#102165,.T.); #218046=EDGE_CURVE('',#174137,#174135,#102166,.T.); #218047=EDGE_CURVE('',#174136,#174137,#102167,.T.); #218048=EDGE_CURVE('',#174138,#174136,#102168,.T.); #218049=EDGE_CURVE('',#174139,#174137,#102169,.T.); #218050=EDGE_CURVE('',#174138,#174139,#102170,.T.); #218051=EDGE_CURVE('',#174140,#174138,#102171,.T.); #218052=EDGE_CURVE('',#174141,#174139,#102172,.T.); #218053=EDGE_CURVE('',#174140,#174141,#102173,.T.); #218054=EDGE_CURVE('',#174142,#174140,#102174,.T.); #218055=EDGE_CURVE('',#174143,#174141,#102175,.T.); #218056=EDGE_CURVE('',#174142,#174143,#102176,.T.); #218057=EDGE_CURVE('',#174144,#174142,#102177,.T.); #218058=EDGE_CURVE('',#174145,#174143,#102178,.T.); #218059=EDGE_CURVE('',#174144,#174145,#102179,.T.); #218060=EDGE_CURVE('',#174146,#174144,#102180,.T.); #218061=EDGE_CURVE('',#174147,#174145,#102181,.T.); #218062=EDGE_CURVE('',#174146,#174147,#102182,.T.); #218063=EDGE_CURVE('',#174148,#174146,#102183,.T.); #218064=EDGE_CURVE('',#174149,#174147,#102184,.T.); #218065=EDGE_CURVE('',#174148,#174149,#102185,.T.); #218066=EDGE_CURVE('',#174150,#174148,#102186,.T.); #218067=EDGE_CURVE('',#174151,#174149,#102187,.T.); #218068=EDGE_CURVE('',#174150,#174151,#102188,.T.); #218069=EDGE_CURVE('',#174152,#174150,#102189,.T.); #218070=EDGE_CURVE('',#174153,#174151,#102190,.T.); #218071=EDGE_CURVE('',#174152,#174153,#102191,.T.); #218072=EDGE_CURVE('',#174154,#174152,#102192,.T.); #218073=EDGE_CURVE('',#174155,#174153,#102193,.T.); #218074=EDGE_CURVE('',#174154,#174155,#102194,.T.); #218075=EDGE_CURVE('',#174156,#174154,#102195,.T.); #218076=EDGE_CURVE('',#174157,#174155,#102196,.T.); #218077=EDGE_CURVE('',#174156,#174157,#102197,.T.); #218078=EDGE_CURVE('',#174158,#174156,#102198,.T.); #218079=EDGE_CURVE('',#174159,#174157,#102199,.T.); #218080=EDGE_CURVE('',#174158,#174159,#102200,.T.); #218081=EDGE_CURVE('',#174160,#174158,#102201,.T.); #218082=EDGE_CURVE('',#174161,#174159,#102202,.T.); #218083=EDGE_CURVE('',#174160,#174161,#102203,.T.); #218084=EDGE_CURVE('',#174162,#174160,#102204,.T.); #218085=EDGE_CURVE('',#174163,#174161,#102205,.T.); #218086=EDGE_CURVE('',#174162,#174163,#102206,.T.); #218087=EDGE_CURVE('',#174164,#174162,#102207,.T.); #218088=EDGE_CURVE('',#174165,#174163,#102208,.T.); #218089=EDGE_CURVE('',#174164,#174165,#102209,.T.); #218090=EDGE_CURVE('',#174166,#174164,#102210,.T.); #218091=EDGE_CURVE('',#174167,#174165,#102211,.T.); #218092=EDGE_CURVE('',#174166,#174167,#102212,.T.); #218093=EDGE_CURVE('',#174057,#174166,#102213,.T.); #218094=EDGE_CURVE('',#174058,#174167,#102214,.T.); #218095=EDGE_CURVE('',#174168,#174169,#102215,.T.); #218096=EDGE_CURVE('',#174170,#174168,#102216,.T.); #218097=EDGE_CURVE('',#174171,#174170,#102217,.T.); #218098=EDGE_CURVE('',#174171,#174169,#102218,.T.); #218099=EDGE_CURVE('',#174169,#174172,#69257,.T.); #218100=EDGE_CURVE('',#174173,#174171,#69258,.T.); #218101=EDGE_CURVE('',#174173,#174172,#102219,.T.); #218102=EDGE_CURVE('',#174172,#174174,#102220,.T.); #218103=EDGE_CURVE('',#174175,#174173,#102221,.T.); #218104=EDGE_CURVE('',#174175,#174174,#102222,.T.); #218105=EDGE_CURVE('',#174174,#174168,#69259,.T.); #218106=EDGE_CURVE('',#174170,#174175,#69260,.T.); #218107=EDGE_CURVE('',#174176,#174177,#102223,.T.); #218108=EDGE_CURVE('',#174177,#174178,#102224,.T.); #218109=EDGE_CURVE('',#174179,#174178,#102225,.T.); #218110=EDGE_CURVE('',#174176,#174179,#102226,.T.); #218111=EDGE_CURVE('',#174180,#174176,#102227,.T.); #218112=EDGE_CURVE('',#174181,#174179,#102228,.T.); #218113=EDGE_CURVE('',#174180,#174181,#102229,.T.); #218114=EDGE_CURVE('',#174182,#174180,#102230,.T.); #218115=EDGE_CURVE('',#174183,#174181,#102231,.T.); #218116=EDGE_CURVE('',#174182,#174183,#102232,.T.); #218117=EDGE_CURVE('',#174184,#174182,#102233,.T.); #218118=EDGE_CURVE('',#174185,#174183,#102234,.T.); #218119=EDGE_CURVE('',#174184,#174185,#102235,.T.); #218120=EDGE_CURVE('',#174186,#174184,#102236,.T.); #218121=EDGE_CURVE('',#174187,#174185,#102237,.T.); #218122=EDGE_CURVE('',#174186,#174187,#102238,.T.); #218123=EDGE_CURVE('',#174188,#174186,#102239,.T.); #218124=EDGE_CURVE('',#174189,#174187,#102240,.T.); #218125=EDGE_CURVE('',#174188,#174189,#102241,.T.); #218126=EDGE_CURVE('',#174190,#174188,#102242,.T.); #218127=EDGE_CURVE('',#174191,#174189,#102243,.T.); #218128=EDGE_CURVE('',#174190,#174191,#102244,.T.); #218129=EDGE_CURVE('',#174192,#174190,#102245,.T.); #218130=EDGE_CURVE('',#174193,#174191,#102246,.T.); #218131=EDGE_CURVE('',#174192,#174193,#102247,.T.); #218132=EDGE_CURVE('',#174194,#174192,#102248,.T.); #218133=EDGE_CURVE('',#174195,#174193,#102249,.T.); #218134=EDGE_CURVE('',#174194,#174195,#102250,.T.); #218135=EDGE_CURVE('',#174196,#174194,#102251,.T.); #218136=EDGE_CURVE('',#174197,#174195,#102252,.T.); #218137=EDGE_CURVE('',#174196,#174197,#102253,.T.); #218138=EDGE_CURVE('',#174198,#174196,#102254,.T.); #218139=EDGE_CURVE('',#174199,#174197,#102255,.T.); #218140=EDGE_CURVE('',#174198,#174199,#102256,.T.); #218141=EDGE_CURVE('',#174200,#174198,#102257,.T.); #218142=EDGE_CURVE('',#174201,#174199,#102258,.T.); #218143=EDGE_CURVE('',#174200,#174201,#102259,.T.); #218144=EDGE_CURVE('',#174202,#174200,#102260,.T.); #218145=EDGE_CURVE('',#174203,#174201,#102261,.T.); #218146=EDGE_CURVE('',#174202,#174203,#102262,.T.); #218147=EDGE_CURVE('',#174204,#174202,#102263,.T.); #218148=EDGE_CURVE('',#174205,#174203,#102264,.T.); #218149=EDGE_CURVE('',#174204,#174205,#102265,.T.); #218150=EDGE_CURVE('',#174206,#174204,#102266,.T.); #218151=EDGE_CURVE('',#174207,#174205,#102267,.T.); #218152=EDGE_CURVE('',#174206,#174207,#102268,.T.); #218153=EDGE_CURVE('',#174208,#174206,#102269,.T.); #218154=EDGE_CURVE('',#174209,#174207,#102270,.T.); #218155=EDGE_CURVE('',#174208,#174209,#102271,.T.); #218156=EDGE_CURVE('',#174210,#174208,#102272,.T.); #218157=EDGE_CURVE('',#174211,#174209,#102273,.T.); #218158=EDGE_CURVE('',#174210,#174211,#102274,.T.); #218159=EDGE_CURVE('',#174212,#174210,#102275,.T.); #218160=EDGE_CURVE('',#174213,#174211,#102276,.T.); #218161=EDGE_CURVE('',#174212,#174213,#102277,.T.); #218162=EDGE_CURVE('',#174214,#174212,#102278,.T.); #218163=EDGE_CURVE('',#174215,#174213,#102279,.T.); #218164=EDGE_CURVE('',#174214,#174215,#102280,.T.); #218165=EDGE_CURVE('',#174216,#174214,#102281,.T.); #218166=EDGE_CURVE('',#174217,#174215,#102282,.T.); #218167=EDGE_CURVE('',#174216,#174217,#102283,.T.); #218168=EDGE_CURVE('',#174218,#174216,#102284,.T.); #218169=EDGE_CURVE('',#174219,#174217,#102285,.T.); #218170=EDGE_CURVE('',#174218,#174219,#102286,.T.); #218171=EDGE_CURVE('',#174220,#174218,#102287,.T.); #218172=EDGE_CURVE('',#174221,#174219,#102288,.T.); #218173=EDGE_CURVE('',#174220,#174221,#102289,.T.); #218174=EDGE_CURVE('',#174222,#174220,#102290,.T.); #218175=EDGE_CURVE('',#174223,#174221,#102291,.T.); #218176=EDGE_CURVE('',#174222,#174223,#102292,.T.); #218177=EDGE_CURVE('',#174224,#174222,#102293,.T.); #218178=EDGE_CURVE('',#174225,#174223,#102294,.T.); #218179=EDGE_CURVE('',#174224,#174225,#102295,.T.); #218180=EDGE_CURVE('',#174226,#174224,#102296,.T.); #218181=EDGE_CURVE('',#174227,#174225,#102297,.T.); #218182=EDGE_CURVE('',#174226,#174227,#102298,.T.); #218183=EDGE_CURVE('',#174228,#174226,#102299,.T.); #218184=EDGE_CURVE('',#174229,#174227,#102300,.T.); #218185=EDGE_CURVE('',#174228,#174229,#102301,.T.); #218186=EDGE_CURVE('',#174230,#174228,#102302,.T.); #218187=EDGE_CURVE('',#174231,#174229,#102303,.T.); #218188=EDGE_CURVE('',#174230,#174231,#102304,.T.); #218189=EDGE_CURVE('',#174232,#174230,#102305,.T.); #218190=EDGE_CURVE('',#174233,#174231,#102306,.T.); #218191=EDGE_CURVE('',#174232,#174233,#102307,.T.); #218192=EDGE_CURVE('',#174234,#174232,#102308,.T.); #218193=EDGE_CURVE('',#174235,#174233,#102309,.T.); #218194=EDGE_CURVE('',#174234,#174235,#102310,.T.); #218195=EDGE_CURVE('',#174236,#174234,#102311,.T.); #218196=EDGE_CURVE('',#174237,#174235,#102312,.T.); #218197=EDGE_CURVE('',#174236,#174237,#102313,.T.); #218198=EDGE_CURVE('',#174238,#174236,#102314,.T.); #218199=EDGE_CURVE('',#174239,#174237,#102315,.T.); #218200=EDGE_CURVE('',#174238,#174239,#102316,.T.); #218201=EDGE_CURVE('',#174240,#174238,#102317,.T.); #218202=EDGE_CURVE('',#174241,#174239,#102318,.T.); #218203=EDGE_CURVE('',#174240,#174241,#102319,.T.); #218204=EDGE_CURVE('',#174242,#174240,#102320,.T.); #218205=EDGE_CURVE('',#174243,#174241,#102321,.T.); #218206=EDGE_CURVE('',#174242,#174243,#102322,.T.); #218207=EDGE_CURVE('',#174244,#174242,#102323,.T.); #218208=EDGE_CURVE('',#174245,#174243,#102324,.T.); #218209=EDGE_CURVE('',#174244,#174245,#102325,.T.); #218210=EDGE_CURVE('',#174246,#174244,#102326,.T.); #218211=EDGE_CURVE('',#174247,#174245,#102327,.T.); #218212=EDGE_CURVE('',#174246,#174247,#102328,.T.); #218213=EDGE_CURVE('',#174248,#174246,#102329,.T.); #218214=EDGE_CURVE('',#174249,#174247,#102330,.T.); #218215=EDGE_CURVE('',#174248,#174249,#102331,.T.); #218216=EDGE_CURVE('',#174250,#174248,#102332,.T.); #218217=EDGE_CURVE('',#174251,#174249,#102333,.T.); #218218=EDGE_CURVE('',#174250,#174251,#102334,.T.); #218219=EDGE_CURVE('',#174252,#174250,#102335,.T.); #218220=EDGE_CURVE('',#174253,#174251,#102336,.T.); #218221=EDGE_CURVE('',#174252,#174253,#102337,.T.); #218222=EDGE_CURVE('',#174254,#174252,#102338,.T.); #218223=EDGE_CURVE('',#174255,#174253,#102339,.T.); #218224=EDGE_CURVE('',#174254,#174255,#102340,.T.); #218225=EDGE_CURVE('',#174256,#174254,#102341,.T.); #218226=EDGE_CURVE('',#174257,#174255,#102342,.T.); #218227=EDGE_CURVE('',#174256,#174257,#102343,.T.); #218228=EDGE_CURVE('',#174258,#174256,#102344,.T.); #218229=EDGE_CURVE('',#174259,#174257,#102345,.T.); #218230=EDGE_CURVE('',#174258,#174259,#102346,.T.); #218231=EDGE_CURVE('',#174260,#174258,#102347,.T.); #218232=EDGE_CURVE('',#174261,#174259,#102348,.T.); #218233=EDGE_CURVE('',#174260,#174261,#102349,.T.); #218234=EDGE_CURVE('',#174262,#174260,#102350,.T.); #218235=EDGE_CURVE('',#174263,#174261,#102351,.T.); #218236=EDGE_CURVE('',#174262,#174263,#102352,.T.); #218237=EDGE_CURVE('',#174264,#174262,#102353,.T.); #218238=EDGE_CURVE('',#174265,#174263,#102354,.T.); #218239=EDGE_CURVE('',#174264,#174265,#102355,.T.); #218240=EDGE_CURVE('',#174266,#174264,#102356,.T.); #218241=EDGE_CURVE('',#174267,#174265,#102357,.T.); #218242=EDGE_CURVE('',#174266,#174267,#102358,.T.); #218243=EDGE_CURVE('',#174268,#174266,#102359,.T.); #218244=EDGE_CURVE('',#174269,#174267,#102360,.T.); #218245=EDGE_CURVE('',#174268,#174269,#102361,.T.); #218246=EDGE_CURVE('',#174270,#174268,#102362,.T.); #218247=EDGE_CURVE('',#174271,#174269,#102363,.T.); #218248=EDGE_CURVE('',#174270,#174271,#102364,.T.); #218249=EDGE_CURVE('',#174272,#174270,#102365,.T.); #218250=EDGE_CURVE('',#174273,#174271,#102366,.T.); #218251=EDGE_CURVE('',#174272,#174273,#102367,.T.); #218252=EDGE_CURVE('',#174274,#174272,#102368,.T.); #218253=EDGE_CURVE('',#174275,#174273,#102369,.T.); #218254=EDGE_CURVE('',#174274,#174275,#102370,.T.); #218255=EDGE_CURVE('',#174276,#174274,#102371,.T.); #218256=EDGE_CURVE('',#174277,#174275,#102372,.T.); #218257=EDGE_CURVE('',#174276,#174277,#102373,.T.); #218258=EDGE_CURVE('',#174278,#174276,#102374,.T.); #218259=EDGE_CURVE('',#174279,#174277,#102375,.T.); #218260=EDGE_CURVE('',#174278,#174279,#102376,.T.); #218261=EDGE_CURVE('',#174280,#174278,#102377,.T.); #218262=EDGE_CURVE('',#174281,#174279,#102378,.T.); #218263=EDGE_CURVE('',#174280,#174281,#102379,.T.); #218264=EDGE_CURVE('',#174282,#174280,#102380,.T.); #218265=EDGE_CURVE('',#174283,#174281,#102381,.T.); #218266=EDGE_CURVE('',#174282,#174283,#102382,.T.); #218267=EDGE_CURVE('',#174284,#174282,#102383,.T.); #218268=EDGE_CURVE('',#174285,#174283,#102384,.T.); #218269=EDGE_CURVE('',#174284,#174285,#102385,.T.); #218270=EDGE_CURVE('',#174286,#174284,#102386,.T.); #218271=EDGE_CURVE('',#174287,#174285,#102387,.T.); #218272=EDGE_CURVE('',#174286,#174287,#102388,.T.); #218273=EDGE_CURVE('',#174288,#174286,#102389,.T.); #218274=EDGE_CURVE('',#174289,#174287,#102390,.T.); #218275=EDGE_CURVE('',#174288,#174289,#102391,.T.); #218276=EDGE_CURVE('',#174290,#174288,#102392,.T.); #218277=EDGE_CURVE('',#174291,#174289,#102393,.T.); #218278=EDGE_CURVE('',#174290,#174291,#102394,.T.); #218279=EDGE_CURVE('',#174292,#174290,#102395,.T.); #218280=EDGE_CURVE('',#174293,#174291,#102396,.T.); #218281=EDGE_CURVE('',#174292,#174293,#102397,.T.); #218282=EDGE_CURVE('',#174294,#174292,#102398,.T.); #218283=EDGE_CURVE('',#174295,#174293,#102399,.T.); #218284=EDGE_CURVE('',#174294,#174295,#102400,.T.); #218285=EDGE_CURVE('',#174296,#174294,#102401,.T.); #218286=EDGE_CURVE('',#174297,#174295,#102402,.T.); #218287=EDGE_CURVE('',#174296,#174297,#102403,.T.); #218288=EDGE_CURVE('',#174298,#174296,#102404,.T.); #218289=EDGE_CURVE('',#174299,#174297,#102405,.T.); #218290=EDGE_CURVE('',#174298,#174299,#102406,.T.); #218291=EDGE_CURVE('',#174300,#174298,#102407,.T.); #218292=EDGE_CURVE('',#174301,#174299,#102408,.T.); #218293=EDGE_CURVE('',#174300,#174301,#102409,.T.); #218294=EDGE_CURVE('',#174302,#174300,#102410,.T.); #218295=EDGE_CURVE('',#174303,#174301,#102411,.T.); #218296=EDGE_CURVE('',#174302,#174303,#102412,.T.); #218297=EDGE_CURVE('',#174304,#174302,#102413,.T.); #218298=EDGE_CURVE('',#174305,#174303,#102414,.T.); #218299=EDGE_CURVE('',#174304,#174305,#102415,.T.); #218300=EDGE_CURVE('',#174306,#174304,#102416,.T.); #218301=EDGE_CURVE('',#174307,#174305,#102417,.T.); #218302=EDGE_CURVE('',#174306,#174307,#102418,.T.); #218303=EDGE_CURVE('',#174308,#174306,#102419,.T.); #218304=EDGE_CURVE('',#174309,#174307,#102420,.T.); #218305=EDGE_CURVE('',#174308,#174309,#102421,.T.); #218306=EDGE_CURVE('',#174310,#174308,#102422,.T.); #218307=EDGE_CURVE('',#174311,#174309,#102423,.T.); #218308=EDGE_CURVE('',#174310,#174311,#102424,.T.); #218309=EDGE_CURVE('',#174312,#174310,#102425,.T.); #218310=EDGE_CURVE('',#174313,#174311,#102426,.T.); #218311=EDGE_CURVE('',#174312,#174313,#102427,.T.); #218312=EDGE_CURVE('',#174314,#174312,#102428,.T.); #218313=EDGE_CURVE('',#174315,#174313,#102429,.T.); #218314=EDGE_CURVE('',#174314,#174315,#102430,.T.); #218315=EDGE_CURVE('',#174316,#174314,#102431,.T.); #218316=EDGE_CURVE('',#174317,#174315,#102432,.T.); #218317=EDGE_CURVE('',#174316,#174317,#102433,.T.); #218318=EDGE_CURVE('',#174318,#174316,#102434,.T.); #218319=EDGE_CURVE('',#174319,#174317,#102435,.T.); #218320=EDGE_CURVE('',#174318,#174319,#102436,.T.); #218321=EDGE_CURVE('',#174177,#174318,#102437,.T.); #218322=EDGE_CURVE('',#174178,#174319,#102438,.T.); #218323=EDGE_CURVE('',#174320,#174321,#102439,.T.); #218324=EDGE_CURVE('',#174322,#174320,#102440,.T.); #218325=EDGE_CURVE('',#174323,#174322,#102441,.T.); #218326=EDGE_CURVE('',#174323,#174321,#102442,.T.); #218327=EDGE_CURVE('',#174321,#174324,#69261,.T.); #218328=EDGE_CURVE('',#174325,#174323,#69262,.T.); #218329=EDGE_CURVE('',#174325,#174324,#102443,.T.); #218330=EDGE_CURVE('',#174324,#174326,#102444,.T.); #218331=EDGE_CURVE('',#174327,#174325,#102445,.T.); #218332=EDGE_CURVE('',#174327,#174326,#102446,.T.); #218333=EDGE_CURVE('',#174326,#174320,#69263,.T.); #218334=EDGE_CURVE('',#174322,#174327,#69264,.T.); #218335=EDGE_CURVE('',#174328,#174329,#102447,.T.); #218336=EDGE_CURVE('',#174329,#174330,#102448,.T.); #218337=EDGE_CURVE('',#174331,#174330,#102449,.T.); #218338=EDGE_CURVE('',#174328,#174331,#102450,.T.); #218339=EDGE_CURVE('',#174332,#174328,#102451,.T.); #218340=EDGE_CURVE('',#174333,#174331,#102452,.T.); #218341=EDGE_CURVE('',#174332,#174333,#102453,.T.); #218342=EDGE_CURVE('',#174334,#174332,#102454,.T.); #218343=EDGE_CURVE('',#174335,#174333,#102455,.T.); #218344=EDGE_CURVE('',#174334,#174335,#102456,.T.); #218345=EDGE_CURVE('',#174336,#174334,#102457,.T.); #218346=EDGE_CURVE('',#174337,#174335,#102458,.T.); #218347=EDGE_CURVE('',#174336,#174337,#102459,.T.); #218348=EDGE_CURVE('',#174338,#174336,#102460,.T.); #218349=EDGE_CURVE('',#174339,#174337,#102461,.T.); #218350=EDGE_CURVE('',#174338,#174339,#102462,.T.); #218351=EDGE_CURVE('',#174340,#174338,#102463,.T.); #218352=EDGE_CURVE('',#174341,#174339,#102464,.T.); #218353=EDGE_CURVE('',#174340,#174341,#102465,.T.); #218354=EDGE_CURVE('',#174342,#174340,#102466,.T.); #218355=EDGE_CURVE('',#174343,#174341,#102467,.T.); #218356=EDGE_CURVE('',#174342,#174343,#102468,.T.); #218357=EDGE_CURVE('',#174344,#174342,#102469,.T.); #218358=EDGE_CURVE('',#174345,#174343,#102470,.T.); #218359=EDGE_CURVE('',#174344,#174345,#102471,.T.); #218360=EDGE_CURVE('',#174346,#174344,#102472,.T.); #218361=EDGE_CURVE('',#174347,#174345,#102473,.T.); #218362=EDGE_CURVE('',#174346,#174347,#102474,.T.); #218363=EDGE_CURVE('',#174348,#174346,#102475,.T.); #218364=EDGE_CURVE('',#174349,#174347,#102476,.T.); #218365=EDGE_CURVE('',#174348,#174349,#102477,.T.); #218366=EDGE_CURVE('',#174350,#174348,#102478,.T.); #218367=EDGE_CURVE('',#174351,#174349,#102479,.T.); #218368=EDGE_CURVE('',#174350,#174351,#102480,.T.); #218369=EDGE_CURVE('',#174352,#174350,#102481,.T.); #218370=EDGE_CURVE('',#174353,#174351,#102482,.T.); #218371=EDGE_CURVE('',#174352,#174353,#102483,.T.); #218372=EDGE_CURVE('',#174354,#174352,#102484,.T.); #218373=EDGE_CURVE('',#174355,#174353,#102485,.T.); #218374=EDGE_CURVE('',#174354,#174355,#102486,.T.); #218375=EDGE_CURVE('',#174356,#174354,#102487,.T.); #218376=EDGE_CURVE('',#174357,#174355,#102488,.T.); #218377=EDGE_CURVE('',#174356,#174357,#102489,.T.); #218378=EDGE_CURVE('',#174358,#174356,#102490,.T.); #218379=EDGE_CURVE('',#174359,#174357,#102491,.T.); #218380=EDGE_CURVE('',#174358,#174359,#102492,.T.); #218381=EDGE_CURVE('',#174360,#174358,#102493,.T.); #218382=EDGE_CURVE('',#174361,#174359,#102494,.T.); #218383=EDGE_CURVE('',#174360,#174361,#102495,.T.); #218384=EDGE_CURVE('',#174362,#174360,#102496,.T.); #218385=EDGE_CURVE('',#174363,#174361,#102497,.T.); #218386=EDGE_CURVE('',#174362,#174363,#102498,.T.); #218387=EDGE_CURVE('',#174364,#174362,#102499,.T.); #218388=EDGE_CURVE('',#174365,#174363,#102500,.T.); #218389=EDGE_CURVE('',#174364,#174365,#102501,.T.); #218390=EDGE_CURVE('',#174366,#174364,#102502,.T.); #218391=EDGE_CURVE('',#174367,#174365,#102503,.T.); #218392=EDGE_CURVE('',#174366,#174367,#102504,.T.); #218393=EDGE_CURVE('',#174368,#174366,#102505,.T.); #218394=EDGE_CURVE('',#174369,#174367,#102506,.T.); #218395=EDGE_CURVE('',#174368,#174369,#102507,.T.); #218396=EDGE_CURVE('',#174370,#174368,#102508,.T.); #218397=EDGE_CURVE('',#174371,#174369,#102509,.T.); #218398=EDGE_CURVE('',#174370,#174371,#102510,.T.); #218399=EDGE_CURVE('',#174372,#174370,#102511,.T.); #218400=EDGE_CURVE('',#174373,#174371,#102512,.T.); #218401=EDGE_CURVE('',#174372,#174373,#102513,.T.); #218402=EDGE_CURVE('',#174374,#174372,#102514,.T.); #218403=EDGE_CURVE('',#174375,#174373,#102515,.T.); #218404=EDGE_CURVE('',#174374,#174375,#102516,.T.); #218405=EDGE_CURVE('',#174376,#174374,#102517,.T.); #218406=EDGE_CURVE('',#174377,#174375,#102518,.T.); #218407=EDGE_CURVE('',#174376,#174377,#102519,.T.); #218408=EDGE_CURVE('',#174378,#174376,#102520,.T.); #218409=EDGE_CURVE('',#174379,#174377,#102521,.T.); #218410=EDGE_CURVE('',#174378,#174379,#102522,.T.); #218411=EDGE_CURVE('',#174380,#174378,#102523,.T.); #218412=EDGE_CURVE('',#174381,#174379,#102524,.T.); #218413=EDGE_CURVE('',#174380,#174381,#102525,.T.); #218414=EDGE_CURVE('',#174382,#174380,#102526,.T.); #218415=EDGE_CURVE('',#174383,#174381,#102527,.T.); #218416=EDGE_CURVE('',#174382,#174383,#102528,.T.); #218417=EDGE_CURVE('',#174384,#174382,#102529,.T.); #218418=EDGE_CURVE('',#174385,#174383,#102530,.T.); #218419=EDGE_CURVE('',#174384,#174385,#102531,.T.); #218420=EDGE_CURVE('',#174386,#174384,#102532,.T.); #218421=EDGE_CURVE('',#174387,#174385,#102533,.T.); #218422=EDGE_CURVE('',#174386,#174387,#102534,.T.); #218423=EDGE_CURVE('',#174388,#174386,#102535,.T.); #218424=EDGE_CURVE('',#174389,#174387,#102536,.T.); #218425=EDGE_CURVE('',#174388,#174389,#102537,.T.); #218426=EDGE_CURVE('',#174390,#174388,#102538,.T.); #218427=EDGE_CURVE('',#174391,#174389,#102539,.T.); #218428=EDGE_CURVE('',#174390,#174391,#102540,.T.); #218429=EDGE_CURVE('',#174392,#174390,#102541,.T.); #218430=EDGE_CURVE('',#174393,#174391,#102542,.T.); #218431=EDGE_CURVE('',#174392,#174393,#102543,.T.); #218432=EDGE_CURVE('',#174394,#174392,#102544,.T.); #218433=EDGE_CURVE('',#174395,#174393,#102545,.T.); #218434=EDGE_CURVE('',#174394,#174395,#102546,.T.); #218435=EDGE_CURVE('',#174396,#174394,#102547,.T.); #218436=EDGE_CURVE('',#174397,#174395,#102548,.T.); #218437=EDGE_CURVE('',#174396,#174397,#102549,.T.); #218438=EDGE_CURVE('',#174398,#174396,#102550,.T.); #218439=EDGE_CURVE('',#174399,#174397,#102551,.T.); #218440=EDGE_CURVE('',#174398,#174399,#102552,.T.); #218441=EDGE_CURVE('',#174400,#174398,#102553,.T.); #218442=EDGE_CURVE('',#174401,#174399,#102554,.T.); #218443=EDGE_CURVE('',#174400,#174401,#102555,.T.); #218444=EDGE_CURVE('',#174402,#174400,#102556,.T.); #218445=EDGE_CURVE('',#174403,#174401,#102557,.T.); #218446=EDGE_CURVE('',#174402,#174403,#102558,.T.); #218447=EDGE_CURVE('',#174404,#174402,#102559,.T.); #218448=EDGE_CURVE('',#174405,#174403,#102560,.T.); #218449=EDGE_CURVE('',#174404,#174405,#102561,.T.); #218450=EDGE_CURVE('',#174406,#174404,#102562,.T.); #218451=EDGE_CURVE('',#174407,#174405,#102563,.T.); #218452=EDGE_CURVE('',#174406,#174407,#102564,.T.); #218453=EDGE_CURVE('',#174408,#174406,#102565,.T.); #218454=EDGE_CURVE('',#174409,#174407,#102566,.T.); #218455=EDGE_CURVE('',#174408,#174409,#102567,.T.); #218456=EDGE_CURVE('',#174410,#174408,#102568,.T.); #218457=EDGE_CURVE('',#174411,#174409,#102569,.T.); #218458=EDGE_CURVE('',#174410,#174411,#102570,.T.); #218459=EDGE_CURVE('',#174412,#174410,#102571,.T.); #218460=EDGE_CURVE('',#174413,#174411,#102572,.T.); #218461=EDGE_CURVE('',#174412,#174413,#102573,.T.); #218462=EDGE_CURVE('',#174414,#174412,#102574,.T.); #218463=EDGE_CURVE('',#174415,#174413,#102575,.T.); #218464=EDGE_CURVE('',#174414,#174415,#102576,.T.); #218465=EDGE_CURVE('',#174416,#174414,#102577,.T.); #218466=EDGE_CURVE('',#174417,#174415,#102578,.T.); #218467=EDGE_CURVE('',#174416,#174417,#102579,.T.); #218468=EDGE_CURVE('',#174418,#174416,#102580,.T.); #218469=EDGE_CURVE('',#174419,#174417,#102581,.T.); #218470=EDGE_CURVE('',#174418,#174419,#102582,.T.); #218471=EDGE_CURVE('',#174420,#174418,#102583,.T.); #218472=EDGE_CURVE('',#174421,#174419,#102584,.T.); #218473=EDGE_CURVE('',#174420,#174421,#102585,.T.); #218474=EDGE_CURVE('',#174422,#174420,#102586,.T.); #218475=EDGE_CURVE('',#174423,#174421,#102587,.T.); #218476=EDGE_CURVE('',#174422,#174423,#102588,.T.); #218477=EDGE_CURVE('',#174424,#174422,#102589,.T.); #218478=EDGE_CURVE('',#174425,#174423,#102590,.T.); #218479=EDGE_CURVE('',#174424,#174425,#102591,.T.); #218480=EDGE_CURVE('',#174426,#174424,#102592,.T.); #218481=EDGE_CURVE('',#174427,#174425,#102593,.T.); #218482=EDGE_CURVE('',#174426,#174427,#102594,.T.); #218483=EDGE_CURVE('',#174428,#174426,#102595,.T.); #218484=EDGE_CURVE('',#174429,#174427,#102596,.T.); #218485=EDGE_CURVE('',#174428,#174429,#102597,.T.); #218486=EDGE_CURVE('',#174430,#174428,#102598,.T.); #218487=EDGE_CURVE('',#174431,#174429,#102599,.T.); #218488=EDGE_CURVE('',#174430,#174431,#102600,.T.); #218489=EDGE_CURVE('',#174432,#174430,#102601,.T.); #218490=EDGE_CURVE('',#174433,#174431,#102602,.T.); #218491=EDGE_CURVE('',#174432,#174433,#102603,.T.); #218492=EDGE_CURVE('',#174434,#174432,#102604,.T.); #218493=EDGE_CURVE('',#174435,#174433,#102605,.T.); #218494=EDGE_CURVE('',#174434,#174435,#102606,.T.); #218495=EDGE_CURVE('',#174436,#174434,#102607,.T.); #218496=EDGE_CURVE('',#174437,#174435,#102608,.T.); #218497=EDGE_CURVE('',#174436,#174437,#102609,.T.); #218498=EDGE_CURVE('',#174438,#174436,#102610,.T.); #218499=EDGE_CURVE('',#174439,#174437,#102611,.T.); #218500=EDGE_CURVE('',#174438,#174439,#102612,.T.); #218501=EDGE_CURVE('',#174329,#174438,#102613,.T.); #218502=EDGE_CURVE('',#174330,#174439,#102614,.T.); #218503=EDGE_CURVE('',#174440,#174440,#69265,.T.); #218504=EDGE_CURVE('',#174440,#174441,#102615,.T.); #218505=EDGE_CURVE('',#174441,#174441,#69266,.T.); #218506=EDGE_CURVE('',#174442,#174443,#102616,.T.); #218507=EDGE_CURVE('',#174443,#174444,#102617,.T.); #218508=EDGE_CURVE('',#174445,#174444,#102618,.T.); #218509=EDGE_CURVE('',#174442,#174445,#102619,.T.); #218510=EDGE_CURVE('',#174446,#174442,#102620,.T.); #218511=EDGE_CURVE('',#174447,#174445,#102621,.T.); #218512=EDGE_CURVE('',#174446,#174447,#102622,.T.); #218513=EDGE_CURVE('',#174448,#174446,#102623,.T.); #218514=EDGE_CURVE('',#174449,#174447,#102624,.T.); #218515=EDGE_CURVE('',#174448,#174449,#102625,.T.); #218516=EDGE_CURVE('',#174443,#174448,#102626,.T.); #218517=EDGE_CURVE('',#174444,#174449,#102627,.T.); #218518=EDGE_CURVE('',#174450,#174451,#102628,.T.); #218519=EDGE_CURVE('',#174452,#174450,#102629,.T.); #218520=EDGE_CURVE('',#174453,#174452,#102630,.T.); #218521=EDGE_CURVE('',#174453,#174451,#102631,.T.); #218522=EDGE_CURVE('',#174451,#174454,#69267,.T.); #218523=EDGE_CURVE('',#174455,#174453,#69268,.T.); #218524=EDGE_CURVE('',#174455,#174454,#102632,.T.); #218525=EDGE_CURVE('',#174454,#174456,#102633,.T.); #218526=EDGE_CURVE('',#174457,#174455,#102634,.T.); #218527=EDGE_CURVE('',#174457,#174456,#102635,.T.); #218528=EDGE_CURVE('',#174456,#174450,#69269,.T.); #218529=EDGE_CURVE('',#174452,#174457,#69270,.T.); #218530=EDGE_CURVE('',#174458,#174459,#102636,.T.); #218531=EDGE_CURVE('',#174459,#174460,#102637,.T.); #218532=EDGE_CURVE('',#174461,#174460,#102638,.T.); #218533=EDGE_CURVE('',#174458,#174461,#102639,.T.); #218534=EDGE_CURVE('',#174462,#174458,#102640,.T.); #218535=EDGE_CURVE('',#174463,#174461,#102641,.T.); #218536=EDGE_CURVE('',#174462,#174463,#102642,.T.); #218537=EDGE_CURVE('',#174464,#174462,#102643,.T.); #218538=EDGE_CURVE('',#174465,#174463,#102644,.T.); #218539=EDGE_CURVE('',#174464,#174465,#102645,.T.); #218540=EDGE_CURVE('',#174466,#174464,#102646,.T.); #218541=EDGE_CURVE('',#174467,#174465,#102647,.T.); #218542=EDGE_CURVE('',#174466,#174467,#102648,.T.); #218543=EDGE_CURVE('',#174468,#174466,#102649,.T.); #218544=EDGE_CURVE('',#174469,#174467,#102650,.T.); #218545=EDGE_CURVE('',#174468,#174469,#102651,.T.); #218546=EDGE_CURVE('',#174470,#174468,#102652,.T.); #218547=EDGE_CURVE('',#174471,#174469,#102653,.T.); #218548=EDGE_CURVE('',#174470,#174471,#102654,.T.); #218549=EDGE_CURVE('',#174472,#174470,#102655,.T.); #218550=EDGE_CURVE('',#174473,#174471,#102656,.T.); #218551=EDGE_CURVE('',#174472,#174473,#102657,.T.); #218552=EDGE_CURVE('',#174474,#174472,#102658,.T.); #218553=EDGE_CURVE('',#174475,#174473,#102659,.T.); #218554=EDGE_CURVE('',#174474,#174475,#102660,.T.); #218555=EDGE_CURVE('',#174476,#174474,#102661,.T.); #218556=EDGE_CURVE('',#174477,#174475,#102662,.T.); #218557=EDGE_CURVE('',#174476,#174477,#102663,.T.); #218558=EDGE_CURVE('',#174478,#174476,#102664,.T.); #218559=EDGE_CURVE('',#174479,#174477,#102665,.T.); #218560=EDGE_CURVE('',#174478,#174479,#102666,.T.); #218561=EDGE_CURVE('',#174480,#174478,#102667,.T.); #218562=EDGE_CURVE('',#174481,#174479,#102668,.T.); #218563=EDGE_CURVE('',#174480,#174481,#102669,.T.); #218564=EDGE_CURVE('',#174482,#174480,#102670,.T.); #218565=EDGE_CURVE('',#174483,#174481,#102671,.T.); #218566=EDGE_CURVE('',#174482,#174483,#102672,.T.); #218567=EDGE_CURVE('',#174484,#174482,#102673,.T.); #218568=EDGE_CURVE('',#174485,#174483,#102674,.T.); #218569=EDGE_CURVE('',#174484,#174485,#102675,.T.); #218570=EDGE_CURVE('',#174486,#174484,#102676,.T.); #218571=EDGE_CURVE('',#174487,#174485,#102677,.T.); #218572=EDGE_CURVE('',#174486,#174487,#102678,.T.); #218573=EDGE_CURVE('',#174488,#174486,#102679,.T.); #218574=EDGE_CURVE('',#174489,#174487,#102680,.T.); #218575=EDGE_CURVE('',#174488,#174489,#102681,.T.); #218576=EDGE_CURVE('',#174490,#174488,#102682,.T.); #218577=EDGE_CURVE('',#174491,#174489,#102683,.T.); #218578=EDGE_CURVE('',#174490,#174491,#102684,.T.); #218579=EDGE_CURVE('',#174492,#174490,#102685,.T.); #218580=EDGE_CURVE('',#174493,#174491,#102686,.T.); #218581=EDGE_CURVE('',#174492,#174493,#102687,.T.); #218582=EDGE_CURVE('',#174494,#174492,#102688,.T.); #218583=EDGE_CURVE('',#174495,#174493,#102689,.T.); #218584=EDGE_CURVE('',#174494,#174495,#102690,.T.); #218585=EDGE_CURVE('',#174496,#174494,#102691,.T.); #218586=EDGE_CURVE('',#174497,#174495,#102692,.T.); #218587=EDGE_CURVE('',#174496,#174497,#102693,.T.); #218588=EDGE_CURVE('',#174498,#174496,#102694,.T.); #218589=EDGE_CURVE('',#174499,#174497,#102695,.T.); #218590=EDGE_CURVE('',#174498,#174499,#102696,.T.); #218591=EDGE_CURVE('',#174500,#174498,#102697,.T.); #218592=EDGE_CURVE('',#174501,#174499,#102698,.T.); #218593=EDGE_CURVE('',#174500,#174501,#102699,.T.); #218594=EDGE_CURVE('',#174502,#174500,#102700,.T.); #218595=EDGE_CURVE('',#174503,#174501,#102701,.T.); #218596=EDGE_CURVE('',#174502,#174503,#102702,.T.); #218597=EDGE_CURVE('',#174504,#174502,#102703,.T.); #218598=EDGE_CURVE('',#174505,#174503,#102704,.T.); #218599=EDGE_CURVE('',#174504,#174505,#102705,.T.); #218600=EDGE_CURVE('',#174506,#174504,#102706,.T.); #218601=EDGE_CURVE('',#174507,#174505,#102707,.T.); #218602=EDGE_CURVE('',#174506,#174507,#102708,.T.); #218603=EDGE_CURVE('',#174508,#174506,#102709,.T.); #218604=EDGE_CURVE('',#174509,#174507,#102710,.T.); #218605=EDGE_CURVE('',#174508,#174509,#102711,.T.); #218606=EDGE_CURVE('',#174510,#174508,#102712,.T.); #218607=EDGE_CURVE('',#174511,#174509,#102713,.T.); #218608=EDGE_CURVE('',#174510,#174511,#102714,.T.); #218609=EDGE_CURVE('',#174512,#174510,#102715,.T.); #218610=EDGE_CURVE('',#174513,#174511,#102716,.T.); #218611=EDGE_CURVE('',#174512,#174513,#102717,.T.); #218612=EDGE_CURVE('',#174514,#174512,#102718,.T.); #218613=EDGE_CURVE('',#174515,#174513,#102719,.T.); #218614=EDGE_CURVE('',#174514,#174515,#102720,.T.); #218615=EDGE_CURVE('',#174516,#174514,#102721,.T.); #218616=EDGE_CURVE('',#174517,#174515,#102722,.T.); #218617=EDGE_CURVE('',#174516,#174517,#102723,.T.); #218618=EDGE_CURVE('',#174518,#174516,#102724,.T.); #218619=EDGE_CURVE('',#174519,#174517,#102725,.T.); #218620=EDGE_CURVE('',#174518,#174519,#102726,.T.); #218621=EDGE_CURVE('',#174520,#174518,#102727,.T.); #218622=EDGE_CURVE('',#174521,#174519,#102728,.T.); #218623=EDGE_CURVE('',#174520,#174521,#102729,.T.); #218624=EDGE_CURVE('',#174522,#174520,#102730,.T.); #218625=EDGE_CURVE('',#174523,#174521,#102731,.T.); #218626=EDGE_CURVE('',#174522,#174523,#102732,.T.); #218627=EDGE_CURVE('',#174524,#174522,#102733,.T.); #218628=EDGE_CURVE('',#174525,#174523,#102734,.T.); #218629=EDGE_CURVE('',#174524,#174525,#102735,.T.); #218630=EDGE_CURVE('',#174526,#174524,#102736,.T.); #218631=EDGE_CURVE('',#174527,#174525,#102737,.T.); #218632=EDGE_CURVE('',#174526,#174527,#102738,.T.); #218633=EDGE_CURVE('',#174528,#174526,#102739,.T.); #218634=EDGE_CURVE('',#174529,#174527,#102740,.T.); #218635=EDGE_CURVE('',#174528,#174529,#102741,.T.); #218636=EDGE_CURVE('',#174530,#174528,#102742,.T.); #218637=EDGE_CURVE('',#174531,#174529,#102743,.T.); #218638=EDGE_CURVE('',#174530,#174531,#102744,.T.); #218639=EDGE_CURVE('',#174532,#174530,#102745,.T.); #218640=EDGE_CURVE('',#174533,#174531,#102746,.T.); #218641=EDGE_CURVE('',#174532,#174533,#102747,.T.); #218642=EDGE_CURVE('',#174534,#174532,#102748,.T.); #218643=EDGE_CURVE('',#174535,#174533,#102749,.T.); #218644=EDGE_CURVE('',#174534,#174535,#102750,.T.); #218645=EDGE_CURVE('',#174536,#174534,#102751,.T.); #218646=EDGE_CURVE('',#174537,#174535,#102752,.T.); #218647=EDGE_CURVE('',#174536,#174537,#102753,.T.); #218648=EDGE_CURVE('',#174538,#174536,#102754,.T.); #218649=EDGE_CURVE('',#174539,#174537,#102755,.T.); #218650=EDGE_CURVE('',#174538,#174539,#102756,.T.); #218651=EDGE_CURVE('',#174540,#174538,#102757,.T.); #218652=EDGE_CURVE('',#174541,#174539,#102758,.T.); #218653=EDGE_CURVE('',#174540,#174541,#102759,.T.); #218654=EDGE_CURVE('',#174542,#174540,#102760,.T.); #218655=EDGE_CURVE('',#174543,#174541,#102761,.T.); #218656=EDGE_CURVE('',#174542,#174543,#102762,.T.); #218657=EDGE_CURVE('',#174544,#174542,#102763,.T.); #218658=EDGE_CURVE('',#174545,#174543,#102764,.T.); #218659=EDGE_CURVE('',#174544,#174545,#102765,.T.); #218660=EDGE_CURVE('',#174546,#174544,#102766,.T.); #218661=EDGE_CURVE('',#174547,#174545,#102767,.T.); #218662=EDGE_CURVE('',#174546,#174547,#102768,.T.); #218663=EDGE_CURVE('',#174548,#174546,#102769,.T.); #218664=EDGE_CURVE('',#174549,#174547,#102770,.T.); #218665=EDGE_CURVE('',#174548,#174549,#102771,.T.); #218666=EDGE_CURVE('',#174550,#174548,#102772,.T.); #218667=EDGE_CURVE('',#174551,#174549,#102773,.T.); #218668=EDGE_CURVE('',#174550,#174551,#102774,.T.); #218669=EDGE_CURVE('',#174552,#174550,#102775,.T.); #218670=EDGE_CURVE('',#174553,#174551,#102776,.T.); #218671=EDGE_CURVE('',#174552,#174553,#102777,.T.); #218672=EDGE_CURVE('',#174554,#174552,#102778,.T.); #218673=EDGE_CURVE('',#174555,#174553,#102779,.T.); #218674=EDGE_CURVE('',#174554,#174555,#102780,.T.); #218675=EDGE_CURVE('',#174556,#174554,#102781,.T.); #218676=EDGE_CURVE('',#174557,#174555,#102782,.T.); #218677=EDGE_CURVE('',#174556,#174557,#102783,.T.); #218678=EDGE_CURVE('',#174558,#174556,#102784,.T.); #218679=EDGE_CURVE('',#174559,#174557,#102785,.T.); #218680=EDGE_CURVE('',#174558,#174559,#102786,.T.); #218681=EDGE_CURVE('',#174560,#174558,#102787,.T.); #218682=EDGE_CURVE('',#174561,#174559,#102788,.T.); #218683=EDGE_CURVE('',#174560,#174561,#102789,.T.); #218684=EDGE_CURVE('',#174562,#174560,#102790,.T.); #218685=EDGE_CURVE('',#174563,#174561,#102791,.T.); #218686=EDGE_CURVE('',#174562,#174563,#102792,.T.); #218687=EDGE_CURVE('',#174564,#174562,#102793,.T.); #218688=EDGE_CURVE('',#174565,#174563,#102794,.T.); #218689=EDGE_CURVE('',#174564,#174565,#102795,.T.); #218690=EDGE_CURVE('',#174566,#174564,#102796,.T.); #218691=EDGE_CURVE('',#174567,#174565,#102797,.T.); #218692=EDGE_CURVE('',#174566,#174567,#102798,.T.); #218693=EDGE_CURVE('',#174568,#174566,#102799,.T.); #218694=EDGE_CURVE('',#174569,#174567,#102800,.T.); #218695=EDGE_CURVE('',#174568,#174569,#102801,.T.); #218696=EDGE_CURVE('',#174459,#174568,#102802,.T.); #218697=EDGE_CURVE('',#174460,#174569,#102803,.T.); #218698=EDGE_CURVE('',#174570,#174571,#102804,.T.); #218699=EDGE_CURVE('',#174572,#174570,#102805,.T.); #218700=EDGE_CURVE('',#174573,#174572,#102806,.T.); #218701=EDGE_CURVE('',#174573,#174571,#102807,.T.); #218702=EDGE_CURVE('',#174571,#174574,#69271,.T.); #218703=EDGE_CURVE('',#174575,#174573,#69272,.T.); #218704=EDGE_CURVE('',#174575,#174574,#102808,.T.); #218705=EDGE_CURVE('',#174574,#174576,#102809,.T.); #218706=EDGE_CURVE('',#174577,#174575,#102810,.T.); #218707=EDGE_CURVE('',#174577,#174576,#102811,.T.); #218708=EDGE_CURVE('',#174576,#174570,#69273,.T.); #218709=EDGE_CURVE('',#174572,#174577,#69274,.T.); #218710=EDGE_CURVE('',#174578,#174579,#102812,.T.); #218711=EDGE_CURVE('',#174579,#174580,#102813,.T.); #218712=EDGE_CURVE('',#174581,#174580,#102814,.T.); #218713=EDGE_CURVE('',#174578,#174581,#102815,.T.); #218714=EDGE_CURVE('',#174582,#174578,#102816,.T.); #218715=EDGE_CURVE('',#174583,#174581,#102817,.T.); #218716=EDGE_CURVE('',#174582,#174583,#102818,.T.); #218717=EDGE_CURVE('',#174584,#174582,#102819,.T.); #218718=EDGE_CURVE('',#174585,#174583,#102820,.T.); #218719=EDGE_CURVE('',#174584,#174585,#102821,.T.); #218720=EDGE_CURVE('',#174586,#174584,#102822,.T.); #218721=EDGE_CURVE('',#174587,#174585,#102823,.T.); #218722=EDGE_CURVE('',#174586,#174587,#102824,.T.); #218723=EDGE_CURVE('',#174588,#174586,#102825,.T.); #218724=EDGE_CURVE('',#174589,#174587,#102826,.T.); #218725=EDGE_CURVE('',#174588,#174589,#102827,.T.); #218726=EDGE_CURVE('',#174590,#174588,#102828,.T.); #218727=EDGE_CURVE('',#174591,#174589,#102829,.T.); #218728=EDGE_CURVE('',#174590,#174591,#102830,.T.); #218729=EDGE_CURVE('',#174592,#174590,#102831,.T.); #218730=EDGE_CURVE('',#174593,#174591,#102832,.T.); #218731=EDGE_CURVE('',#174592,#174593,#102833,.T.); #218732=EDGE_CURVE('',#174594,#174592,#102834,.T.); #218733=EDGE_CURVE('',#174595,#174593,#102835,.T.); #218734=EDGE_CURVE('',#174594,#174595,#102836,.T.); #218735=EDGE_CURVE('',#174596,#174594,#102837,.T.); #218736=EDGE_CURVE('',#174597,#174595,#102838,.T.); #218737=EDGE_CURVE('',#174596,#174597,#102839,.T.); #218738=EDGE_CURVE('',#174598,#174596,#102840,.T.); #218739=EDGE_CURVE('',#174599,#174597,#102841,.T.); #218740=EDGE_CURVE('',#174598,#174599,#102842,.T.); #218741=EDGE_CURVE('',#174600,#174598,#102843,.T.); #218742=EDGE_CURVE('',#174601,#174599,#102844,.T.); #218743=EDGE_CURVE('',#174600,#174601,#102845,.T.); #218744=EDGE_CURVE('',#174602,#174600,#102846,.T.); #218745=EDGE_CURVE('',#174603,#174601,#102847,.T.); #218746=EDGE_CURVE('',#174602,#174603,#102848,.T.); #218747=EDGE_CURVE('',#174604,#174602,#102849,.T.); #218748=EDGE_CURVE('',#174605,#174603,#102850,.T.); #218749=EDGE_CURVE('',#174604,#174605,#102851,.T.); #218750=EDGE_CURVE('',#174606,#174604,#102852,.T.); #218751=EDGE_CURVE('',#174607,#174605,#102853,.T.); #218752=EDGE_CURVE('',#174606,#174607,#102854,.T.); #218753=EDGE_CURVE('',#174608,#174606,#102855,.T.); #218754=EDGE_CURVE('',#174609,#174607,#102856,.T.); #218755=EDGE_CURVE('',#174608,#174609,#102857,.T.); #218756=EDGE_CURVE('',#174610,#174608,#102858,.T.); #218757=EDGE_CURVE('',#174611,#174609,#102859,.T.); #218758=EDGE_CURVE('',#174610,#174611,#102860,.T.); #218759=EDGE_CURVE('',#174612,#174610,#102861,.T.); #218760=EDGE_CURVE('',#174613,#174611,#102862,.T.); #218761=EDGE_CURVE('',#174612,#174613,#102863,.T.); #218762=EDGE_CURVE('',#174614,#174612,#102864,.T.); #218763=EDGE_CURVE('',#174615,#174613,#102865,.T.); #218764=EDGE_CURVE('',#174614,#174615,#102866,.T.); #218765=EDGE_CURVE('',#174616,#174614,#102867,.T.); #218766=EDGE_CURVE('',#174617,#174615,#102868,.T.); #218767=EDGE_CURVE('',#174616,#174617,#102869,.T.); #218768=EDGE_CURVE('',#174618,#174616,#102870,.T.); #218769=EDGE_CURVE('',#174619,#174617,#102871,.T.); #218770=EDGE_CURVE('',#174618,#174619,#102872,.T.); #218771=EDGE_CURVE('',#174620,#174618,#102873,.T.); #218772=EDGE_CURVE('',#174621,#174619,#102874,.T.); #218773=EDGE_CURVE('',#174620,#174621,#102875,.T.); #218774=EDGE_CURVE('',#174622,#174620,#102876,.T.); #218775=EDGE_CURVE('',#174623,#174621,#102877,.T.); #218776=EDGE_CURVE('',#174622,#174623,#102878,.T.); #218777=EDGE_CURVE('',#174624,#174622,#102879,.T.); #218778=EDGE_CURVE('',#174625,#174623,#102880,.T.); #218779=EDGE_CURVE('',#174624,#174625,#102881,.T.); #218780=EDGE_CURVE('',#174626,#174624,#102882,.T.); #218781=EDGE_CURVE('',#174627,#174625,#102883,.T.); #218782=EDGE_CURVE('',#174626,#174627,#102884,.T.); #218783=EDGE_CURVE('',#174628,#174626,#102885,.T.); #218784=EDGE_CURVE('',#174629,#174627,#102886,.T.); #218785=EDGE_CURVE('',#174628,#174629,#102887,.T.); #218786=EDGE_CURVE('',#174630,#174628,#102888,.T.); #218787=EDGE_CURVE('',#174631,#174629,#102889,.T.); #218788=EDGE_CURVE('',#174630,#174631,#102890,.T.); #218789=EDGE_CURVE('',#174632,#174630,#102891,.T.); #218790=EDGE_CURVE('',#174633,#174631,#102892,.T.); #218791=EDGE_CURVE('',#174632,#174633,#102893,.T.); #218792=EDGE_CURVE('',#174634,#174632,#102894,.T.); #218793=EDGE_CURVE('',#174635,#174633,#102895,.T.); #218794=EDGE_CURVE('',#174634,#174635,#102896,.T.); #218795=EDGE_CURVE('',#174636,#174634,#102897,.T.); #218796=EDGE_CURVE('',#174637,#174635,#102898,.T.); #218797=EDGE_CURVE('',#174636,#174637,#102899,.T.); #218798=EDGE_CURVE('',#174638,#174636,#102900,.T.); #218799=EDGE_CURVE('',#174639,#174637,#102901,.T.); #218800=EDGE_CURVE('',#174638,#174639,#102902,.T.); #218801=EDGE_CURVE('',#174640,#174638,#102903,.T.); #218802=EDGE_CURVE('',#174641,#174639,#102904,.T.); #218803=EDGE_CURVE('',#174640,#174641,#102905,.T.); #218804=EDGE_CURVE('',#174642,#174640,#102906,.T.); #218805=EDGE_CURVE('',#174643,#174641,#102907,.T.); #218806=EDGE_CURVE('',#174642,#174643,#102908,.T.); #218807=EDGE_CURVE('',#174644,#174642,#102909,.T.); #218808=EDGE_CURVE('',#174645,#174643,#102910,.T.); #218809=EDGE_CURVE('',#174644,#174645,#102911,.T.); #218810=EDGE_CURVE('',#174646,#174644,#102912,.T.); #218811=EDGE_CURVE('',#174647,#174645,#102913,.T.); #218812=EDGE_CURVE('',#174646,#174647,#102914,.T.); #218813=EDGE_CURVE('',#174648,#174646,#102915,.T.); #218814=EDGE_CURVE('',#174649,#174647,#102916,.T.); #218815=EDGE_CURVE('',#174648,#174649,#102917,.T.); #218816=EDGE_CURVE('',#174650,#174648,#102918,.T.); #218817=EDGE_CURVE('',#174651,#174649,#102919,.T.); #218818=EDGE_CURVE('',#174650,#174651,#102920,.T.); #218819=EDGE_CURVE('',#174652,#174650,#102921,.T.); #218820=EDGE_CURVE('',#174653,#174651,#102922,.T.); #218821=EDGE_CURVE('',#174652,#174653,#102923,.T.); #218822=EDGE_CURVE('',#174654,#174652,#102924,.T.); #218823=EDGE_CURVE('',#174655,#174653,#102925,.T.); #218824=EDGE_CURVE('',#174654,#174655,#102926,.T.); #218825=EDGE_CURVE('',#174656,#174654,#102927,.T.); #218826=EDGE_CURVE('',#174657,#174655,#102928,.T.); #218827=EDGE_CURVE('',#174656,#174657,#102929,.T.); #218828=EDGE_CURVE('',#174658,#174656,#102930,.T.); #218829=EDGE_CURVE('',#174659,#174657,#102931,.T.); #218830=EDGE_CURVE('',#174658,#174659,#102932,.T.); #218831=EDGE_CURVE('',#174660,#174658,#102933,.T.); #218832=EDGE_CURVE('',#174661,#174659,#102934,.T.); #218833=EDGE_CURVE('',#174660,#174661,#102935,.T.); #218834=EDGE_CURVE('',#174662,#174660,#102936,.T.); #218835=EDGE_CURVE('',#174663,#174661,#102937,.T.); #218836=EDGE_CURVE('',#174662,#174663,#102938,.T.); #218837=EDGE_CURVE('',#174664,#174662,#102939,.T.); #218838=EDGE_CURVE('',#174665,#174663,#102940,.T.); #218839=EDGE_CURVE('',#174664,#174665,#102941,.T.); #218840=EDGE_CURVE('',#174666,#174664,#102942,.T.); #218841=EDGE_CURVE('',#174667,#174665,#102943,.T.); #218842=EDGE_CURVE('',#174666,#174667,#102944,.T.); #218843=EDGE_CURVE('',#174668,#174666,#102945,.T.); #218844=EDGE_CURVE('',#174669,#174667,#102946,.T.); #218845=EDGE_CURVE('',#174668,#174669,#102947,.T.); #218846=EDGE_CURVE('',#174670,#174668,#102948,.T.); #218847=EDGE_CURVE('',#174671,#174669,#102949,.T.); #218848=EDGE_CURVE('',#174670,#174671,#102950,.T.); #218849=EDGE_CURVE('',#174672,#174670,#102951,.T.); #218850=EDGE_CURVE('',#174673,#174671,#102952,.T.); #218851=EDGE_CURVE('',#174672,#174673,#102953,.T.); #218852=EDGE_CURVE('',#174674,#174672,#102954,.T.); #218853=EDGE_CURVE('',#174675,#174673,#102955,.T.); #218854=EDGE_CURVE('',#174674,#174675,#102956,.T.); #218855=EDGE_CURVE('',#174676,#174674,#102957,.T.); #218856=EDGE_CURVE('',#174677,#174675,#102958,.T.); #218857=EDGE_CURVE('',#174676,#174677,#102959,.T.); #218858=EDGE_CURVE('',#174678,#174676,#102960,.T.); #218859=EDGE_CURVE('',#174679,#174677,#102961,.T.); #218860=EDGE_CURVE('',#174678,#174679,#102962,.T.); #218861=EDGE_CURVE('',#174680,#174678,#102963,.T.); #218862=EDGE_CURVE('',#174681,#174679,#102964,.T.); #218863=EDGE_CURVE('',#174680,#174681,#102965,.T.); #218864=EDGE_CURVE('',#174682,#174680,#102966,.T.); #218865=EDGE_CURVE('',#174683,#174681,#102967,.T.); #218866=EDGE_CURVE('',#174682,#174683,#102968,.T.); #218867=EDGE_CURVE('',#174684,#174682,#102969,.T.); #218868=EDGE_CURVE('',#174685,#174683,#102970,.T.); #218869=EDGE_CURVE('',#174684,#174685,#102971,.T.); #218870=EDGE_CURVE('',#174686,#174684,#102972,.T.); #218871=EDGE_CURVE('',#174687,#174685,#102973,.T.); #218872=EDGE_CURVE('',#174686,#174687,#102974,.T.); #218873=EDGE_CURVE('',#174688,#174686,#102975,.T.); #218874=EDGE_CURVE('',#174689,#174687,#102976,.T.); #218875=EDGE_CURVE('',#174688,#174689,#102977,.T.); #218876=EDGE_CURVE('',#174690,#174688,#102978,.T.); #218877=EDGE_CURVE('',#174691,#174689,#102979,.T.); #218878=EDGE_CURVE('',#174690,#174691,#102980,.T.); #218879=EDGE_CURVE('',#174692,#174690,#102981,.T.); #218880=EDGE_CURVE('',#174693,#174691,#102982,.T.); #218881=EDGE_CURVE('',#174692,#174693,#102983,.T.); #218882=EDGE_CURVE('',#174694,#174692,#102984,.T.); #218883=EDGE_CURVE('',#174695,#174693,#102985,.T.); #218884=EDGE_CURVE('',#174694,#174695,#102986,.T.); #218885=EDGE_CURVE('',#174696,#174694,#102987,.T.); #218886=EDGE_CURVE('',#174697,#174695,#102988,.T.); #218887=EDGE_CURVE('',#174696,#174697,#102989,.T.); #218888=EDGE_CURVE('',#174698,#174696,#102990,.T.); #218889=EDGE_CURVE('',#174699,#174697,#102991,.T.); #218890=EDGE_CURVE('',#174698,#174699,#102992,.T.); #218891=EDGE_CURVE('',#174700,#174698,#102993,.T.); #218892=EDGE_CURVE('',#174701,#174699,#102994,.T.); #218893=EDGE_CURVE('',#174700,#174701,#102995,.T.); #218894=EDGE_CURVE('',#174702,#174700,#102996,.T.); #218895=EDGE_CURVE('',#174703,#174701,#102997,.T.); #218896=EDGE_CURVE('',#174702,#174703,#102998,.T.); #218897=EDGE_CURVE('',#174704,#174702,#102999,.T.); #218898=EDGE_CURVE('',#174705,#174703,#103000,.T.); #218899=EDGE_CURVE('',#174704,#174705,#103001,.T.); #218900=EDGE_CURVE('',#174706,#174704,#103002,.T.); #218901=EDGE_CURVE('',#174707,#174705,#103003,.T.); #218902=EDGE_CURVE('',#174706,#174707,#103004,.T.); #218903=EDGE_CURVE('',#174708,#174706,#103005,.T.); #218904=EDGE_CURVE('',#174709,#174707,#103006,.T.); #218905=EDGE_CURVE('',#174708,#174709,#103007,.T.); #218906=EDGE_CURVE('',#174710,#174708,#103008,.T.); #218907=EDGE_CURVE('',#174711,#174709,#103009,.T.); #218908=EDGE_CURVE('',#174710,#174711,#103010,.T.); #218909=EDGE_CURVE('',#174712,#174710,#103011,.T.); #218910=EDGE_CURVE('',#174713,#174711,#103012,.T.); #218911=EDGE_CURVE('',#174712,#174713,#103013,.T.); #218912=EDGE_CURVE('',#174714,#174712,#103014,.T.); #218913=EDGE_CURVE('',#174715,#174713,#103015,.T.); #218914=EDGE_CURVE('',#174714,#174715,#103016,.T.); #218915=EDGE_CURVE('',#174716,#174714,#103017,.T.); #218916=EDGE_CURVE('',#174717,#174715,#103018,.T.); #218917=EDGE_CURVE('',#174716,#174717,#103019,.T.); #218918=EDGE_CURVE('',#174718,#174716,#103020,.T.); #218919=EDGE_CURVE('',#174719,#174717,#103021,.T.); #218920=EDGE_CURVE('',#174718,#174719,#103022,.T.); #218921=EDGE_CURVE('',#174720,#174718,#103023,.T.); #218922=EDGE_CURVE('',#174721,#174719,#103024,.T.); #218923=EDGE_CURVE('',#174720,#174721,#103025,.T.); #218924=EDGE_CURVE('',#174579,#174720,#103026,.T.); #218925=EDGE_CURVE('',#174580,#174721,#103027,.T.); #218926=EDGE_CURVE('',#174722,#174722,#69275,.T.); #218927=EDGE_CURVE('',#174722,#174723,#103028,.T.); #218928=EDGE_CURVE('',#174723,#174723,#69276,.T.); #218929=EDGE_CURVE('',#174724,#174725,#103029,.T.); #218930=EDGE_CURVE('',#174725,#174726,#103030,.T.); #218931=EDGE_CURVE('',#174727,#174726,#103031,.T.); #218932=EDGE_CURVE('',#174724,#174727,#103032,.T.); #218933=EDGE_CURVE('',#174728,#174724,#69277,.T.); #218934=EDGE_CURVE('',#174729,#174727,#69278,.T.); #218935=EDGE_CURVE('',#174728,#174729,#103033,.T.); #218936=EDGE_CURVE('',#174725,#174728,#69279,.T.); #218937=EDGE_CURVE('',#174726,#174729,#69280,.T.); #218938=EDGE_CURVE('',#174730,#174730,#69281,.T.); #218939=EDGE_CURVE('',#174730,#174731,#103034,.T.); #218940=EDGE_CURVE('',#174731,#174731,#69282,.T.); #218941=EDGE_CURVE('',#174732,#174733,#103035,.T.); #218942=EDGE_CURVE('',#174733,#174734,#103036,.T.); #218943=EDGE_CURVE('',#174735,#174734,#103037,.T.); #218944=EDGE_CURVE('',#174732,#174735,#103038,.T.); #218945=EDGE_CURVE('',#174736,#174732,#69283,.T.); #218946=EDGE_CURVE('',#174737,#174735,#69284,.T.); #218947=EDGE_CURVE('',#174736,#174737,#103039,.T.); #218948=EDGE_CURVE('',#174733,#174736,#69285,.T.); #218949=EDGE_CURVE('',#174734,#174737,#69286,.T.); #218950=EDGE_CURVE('',#174738,#174739,#103040,.T.); #218951=EDGE_CURVE('',#174740,#174738,#103041,.T.); #218952=EDGE_CURVE('',#174741,#174740,#103042,.T.); #218953=EDGE_CURVE('',#174741,#174739,#103043,.T.); #218954=EDGE_CURVE('',#174739,#174742,#69287,.T.); #218955=EDGE_CURVE('',#174743,#174741,#69288,.T.); #218956=EDGE_CURVE('',#174743,#174742,#103044,.T.); #218957=EDGE_CURVE('',#174742,#174744,#103045,.T.); #218958=EDGE_CURVE('',#174745,#174743,#103046,.T.); #218959=EDGE_CURVE('',#174745,#174744,#103047,.T.); #218960=EDGE_CURVE('',#174744,#174738,#69289,.T.); #218961=EDGE_CURVE('',#174740,#174745,#69290,.T.); #218962=EDGE_CURVE('',#174746,#174747,#103048,.T.); #218963=EDGE_CURVE('',#174747,#174748,#103049,.T.); #218964=EDGE_CURVE('',#174749,#174748,#103050,.T.); #218965=EDGE_CURVE('',#174746,#174749,#103051,.T.); #218966=EDGE_CURVE('',#174750,#174746,#103052,.T.); #218967=EDGE_CURVE('',#174751,#174749,#103053,.T.); #218968=EDGE_CURVE('',#174750,#174751,#103054,.T.); #218969=EDGE_CURVE('',#174752,#174750,#103055,.T.); #218970=EDGE_CURVE('',#174753,#174751,#103056,.T.); #218971=EDGE_CURVE('',#174752,#174753,#103057,.T.); #218972=EDGE_CURVE('',#174754,#174752,#103058,.T.); #218973=EDGE_CURVE('',#174755,#174753,#103059,.T.); #218974=EDGE_CURVE('',#174754,#174755,#103060,.T.); #218975=EDGE_CURVE('',#174756,#174754,#103061,.T.); #218976=EDGE_CURVE('',#174757,#174755,#103062,.T.); #218977=EDGE_CURVE('',#174756,#174757,#103063,.T.); #218978=EDGE_CURVE('',#174758,#174756,#103064,.T.); #218979=EDGE_CURVE('',#174759,#174757,#103065,.T.); #218980=EDGE_CURVE('',#174758,#174759,#103066,.T.); #218981=EDGE_CURVE('',#174760,#174758,#103067,.T.); #218982=EDGE_CURVE('',#174761,#174759,#103068,.T.); #218983=EDGE_CURVE('',#174760,#174761,#103069,.T.); #218984=EDGE_CURVE('',#174762,#174760,#103070,.T.); #218985=EDGE_CURVE('',#174763,#174761,#103071,.T.); #218986=EDGE_CURVE('',#174762,#174763,#103072,.T.); #218987=EDGE_CURVE('',#174764,#174762,#103073,.T.); #218988=EDGE_CURVE('',#174765,#174763,#103074,.T.); #218989=EDGE_CURVE('',#174764,#174765,#103075,.T.); #218990=EDGE_CURVE('',#174766,#174764,#103076,.T.); #218991=EDGE_CURVE('',#174767,#174765,#103077,.T.); #218992=EDGE_CURVE('',#174766,#174767,#103078,.T.); #218993=EDGE_CURVE('',#174768,#174766,#103079,.T.); #218994=EDGE_CURVE('',#174769,#174767,#103080,.T.); #218995=EDGE_CURVE('',#174768,#174769,#103081,.T.); #218996=EDGE_CURVE('',#174770,#174768,#103082,.T.); #218997=EDGE_CURVE('',#174771,#174769,#103083,.T.); #218998=EDGE_CURVE('',#174770,#174771,#103084,.T.); #218999=EDGE_CURVE('',#174772,#174770,#103085,.T.); #219000=EDGE_CURVE('',#174773,#174771,#103086,.T.); #219001=EDGE_CURVE('',#174772,#174773,#103087,.T.); #219002=EDGE_CURVE('',#174774,#174772,#103088,.T.); #219003=EDGE_CURVE('',#174775,#174773,#103089,.T.); #219004=EDGE_CURVE('',#174774,#174775,#103090,.T.); #219005=EDGE_CURVE('',#174776,#174774,#103091,.T.); #219006=EDGE_CURVE('',#174777,#174775,#103092,.T.); #219007=EDGE_CURVE('',#174776,#174777,#103093,.T.); #219008=EDGE_CURVE('',#174778,#174776,#103094,.T.); #219009=EDGE_CURVE('',#174779,#174777,#103095,.T.); #219010=EDGE_CURVE('',#174778,#174779,#103096,.T.); #219011=EDGE_CURVE('',#174780,#174778,#103097,.T.); #219012=EDGE_CURVE('',#174781,#174779,#103098,.T.); #219013=EDGE_CURVE('',#174780,#174781,#103099,.T.); #219014=EDGE_CURVE('',#174782,#174780,#103100,.T.); #219015=EDGE_CURVE('',#174783,#174781,#103101,.T.); #219016=EDGE_CURVE('',#174782,#174783,#103102,.T.); #219017=EDGE_CURVE('',#174784,#174782,#103103,.T.); #219018=EDGE_CURVE('',#174785,#174783,#103104,.T.); #219019=EDGE_CURVE('',#174784,#174785,#103105,.T.); #219020=EDGE_CURVE('',#174786,#174784,#103106,.T.); #219021=EDGE_CURVE('',#174787,#174785,#103107,.T.); #219022=EDGE_CURVE('',#174786,#174787,#103108,.T.); #219023=EDGE_CURVE('',#174788,#174786,#103109,.T.); #219024=EDGE_CURVE('',#174789,#174787,#103110,.T.); #219025=EDGE_CURVE('',#174788,#174789,#103111,.T.); #219026=EDGE_CURVE('',#174790,#174788,#103112,.T.); #219027=EDGE_CURVE('',#174791,#174789,#103113,.T.); #219028=EDGE_CURVE('',#174790,#174791,#103114,.T.); #219029=EDGE_CURVE('',#174792,#174790,#103115,.T.); #219030=EDGE_CURVE('',#174793,#174791,#103116,.T.); #219031=EDGE_CURVE('',#174792,#174793,#103117,.T.); #219032=EDGE_CURVE('',#174794,#174792,#103118,.T.); #219033=EDGE_CURVE('',#174795,#174793,#103119,.T.); #219034=EDGE_CURVE('',#174794,#174795,#103120,.T.); #219035=EDGE_CURVE('',#174796,#174794,#103121,.T.); #219036=EDGE_CURVE('',#174797,#174795,#103122,.T.); #219037=EDGE_CURVE('',#174796,#174797,#103123,.T.); #219038=EDGE_CURVE('',#174798,#174796,#103124,.T.); #219039=EDGE_CURVE('',#174799,#174797,#103125,.T.); #219040=EDGE_CURVE('',#174798,#174799,#103126,.T.); #219041=EDGE_CURVE('',#174800,#174798,#103127,.T.); #219042=EDGE_CURVE('',#174801,#174799,#103128,.T.); #219043=EDGE_CURVE('',#174800,#174801,#103129,.T.); #219044=EDGE_CURVE('',#174802,#174800,#103130,.T.); #219045=EDGE_CURVE('',#174803,#174801,#103131,.T.); #219046=EDGE_CURVE('',#174802,#174803,#103132,.T.); #219047=EDGE_CURVE('',#174804,#174802,#103133,.T.); #219048=EDGE_CURVE('',#174805,#174803,#103134,.T.); #219049=EDGE_CURVE('',#174804,#174805,#103135,.T.); #219050=EDGE_CURVE('',#174806,#174804,#103136,.T.); #219051=EDGE_CURVE('',#174807,#174805,#103137,.T.); #219052=EDGE_CURVE('',#174806,#174807,#103138,.T.); #219053=EDGE_CURVE('',#174808,#174806,#103139,.T.); #219054=EDGE_CURVE('',#174809,#174807,#103140,.T.); #219055=EDGE_CURVE('',#174808,#174809,#103141,.T.); #219056=EDGE_CURVE('',#174810,#174808,#103142,.T.); #219057=EDGE_CURVE('',#174811,#174809,#103143,.T.); #219058=EDGE_CURVE('',#174810,#174811,#103144,.T.); #219059=EDGE_CURVE('',#174812,#174810,#103145,.T.); #219060=EDGE_CURVE('',#174813,#174811,#103146,.T.); #219061=EDGE_CURVE('',#174812,#174813,#103147,.T.); #219062=EDGE_CURVE('',#174814,#174812,#103148,.T.); #219063=EDGE_CURVE('',#174815,#174813,#103149,.T.); #219064=EDGE_CURVE('',#174814,#174815,#103150,.T.); #219065=EDGE_CURVE('',#174816,#174814,#103151,.T.); #219066=EDGE_CURVE('',#174817,#174815,#103152,.T.); #219067=EDGE_CURVE('',#174816,#174817,#103153,.T.); #219068=EDGE_CURVE('',#174818,#174816,#103154,.T.); #219069=EDGE_CURVE('',#174819,#174817,#103155,.T.); #219070=EDGE_CURVE('',#174818,#174819,#103156,.T.); #219071=EDGE_CURVE('',#174820,#174818,#103157,.T.); #219072=EDGE_CURVE('',#174821,#174819,#103158,.T.); #219073=EDGE_CURVE('',#174820,#174821,#103159,.T.); #219074=EDGE_CURVE('',#174822,#174820,#103160,.T.); #219075=EDGE_CURVE('',#174823,#174821,#103161,.T.); #219076=EDGE_CURVE('',#174822,#174823,#103162,.T.); #219077=EDGE_CURVE('',#174824,#174822,#103163,.T.); #219078=EDGE_CURVE('',#174825,#174823,#103164,.T.); #219079=EDGE_CURVE('',#174824,#174825,#103165,.T.); #219080=EDGE_CURVE('',#174826,#174824,#103166,.T.); #219081=EDGE_CURVE('',#174827,#174825,#103167,.T.); #219082=EDGE_CURVE('',#174826,#174827,#103168,.T.); #219083=EDGE_CURVE('',#174828,#174826,#103169,.T.); #219084=EDGE_CURVE('',#174829,#174827,#103170,.T.); #219085=EDGE_CURVE('',#174828,#174829,#103171,.T.); #219086=EDGE_CURVE('',#174830,#174828,#103172,.T.); #219087=EDGE_CURVE('',#174831,#174829,#103173,.T.); #219088=EDGE_CURVE('',#174830,#174831,#103174,.T.); #219089=EDGE_CURVE('',#174832,#174830,#103175,.T.); #219090=EDGE_CURVE('',#174833,#174831,#103176,.T.); #219091=EDGE_CURVE('',#174832,#174833,#103177,.T.); #219092=EDGE_CURVE('',#174834,#174832,#103178,.T.); #219093=EDGE_CURVE('',#174835,#174833,#103179,.T.); #219094=EDGE_CURVE('',#174834,#174835,#103180,.T.); #219095=EDGE_CURVE('',#174836,#174834,#103181,.T.); #219096=EDGE_CURVE('',#174837,#174835,#103182,.T.); #219097=EDGE_CURVE('',#174836,#174837,#103183,.T.); #219098=EDGE_CURVE('',#174838,#174836,#103184,.T.); #219099=EDGE_CURVE('',#174839,#174837,#103185,.T.); #219100=EDGE_CURVE('',#174838,#174839,#103186,.T.); #219101=EDGE_CURVE('',#174840,#174838,#103187,.T.); #219102=EDGE_CURVE('',#174841,#174839,#103188,.T.); #219103=EDGE_CURVE('',#174840,#174841,#103189,.T.); #219104=EDGE_CURVE('',#174842,#174840,#103190,.T.); #219105=EDGE_CURVE('',#174843,#174841,#103191,.T.); #219106=EDGE_CURVE('',#174842,#174843,#103192,.T.); #219107=EDGE_CURVE('',#174844,#174842,#103193,.T.); #219108=EDGE_CURVE('',#174845,#174843,#103194,.T.); #219109=EDGE_CURVE('',#174844,#174845,#103195,.T.); #219110=EDGE_CURVE('',#174846,#174844,#103196,.T.); #219111=EDGE_CURVE('',#174847,#174845,#103197,.T.); #219112=EDGE_CURVE('',#174846,#174847,#103198,.T.); #219113=EDGE_CURVE('',#174848,#174846,#103199,.T.); #219114=EDGE_CURVE('',#174849,#174847,#103200,.T.); #219115=EDGE_CURVE('',#174848,#174849,#103201,.T.); #219116=EDGE_CURVE('',#174850,#174848,#103202,.T.); #219117=EDGE_CURVE('',#174851,#174849,#103203,.T.); #219118=EDGE_CURVE('',#174850,#174851,#103204,.T.); #219119=EDGE_CURVE('',#174852,#174850,#103205,.T.); #219120=EDGE_CURVE('',#174853,#174851,#103206,.T.); #219121=EDGE_CURVE('',#174852,#174853,#103207,.T.); #219122=EDGE_CURVE('',#174854,#174852,#103208,.T.); #219123=EDGE_CURVE('',#174855,#174853,#103209,.T.); #219124=EDGE_CURVE('',#174854,#174855,#103210,.T.); #219125=EDGE_CURVE('',#174856,#174854,#103211,.T.); #219126=EDGE_CURVE('',#174857,#174855,#103212,.T.); #219127=EDGE_CURVE('',#174856,#174857,#103213,.T.); #219128=EDGE_CURVE('',#174747,#174856,#103214,.T.); #219129=EDGE_CURVE('',#174748,#174857,#103215,.T.); #219130=EDGE_CURVE('',#174858,#174858,#69291,.T.); #219131=EDGE_CURVE('',#174858,#174859,#103216,.T.); #219132=EDGE_CURVE('',#174859,#174859,#69292,.T.); #219133=EDGE_CURVE('',#174860,#174861,#103217,.T.); #219134=EDGE_CURVE('',#174861,#174862,#103218,.T.); #219135=EDGE_CURVE('',#174863,#174862,#103219,.T.); #219136=EDGE_CURVE('',#174860,#174863,#103220,.T.); #219137=EDGE_CURVE('',#174864,#174860,#69293,.T.); #219138=EDGE_CURVE('',#174865,#174863,#69294,.T.); #219139=EDGE_CURVE('',#174864,#174865,#103221,.T.); #219140=EDGE_CURVE('',#174861,#174864,#69295,.T.); #219141=EDGE_CURVE('',#174862,#174865,#69296,.T.); #219142=EDGE_CURVE('',#174866,#174866,#69297,.T.); #219143=EDGE_CURVE('',#174866,#174867,#103222,.T.); #219144=EDGE_CURVE('',#174867,#174867,#69298,.T.); #219145=EDGE_CURVE('',#174868,#174869,#103223,.T.); #219146=EDGE_CURVE('',#174869,#174870,#103224,.T.); #219147=EDGE_CURVE('',#174871,#174870,#103225,.T.); #219148=EDGE_CURVE('',#174868,#174871,#103226,.T.); #219149=EDGE_CURVE('',#174872,#174868,#69299,.T.); #219150=EDGE_CURVE('',#174873,#174871,#69300,.T.); #219151=EDGE_CURVE('',#174872,#174873,#103227,.T.); #219152=EDGE_CURVE('',#174869,#174872,#69301,.T.); #219153=EDGE_CURVE('',#174870,#174873,#69302,.T.); #219154=EDGE_CURVE('',#174874,#174874,#69303,.T.); #219155=EDGE_CURVE('',#174874,#174875,#103228,.T.); #219156=EDGE_CURVE('',#174875,#174875,#69304,.T.); #219157=EDGE_CURVE('',#174876,#174877,#103229,.T.); #219158=EDGE_CURVE('',#174877,#174878,#103230,.T.); #219159=EDGE_CURVE('',#174879,#174878,#103231,.T.); #219160=EDGE_CURVE('',#174876,#174879,#103232,.T.); #219161=EDGE_CURVE('',#174880,#174876,#69305,.T.); #219162=EDGE_CURVE('',#174881,#174879,#69306,.T.); #219163=EDGE_CURVE('',#174880,#174881,#103233,.T.); #219164=EDGE_CURVE('',#174877,#174880,#69307,.T.); #219165=EDGE_CURVE('',#174878,#174881,#69308,.T.); #219166=EDGE_CURVE('',#174882,#174882,#69309,.T.); #219167=EDGE_CURVE('',#174882,#174883,#103234,.T.); #219168=EDGE_CURVE('',#174883,#174883,#69310,.T.); #219169=EDGE_CURVE('',#174884,#174885,#103235,.T.); #219170=EDGE_CURVE('',#174885,#174886,#103236,.T.); #219171=EDGE_CURVE('',#174887,#174886,#103237,.T.); #219172=EDGE_CURVE('',#174884,#174887,#103238,.T.); #219173=EDGE_CURVE('',#174888,#174884,#103239,.T.); #219174=EDGE_CURVE('',#174889,#174887,#103240,.T.); #219175=EDGE_CURVE('',#174888,#174889,#103241,.T.); #219176=EDGE_CURVE('',#174890,#174888,#103242,.T.); #219177=EDGE_CURVE('',#174891,#174889,#103243,.T.); #219178=EDGE_CURVE('',#174890,#174891,#103244,.T.); #219179=EDGE_CURVE('',#174885,#174890,#103245,.T.); #219180=EDGE_CURVE('',#174886,#174891,#103246,.T.); #219181=EDGE_CURVE('',#174892,#174892,#69311,.T.); #219182=EDGE_CURVE('',#174892,#174893,#103247,.T.); #219183=EDGE_CURVE('',#174893,#174893,#69312,.T.); #219184=EDGE_CURVE('',#174894,#174895,#103248,.T.); #219185=EDGE_CURVE('',#174895,#174896,#103249,.T.); #219186=EDGE_CURVE('',#174897,#174896,#103250,.T.); #219187=EDGE_CURVE('',#174894,#174897,#103251,.T.); #219188=EDGE_CURVE('',#174898,#174894,#69313,.T.); #219189=EDGE_CURVE('',#174899,#174897,#69314,.T.); #219190=EDGE_CURVE('',#174898,#174899,#103252,.T.); #219191=EDGE_CURVE('',#174895,#174898,#69315,.T.); #219192=EDGE_CURVE('',#174896,#174899,#69316,.T.); #219193=EDGE_CURVE('',#174900,#174901,#103253,.T.); #219194=EDGE_CURVE('',#174901,#174902,#103254,.T.); #219195=EDGE_CURVE('',#174903,#174902,#103255,.T.); #219196=EDGE_CURVE('',#174900,#174903,#103256,.T.); #219197=EDGE_CURVE('',#174904,#174900,#103257,.T.); #219198=EDGE_CURVE('',#174905,#174903,#103258,.T.); #219199=EDGE_CURVE('',#174904,#174905,#103259,.T.); #219200=EDGE_CURVE('',#174906,#174904,#103260,.T.); #219201=EDGE_CURVE('',#174907,#174905,#103261,.T.); #219202=EDGE_CURVE('',#174906,#174907,#103262,.T.); #219203=EDGE_CURVE('',#174901,#174906,#103263,.T.); #219204=EDGE_CURVE('',#174902,#174907,#103264,.T.); #219205=EDGE_CURVE('',#174908,#174909,#103265,.T.); #219206=EDGE_CURVE('',#174909,#174910,#103266,.T.); #219207=EDGE_CURVE('',#174911,#174910,#103267,.T.); #219208=EDGE_CURVE('',#174908,#174911,#103268,.T.); #219209=EDGE_CURVE('',#174912,#174908,#103269,.T.); #219210=EDGE_CURVE('',#174913,#174911,#103270,.T.); #219211=EDGE_CURVE('',#174912,#174913,#103271,.T.); #219212=EDGE_CURVE('',#174914,#174912,#103272,.T.); #219213=EDGE_CURVE('',#174915,#174913,#103273,.T.); #219214=EDGE_CURVE('',#174914,#174915,#103274,.T.); #219215=EDGE_CURVE('',#174909,#174914,#103275,.T.); #219216=EDGE_CURVE('',#174910,#174915,#103276,.T.); #219217=EDGE_CURVE('',#174916,#174917,#103277,.T.); #219218=EDGE_CURVE('',#174917,#174918,#103278,.T.); #219219=EDGE_CURVE('',#174919,#174918,#103279,.T.); #219220=EDGE_CURVE('',#174916,#174919,#103280,.T.); #219221=EDGE_CURVE('',#174920,#174916,#103281,.T.); #219222=EDGE_CURVE('',#174921,#174919,#103282,.T.); #219223=EDGE_CURVE('',#174920,#174921,#103283,.T.); #219224=EDGE_CURVE('',#174922,#174920,#103284,.T.); #219225=EDGE_CURVE('',#174923,#174921,#103285,.T.); #219226=EDGE_CURVE('',#174922,#174923,#103286,.T.); #219227=EDGE_CURVE('',#174917,#174922,#103287,.T.); #219228=EDGE_CURVE('',#174918,#174923,#103288,.T.); #219229=EDGE_CURVE('',#174924,#174925,#103289,.T.); #219230=EDGE_CURVE('',#174925,#174926,#103290,.T.); #219231=EDGE_CURVE('',#174927,#174926,#103291,.T.); #219232=EDGE_CURVE('',#174924,#174927,#103292,.T.); #219233=EDGE_CURVE('',#174928,#174924,#103293,.T.); #219234=EDGE_CURVE('',#174929,#174927,#103294,.T.); #219235=EDGE_CURVE('',#174928,#174929,#103295,.T.); #219236=EDGE_CURVE('',#174930,#174928,#103296,.T.); #219237=EDGE_CURVE('',#174931,#174929,#103297,.T.); #219238=EDGE_CURVE('',#174930,#174931,#103298,.T.); #219239=EDGE_CURVE('',#174925,#174930,#103299,.T.); #219240=EDGE_CURVE('',#174926,#174931,#103300,.T.); #219241=EDGE_CURVE('',#174932,#174933,#103301,.T.); #219242=EDGE_CURVE('',#174933,#174934,#103302,.T.); #219243=EDGE_CURVE('',#174935,#174934,#103303,.T.); #219244=EDGE_CURVE('',#174932,#174935,#103304,.T.); #219245=EDGE_CURVE('',#174936,#174932,#103305,.T.); #219246=EDGE_CURVE('',#174937,#174935,#103306,.T.); #219247=EDGE_CURVE('',#174936,#174937,#103307,.T.); #219248=EDGE_CURVE('',#174938,#174936,#103308,.T.); #219249=EDGE_CURVE('',#174939,#174937,#103309,.T.); #219250=EDGE_CURVE('',#174938,#174939,#103310,.T.); #219251=EDGE_CURVE('',#174933,#174938,#103311,.T.); #219252=EDGE_CURVE('',#174934,#174939,#103312,.T.); #219253=EDGE_CURVE('',#174940,#174941,#103313,.T.); #219254=EDGE_CURVE('',#174941,#174942,#103314,.T.); #219255=EDGE_CURVE('',#174943,#174942,#103315,.T.); #219256=EDGE_CURVE('',#174940,#174943,#103316,.T.); #219257=EDGE_CURVE('',#174944,#174940,#103317,.T.); #219258=EDGE_CURVE('',#174945,#174943,#103318,.T.); #219259=EDGE_CURVE('',#174944,#174945,#103319,.T.); #219260=EDGE_CURVE('',#174946,#174944,#103320,.T.); #219261=EDGE_CURVE('',#174947,#174945,#103321,.T.); #219262=EDGE_CURVE('',#174946,#174947,#103322,.T.); #219263=EDGE_CURVE('',#174941,#174946,#103323,.T.); #219264=EDGE_CURVE('',#174942,#174947,#103324,.T.); #219265=EDGE_CURVE('',#174948,#174949,#103325,.T.); #219266=EDGE_CURVE('',#174949,#174950,#103326,.T.); #219267=EDGE_CURVE('',#174951,#174950,#103327,.T.); #219268=EDGE_CURVE('',#174948,#174951,#103328,.T.); #219269=EDGE_CURVE('',#174952,#174948,#103329,.T.); #219270=EDGE_CURVE('',#174953,#174951,#103330,.T.); #219271=EDGE_CURVE('',#174952,#174953,#103331,.T.); #219272=EDGE_CURVE('',#174954,#174952,#103332,.T.); #219273=EDGE_CURVE('',#174955,#174953,#103333,.T.); #219274=EDGE_CURVE('',#174954,#174955,#103334,.T.); #219275=EDGE_CURVE('',#174949,#174954,#103335,.T.); #219276=EDGE_CURVE('',#174950,#174955,#103336,.T.); #219277=EDGE_CURVE('',#174956,#174957,#103337,.T.); #219278=EDGE_CURVE('',#174957,#174958,#103338,.T.); #219279=EDGE_CURVE('',#174959,#174958,#103339,.T.); #219280=EDGE_CURVE('',#174956,#174959,#103340,.T.); #219281=EDGE_CURVE('',#174960,#174956,#103341,.T.); #219282=EDGE_CURVE('',#174961,#174959,#103342,.T.); #219283=EDGE_CURVE('',#174960,#174961,#103343,.T.); #219284=EDGE_CURVE('',#174962,#174960,#103344,.T.); #219285=EDGE_CURVE('',#174963,#174961,#103345,.T.); #219286=EDGE_CURVE('',#174962,#174963,#103346,.T.); #219287=EDGE_CURVE('',#174957,#174962,#103347,.T.); #219288=EDGE_CURVE('',#174958,#174963,#103348,.T.); #219289=EDGE_CURVE('',#174964,#174965,#103349,.T.); #219290=EDGE_CURVE('',#174965,#174966,#103350,.T.); #219291=EDGE_CURVE('',#174967,#174966,#103351,.T.); #219292=EDGE_CURVE('',#174964,#174967,#103352,.T.); #219293=EDGE_CURVE('',#174968,#174964,#103353,.T.); #219294=EDGE_CURVE('',#174969,#174967,#103354,.T.); #219295=EDGE_CURVE('',#174968,#174969,#103355,.T.); #219296=EDGE_CURVE('',#174970,#174968,#103356,.T.); #219297=EDGE_CURVE('',#174971,#174969,#103357,.T.); #219298=EDGE_CURVE('',#174970,#174971,#103358,.T.); #219299=EDGE_CURVE('',#174965,#174970,#103359,.T.); #219300=EDGE_CURVE('',#174966,#174971,#103360,.T.); #219301=EDGE_CURVE('',#174972,#174973,#103361,.T.); #219302=EDGE_CURVE('',#174973,#174974,#103362,.T.); #219303=EDGE_CURVE('',#174975,#174974,#103363,.T.); #219304=EDGE_CURVE('',#174972,#174975,#103364,.T.); #219305=EDGE_CURVE('',#174976,#174972,#103365,.T.); #219306=EDGE_CURVE('',#174977,#174975,#103366,.T.); #219307=EDGE_CURVE('',#174976,#174977,#103367,.T.); #219308=EDGE_CURVE('',#174978,#174976,#103368,.T.); #219309=EDGE_CURVE('',#174979,#174977,#103369,.T.); #219310=EDGE_CURVE('',#174978,#174979,#103370,.T.); #219311=EDGE_CURVE('',#174973,#174978,#103371,.T.); #219312=EDGE_CURVE('',#174974,#174979,#103372,.T.); #219313=EDGE_CURVE('',#174980,#174981,#103373,.T.); #219314=EDGE_CURVE('',#174981,#174982,#103374,.T.); #219315=EDGE_CURVE('',#174983,#174982,#103375,.T.); #219316=EDGE_CURVE('',#174980,#174983,#103376,.T.); #219317=EDGE_CURVE('',#174984,#174980,#103377,.T.); #219318=EDGE_CURVE('',#174985,#174983,#103378,.T.); #219319=EDGE_CURVE('',#174984,#174985,#103379,.T.); #219320=EDGE_CURVE('',#174986,#174984,#103380,.T.); #219321=EDGE_CURVE('',#174987,#174985,#103381,.T.); #219322=EDGE_CURVE('',#174986,#174987,#103382,.T.); #219323=EDGE_CURVE('',#174981,#174986,#103383,.T.); #219324=EDGE_CURVE('',#174982,#174987,#103384,.T.); #219325=EDGE_CURVE('',#174988,#174989,#103385,.T.); #219326=EDGE_CURVE('',#174989,#174990,#103386,.T.); #219327=EDGE_CURVE('',#174991,#174990,#103387,.T.); #219328=EDGE_CURVE('',#174988,#174991,#103388,.T.); #219329=EDGE_CURVE('',#174992,#174988,#103389,.T.); #219330=EDGE_CURVE('',#174993,#174991,#103390,.T.); #219331=EDGE_CURVE('',#174992,#174993,#103391,.T.); #219332=EDGE_CURVE('',#174994,#174992,#103392,.T.); #219333=EDGE_CURVE('',#174995,#174993,#103393,.T.); #219334=EDGE_CURVE('',#174994,#174995,#103394,.T.); #219335=EDGE_CURVE('',#174989,#174994,#103395,.T.); #219336=EDGE_CURVE('',#174990,#174995,#103396,.T.); #219337=EDGE_CURVE('',#174996,#174997,#103397,.T.); #219338=EDGE_CURVE('',#174997,#174998,#103398,.T.); #219339=EDGE_CURVE('',#174999,#174998,#103399,.T.); #219340=EDGE_CURVE('',#174996,#174999,#103400,.T.); #219341=EDGE_CURVE('',#175000,#174996,#103401,.T.); #219342=EDGE_CURVE('',#175001,#174999,#103402,.T.); #219343=EDGE_CURVE('',#175000,#175001,#103403,.T.); #219344=EDGE_CURVE('',#175002,#175000,#103404,.T.); #219345=EDGE_CURVE('',#175003,#175001,#103405,.T.); #219346=EDGE_CURVE('',#175002,#175003,#103406,.T.); #219347=EDGE_CURVE('',#174997,#175002,#103407,.T.); #219348=EDGE_CURVE('',#174998,#175003,#103408,.T.); #219349=EDGE_CURVE('',#175004,#175005,#103409,.T.); #219350=EDGE_CURVE('',#175005,#175006,#103410,.T.); #219351=EDGE_CURVE('',#175007,#175006,#103411,.T.); #219352=EDGE_CURVE('',#175004,#175007,#103412,.T.); #219353=EDGE_CURVE('',#175008,#175004,#103413,.T.); #219354=EDGE_CURVE('',#175009,#175007,#103414,.T.); #219355=EDGE_CURVE('',#175008,#175009,#103415,.T.); #219356=EDGE_CURVE('',#175010,#175008,#103416,.T.); #219357=EDGE_CURVE('',#175011,#175009,#103417,.T.); #219358=EDGE_CURVE('',#175010,#175011,#103418,.T.); #219359=EDGE_CURVE('',#175005,#175010,#103419,.T.); #219360=EDGE_CURVE('',#175006,#175011,#103420,.T.); #219361=EDGE_CURVE('',#175012,#175013,#103421,.T.); #219362=EDGE_CURVE('',#175013,#175014,#103422,.T.); #219363=EDGE_CURVE('',#175015,#175014,#103423,.T.); #219364=EDGE_CURVE('',#175012,#175015,#103424,.T.); #219365=EDGE_CURVE('',#175016,#175012,#103425,.T.); #219366=EDGE_CURVE('',#175017,#175015,#103426,.T.); #219367=EDGE_CURVE('',#175016,#175017,#103427,.T.); #219368=EDGE_CURVE('',#175018,#175016,#103428,.T.); #219369=EDGE_CURVE('',#175019,#175017,#103429,.T.); #219370=EDGE_CURVE('',#175018,#175019,#103430,.T.); #219371=EDGE_CURVE('',#175013,#175018,#103431,.T.); #219372=EDGE_CURVE('',#175014,#175019,#103432,.T.); #219373=EDGE_CURVE('',#175020,#175021,#103433,.T.); #219374=EDGE_CURVE('',#175021,#175022,#103434,.T.); #219375=EDGE_CURVE('',#175023,#175022,#103435,.T.); #219376=EDGE_CURVE('',#175020,#175023,#103436,.T.); #219377=EDGE_CURVE('',#175024,#175020,#103437,.T.); #219378=EDGE_CURVE('',#175025,#175023,#103438,.T.); #219379=EDGE_CURVE('',#175024,#175025,#103439,.T.); #219380=EDGE_CURVE('',#175026,#175024,#103440,.T.); #219381=EDGE_CURVE('',#175027,#175025,#103441,.T.); #219382=EDGE_CURVE('',#175026,#175027,#103442,.T.); #219383=EDGE_CURVE('',#175021,#175026,#103443,.T.); #219384=EDGE_CURVE('',#175022,#175027,#103444,.T.); #219385=EDGE_CURVE('',#175028,#175029,#103445,.T.); #219386=EDGE_CURVE('',#175029,#175030,#103446,.T.); #219387=EDGE_CURVE('',#175031,#175030,#103447,.T.); #219388=EDGE_CURVE('',#175028,#175031,#103448,.T.); #219389=EDGE_CURVE('',#175032,#175028,#103449,.T.); #219390=EDGE_CURVE('',#175033,#175031,#103450,.T.); #219391=EDGE_CURVE('',#175032,#175033,#103451,.T.); #219392=EDGE_CURVE('',#175034,#175032,#103452,.T.); #219393=EDGE_CURVE('',#175035,#175033,#103453,.T.); #219394=EDGE_CURVE('',#175034,#175035,#103454,.T.); #219395=EDGE_CURVE('',#175029,#175034,#103455,.T.); #219396=EDGE_CURVE('',#175030,#175035,#103456,.T.); #219397=EDGE_CURVE('',#175036,#175037,#103457,.T.); #219398=EDGE_CURVE('',#175037,#175038,#103458,.T.); #219399=EDGE_CURVE('',#175039,#175038,#103459,.T.); #219400=EDGE_CURVE('',#175036,#175039,#103460,.T.); #219401=EDGE_CURVE('',#175040,#175036,#103461,.T.); #219402=EDGE_CURVE('',#175041,#175039,#103462,.T.); #219403=EDGE_CURVE('',#175040,#175041,#103463,.T.); #219404=EDGE_CURVE('',#175042,#175040,#103464,.T.); #219405=EDGE_CURVE('',#175043,#175041,#103465,.T.); #219406=EDGE_CURVE('',#175042,#175043,#103466,.T.); #219407=EDGE_CURVE('',#175037,#175042,#103467,.T.); #219408=EDGE_CURVE('',#175038,#175043,#103468,.T.); #219409=EDGE_CURVE('',#175044,#175045,#103469,.T.); #219410=EDGE_CURVE('',#175045,#175046,#103470,.T.); #219411=EDGE_CURVE('',#175047,#175046,#103471,.T.); #219412=EDGE_CURVE('',#175044,#175047,#103472,.T.); #219413=EDGE_CURVE('',#175048,#175044,#103473,.T.); #219414=EDGE_CURVE('',#175049,#175047,#103474,.T.); #219415=EDGE_CURVE('',#175048,#175049,#103475,.T.); #219416=EDGE_CURVE('',#175050,#175048,#103476,.T.); #219417=EDGE_CURVE('',#175051,#175049,#103477,.T.); #219418=EDGE_CURVE('',#175050,#175051,#103478,.T.); #219419=EDGE_CURVE('',#175045,#175050,#103479,.T.); #219420=EDGE_CURVE('',#175046,#175051,#103480,.T.); #219421=EDGE_CURVE('',#175052,#175053,#103481,.T.); #219422=EDGE_CURVE('',#175053,#175054,#103482,.T.); #219423=EDGE_CURVE('',#175055,#175054,#103483,.T.); #219424=EDGE_CURVE('',#175052,#175055,#103484,.T.); #219425=EDGE_CURVE('',#175056,#175052,#103485,.T.); #219426=EDGE_CURVE('',#175057,#175055,#103486,.T.); #219427=EDGE_CURVE('',#175056,#175057,#103487,.T.); #219428=EDGE_CURVE('',#175058,#175056,#103488,.T.); #219429=EDGE_CURVE('',#175059,#175057,#103489,.T.); #219430=EDGE_CURVE('',#175058,#175059,#103490,.T.); #219431=EDGE_CURVE('',#175053,#175058,#103491,.T.); #219432=EDGE_CURVE('',#175054,#175059,#103492,.T.); #219433=EDGE_CURVE('',#175060,#175061,#103493,.T.); #219434=EDGE_CURVE('',#175061,#175062,#103494,.T.); #219435=EDGE_CURVE('',#175063,#175062,#103495,.T.); #219436=EDGE_CURVE('',#175060,#175063,#103496,.T.); #219437=EDGE_CURVE('',#175064,#175060,#103497,.T.); #219438=EDGE_CURVE('',#175065,#175063,#103498,.T.); #219439=EDGE_CURVE('',#175064,#175065,#103499,.T.); #219440=EDGE_CURVE('',#175066,#175064,#103500,.T.); #219441=EDGE_CURVE('',#175067,#175065,#103501,.T.); #219442=EDGE_CURVE('',#175066,#175067,#103502,.T.); #219443=EDGE_CURVE('',#175061,#175066,#103503,.T.); #219444=EDGE_CURVE('',#175062,#175067,#103504,.T.); #219445=EDGE_CURVE('',#175068,#175069,#103505,.T.); #219446=EDGE_CURVE('',#175069,#175070,#103506,.T.); #219447=EDGE_CURVE('',#175071,#175070,#103507,.T.); #219448=EDGE_CURVE('',#175068,#175071,#103508,.T.); #219449=EDGE_CURVE('',#175072,#175068,#103509,.T.); #219450=EDGE_CURVE('',#175073,#175071,#103510,.T.); #219451=EDGE_CURVE('',#175072,#175073,#103511,.T.); #219452=EDGE_CURVE('',#175074,#175072,#103512,.T.); #219453=EDGE_CURVE('',#175075,#175073,#103513,.T.); #219454=EDGE_CURVE('',#175074,#175075,#103514,.T.); #219455=EDGE_CURVE('',#175069,#175074,#103515,.T.); #219456=EDGE_CURVE('',#175070,#175075,#103516,.T.); #219457=EDGE_CURVE('',#175076,#175077,#103517,.T.); #219458=EDGE_CURVE('',#175077,#175078,#103518,.T.); #219459=EDGE_CURVE('',#175079,#175078,#103519,.T.); #219460=EDGE_CURVE('',#175076,#175079,#103520,.T.); #219461=EDGE_CURVE('',#175080,#175076,#103521,.T.); #219462=EDGE_CURVE('',#175081,#175079,#103522,.T.); #219463=EDGE_CURVE('',#175080,#175081,#103523,.T.); #219464=EDGE_CURVE('',#175082,#175080,#103524,.T.); #219465=EDGE_CURVE('',#175083,#175081,#103525,.T.); #219466=EDGE_CURVE('',#175082,#175083,#103526,.T.); #219467=EDGE_CURVE('',#175077,#175082,#103527,.T.); #219468=EDGE_CURVE('',#175078,#175083,#103528,.T.); #219469=EDGE_CURVE('',#175084,#175084,#69317,.T.); #219470=EDGE_CURVE('',#175084,#175085,#103529,.T.); #219471=EDGE_CURVE('',#175085,#175085,#69318,.T.); #219472=EDGE_CURVE('',#175086,#175086,#69319,.T.); #219473=EDGE_CURVE('',#175086,#175087,#103530,.T.); #219474=EDGE_CURVE('',#175087,#175087,#69320,.T.); #219475=EDGE_CURVE('',#175088,#175089,#103531,.T.); #219476=EDGE_CURVE('',#175089,#175090,#103532,.T.); #219477=EDGE_CURVE('',#175091,#175090,#103533,.T.); #219478=EDGE_CURVE('',#175088,#175091,#103534,.T.); #219479=EDGE_CURVE('',#175092,#175088,#103535,.T.); #219480=EDGE_CURVE('',#175093,#175091,#103536,.T.); #219481=EDGE_CURVE('',#175092,#175093,#103537,.T.); #219482=EDGE_CURVE('',#175094,#175092,#103538,.T.); #219483=EDGE_CURVE('',#175095,#175093,#103539,.T.); #219484=EDGE_CURVE('',#175094,#175095,#103540,.T.); #219485=EDGE_CURVE('',#175089,#175094,#103541,.T.); #219486=EDGE_CURVE('',#175090,#175095,#103542,.T.); #219487=EDGE_CURVE('',#175096,#175097,#103543,.T.); #219488=EDGE_CURVE('',#175097,#175098,#103544,.T.); #219489=EDGE_CURVE('',#175099,#175098,#103545,.T.); #219490=EDGE_CURVE('',#175096,#175099,#103546,.T.); #219491=EDGE_CURVE('',#175100,#175096,#103547,.T.); #219492=EDGE_CURVE('',#175101,#175099,#103548,.T.); #219493=EDGE_CURVE('',#175100,#175101,#103549,.T.); #219494=EDGE_CURVE('',#175102,#175100,#103550,.T.); #219495=EDGE_CURVE('',#175103,#175101,#103551,.T.); #219496=EDGE_CURVE('',#175102,#175103,#103552,.T.); #219497=EDGE_CURVE('',#175097,#175102,#103553,.T.); #219498=EDGE_CURVE('',#175098,#175103,#103554,.T.); #219499=EDGE_CURVE('',#175104,#175104,#69321,.T.); #219500=EDGE_CURVE('',#175104,#175105,#103555,.T.); #219501=EDGE_CURVE('',#175105,#175105,#69322,.T.); #219502=EDGE_CURVE('',#175106,#175106,#69323,.T.); #219503=EDGE_CURVE('',#175106,#175107,#103556,.T.); #219504=EDGE_CURVE('',#175107,#175107,#69324,.T.); #219505=EDGE_CURVE('',#175108,#175109,#103557,.T.); #219506=EDGE_CURVE('',#175109,#175110,#103558,.T.); #219507=EDGE_CURVE('',#175111,#175110,#103559,.T.); #219508=EDGE_CURVE('',#175108,#175111,#103560,.T.); #219509=EDGE_CURVE('',#175112,#175108,#103561,.T.); #219510=EDGE_CURVE('',#175113,#175111,#103562,.T.); #219511=EDGE_CURVE('',#175112,#175113,#103563,.T.); #219512=EDGE_CURVE('',#175114,#175112,#103564,.T.); #219513=EDGE_CURVE('',#175115,#175113,#103565,.T.); #219514=EDGE_CURVE('',#175114,#175115,#103566,.T.); #219515=EDGE_CURVE('',#175109,#175114,#103567,.T.); #219516=EDGE_CURVE('',#175110,#175115,#103568,.T.); #219517=EDGE_CURVE('',#175116,#175117,#103569,.T.); #219518=EDGE_CURVE('',#175117,#175118,#103570,.T.); #219519=EDGE_CURVE('',#175119,#175118,#103571,.T.); #219520=EDGE_CURVE('',#175116,#175119,#103572,.T.); #219521=EDGE_CURVE('',#175120,#175116,#103573,.T.); #219522=EDGE_CURVE('',#175121,#175119,#103574,.T.); #219523=EDGE_CURVE('',#175120,#175121,#103575,.T.); #219524=EDGE_CURVE('',#175122,#175120,#103576,.T.); #219525=EDGE_CURVE('',#175123,#175121,#103577,.T.); #219526=EDGE_CURVE('',#175122,#175123,#103578,.T.); #219527=EDGE_CURVE('',#175117,#175122,#103579,.T.); #219528=EDGE_CURVE('',#175118,#175123,#103580,.T.); #219529=EDGE_CURVE('',#175124,#175125,#103581,.T.); #219530=EDGE_CURVE('',#175125,#175126,#103582,.T.); #219531=EDGE_CURVE('',#175127,#175126,#103583,.T.); #219532=EDGE_CURVE('',#175124,#175127,#103584,.T.); #219533=EDGE_CURVE('',#175128,#175124,#103585,.T.); #219534=EDGE_CURVE('',#175129,#175127,#103586,.T.); #219535=EDGE_CURVE('',#175128,#175129,#103587,.T.); #219536=EDGE_CURVE('',#175130,#175128,#103588,.T.); #219537=EDGE_CURVE('',#175131,#175129,#103589,.T.); #219538=EDGE_CURVE('',#175130,#175131,#103590,.T.); #219539=EDGE_CURVE('',#175125,#175130,#103591,.T.); #219540=EDGE_CURVE('',#175126,#175131,#103592,.T.); #219541=EDGE_CURVE('',#175132,#175133,#103593,.T.); #219542=EDGE_CURVE('',#175133,#175134,#103594,.T.); #219543=EDGE_CURVE('',#175135,#175134,#103595,.T.); #219544=EDGE_CURVE('',#175132,#175135,#103596,.T.); #219545=EDGE_CURVE('',#175136,#175132,#103597,.T.); #219546=EDGE_CURVE('',#175137,#175135,#103598,.T.); #219547=EDGE_CURVE('',#175136,#175137,#103599,.T.); #219548=EDGE_CURVE('',#175138,#175136,#103600,.T.); #219549=EDGE_CURVE('',#175139,#175137,#103601,.T.); #219550=EDGE_CURVE('',#175138,#175139,#103602,.T.); #219551=EDGE_CURVE('',#175133,#175138,#103603,.T.); #219552=EDGE_CURVE('',#175134,#175139,#103604,.T.); #219553=EDGE_CURVE('',#175140,#175141,#103605,.T.); #219554=EDGE_CURVE('',#175141,#175142,#103606,.T.); #219555=EDGE_CURVE('',#175143,#175142,#103607,.T.); #219556=EDGE_CURVE('',#175140,#175143,#103608,.T.); #219557=EDGE_CURVE('',#175144,#175140,#103609,.T.); #219558=EDGE_CURVE('',#175145,#175143,#103610,.T.); #219559=EDGE_CURVE('',#175144,#175145,#103611,.T.); #219560=EDGE_CURVE('',#175146,#175144,#103612,.T.); #219561=EDGE_CURVE('',#175147,#175145,#103613,.T.); #219562=EDGE_CURVE('',#175146,#175147,#103614,.T.); #219563=EDGE_CURVE('',#175141,#175146,#103615,.T.); #219564=EDGE_CURVE('',#175142,#175147,#103616,.T.); #219565=EDGE_CURVE('',#175148,#175149,#103617,.T.); #219566=EDGE_CURVE('',#175149,#175150,#103618,.T.); #219567=EDGE_CURVE('',#175151,#175150,#103619,.T.); #219568=EDGE_CURVE('',#175148,#175151,#103620,.T.); #219569=EDGE_CURVE('',#175152,#175148,#103621,.T.); #219570=EDGE_CURVE('',#175153,#175151,#103622,.T.); #219571=EDGE_CURVE('',#175152,#175153,#103623,.T.); #219572=EDGE_CURVE('',#175154,#175152,#103624,.T.); #219573=EDGE_CURVE('',#175155,#175153,#103625,.T.); #219574=EDGE_CURVE('',#175154,#175155,#103626,.T.); #219575=EDGE_CURVE('',#175149,#175154,#103627,.T.); #219576=EDGE_CURVE('',#175150,#175155,#103628,.T.); #219577=EDGE_CURVE('',#175156,#175157,#103629,.T.); #219578=EDGE_CURVE('',#175157,#175158,#103630,.T.); #219579=EDGE_CURVE('',#175159,#175158,#103631,.T.); #219580=EDGE_CURVE('',#175156,#175159,#103632,.T.); #219581=EDGE_CURVE('',#175160,#175156,#103633,.T.); #219582=EDGE_CURVE('',#175161,#175159,#103634,.T.); #219583=EDGE_CURVE('',#175160,#175161,#103635,.T.); #219584=EDGE_CURVE('',#175162,#175160,#103636,.T.); #219585=EDGE_CURVE('',#175163,#175161,#103637,.T.); #219586=EDGE_CURVE('',#175162,#175163,#103638,.T.); #219587=EDGE_CURVE('',#175157,#175162,#103639,.T.); #219588=EDGE_CURVE('',#175158,#175163,#103640,.T.); #219589=EDGE_CURVE('',#175164,#175165,#103641,.T.); #219590=EDGE_CURVE('',#175165,#175166,#103642,.T.); #219591=EDGE_CURVE('',#175167,#175166,#103643,.T.); #219592=EDGE_CURVE('',#175164,#175167,#103644,.T.); #219593=EDGE_CURVE('',#175168,#175164,#103645,.T.); #219594=EDGE_CURVE('',#175169,#175167,#103646,.T.); #219595=EDGE_CURVE('',#175168,#175169,#103647,.T.); #219596=EDGE_CURVE('',#175170,#175168,#103648,.T.); #219597=EDGE_CURVE('',#175171,#175169,#103649,.T.); #219598=EDGE_CURVE('',#175170,#175171,#103650,.T.); #219599=EDGE_CURVE('',#175165,#175170,#103651,.T.); #219600=EDGE_CURVE('',#175166,#175171,#103652,.T.); #219601=EDGE_CURVE('',#175172,#175173,#103653,.T.); #219602=EDGE_CURVE('',#175173,#175174,#103654,.T.); #219603=EDGE_CURVE('',#175175,#175174,#103655,.T.); #219604=EDGE_CURVE('',#175172,#175175,#103656,.T.); #219605=EDGE_CURVE('',#175176,#175172,#103657,.T.); #219606=EDGE_CURVE('',#175177,#175175,#103658,.T.); #219607=EDGE_CURVE('',#175176,#175177,#103659,.T.); #219608=EDGE_CURVE('',#175178,#175176,#103660,.T.); #219609=EDGE_CURVE('',#175179,#175177,#103661,.T.); #219610=EDGE_CURVE('',#175178,#175179,#103662,.T.); #219611=EDGE_CURVE('',#175173,#175178,#103663,.T.); #219612=EDGE_CURVE('',#175174,#175179,#103664,.T.); #219613=EDGE_CURVE('',#175180,#175181,#103665,.T.); #219614=EDGE_CURVE('',#175181,#175182,#103666,.T.); #219615=EDGE_CURVE('',#175183,#175182,#103667,.T.); #219616=EDGE_CURVE('',#175180,#175183,#103668,.T.); #219617=EDGE_CURVE('',#175184,#175180,#103669,.T.); #219618=EDGE_CURVE('',#175185,#175183,#103670,.T.); #219619=EDGE_CURVE('',#175184,#175185,#103671,.T.); #219620=EDGE_CURVE('',#175186,#175184,#103672,.T.); #219621=EDGE_CURVE('',#175187,#175185,#103673,.T.); #219622=EDGE_CURVE('',#175186,#175187,#103674,.T.); #219623=EDGE_CURVE('',#175181,#175186,#103675,.T.); #219624=EDGE_CURVE('',#175182,#175187,#103676,.T.); #219625=EDGE_CURVE('',#175188,#175189,#103677,.T.); #219626=EDGE_CURVE('',#175189,#175190,#103678,.T.); #219627=EDGE_CURVE('',#175191,#175190,#103679,.T.); #219628=EDGE_CURVE('',#175188,#175191,#103680,.T.); #219629=EDGE_CURVE('',#175192,#175188,#103681,.T.); #219630=EDGE_CURVE('',#175193,#175191,#103682,.T.); #219631=EDGE_CURVE('',#175192,#175193,#103683,.T.); #219632=EDGE_CURVE('',#175194,#175192,#103684,.T.); #219633=EDGE_CURVE('',#175195,#175193,#103685,.T.); #219634=EDGE_CURVE('',#175194,#175195,#103686,.T.); #219635=EDGE_CURVE('',#175189,#175194,#103687,.T.); #219636=EDGE_CURVE('',#175190,#175195,#103688,.T.); #219637=EDGE_CURVE('',#175196,#175197,#103689,.T.); #219638=EDGE_CURVE('',#175197,#175198,#103690,.T.); #219639=EDGE_CURVE('',#175199,#175198,#103691,.T.); #219640=EDGE_CURVE('',#175196,#175199,#103692,.T.); #219641=EDGE_CURVE('',#175200,#175196,#103693,.T.); #219642=EDGE_CURVE('',#175201,#175199,#103694,.T.); #219643=EDGE_CURVE('',#175200,#175201,#103695,.T.); #219644=EDGE_CURVE('',#175202,#175200,#103696,.T.); #219645=EDGE_CURVE('',#175203,#175201,#103697,.T.); #219646=EDGE_CURVE('',#175202,#175203,#103698,.T.); #219647=EDGE_CURVE('',#175197,#175202,#103699,.T.); #219648=EDGE_CURVE('',#175198,#175203,#103700,.T.); #219649=EDGE_CURVE('',#175204,#175205,#103701,.T.); #219650=EDGE_CURVE('',#175205,#175206,#103702,.T.); #219651=EDGE_CURVE('',#175207,#175206,#103703,.T.); #219652=EDGE_CURVE('',#175204,#175207,#103704,.T.); #219653=EDGE_CURVE('',#175208,#175204,#103705,.T.); #219654=EDGE_CURVE('',#175209,#175207,#103706,.T.); #219655=EDGE_CURVE('',#175208,#175209,#103707,.T.); #219656=EDGE_CURVE('',#175210,#175208,#103708,.T.); #219657=EDGE_CURVE('',#175211,#175209,#103709,.T.); #219658=EDGE_CURVE('',#175210,#175211,#103710,.T.); #219659=EDGE_CURVE('',#175205,#175210,#103711,.T.); #219660=EDGE_CURVE('',#175206,#175211,#103712,.T.); #219661=EDGE_CURVE('',#175212,#175213,#103713,.T.); #219662=EDGE_CURVE('',#175213,#175214,#103714,.T.); #219663=EDGE_CURVE('',#175215,#175214,#103715,.T.); #219664=EDGE_CURVE('',#175212,#175215,#103716,.T.); #219665=EDGE_CURVE('',#175216,#175212,#103717,.T.); #219666=EDGE_CURVE('',#175217,#175215,#103718,.T.); #219667=EDGE_CURVE('',#175216,#175217,#103719,.T.); #219668=EDGE_CURVE('',#175218,#175216,#103720,.T.); #219669=EDGE_CURVE('',#175219,#175217,#103721,.T.); #219670=EDGE_CURVE('',#175218,#175219,#103722,.T.); #219671=EDGE_CURVE('',#175213,#175218,#103723,.T.); #219672=EDGE_CURVE('',#175214,#175219,#103724,.T.); #219673=EDGE_CURVE('',#175220,#175221,#103725,.T.); #219674=EDGE_CURVE('',#175221,#175222,#103726,.T.); #219675=EDGE_CURVE('',#175223,#175222,#103727,.T.); #219676=EDGE_CURVE('',#175220,#175223,#103728,.T.); #219677=EDGE_CURVE('',#175224,#175220,#103729,.T.); #219678=EDGE_CURVE('',#175225,#175223,#103730,.T.); #219679=EDGE_CURVE('',#175224,#175225,#103731,.T.); #219680=EDGE_CURVE('',#175226,#175224,#103732,.T.); #219681=EDGE_CURVE('',#175227,#175225,#103733,.T.); #219682=EDGE_CURVE('',#175226,#175227,#103734,.T.); #219683=EDGE_CURVE('',#175221,#175226,#103735,.T.); #219684=EDGE_CURVE('',#175222,#175227,#103736,.T.); #219685=EDGE_CURVE('',#175228,#175229,#103737,.T.); #219686=EDGE_CURVE('',#175229,#175230,#103738,.T.); #219687=EDGE_CURVE('',#175231,#175230,#103739,.T.); #219688=EDGE_CURVE('',#175228,#175231,#103740,.T.); #219689=EDGE_CURVE('',#175232,#175228,#103741,.T.); #219690=EDGE_CURVE('',#175233,#175231,#103742,.T.); #219691=EDGE_CURVE('',#175232,#175233,#103743,.T.); #219692=EDGE_CURVE('',#175234,#175232,#103744,.T.); #219693=EDGE_CURVE('',#175235,#175233,#103745,.T.); #219694=EDGE_CURVE('',#175234,#175235,#103746,.T.); #219695=EDGE_CURVE('',#175229,#175234,#103747,.T.); #219696=EDGE_CURVE('',#175230,#175235,#103748,.T.); #219697=EDGE_CURVE('',#175236,#175237,#103749,.T.); #219698=EDGE_CURVE('',#175237,#175238,#103750,.T.); #219699=EDGE_CURVE('',#175239,#175238,#103751,.T.); #219700=EDGE_CURVE('',#175236,#175239,#103752,.T.); #219701=EDGE_CURVE('',#175240,#175236,#103753,.T.); #219702=EDGE_CURVE('',#175241,#175239,#103754,.T.); #219703=EDGE_CURVE('',#175240,#175241,#103755,.T.); #219704=EDGE_CURVE('',#175242,#175240,#103756,.T.); #219705=EDGE_CURVE('',#175243,#175241,#103757,.T.); #219706=EDGE_CURVE('',#175242,#175243,#103758,.T.); #219707=EDGE_CURVE('',#175237,#175242,#103759,.T.); #219708=EDGE_CURVE('',#175238,#175243,#103760,.T.); #219709=EDGE_CURVE('',#175244,#175244,#69325,.T.); #219710=EDGE_CURVE('',#175244,#175245,#103761,.T.); #219711=EDGE_CURVE('',#175245,#175245,#69326,.T.); #219712=EDGE_CURVE('',#175246,#175247,#103762,.T.); #219713=EDGE_CURVE('',#175247,#175248,#103763,.T.); #219714=EDGE_CURVE('',#175249,#175248,#103764,.T.); #219715=EDGE_CURVE('',#175246,#175249,#103765,.T.); #219716=EDGE_CURVE('',#175250,#175246,#103766,.T.); #219717=EDGE_CURVE('',#175251,#175249,#103767,.T.); #219718=EDGE_CURVE('',#175250,#175251,#103768,.T.); #219719=EDGE_CURVE('',#175252,#175250,#103769,.T.); #219720=EDGE_CURVE('',#175253,#175251,#103770,.T.); #219721=EDGE_CURVE('',#175252,#175253,#103771,.T.); #219722=EDGE_CURVE('',#175247,#175252,#103772,.T.); #219723=EDGE_CURVE('',#175248,#175253,#103773,.T.); #219724=EDGE_CURVE('',#175254,#175255,#103774,.T.); #219725=EDGE_CURVE('',#175255,#175256,#103775,.T.); #219726=EDGE_CURVE('',#175257,#175256,#103776,.T.); #219727=EDGE_CURVE('',#175254,#175257,#103777,.T.); #219728=EDGE_CURVE('',#175258,#175254,#103778,.T.); #219729=EDGE_CURVE('',#175259,#175257,#103779,.T.); #219730=EDGE_CURVE('',#175258,#175259,#103780,.T.); #219731=EDGE_CURVE('',#175260,#175258,#103781,.T.); #219732=EDGE_CURVE('',#175261,#175259,#103782,.T.); #219733=EDGE_CURVE('',#175260,#175261,#103783,.T.); #219734=EDGE_CURVE('',#175255,#175260,#103784,.T.); #219735=EDGE_CURVE('',#175256,#175261,#103785,.T.); #219736=EDGE_CURVE('',#175262,#175263,#103786,.T.); #219737=EDGE_CURVE('',#175263,#175264,#103787,.T.); #219738=EDGE_CURVE('',#175265,#175264,#103788,.T.); #219739=EDGE_CURVE('',#175262,#175265,#103789,.T.); #219740=EDGE_CURVE('',#175266,#175262,#103790,.T.); #219741=EDGE_CURVE('',#175267,#175265,#103791,.T.); #219742=EDGE_CURVE('',#175266,#175267,#103792,.T.); #219743=EDGE_CURVE('',#175268,#175266,#103793,.T.); #219744=EDGE_CURVE('',#175269,#175267,#103794,.T.); #219745=EDGE_CURVE('',#175268,#175269,#103795,.T.); #219746=EDGE_CURVE('',#175263,#175268,#103796,.T.); #219747=EDGE_CURVE('',#175264,#175269,#103797,.T.); #219748=EDGE_CURVE('',#175270,#175271,#103798,.T.); #219749=EDGE_CURVE('',#175271,#175272,#103799,.T.); #219750=EDGE_CURVE('',#175273,#175272,#103800,.T.); #219751=EDGE_CURVE('',#175270,#175273,#103801,.T.); #219752=EDGE_CURVE('',#175274,#175270,#103802,.T.); #219753=EDGE_CURVE('',#175275,#175273,#103803,.T.); #219754=EDGE_CURVE('',#175274,#175275,#103804,.T.); #219755=EDGE_CURVE('',#175276,#175274,#103805,.T.); #219756=EDGE_CURVE('',#175277,#175275,#103806,.T.); #219757=EDGE_CURVE('',#175276,#175277,#103807,.T.); #219758=EDGE_CURVE('',#175271,#175276,#103808,.T.); #219759=EDGE_CURVE('',#175272,#175277,#103809,.T.); #219760=EDGE_CURVE('',#175278,#175279,#103810,.T.); #219761=EDGE_CURVE('',#175279,#175280,#103811,.T.); #219762=EDGE_CURVE('',#175281,#175280,#103812,.T.); #219763=EDGE_CURVE('',#175278,#175281,#103813,.T.); #219764=EDGE_CURVE('',#175282,#175278,#103814,.T.); #219765=EDGE_CURVE('',#175283,#175281,#103815,.T.); #219766=EDGE_CURVE('',#175282,#175283,#103816,.T.); #219767=EDGE_CURVE('',#175284,#175282,#103817,.T.); #219768=EDGE_CURVE('',#175285,#175283,#103818,.T.); #219769=EDGE_CURVE('',#175284,#175285,#103819,.T.); #219770=EDGE_CURVE('',#175279,#175284,#103820,.T.); #219771=EDGE_CURVE('',#175280,#175285,#103821,.T.); #219772=EDGE_CURVE('',#175286,#175286,#69327,.T.); #219773=EDGE_CURVE('',#175286,#175287,#103822,.T.); #219774=EDGE_CURVE('',#175287,#175287,#69328,.T.); #219775=EDGE_CURVE('',#175288,#175288,#69329,.T.); #219776=EDGE_CURVE('',#175288,#175289,#103823,.T.); #219777=EDGE_CURVE('',#175289,#175289,#69330,.T.); #219778=EDGE_CURVE('',#175290,#175290,#69331,.T.); #219779=EDGE_CURVE('',#175290,#175291,#103824,.T.); #219780=EDGE_CURVE('',#175291,#175291,#69332,.T.); #219781=EDGE_CURVE('',#175292,#175292,#69333,.T.); #219782=EDGE_CURVE('',#175292,#175293,#103825,.T.); #219783=EDGE_CURVE('',#175293,#175293,#69334,.T.); #219784=EDGE_CURVE('',#175294,#175294,#69335,.T.); #219785=EDGE_CURVE('',#175294,#175295,#103826,.T.); #219786=EDGE_CURVE('',#175295,#175295,#69336,.T.); #219787=EDGE_CURVE('',#175296,#175296,#69337,.T.); #219788=EDGE_CURVE('',#175296,#175297,#103827,.T.); #219789=EDGE_CURVE('',#175297,#175297,#69338,.T.); #219790=EDGE_CURVE('',#175298,#175298,#69339,.T.); #219791=EDGE_CURVE('',#175298,#175299,#103828,.T.); #219792=EDGE_CURVE('',#175299,#175299,#69340,.T.); #219793=EDGE_CURVE('',#175300,#175300,#69341,.T.); #219794=EDGE_CURVE('',#175300,#175301,#103829,.T.); #219795=EDGE_CURVE('',#175301,#175301,#69342,.T.); #219796=EDGE_CURVE('',#175302,#175302,#69343,.T.); #219797=EDGE_CURVE('',#175302,#175303,#103830,.T.); #219798=EDGE_CURVE('',#175303,#175303,#69344,.T.); #219799=EDGE_CURVE('',#175304,#175304,#69345,.T.); #219800=EDGE_CURVE('',#175304,#175305,#103831,.T.); #219801=EDGE_CURVE('',#175305,#175305,#69346,.T.); #219802=EDGE_CURVE('',#175306,#175306,#69347,.T.); #219803=EDGE_CURVE('',#175306,#175307,#103832,.T.); #219804=EDGE_CURVE('',#175307,#175307,#69348,.T.); #219805=EDGE_CURVE('',#175308,#175308,#69349,.T.); #219806=EDGE_CURVE('',#175308,#175309,#103833,.T.); #219807=EDGE_CURVE('',#175309,#175309,#69350,.T.); #219808=EDGE_CURVE('',#175310,#175310,#69351,.T.); #219809=EDGE_CURVE('',#175310,#175311,#103834,.T.); #219810=EDGE_CURVE('',#175311,#175311,#69352,.T.); #219811=EDGE_CURVE('',#175312,#175312,#69353,.T.); #219812=EDGE_CURVE('',#175312,#175313,#103835,.T.); #219813=EDGE_CURVE('',#175313,#175313,#69354,.T.); #219814=EDGE_CURVE('',#175314,#175314,#69355,.T.); #219815=EDGE_CURVE('',#175314,#175315,#103836,.T.); #219816=EDGE_CURVE('',#175315,#175315,#69356,.T.); #219817=EDGE_CURVE('',#175316,#175317,#103837,.T.); #219818=EDGE_CURVE('',#175317,#175318,#103838,.T.); #219819=EDGE_CURVE('',#175319,#175318,#103839,.T.); #219820=EDGE_CURVE('',#175316,#175319,#103840,.T.); #219821=EDGE_CURVE('',#175320,#175316,#103841,.T.); #219822=EDGE_CURVE('',#175321,#175319,#103842,.T.); #219823=EDGE_CURVE('',#175320,#175321,#103843,.T.); #219824=EDGE_CURVE('',#175322,#175320,#103844,.T.); #219825=EDGE_CURVE('',#175323,#175321,#103845,.T.); #219826=EDGE_CURVE('',#175322,#175323,#103846,.T.); #219827=EDGE_CURVE('',#175317,#175322,#103847,.T.); #219828=EDGE_CURVE('',#175318,#175323,#103848,.T.); #219829=EDGE_CURVE('',#175324,#175325,#103849,.T.); #219830=EDGE_CURVE('',#175325,#175326,#103850,.T.); #219831=EDGE_CURVE('',#175327,#175326,#103851,.T.); #219832=EDGE_CURVE('',#175324,#175327,#103852,.T.); #219833=EDGE_CURVE('',#175328,#175324,#103853,.T.); #219834=EDGE_CURVE('',#175329,#175327,#103854,.T.); #219835=EDGE_CURVE('',#175328,#175329,#103855,.T.); #219836=EDGE_CURVE('',#175330,#175328,#103856,.T.); #219837=EDGE_CURVE('',#175331,#175329,#103857,.T.); #219838=EDGE_CURVE('',#175330,#175331,#103858,.T.); #219839=EDGE_CURVE('',#175325,#175330,#103859,.T.); #219840=EDGE_CURVE('',#175326,#175331,#103860,.T.); #219841=EDGE_CURVE('',#175332,#175333,#103861,.T.); #219842=EDGE_CURVE('',#175333,#175334,#103862,.T.); #219843=EDGE_CURVE('',#175335,#175334,#103863,.T.); #219844=EDGE_CURVE('',#175332,#175335,#103864,.T.); #219845=EDGE_CURVE('',#175336,#175332,#103865,.T.); #219846=EDGE_CURVE('',#175337,#175335,#103866,.T.); #219847=EDGE_CURVE('',#175336,#175337,#103867,.T.); #219848=EDGE_CURVE('',#175338,#175336,#103868,.T.); #219849=EDGE_CURVE('',#175339,#175337,#103869,.T.); #219850=EDGE_CURVE('',#175338,#175339,#103870,.T.); #219851=EDGE_CURVE('',#175333,#175338,#103871,.T.); #219852=EDGE_CURVE('',#175334,#175339,#103872,.T.); #219853=EDGE_CURVE('',#175340,#175341,#103873,.T.); #219854=EDGE_CURVE('',#175341,#175342,#103874,.T.); #219855=EDGE_CURVE('',#175343,#175342,#103875,.T.); #219856=EDGE_CURVE('',#175340,#175343,#103876,.T.); #219857=EDGE_CURVE('',#175344,#175340,#103877,.T.); #219858=EDGE_CURVE('',#175345,#175343,#103878,.T.); #219859=EDGE_CURVE('',#175344,#175345,#103879,.T.); #219860=EDGE_CURVE('',#175346,#175344,#103880,.T.); #219861=EDGE_CURVE('',#175347,#175345,#103881,.T.); #219862=EDGE_CURVE('',#175346,#175347,#103882,.T.); #219863=EDGE_CURVE('',#175341,#175346,#103883,.T.); #219864=EDGE_CURVE('',#175342,#175347,#103884,.T.); #219865=EDGE_CURVE('',#175348,#175348,#69357,.T.); #219866=EDGE_CURVE('',#175348,#175349,#103885,.T.); #219867=EDGE_CURVE('',#175349,#175349,#69358,.T.); #219868=EDGE_CURVE('',#175350,#175351,#103886,.T.); #219869=EDGE_CURVE('',#175351,#175352,#103887,.T.); #219870=EDGE_CURVE('',#175353,#175352,#103888,.T.); #219871=EDGE_CURVE('',#175350,#175353,#103889,.T.); #219872=EDGE_CURVE('',#175354,#175350,#103890,.T.); #219873=EDGE_CURVE('',#175355,#175353,#103891,.T.); #219874=EDGE_CURVE('',#175354,#175355,#103892,.T.); #219875=EDGE_CURVE('',#175356,#175354,#103893,.T.); #219876=EDGE_CURVE('',#175357,#175355,#103894,.T.); #219877=EDGE_CURVE('',#175356,#175357,#103895,.T.); #219878=EDGE_CURVE('',#175351,#175356,#103896,.T.); #219879=EDGE_CURVE('',#175352,#175357,#103897,.T.); #219880=EDGE_CURVE('',#175358,#175359,#103898,.T.); #219881=EDGE_CURVE('',#175359,#175360,#103899,.T.); #219882=EDGE_CURVE('',#175361,#175360,#103900,.T.); #219883=EDGE_CURVE('',#175358,#175361,#103901,.T.); #219884=EDGE_CURVE('',#175362,#175358,#103902,.T.); #219885=EDGE_CURVE('',#175363,#175361,#103903,.T.); #219886=EDGE_CURVE('',#175362,#175363,#103904,.T.); #219887=EDGE_CURVE('',#175364,#175362,#103905,.T.); #219888=EDGE_CURVE('',#175365,#175363,#103906,.T.); #219889=EDGE_CURVE('',#175364,#175365,#103907,.T.); #219890=EDGE_CURVE('',#175359,#175364,#103908,.T.); #219891=EDGE_CURVE('',#175360,#175365,#103909,.T.); #219892=EDGE_CURVE('',#175366,#175367,#103910,.T.); #219893=EDGE_CURVE('',#175367,#175368,#103911,.T.); #219894=EDGE_CURVE('',#175369,#175368,#103912,.T.); #219895=EDGE_CURVE('',#175366,#175369,#103913,.T.); #219896=EDGE_CURVE('',#175370,#175366,#103914,.T.); #219897=EDGE_CURVE('',#175371,#175369,#103915,.T.); #219898=EDGE_CURVE('',#175370,#175371,#103916,.T.); #219899=EDGE_CURVE('',#175372,#175370,#103917,.T.); #219900=EDGE_CURVE('',#175373,#175371,#103918,.T.); #219901=EDGE_CURVE('',#175372,#175373,#103919,.T.); #219902=EDGE_CURVE('',#175367,#175372,#103920,.T.); #219903=EDGE_CURVE('',#175368,#175373,#103921,.T.); #219904=EDGE_CURVE('',#175374,#175375,#103922,.T.); #219905=EDGE_CURVE('',#175375,#175376,#103923,.T.); #219906=EDGE_CURVE('',#175377,#175376,#103924,.T.); #219907=EDGE_CURVE('',#175374,#175377,#103925,.T.); #219908=EDGE_CURVE('',#175378,#175374,#103926,.T.); #219909=EDGE_CURVE('',#175379,#175377,#103927,.T.); #219910=EDGE_CURVE('',#175378,#175379,#103928,.T.); #219911=EDGE_CURVE('',#175380,#175378,#103929,.T.); #219912=EDGE_CURVE('',#175381,#175379,#103930,.T.); #219913=EDGE_CURVE('',#175380,#175381,#103931,.T.); #219914=EDGE_CURVE('',#175375,#175380,#103932,.T.); #219915=EDGE_CURVE('',#175376,#175381,#103933,.T.); #219916=EDGE_CURVE('',#175382,#175383,#103934,.T.); #219917=EDGE_CURVE('',#175383,#175384,#103935,.T.); #219918=EDGE_CURVE('',#175385,#175384,#103936,.T.); #219919=EDGE_CURVE('',#175382,#175385,#103937,.T.); #219920=EDGE_CURVE('',#175386,#175382,#103938,.T.); #219921=EDGE_CURVE('',#175387,#175385,#103939,.T.); #219922=EDGE_CURVE('',#175386,#175387,#103940,.T.); #219923=EDGE_CURVE('',#175388,#175386,#103941,.T.); #219924=EDGE_CURVE('',#175389,#175387,#103942,.T.); #219925=EDGE_CURVE('',#175388,#175389,#103943,.T.); #219926=EDGE_CURVE('',#175383,#175388,#103944,.T.); #219927=EDGE_CURVE('',#175384,#175389,#103945,.T.); #219928=EDGE_CURVE('',#175390,#175390,#69359,.T.); #219929=EDGE_CURVE('',#175390,#175391,#103946,.T.); #219930=EDGE_CURVE('',#175391,#175391,#69360,.T.); #219931=EDGE_CURVE('',#175392,#175392,#69361,.T.); #219932=EDGE_CURVE('',#175392,#175393,#103947,.T.); #219933=EDGE_CURVE('',#175393,#175393,#69362,.T.); #219934=EDGE_CURVE('',#175394,#175394,#69363,.T.); #219935=EDGE_CURVE('',#175394,#175395,#103948,.T.); #219936=EDGE_CURVE('',#175395,#175395,#69364,.T.); #219937=EDGE_CURVE('',#175396,#175396,#69365,.T.); #219938=EDGE_CURVE('',#175396,#175397,#103949,.T.); #219939=EDGE_CURVE('',#175397,#175397,#69366,.T.); #219940=EDGE_CURVE('',#175398,#175398,#69367,.T.); #219941=EDGE_CURVE('',#175398,#175399,#103950,.T.); #219942=EDGE_CURVE('',#175399,#175399,#69368,.T.); #219943=EDGE_CURVE('',#175400,#175400,#69369,.T.); #219944=EDGE_CURVE('',#175400,#175401,#103951,.T.); #219945=EDGE_CURVE('',#175401,#175401,#69370,.T.); #219946=EDGE_CURVE('',#175402,#175402,#69371,.T.); #219947=EDGE_CURVE('',#175402,#175403,#103952,.T.); #219948=EDGE_CURVE('',#175403,#175403,#69372,.T.); #219949=EDGE_CURVE('',#175404,#175404,#69373,.T.); #219950=EDGE_CURVE('',#175404,#175405,#103953,.T.); #219951=EDGE_CURVE('',#175405,#175405,#69374,.T.); #219952=EDGE_CURVE('',#175406,#175406,#69375,.T.); #219953=EDGE_CURVE('',#175406,#175407,#103954,.T.); #219954=EDGE_CURVE('',#175407,#175407,#69376,.T.); #219955=EDGE_CURVE('',#175408,#175408,#69377,.T.); #219956=EDGE_CURVE('',#175408,#175409,#103955,.T.); #219957=EDGE_CURVE('',#175409,#175409,#69378,.T.); #219958=EDGE_CURVE('',#175410,#175410,#69379,.T.); #219959=EDGE_CURVE('',#175410,#175411,#103956,.T.); #219960=EDGE_CURVE('',#175411,#175411,#69380,.T.); #219961=EDGE_CURVE('',#175412,#175412,#69381,.T.); #219962=EDGE_CURVE('',#175412,#175413,#103957,.T.); #219963=EDGE_CURVE('',#175413,#175413,#69382,.T.); #219964=EDGE_CURVE('',#175414,#175414,#69383,.T.); #219965=EDGE_CURVE('',#175414,#175415,#103958,.T.); #219966=EDGE_CURVE('',#175415,#175415,#69384,.T.); #219967=EDGE_CURVE('',#175416,#175416,#69385,.T.); #219968=EDGE_CURVE('',#175416,#175417,#103959,.T.); #219969=EDGE_CURVE('',#175417,#175417,#69386,.T.); #219970=EDGE_CURVE('',#175418,#175418,#69387,.T.); #219971=EDGE_CURVE('',#175418,#175419,#103960,.T.); #219972=EDGE_CURVE('',#175419,#175419,#69388,.T.); #219973=EDGE_CURVE('',#175420,#175421,#103961,.T.); #219974=EDGE_CURVE('',#175421,#175422,#103962,.T.); #219975=EDGE_CURVE('',#175423,#175422,#103963,.T.); #219976=EDGE_CURVE('',#175420,#175423,#103964,.T.); #219977=EDGE_CURVE('',#175424,#175420,#103965,.T.); #219978=EDGE_CURVE('',#175425,#175423,#103966,.T.); #219979=EDGE_CURVE('',#175424,#175425,#103967,.T.); #219980=EDGE_CURVE('',#175426,#175424,#103968,.T.); #219981=EDGE_CURVE('',#175427,#175425,#103969,.T.); #219982=EDGE_CURVE('',#175426,#175427,#103970,.T.); #219983=EDGE_CURVE('',#175421,#175426,#103971,.T.); #219984=EDGE_CURVE('',#175422,#175427,#103972,.T.); #219985=EDGE_CURVE('',#175428,#175429,#103973,.T.); #219986=EDGE_CURVE('',#175429,#175430,#103974,.T.); #219987=EDGE_CURVE('',#175431,#175430,#103975,.T.); #219988=EDGE_CURVE('',#175428,#175431,#103976,.T.); #219989=EDGE_CURVE('',#175432,#175428,#103977,.T.); #219990=EDGE_CURVE('',#175433,#175431,#103978,.T.); #219991=EDGE_CURVE('',#175432,#175433,#103979,.T.); #219992=EDGE_CURVE('',#175434,#175432,#103980,.T.); #219993=EDGE_CURVE('',#175435,#175433,#103981,.T.); #219994=EDGE_CURVE('',#175434,#175435,#103982,.T.); #219995=EDGE_CURVE('',#175429,#175434,#103983,.T.); #219996=EDGE_CURVE('',#175430,#175435,#103984,.T.); #219997=EDGE_CURVE('',#175436,#175437,#103985,.T.); #219998=EDGE_CURVE('',#175437,#175438,#103986,.T.); #219999=EDGE_CURVE('',#175439,#175438,#103987,.T.); #220000=EDGE_CURVE('',#175436,#175439,#103988,.T.); #220001=EDGE_CURVE('',#175440,#175436,#103989,.T.); #220002=EDGE_CURVE('',#175441,#175439,#103990,.T.); #220003=EDGE_CURVE('',#175440,#175441,#103991,.T.); #220004=EDGE_CURVE('',#175442,#175440,#103992,.T.); #220005=EDGE_CURVE('',#175443,#175441,#103993,.T.); #220006=EDGE_CURVE('',#175442,#175443,#103994,.T.); #220007=EDGE_CURVE('',#175437,#175442,#103995,.T.); #220008=EDGE_CURVE('',#175438,#175443,#103996,.T.); #220009=EDGE_CURVE('',#175444,#175445,#103997,.T.); #220010=EDGE_CURVE('',#175445,#175446,#103998,.T.); #220011=EDGE_CURVE('',#175447,#175446,#103999,.T.); #220012=EDGE_CURVE('',#175444,#175447,#104000,.T.); #220013=EDGE_CURVE('',#175448,#175444,#104001,.T.); #220014=EDGE_CURVE('',#175449,#175447,#104002,.T.); #220015=EDGE_CURVE('',#175448,#175449,#104003,.T.); #220016=EDGE_CURVE('',#175450,#175448,#104004,.T.); #220017=EDGE_CURVE('',#175451,#175449,#104005,.T.); #220018=EDGE_CURVE('',#175450,#175451,#104006,.T.); #220019=EDGE_CURVE('',#175445,#175450,#104007,.T.); #220020=EDGE_CURVE('',#175446,#175451,#104008,.T.); #220021=EDGE_CURVE('',#175452,#175452,#69389,.T.); #220022=EDGE_CURVE('',#175452,#175453,#104009,.T.); #220023=EDGE_CURVE('',#175453,#175453,#69390,.T.); #220024=EDGE_CURVE('',#175454,#175455,#104010,.T.); #220025=EDGE_CURVE('',#175455,#175456,#104011,.T.); #220026=EDGE_CURVE('',#175457,#175456,#104012,.T.); #220027=EDGE_CURVE('',#175454,#175457,#104013,.T.); #220028=EDGE_CURVE('',#175458,#175454,#104014,.T.); #220029=EDGE_CURVE('',#175459,#175457,#104015,.T.); #220030=EDGE_CURVE('',#175458,#175459,#104016,.T.); #220031=EDGE_CURVE('',#175460,#175458,#104017,.T.); #220032=EDGE_CURVE('',#175461,#175459,#104018,.T.); #220033=EDGE_CURVE('',#175460,#175461,#104019,.T.); #220034=EDGE_CURVE('',#175455,#175460,#104020,.T.); #220035=EDGE_CURVE('',#175456,#175461,#104021,.T.); #220036=EDGE_CURVE('',#175462,#175462,#69391,.T.); #220037=EDGE_CURVE('',#175462,#175463,#104022,.T.); #220038=EDGE_CURVE('',#175463,#175463,#69392,.T.); #220039=EDGE_CURVE('',#175464,#175465,#104023,.T.); #220040=EDGE_CURVE('',#175465,#175466,#104024,.T.); #220041=EDGE_CURVE('',#175467,#175466,#104025,.T.); #220042=EDGE_CURVE('',#175464,#175467,#104026,.T.); #220043=EDGE_CURVE('',#175468,#175464,#104027,.T.); #220044=EDGE_CURVE('',#175469,#175467,#104028,.T.); #220045=EDGE_CURVE('',#175468,#175469,#104029,.T.); #220046=EDGE_CURVE('',#175470,#175468,#104030,.T.); #220047=EDGE_CURVE('',#175471,#175469,#104031,.T.); #220048=EDGE_CURVE('',#175470,#175471,#104032,.T.); #220049=EDGE_CURVE('',#175465,#175470,#104033,.T.); #220050=EDGE_CURVE('',#175466,#175471,#104034,.T.); #220051=EDGE_CURVE('',#175472,#175472,#69393,.T.); #220052=EDGE_CURVE('',#175472,#175473,#104035,.T.); #220053=EDGE_CURVE('',#175473,#175473,#69394,.T.); #220054=EDGE_CURVE('',#175474,#175475,#104036,.T.); #220055=EDGE_CURVE('',#175475,#175476,#104037,.T.); #220056=EDGE_CURVE('',#175477,#175476,#104038,.T.); #220057=EDGE_CURVE('',#175474,#175477,#104039,.T.); #220058=EDGE_CURVE('',#175478,#175474,#104040,.T.); #220059=EDGE_CURVE('',#175479,#175477,#104041,.T.); #220060=EDGE_CURVE('',#175478,#175479,#104042,.T.); #220061=EDGE_CURVE('',#175480,#175478,#104043,.T.); #220062=EDGE_CURVE('',#175481,#175479,#104044,.T.); #220063=EDGE_CURVE('',#175480,#175481,#104045,.T.); #220064=EDGE_CURVE('',#175475,#175480,#104046,.T.); #220065=EDGE_CURVE('',#175476,#175481,#104047,.T.); #220066=EDGE_CURVE('',#175482,#175482,#69395,.T.); #220067=EDGE_CURVE('',#175482,#175483,#104048,.T.); #220068=EDGE_CURVE('',#175483,#175483,#69396,.T.); #220069=EDGE_CURVE('',#175484,#175484,#69397,.T.); #220070=EDGE_CURVE('',#175484,#175485,#104049,.T.); #220071=EDGE_CURVE('',#175485,#175485,#69398,.T.); #220072=EDGE_CURVE('',#175486,#175486,#69399,.T.); #220073=EDGE_CURVE('',#175486,#175487,#104050,.T.); #220074=EDGE_CURVE('',#175487,#175487,#69400,.T.); #220075=EDGE_CURVE('',#175488,#175488,#69401,.T.); #220076=EDGE_CURVE('',#175488,#175489,#104051,.T.); #220077=EDGE_CURVE('',#175489,#175489,#69402,.T.); #220078=EDGE_CURVE('',#175490,#175490,#69403,.T.); #220079=EDGE_CURVE('',#175490,#175491,#104052,.T.); #220080=EDGE_CURVE('',#175491,#175491,#69404,.T.); #220081=EDGE_CURVE('',#175492,#175492,#69405,.T.); #220082=EDGE_CURVE('',#175492,#175493,#104053,.T.); #220083=EDGE_CURVE('',#175493,#175493,#69406,.T.); #220084=EDGE_CURVE('',#175494,#175494,#69407,.T.); #220085=EDGE_CURVE('',#175494,#175495,#104054,.T.); #220086=EDGE_CURVE('',#175495,#175495,#69408,.T.); #220087=EDGE_CURVE('',#175496,#175496,#69409,.T.); #220088=EDGE_CURVE('',#175496,#175497,#104055,.T.); #220089=EDGE_CURVE('',#175497,#175497,#69410,.T.); #220090=EDGE_CURVE('',#175498,#175498,#69411,.T.); #220091=EDGE_CURVE('',#175498,#175499,#104056,.T.); #220092=EDGE_CURVE('',#175499,#175499,#69412,.T.); #220093=EDGE_CURVE('',#175500,#175500,#69413,.T.); #220094=EDGE_CURVE('',#175500,#175501,#104057,.T.); #220095=EDGE_CURVE('',#175501,#175501,#69414,.T.); #220096=EDGE_CURVE('',#175502,#175502,#69415,.T.); #220097=EDGE_CURVE('',#175502,#175503,#104058,.T.); #220098=EDGE_CURVE('',#175503,#175503,#69416,.T.); #220099=EDGE_CURVE('',#175504,#175504,#69417,.T.); #220100=EDGE_CURVE('',#175504,#175505,#104059,.T.); #220101=EDGE_CURVE('',#175505,#175505,#69418,.T.); #220102=EDGE_CURVE('',#175506,#175506,#69419,.T.); #220103=EDGE_CURVE('',#175506,#175507,#104060,.T.); #220104=EDGE_CURVE('',#175507,#175507,#69420,.T.); #220105=EDGE_CURVE('',#175508,#175508,#69421,.T.); #220106=EDGE_CURVE('',#175508,#175509,#104061,.T.); #220107=EDGE_CURVE('',#175509,#175509,#69422,.T.); #220108=EDGE_CURVE('',#175510,#175510,#69423,.T.); #220109=EDGE_CURVE('',#175510,#175511,#104062,.T.); #220110=EDGE_CURVE('',#175511,#175511,#69424,.T.); #220111=EDGE_CURVE('',#175512,#175513,#104063,.T.); #220112=EDGE_CURVE('',#175513,#175514,#104064,.T.); #220113=EDGE_CURVE('',#175515,#175514,#104065,.T.); #220114=EDGE_CURVE('',#175512,#175515,#104066,.T.); #220115=EDGE_CURVE('',#175516,#175512,#104067,.T.); #220116=EDGE_CURVE('',#175517,#175515,#104068,.T.); #220117=EDGE_CURVE('',#175516,#175517,#104069,.T.); #220118=EDGE_CURVE('',#175518,#175516,#104070,.T.); #220119=EDGE_CURVE('',#175519,#175517,#104071,.T.); #220120=EDGE_CURVE('',#175518,#175519,#104072,.T.); #220121=EDGE_CURVE('',#175513,#175518,#104073,.T.); #220122=EDGE_CURVE('',#175514,#175519,#104074,.T.); #220123=EDGE_CURVE('',#175520,#175521,#104075,.T.); #220124=EDGE_CURVE('',#175521,#175522,#104076,.T.); #220125=EDGE_CURVE('',#175523,#175522,#104077,.T.); #220126=EDGE_CURVE('',#175520,#175523,#104078,.T.); #220127=EDGE_CURVE('',#175524,#175520,#104079,.T.); #220128=EDGE_CURVE('',#175525,#175523,#104080,.T.); #220129=EDGE_CURVE('',#175524,#175525,#104081,.T.); #220130=EDGE_CURVE('',#175526,#175524,#104082,.T.); #220131=EDGE_CURVE('',#175527,#175525,#104083,.T.); #220132=EDGE_CURVE('',#175526,#175527,#104084,.T.); #220133=EDGE_CURVE('',#175521,#175526,#104085,.T.); #220134=EDGE_CURVE('',#175522,#175527,#104086,.T.); #220135=EDGE_CURVE('',#175528,#175529,#104087,.T.); #220136=EDGE_CURVE('',#175529,#175530,#104088,.T.); #220137=EDGE_CURVE('',#175531,#175530,#104089,.T.); #220138=EDGE_CURVE('',#175528,#175531,#104090,.T.); #220139=EDGE_CURVE('',#175532,#175528,#104091,.T.); #220140=EDGE_CURVE('',#175533,#175531,#104092,.T.); #220141=EDGE_CURVE('',#175532,#175533,#104093,.T.); #220142=EDGE_CURVE('',#175534,#175532,#104094,.T.); #220143=EDGE_CURVE('',#175535,#175533,#104095,.T.); #220144=EDGE_CURVE('',#175534,#175535,#104096,.T.); #220145=EDGE_CURVE('',#175529,#175534,#104097,.T.); #220146=EDGE_CURVE('',#175530,#175535,#104098,.T.); #220147=EDGE_CURVE('',#175536,#175537,#104099,.T.); #220148=EDGE_CURVE('',#175537,#175538,#104100,.T.); #220149=EDGE_CURVE('',#175539,#175538,#104101,.T.); #220150=EDGE_CURVE('',#175536,#175539,#104102,.T.); #220151=EDGE_CURVE('',#175540,#175536,#104103,.T.); #220152=EDGE_CURVE('',#175541,#175539,#104104,.T.); #220153=EDGE_CURVE('',#175540,#175541,#104105,.T.); #220154=EDGE_CURVE('',#175542,#175540,#104106,.T.); #220155=EDGE_CURVE('',#175543,#175541,#104107,.T.); #220156=EDGE_CURVE('',#175542,#175543,#104108,.T.); #220157=EDGE_CURVE('',#175537,#175542,#104109,.T.); #220158=EDGE_CURVE('',#175538,#175543,#104110,.T.); #220159=EDGE_CURVE('',#175544,#175545,#104111,.T.); #220160=EDGE_CURVE('',#175545,#175546,#104112,.T.); #220161=EDGE_CURVE('',#175547,#175546,#104113,.T.); #220162=EDGE_CURVE('',#175544,#175547,#104114,.T.); #220163=EDGE_CURVE('',#175548,#175544,#104115,.T.); #220164=EDGE_CURVE('',#175549,#175547,#104116,.T.); #220165=EDGE_CURVE('',#175548,#175549,#104117,.T.); #220166=EDGE_CURVE('',#175550,#175548,#104118,.T.); #220167=EDGE_CURVE('',#175551,#175549,#104119,.T.); #220168=EDGE_CURVE('',#175550,#175551,#104120,.T.); #220169=EDGE_CURVE('',#175545,#175550,#104121,.T.); #220170=EDGE_CURVE('',#175546,#175551,#104122,.T.); #220171=EDGE_CURVE('',#175552,#175553,#104123,.T.); #220172=EDGE_CURVE('',#175553,#175554,#104124,.T.); #220173=EDGE_CURVE('',#175555,#175554,#104125,.T.); #220174=EDGE_CURVE('',#175552,#175555,#104126,.T.); #220175=EDGE_CURVE('',#175556,#175552,#104127,.T.); #220176=EDGE_CURVE('',#175557,#175555,#104128,.T.); #220177=EDGE_CURVE('',#175556,#175557,#104129,.T.); #220178=EDGE_CURVE('',#175558,#175556,#104130,.T.); #220179=EDGE_CURVE('',#175559,#175557,#104131,.T.); #220180=EDGE_CURVE('',#175558,#175559,#104132,.T.); #220181=EDGE_CURVE('',#175553,#175558,#104133,.T.); #220182=EDGE_CURVE('',#175554,#175559,#104134,.T.); #220183=EDGE_CURVE('',#175560,#175561,#104135,.T.); #220184=EDGE_CURVE('',#175561,#175562,#104136,.T.); #220185=EDGE_CURVE('',#175563,#175562,#104137,.T.); #220186=EDGE_CURVE('',#175560,#175563,#104138,.T.); #220187=EDGE_CURVE('',#175564,#175560,#104139,.T.); #220188=EDGE_CURVE('',#175565,#175563,#104140,.T.); #220189=EDGE_CURVE('',#175564,#175565,#104141,.T.); #220190=EDGE_CURVE('',#175566,#175564,#104142,.T.); #220191=EDGE_CURVE('',#175567,#175565,#104143,.T.); #220192=EDGE_CURVE('',#175566,#175567,#104144,.T.); #220193=EDGE_CURVE('',#175561,#175566,#104145,.T.); #220194=EDGE_CURVE('',#175562,#175567,#104146,.T.); #220195=EDGE_CURVE('',#175568,#175569,#104147,.T.); #220196=EDGE_CURVE('',#175569,#175570,#104148,.T.); #220197=EDGE_CURVE('',#175571,#175570,#104149,.T.); #220198=EDGE_CURVE('',#175568,#175571,#104150,.T.); #220199=EDGE_CURVE('',#175572,#175568,#104151,.T.); #220200=EDGE_CURVE('',#175573,#175571,#104152,.T.); #220201=EDGE_CURVE('',#175572,#175573,#104153,.T.); #220202=EDGE_CURVE('',#175574,#175572,#104154,.T.); #220203=EDGE_CURVE('',#175575,#175573,#104155,.T.); #220204=EDGE_CURVE('',#175574,#175575,#104156,.T.); #220205=EDGE_CURVE('',#175569,#175574,#104157,.T.); #220206=EDGE_CURVE('',#175570,#175575,#104158,.T.); #220207=EDGE_CURVE('',#175576,#175577,#104159,.T.); #220208=EDGE_CURVE('',#175577,#175578,#104160,.T.); #220209=EDGE_CURVE('',#175579,#175578,#104161,.T.); #220210=EDGE_CURVE('',#175576,#175579,#104162,.T.); #220211=EDGE_CURVE('',#175580,#175576,#104163,.T.); #220212=EDGE_CURVE('',#175581,#175579,#104164,.T.); #220213=EDGE_CURVE('',#175580,#175581,#104165,.T.); #220214=EDGE_CURVE('',#175582,#175580,#104166,.T.); #220215=EDGE_CURVE('',#175583,#175581,#104167,.T.); #220216=EDGE_CURVE('',#175582,#175583,#104168,.T.); #220217=EDGE_CURVE('',#175577,#175582,#104169,.T.); #220218=EDGE_CURVE('',#175578,#175583,#104170,.T.); #220219=EDGE_CURVE('',#175584,#175585,#104171,.T.); #220220=EDGE_CURVE('',#175585,#175586,#104172,.T.); #220221=EDGE_CURVE('',#175587,#175586,#104173,.T.); #220222=EDGE_CURVE('',#175584,#175587,#104174,.T.); #220223=EDGE_CURVE('',#175588,#175584,#104175,.T.); #220224=EDGE_CURVE('',#175589,#175587,#104176,.T.); #220225=EDGE_CURVE('',#175588,#175589,#104177,.T.); #220226=EDGE_CURVE('',#175590,#175588,#104178,.T.); #220227=EDGE_CURVE('',#175591,#175589,#104179,.T.); #220228=EDGE_CURVE('',#175590,#175591,#104180,.T.); #220229=EDGE_CURVE('',#175585,#175590,#104181,.T.); #220230=EDGE_CURVE('',#175586,#175591,#104182,.T.); #220231=EDGE_CURVE('',#175592,#175593,#104183,.T.); #220232=EDGE_CURVE('',#175593,#175594,#104184,.T.); #220233=EDGE_CURVE('',#175595,#175594,#104185,.T.); #220234=EDGE_CURVE('',#175592,#175595,#104186,.T.); #220235=EDGE_CURVE('',#175596,#175592,#104187,.T.); #220236=EDGE_CURVE('',#175597,#175595,#104188,.T.); #220237=EDGE_CURVE('',#175596,#175597,#104189,.T.); #220238=EDGE_CURVE('',#175598,#175596,#104190,.T.); #220239=EDGE_CURVE('',#175599,#175597,#104191,.T.); #220240=EDGE_CURVE('',#175598,#175599,#104192,.T.); #220241=EDGE_CURVE('',#175593,#175598,#104193,.T.); #220242=EDGE_CURVE('',#175594,#175599,#104194,.T.); #220243=EDGE_CURVE('',#175600,#175601,#104195,.T.); #220244=EDGE_CURVE('',#175601,#175602,#104196,.T.); #220245=EDGE_CURVE('',#175603,#175602,#104197,.T.); #220246=EDGE_CURVE('',#175600,#175603,#104198,.T.); #220247=EDGE_CURVE('',#175604,#175600,#104199,.T.); #220248=EDGE_CURVE('',#175605,#175603,#104200,.T.); #220249=EDGE_CURVE('',#175604,#175605,#104201,.T.); #220250=EDGE_CURVE('',#175606,#175604,#104202,.T.); #220251=EDGE_CURVE('',#175607,#175605,#104203,.T.); #220252=EDGE_CURVE('',#175606,#175607,#104204,.T.); #220253=EDGE_CURVE('',#175601,#175606,#104205,.T.); #220254=EDGE_CURVE('',#175602,#175607,#104206,.T.); #220255=EDGE_CURVE('',#175608,#175609,#104207,.T.); #220256=EDGE_CURVE('',#175609,#175610,#104208,.T.); #220257=EDGE_CURVE('',#175611,#175610,#104209,.T.); #220258=EDGE_CURVE('',#175608,#175611,#104210,.T.); #220259=EDGE_CURVE('',#175612,#175608,#104211,.T.); #220260=EDGE_CURVE('',#175613,#175611,#104212,.T.); #220261=EDGE_CURVE('',#175612,#175613,#104213,.T.); #220262=EDGE_CURVE('',#175614,#175612,#104214,.T.); #220263=EDGE_CURVE('',#175615,#175613,#104215,.T.); #220264=EDGE_CURVE('',#175614,#175615,#104216,.T.); #220265=EDGE_CURVE('',#175609,#175614,#104217,.T.); #220266=EDGE_CURVE('',#175610,#175615,#104218,.T.); #220267=EDGE_CURVE('',#175616,#175617,#104219,.T.); #220268=EDGE_CURVE('',#175617,#175618,#104220,.T.); #220269=EDGE_CURVE('',#175619,#175618,#104221,.T.); #220270=EDGE_CURVE('',#175616,#175619,#104222,.T.); #220271=EDGE_CURVE('',#175620,#175616,#104223,.T.); #220272=EDGE_CURVE('',#175621,#175619,#104224,.T.); #220273=EDGE_CURVE('',#175620,#175621,#104225,.T.); #220274=EDGE_CURVE('',#175622,#175620,#104226,.T.); #220275=EDGE_CURVE('',#175623,#175621,#104227,.T.); #220276=EDGE_CURVE('',#175622,#175623,#104228,.T.); #220277=EDGE_CURVE('',#175617,#175622,#104229,.T.); #220278=EDGE_CURVE('',#175618,#175623,#104230,.T.); #220279=EDGE_CURVE('',#175624,#175625,#104231,.T.); #220280=EDGE_CURVE('',#175625,#175626,#104232,.T.); #220281=EDGE_CURVE('',#175627,#175626,#104233,.T.); #220282=EDGE_CURVE('',#175624,#175627,#104234,.T.); #220283=EDGE_CURVE('',#175628,#175624,#104235,.T.); #220284=EDGE_CURVE('',#175629,#175627,#104236,.T.); #220285=EDGE_CURVE('',#175628,#175629,#104237,.T.); #220286=EDGE_CURVE('',#175630,#175628,#104238,.T.); #220287=EDGE_CURVE('',#175631,#175629,#104239,.T.); #220288=EDGE_CURVE('',#175630,#175631,#104240,.T.); #220289=EDGE_CURVE('',#175625,#175630,#104241,.T.); #220290=EDGE_CURVE('',#175626,#175631,#104242,.T.); #220291=EDGE_CURVE('',#175632,#175633,#104243,.T.); #220292=EDGE_CURVE('',#175633,#175634,#104244,.T.); #220293=EDGE_CURVE('',#175635,#175634,#104245,.T.); #220294=EDGE_CURVE('',#175632,#175635,#104246,.T.); #220295=EDGE_CURVE('',#175636,#175632,#104247,.T.); #220296=EDGE_CURVE('',#175637,#175635,#104248,.T.); #220297=EDGE_CURVE('',#175636,#175637,#104249,.T.); #220298=EDGE_CURVE('',#175638,#175636,#104250,.T.); #220299=EDGE_CURVE('',#175639,#175637,#104251,.T.); #220300=EDGE_CURVE('',#175638,#175639,#104252,.T.); #220301=EDGE_CURVE('',#175633,#175638,#104253,.T.); #220302=EDGE_CURVE('',#175634,#175639,#104254,.T.); #220303=EDGE_CURVE('',#175640,#175641,#104255,.T.); #220304=EDGE_CURVE('',#175641,#175642,#104256,.T.); #220305=EDGE_CURVE('',#175643,#175642,#104257,.T.); #220306=EDGE_CURVE('',#175640,#175643,#104258,.T.); #220307=EDGE_CURVE('',#175644,#175640,#104259,.T.); #220308=EDGE_CURVE('',#175645,#175643,#104260,.T.); #220309=EDGE_CURVE('',#175644,#175645,#104261,.T.); #220310=EDGE_CURVE('',#175646,#175644,#104262,.T.); #220311=EDGE_CURVE('',#175647,#175645,#104263,.T.); #220312=EDGE_CURVE('',#175646,#175647,#104264,.T.); #220313=EDGE_CURVE('',#175641,#175646,#104265,.T.); #220314=EDGE_CURVE('',#175642,#175647,#104266,.T.); #220315=EDGE_CURVE('',#175648,#175649,#104267,.T.); #220316=EDGE_CURVE('',#175649,#175650,#104268,.T.); #220317=EDGE_CURVE('',#175651,#175650,#104269,.T.); #220318=EDGE_CURVE('',#175648,#175651,#104270,.T.); #220319=EDGE_CURVE('',#175652,#175648,#104271,.T.); #220320=EDGE_CURVE('',#175653,#175651,#104272,.T.); #220321=EDGE_CURVE('',#175652,#175653,#104273,.T.); #220322=EDGE_CURVE('',#175654,#175652,#104274,.T.); #220323=EDGE_CURVE('',#175655,#175653,#104275,.T.); #220324=EDGE_CURVE('',#175654,#175655,#104276,.T.); #220325=EDGE_CURVE('',#175649,#175654,#104277,.T.); #220326=EDGE_CURVE('',#175650,#175655,#104278,.T.); #220327=EDGE_CURVE('',#175656,#175657,#104279,.T.); #220328=EDGE_CURVE('',#175657,#175658,#104280,.T.); #220329=EDGE_CURVE('',#175659,#175658,#104281,.T.); #220330=EDGE_CURVE('',#175656,#175659,#104282,.T.); #220331=EDGE_CURVE('',#175660,#175656,#104283,.T.); #220332=EDGE_CURVE('',#175661,#175659,#104284,.T.); #220333=EDGE_CURVE('',#175660,#175661,#104285,.T.); #220334=EDGE_CURVE('',#175662,#175660,#104286,.T.); #220335=EDGE_CURVE('',#175663,#175661,#104287,.T.); #220336=EDGE_CURVE('',#175662,#175663,#104288,.T.); #220337=EDGE_CURVE('',#175657,#175662,#104289,.T.); #220338=EDGE_CURVE('',#175658,#175663,#104290,.T.); #220339=EDGE_CURVE('',#175664,#175665,#104291,.T.); #220340=EDGE_CURVE('',#175665,#175666,#104292,.T.); #220341=EDGE_CURVE('',#175667,#175666,#104293,.T.); #220342=EDGE_CURVE('',#175664,#175667,#104294,.T.); #220343=EDGE_CURVE('',#175668,#175664,#104295,.T.); #220344=EDGE_CURVE('',#175669,#175667,#104296,.T.); #220345=EDGE_CURVE('',#175668,#175669,#104297,.T.); #220346=EDGE_CURVE('',#175670,#175668,#104298,.T.); #220347=EDGE_CURVE('',#175671,#175669,#104299,.T.); #220348=EDGE_CURVE('',#175670,#175671,#104300,.T.); #220349=EDGE_CURVE('',#175665,#175670,#104301,.T.); #220350=EDGE_CURVE('',#175666,#175671,#104302,.T.); #220351=EDGE_CURVE('',#175672,#175673,#104303,.T.); #220352=EDGE_CURVE('',#175673,#175674,#104304,.T.); #220353=EDGE_CURVE('',#175675,#175674,#104305,.T.); #220354=EDGE_CURVE('',#175672,#175675,#104306,.T.); #220355=EDGE_CURVE('',#175676,#175672,#104307,.T.); #220356=EDGE_CURVE('',#175677,#175675,#104308,.T.); #220357=EDGE_CURVE('',#175676,#175677,#104309,.T.); #220358=EDGE_CURVE('',#175678,#175676,#104310,.T.); #220359=EDGE_CURVE('',#175679,#175677,#104311,.T.); #220360=EDGE_CURVE('',#175678,#175679,#104312,.T.); #220361=EDGE_CURVE('',#175673,#175678,#104313,.T.); #220362=EDGE_CURVE('',#175674,#175679,#104314,.T.); #220363=EDGE_CURVE('',#175680,#175680,#69425,.T.); #220364=EDGE_CURVE('',#175680,#175681,#104315,.T.); #220365=EDGE_CURVE('',#175681,#175681,#69426,.T.); #220366=EDGE_CURVE('',#175682,#175682,#69427,.T.); #220367=EDGE_CURVE('',#175682,#175683,#104316,.T.); #220368=EDGE_CURVE('',#175683,#175683,#69428,.T.); #220369=EDGE_CURVE('',#175684,#175684,#69429,.T.); #220370=EDGE_CURVE('',#175684,#175685,#104317,.T.); #220371=EDGE_CURVE('',#175685,#175685,#69430,.T.); #220372=EDGE_CURVE('',#175686,#175686,#69431,.T.); #220373=EDGE_CURVE('',#175686,#175687,#104318,.T.); #220374=EDGE_CURVE('',#175687,#175687,#69432,.T.); #220375=EDGE_CURVE('',#175688,#175688,#69433,.T.); #220376=EDGE_CURVE('',#175688,#175689,#104319,.T.); #220377=EDGE_CURVE('',#175689,#175689,#69434,.T.); #220378=EDGE_CURVE('',#175690,#175690,#69435,.T.); #220379=EDGE_CURVE('',#175690,#175691,#104320,.T.); #220380=EDGE_CURVE('',#175691,#175691,#69436,.T.); #220381=EDGE_CURVE('',#175692,#175692,#69437,.T.); #220382=EDGE_CURVE('',#175692,#175693,#104321,.T.); #220383=EDGE_CURVE('',#175693,#175693,#69438,.T.); #220384=EDGE_CURVE('',#175694,#175694,#69439,.T.); #220385=EDGE_CURVE('',#175694,#175695,#104322,.T.); #220386=EDGE_CURVE('',#175695,#175695,#69440,.T.); #220387=EDGE_CURVE('',#175696,#175696,#69441,.T.); #220388=EDGE_CURVE('',#175696,#175697,#104323,.T.); #220389=EDGE_CURVE('',#175697,#175697,#69442,.T.); #220390=EDGE_CURVE('',#175698,#175698,#69443,.T.); #220391=EDGE_CURVE('',#175698,#175699,#104324,.T.); #220392=EDGE_CURVE('',#175699,#175699,#69444,.T.); #220393=EDGE_CURVE('',#175700,#175700,#69445,.T.); #220394=EDGE_CURVE('',#175700,#175701,#104325,.T.); #220395=EDGE_CURVE('',#175701,#175701,#69446,.T.); #220396=EDGE_CURVE('',#175702,#175702,#69447,.T.); #220397=EDGE_CURVE('',#175702,#175703,#104326,.T.); #220398=EDGE_CURVE('',#175703,#175703,#69448,.T.); #220399=EDGE_CURVE('',#175704,#175704,#69449,.T.); #220400=EDGE_CURVE('',#175704,#175705,#104327,.T.); #220401=EDGE_CURVE('',#175705,#175705,#69450,.T.); #220402=EDGE_CURVE('',#175706,#175706,#69451,.T.); #220403=EDGE_CURVE('',#175706,#175707,#104328,.T.); #220404=EDGE_CURVE('',#175707,#175707,#69452,.T.); #220405=EDGE_CURVE('',#175708,#175708,#69453,.T.); #220406=EDGE_CURVE('',#175708,#175709,#104329,.T.); #220407=EDGE_CURVE('',#175709,#175709,#69454,.T.); #220408=EDGE_CURVE('',#175710,#175711,#104330,.T.); #220409=EDGE_CURVE('',#175711,#175712,#104331,.T.); #220410=EDGE_CURVE('',#175713,#175712,#104332,.T.); #220411=EDGE_CURVE('',#175710,#175713,#104333,.T.); #220412=EDGE_CURVE('',#175714,#175710,#104334,.T.); #220413=EDGE_CURVE('',#175715,#175713,#104335,.T.); #220414=EDGE_CURVE('',#175714,#175715,#104336,.T.); #220415=EDGE_CURVE('',#175716,#175714,#104337,.T.); #220416=EDGE_CURVE('',#175717,#175715,#104338,.T.); #220417=EDGE_CURVE('',#175716,#175717,#104339,.T.); #220418=EDGE_CURVE('',#175711,#175716,#104340,.T.); #220419=EDGE_CURVE('',#175712,#175717,#104341,.T.); #220420=EDGE_CURVE('',#175718,#175719,#104342,.T.); #220421=EDGE_CURVE('',#175719,#175720,#104343,.T.); #220422=EDGE_CURVE('',#175721,#175720,#104344,.T.); #220423=EDGE_CURVE('',#175718,#175721,#104345,.T.); #220424=EDGE_CURVE('',#175722,#175718,#104346,.T.); #220425=EDGE_CURVE('',#175723,#175721,#104347,.T.); #220426=EDGE_CURVE('',#175722,#175723,#104348,.T.); #220427=EDGE_CURVE('',#175724,#175722,#104349,.T.); #220428=EDGE_CURVE('',#175725,#175723,#104350,.T.); #220429=EDGE_CURVE('',#175724,#175725,#104351,.T.); #220430=EDGE_CURVE('',#175719,#175724,#104352,.T.); #220431=EDGE_CURVE('',#175720,#175725,#104353,.T.); #220432=EDGE_CURVE('',#175726,#175727,#104354,.T.); #220433=EDGE_CURVE('',#175727,#175728,#104355,.T.); #220434=EDGE_CURVE('',#175729,#175728,#104356,.T.); #220435=EDGE_CURVE('',#175726,#175729,#104357,.T.); #220436=EDGE_CURVE('',#175730,#175726,#104358,.T.); #220437=EDGE_CURVE('',#175731,#175729,#104359,.T.); #220438=EDGE_CURVE('',#175730,#175731,#104360,.T.); #220439=EDGE_CURVE('',#175732,#175730,#104361,.T.); #220440=EDGE_CURVE('',#175733,#175731,#104362,.T.); #220441=EDGE_CURVE('',#175732,#175733,#104363,.T.); #220442=EDGE_CURVE('',#175727,#175732,#104364,.T.); #220443=EDGE_CURVE('',#175728,#175733,#104365,.T.); #220444=EDGE_CURVE('',#175734,#175735,#104366,.T.); #220445=EDGE_CURVE('',#175735,#175736,#104367,.T.); #220446=EDGE_CURVE('',#175737,#175736,#104368,.T.); #220447=EDGE_CURVE('',#175734,#175737,#104369,.T.); #220448=EDGE_CURVE('',#175738,#175734,#104370,.T.); #220449=EDGE_CURVE('',#175739,#175737,#104371,.T.); #220450=EDGE_CURVE('',#175738,#175739,#104372,.T.); #220451=EDGE_CURVE('',#175740,#175738,#104373,.T.); #220452=EDGE_CURVE('',#175741,#175739,#104374,.T.); #220453=EDGE_CURVE('',#175740,#175741,#104375,.T.); #220454=EDGE_CURVE('',#175735,#175740,#104376,.T.); #220455=EDGE_CURVE('',#175736,#175741,#104377,.T.); #220456=EDGE_CURVE('',#175742,#175743,#104378,.T.); #220457=EDGE_CURVE('',#175743,#175744,#104379,.T.); #220458=EDGE_CURVE('',#175745,#175744,#104380,.T.); #220459=EDGE_CURVE('',#175742,#175745,#104381,.T.); #220460=EDGE_CURVE('',#175746,#175742,#104382,.T.); #220461=EDGE_CURVE('',#175747,#175745,#104383,.T.); #220462=EDGE_CURVE('',#175746,#175747,#104384,.T.); #220463=EDGE_CURVE('',#175748,#175746,#104385,.T.); #220464=EDGE_CURVE('',#175749,#175747,#104386,.T.); #220465=EDGE_CURVE('',#175748,#175749,#104387,.T.); #220466=EDGE_CURVE('',#175743,#175748,#104388,.T.); #220467=EDGE_CURVE('',#175744,#175749,#104389,.T.); #220468=EDGE_CURVE('',#175750,#175751,#104390,.T.); #220469=EDGE_CURVE('',#175751,#175752,#104391,.T.); #220470=EDGE_CURVE('',#175753,#175752,#104392,.T.); #220471=EDGE_CURVE('',#175750,#175753,#104393,.T.); #220472=EDGE_CURVE('',#175754,#175750,#104394,.T.); #220473=EDGE_CURVE('',#175755,#175753,#104395,.T.); #220474=EDGE_CURVE('',#175754,#175755,#104396,.T.); #220475=EDGE_CURVE('',#175756,#175754,#104397,.T.); #220476=EDGE_CURVE('',#175757,#175755,#104398,.T.); #220477=EDGE_CURVE('',#175756,#175757,#104399,.T.); #220478=EDGE_CURVE('',#175751,#175756,#104400,.T.); #220479=EDGE_CURVE('',#175752,#175757,#104401,.T.); #220480=EDGE_CURVE('',#175758,#175759,#104402,.T.); #220481=EDGE_CURVE('',#175759,#175760,#104403,.T.); #220482=EDGE_CURVE('',#175761,#175760,#104404,.T.); #220483=EDGE_CURVE('',#175758,#175761,#104405,.T.); #220484=EDGE_CURVE('',#175762,#175758,#104406,.T.); #220485=EDGE_CURVE('',#175763,#175761,#104407,.T.); #220486=EDGE_CURVE('',#175762,#175763,#104408,.T.); #220487=EDGE_CURVE('',#175764,#175762,#104409,.T.); #220488=EDGE_CURVE('',#175765,#175763,#104410,.T.); #220489=EDGE_CURVE('',#175764,#175765,#104411,.T.); #220490=EDGE_CURVE('',#175759,#175764,#104412,.T.); #220491=EDGE_CURVE('',#175760,#175765,#104413,.T.); #220492=EDGE_CURVE('',#175766,#175766,#69455,.T.); #220493=EDGE_CURVE('',#175766,#175767,#104414,.T.); #220494=EDGE_CURVE('',#175767,#175767,#69456,.T.); #220495=EDGE_CURVE('',#175768,#175769,#104415,.T.); #220496=EDGE_CURVE('',#175769,#175770,#104416,.T.); #220497=EDGE_CURVE('',#175771,#175770,#104417,.T.); #220498=EDGE_CURVE('',#175768,#175771,#104418,.T.); #220499=EDGE_CURVE('',#175772,#175768,#104419,.T.); #220500=EDGE_CURVE('',#175773,#175771,#104420,.T.); #220501=EDGE_CURVE('',#175772,#175773,#104421,.T.); #220502=EDGE_CURVE('',#175774,#175772,#104422,.T.); #220503=EDGE_CURVE('',#175775,#175773,#104423,.T.); #220504=EDGE_CURVE('',#175774,#175775,#104424,.T.); #220505=EDGE_CURVE('',#175769,#175774,#104425,.T.); #220506=EDGE_CURVE('',#175770,#175775,#104426,.T.); #220507=EDGE_CURVE('',#175776,#175776,#69457,.T.); #220508=EDGE_CURVE('',#175776,#175777,#104427,.T.); #220509=EDGE_CURVE('',#175777,#175777,#69458,.T.); #220510=EDGE_CURVE('',#175778,#175778,#69459,.T.); #220511=EDGE_CURVE('',#175778,#175779,#104428,.T.); #220512=EDGE_CURVE('',#175779,#175779,#69460,.T.); #220513=EDGE_CURVE('',#175780,#175781,#104429,.T.); #220514=EDGE_CURVE('',#175781,#175782,#104430,.T.); #220515=EDGE_CURVE('',#175783,#175782,#104431,.T.); #220516=EDGE_CURVE('',#175780,#175783,#104432,.T.); #220517=EDGE_CURVE('',#175784,#175780,#104433,.T.); #220518=EDGE_CURVE('',#175785,#175783,#104434,.T.); #220519=EDGE_CURVE('',#175784,#175785,#104435,.T.); #220520=EDGE_CURVE('',#175786,#175784,#104436,.T.); #220521=EDGE_CURVE('',#175787,#175785,#104437,.T.); #220522=EDGE_CURVE('',#175786,#175787,#104438,.T.); #220523=EDGE_CURVE('',#175781,#175786,#104439,.T.); #220524=EDGE_CURVE('',#175782,#175787,#104440,.T.); #220525=EDGE_CURVE('',#175788,#175788,#69461,.T.); #220526=EDGE_CURVE('',#175788,#175789,#104441,.T.); #220527=EDGE_CURVE('',#175789,#175789,#69462,.T.); #220528=EDGE_CURVE('',#175790,#175790,#69463,.T.); #220529=EDGE_CURVE('',#175790,#175791,#104442,.T.); #220530=EDGE_CURVE('',#175791,#175791,#69464,.T.); #220531=EDGE_CURVE('',#175792,#175793,#104443,.T.); #220532=EDGE_CURVE('',#175793,#175794,#104444,.T.); #220533=EDGE_CURVE('',#175795,#175794,#104445,.T.); #220534=EDGE_CURVE('',#175792,#175795,#104446,.T.); #220535=EDGE_CURVE('',#175796,#175792,#104447,.T.); #220536=EDGE_CURVE('',#175797,#175795,#104448,.T.); #220537=EDGE_CURVE('',#175796,#175797,#104449,.T.); #220538=EDGE_CURVE('',#175798,#175796,#104450,.T.); #220539=EDGE_CURVE('',#175799,#175797,#104451,.T.); #220540=EDGE_CURVE('',#175798,#175799,#104452,.T.); #220541=EDGE_CURVE('',#175793,#175798,#104453,.T.); #220542=EDGE_CURVE('',#175794,#175799,#104454,.T.); #220543=EDGE_CURVE('',#175800,#175800,#69465,.T.); #220544=EDGE_CURVE('',#175800,#175801,#104455,.T.); #220545=EDGE_CURVE('',#175801,#175801,#69466,.T.); #220546=EDGE_CURVE('',#175802,#175803,#104456,.T.); #220547=EDGE_CURVE('',#175803,#175804,#104457,.T.); #220548=EDGE_CURVE('',#175805,#175804,#104458,.T.); #220549=EDGE_CURVE('',#175802,#175805,#104459,.T.); #220550=EDGE_CURVE('',#175806,#175802,#104460,.T.); #220551=EDGE_CURVE('',#175807,#175805,#104461,.T.); #220552=EDGE_CURVE('',#175806,#175807,#104462,.T.); #220553=EDGE_CURVE('',#175808,#175806,#104463,.T.); #220554=EDGE_CURVE('',#175809,#175807,#104464,.T.); #220555=EDGE_CURVE('',#175808,#175809,#104465,.T.); #220556=EDGE_CURVE('',#175803,#175808,#104466,.T.); #220557=EDGE_CURVE('',#175804,#175809,#104467,.T.); #220558=EDGE_CURVE('',#175810,#175810,#69467,.T.); #220559=EDGE_CURVE('',#175810,#175811,#104468,.T.); #220560=EDGE_CURVE('',#175811,#175811,#69468,.T.); #220561=EDGE_CURVE('',#175812,#175812,#69469,.T.); #220562=EDGE_CURVE('',#175812,#175813,#104469,.T.); #220563=EDGE_CURVE('',#175813,#175813,#69470,.T.); #220564=EDGE_CURVE('',#175814,#175814,#69471,.T.); #220565=EDGE_CURVE('',#175814,#175815,#104470,.T.); #220566=EDGE_CURVE('',#175815,#175815,#69472,.T.); #220567=EDGE_CURVE('',#175816,#175816,#69473,.T.); #220568=EDGE_CURVE('',#175816,#175817,#104471,.T.); #220569=EDGE_CURVE('',#175817,#175817,#69474,.T.); #220570=EDGE_CURVE('',#175818,#175818,#69475,.T.); #220571=EDGE_CURVE('',#175818,#175819,#104472,.T.); #220572=EDGE_CURVE('',#175819,#175819,#69476,.T.); #220573=EDGE_CURVE('',#175820,#175820,#69477,.T.); #220574=EDGE_CURVE('',#175820,#175821,#104473,.T.); #220575=EDGE_CURVE('',#175821,#175821,#69478,.T.); #220576=EDGE_CURVE('',#175822,#175822,#69479,.T.); #220577=EDGE_CURVE('',#175822,#175823,#104474,.T.); #220578=EDGE_CURVE('',#175823,#175823,#69480,.T.); #220579=EDGE_CURVE('',#175824,#175824,#69481,.T.); #220580=EDGE_CURVE('',#175824,#175825,#104475,.T.); #220581=EDGE_CURVE('',#175825,#175825,#69482,.T.); #220582=EDGE_CURVE('',#175826,#175826,#69483,.T.); #220583=EDGE_CURVE('',#175826,#175827,#104476,.T.); #220584=EDGE_CURVE('',#175827,#175827,#69484,.T.); #220585=EDGE_CURVE('',#175828,#175828,#69485,.T.); #220586=EDGE_CURVE('',#175828,#175829,#104477,.T.); #220587=EDGE_CURVE('',#175829,#175829,#69486,.T.); #220588=EDGE_CURVE('',#175830,#175830,#69487,.T.); #220589=EDGE_CURVE('',#175830,#175831,#104478,.T.); #220590=EDGE_CURVE('',#175831,#175831,#69488,.T.); #220591=EDGE_CURVE('',#175832,#175832,#69489,.T.); #220592=EDGE_CURVE('',#175832,#175833,#104479,.T.); #220593=EDGE_CURVE('',#175833,#175833,#69490,.T.); #220594=EDGE_CURVE('',#175834,#175834,#69491,.T.); #220595=EDGE_CURVE('',#175834,#175835,#104480,.T.); #220596=EDGE_CURVE('',#175835,#175835,#69492,.T.); #220597=EDGE_CURVE('',#175836,#175836,#69493,.T.); #220598=EDGE_CURVE('',#175836,#175837,#104481,.T.); #220599=EDGE_CURVE('',#175837,#175837,#69494,.T.); #220600=EDGE_CURVE('',#175838,#175838,#69495,.T.); #220601=EDGE_CURVE('',#175838,#175839,#104482,.T.); #220602=EDGE_CURVE('',#175839,#175839,#69496,.T.); #220603=EDGE_CURVE('',#175840,#175840,#69497,.T.); #220604=EDGE_CURVE('',#175840,#175841,#104483,.T.); #220605=EDGE_CURVE('',#175841,#175841,#69498,.T.); #220606=EDGE_CURVE('',#175842,#175842,#69499,.T.); #220607=EDGE_CURVE('',#175842,#175843,#104484,.T.); #220608=EDGE_CURVE('',#175843,#175843,#69500,.T.); #220609=EDGE_CURVE('',#175844,#175844,#69501,.T.); #220610=EDGE_CURVE('',#175844,#175845,#104485,.T.); #220611=EDGE_CURVE('',#175845,#175845,#69502,.T.); #220612=EDGE_CURVE('',#175846,#175846,#69503,.T.); #220613=EDGE_CURVE('',#175846,#175847,#104486,.T.); #220614=EDGE_CURVE('',#175847,#175847,#69504,.T.); #220615=EDGE_CURVE('',#175848,#175848,#69505,.T.); #220616=EDGE_CURVE('',#175848,#175849,#104487,.T.); #220617=EDGE_CURVE('',#175849,#175849,#69506,.T.); #220618=EDGE_CURVE('',#175850,#175850,#69507,.T.); #220619=EDGE_CURVE('',#175850,#175851,#104488,.T.); #220620=EDGE_CURVE('',#175851,#175851,#69508,.T.); #220621=EDGE_CURVE('',#175852,#175852,#69509,.T.); #220622=EDGE_CURVE('',#175852,#175853,#104489,.T.); #220623=EDGE_CURVE('',#175853,#175853,#69510,.T.); #220624=EDGE_CURVE('',#175854,#175854,#69511,.T.); #220625=EDGE_CURVE('',#175854,#175855,#104490,.T.); #220626=EDGE_CURVE('',#175855,#175855,#69512,.T.); #220627=EDGE_CURVE('',#175856,#175856,#69513,.T.); #220628=EDGE_CURVE('',#175856,#175857,#104491,.T.); #220629=EDGE_CURVE('',#175857,#175857,#69514,.T.); #220630=EDGE_CURVE('',#175858,#175858,#69515,.T.); #220631=EDGE_CURVE('',#175858,#175859,#104492,.T.); #220632=EDGE_CURVE('',#175859,#175859,#69516,.T.); #220633=EDGE_CURVE('',#175860,#175860,#69517,.T.); #220634=EDGE_CURVE('',#175860,#175861,#104493,.T.); #220635=EDGE_CURVE('',#175861,#175861,#69518,.T.); #220636=EDGE_CURVE('',#175862,#175862,#69519,.T.); #220637=EDGE_CURVE('',#175862,#175863,#104494,.T.); #220638=EDGE_CURVE('',#175863,#175863,#69520,.T.); #220639=EDGE_CURVE('',#175864,#175864,#69521,.T.); #220640=EDGE_CURVE('',#175864,#175865,#104495,.T.); #220641=EDGE_CURVE('',#175865,#175865,#69522,.T.); #220642=EDGE_CURVE('',#175866,#175866,#69523,.T.); #220643=EDGE_CURVE('',#175866,#175867,#104496,.T.); #220644=EDGE_CURVE('',#175867,#175867,#69524,.T.); #220645=EDGE_CURVE('',#175868,#175868,#69525,.T.); #220646=EDGE_CURVE('',#175868,#175869,#104497,.T.); #220647=EDGE_CURVE('',#175869,#175869,#69526,.T.); #220648=EDGE_CURVE('',#175870,#175870,#69527,.T.); #220649=EDGE_CURVE('',#175870,#175871,#104498,.T.); #220650=EDGE_CURVE('',#175871,#175871,#69528,.T.); #220651=EDGE_CURVE('',#175872,#175872,#69529,.T.); #220652=EDGE_CURVE('',#175872,#175873,#104499,.T.); #220653=EDGE_CURVE('',#175873,#175873,#69530,.T.); #220654=EDGE_CURVE('',#175874,#175874,#69531,.T.); #220655=EDGE_CURVE('',#175874,#175875,#104500,.T.); #220656=EDGE_CURVE('',#175875,#175875,#69532,.T.); #220657=EDGE_CURVE('',#175876,#175876,#69533,.T.); #220658=EDGE_CURVE('',#175876,#175877,#104501,.T.); #220659=EDGE_CURVE('',#175877,#175877,#69534,.T.); #220660=EDGE_CURVE('',#175878,#175878,#69535,.T.); #220661=EDGE_CURVE('',#175878,#175879,#104502,.T.); #220662=EDGE_CURVE('',#175879,#175879,#69536,.T.); #220663=EDGE_CURVE('',#175880,#175880,#69537,.T.); #220664=EDGE_CURVE('',#175880,#175881,#104503,.T.); #220665=EDGE_CURVE('',#175881,#175881,#69538,.T.); #220666=EDGE_CURVE('',#175882,#175882,#69539,.T.); #220667=EDGE_CURVE('',#175882,#175883,#104504,.T.); #220668=EDGE_CURVE('',#175883,#175883,#69540,.T.); #220669=EDGE_CURVE('',#175884,#175884,#69541,.T.); #220670=EDGE_CURVE('',#175884,#175885,#104505,.T.); #220671=EDGE_CURVE('',#175885,#175885,#69542,.T.); #220672=EDGE_CURVE('',#175886,#175886,#69543,.T.); #220673=EDGE_CURVE('',#175886,#175887,#104506,.T.); #220674=EDGE_CURVE('',#175887,#175887,#69544,.T.); #220675=EDGE_CURVE('',#175888,#175888,#69545,.T.); #220676=EDGE_CURVE('',#175888,#175889,#104507,.T.); #220677=EDGE_CURVE('',#175889,#175889,#69546,.T.); #220678=EDGE_CURVE('',#175890,#175890,#69547,.T.); #220679=EDGE_CURVE('',#175890,#175891,#104508,.T.); #220680=EDGE_CURVE('',#175891,#175891,#69548,.T.); #220681=EDGE_CURVE('',#175892,#175892,#69549,.T.); #220682=EDGE_CURVE('',#175892,#175893,#104509,.T.); #220683=EDGE_CURVE('',#175893,#175893,#69550,.T.); #220684=EDGE_CURVE('',#175894,#175894,#69551,.T.); #220685=EDGE_CURVE('',#175894,#175895,#104510,.T.); #220686=EDGE_CURVE('',#175895,#175895,#69552,.T.); #220687=EDGE_CURVE('',#175896,#175896,#69553,.T.); #220688=EDGE_CURVE('',#175896,#175897,#104511,.T.); #220689=EDGE_CURVE('',#175897,#175897,#69554,.T.); #220690=EDGE_CURVE('',#175898,#175898,#69555,.T.); #220691=EDGE_CURVE('',#175898,#175899,#104512,.T.); #220692=EDGE_CURVE('',#175899,#175899,#69556,.T.); #220693=EDGE_CURVE('',#175900,#175900,#69557,.T.); #220694=EDGE_CURVE('',#175900,#175901,#104513,.T.); #220695=EDGE_CURVE('',#175901,#175901,#69558,.T.); #220696=EDGE_CURVE('',#175902,#175902,#69559,.T.); #220697=EDGE_CURVE('',#175902,#175903,#104514,.T.); #220698=EDGE_CURVE('',#175903,#175903,#69560,.T.); #220699=EDGE_CURVE('',#175904,#175904,#69561,.T.); #220700=EDGE_CURVE('',#175904,#175905,#104515,.T.); #220701=EDGE_CURVE('',#175905,#175905,#69562,.T.); #220702=EDGE_CURVE('',#175906,#175906,#69563,.T.); #220703=EDGE_CURVE('',#175906,#175907,#104516,.T.); #220704=EDGE_CURVE('',#175907,#175907,#69564,.T.); #220705=EDGE_CURVE('',#175908,#175908,#69565,.T.); #220706=EDGE_CURVE('',#175908,#175909,#104517,.T.); #220707=EDGE_CURVE('',#175909,#175909,#69566,.T.); #220708=EDGE_CURVE('',#175910,#175910,#69567,.T.); #220709=EDGE_CURVE('',#175910,#175911,#104518,.T.); #220710=EDGE_CURVE('',#175911,#175911,#69568,.T.); #220711=EDGE_CURVE('',#175912,#175912,#69569,.T.); #220712=EDGE_CURVE('',#175912,#175913,#104519,.T.); #220713=EDGE_CURVE('',#175913,#175913,#69570,.T.); #220714=EDGE_CURVE('',#175914,#175914,#69571,.T.); #220715=EDGE_CURVE('',#175914,#175915,#104520,.T.); #220716=EDGE_CURVE('',#175915,#175915,#69572,.T.); #220717=EDGE_CURVE('',#175916,#175916,#69573,.T.); #220718=EDGE_CURVE('',#175916,#175917,#104521,.T.); #220719=EDGE_CURVE('',#175917,#175917,#69574,.T.); #220720=EDGE_CURVE('',#175918,#175918,#69575,.T.); #220721=EDGE_CURVE('',#175918,#175919,#104522,.T.); #220722=EDGE_CURVE('',#175919,#175919,#69576,.T.); #220723=EDGE_CURVE('',#175920,#175920,#69577,.T.); #220724=EDGE_CURVE('',#175920,#175921,#104523,.T.); #220725=EDGE_CURVE('',#175921,#175921,#69578,.T.); #220726=EDGE_CURVE('',#175922,#175922,#69579,.T.); #220727=EDGE_CURVE('',#175922,#175923,#104524,.T.); #220728=EDGE_CURVE('',#175923,#175923,#69580,.T.); #220729=EDGE_CURVE('',#175924,#175924,#69581,.T.); #220730=EDGE_CURVE('',#175924,#175925,#104525,.T.); #220731=EDGE_CURVE('',#175925,#175925,#69582,.T.); #220732=EDGE_CURVE('',#175926,#175926,#69583,.T.); #220733=EDGE_CURVE('',#175926,#175927,#104526,.T.); #220734=EDGE_CURVE('',#175927,#175927,#69584,.T.); #220735=EDGE_CURVE('',#175928,#175928,#69585,.T.); #220736=EDGE_CURVE('',#175928,#175929,#104527,.T.); #220737=EDGE_CURVE('',#175929,#175929,#69586,.T.); #220738=EDGE_CURVE('',#175930,#175930,#69587,.T.); #220739=EDGE_CURVE('',#175930,#175931,#104528,.T.); #220740=EDGE_CURVE('',#175931,#175931,#69588,.T.); #220741=EDGE_CURVE('',#175932,#175932,#69589,.T.); #220742=EDGE_CURVE('',#175932,#175933,#104529,.T.); #220743=EDGE_CURVE('',#175933,#175933,#69590,.T.); #220744=EDGE_CURVE('',#175934,#175934,#69591,.T.); #220745=EDGE_CURVE('',#175934,#175935,#104530,.T.); #220746=EDGE_CURVE('',#175935,#175935,#69592,.T.); #220747=EDGE_CURVE('',#175936,#175936,#69593,.T.); #220748=EDGE_CURVE('',#175936,#175937,#104531,.T.); #220749=EDGE_CURVE('',#175937,#175937,#69594,.T.); #220750=EDGE_CURVE('',#175938,#175938,#69595,.T.); #220751=EDGE_CURVE('',#175938,#175939,#104532,.T.); #220752=EDGE_CURVE('',#175939,#175939,#69596,.T.); #220753=EDGE_CURVE('',#175940,#175940,#69597,.T.); #220754=EDGE_CURVE('',#175940,#175941,#104533,.T.); #220755=EDGE_CURVE('',#175941,#175941,#69598,.T.); #220756=EDGE_CURVE('',#175942,#175942,#69599,.T.); #220757=EDGE_CURVE('',#175942,#175943,#104534,.T.); #220758=EDGE_CURVE('',#175943,#175943,#69600,.T.); #220759=EDGE_CURVE('',#175944,#175944,#69601,.T.); #220760=EDGE_CURVE('',#175944,#175945,#104535,.T.); #220761=EDGE_CURVE('',#175945,#175945,#69602,.T.); #220762=EDGE_CURVE('',#175946,#175946,#69603,.T.); #220763=EDGE_CURVE('',#175946,#175947,#104536,.T.); #220764=EDGE_CURVE('',#175947,#175947,#69604,.T.); #220765=EDGE_CURVE('',#175948,#175948,#69605,.T.); #220766=EDGE_CURVE('',#175948,#175949,#104537,.T.); #220767=EDGE_CURVE('',#175949,#175949,#69606,.T.); #220768=EDGE_CURVE('',#175950,#175950,#69607,.T.); #220769=EDGE_CURVE('',#175950,#175951,#104538,.T.); #220770=EDGE_CURVE('',#175951,#175951,#69608,.T.); #220771=EDGE_CURVE('',#175952,#175952,#69609,.T.); #220772=EDGE_CURVE('',#175952,#175953,#104539,.T.); #220773=EDGE_CURVE('',#175953,#175953,#69610,.T.); #220774=EDGE_CURVE('',#175954,#175954,#69611,.T.); #220775=EDGE_CURVE('',#175954,#175955,#104540,.T.); #220776=EDGE_CURVE('',#175955,#175955,#69612,.T.); #220777=EDGE_CURVE('',#175956,#175956,#69613,.T.); #220778=EDGE_CURVE('',#175956,#175957,#104541,.T.); #220779=EDGE_CURVE('',#175957,#175957,#69614,.T.); #220780=EDGE_CURVE('',#175958,#175958,#69615,.T.); #220781=EDGE_CURVE('',#175958,#175959,#104542,.T.); #220782=EDGE_CURVE('',#175959,#175959,#69616,.T.); #220783=EDGE_CURVE('',#175960,#175960,#69617,.T.); #220784=EDGE_CURVE('',#175960,#175961,#104543,.T.); #220785=EDGE_CURVE('',#175961,#175961,#69618,.T.); #220786=EDGE_CURVE('',#175962,#175962,#69619,.T.); #220787=EDGE_CURVE('',#175962,#175963,#104544,.T.); #220788=EDGE_CURVE('',#175963,#175963,#69620,.T.); #220789=EDGE_CURVE('',#175964,#175964,#69621,.T.); #220790=EDGE_CURVE('',#175964,#175965,#104545,.T.); #220791=EDGE_CURVE('',#175965,#175965,#69622,.T.); #220792=EDGE_CURVE('',#175966,#175966,#69623,.T.); #220793=EDGE_CURVE('',#175966,#175967,#104546,.T.); #220794=EDGE_CURVE('',#175967,#175967,#69624,.T.); #220795=EDGE_CURVE('',#175968,#175968,#69625,.T.); #220796=EDGE_CURVE('',#175968,#175969,#104547,.T.); #220797=EDGE_CURVE('',#175969,#175969,#69626,.T.); #220798=EDGE_CURVE('',#175970,#175970,#69627,.T.); #220799=EDGE_CURVE('',#175970,#175971,#104548,.T.); #220800=EDGE_CURVE('',#175971,#175971,#69628,.T.); #220801=EDGE_CURVE('',#175972,#175972,#69629,.T.); #220802=EDGE_CURVE('',#175972,#175973,#104549,.T.); #220803=EDGE_CURVE('',#175973,#175973,#69630,.T.); #220804=EDGE_CURVE('',#175974,#175974,#69631,.T.); #220805=EDGE_CURVE('',#175974,#175975,#104550,.T.); #220806=EDGE_CURVE('',#175975,#175975,#69632,.T.); #220807=EDGE_CURVE('',#175976,#175976,#69633,.T.); #220808=EDGE_CURVE('',#175976,#175977,#104551,.T.); #220809=EDGE_CURVE('',#175977,#175977,#69634,.T.); #220810=EDGE_CURVE('',#175978,#175978,#69635,.T.); #220811=EDGE_CURVE('',#175978,#175979,#104552,.T.); #220812=EDGE_CURVE('',#175979,#175979,#69636,.T.); #220813=EDGE_CURVE('',#175980,#175980,#69637,.T.); #220814=EDGE_CURVE('',#175980,#175981,#104553,.T.); #220815=EDGE_CURVE('',#175981,#175981,#69638,.T.); #220816=EDGE_CURVE('',#175982,#175982,#69639,.T.); #220817=EDGE_CURVE('',#175982,#175983,#104554,.T.); #220818=EDGE_CURVE('',#175983,#175983,#69640,.T.); #220819=EDGE_CURVE('',#175984,#175984,#69641,.T.); #220820=EDGE_CURVE('',#175984,#175985,#104555,.T.); #220821=EDGE_CURVE('',#175985,#175985,#69642,.T.); #220822=EDGE_CURVE('',#175986,#175986,#69643,.T.); #220823=EDGE_CURVE('',#175986,#175987,#104556,.T.); #220824=EDGE_CURVE('',#175987,#175987,#69644,.T.); #220825=EDGE_CURVE('',#175988,#175988,#69645,.T.); #220826=EDGE_CURVE('',#175988,#175989,#104557,.T.); #220827=EDGE_CURVE('',#175989,#175989,#69646,.T.); #220828=EDGE_CURVE('',#175990,#175990,#69647,.T.); #220829=EDGE_CURVE('',#175990,#175991,#104558,.T.); #220830=EDGE_CURVE('',#175991,#175991,#69648,.T.); #220831=EDGE_CURVE('',#175992,#175992,#69649,.T.); #220832=EDGE_CURVE('',#175992,#175993,#104559,.T.); #220833=EDGE_CURVE('',#175993,#175993,#69650,.T.); #220834=EDGE_CURVE('',#175994,#175994,#69651,.T.); #220835=EDGE_CURVE('',#175994,#175995,#104560,.T.); #220836=EDGE_CURVE('',#175995,#175995,#69652,.T.); #220837=EDGE_CURVE('',#175996,#175996,#69653,.T.); #220838=EDGE_CURVE('',#175996,#175997,#104561,.T.); #220839=EDGE_CURVE('',#175997,#175997,#69654,.T.); #220840=EDGE_CURVE('',#175998,#175998,#69655,.T.); #220841=EDGE_CURVE('',#175998,#175999,#104562,.T.); #220842=EDGE_CURVE('',#175999,#175999,#69656,.T.); #220843=EDGE_CURVE('',#176000,#176000,#69657,.T.); #220844=EDGE_CURVE('',#176000,#176001,#104563,.T.); #220845=EDGE_CURVE('',#176001,#176001,#69658,.T.); #220846=EDGE_CURVE('',#176002,#176002,#69659,.T.); #220847=EDGE_CURVE('',#176002,#176003,#104564,.T.); #220848=EDGE_CURVE('',#176003,#176003,#69660,.T.); #220849=EDGE_CURVE('',#176004,#176004,#69661,.T.); #220850=EDGE_CURVE('',#176004,#176005,#104565,.T.); #220851=EDGE_CURVE('',#176005,#176005,#69662,.T.); #220852=EDGE_CURVE('',#176006,#176006,#69663,.T.); #220853=EDGE_CURVE('',#176006,#176007,#104566,.T.); #220854=EDGE_CURVE('',#176007,#176007,#69664,.T.); #220855=EDGE_CURVE('',#176008,#176008,#69665,.T.); #220856=EDGE_CURVE('',#176008,#176009,#104567,.T.); #220857=EDGE_CURVE('',#176009,#176009,#69666,.T.); #220858=EDGE_CURVE('',#176010,#176010,#69667,.T.); #220859=EDGE_CURVE('',#176010,#176011,#104568,.T.); #220860=EDGE_CURVE('',#176011,#176011,#69668,.T.); #220861=EDGE_CURVE('',#176012,#176012,#69669,.T.); #220862=EDGE_CURVE('',#176012,#176013,#104569,.T.); #220863=EDGE_CURVE('',#176013,#176013,#69670,.T.); #220864=EDGE_CURVE('',#176014,#176014,#69671,.T.); #220865=EDGE_CURVE('',#176014,#176015,#104570,.T.); #220866=EDGE_CURVE('',#176015,#176015,#69672,.T.); #220867=EDGE_CURVE('',#176016,#176016,#69673,.T.); #220868=EDGE_CURVE('',#176016,#176017,#104571,.T.); #220869=EDGE_CURVE('',#176017,#176017,#69674,.T.); #220870=EDGE_CURVE('',#176018,#176018,#69675,.T.); #220871=EDGE_CURVE('',#176018,#176019,#104572,.T.); #220872=EDGE_CURVE('',#176019,#176019,#69676,.T.); #220873=EDGE_CURVE('',#176020,#176020,#69677,.T.); #220874=EDGE_CURVE('',#176020,#176021,#104573,.T.); #220875=EDGE_CURVE('',#176021,#176021,#69678,.T.); #220876=EDGE_CURVE('',#176022,#176022,#69679,.T.); #220877=EDGE_CURVE('',#176022,#176023,#104574,.T.); #220878=EDGE_CURVE('',#176023,#176023,#69680,.T.); #220879=EDGE_CURVE('',#176024,#176024,#69681,.T.); #220880=EDGE_CURVE('',#176024,#176025,#104575,.T.); #220881=EDGE_CURVE('',#176025,#176025,#69682,.T.); #220882=EDGE_CURVE('',#176026,#176026,#69683,.T.); #220883=EDGE_CURVE('',#176026,#176027,#104576,.T.); #220884=EDGE_CURVE('',#176027,#176027,#69684,.T.); #220885=EDGE_CURVE('',#176028,#176028,#69685,.T.); #220886=EDGE_CURVE('',#176028,#176029,#104577,.T.); #220887=EDGE_CURVE('',#176029,#176029,#69686,.T.); #220888=EDGE_CURVE('',#176030,#176030,#69687,.T.); #220889=EDGE_CURVE('',#176030,#176031,#104578,.T.); #220890=EDGE_CURVE('',#176031,#176031,#69688,.T.); #220891=EDGE_CURVE('',#176032,#176032,#69689,.T.); #220892=EDGE_CURVE('',#176032,#176033,#104579,.T.); #220893=EDGE_CURVE('',#176033,#176033,#69690,.T.); #220894=EDGE_CURVE('',#176034,#176034,#69691,.T.); #220895=EDGE_CURVE('',#176034,#176035,#104580,.T.); #220896=EDGE_CURVE('',#176035,#176035,#69692,.T.); #220897=EDGE_CURVE('',#176036,#176036,#69693,.T.); #220898=EDGE_CURVE('',#176036,#176037,#104581,.T.); #220899=EDGE_CURVE('',#176037,#176037,#69694,.T.); #220900=EDGE_CURVE('',#176038,#176038,#69695,.T.); #220901=EDGE_CURVE('',#176038,#176039,#104582,.T.); #220902=EDGE_CURVE('',#176039,#176039,#69696,.T.); #220903=EDGE_CURVE('',#176040,#176040,#69697,.T.); #220904=EDGE_CURVE('',#176040,#176041,#104583,.T.); #220905=EDGE_CURVE('',#176041,#176041,#69698,.T.); #220906=EDGE_CURVE('',#176042,#176042,#69699,.T.); #220907=EDGE_CURVE('',#176042,#176043,#104584,.T.); #220908=EDGE_CURVE('',#176043,#176043,#69700,.T.); #220909=EDGE_CURVE('',#176044,#176044,#69701,.T.); #220910=EDGE_CURVE('',#176044,#176045,#104585,.T.); #220911=EDGE_CURVE('',#176045,#176045,#69702,.T.); #220912=EDGE_CURVE('',#176046,#176046,#69703,.T.); #220913=EDGE_CURVE('',#176046,#176047,#104586,.T.); #220914=EDGE_CURVE('',#176047,#176047,#69704,.T.); #220915=EDGE_CURVE('',#176048,#176048,#69705,.T.); #220916=EDGE_CURVE('',#176048,#176049,#104587,.T.); #220917=EDGE_CURVE('',#176049,#176049,#69706,.T.); #220918=EDGE_CURVE('',#176050,#176050,#69707,.T.); #220919=EDGE_CURVE('',#176050,#176051,#104588,.T.); #220920=EDGE_CURVE('',#176051,#176051,#69708,.T.); #220921=EDGE_CURVE('',#176052,#176052,#69709,.T.); #220922=EDGE_CURVE('',#176052,#176053,#104589,.T.); #220923=EDGE_CURVE('',#176053,#176053,#69710,.T.); #220924=EDGE_CURVE('',#176054,#176054,#69711,.T.); #220925=EDGE_CURVE('',#176054,#176055,#104590,.T.); #220926=EDGE_CURVE('',#176055,#176055,#69712,.T.); #220927=EDGE_CURVE('',#176056,#176056,#69713,.T.); #220928=EDGE_CURVE('',#176056,#176057,#104591,.T.); #220929=EDGE_CURVE('',#176057,#176057,#69714,.T.); #220930=EDGE_CURVE('',#176058,#176058,#69715,.T.); #220931=EDGE_CURVE('',#176058,#176059,#104592,.T.); #220932=EDGE_CURVE('',#176059,#176059,#69716,.T.); #220933=EDGE_CURVE('',#176060,#176060,#69717,.T.); #220934=EDGE_CURVE('',#176060,#176061,#104593,.T.); #220935=EDGE_CURVE('',#176061,#176061,#69718,.T.); #220936=EDGE_CURVE('',#176062,#176062,#69719,.T.); #220937=EDGE_CURVE('',#176062,#176063,#104594,.T.); #220938=EDGE_CURVE('',#176063,#176063,#69720,.T.); #220939=EDGE_CURVE('',#176064,#176064,#69721,.T.); #220940=EDGE_CURVE('',#176064,#176065,#104595,.T.); #220941=EDGE_CURVE('',#176065,#176065,#69722,.T.); #220942=EDGE_CURVE('',#176066,#176066,#69723,.T.); #220943=EDGE_CURVE('',#176066,#176067,#104596,.T.); #220944=EDGE_CURVE('',#176067,#176067,#69724,.T.); #220945=EDGE_CURVE('',#176068,#176068,#69725,.T.); #220946=EDGE_CURVE('',#176068,#176069,#104597,.T.); #220947=EDGE_CURVE('',#176069,#176069,#69726,.T.); #220948=EDGE_CURVE('',#176070,#176070,#69727,.T.); #220949=EDGE_CURVE('',#176070,#176071,#104598,.T.); #220950=EDGE_CURVE('',#176071,#176071,#69728,.T.); #220951=EDGE_CURVE('',#176072,#176072,#69729,.T.); #220952=EDGE_CURVE('',#176072,#176073,#104599,.T.); #220953=EDGE_CURVE('',#176073,#176073,#69730,.T.); #220954=EDGE_CURVE('',#176074,#176074,#69731,.T.); #220955=EDGE_CURVE('',#176074,#176075,#104600,.T.); #220956=EDGE_CURVE('',#176075,#176075,#69732,.T.); #220957=EDGE_CURVE('',#176076,#176076,#69733,.T.); #220958=EDGE_CURVE('',#176076,#176077,#104601,.T.); #220959=EDGE_CURVE('',#176077,#176077,#69734,.T.); #220960=EDGE_CURVE('',#176078,#176078,#69735,.T.); #220961=EDGE_CURVE('',#176078,#176079,#104602,.T.); #220962=EDGE_CURVE('',#176079,#176079,#69736,.T.); #220963=EDGE_CURVE('',#176080,#176080,#69737,.T.); #220964=EDGE_CURVE('',#176080,#176081,#104603,.T.); #220965=EDGE_CURVE('',#176081,#176081,#69738,.T.); #220966=EDGE_CURVE('',#176082,#176082,#69739,.T.); #220967=EDGE_CURVE('',#176082,#176083,#104604,.T.); #220968=EDGE_CURVE('',#176083,#176083,#69740,.T.); #220969=EDGE_CURVE('',#176084,#176084,#69741,.T.); #220970=EDGE_CURVE('',#176084,#176085,#104605,.T.); #220971=EDGE_CURVE('',#176085,#176085,#69742,.T.); #220972=EDGE_CURVE('',#176086,#176086,#69743,.T.); #220973=EDGE_CURVE('',#176086,#176087,#104606,.T.); #220974=EDGE_CURVE('',#176087,#176087,#69744,.T.); #220975=EDGE_CURVE('',#176088,#176088,#69745,.T.); #220976=EDGE_CURVE('',#176088,#176089,#104607,.T.); #220977=EDGE_CURVE('',#176089,#176089,#69746,.T.); #220978=EDGE_CURVE('',#176090,#176090,#69747,.T.); #220979=EDGE_CURVE('',#176090,#176091,#104608,.T.); #220980=EDGE_CURVE('',#176091,#176091,#69748,.T.); #220981=EDGE_CURVE('',#176092,#176092,#69749,.T.); #220982=EDGE_CURVE('',#176092,#176093,#104609,.T.); #220983=EDGE_CURVE('',#176093,#176093,#69750,.T.); #220984=EDGE_CURVE('',#176094,#176094,#69751,.T.); #220985=EDGE_CURVE('',#176094,#176095,#104610,.T.); #220986=EDGE_CURVE('',#176095,#176095,#69752,.T.); #220987=EDGE_CURVE('',#176096,#176096,#69753,.T.); #220988=EDGE_CURVE('',#176096,#176097,#104611,.T.); #220989=EDGE_CURVE('',#176097,#176097,#69754,.T.); #220990=EDGE_CURVE('',#176098,#176098,#69755,.T.); #220991=EDGE_CURVE('',#176098,#176099,#104612,.T.); #220992=EDGE_CURVE('',#176099,#176099,#69756,.T.); #220993=EDGE_CURVE('',#176100,#176100,#69757,.T.); #220994=EDGE_CURVE('',#176100,#176101,#104613,.T.); #220995=EDGE_CURVE('',#176101,#176101,#69758,.T.); #220996=EDGE_CURVE('',#176102,#176102,#69759,.T.); #220997=EDGE_CURVE('',#176102,#176103,#104614,.T.); #220998=EDGE_CURVE('',#176103,#176103,#69760,.T.); #220999=EDGE_CURVE('',#176104,#176104,#69761,.T.); #221000=EDGE_CURVE('',#176104,#176105,#104615,.T.); #221001=EDGE_CURVE('',#176105,#176105,#69762,.T.); #221002=EDGE_CURVE('',#176106,#176106,#69763,.T.); #221003=EDGE_CURVE('',#176106,#176107,#104616,.T.); #221004=EDGE_CURVE('',#176107,#176107,#69764,.T.); #221005=EDGE_CURVE('',#176108,#176108,#69765,.T.); #221006=EDGE_CURVE('',#176108,#176109,#104617,.T.); #221007=EDGE_CURVE('',#176109,#176109,#69766,.T.); #221008=EDGE_CURVE('',#176110,#176110,#69767,.T.); #221009=EDGE_CURVE('',#176110,#176111,#104618,.T.); #221010=EDGE_CURVE('',#176111,#176111,#69768,.T.); #221011=EDGE_CURVE('',#176112,#176112,#69769,.T.); #221012=EDGE_CURVE('',#176112,#176113,#104619,.T.); #221013=EDGE_CURVE('',#176113,#176113,#69770,.T.); #221014=EDGE_CURVE('',#176114,#176114,#69771,.T.); #221015=EDGE_CURVE('',#176114,#176115,#104620,.T.); #221016=EDGE_CURVE('',#176115,#176115,#69772,.T.); #221017=EDGE_CURVE('',#176116,#176116,#69773,.T.); #221018=EDGE_CURVE('',#176116,#176117,#104621,.T.); #221019=EDGE_CURVE('',#176117,#176117,#69774,.T.); #221020=EDGE_CURVE('',#176118,#176118,#69775,.T.); #221021=EDGE_CURVE('',#176118,#176119,#104622,.T.); #221022=EDGE_CURVE('',#176119,#176119,#69776,.T.); #221023=EDGE_CURVE('',#176120,#176120,#69777,.T.); #221024=EDGE_CURVE('',#176120,#176121,#104623,.T.); #221025=EDGE_CURVE('',#176121,#176121,#69778,.T.); #221026=EDGE_CURVE('',#176122,#176122,#69779,.T.); #221027=EDGE_CURVE('',#176122,#176123,#104624,.T.); #221028=EDGE_CURVE('',#176123,#176123,#69780,.T.); #221029=EDGE_CURVE('',#176124,#176124,#69781,.T.); #221030=EDGE_CURVE('',#176124,#176125,#104625,.T.); #221031=EDGE_CURVE('',#176125,#176125,#69782,.T.); #221032=EDGE_CURVE('',#176126,#176126,#69783,.T.); #221033=EDGE_CURVE('',#176126,#176127,#104626,.T.); #221034=EDGE_CURVE('',#176127,#176127,#69784,.T.); #221035=EDGE_CURVE('',#176128,#176128,#69785,.T.); #221036=EDGE_CURVE('',#176128,#176129,#104627,.T.); #221037=EDGE_CURVE('',#176129,#176129,#69786,.T.); #221038=EDGE_CURVE('',#176130,#176130,#69787,.T.); #221039=EDGE_CURVE('',#176130,#176131,#104628,.T.); #221040=EDGE_CURVE('',#176131,#176131,#69788,.T.); #221041=EDGE_CURVE('',#176132,#176132,#69789,.T.); #221042=EDGE_CURVE('',#176132,#176133,#104629,.T.); #221043=EDGE_CURVE('',#176133,#176133,#69790,.T.); #221044=EDGE_CURVE('',#176134,#176134,#69791,.T.); #221045=EDGE_CURVE('',#176134,#176135,#104630,.T.); #221046=EDGE_CURVE('',#176135,#176135,#69792,.T.); #221047=EDGE_CURVE('',#176136,#176137,#104631,.T.); #221048=EDGE_CURVE('',#176138,#176136,#104632,.T.); #221049=EDGE_CURVE('',#176139,#176138,#104633,.T.); #221050=EDGE_CURVE('',#176139,#176137,#104634,.T.); #221051=EDGE_CURVE('',#176137,#176140,#69793,.T.); #221052=EDGE_CURVE('',#176141,#176139,#69794,.T.); #221053=EDGE_CURVE('',#176141,#176140,#104635,.T.); #221054=EDGE_CURVE('',#176140,#176142,#104636,.T.); #221055=EDGE_CURVE('',#176143,#176141,#104637,.T.); #221056=EDGE_CURVE('',#176143,#176142,#104638,.T.); #221057=EDGE_CURVE('',#176142,#176136,#69795,.T.); #221058=EDGE_CURVE('',#176138,#176143,#69796,.T.); #221059=EDGE_CURVE('',#176144,#176144,#69797,.T.); #221060=EDGE_CURVE('',#176144,#176145,#104639,.T.); #221061=EDGE_CURVE('',#176145,#176145,#69798,.T.); #221062=EDGE_CURVE('',#176146,#176147,#104640,.T.); #221063=EDGE_CURVE('',#176148,#176146,#104641,.T.); #221064=EDGE_CURVE('',#176149,#176148,#104642,.T.); #221065=EDGE_CURVE('',#176149,#176147,#104643,.T.); #221066=EDGE_CURVE('',#176147,#176150,#69799,.T.); #221067=EDGE_CURVE('',#176151,#176149,#69800,.T.); #221068=EDGE_CURVE('',#176151,#176150,#104644,.T.); #221069=EDGE_CURVE('',#176150,#176152,#104645,.T.); #221070=EDGE_CURVE('',#176153,#176151,#104646,.T.); #221071=EDGE_CURVE('',#176153,#176152,#104647,.T.); #221072=EDGE_CURVE('',#176152,#176146,#69801,.T.); #221073=EDGE_CURVE('',#176148,#176153,#69802,.T.); #221074=EDGE_CURVE('',#176154,#176154,#69803,.T.); #221075=EDGE_CURVE('',#176154,#176155,#104648,.T.); #221076=EDGE_CURVE('',#176155,#176155,#69804,.T.); #221077=EDGE_CURVE('',#176156,#176156,#69805,.T.); #221078=EDGE_CURVE('',#176156,#176157,#104649,.T.); #221079=EDGE_CURVE('',#176157,#176157,#69806,.T.); #221080=EDGE_CURVE('',#176158,#176158,#69807,.T.); #221081=EDGE_CURVE('',#176158,#176159,#104650,.T.); #221082=EDGE_CURVE('',#176159,#176159,#69808,.T.); #221083=EDGE_CURVE('',#176160,#176161,#104651,.T.); #221084=EDGE_CURVE('',#176162,#176160,#104652,.T.); #221085=EDGE_CURVE('',#176163,#176162,#104653,.T.); #221086=EDGE_CURVE('',#176163,#176161,#104654,.T.); #221087=EDGE_CURVE('',#176161,#176164,#69809,.T.); #221088=EDGE_CURVE('',#176165,#176163,#69810,.T.); #221089=EDGE_CURVE('',#176165,#176164,#104655,.T.); #221090=EDGE_CURVE('',#176164,#176166,#104656,.T.); #221091=EDGE_CURVE('',#176167,#176165,#104657,.T.); #221092=EDGE_CURVE('',#176167,#176166,#104658,.T.); #221093=EDGE_CURVE('',#176166,#176160,#69811,.T.); #221094=EDGE_CURVE('',#176162,#176167,#69812,.T.); #221095=EDGE_CURVE('',#176168,#176168,#69813,.T.); #221096=EDGE_CURVE('',#176168,#176169,#104659,.T.); #221097=EDGE_CURVE('',#176169,#176169,#69814,.T.); #221098=EDGE_CURVE('',#176170,#176170,#69815,.T.); #221099=EDGE_CURVE('',#176170,#176171,#104660,.T.); #221100=EDGE_CURVE('',#176171,#176171,#69816,.T.); #221101=EDGE_CURVE('',#176172,#176172,#69817,.T.); #221102=EDGE_CURVE('',#176172,#176173,#104661,.T.); #221103=EDGE_CURVE('',#176173,#176173,#69818,.T.); #221104=EDGE_CURVE('',#176174,#176174,#69819,.T.); #221105=EDGE_CURVE('',#176174,#176175,#104662,.T.); #221106=EDGE_CURVE('',#176175,#176175,#69820,.T.); #221107=EDGE_CURVE('',#176176,#176176,#69821,.T.); #221108=EDGE_CURVE('',#176176,#176177,#104663,.T.); #221109=EDGE_CURVE('',#176177,#176177,#69822,.T.); #221110=EDGE_CURVE('',#176178,#176178,#69823,.T.); #221111=EDGE_CURVE('',#176178,#176179,#104664,.T.); #221112=EDGE_CURVE('',#176179,#176179,#69824,.T.); #221113=EDGE_CURVE('',#176180,#176180,#69825,.T.); #221114=EDGE_CURVE('',#176180,#176181,#104665,.T.); #221115=EDGE_CURVE('',#176181,#176181,#69826,.T.); #221116=EDGE_CURVE('',#176182,#176182,#69827,.T.); #221117=EDGE_CURVE('',#176182,#176183,#104666,.T.); #221118=EDGE_CURVE('',#176183,#176183,#69828,.T.); #221119=EDGE_CURVE('',#176184,#176184,#69829,.T.); #221120=EDGE_CURVE('',#176184,#176185,#104667,.T.); #221121=EDGE_CURVE('',#176185,#176185,#69830,.T.); #221122=EDGE_CURVE('',#176186,#176186,#69831,.T.); #221123=EDGE_CURVE('',#176186,#176187,#104668,.T.); #221124=EDGE_CURVE('',#176187,#176187,#69832,.T.); #221125=EDGE_CURVE('',#176188,#176189,#104669,.T.); #221126=EDGE_CURVE('',#176190,#176188,#104670,.T.); #221127=EDGE_CURVE('',#176191,#176190,#104671,.T.); #221128=EDGE_CURVE('',#176191,#176189,#104672,.T.); #221129=EDGE_CURVE('',#176189,#176192,#69833,.T.); #221130=EDGE_CURVE('',#176193,#176191,#69834,.T.); #221131=EDGE_CURVE('',#176193,#176192,#104673,.T.); #221132=EDGE_CURVE('',#176192,#176194,#104674,.T.); #221133=EDGE_CURVE('',#176195,#176193,#104675,.T.); #221134=EDGE_CURVE('',#176195,#176194,#104676,.T.); #221135=EDGE_CURVE('',#176194,#176188,#69835,.T.); #221136=EDGE_CURVE('',#176190,#176195,#69836,.T.); #221137=EDGE_CURVE('',#176196,#176196,#69837,.T.); #221138=EDGE_CURVE('',#176196,#176197,#104677,.T.); #221139=EDGE_CURVE('',#176197,#176197,#69838,.T.); #221140=EDGE_CURVE('',#176198,#176199,#104678,.T.); #221141=EDGE_CURVE('',#176200,#176198,#104679,.T.); #221142=EDGE_CURVE('',#176201,#176200,#104680,.T.); #221143=EDGE_CURVE('',#176201,#176199,#104681,.T.); #221144=EDGE_CURVE('',#176199,#176202,#69839,.T.); #221145=EDGE_CURVE('',#176203,#176201,#69840,.T.); #221146=EDGE_CURVE('',#176203,#176202,#104682,.T.); #221147=EDGE_CURVE('',#176202,#176204,#104683,.T.); #221148=EDGE_CURVE('',#176205,#176203,#104684,.T.); #221149=EDGE_CURVE('',#176205,#176204,#104685,.T.); #221150=EDGE_CURVE('',#176204,#176198,#69841,.T.); #221151=EDGE_CURVE('',#176200,#176205,#69842,.T.); #221152=EDGE_CURVE('',#176206,#176206,#69843,.T.); #221153=EDGE_CURVE('',#176206,#176207,#104686,.T.); #221154=EDGE_CURVE('',#176207,#176207,#69844,.T.); #221155=EDGE_CURVE('',#176208,#176209,#104687,.T.); #221156=EDGE_CURVE('',#176210,#176208,#104688,.T.); #221157=EDGE_CURVE('',#176211,#176210,#104689,.T.); #221158=EDGE_CURVE('',#176211,#176209,#104690,.T.); #221159=EDGE_CURVE('',#176209,#176212,#69845,.T.); #221160=EDGE_CURVE('',#176213,#176211,#69846,.T.); #221161=EDGE_CURVE('',#176213,#176212,#104691,.T.); #221162=EDGE_CURVE('',#176212,#176214,#104692,.T.); #221163=EDGE_CURVE('',#176215,#176213,#104693,.T.); #221164=EDGE_CURVE('',#176215,#176214,#104694,.T.); #221165=EDGE_CURVE('',#176214,#176208,#69847,.T.); #221166=EDGE_CURVE('',#176210,#176215,#69848,.T.); #221167=EDGE_CURVE('',#176216,#176216,#69849,.T.); #221168=EDGE_CURVE('',#176216,#176217,#104695,.T.); #221169=EDGE_CURVE('',#176217,#176217,#69850,.T.); #221170=EDGE_CURVE('',#176218,#176218,#69851,.T.); #221171=EDGE_CURVE('',#176218,#176219,#104696,.T.); #221172=EDGE_CURVE('',#176219,#176219,#69852,.T.); #221173=EDGE_CURVE('',#176220,#176220,#69853,.T.); #221174=EDGE_CURVE('',#176220,#176221,#104697,.T.); #221175=EDGE_CURVE('',#176221,#176221,#69854,.T.); #221176=EDGE_CURVE('',#176222,#176222,#69855,.T.); #221177=EDGE_CURVE('',#176222,#176223,#104698,.T.); #221178=EDGE_CURVE('',#176223,#176223,#69856,.T.); #221179=EDGE_CURVE('',#176224,#176224,#69857,.T.); #221180=EDGE_CURVE('',#176224,#176225,#104699,.T.); #221181=EDGE_CURVE('',#176225,#176225,#69858,.T.); #221182=EDGE_CURVE('',#176226,#176226,#69859,.T.); #221183=EDGE_CURVE('',#176226,#176227,#104700,.T.); #221184=EDGE_CURVE('',#176227,#176227,#69860,.T.); #221185=EDGE_CURVE('',#176228,#176228,#69861,.T.); #221186=EDGE_CURVE('',#176228,#176229,#104701,.T.); #221187=EDGE_CURVE('',#176229,#176229,#69862,.T.); #221188=EDGE_CURVE('',#176230,#176230,#69863,.T.); #221189=EDGE_CURVE('',#176230,#176231,#104702,.T.); #221190=EDGE_CURVE('',#176231,#176231,#69864,.T.); #221191=EDGE_CURVE('',#176232,#176232,#69865,.T.); #221192=EDGE_CURVE('',#176232,#176233,#104703,.T.); #221193=EDGE_CURVE('',#176233,#176233,#69866,.T.); #221194=EDGE_CURVE('',#176234,#176234,#69867,.T.); #221195=EDGE_CURVE('',#176234,#176235,#104704,.T.); #221196=EDGE_CURVE('',#176235,#176235,#69868,.T.); #221197=EDGE_CURVE('',#176236,#176236,#69869,.T.); #221198=EDGE_CURVE('',#176236,#176237,#104705,.T.); #221199=EDGE_CURVE('',#176237,#176237,#69870,.T.); #221200=EDGE_CURVE('',#176238,#176238,#69871,.T.); #221201=EDGE_CURVE('',#176238,#176239,#104706,.T.); #221202=EDGE_CURVE('',#176239,#176239,#69872,.T.); #221203=EDGE_CURVE('',#176240,#176240,#69873,.T.); #221204=EDGE_CURVE('',#176240,#176241,#104707,.T.); #221205=EDGE_CURVE('',#176241,#176241,#69874,.T.); #221206=EDGE_CURVE('',#176242,#176242,#69875,.T.); #221207=EDGE_CURVE('',#176242,#176243,#104708,.T.); #221208=EDGE_CURVE('',#176243,#176243,#69876,.T.); #221209=EDGE_CURVE('',#176244,#176244,#69877,.T.); #221210=EDGE_CURVE('',#176244,#176245,#104709,.T.); #221211=EDGE_CURVE('',#176245,#176245,#69878,.T.); #221212=EDGE_CURVE('',#176246,#176246,#69879,.T.); #221213=EDGE_CURVE('',#176246,#176247,#104710,.T.); #221214=EDGE_CURVE('',#176247,#176247,#69880,.T.); #221215=EDGE_CURVE('',#176248,#176248,#69881,.T.); #221216=EDGE_CURVE('',#176248,#176249,#104711,.T.); #221217=EDGE_CURVE('',#176249,#176249,#69882,.T.); #221218=EDGE_CURVE('',#176250,#176250,#69883,.T.); #221219=EDGE_CURVE('',#176250,#176251,#104712,.T.); #221220=EDGE_CURVE('',#176251,#176251,#69884,.T.); #221221=EDGE_CURVE('',#176252,#176252,#69885,.T.); #221222=EDGE_CURVE('',#176252,#176253,#104713,.T.); #221223=EDGE_CURVE('',#176253,#176253,#69886,.T.); #221224=EDGE_CURVE('',#176254,#176254,#69887,.T.); #221225=EDGE_CURVE('',#176254,#176255,#104714,.T.); #221226=EDGE_CURVE('',#176255,#176255,#69888,.T.); #221227=EDGE_CURVE('',#176256,#176256,#69889,.T.); #221228=EDGE_CURVE('',#176256,#176257,#104715,.T.); #221229=EDGE_CURVE('',#176257,#176257,#69890,.T.); #221230=EDGE_CURVE('',#176258,#176258,#69891,.T.); #221231=EDGE_CURVE('',#176258,#176259,#104716,.T.); #221232=EDGE_CURVE('',#176259,#176259,#69892,.T.); #221233=EDGE_CURVE('',#176260,#176260,#69893,.T.); #221234=EDGE_CURVE('',#176260,#176261,#104717,.T.); #221235=EDGE_CURVE('',#176261,#176261,#69894,.T.); #221236=EDGE_CURVE('',#176262,#176262,#69895,.T.); #221237=EDGE_CURVE('',#176262,#176263,#104718,.T.); #221238=EDGE_CURVE('',#176263,#176263,#69896,.T.); #221239=EDGE_CURVE('',#176264,#176264,#69897,.T.); #221240=EDGE_CURVE('',#176264,#176265,#104719,.T.); #221241=EDGE_CURVE('',#176265,#176265,#69898,.T.); #221242=EDGE_CURVE('',#176266,#176266,#69899,.T.); #221243=EDGE_CURVE('',#176266,#176267,#104720,.T.); #221244=EDGE_CURVE('',#176267,#176267,#69900,.T.); #221245=EDGE_CURVE('',#176268,#176268,#69901,.T.); #221246=EDGE_CURVE('',#176268,#176269,#104721,.T.); #221247=EDGE_CURVE('',#176269,#176269,#69902,.T.); #221248=EDGE_CURVE('',#176270,#176270,#69903,.T.); #221249=EDGE_CURVE('',#176270,#176271,#104722,.T.); #221250=EDGE_CURVE('',#176271,#176271,#69904,.T.); #221251=EDGE_CURVE('',#176272,#176272,#69905,.T.); #221252=EDGE_CURVE('',#176272,#176273,#104723,.T.); #221253=EDGE_CURVE('',#176273,#176273,#69906,.T.); #221254=EDGE_CURVE('',#176274,#176274,#69907,.T.); #221255=EDGE_CURVE('',#176274,#176275,#104724,.T.); #221256=EDGE_CURVE('',#176275,#176275,#69908,.T.); #221257=EDGE_CURVE('',#176276,#176276,#69909,.T.); #221258=EDGE_CURVE('',#176276,#176277,#104725,.T.); #221259=EDGE_CURVE('',#176277,#176277,#69910,.T.); #221260=EDGE_CURVE('',#176278,#176278,#69911,.T.); #221261=EDGE_CURVE('',#176278,#176279,#104726,.T.); #221262=EDGE_CURVE('',#176279,#176279,#69912,.T.); #221263=EDGE_CURVE('',#176280,#176280,#69913,.T.); #221264=EDGE_CURVE('',#176280,#176281,#104727,.T.); #221265=EDGE_CURVE('',#176281,#176281,#69914,.T.); #221266=EDGE_CURVE('',#176282,#176282,#69915,.T.); #221267=EDGE_CURVE('',#176282,#176283,#104728,.T.); #221268=EDGE_CURVE('',#176283,#176283,#69916,.T.); #221269=EDGE_CURVE('',#176284,#176284,#69917,.T.); #221270=EDGE_CURVE('',#176284,#176285,#104729,.T.); #221271=EDGE_CURVE('',#176285,#176285,#69918,.T.); #221272=EDGE_CURVE('',#176286,#176286,#69919,.T.); #221273=EDGE_CURVE('',#176286,#176287,#104730,.T.); #221274=EDGE_CURVE('',#176287,#176287,#69920,.T.); #221275=EDGE_CURVE('',#176288,#176288,#69921,.T.); #221276=EDGE_CURVE('',#176288,#176289,#104731,.T.); #221277=EDGE_CURVE('',#176289,#176289,#69922,.T.); #221278=EDGE_CURVE('',#176290,#176290,#69923,.T.); #221279=EDGE_CURVE('',#176290,#176291,#104732,.T.); #221280=EDGE_CURVE('',#176291,#176291,#69924,.T.); #221281=EDGE_CURVE('',#176292,#176292,#69925,.T.); #221282=EDGE_CURVE('',#176292,#176293,#104733,.T.); #221283=EDGE_CURVE('',#176293,#176293,#69926,.T.); #221284=EDGE_CURVE('',#176294,#176294,#69927,.T.); #221285=EDGE_CURVE('',#176294,#176295,#104734,.T.); #221286=EDGE_CURVE('',#176295,#176295,#69928,.T.); #221287=EDGE_CURVE('',#176296,#176296,#69929,.T.); #221288=EDGE_CURVE('',#176296,#176297,#104735,.T.); #221289=EDGE_CURVE('',#176297,#176297,#69930,.T.); #221290=EDGE_CURVE('',#176298,#176298,#69931,.T.); #221291=EDGE_CURVE('',#176298,#176299,#104736,.T.); #221292=EDGE_CURVE('',#176299,#176299,#69932,.T.); #221293=EDGE_CURVE('',#176300,#176300,#69933,.T.); #221294=EDGE_CURVE('',#176300,#176301,#104737,.T.); #221295=EDGE_CURVE('',#176301,#176301,#69934,.T.); #221296=EDGE_CURVE('',#176302,#176302,#69935,.T.); #221297=EDGE_CURVE('',#176302,#176303,#104738,.T.); #221298=EDGE_CURVE('',#176303,#176303,#69936,.T.); #221299=EDGE_CURVE('',#176304,#176304,#69937,.T.); #221300=EDGE_CURVE('',#176304,#176305,#104739,.T.); #221301=EDGE_CURVE('',#176305,#176305,#69938,.T.); #221302=EDGE_CURVE('',#176306,#176306,#69939,.T.); #221303=EDGE_CURVE('',#176306,#176307,#104740,.T.); #221304=EDGE_CURVE('',#176307,#176307,#69940,.T.); #221305=EDGE_CURVE('',#176308,#176308,#69941,.T.); #221306=EDGE_CURVE('',#176308,#176309,#104741,.T.); #221307=EDGE_CURVE('',#176309,#176309,#69942,.T.); #221308=EDGE_CURVE('',#176310,#176310,#69943,.T.); #221309=EDGE_CURVE('',#176310,#176311,#104742,.T.); #221310=EDGE_CURVE('',#176311,#176311,#69944,.T.); #221311=EDGE_CURVE('',#176312,#176312,#69945,.T.); #221312=EDGE_CURVE('',#176312,#176313,#104743,.T.); #221313=EDGE_CURVE('',#176313,#176313,#69946,.T.); #221314=EDGE_CURVE('',#176314,#176314,#69947,.T.); #221315=EDGE_CURVE('',#176314,#176315,#104744,.T.); #221316=EDGE_CURVE('',#176315,#176315,#69948,.T.); #221317=EDGE_CURVE('',#176316,#176316,#69949,.T.); #221318=EDGE_CURVE('',#176316,#176317,#104745,.T.); #221319=EDGE_CURVE('',#176317,#176317,#69950,.T.); #221320=EDGE_CURVE('',#176318,#176318,#69951,.T.); #221321=EDGE_CURVE('',#176318,#176319,#104746,.T.); #221322=EDGE_CURVE('',#176319,#176319,#69952,.T.); #221323=EDGE_CURVE('',#176320,#176320,#69953,.T.); #221324=EDGE_CURVE('',#176320,#176321,#104747,.T.); #221325=EDGE_CURVE('',#176321,#176321,#69954,.T.); #221326=EDGE_CURVE('',#176322,#176322,#69955,.T.); #221327=EDGE_CURVE('',#176322,#176323,#104748,.T.); #221328=EDGE_CURVE('',#176323,#176323,#69956,.T.); #221329=EDGE_CURVE('',#176324,#176324,#69957,.T.); #221330=EDGE_CURVE('',#176324,#176325,#104749,.T.); #221331=EDGE_CURVE('',#176325,#176325,#69958,.T.); #221332=EDGE_CURVE('',#176326,#176326,#69959,.T.); #221333=EDGE_CURVE('',#176326,#176327,#104750,.T.); #221334=EDGE_CURVE('',#176327,#176327,#69960,.T.); #221335=EDGE_CURVE('',#176328,#176328,#69961,.T.); #221336=EDGE_CURVE('',#176328,#176329,#104751,.T.); #221337=EDGE_CURVE('',#176329,#176329,#69962,.T.); #221338=EDGE_CURVE('',#176330,#176330,#69963,.T.); #221339=EDGE_CURVE('',#176330,#176331,#104752,.T.); #221340=EDGE_CURVE('',#176331,#176331,#69964,.T.); #221341=EDGE_CURVE('',#176332,#176332,#69965,.T.); #221342=EDGE_CURVE('',#176332,#176333,#104753,.T.); #221343=EDGE_CURVE('',#176333,#176333,#69966,.T.); #221344=EDGE_CURVE('',#176334,#176334,#69967,.T.); #221345=EDGE_CURVE('',#176334,#176335,#104754,.T.); #221346=EDGE_CURVE('',#176335,#176335,#69968,.T.); #221347=EDGE_CURVE('',#176336,#176336,#69969,.T.); #221348=EDGE_CURVE('',#176336,#176337,#104755,.T.); #221349=EDGE_CURVE('',#176337,#176337,#69970,.T.); #221350=EDGE_CURVE('',#176338,#176338,#69971,.T.); #221351=EDGE_CURVE('',#176338,#176339,#104756,.T.); #221352=EDGE_CURVE('',#176339,#176339,#69972,.T.); #221353=EDGE_CURVE('',#176340,#176340,#69973,.T.); #221354=EDGE_CURVE('',#176340,#176341,#104757,.T.); #221355=EDGE_CURVE('',#176341,#176341,#69974,.T.); #221356=EDGE_CURVE('',#176342,#176342,#69975,.T.); #221357=EDGE_CURVE('',#176342,#176343,#104758,.T.); #221358=EDGE_CURVE('',#176343,#176343,#69976,.T.); #221359=EDGE_CURVE('',#176344,#176344,#69977,.T.); #221360=EDGE_CURVE('',#176344,#176345,#104759,.T.); #221361=EDGE_CURVE('',#176345,#176345,#69978,.T.); #221362=EDGE_CURVE('',#176346,#176346,#69979,.T.); #221363=EDGE_CURVE('',#176346,#176347,#104760,.T.); #221364=EDGE_CURVE('',#176347,#176347,#69980,.T.); #221365=EDGE_CURVE('',#176348,#176348,#69981,.T.); #221366=EDGE_CURVE('',#176348,#176349,#104761,.T.); #221367=EDGE_CURVE('',#176349,#176349,#69982,.T.); #221368=EDGE_CURVE('',#176350,#176350,#69983,.T.); #221369=EDGE_CURVE('',#176350,#176351,#104762,.T.); #221370=EDGE_CURVE('',#176351,#176351,#69984,.T.); #221371=EDGE_CURVE('',#176352,#176352,#69985,.T.); #221372=EDGE_CURVE('',#176352,#176353,#104763,.T.); #221373=EDGE_CURVE('',#176353,#176353,#69986,.T.); #221374=EDGE_CURVE('',#176354,#176354,#69987,.T.); #221375=EDGE_CURVE('',#176354,#176355,#104764,.T.); #221376=EDGE_CURVE('',#176355,#176355,#69988,.T.); #221377=EDGE_CURVE('',#176356,#176356,#69989,.T.); #221378=EDGE_CURVE('',#176356,#176357,#104765,.T.); #221379=EDGE_CURVE('',#176357,#176357,#69990,.T.); #221380=EDGE_CURVE('',#176358,#176358,#69991,.T.); #221381=EDGE_CURVE('',#176358,#176359,#104766,.T.); #221382=EDGE_CURVE('',#176359,#176359,#69992,.T.); #221383=EDGE_CURVE('',#176360,#176360,#69993,.T.); #221384=EDGE_CURVE('',#176360,#176361,#104767,.T.); #221385=EDGE_CURVE('',#176361,#176361,#69994,.T.); #221386=EDGE_CURVE('',#176362,#176362,#69995,.T.); #221387=EDGE_CURVE('',#176362,#176363,#104768,.T.); #221388=EDGE_CURVE('',#176363,#176363,#69996,.T.); #221389=EDGE_CURVE('',#176364,#176364,#69997,.T.); #221390=EDGE_CURVE('',#176364,#176365,#104769,.T.); #221391=EDGE_CURVE('',#176365,#176365,#69998,.T.); #221392=EDGE_CURVE('',#176366,#176366,#69999,.T.); #221393=EDGE_CURVE('',#176366,#176367,#104770,.T.); #221394=EDGE_CURVE('',#176367,#176367,#70000,.T.); #221395=EDGE_CURVE('',#176368,#176368,#70001,.T.); #221396=EDGE_CURVE('',#176368,#176369,#104771,.T.); #221397=EDGE_CURVE('',#176369,#176369,#70002,.T.); #221398=EDGE_CURVE('',#176370,#176370,#70003,.T.); #221399=EDGE_CURVE('',#176370,#176371,#104772,.T.); #221400=EDGE_CURVE('',#176371,#176371,#70004,.T.); #221401=EDGE_CURVE('',#176372,#176372,#70005,.T.); #221402=EDGE_CURVE('',#176372,#176373,#104773,.T.); #221403=EDGE_CURVE('',#176373,#176373,#70006,.T.); #221404=EDGE_CURVE('',#176374,#176374,#70007,.T.); #221405=EDGE_CURVE('',#176374,#176375,#104774,.T.); #221406=EDGE_CURVE('',#176375,#176375,#70008,.T.); #221407=EDGE_CURVE('',#176376,#176376,#70009,.T.); #221408=EDGE_CURVE('',#176376,#176377,#104775,.T.); #221409=EDGE_CURVE('',#176377,#176377,#70010,.T.); #221410=EDGE_CURVE('',#176378,#176378,#70011,.T.); #221411=EDGE_CURVE('',#176378,#176379,#104776,.T.); #221412=EDGE_CURVE('',#176379,#176379,#70012,.T.); #221413=EDGE_CURVE('',#176380,#176380,#70013,.T.); #221414=EDGE_CURVE('',#176380,#176381,#104777,.T.); #221415=EDGE_CURVE('',#176381,#176381,#70014,.T.); #221416=EDGE_CURVE('',#176382,#176382,#70015,.T.); #221417=EDGE_CURVE('',#176382,#176383,#104778,.T.); #221418=EDGE_CURVE('',#176383,#176383,#70016,.T.); #221419=EDGE_CURVE('',#176384,#176384,#70017,.T.); #221420=EDGE_CURVE('',#176384,#176385,#104779,.T.); #221421=EDGE_CURVE('',#176385,#176385,#70018,.T.); #221422=EDGE_CURVE('',#176386,#176386,#70019,.T.); #221423=EDGE_CURVE('',#176386,#176387,#104780,.T.); #221424=EDGE_CURVE('',#176387,#176387,#70020,.T.); #221425=EDGE_CURVE('',#176388,#176388,#70021,.T.); #221426=EDGE_CURVE('',#176388,#176389,#104781,.T.); #221427=EDGE_CURVE('',#176389,#176389,#70022,.T.); #221428=EDGE_CURVE('',#176390,#176390,#70023,.T.); #221429=EDGE_CURVE('',#176390,#176391,#104782,.T.); #221430=EDGE_CURVE('',#176391,#176391,#70024,.T.); #221431=EDGE_CURVE('',#176392,#176392,#70025,.T.); #221432=EDGE_CURVE('',#176392,#176393,#104783,.T.); #221433=EDGE_CURVE('',#176393,#176393,#70026,.T.); #221434=EDGE_CURVE('',#176394,#176394,#70027,.T.); #221435=EDGE_CURVE('',#176394,#176395,#104784,.T.); #221436=EDGE_CURVE('',#176395,#176395,#70028,.T.); #221437=EDGE_CURVE('',#176396,#176396,#70029,.T.); #221438=EDGE_CURVE('',#176396,#176397,#104785,.T.); #221439=EDGE_CURVE('',#176397,#176397,#70030,.T.); #221440=EDGE_CURVE('',#176398,#176398,#70031,.T.); #221441=EDGE_CURVE('',#176398,#176399,#104786,.T.); #221442=EDGE_CURVE('',#176399,#176399,#70032,.T.); #221443=EDGE_CURVE('',#176400,#176400,#70033,.T.); #221444=EDGE_CURVE('',#176400,#176401,#104787,.T.); #221445=EDGE_CURVE('',#176401,#176401,#70034,.T.); #221446=EDGE_CURVE('',#176402,#176402,#70035,.T.); #221447=EDGE_CURVE('',#176402,#176403,#104788,.T.); #221448=EDGE_CURVE('',#176403,#176403,#70036,.T.); #221449=EDGE_CURVE('',#176404,#176404,#70037,.T.); #221450=EDGE_CURVE('',#176404,#176405,#104789,.T.); #221451=EDGE_CURVE('',#176405,#176405,#70038,.T.); #221452=EDGE_CURVE('',#176406,#176406,#70039,.T.); #221453=EDGE_CURVE('',#176406,#176407,#104790,.T.); #221454=EDGE_CURVE('',#176407,#176407,#70040,.T.); #221455=EDGE_CURVE('',#176408,#176408,#70041,.T.); #221456=EDGE_CURVE('',#176408,#176409,#104791,.T.); #221457=EDGE_CURVE('',#176409,#176409,#70042,.T.); #221458=EDGE_CURVE('',#176410,#176410,#70043,.T.); #221459=EDGE_CURVE('',#176410,#176411,#104792,.T.); #221460=EDGE_CURVE('',#176411,#176411,#70044,.T.); #221461=EDGE_CURVE('',#176412,#176412,#70045,.T.); #221462=EDGE_CURVE('',#176412,#176413,#104793,.T.); #221463=EDGE_CURVE('',#176413,#176413,#70046,.T.); #221464=EDGE_CURVE('',#176414,#176414,#70047,.T.); #221465=EDGE_CURVE('',#176414,#176415,#104794,.T.); #221466=EDGE_CURVE('',#176415,#176415,#70048,.T.); #221467=EDGE_CURVE('',#176416,#176416,#70049,.T.); #221468=EDGE_CURVE('',#176416,#176417,#104795,.T.); #221469=EDGE_CURVE('',#176417,#176417,#70050,.T.); #221470=EDGE_CURVE('',#176418,#176418,#70051,.T.); #221471=EDGE_CURVE('',#176418,#176419,#104796,.T.); #221472=EDGE_CURVE('',#176419,#176419,#70052,.T.); #221473=EDGE_CURVE('',#176420,#176420,#70053,.T.); #221474=EDGE_CURVE('',#176420,#176421,#104797,.T.); #221475=EDGE_CURVE('',#176421,#176421,#70054,.T.); #221476=EDGE_CURVE('',#176422,#176422,#70055,.T.); #221477=EDGE_CURVE('',#176422,#176423,#104798,.T.); #221478=EDGE_CURVE('',#176423,#176423,#70056,.T.); #221479=EDGE_CURVE('',#176424,#176424,#70057,.T.); #221480=EDGE_CURVE('',#176424,#176425,#104799,.T.); #221481=EDGE_CURVE('',#176425,#176425,#70058,.T.); #221482=EDGE_CURVE('',#176426,#176426,#70059,.T.); #221483=EDGE_CURVE('',#176426,#176427,#104800,.T.); #221484=EDGE_CURVE('',#176427,#176427,#70060,.T.); #221485=EDGE_CURVE('',#176428,#176428,#70061,.T.); #221486=EDGE_CURVE('',#176428,#176429,#104801,.T.); #221487=EDGE_CURVE('',#176429,#176429,#70062,.T.); #221488=EDGE_CURVE('',#176430,#176430,#70063,.T.); #221489=EDGE_CURVE('',#176430,#176431,#104802,.T.); #221490=EDGE_CURVE('',#176431,#176431,#70064,.T.); #221491=EDGE_CURVE('',#176432,#176432,#70065,.T.); #221492=EDGE_CURVE('',#176432,#176433,#104803,.T.); #221493=EDGE_CURVE('',#176433,#176433,#70066,.T.); #221494=EDGE_CURVE('',#176434,#176434,#70067,.T.); #221495=EDGE_CURVE('',#176434,#176435,#104804,.T.); #221496=EDGE_CURVE('',#176435,#176435,#70068,.T.); #221497=EDGE_CURVE('',#176436,#176436,#70069,.T.); #221498=EDGE_CURVE('',#176436,#176437,#104805,.T.); #221499=EDGE_CURVE('',#176437,#176437,#70070,.T.); #221500=EDGE_CURVE('',#176438,#176438,#70071,.T.); #221501=EDGE_CURVE('',#176438,#176439,#104806,.T.); #221502=EDGE_CURVE('',#176439,#176439,#70072,.T.); #221503=EDGE_CURVE('',#176440,#176440,#70073,.T.); #221504=EDGE_CURVE('',#176440,#176441,#104807,.T.); #221505=EDGE_CURVE('',#176441,#176441,#70074,.T.); #221506=EDGE_CURVE('',#176442,#176442,#70075,.T.); #221507=EDGE_CURVE('',#176442,#176443,#104808,.T.); #221508=EDGE_CURVE('',#176443,#176443,#70076,.T.); #221509=EDGE_CURVE('',#176444,#176444,#70077,.T.); #221510=EDGE_CURVE('',#176444,#176445,#104809,.T.); #221511=EDGE_CURVE('',#176445,#176445,#70078,.T.); #221512=EDGE_CURVE('',#176446,#176446,#70079,.T.); #221513=EDGE_CURVE('',#176446,#176447,#104810,.T.); #221514=EDGE_CURVE('',#176447,#176447,#70080,.T.); #221515=EDGE_CURVE('',#176448,#176448,#70081,.T.); #221516=EDGE_CURVE('',#176448,#176449,#104811,.T.); #221517=EDGE_CURVE('',#176449,#176449,#70082,.T.); #221518=EDGE_CURVE('',#176450,#176450,#70083,.T.); #221519=EDGE_CURVE('',#176450,#176451,#104812,.T.); #221520=EDGE_CURVE('',#176451,#176451,#70084,.T.); #221521=EDGE_CURVE('',#176452,#176452,#70085,.T.); #221522=EDGE_CURVE('',#176452,#176453,#104813,.T.); #221523=EDGE_CURVE('',#176453,#176453,#70086,.T.); #221524=EDGE_CURVE('',#176454,#176454,#70087,.T.); #221525=EDGE_CURVE('',#176454,#176455,#104814,.T.); #221526=EDGE_CURVE('',#176455,#176455,#70088,.T.); #221527=EDGE_CURVE('',#176456,#176456,#70089,.T.); #221528=EDGE_CURVE('',#176456,#176457,#104815,.T.); #221529=EDGE_CURVE('',#176457,#176457,#70090,.T.); #221530=EDGE_CURVE('',#176458,#176458,#70091,.T.); #221531=EDGE_CURVE('',#176458,#176459,#104816,.T.); #221532=EDGE_CURVE('',#176459,#176459,#70092,.T.); #221533=EDGE_CURVE('',#176460,#176460,#70093,.T.); #221534=EDGE_CURVE('',#176460,#176461,#104817,.T.); #221535=EDGE_CURVE('',#176461,#176461,#70094,.T.); #221536=EDGE_CURVE('',#176462,#176462,#70095,.T.); #221537=EDGE_CURVE('',#176462,#176463,#104818,.T.); #221538=EDGE_CURVE('',#176463,#176463,#70096,.T.); #221539=EDGE_CURVE('',#176464,#176464,#70097,.T.); #221540=EDGE_CURVE('',#176464,#176465,#104819,.T.); #221541=EDGE_CURVE('',#176465,#176465,#70098,.T.); #221542=EDGE_CURVE('',#176466,#176466,#70099,.T.); #221543=EDGE_CURVE('',#176466,#176467,#104820,.T.); #221544=EDGE_CURVE('',#176467,#176467,#70100,.T.); #221545=EDGE_CURVE('',#176468,#176468,#70101,.T.); #221546=EDGE_CURVE('',#176468,#176469,#104821,.T.); #221547=EDGE_CURVE('',#176469,#176469,#70102,.T.); #221548=EDGE_CURVE('',#176470,#176470,#70103,.T.); #221549=EDGE_CURVE('',#176470,#176471,#104822,.T.); #221550=EDGE_CURVE('',#176471,#176471,#70104,.T.); #221551=EDGE_CURVE('',#176472,#176472,#70105,.T.); #221552=EDGE_CURVE('',#176472,#176473,#104823,.T.); #221553=EDGE_CURVE('',#176473,#176473,#70106,.T.); #221554=EDGE_CURVE('',#176474,#176474,#70107,.T.); #221555=EDGE_CURVE('',#176474,#176475,#104824,.T.); #221556=EDGE_CURVE('',#176475,#176475,#70108,.T.); #221557=EDGE_CURVE('',#176476,#176476,#70109,.T.); #221558=EDGE_CURVE('',#176476,#176477,#104825,.T.); #221559=EDGE_CURVE('',#176477,#176477,#70110,.T.); #221560=EDGE_CURVE('',#176478,#176478,#70111,.T.); #221561=EDGE_CURVE('',#176478,#176479,#104826,.T.); #221562=EDGE_CURVE('',#176479,#176479,#70112,.T.); #221563=EDGE_CURVE('',#176480,#176480,#70113,.T.); #221564=EDGE_CURVE('',#176480,#176481,#104827,.T.); #221565=EDGE_CURVE('',#176481,#176481,#70114,.T.); #221566=EDGE_CURVE('',#176482,#176482,#70115,.T.); #221567=EDGE_CURVE('',#176482,#176483,#104828,.T.); #221568=EDGE_CURVE('',#176483,#176483,#70116,.T.); #221569=EDGE_CURVE('',#176484,#176484,#70117,.T.); #221570=EDGE_CURVE('',#176484,#176485,#104829,.T.); #221571=EDGE_CURVE('',#176485,#176485,#70118,.T.); #221572=EDGE_CURVE('',#176486,#176486,#70119,.T.); #221573=EDGE_CURVE('',#176486,#176487,#104830,.T.); #221574=EDGE_CURVE('',#176487,#176487,#70120,.T.); #221575=EDGE_CURVE('',#176488,#176488,#70121,.T.); #221576=EDGE_CURVE('',#176488,#176489,#104831,.T.); #221577=EDGE_CURVE('',#176489,#176489,#70122,.T.); #221578=EDGE_CURVE('',#176490,#176490,#70123,.T.); #221579=EDGE_CURVE('',#176490,#176491,#104832,.T.); #221580=EDGE_CURVE('',#176491,#176491,#70124,.T.); #221581=EDGE_CURVE('',#176492,#176492,#70125,.T.); #221582=EDGE_CURVE('',#176492,#176493,#104833,.T.); #221583=EDGE_CURVE('',#176493,#176493,#70126,.T.); #221584=EDGE_CURVE('',#176494,#176494,#70127,.T.); #221585=EDGE_CURVE('',#176494,#176495,#104834,.T.); #221586=EDGE_CURVE('',#176495,#176495,#70128,.T.); #221587=EDGE_CURVE('',#176496,#176496,#70129,.T.); #221588=EDGE_CURVE('',#176496,#176497,#104835,.T.); #221589=EDGE_CURVE('',#176497,#176497,#70130,.T.); #221590=EDGE_CURVE('',#176498,#176498,#70131,.T.); #221591=EDGE_CURVE('',#176498,#176499,#104836,.T.); #221592=EDGE_CURVE('',#176499,#176499,#70132,.T.); #221593=EDGE_CURVE('',#176500,#176500,#70133,.T.); #221594=EDGE_CURVE('',#176500,#176501,#104837,.T.); #221595=EDGE_CURVE('',#176501,#176501,#70134,.T.); #221596=EDGE_CURVE('',#176502,#176502,#70135,.T.); #221597=EDGE_CURVE('',#176502,#176503,#104838,.T.); #221598=EDGE_CURVE('',#176503,#176503,#70136,.T.); #221599=EDGE_CURVE('',#176504,#176504,#70137,.T.); #221600=EDGE_CURVE('',#176504,#176505,#104839,.T.); #221601=EDGE_CURVE('',#176505,#176505,#70138,.T.); #221602=EDGE_CURVE('',#176506,#176506,#70139,.T.); #221603=EDGE_CURVE('',#176506,#176507,#104840,.T.); #221604=EDGE_CURVE('',#176507,#176507,#70140,.T.); #221605=EDGE_CURVE('',#176508,#176508,#70141,.T.); #221606=EDGE_CURVE('',#176508,#176509,#104841,.T.); #221607=EDGE_CURVE('',#176509,#176509,#70142,.T.); #221608=EDGE_CURVE('',#176510,#176510,#70143,.T.); #221609=EDGE_CURVE('',#176510,#176511,#104842,.T.); #221610=EDGE_CURVE('',#176511,#176511,#70144,.T.); #221611=EDGE_CURVE('',#176512,#176512,#70145,.T.); #221612=EDGE_CURVE('',#176512,#176513,#104843,.T.); #221613=EDGE_CURVE('',#176513,#176513,#70146,.T.); #221614=EDGE_CURVE('',#176514,#176514,#70147,.T.); #221615=EDGE_CURVE('',#176514,#176515,#104844,.T.); #221616=EDGE_CURVE('',#176515,#176515,#70148,.T.); #221617=EDGE_CURVE('',#176516,#176516,#70149,.T.); #221618=EDGE_CURVE('',#176516,#176517,#104845,.T.); #221619=EDGE_CURVE('',#176517,#176517,#70150,.T.); #221620=EDGE_CURVE('',#176518,#176518,#70151,.T.); #221621=EDGE_CURVE('',#176518,#176519,#104846,.T.); #221622=EDGE_CURVE('',#176519,#176519,#70152,.T.); #221623=EDGE_CURVE('',#176520,#176520,#70153,.T.); #221624=EDGE_CURVE('',#176520,#176521,#104847,.T.); #221625=EDGE_CURVE('',#176521,#176521,#70154,.T.); #221626=EDGE_CURVE('',#176522,#176522,#70155,.T.); #221627=EDGE_CURVE('',#176522,#176523,#104848,.T.); #221628=EDGE_CURVE('',#176523,#176523,#70156,.T.); #221629=EDGE_CURVE('',#176524,#176524,#70157,.T.); #221630=EDGE_CURVE('',#176524,#176525,#104849,.T.); #221631=EDGE_CURVE('',#176525,#176525,#70158,.T.); #221632=EDGE_CURVE('',#176526,#176526,#70159,.T.); #221633=EDGE_CURVE('',#176526,#176527,#104850,.T.); #221634=EDGE_CURVE('',#176527,#176527,#70160,.T.); #221635=EDGE_CURVE('',#176528,#176528,#70161,.T.); #221636=EDGE_CURVE('',#176528,#176529,#104851,.T.); #221637=EDGE_CURVE('',#176529,#176529,#70162,.T.); #221638=EDGE_CURVE('',#176530,#176530,#70163,.T.); #221639=EDGE_CURVE('',#176530,#176531,#104852,.T.); #221640=EDGE_CURVE('',#176531,#176531,#70164,.T.); #221641=EDGE_CURVE('',#176532,#176532,#70165,.T.); #221642=EDGE_CURVE('',#176532,#176533,#104853,.T.); #221643=EDGE_CURVE('',#176533,#176533,#70166,.T.); #221644=EDGE_CURVE('',#176534,#176534,#70167,.T.); #221645=EDGE_CURVE('',#176534,#176535,#104854,.T.); #221646=EDGE_CURVE('',#176535,#176535,#70168,.T.); #221647=EDGE_CURVE('',#176536,#176536,#70169,.T.); #221648=EDGE_CURVE('',#176536,#176537,#104855,.T.); #221649=EDGE_CURVE('',#176537,#176537,#70170,.T.); #221650=EDGE_CURVE('',#176538,#176538,#70171,.T.); #221651=EDGE_CURVE('',#176538,#176539,#104856,.T.); #221652=EDGE_CURVE('',#176539,#176539,#70172,.T.); #221653=EDGE_CURVE('',#176540,#176540,#70173,.T.); #221654=EDGE_CURVE('',#176540,#176541,#104857,.T.); #221655=EDGE_CURVE('',#176541,#176541,#70174,.T.); #221656=EDGE_CURVE('',#176542,#176542,#70175,.T.); #221657=EDGE_CURVE('',#176542,#176543,#104858,.T.); #221658=EDGE_CURVE('',#176543,#176543,#70176,.T.); #221659=EDGE_CURVE('',#176544,#176544,#70177,.T.); #221660=EDGE_CURVE('',#176544,#176545,#104859,.T.); #221661=EDGE_CURVE('',#176545,#176545,#70178,.T.); #221662=EDGE_CURVE('',#176546,#176546,#70179,.T.); #221663=EDGE_CURVE('',#176546,#176547,#104860,.T.); #221664=EDGE_CURVE('',#176547,#176547,#70180,.T.); #221665=EDGE_CURVE('',#176548,#176548,#70181,.T.); #221666=EDGE_CURVE('',#176548,#176549,#104861,.T.); #221667=EDGE_CURVE('',#176549,#176549,#70182,.T.); #221668=EDGE_CURVE('',#176550,#176550,#70183,.T.); #221669=EDGE_CURVE('',#176550,#176551,#104862,.T.); #221670=EDGE_CURVE('',#176551,#176551,#70184,.T.); #221671=EDGE_CURVE('',#176552,#176552,#70185,.T.); #221672=EDGE_CURVE('',#176552,#176553,#104863,.T.); #221673=EDGE_CURVE('',#176553,#176553,#70186,.T.); #221674=EDGE_CURVE('',#176554,#176554,#70187,.T.); #221675=EDGE_CURVE('',#176554,#176555,#104864,.T.); #221676=EDGE_CURVE('',#176555,#176555,#70188,.T.); #221677=EDGE_CURVE('',#176556,#176556,#70189,.T.); #221678=EDGE_CURVE('',#176556,#176557,#104865,.T.); #221679=EDGE_CURVE('',#176557,#176557,#70190,.T.); #221680=EDGE_CURVE('',#176558,#176558,#70191,.T.); #221681=EDGE_CURVE('',#176558,#176559,#104866,.T.); #221682=EDGE_CURVE('',#176559,#176559,#70192,.T.); #221683=EDGE_CURVE('',#176560,#176560,#70193,.T.); #221684=EDGE_CURVE('',#176560,#176561,#104867,.T.); #221685=EDGE_CURVE('',#176561,#176561,#70194,.T.); #221686=EDGE_CURVE('',#176562,#176562,#70195,.T.); #221687=EDGE_CURVE('',#176562,#176563,#104868,.T.); #221688=EDGE_CURVE('',#176563,#176563,#70196,.T.); #221689=EDGE_CURVE('',#176564,#176564,#70197,.T.); #221690=EDGE_CURVE('',#176564,#176565,#104869,.T.); #221691=EDGE_CURVE('',#176565,#176565,#70198,.T.); #221692=EDGE_CURVE('',#176566,#176566,#70199,.T.); #221693=EDGE_CURVE('',#176566,#176567,#104870,.T.); #221694=EDGE_CURVE('',#176567,#176567,#70200,.T.); #221695=EDGE_CURVE('',#176568,#176568,#70201,.T.); #221696=EDGE_CURVE('',#176568,#176569,#104871,.T.); #221697=EDGE_CURVE('',#176569,#176569,#70202,.T.); #221698=EDGE_CURVE('',#176570,#176570,#70203,.T.); #221699=EDGE_CURVE('',#176570,#176571,#104872,.T.); #221700=EDGE_CURVE('',#176571,#176571,#70204,.T.); #221701=EDGE_CURVE('',#176572,#176572,#70205,.T.); #221702=EDGE_CURVE('',#176572,#176573,#104873,.T.); #221703=EDGE_CURVE('',#176573,#176573,#70206,.T.); #221704=EDGE_CURVE('',#176574,#176574,#70207,.T.); #221705=EDGE_CURVE('',#176574,#176575,#104874,.T.); #221706=EDGE_CURVE('',#176575,#176575,#70208,.T.); #221707=EDGE_CURVE('',#176576,#176576,#70209,.T.); #221708=EDGE_CURVE('',#176576,#176577,#104875,.T.); #221709=EDGE_CURVE('',#176577,#176577,#70210,.T.); #221710=EDGE_CURVE('',#176578,#176578,#70211,.T.); #221711=EDGE_CURVE('',#176578,#176579,#104876,.T.); #221712=EDGE_CURVE('',#176579,#176579,#70212,.T.); #221713=EDGE_CURVE('',#176580,#176580,#70213,.T.); #221714=EDGE_CURVE('',#176580,#176581,#104877,.T.); #221715=EDGE_CURVE('',#176581,#176581,#70214,.T.); #221716=EDGE_CURVE('',#176582,#176582,#70215,.T.); #221717=EDGE_CURVE('',#176582,#176583,#104878,.T.); #221718=EDGE_CURVE('',#176583,#176583,#70216,.T.); #221719=EDGE_CURVE('',#176584,#176584,#70217,.T.); #221720=EDGE_CURVE('',#176584,#176585,#104879,.T.); #221721=EDGE_CURVE('',#176585,#176585,#70218,.T.); #221722=EDGE_CURVE('',#176586,#176586,#70219,.T.); #221723=EDGE_CURVE('',#176586,#176587,#104880,.T.); #221724=EDGE_CURVE('',#176587,#176587,#70220,.T.); #221725=EDGE_CURVE('',#176588,#176588,#70221,.T.); #221726=EDGE_CURVE('',#176588,#176589,#104881,.T.); #221727=EDGE_CURVE('',#176589,#176589,#70222,.T.); #221728=EDGE_CURVE('',#176590,#176590,#70223,.T.); #221729=EDGE_CURVE('',#176590,#176591,#104882,.T.); #221730=EDGE_CURVE('',#176591,#176591,#70224,.T.); #221731=EDGE_CURVE('',#176592,#176592,#70225,.T.); #221732=EDGE_CURVE('',#176592,#176593,#104883,.T.); #221733=EDGE_CURVE('',#176593,#176593,#70226,.T.); #221734=EDGE_CURVE('',#176594,#176594,#70227,.T.); #221735=EDGE_CURVE('',#176594,#176595,#104884,.T.); #221736=EDGE_CURVE('',#176595,#176595,#70228,.T.); #221737=EDGE_CURVE('',#176596,#176596,#70229,.T.); #221738=EDGE_CURVE('',#176596,#176597,#104885,.T.); #221739=EDGE_CURVE('',#176597,#176597,#70230,.T.); #221740=EDGE_CURVE('',#176598,#176598,#70231,.T.); #221741=EDGE_CURVE('',#176598,#176599,#104886,.T.); #221742=EDGE_CURVE('',#176599,#176599,#70232,.T.); #221743=EDGE_CURVE('',#176600,#176600,#70233,.T.); #221744=EDGE_CURVE('',#176600,#176601,#104887,.T.); #221745=EDGE_CURVE('',#176601,#176601,#70234,.T.); #221746=EDGE_CURVE('',#176602,#176602,#70235,.T.); #221747=EDGE_CURVE('',#176602,#176603,#104888,.T.); #221748=EDGE_CURVE('',#176603,#176603,#70236,.T.); #221749=EDGE_CURVE('',#176604,#176604,#70237,.T.); #221750=EDGE_CURVE('',#176604,#176605,#104889,.T.); #221751=EDGE_CURVE('',#176605,#176605,#70238,.T.); #221752=EDGE_CURVE('',#176606,#176606,#70239,.T.); #221753=EDGE_CURVE('',#176606,#176607,#104890,.T.); #221754=EDGE_CURVE('',#176607,#176607,#70240,.T.); #221755=EDGE_CURVE('',#176608,#176608,#70241,.T.); #221756=EDGE_CURVE('',#176608,#176609,#104891,.T.); #221757=EDGE_CURVE('',#176609,#176609,#70242,.T.); #221758=EDGE_CURVE('',#176610,#176610,#70243,.T.); #221759=EDGE_CURVE('',#176610,#176611,#104892,.T.); #221760=EDGE_CURVE('',#176611,#176611,#70244,.T.); #221761=EDGE_CURVE('',#176612,#176612,#70245,.T.); #221762=EDGE_CURVE('',#176612,#176613,#104893,.T.); #221763=EDGE_CURVE('',#176613,#176613,#70246,.T.); #221764=EDGE_CURVE('',#176614,#176614,#70247,.T.); #221765=EDGE_CURVE('',#176614,#176615,#104894,.T.); #221766=EDGE_CURVE('',#176615,#176615,#70248,.T.); #221767=EDGE_CURVE('',#176616,#176616,#70249,.T.); #221768=EDGE_CURVE('',#176616,#176617,#104895,.T.); #221769=EDGE_CURVE('',#176617,#176617,#70250,.T.); #221770=EDGE_CURVE('',#176618,#176618,#70251,.T.); #221771=EDGE_CURVE('',#176618,#176619,#104896,.T.); #221772=EDGE_CURVE('',#176619,#176619,#70252,.T.); #221773=EDGE_CURVE('',#176620,#176620,#70253,.T.); #221774=EDGE_CURVE('',#176620,#176621,#104897,.T.); #221775=EDGE_CURVE('',#176621,#176621,#70254,.T.); #221776=EDGE_CURVE('',#176622,#176622,#70255,.T.); #221777=EDGE_CURVE('',#176622,#176623,#104898,.T.); #221778=EDGE_CURVE('',#176623,#176623,#70256,.T.); #221779=EDGE_CURVE('',#176624,#176624,#70257,.T.); #221780=EDGE_CURVE('',#176624,#176625,#104899,.T.); #221781=EDGE_CURVE('',#176625,#176625,#70258,.T.); #221782=EDGE_CURVE('',#176626,#176626,#70259,.T.); #221783=EDGE_CURVE('',#176626,#176627,#104900,.T.); #221784=EDGE_CURVE('',#176627,#176627,#70260,.T.); #221785=EDGE_CURVE('',#176628,#176628,#70261,.T.); #221786=EDGE_CURVE('',#176628,#176629,#104901,.T.); #221787=EDGE_CURVE('',#176629,#176629,#70262,.T.); #221788=EDGE_CURVE('',#176630,#176630,#70263,.T.); #221789=EDGE_CURVE('',#176630,#176631,#104902,.T.); #221790=EDGE_CURVE('',#176631,#176631,#70264,.T.); #221791=EDGE_CURVE('',#176632,#176632,#70265,.T.); #221792=EDGE_CURVE('',#176632,#176633,#104903,.T.); #221793=EDGE_CURVE('',#176633,#176633,#70266,.T.); #221794=EDGE_CURVE('',#176634,#176634,#70267,.T.); #221795=EDGE_CURVE('',#176634,#176635,#104904,.T.); #221796=EDGE_CURVE('',#176635,#176635,#70268,.T.); #221797=EDGE_CURVE('',#176636,#176636,#70269,.T.); #221798=EDGE_CURVE('',#176636,#176637,#104905,.T.); #221799=EDGE_CURVE('',#176637,#176637,#70270,.T.); #221800=EDGE_CURVE('',#176638,#176638,#70271,.T.); #221801=EDGE_CURVE('',#176638,#176639,#104906,.T.); #221802=EDGE_CURVE('',#176639,#176639,#70272,.T.); #221803=EDGE_CURVE('',#176640,#176640,#70273,.T.); #221804=EDGE_CURVE('',#176640,#176641,#104907,.T.); #221805=EDGE_CURVE('',#176641,#176641,#70274,.T.); #221806=EDGE_CURVE('',#176642,#176642,#70275,.T.); #221807=EDGE_CURVE('',#176642,#176643,#104908,.T.); #221808=EDGE_CURVE('',#176643,#176643,#70276,.T.); #221809=EDGE_CURVE('',#176644,#176644,#70277,.T.); #221810=EDGE_CURVE('',#176644,#176645,#104909,.T.); #221811=EDGE_CURVE('',#176645,#176645,#70278,.T.); #221812=EDGE_CURVE('',#176646,#176646,#70279,.T.); #221813=EDGE_CURVE('',#176646,#176647,#104910,.T.); #221814=EDGE_CURVE('',#176647,#176647,#70280,.T.); #221815=EDGE_CURVE('',#176648,#176648,#70281,.T.); #221816=EDGE_CURVE('',#176648,#176649,#104911,.T.); #221817=EDGE_CURVE('',#176649,#176649,#70282,.T.); #221818=EDGE_CURVE('',#176650,#176650,#70283,.T.); #221819=EDGE_CURVE('',#176650,#176651,#104912,.T.); #221820=EDGE_CURVE('',#176651,#176651,#70284,.T.); #221821=EDGE_CURVE('',#176652,#176652,#70285,.T.); #221822=EDGE_CURVE('',#176652,#176653,#104913,.T.); #221823=EDGE_CURVE('',#176653,#176653,#70286,.T.); #221824=EDGE_CURVE('',#176654,#176654,#70287,.T.); #221825=EDGE_CURVE('',#176654,#176655,#104914,.T.); #221826=EDGE_CURVE('',#176655,#176655,#70288,.T.); #221827=EDGE_CURVE('',#176656,#176656,#70289,.T.); #221828=EDGE_CURVE('',#176656,#176657,#104915,.T.); #221829=EDGE_CURVE('',#176657,#176657,#70290,.T.); #221830=EDGE_CURVE('',#176658,#176658,#70291,.T.); #221831=EDGE_CURVE('',#176658,#176659,#104916,.T.); #221832=EDGE_CURVE('',#176659,#176659,#70292,.T.); #221833=EDGE_CURVE('',#176660,#176660,#70293,.T.); #221834=EDGE_CURVE('',#176660,#176661,#104917,.T.); #221835=EDGE_CURVE('',#176661,#176661,#70294,.T.); #221836=EDGE_CURVE('',#176662,#176662,#70295,.T.); #221837=EDGE_CURVE('',#176662,#176663,#104918,.T.); #221838=EDGE_CURVE('',#176663,#176663,#70296,.T.); #221839=EDGE_CURVE('',#176664,#176664,#70297,.T.); #221840=EDGE_CURVE('',#176664,#176665,#104919,.T.); #221841=EDGE_CURVE('',#176665,#176665,#70298,.T.); #221842=EDGE_CURVE('',#176666,#176666,#70299,.T.); #221843=EDGE_CURVE('',#176666,#176667,#104920,.T.); #221844=EDGE_CURVE('',#176667,#176667,#70300,.T.); #221845=EDGE_CURVE('',#176668,#176668,#70301,.T.); #221846=EDGE_CURVE('',#176668,#176669,#104921,.T.); #221847=EDGE_CURVE('',#176669,#176669,#70302,.T.); #221848=EDGE_CURVE('',#176670,#176670,#70303,.T.); #221849=EDGE_CURVE('',#176670,#176671,#104922,.T.); #221850=EDGE_CURVE('',#176671,#176671,#70304,.T.); #221851=EDGE_CURVE('',#176672,#176672,#70305,.T.); #221852=EDGE_CURVE('',#176672,#176673,#104923,.T.); #221853=EDGE_CURVE('',#176673,#176673,#70306,.T.); #221854=EDGE_CURVE('',#176674,#176674,#70307,.T.); #221855=EDGE_CURVE('',#176674,#176675,#104924,.T.); #221856=EDGE_CURVE('',#176675,#176675,#70308,.T.); #221857=EDGE_CURVE('',#176676,#176676,#70309,.T.); #221858=EDGE_CURVE('',#176676,#176677,#104925,.T.); #221859=EDGE_CURVE('',#176677,#176677,#70310,.T.); #221860=EDGE_CURVE('',#176678,#176678,#70311,.T.); #221861=EDGE_CURVE('',#176678,#176679,#104926,.T.); #221862=EDGE_CURVE('',#176679,#176679,#70312,.T.); #221863=EDGE_CURVE('',#176680,#176680,#70313,.T.); #221864=EDGE_CURVE('',#176680,#176681,#104927,.T.); #221865=EDGE_CURVE('',#176681,#176681,#70314,.T.); #221866=EDGE_CURVE('',#176682,#176682,#70315,.T.); #221867=EDGE_CURVE('',#176682,#176683,#104928,.T.); #221868=EDGE_CURVE('',#176683,#176683,#70316,.T.); #221869=EDGE_CURVE('',#176684,#176684,#70317,.T.); #221870=EDGE_CURVE('',#176684,#176685,#104929,.T.); #221871=EDGE_CURVE('',#176685,#176685,#70318,.T.); #221872=EDGE_CURVE('',#176686,#176686,#70319,.T.); #221873=EDGE_CURVE('',#176686,#176687,#104930,.T.); #221874=EDGE_CURVE('',#176687,#176687,#70320,.T.); #221875=EDGE_CURVE('',#176688,#176688,#70321,.T.); #221876=EDGE_CURVE('',#176688,#176689,#104931,.T.); #221877=EDGE_CURVE('',#176689,#176689,#70322,.T.); #221878=EDGE_CURVE('',#176690,#176690,#70323,.T.); #221879=EDGE_CURVE('',#176690,#176691,#104932,.T.); #221880=EDGE_CURVE('',#176691,#176691,#70324,.T.); #221881=EDGE_CURVE('',#176692,#176692,#70325,.T.); #221882=EDGE_CURVE('',#176692,#176693,#104933,.T.); #221883=EDGE_CURVE('',#176693,#176693,#70326,.T.); #221884=EDGE_CURVE('',#176694,#176694,#70327,.T.); #221885=EDGE_CURVE('',#176694,#176695,#104934,.T.); #221886=EDGE_CURVE('',#176695,#176695,#70328,.T.); #221887=EDGE_CURVE('',#176696,#176696,#70329,.T.); #221888=EDGE_CURVE('',#176696,#176697,#104935,.T.); #221889=EDGE_CURVE('',#176697,#176697,#70330,.T.); #221890=EDGE_CURVE('',#176698,#176698,#70331,.T.); #221891=EDGE_CURVE('',#176698,#176699,#104936,.T.); #221892=EDGE_CURVE('',#176699,#176699,#70332,.T.); #221893=EDGE_CURVE('',#176700,#176700,#70333,.T.); #221894=EDGE_CURVE('',#176700,#176701,#104937,.T.); #221895=EDGE_CURVE('',#176701,#176701,#70334,.T.); #221896=EDGE_CURVE('',#176702,#176702,#70335,.T.); #221897=EDGE_CURVE('',#176702,#176703,#104938,.T.); #221898=EDGE_CURVE('',#176703,#176703,#70336,.T.); #221899=EDGE_CURVE('',#176704,#176704,#70337,.T.); #221900=EDGE_CURVE('',#176704,#176705,#104939,.T.); #221901=EDGE_CURVE('',#176705,#176705,#70338,.T.); #221902=EDGE_CURVE('',#176706,#176706,#70339,.T.); #221903=EDGE_CURVE('',#176706,#176707,#104940,.T.); #221904=EDGE_CURVE('',#176707,#176707,#70340,.T.); #221905=EDGE_CURVE('',#176708,#176708,#70341,.T.); #221906=EDGE_CURVE('',#176708,#176709,#104941,.T.); #221907=EDGE_CURVE('',#176709,#176709,#70342,.T.); #221908=EDGE_CURVE('',#176710,#176710,#70343,.T.); #221909=EDGE_CURVE('',#176710,#176711,#104942,.T.); #221910=EDGE_CURVE('',#176711,#176711,#70344,.T.); #221911=EDGE_CURVE('',#176712,#176712,#70345,.T.); #221912=EDGE_CURVE('',#176712,#176713,#104943,.T.); #221913=EDGE_CURVE('',#176713,#176713,#70346,.T.); #221914=EDGE_CURVE('',#176714,#176714,#70347,.T.); #221915=EDGE_CURVE('',#176714,#176715,#104944,.T.); #221916=EDGE_CURVE('',#176715,#176715,#70348,.T.); #221917=EDGE_CURVE('',#176716,#176716,#70349,.T.); #221918=EDGE_CURVE('',#176716,#176717,#104945,.T.); #221919=EDGE_CURVE('',#176717,#176717,#70350,.T.); #221920=EDGE_CURVE('',#176718,#176718,#70351,.T.); #221921=EDGE_CURVE('',#176718,#176719,#104946,.T.); #221922=EDGE_CURVE('',#176719,#176719,#70352,.T.); #221923=EDGE_CURVE('',#176720,#176720,#70353,.T.); #221924=EDGE_CURVE('',#176720,#176721,#104947,.T.); #221925=EDGE_CURVE('',#176721,#176721,#70354,.T.); #221926=EDGE_CURVE('',#176722,#176722,#70355,.T.); #221927=EDGE_CURVE('',#176722,#176723,#104948,.T.); #221928=EDGE_CURVE('',#176723,#176723,#70356,.T.); #221929=EDGE_CURVE('',#176724,#176724,#70357,.T.); #221930=EDGE_CURVE('',#176724,#176725,#104949,.T.); #221931=EDGE_CURVE('',#176725,#176725,#70358,.T.); #221932=EDGE_CURVE('',#176726,#176726,#70359,.T.); #221933=EDGE_CURVE('',#176726,#176727,#104950,.T.); #221934=EDGE_CURVE('',#176727,#176727,#70360,.T.); #221935=EDGE_CURVE('',#176728,#176728,#70361,.T.); #221936=EDGE_CURVE('',#176728,#176729,#104951,.T.); #221937=EDGE_CURVE('',#176729,#176729,#70362,.T.); #221938=EDGE_CURVE('',#176730,#176730,#70363,.T.); #221939=EDGE_CURVE('',#176730,#176731,#104952,.T.); #221940=EDGE_CURVE('',#176731,#176731,#70364,.T.); #221941=EDGE_CURVE('',#176732,#176732,#70365,.T.); #221942=EDGE_CURVE('',#176732,#176733,#104953,.T.); #221943=EDGE_CURVE('',#176733,#176733,#70366,.T.); #221944=EDGE_CURVE('',#176734,#176734,#70367,.T.); #221945=EDGE_CURVE('',#176734,#176735,#104954,.T.); #221946=EDGE_CURVE('',#176735,#176735,#70368,.T.); #221947=EDGE_CURVE('',#176736,#176736,#70369,.T.); #221948=EDGE_CURVE('',#176736,#176737,#104955,.T.); #221949=EDGE_CURVE('',#176737,#176737,#70370,.T.); #221950=EDGE_CURVE('',#176738,#176738,#70371,.T.); #221951=EDGE_CURVE('',#176738,#176739,#104956,.T.); #221952=EDGE_CURVE('',#176739,#176739,#70372,.T.); #221953=EDGE_CURVE('',#176740,#176740,#70373,.T.); #221954=EDGE_CURVE('',#176740,#176741,#104957,.T.); #221955=EDGE_CURVE('',#176741,#176741,#70374,.T.); #221956=EDGE_CURVE('',#176742,#176742,#70375,.T.); #221957=EDGE_CURVE('',#176742,#176743,#104958,.T.); #221958=EDGE_CURVE('',#176743,#176743,#70376,.T.); #221959=EDGE_CURVE('',#176744,#176744,#70377,.T.); #221960=EDGE_CURVE('',#176744,#176745,#104959,.T.); #221961=EDGE_CURVE('',#176745,#176745,#70378,.T.); #221962=EDGE_CURVE('',#176746,#176746,#70379,.T.); #221963=EDGE_CURVE('',#176746,#176747,#104960,.T.); #221964=EDGE_CURVE('',#176747,#176747,#70380,.T.); #221965=EDGE_CURVE('',#176748,#176748,#70381,.T.); #221966=EDGE_CURVE('',#176748,#176749,#104961,.T.); #221967=EDGE_CURVE('',#176749,#176749,#70382,.T.); #221968=EDGE_CURVE('',#176750,#176750,#70383,.T.); #221969=EDGE_CURVE('',#176750,#176751,#104962,.T.); #221970=EDGE_CURVE('',#176751,#176751,#70384,.T.); #221971=EDGE_CURVE('',#176752,#176752,#70385,.T.); #221972=EDGE_CURVE('',#176752,#176753,#104963,.T.); #221973=EDGE_CURVE('',#176753,#176753,#70386,.T.); #221974=EDGE_CURVE('',#176754,#176754,#70387,.T.); #221975=EDGE_CURVE('',#176754,#176755,#104964,.T.); #221976=EDGE_CURVE('',#176755,#176755,#70388,.T.); #221977=EDGE_CURVE('',#176756,#176756,#70389,.T.); #221978=EDGE_CURVE('',#176756,#176757,#104965,.T.); #221979=EDGE_CURVE('',#176757,#176757,#70390,.T.); #221980=EDGE_CURVE('',#176758,#176758,#70391,.T.); #221981=EDGE_CURVE('',#176758,#176759,#104966,.T.); #221982=EDGE_CURVE('',#176759,#176759,#70392,.T.); #221983=EDGE_CURVE('',#176760,#176760,#70393,.T.); #221984=EDGE_CURVE('',#176760,#176761,#104967,.T.); #221985=EDGE_CURVE('',#176761,#176761,#70394,.T.); #221986=EDGE_CURVE('',#176762,#176762,#70395,.T.); #221987=EDGE_CURVE('',#176762,#176763,#104968,.T.); #221988=EDGE_CURVE('',#176763,#176763,#70396,.T.); #221989=EDGE_CURVE('',#176764,#176764,#70397,.T.); #221990=EDGE_CURVE('',#176764,#176765,#104969,.T.); #221991=EDGE_CURVE('',#176765,#176765,#70398,.T.); #221992=EDGE_CURVE('',#176766,#176766,#70399,.T.); #221993=EDGE_CURVE('',#176766,#176767,#104970,.T.); #221994=EDGE_CURVE('',#176767,#176767,#70400,.T.); #221995=EDGE_CURVE('',#176768,#176768,#70401,.T.); #221996=EDGE_CURVE('',#176768,#176769,#104971,.T.); #221997=EDGE_CURVE('',#176769,#176769,#70402,.T.); #221998=EDGE_CURVE('',#176770,#176770,#70403,.T.); #221999=EDGE_CURVE('',#176770,#176771,#104972,.T.); #222000=EDGE_CURVE('',#176771,#176771,#70404,.T.); #222001=EDGE_CURVE('',#176772,#176772,#70405,.T.); #222002=EDGE_CURVE('',#176772,#176773,#104973,.T.); #222003=EDGE_CURVE('',#176773,#176773,#70406,.T.); #222004=EDGE_CURVE('',#176774,#176774,#70407,.T.); #222005=EDGE_CURVE('',#176774,#176775,#104974,.T.); #222006=EDGE_CURVE('',#176775,#176775,#70408,.T.); #222007=EDGE_CURVE('',#176776,#176776,#70409,.T.); #222008=EDGE_CURVE('',#176776,#176777,#104975,.T.); #222009=EDGE_CURVE('',#176777,#176777,#70410,.T.); #222010=EDGE_CURVE('',#176778,#176778,#70411,.T.); #222011=EDGE_CURVE('',#176778,#176779,#104976,.T.); #222012=EDGE_CURVE('',#176779,#176779,#70412,.T.); #222013=EDGE_CURVE('',#176780,#176780,#70413,.T.); #222014=EDGE_CURVE('',#176780,#176781,#104977,.T.); #222015=EDGE_CURVE('',#176781,#176781,#70414,.T.); #222016=EDGE_CURVE('',#176782,#176782,#70415,.T.); #222017=EDGE_CURVE('',#176782,#176783,#104978,.T.); #222018=EDGE_CURVE('',#176783,#176783,#70416,.T.); #222019=EDGE_CURVE('',#176784,#176784,#70417,.T.); #222020=EDGE_CURVE('',#176784,#176785,#104979,.T.); #222021=EDGE_CURVE('',#176785,#176785,#70418,.T.); #222022=EDGE_CURVE('',#176786,#176786,#70419,.T.); #222023=EDGE_CURVE('',#176786,#176787,#104980,.T.); #222024=EDGE_CURVE('',#176787,#176787,#70420,.T.); #222025=EDGE_CURVE('',#176788,#176788,#70421,.T.); #222026=EDGE_CURVE('',#176788,#176789,#104981,.T.); #222027=EDGE_CURVE('',#176789,#176789,#70422,.T.); #222028=EDGE_CURVE('',#176790,#176790,#70423,.T.); #222029=EDGE_CURVE('',#176790,#176791,#104982,.T.); #222030=EDGE_CURVE('',#176791,#176791,#70424,.T.); #222031=EDGE_CURVE('',#176792,#176792,#70425,.T.); #222032=EDGE_CURVE('',#176792,#176793,#104983,.T.); #222033=EDGE_CURVE('',#176793,#176793,#70426,.T.); #222034=EDGE_CURVE('',#176794,#176794,#70427,.T.); #222035=EDGE_CURVE('',#176794,#176795,#104984,.T.); #222036=EDGE_CURVE('',#176795,#176795,#70428,.T.); #222037=EDGE_CURVE('',#176796,#176796,#70429,.T.); #222038=EDGE_CURVE('',#176796,#176797,#104985,.T.); #222039=EDGE_CURVE('',#176797,#176797,#70430,.T.); #222040=EDGE_CURVE('',#176798,#176798,#70431,.T.); #222041=EDGE_CURVE('',#176798,#176799,#104986,.T.); #222042=EDGE_CURVE('',#176799,#176799,#70432,.T.); #222043=EDGE_CURVE('',#176800,#176800,#70433,.T.); #222044=EDGE_CURVE('',#176800,#176801,#104987,.T.); #222045=EDGE_CURVE('',#176801,#176801,#70434,.T.); #222046=EDGE_CURVE('',#176802,#176802,#70435,.T.); #222047=EDGE_CURVE('',#176802,#176803,#104988,.T.); #222048=EDGE_CURVE('',#176803,#176803,#70436,.T.); #222049=EDGE_CURVE('',#176804,#176804,#70437,.T.); #222050=EDGE_CURVE('',#176804,#176805,#104989,.T.); #222051=EDGE_CURVE('',#176805,#176805,#70438,.T.); #222052=EDGE_CURVE('',#176806,#176806,#70439,.T.); #222053=EDGE_CURVE('',#176806,#176807,#104990,.T.); #222054=EDGE_CURVE('',#176807,#176807,#70440,.T.); #222055=EDGE_CURVE('',#176808,#176809,#104991,.T.); #222056=EDGE_CURVE('',#176810,#176808,#104992,.T.); #222057=EDGE_CURVE('',#176811,#176810,#104993,.T.); #222058=EDGE_CURVE('',#176811,#176809,#104994,.T.); #222059=EDGE_CURVE('',#176809,#176812,#70441,.T.); #222060=EDGE_CURVE('',#176813,#176811,#70442,.T.); #222061=EDGE_CURVE('',#176813,#176812,#104995,.T.); #222062=EDGE_CURVE('',#176812,#176814,#104996,.T.); #222063=EDGE_CURVE('',#176815,#176813,#104997,.T.); #222064=EDGE_CURVE('',#176815,#176814,#104998,.T.); #222065=EDGE_CURVE('',#176814,#176808,#70443,.T.); #222066=EDGE_CURVE('',#176810,#176815,#70444,.T.); #222067=EDGE_CURVE('',#176816,#176816,#70445,.T.); #222068=EDGE_CURVE('',#176816,#176817,#104999,.T.); #222069=EDGE_CURVE('',#176817,#176817,#70446,.T.); #222070=EDGE_CURVE('',#176818,#176819,#105000,.T.); #222071=EDGE_CURVE('',#176820,#176818,#105001,.T.); #222072=EDGE_CURVE('',#176821,#176820,#105002,.T.); #222073=EDGE_CURVE('',#176821,#176819,#105003,.T.); #222074=EDGE_CURVE('',#176819,#176822,#70447,.T.); #222075=EDGE_CURVE('',#176823,#176821,#70448,.T.); #222076=EDGE_CURVE('',#176823,#176822,#105004,.T.); #222077=EDGE_CURVE('',#176822,#176824,#105005,.T.); #222078=EDGE_CURVE('',#176825,#176823,#105006,.T.); #222079=EDGE_CURVE('',#176825,#176824,#105007,.T.); #222080=EDGE_CURVE('',#176824,#176818,#70449,.T.); #222081=EDGE_CURVE('',#176820,#176825,#70450,.T.); #222082=EDGE_CURVE('',#176826,#176826,#70451,.T.); #222083=EDGE_CURVE('',#176826,#176827,#105008,.T.); #222084=EDGE_CURVE('',#176827,#176827,#70452,.T.); #222085=EDGE_CURVE('',#176828,#176828,#70453,.T.); #222086=EDGE_CURVE('',#176828,#176829,#105009,.T.); #222087=EDGE_CURVE('',#176829,#176829,#70454,.T.); #222088=EDGE_CURVE('',#176830,#176830,#70455,.T.); #222089=EDGE_CURVE('',#176830,#176831,#105010,.T.); #222090=EDGE_CURVE('',#176831,#176831,#70456,.T.); #222091=EDGE_CURVE('',#176832,#176833,#105011,.T.); #222092=EDGE_CURVE('',#176834,#176832,#105012,.T.); #222093=EDGE_CURVE('',#176835,#176834,#105013,.T.); #222094=EDGE_CURVE('',#176835,#176833,#105014,.T.); #222095=EDGE_CURVE('',#176833,#176836,#70457,.T.); #222096=EDGE_CURVE('',#176837,#176835,#70458,.T.); #222097=EDGE_CURVE('',#176837,#176836,#105015,.T.); #222098=EDGE_CURVE('',#176836,#176838,#105016,.T.); #222099=EDGE_CURVE('',#176839,#176837,#105017,.T.); #222100=EDGE_CURVE('',#176839,#176838,#105018,.T.); #222101=EDGE_CURVE('',#176838,#176832,#70459,.T.); #222102=EDGE_CURVE('',#176834,#176839,#70460,.T.); #222103=EDGE_CURVE('',#176840,#176840,#70461,.T.); #222104=EDGE_CURVE('',#176840,#176841,#105019,.T.); #222105=EDGE_CURVE('',#176841,#176841,#70462,.T.); #222106=EDGE_CURVE('',#176842,#176842,#70463,.T.); #222107=EDGE_CURVE('',#176842,#176843,#105020,.T.); #222108=EDGE_CURVE('',#176843,#176843,#70464,.T.); #222109=EDGE_CURVE('',#176844,#176844,#70465,.T.); #222110=EDGE_CURVE('',#176844,#176845,#105021,.T.); #222111=EDGE_CURVE('',#176845,#176845,#70466,.T.); #222112=EDGE_CURVE('',#176846,#176846,#70467,.T.); #222113=EDGE_CURVE('',#176846,#176847,#105022,.T.); #222114=EDGE_CURVE('',#176847,#176847,#70468,.T.); #222115=EDGE_CURVE('',#176848,#176848,#70469,.T.); #222116=EDGE_CURVE('',#176848,#176849,#105023,.T.); #222117=EDGE_CURVE('',#176849,#176849,#70470,.T.); #222118=EDGE_CURVE('',#176850,#176850,#70471,.T.); #222119=EDGE_CURVE('',#176850,#176851,#105024,.T.); #222120=EDGE_CURVE('',#176851,#176851,#70472,.T.); #222121=EDGE_CURVE('',#176852,#176852,#70473,.T.); #222122=EDGE_CURVE('',#176852,#176853,#105025,.T.); #222123=EDGE_CURVE('',#176853,#176853,#70474,.T.); #222124=EDGE_CURVE('',#176854,#176854,#70475,.T.); #222125=EDGE_CURVE('',#176854,#176855,#105026,.T.); #222126=EDGE_CURVE('',#176855,#176855,#70476,.T.); #222127=EDGE_CURVE('',#176856,#176856,#70477,.T.); #222128=EDGE_CURVE('',#176856,#176857,#105027,.T.); #222129=EDGE_CURVE('',#176857,#176857,#70478,.T.); #222130=EDGE_CURVE('',#176858,#176858,#70479,.T.); #222131=EDGE_CURVE('',#176858,#176859,#105028,.T.); #222132=EDGE_CURVE('',#176859,#176859,#70480,.T.); #222133=EDGE_CURVE('',#176860,#176861,#105029,.T.); #222134=EDGE_CURVE('',#176862,#176860,#105030,.T.); #222135=EDGE_CURVE('',#176863,#176862,#105031,.T.); #222136=EDGE_CURVE('',#176863,#176861,#105032,.T.); #222137=EDGE_CURVE('',#176861,#176864,#70481,.T.); #222138=EDGE_CURVE('',#176865,#176863,#70482,.T.); #222139=EDGE_CURVE('',#176865,#176864,#105033,.T.); #222140=EDGE_CURVE('',#176864,#176866,#105034,.T.); #222141=EDGE_CURVE('',#176867,#176865,#105035,.T.); #222142=EDGE_CURVE('',#176867,#176866,#105036,.T.); #222143=EDGE_CURVE('',#176866,#176860,#70483,.T.); #222144=EDGE_CURVE('',#176862,#176867,#70484,.T.); #222145=EDGE_CURVE('',#176868,#176868,#70485,.T.); #222146=EDGE_CURVE('',#176868,#176869,#105037,.T.); #222147=EDGE_CURVE('',#176869,#176869,#70486,.T.); #222148=EDGE_CURVE('',#176870,#176871,#105038,.T.); #222149=EDGE_CURVE('',#176872,#176870,#105039,.T.); #222150=EDGE_CURVE('',#176873,#176872,#105040,.T.); #222151=EDGE_CURVE('',#176873,#176871,#105041,.T.); #222152=EDGE_CURVE('',#176871,#176874,#70487,.T.); #222153=EDGE_CURVE('',#176875,#176873,#70488,.T.); #222154=EDGE_CURVE('',#176875,#176874,#105042,.T.); #222155=EDGE_CURVE('',#176874,#176876,#105043,.T.); #222156=EDGE_CURVE('',#176877,#176875,#105044,.T.); #222157=EDGE_CURVE('',#176877,#176876,#105045,.T.); #222158=EDGE_CURVE('',#176876,#176870,#70489,.T.); #222159=EDGE_CURVE('',#176872,#176877,#70490,.T.); #222160=EDGE_CURVE('',#176878,#176878,#70491,.T.); #222161=EDGE_CURVE('',#176878,#176879,#105046,.T.); #222162=EDGE_CURVE('',#176879,#176879,#70492,.T.); #222163=EDGE_CURVE('',#176880,#176881,#105047,.T.); #222164=EDGE_CURVE('',#176882,#176880,#105048,.T.); #222165=EDGE_CURVE('',#176883,#176882,#105049,.T.); #222166=EDGE_CURVE('',#176883,#176881,#105050,.T.); #222167=EDGE_CURVE('',#176881,#176884,#70493,.T.); #222168=EDGE_CURVE('',#176885,#176883,#70494,.T.); #222169=EDGE_CURVE('',#176885,#176884,#105051,.T.); #222170=EDGE_CURVE('',#176884,#176886,#105052,.T.); #222171=EDGE_CURVE('',#176887,#176885,#105053,.T.); #222172=EDGE_CURVE('',#176887,#176886,#105054,.T.); #222173=EDGE_CURVE('',#176886,#176880,#70495,.T.); #222174=EDGE_CURVE('',#176882,#176887,#70496,.T.); #222175=EDGE_CURVE('',#176888,#176888,#70497,.T.); #222176=EDGE_CURVE('',#176888,#176889,#105055,.T.); #222177=EDGE_CURVE('',#176889,#176889,#70498,.T.); #222178=EDGE_CURVE('',#176890,#176890,#70499,.T.); #222179=EDGE_CURVE('',#176890,#176891,#105056,.T.); #222180=EDGE_CURVE('',#176891,#176891,#70500,.T.); #222181=EDGE_CURVE('',#176892,#176892,#70501,.T.); #222182=EDGE_CURVE('',#176892,#176893,#105057,.T.); #222183=EDGE_CURVE('',#176893,#176893,#70502,.T.); #222184=EDGE_CURVE('',#176894,#176894,#70503,.T.); #222185=EDGE_CURVE('',#176894,#176895,#105058,.T.); #222186=EDGE_CURVE('',#176895,#176895,#70504,.T.); #222187=EDGE_CURVE('',#176896,#176896,#70505,.T.); #222188=EDGE_CURVE('',#176896,#176897,#105059,.T.); #222189=EDGE_CURVE('',#176897,#176897,#70506,.T.); #222190=EDGE_CURVE('',#176898,#176898,#70507,.T.); #222191=EDGE_CURVE('',#176898,#176899,#105060,.T.); #222192=EDGE_CURVE('',#176899,#176899,#70508,.T.); #222193=EDGE_CURVE('',#176900,#176900,#70509,.T.); #222194=EDGE_CURVE('',#176900,#176901,#105061,.T.); #222195=EDGE_CURVE('',#176901,#176901,#70510,.T.); #222196=EDGE_CURVE('',#176902,#176902,#70511,.T.); #222197=EDGE_CURVE('',#176902,#176903,#105062,.T.); #222198=EDGE_CURVE('',#176903,#176903,#70512,.T.); #222199=EDGE_CURVE('',#176904,#176904,#70513,.T.); #222200=EDGE_CURVE('',#176904,#176905,#105063,.T.); #222201=EDGE_CURVE('',#176905,#176905,#70514,.T.); #222202=EDGE_CURVE('',#176906,#176906,#70515,.T.); #222203=EDGE_CURVE('',#176906,#176907,#105064,.T.); #222204=EDGE_CURVE('',#176907,#176907,#70516,.T.); #222205=EDGE_CURVE('',#176908,#176908,#70517,.T.); #222206=EDGE_CURVE('',#176908,#176909,#105065,.T.); #222207=EDGE_CURVE('',#176909,#176909,#70518,.T.); #222208=EDGE_CURVE('',#176910,#176910,#70519,.T.); #222209=EDGE_CURVE('',#176910,#176911,#105066,.T.); #222210=EDGE_CURVE('',#176911,#176911,#70520,.T.); #222211=EDGE_CURVE('',#176912,#176912,#70521,.T.); #222212=EDGE_CURVE('',#176912,#176913,#105067,.T.); #222213=EDGE_CURVE('',#176913,#176913,#70522,.T.); #222214=EDGE_CURVE('',#176914,#176914,#70523,.T.); #222215=EDGE_CURVE('',#176914,#176915,#105068,.T.); #222216=EDGE_CURVE('',#176915,#176915,#70524,.T.); #222217=EDGE_CURVE('',#176916,#176916,#70525,.T.); #222218=EDGE_CURVE('',#176916,#176917,#105069,.T.); #222219=EDGE_CURVE('',#176917,#176917,#70526,.T.); #222220=EDGE_CURVE('',#176918,#176918,#70527,.T.); #222221=EDGE_CURVE('',#176918,#176919,#105070,.T.); #222222=EDGE_CURVE('',#176919,#176919,#70528,.T.); #222223=EDGE_CURVE('',#176920,#176920,#70529,.T.); #222224=EDGE_CURVE('',#176920,#176921,#105071,.T.); #222225=EDGE_CURVE('',#176921,#176921,#70530,.T.); #222226=EDGE_CURVE('',#176922,#176922,#70531,.T.); #222227=EDGE_CURVE('',#176922,#176923,#105072,.T.); #222228=EDGE_CURVE('',#176923,#176923,#70532,.T.); #222229=EDGE_CURVE('',#176924,#176924,#70533,.T.); #222230=EDGE_CURVE('',#176924,#176925,#105073,.T.); #222231=EDGE_CURVE('',#176925,#176925,#70534,.T.); #222232=EDGE_CURVE('',#176926,#176926,#70535,.T.); #222233=EDGE_CURVE('',#176926,#176927,#105074,.T.); #222234=EDGE_CURVE('',#176927,#176927,#70536,.T.); #222235=EDGE_CURVE('',#176928,#176928,#70537,.T.); #222236=EDGE_CURVE('',#176928,#176929,#105075,.T.); #222237=EDGE_CURVE('',#176929,#176929,#70538,.T.); #222238=EDGE_CURVE('',#176930,#176930,#70539,.T.); #222239=EDGE_CURVE('',#176930,#176931,#105076,.T.); #222240=EDGE_CURVE('',#176931,#176931,#70540,.T.); #222241=EDGE_CURVE('',#176932,#176932,#70541,.T.); #222242=EDGE_CURVE('',#176932,#176933,#105077,.T.); #222243=EDGE_CURVE('',#176933,#176933,#70542,.T.); #222244=EDGE_CURVE('',#176934,#176934,#70543,.T.); #222245=EDGE_CURVE('',#176934,#176935,#105078,.T.); #222246=EDGE_CURVE('',#176935,#176935,#70544,.T.); #222247=EDGE_CURVE('',#176936,#176936,#70545,.T.); #222248=EDGE_CURVE('',#176936,#176937,#105079,.T.); #222249=EDGE_CURVE('',#176937,#176937,#70546,.T.); #222250=EDGE_CURVE('',#176938,#176938,#70547,.T.); #222251=EDGE_CURVE('',#176938,#176939,#105080,.T.); #222252=EDGE_CURVE('',#176939,#176939,#70548,.T.); #222253=EDGE_CURVE('',#176940,#176940,#70549,.T.); #222254=EDGE_CURVE('',#176940,#176941,#105081,.T.); #222255=EDGE_CURVE('',#176941,#176941,#70550,.T.); #222256=EDGE_CURVE('',#176942,#176942,#70551,.T.); #222257=EDGE_CURVE('',#176942,#176943,#105082,.T.); #222258=EDGE_CURVE('',#176943,#176943,#70552,.T.); #222259=EDGE_CURVE('',#176944,#176944,#70553,.T.); #222260=EDGE_CURVE('',#176944,#176945,#105083,.T.); #222261=EDGE_CURVE('',#176945,#176945,#70554,.T.); #222262=EDGE_CURVE('',#176946,#176946,#70555,.T.); #222263=EDGE_CURVE('',#176946,#176947,#105084,.T.); #222264=EDGE_CURVE('',#176947,#176947,#70556,.T.); #222265=EDGE_CURVE('',#176948,#176948,#70557,.T.); #222266=EDGE_CURVE('',#176948,#176949,#105085,.T.); #222267=EDGE_CURVE('',#176949,#176949,#70558,.T.); #222268=EDGE_CURVE('',#176950,#176950,#70559,.T.); #222269=EDGE_CURVE('',#176950,#176951,#105086,.T.); #222270=EDGE_CURVE('',#176951,#176951,#70560,.T.); #222271=EDGE_CURVE('',#176952,#176952,#70561,.T.); #222272=EDGE_CURVE('',#176952,#176953,#105087,.T.); #222273=EDGE_CURVE('',#176953,#176953,#70562,.T.); #222274=EDGE_CURVE('',#176954,#176954,#70563,.T.); #222275=EDGE_CURVE('',#176954,#176955,#105088,.T.); #222276=EDGE_CURVE('',#176955,#176955,#70564,.T.); #222277=EDGE_CURVE('',#176956,#176956,#70565,.T.); #222278=EDGE_CURVE('',#176956,#176957,#105089,.T.); #222279=EDGE_CURVE('',#176957,#176957,#70566,.T.); #222280=EDGE_CURVE('',#176958,#176958,#70567,.T.); #222281=EDGE_CURVE('',#176958,#176959,#105090,.T.); #222282=EDGE_CURVE('',#176959,#176959,#70568,.T.); #222283=EDGE_CURVE('',#176960,#176960,#70569,.T.); #222284=EDGE_CURVE('',#176960,#176961,#105091,.T.); #222285=EDGE_CURVE('',#176961,#176961,#70570,.T.); #222286=EDGE_CURVE('',#176962,#176962,#70571,.T.); #222287=EDGE_CURVE('',#176962,#176963,#105092,.T.); #222288=EDGE_CURVE('',#176963,#176963,#70572,.T.); #222289=EDGE_CURVE('',#176964,#176964,#70573,.T.); #222290=EDGE_CURVE('',#176964,#176965,#105093,.T.); #222291=EDGE_CURVE('',#176965,#176965,#70574,.T.); #222292=EDGE_CURVE('',#176966,#176966,#70575,.T.); #222293=EDGE_CURVE('',#176966,#176967,#105094,.T.); #222294=EDGE_CURVE('',#176967,#176967,#70576,.T.); #222295=EDGE_CURVE('',#176968,#176968,#70577,.T.); #222296=EDGE_CURVE('',#176968,#176969,#105095,.T.); #222297=EDGE_CURVE('',#176969,#176969,#70578,.T.); #222298=EDGE_CURVE('',#176970,#176970,#70579,.T.); #222299=EDGE_CURVE('',#176970,#176971,#105096,.T.); #222300=EDGE_CURVE('',#176971,#176971,#70580,.T.); #222301=EDGE_CURVE('',#176972,#176972,#70581,.T.); #222302=EDGE_CURVE('',#176972,#176973,#105097,.T.); #222303=EDGE_CURVE('',#176973,#176973,#70582,.T.); #222304=EDGE_CURVE('',#176974,#176974,#70583,.T.); #222305=EDGE_CURVE('',#176974,#176975,#105098,.T.); #222306=EDGE_CURVE('',#176975,#176975,#70584,.T.); #222307=EDGE_CURVE('',#176976,#176976,#70585,.T.); #222308=EDGE_CURVE('',#176976,#176977,#105099,.T.); #222309=EDGE_CURVE('',#176977,#176977,#70586,.T.); #222310=EDGE_CURVE('',#176978,#176978,#70587,.T.); #222311=EDGE_CURVE('',#176978,#176979,#105100,.T.); #222312=EDGE_CURVE('',#176979,#176979,#70588,.T.); #222313=EDGE_CURVE('',#176980,#176980,#70589,.T.); #222314=EDGE_CURVE('',#176980,#176981,#105101,.T.); #222315=EDGE_CURVE('',#176981,#176981,#70590,.T.); #222316=EDGE_CURVE('',#176982,#176982,#70591,.T.); #222317=EDGE_CURVE('',#176982,#176983,#105102,.T.); #222318=EDGE_CURVE('',#176983,#176983,#70592,.T.); #222319=EDGE_CURVE('',#176984,#176984,#70593,.T.); #222320=EDGE_CURVE('',#176984,#176985,#105103,.T.); #222321=EDGE_CURVE('',#176985,#176985,#70594,.T.); #222322=EDGE_CURVE('',#176986,#176986,#70595,.T.); #222323=EDGE_CURVE('',#176986,#176987,#105104,.T.); #222324=EDGE_CURVE('',#176987,#176987,#70596,.T.); #222325=EDGE_CURVE('',#176988,#176988,#70597,.T.); #222326=EDGE_CURVE('',#176988,#176989,#105105,.T.); #222327=EDGE_CURVE('',#176989,#176989,#70598,.T.); #222328=EDGE_CURVE('',#176990,#176990,#70599,.T.); #222329=EDGE_CURVE('',#176990,#176991,#105106,.T.); #222330=EDGE_CURVE('',#176991,#176991,#70600,.T.); #222331=EDGE_CURVE('',#176992,#176992,#70601,.T.); #222332=EDGE_CURVE('',#176992,#176993,#105107,.T.); #222333=EDGE_CURVE('',#176993,#176993,#70602,.T.); #222334=EDGE_CURVE('',#176994,#176994,#70603,.T.); #222335=EDGE_CURVE('',#176994,#176995,#105108,.T.); #222336=EDGE_CURVE('',#176995,#176995,#70604,.T.); #222337=EDGE_CURVE('',#176996,#176996,#70605,.T.); #222338=EDGE_CURVE('',#176996,#176997,#105109,.T.); #222339=EDGE_CURVE('',#176997,#176997,#70606,.T.); #222340=EDGE_CURVE('',#176998,#176998,#70607,.T.); #222341=EDGE_CURVE('',#176998,#176999,#105110,.T.); #222342=EDGE_CURVE('',#176999,#176999,#70608,.T.); #222343=EDGE_CURVE('',#177000,#177000,#70609,.T.); #222344=EDGE_CURVE('',#177000,#177001,#105111,.T.); #222345=EDGE_CURVE('',#177001,#177001,#70610,.T.); #222346=EDGE_CURVE('',#177002,#177002,#70611,.T.); #222347=EDGE_CURVE('',#177002,#177003,#105112,.T.); #222348=EDGE_CURVE('',#177003,#177003,#70612,.T.); #222349=EDGE_CURVE('',#177004,#177004,#70613,.T.); #222350=EDGE_CURVE('',#177004,#177005,#105113,.T.); #222351=EDGE_CURVE('',#177005,#177005,#70614,.T.); #222352=EDGE_CURVE('',#177006,#177006,#70615,.T.); #222353=EDGE_CURVE('',#177006,#177007,#105114,.T.); #222354=EDGE_CURVE('',#177007,#177007,#70616,.T.); #222355=EDGE_CURVE('',#177008,#177008,#70617,.T.); #222356=EDGE_CURVE('',#177008,#177009,#105115,.T.); #222357=EDGE_CURVE('',#177009,#177009,#70618,.T.); #222358=EDGE_CURVE('',#177010,#177010,#70619,.T.); #222359=EDGE_CURVE('',#177010,#177011,#105116,.T.); #222360=EDGE_CURVE('',#177011,#177011,#70620,.T.); #222361=EDGE_CURVE('',#177012,#177012,#70621,.T.); #222362=EDGE_CURVE('',#177012,#177013,#105117,.T.); #222363=EDGE_CURVE('',#177013,#177013,#70622,.T.); #222364=EDGE_CURVE('',#177014,#177014,#70623,.T.); #222365=EDGE_CURVE('',#177014,#177015,#105118,.T.); #222366=EDGE_CURVE('',#177015,#177015,#70624,.T.); #222367=EDGE_CURVE('',#177016,#177016,#70625,.T.); #222368=EDGE_CURVE('',#177016,#177017,#105119,.T.); #222369=EDGE_CURVE('',#177017,#177017,#70626,.T.); #222370=EDGE_CURVE('',#177018,#177018,#70627,.T.); #222371=EDGE_CURVE('',#177018,#177019,#105120,.T.); #222372=EDGE_CURVE('',#177019,#177019,#70628,.T.); #222373=EDGE_CURVE('',#177020,#177020,#70629,.T.); #222374=EDGE_CURVE('',#177020,#177021,#105121,.T.); #222375=EDGE_CURVE('',#177021,#177021,#70630,.T.); #222376=EDGE_CURVE('',#177022,#177022,#70631,.T.); #222377=EDGE_CURVE('',#177022,#177023,#105122,.T.); #222378=EDGE_CURVE('',#177023,#177023,#70632,.T.); #222379=EDGE_CURVE('',#177024,#177024,#70633,.T.); #222380=EDGE_CURVE('',#177024,#177025,#105123,.T.); #222381=EDGE_CURVE('',#177025,#177025,#70634,.T.); #222382=EDGE_CURVE('',#177026,#177026,#70635,.T.); #222383=EDGE_CURVE('',#177026,#177027,#105124,.T.); #222384=EDGE_CURVE('',#177027,#177027,#70636,.T.); #222385=EDGE_CURVE('',#177028,#177028,#70637,.T.); #222386=EDGE_CURVE('',#177028,#177029,#105125,.T.); #222387=EDGE_CURVE('',#177029,#177029,#70638,.T.); #222388=EDGE_CURVE('',#177030,#177030,#70639,.T.); #222389=EDGE_CURVE('',#177030,#177031,#105126,.T.); #222390=EDGE_CURVE('',#177031,#177031,#70640,.T.); #222391=EDGE_CURVE('',#177032,#177032,#70641,.T.); #222392=EDGE_CURVE('',#177032,#177033,#105127,.T.); #222393=EDGE_CURVE('',#177033,#177033,#70642,.T.); #222394=EDGE_CURVE('',#177034,#177034,#70643,.T.); #222395=EDGE_CURVE('',#177034,#177035,#105128,.T.); #222396=EDGE_CURVE('',#177035,#177035,#70644,.T.); #222397=EDGE_CURVE('',#177036,#177036,#70645,.T.); #222398=EDGE_CURVE('',#177036,#177037,#105129,.T.); #222399=EDGE_CURVE('',#177037,#177037,#70646,.T.); #222400=EDGE_CURVE('',#177038,#177038,#70647,.T.); #222401=EDGE_CURVE('',#177038,#177039,#105130,.T.); #222402=EDGE_CURVE('',#177039,#177039,#70648,.T.); #222403=EDGE_CURVE('',#177040,#177040,#70649,.T.); #222404=EDGE_CURVE('',#177040,#177041,#105131,.T.); #222405=EDGE_CURVE('',#177041,#177041,#70650,.T.); #222406=EDGE_CURVE('',#177042,#177042,#70651,.T.); #222407=EDGE_CURVE('',#177042,#177043,#105132,.T.); #222408=EDGE_CURVE('',#177043,#177043,#70652,.T.); #222409=EDGE_CURVE('',#177044,#177044,#70653,.T.); #222410=EDGE_CURVE('',#177044,#177045,#105133,.T.); #222411=EDGE_CURVE('',#177045,#177045,#70654,.T.); #222412=EDGE_CURVE('',#177046,#177046,#70655,.T.); #222413=EDGE_CURVE('',#177046,#177047,#105134,.T.); #222414=EDGE_CURVE('',#177047,#177047,#70656,.T.); #222415=EDGE_CURVE('',#177048,#177048,#70657,.T.); #222416=EDGE_CURVE('',#177048,#177049,#105135,.T.); #222417=EDGE_CURVE('',#177049,#177049,#70658,.T.); #222418=EDGE_CURVE('',#177050,#177050,#70659,.T.); #222419=EDGE_CURVE('',#177050,#177051,#105136,.T.); #222420=EDGE_CURVE('',#177051,#177051,#70660,.T.); #222421=EDGE_CURVE('',#177052,#177052,#70661,.T.); #222422=EDGE_CURVE('',#177052,#177053,#105137,.T.); #222423=EDGE_CURVE('',#177053,#177053,#70662,.T.); #222424=EDGE_CURVE('',#177054,#177054,#70663,.T.); #222425=EDGE_CURVE('',#177054,#177055,#105138,.T.); #222426=EDGE_CURVE('',#177055,#177055,#70664,.T.); #222427=EDGE_CURVE('',#177056,#177056,#70665,.T.); #222428=EDGE_CURVE('',#177056,#177057,#105139,.T.); #222429=EDGE_CURVE('',#177057,#177057,#70666,.T.); #222430=EDGE_CURVE('',#177058,#177058,#70667,.T.); #222431=EDGE_CURVE('',#177058,#177059,#105140,.T.); #222432=EDGE_CURVE('',#177059,#177059,#70668,.T.); #222433=EDGE_CURVE('',#177060,#177060,#70669,.T.); #222434=EDGE_CURVE('',#177060,#177061,#105141,.T.); #222435=EDGE_CURVE('',#177061,#177061,#70670,.T.); #222436=EDGE_CURVE('',#177062,#177062,#70671,.T.); #222437=EDGE_CURVE('',#177062,#177063,#105142,.T.); #222438=EDGE_CURVE('',#177063,#177063,#70672,.T.); #222439=EDGE_CURVE('',#177064,#177064,#70673,.T.); #222440=EDGE_CURVE('',#177064,#177065,#105143,.T.); #222441=EDGE_CURVE('',#177065,#177065,#70674,.T.); #222442=EDGE_CURVE('',#177066,#177066,#70675,.T.); #222443=EDGE_CURVE('',#177066,#177067,#105144,.T.); #222444=EDGE_CURVE('',#177067,#177067,#70676,.T.); #222445=EDGE_CURVE('',#177068,#177068,#70677,.T.); #222446=EDGE_CURVE('',#177068,#177069,#105145,.T.); #222447=EDGE_CURVE('',#177069,#177069,#70678,.T.); #222448=EDGE_CURVE('',#177070,#177070,#70679,.T.); #222449=EDGE_CURVE('',#177070,#177071,#105146,.T.); #222450=EDGE_CURVE('',#177071,#177071,#70680,.T.); #222451=EDGE_CURVE('',#177072,#177072,#70681,.T.); #222452=EDGE_CURVE('',#177072,#177073,#105147,.T.); #222453=EDGE_CURVE('',#177073,#177073,#70682,.T.); #222454=EDGE_CURVE('',#177074,#177074,#70683,.T.); #222455=EDGE_CURVE('',#177074,#177075,#105148,.T.); #222456=EDGE_CURVE('',#177075,#177075,#70684,.T.); #222457=EDGE_CURVE('',#177076,#177076,#70685,.T.); #222458=EDGE_CURVE('',#177076,#177077,#105149,.T.); #222459=EDGE_CURVE('',#177077,#177077,#70686,.T.); #222460=EDGE_CURVE('',#177078,#177078,#70687,.T.); #222461=EDGE_CURVE('',#177078,#177079,#105150,.T.); #222462=EDGE_CURVE('',#177079,#177079,#70688,.T.); #222463=EDGE_CURVE('',#177080,#177080,#70689,.T.); #222464=EDGE_CURVE('',#177080,#177081,#105151,.T.); #222465=EDGE_CURVE('',#177081,#177081,#70690,.T.); #222466=EDGE_CURVE('',#177082,#177082,#70691,.T.); #222467=EDGE_CURVE('',#177082,#177083,#105152,.T.); #222468=EDGE_CURVE('',#177083,#177083,#70692,.T.); #222469=EDGE_CURVE('',#177084,#177084,#70693,.T.); #222470=EDGE_CURVE('',#177084,#177085,#105153,.T.); #222471=EDGE_CURVE('',#177085,#177085,#70694,.T.); #222472=EDGE_CURVE('',#177086,#177086,#70695,.T.); #222473=EDGE_CURVE('',#177086,#177087,#105154,.T.); #222474=EDGE_CURVE('',#177087,#177087,#70696,.T.); #222475=EDGE_CURVE('',#177088,#177088,#70697,.T.); #222476=EDGE_CURVE('',#177088,#177089,#105155,.T.); #222477=EDGE_CURVE('',#177089,#177089,#70698,.T.); #222478=EDGE_CURVE('',#177090,#177090,#70699,.T.); #222479=EDGE_CURVE('',#177090,#177091,#105156,.T.); #222480=EDGE_CURVE('',#177091,#177091,#70700,.T.); #222481=EDGE_CURVE('',#177092,#177092,#70701,.T.); #222482=EDGE_CURVE('',#177092,#177093,#105157,.T.); #222483=EDGE_CURVE('',#177093,#177093,#70702,.T.); #222484=EDGE_CURVE('',#177094,#177094,#70703,.T.); #222485=EDGE_CURVE('',#177094,#177095,#105158,.T.); #222486=EDGE_CURVE('',#177095,#177095,#70704,.T.); #222487=EDGE_CURVE('',#177096,#177096,#70705,.T.); #222488=EDGE_CURVE('',#177096,#177097,#105159,.T.); #222489=EDGE_CURVE('',#177097,#177097,#70706,.T.); #222490=EDGE_CURVE('',#177098,#177098,#70707,.T.); #222491=EDGE_CURVE('',#177098,#177099,#105160,.T.); #222492=EDGE_CURVE('',#177099,#177099,#70708,.T.); #222493=EDGE_CURVE('',#177100,#177100,#70709,.T.); #222494=EDGE_CURVE('',#177100,#177101,#105161,.T.); #222495=EDGE_CURVE('',#177101,#177101,#70710,.T.); #222496=EDGE_CURVE('',#177102,#177102,#70711,.T.); #222497=EDGE_CURVE('',#177102,#177103,#105162,.T.); #222498=EDGE_CURVE('',#177103,#177103,#70712,.T.); #222499=EDGE_CURVE('',#177104,#177104,#70713,.T.); #222500=EDGE_CURVE('',#177104,#177105,#105163,.T.); #222501=EDGE_CURVE('',#177105,#177105,#70714,.T.); #222502=EDGE_CURVE('',#177106,#177106,#70715,.T.); #222503=EDGE_CURVE('',#177106,#177107,#105164,.T.); #222504=EDGE_CURVE('',#177107,#177107,#70716,.T.); #222505=EDGE_CURVE('',#177108,#177108,#70717,.T.); #222506=EDGE_CURVE('',#177108,#177109,#105165,.T.); #222507=EDGE_CURVE('',#177109,#177109,#70718,.T.); #222508=EDGE_CURVE('',#177110,#177110,#70719,.T.); #222509=EDGE_CURVE('',#177110,#177111,#105166,.T.); #222510=EDGE_CURVE('',#177111,#177111,#70720,.T.); #222511=EDGE_CURVE('',#177112,#177112,#70721,.T.); #222512=EDGE_CURVE('',#177112,#177113,#105167,.T.); #222513=EDGE_CURVE('',#177113,#177113,#70722,.T.); #222514=EDGE_CURVE('',#177114,#177114,#70723,.T.); #222515=EDGE_CURVE('',#177114,#177115,#105168,.T.); #222516=EDGE_CURVE('',#177115,#177115,#70724,.T.); #222517=EDGE_CURVE('',#177116,#177116,#70725,.T.); #222518=EDGE_CURVE('',#177116,#177117,#105169,.T.); #222519=EDGE_CURVE('',#177117,#177117,#70726,.T.); #222520=EDGE_CURVE('',#177118,#177118,#70727,.T.); #222521=EDGE_CURVE('',#177118,#177119,#105170,.T.); #222522=EDGE_CURVE('',#177119,#177119,#70728,.T.); #222523=EDGE_CURVE('',#177120,#177120,#70729,.T.); #222524=EDGE_CURVE('',#177120,#177121,#105171,.T.); #222525=EDGE_CURVE('',#177121,#177121,#70730,.T.); #222526=EDGE_CURVE('',#177122,#177122,#70731,.T.); #222527=EDGE_CURVE('',#177122,#177123,#105172,.T.); #222528=EDGE_CURVE('',#177123,#177123,#70732,.T.); #222529=EDGE_CURVE('',#177124,#177124,#70733,.T.); #222530=EDGE_CURVE('',#177124,#177125,#105173,.T.); #222531=EDGE_CURVE('',#177125,#177125,#70734,.T.); #222532=EDGE_CURVE('',#177126,#177126,#70735,.T.); #222533=EDGE_CURVE('',#177126,#177127,#105174,.T.); #222534=EDGE_CURVE('',#177127,#177127,#70736,.T.); #222535=EDGE_CURVE('',#177128,#177128,#70737,.T.); #222536=EDGE_CURVE('',#177128,#177129,#105175,.T.); #222537=EDGE_CURVE('',#177129,#177129,#70738,.T.); #222538=EDGE_CURVE('',#177130,#177130,#70739,.T.); #222539=EDGE_CURVE('',#177130,#177131,#105176,.T.); #222540=EDGE_CURVE('',#177131,#177131,#70740,.T.); #222541=EDGE_CURVE('',#177132,#177132,#70741,.T.); #222542=EDGE_CURVE('',#177132,#177133,#105177,.T.); #222543=EDGE_CURVE('',#177133,#177133,#70742,.T.); #222544=EDGE_CURVE('',#177134,#177134,#70743,.T.); #222545=EDGE_CURVE('',#177134,#177135,#105178,.T.); #222546=EDGE_CURVE('',#177135,#177135,#70744,.T.); #222547=EDGE_CURVE('',#177136,#177136,#70745,.T.); #222548=EDGE_CURVE('',#177136,#177137,#105179,.T.); #222549=EDGE_CURVE('',#177137,#177137,#70746,.T.); #222550=EDGE_CURVE('',#177138,#177138,#70747,.T.); #222551=EDGE_CURVE('',#177138,#177139,#105180,.T.); #222552=EDGE_CURVE('',#177139,#177139,#70748,.T.); #222553=EDGE_CURVE('',#177140,#177140,#70749,.T.); #222554=EDGE_CURVE('',#177140,#177141,#105181,.T.); #222555=EDGE_CURVE('',#177141,#177141,#70750,.T.); #222556=EDGE_CURVE('',#177142,#177142,#70751,.T.); #222557=EDGE_CURVE('',#177142,#177143,#105182,.T.); #222558=EDGE_CURVE('',#177143,#177143,#70752,.T.); #222559=EDGE_CURVE('',#177144,#177144,#70753,.T.); #222560=EDGE_CURVE('',#177144,#177145,#105183,.T.); #222561=EDGE_CURVE('',#177145,#177145,#70754,.T.); #222562=EDGE_CURVE('',#177146,#177146,#70755,.T.); #222563=EDGE_CURVE('',#177146,#177147,#105184,.T.); #222564=EDGE_CURVE('',#177147,#177147,#70756,.T.); #222565=EDGE_CURVE('',#177148,#177148,#70757,.T.); #222566=EDGE_CURVE('',#177148,#177149,#105185,.T.); #222567=EDGE_CURVE('',#177149,#177149,#70758,.T.); #222568=EDGE_CURVE('',#177150,#177150,#70759,.T.); #222569=EDGE_CURVE('',#177150,#177151,#105186,.T.); #222570=EDGE_CURVE('',#177151,#177151,#70760,.T.); #222571=EDGE_CURVE('',#177152,#177152,#70761,.T.); #222572=EDGE_CURVE('',#177152,#177153,#105187,.T.); #222573=EDGE_CURVE('',#177153,#177153,#70762,.T.); #222574=EDGE_CURVE('',#177154,#177155,#105188,.T.); #222575=EDGE_CURVE('',#177155,#177156,#105189,.T.); #222576=EDGE_CURVE('',#177156,#177157,#105190,.T.); #222577=EDGE_CURVE('',#177157,#177154,#105191,.T.); #222578=EDGE_CURVE('',#177155,#177158,#105192,.T.); #222579=EDGE_CURVE('',#177159,#177158,#105193,.T.); #222580=EDGE_CURVE('',#177156,#177159,#105194,.T.); #222581=EDGE_CURVE('',#177160,#177154,#105195,.T.); #222582=EDGE_CURVE('',#177160,#177161,#105196,.T.); #222583=EDGE_CURVE('',#177161,#177162,#105197,.T.); #222584=EDGE_CURVE('',#177163,#177162,#105198,.T.); #222585=EDGE_CURVE('',#177163,#177164,#105199,.T.); #222586=EDGE_CURVE('',#177164,#177165,#105200,.T.); #222587=EDGE_CURVE('',#177165,#177166,#105201,.T.); #222588=EDGE_CURVE('',#177167,#177166,#105202,.T.); #222589=EDGE_CURVE('',#177167,#177168,#105203,.T.); #222590=EDGE_CURVE('',#177168,#177169,#105204,.T.); #222591=EDGE_CURVE('',#177169,#177170,#105205,.T.); #222592=EDGE_CURVE('',#177171,#177170,#105206,.T.); #222593=EDGE_CURVE('',#177171,#177172,#105207,.T.); #222594=EDGE_CURVE('',#177172,#177173,#105208,.T.); #222595=EDGE_CURVE('',#177173,#177174,#105209,.T.); #222596=EDGE_CURVE('',#177175,#177174,#105210,.T.); #222597=EDGE_CURVE('',#177175,#177176,#105211,.T.); #222598=EDGE_CURVE('',#177176,#177177,#105212,.T.); #222599=EDGE_CURVE('',#177177,#177178,#105213,.T.); #222600=EDGE_CURVE('',#177179,#177178,#105214,.T.); #222601=EDGE_CURVE('',#177180,#177179,#105215,.T.); #222602=EDGE_CURVE('',#177180,#177181,#105216,.T.); #222603=EDGE_CURVE('',#177181,#177182,#105217,.T.); #222604=EDGE_CURVE('',#177158,#177182,#105218,.T.); #222605=EDGE_CURVE('',#177183,#177159,#105219,.T.); #222606=EDGE_CURVE('',#177182,#177183,#105220,.T.); #222607=EDGE_CURVE('',#177183,#177184,#105221,.T.); #222608=EDGE_CURVE('',#177185,#177184,#105222,.T.); #222609=EDGE_CURVE('',#177185,#177157,#105223,.T.); #222610=EDGE_CURVE('',#177186,#177181,#105224,.T.); #222611=EDGE_CURVE('',#177187,#177186,#105225,.T.); #222612=EDGE_CURVE('',#177188,#177187,#105226,.T.); #222613=EDGE_CURVE('',#177189,#177188,#105227,.T.); #222614=EDGE_CURVE('',#177184,#177189,#105228,.T.); #222615=EDGE_CURVE('',#177190,#177160,#105229,.T.); #222616=EDGE_CURVE('',#177190,#177186,#105230,.T.); #222617=EDGE_CURVE('',#177191,#177180,#105231,.T.); #222618=EDGE_CURVE('',#177191,#177192,#105232,.T.); #222619=EDGE_CURVE('',#177192,#177161,#105233,.T.); #222620=EDGE_CURVE('',#177193,#177194,#105234,.T.); #222621=EDGE_CURVE('',#177195,#177193,#105235,.T.); #222622=EDGE_CURVE('',#177195,#177196,#105236,.T.); #222623=EDGE_CURVE('',#177196,#177194,#105237,.T.); #222624=EDGE_CURVE('',#177197,#177198,#105238,.T.); #222625=EDGE_CURVE('',#177199,#177197,#105239,.T.); #222626=EDGE_CURVE('',#177199,#177200,#105240,.T.); #222627=EDGE_CURVE('',#177200,#177198,#105241,.T.); #222628=EDGE_CURVE('',#177201,#177202,#105242,.T.); #222629=EDGE_CURVE('',#177203,#177201,#105243,.T.); #222630=EDGE_CURVE('',#177203,#177204,#105244,.T.); #222631=EDGE_CURVE('',#177204,#177202,#105245,.T.); #222632=EDGE_CURVE('',#177205,#177206,#105246,.T.); #222633=EDGE_CURVE('',#177207,#177205,#105247,.T.); #222634=EDGE_CURVE('',#177207,#177208,#105248,.T.); #222635=EDGE_CURVE('',#177208,#177206,#105249,.T.); #222636=EDGE_CURVE('',#177209,#177210,#105250,.T.); #222637=EDGE_CURVE('',#177165,#177210,#105251,.T.); #222638=EDGE_CURVE('',#177164,#177209,#105252,.T.); #222639=EDGE_CURVE('',#177211,#177212,#105253,.T.); #222640=EDGE_CURVE('',#177211,#177213,#105254,.T.); #222641=EDGE_CURVE('',#177213,#177214,#105255,.T.); #222642=EDGE_CURVE('',#177212,#177214,#105256,.T.); #222643=EDGE_CURVE('',#177215,#177216,#105257,.T.); #222644=EDGE_CURVE('',#177169,#177216,#105258,.T.); #222645=EDGE_CURVE('',#177168,#177215,#105259,.T.); #222646=EDGE_CURVE('',#177217,#177218,#105260,.T.); #222647=EDGE_CURVE('',#177217,#177219,#105261,.T.); #222648=EDGE_CURVE('',#177219,#177220,#105262,.T.); #222649=EDGE_CURVE('',#177218,#177220,#105263,.T.); #222650=EDGE_CURVE('',#177221,#177222,#105264,.T.); #222651=EDGE_CURVE('',#177173,#177222,#105265,.T.); #222652=EDGE_CURVE('',#177172,#177221,#105266,.T.); #222653=EDGE_CURVE('',#177223,#177224,#105267,.T.); #222654=EDGE_CURVE('',#177223,#177225,#105268,.T.); #222655=EDGE_CURVE('',#177225,#177226,#105269,.T.); #222656=EDGE_CURVE('',#177224,#177226,#105270,.T.); #222657=EDGE_CURVE('',#177227,#177228,#105271,.T.); #222658=EDGE_CURVE('',#177227,#177167,#105272,.T.); #222659=EDGE_CURVE('',#177166,#177228,#105273,.T.); #222660=EDGE_CURVE('',#177229,#177230,#105274,.T.); #222661=EDGE_CURVE('',#177229,#177163,#105275,.T.); #222662=EDGE_CURVE('',#177162,#177230,#105276,.T.); #222663=EDGE_CURVE('',#177231,#177232,#105277,.T.); #222664=EDGE_CURVE('',#177177,#177232,#105278,.T.); #222665=EDGE_CURVE('',#177176,#177231,#105279,.T.); #222666=EDGE_CURVE('',#177233,#177234,#105280,.T.); #222667=EDGE_CURVE('',#177233,#177235,#105281,.T.); #222668=EDGE_CURVE('',#177235,#177236,#105282,.T.); #222669=EDGE_CURVE('',#177234,#177236,#105283,.T.); #222670=EDGE_CURVE('',#177174,#177237,#105284,.T.); #222671=EDGE_CURVE('',#177238,#177237,#105285,.T.); #222672=EDGE_CURVE('',#177238,#177175,#105286,.T.); #222673=EDGE_CURVE('',#177239,#177221,#105287,.T.); #222674=EDGE_CURVE('',#177239,#177240,#105288,.T.); #222675=EDGE_CURVE('',#177216,#177240,#105289,.T.); #222676=EDGE_CURVE('',#177227,#177215,#105290,.T.); #222677=EDGE_CURVE('',#177210,#177228,#105291,.T.); #222678=EDGE_CURVE('',#177229,#177209,#105292,.T.); #222679=EDGE_CURVE('',#177230,#177192,#105293,.T.); #222680=EDGE_CURVE('',#177241,#177191,#105294,.T.); #222681=EDGE_CURVE('',#177241,#177242,#105295,.T.); #222682=EDGE_CURVE('',#177232,#177242,#105296,.T.); #222683=EDGE_CURVE('',#177238,#177231,#105297,.T.); #222684=EDGE_CURVE('',#177222,#177237,#105298,.T.); #222685=EDGE_CURVE('',#177178,#177242,#105299,.T.); #222686=EDGE_CURVE('',#177179,#177241,#105300,.T.); #222687=EDGE_CURVE('',#177185,#177243,#105301,.T.); #222688=EDGE_CURVE('',#177243,#177244,#105302,.T.); #222689=EDGE_CURVE('',#177244,#177245,#105303,.T.); #222690=EDGE_CURVE('',#177190,#177245,#105304,.T.); #222691=EDGE_CURVE('',#177245,#177187,#105305,.T.); #222692=EDGE_CURVE('',#177244,#177188,#105306,.T.); #222693=EDGE_CURVE('',#177243,#177189,#105307,.T.); #222694=EDGE_CURVE('',#177246,#177247,#105308,.T.); #222695=EDGE_CURVE('',#177247,#177248,#105309,.T.); #222696=EDGE_CURVE('',#177248,#177249,#105310,.T.); #222697=EDGE_CURVE('',#177249,#177246,#105311,.T.); #222698=EDGE_CURVE('',#177235,#177250,#105312,.T.); #222699=EDGE_CURVE('',#177250,#177251,#105313,.T.); #222700=EDGE_CURVE('',#177236,#177251,#105314,.T.); #222701=EDGE_CURVE('',#177234,#177252,#105315,.T.); #222702=EDGE_CURVE('',#177252,#177253,#105316,.T.); #222703=EDGE_CURVE('',#177233,#177253,#105317,.T.); #222704=EDGE_CURVE('',#177253,#177250,#105318,.T.); #222705=EDGE_CURVE('',#177251,#177252,#105319,.T.); #222706=EDGE_CURVE('',#177254,#177205,#105320,.T.); #222707=EDGE_CURVE('',#177254,#177255,#105321,.T.); #222708=EDGE_CURVE('',#177255,#177207,#105322,.T.); #222709=EDGE_CURVE('',#177256,#177208,#105323,.T.); #222710=EDGE_CURVE('',#177256,#177257,#105324,.T.); #222711=EDGE_CURVE('',#177257,#177206,#105325,.T.); #222712=EDGE_CURVE('',#177257,#177254,#105326,.T.); #222713=EDGE_CURVE('',#177255,#177256,#105327,.T.); #222714=EDGE_CURVE('',#177258,#177259,#105328,.T.); #222715=EDGE_CURVE('',#177259,#177260,#105329,.T.); #222716=EDGE_CURVE('',#177260,#177261,#105330,.T.); #222717=EDGE_CURVE('',#177261,#177258,#105331,.T.); #222718=EDGE_CURVE('',#177250,#177249,#105332,.T.); #222719=EDGE_CURVE('',#177246,#177253,#105333,.T.); #222720=EDGE_CURVE('',#177247,#177252,#105334,.T.); #222721=EDGE_CURVE('',#177251,#177248,#105335,.T.); #222722=EDGE_CURVE('',#177255,#177261,#105336,.T.); #222723=EDGE_CURVE('',#177258,#177254,#105337,.T.); #222724=EDGE_CURVE('',#177259,#177257,#105338,.T.); #222725=EDGE_CURVE('',#177256,#177260,#105339,.T.); #222726=EDGE_CURVE('',#177170,#177240,#105340,.T.); #222727=EDGE_CURVE('',#177239,#177171,#105341,.T.); #222728=EDGE_CURVE('',#177262,#177263,#105342,.T.); #222729=EDGE_CURVE('',#177263,#177264,#105343,.T.); #222730=EDGE_CURVE('',#177264,#177265,#105344,.T.); #222731=EDGE_CURVE('',#177265,#177262,#105345,.T.); #222732=EDGE_CURVE('',#177225,#177266,#105346,.T.); #222733=EDGE_CURVE('',#177266,#177267,#105347,.T.); #222734=EDGE_CURVE('',#177226,#177267,#105348,.T.); #222735=EDGE_CURVE('',#177224,#177268,#105349,.T.); #222736=EDGE_CURVE('',#177268,#177269,#105350,.T.); #222737=EDGE_CURVE('',#177223,#177269,#105351,.T.); #222738=EDGE_CURVE('',#177269,#177266,#105352,.T.); #222739=EDGE_CURVE('',#177267,#177268,#105353,.T.); #222740=EDGE_CURVE('',#177270,#177193,#105354,.T.); #222741=EDGE_CURVE('',#177270,#177271,#105355,.T.); #222742=EDGE_CURVE('',#177271,#177195,#105356,.T.); #222743=EDGE_CURVE('',#177272,#177196,#105357,.T.); #222744=EDGE_CURVE('',#177272,#177273,#105358,.T.); #222745=EDGE_CURVE('',#177273,#177194,#105359,.T.); #222746=EDGE_CURVE('',#177273,#177270,#105360,.T.); #222747=EDGE_CURVE('',#177271,#177272,#105361,.T.); #222748=EDGE_CURVE('',#177274,#177275,#105362,.T.); #222749=EDGE_CURVE('',#177275,#177276,#105363,.T.); #222750=EDGE_CURVE('',#177276,#177277,#105364,.T.); #222751=EDGE_CURVE('',#177277,#177274,#105365,.T.); #222752=EDGE_CURVE('',#177278,#177279,#105366,.T.); #222753=EDGE_CURVE('',#177279,#177280,#105367,.T.); #222754=EDGE_CURVE('',#177280,#177281,#105368,.T.); #222755=EDGE_CURVE('',#177281,#177278,#105369,.T.); #222756=EDGE_CURVE('',#177219,#177282,#105370,.T.); #222757=EDGE_CURVE('',#177282,#177283,#105371,.T.); #222758=EDGE_CURVE('',#177220,#177283,#105372,.T.); #222759=EDGE_CURVE('',#177218,#177284,#105373,.T.); #222760=EDGE_CURVE('',#177284,#177285,#105374,.T.); #222761=EDGE_CURVE('',#177217,#177285,#105375,.T.); #222762=EDGE_CURVE('',#177285,#177282,#105376,.T.); #222763=EDGE_CURVE('',#177283,#177284,#105377,.T.); #222764=EDGE_CURVE('',#177286,#177201,#105378,.T.); #222765=EDGE_CURVE('',#177286,#177287,#105379,.T.); #222766=EDGE_CURVE('',#177287,#177203,#105380,.T.); #222767=EDGE_CURVE('',#177288,#177204,#105381,.T.); #222768=EDGE_CURVE('',#177288,#177289,#105382,.T.); #222769=EDGE_CURVE('',#177289,#177202,#105383,.T.); #222770=EDGE_CURVE('',#177289,#177286,#105384,.T.); #222771=EDGE_CURVE('',#177287,#177288,#105385,.T.); #222772=EDGE_CURVE('',#177290,#177291,#105386,.T.); #222773=EDGE_CURVE('',#177291,#177292,#105387,.T.); #222774=EDGE_CURVE('',#177292,#177293,#105388,.T.); #222775=EDGE_CURVE('',#177293,#177290,#105389,.T.); #222776=EDGE_CURVE('',#177294,#177295,#105390,.T.); #222777=EDGE_CURVE('',#177295,#177296,#105391,.T.); #222778=EDGE_CURVE('',#177296,#177297,#105392,.T.); #222779=EDGE_CURVE('',#177297,#177294,#105393,.T.); #222780=EDGE_CURVE('',#177213,#177298,#105394,.T.); #222781=EDGE_CURVE('',#177298,#177299,#105395,.T.); #222782=EDGE_CURVE('',#177214,#177299,#105396,.T.); #222783=EDGE_CURVE('',#177212,#177300,#105397,.T.); #222784=EDGE_CURVE('',#177300,#177301,#105398,.T.); #222785=EDGE_CURVE('',#177211,#177301,#105399,.T.); #222786=EDGE_CURVE('',#177301,#177298,#105400,.T.); #222787=EDGE_CURVE('',#177299,#177300,#105401,.T.); #222788=EDGE_CURVE('',#177302,#177197,#105402,.T.); #222789=EDGE_CURVE('',#177302,#177303,#105403,.T.); #222790=EDGE_CURVE('',#177303,#177199,#105404,.T.); #222791=EDGE_CURVE('',#177304,#177200,#105405,.T.); #222792=EDGE_CURVE('',#177304,#177305,#105406,.T.); #222793=EDGE_CURVE('',#177305,#177198,#105407,.T.); #222794=EDGE_CURVE('',#177305,#177302,#105408,.T.); #222795=EDGE_CURVE('',#177303,#177304,#105409,.T.); #222796=EDGE_CURVE('',#177306,#177307,#105410,.T.); #222797=EDGE_CURVE('',#177307,#177308,#105411,.T.); #222798=EDGE_CURVE('',#177308,#177309,#105412,.T.); #222799=EDGE_CURVE('',#177309,#177306,#105413,.T.); #222800=EDGE_CURVE('',#177266,#177265,#105414,.T.); #222801=EDGE_CURVE('',#177262,#177269,#105415,.T.); #222802=EDGE_CURVE('',#177263,#177268,#105416,.T.); #222803=EDGE_CURVE('',#177267,#177264,#105417,.T.); #222804=EDGE_CURVE('',#177271,#177277,#105418,.T.); #222805=EDGE_CURVE('',#177274,#177270,#105419,.T.); #222806=EDGE_CURVE('',#177275,#177273,#105420,.T.); #222807=EDGE_CURVE('',#177272,#177276,#105421,.T.); #222808=EDGE_CURVE('',#177282,#177281,#105422,.T.); #222809=EDGE_CURVE('',#177278,#177285,#105423,.T.); #222810=EDGE_CURVE('',#177279,#177284,#105424,.T.); #222811=EDGE_CURVE('',#177283,#177280,#105425,.T.); #222812=EDGE_CURVE('',#177287,#177293,#105426,.T.); #222813=EDGE_CURVE('',#177290,#177286,#105427,.T.); #222814=EDGE_CURVE('',#177291,#177289,#105428,.T.); #222815=EDGE_CURVE('',#177288,#177292,#105429,.T.); #222816=EDGE_CURVE('',#177298,#177297,#105430,.T.); #222817=EDGE_CURVE('',#177294,#177301,#105431,.T.); #222818=EDGE_CURVE('',#177295,#177300,#105432,.T.); #222819=EDGE_CURVE('',#177299,#177296,#105433,.T.); #222820=EDGE_CURVE('',#177303,#177309,#105434,.T.); #222821=EDGE_CURVE('',#177306,#177302,#105435,.T.); #222822=EDGE_CURVE('',#177307,#177305,#105436,.T.); #222823=EDGE_CURVE('',#177304,#177308,#105437,.T.); #222824=EDGE_CURVE('',#177310,#177311,#70763,.F.); #222825=EDGE_CURVE('',#177312,#177310,#70764,.F.); #222826=EDGE_CURVE('',#177311,#177312,#70765,.F.); #222827=EDGE_CURVE('',#177313,#177314,#70766,.F.); #222828=EDGE_CURVE('',#177315,#177313,#70767,.F.); #222829=EDGE_CURVE('',#177314,#177315,#70768,.F.); #222830=EDGE_CURVE('',#177316,#177317,#70769,.F.); #222831=EDGE_CURVE('',#177318,#177316,#70770,.F.); #222832=EDGE_CURVE('',#177317,#177318,#70771,.F.); #222833=EDGE_CURVE('',#177319,#177320,#70772,.F.); #222834=EDGE_CURVE('',#177321,#177319,#70773,.F.); #222835=EDGE_CURVE('',#177320,#177321,#70774,.F.); #222836=EDGE_CURVE('',#177322,#177323,#70775,.F.); #222837=EDGE_CURVE('',#177324,#177322,#70776,.F.); #222838=EDGE_CURVE('',#177323,#177324,#70777,.F.); #222839=EDGE_CURVE('',#177322,#177317,#105438,.T.); #222840=EDGE_CURVE('',#177318,#177324,#105439,.T.); #222841=EDGE_CURVE('',#177325,#177326,#70778,.F.); #222842=EDGE_CURVE('',#177327,#177325,#70779,.F.); #222843=EDGE_CURVE('',#177326,#177327,#70780,.F.); #222844=EDGE_CURVE('',#177328,#177329,#70781,.F.); #222845=EDGE_CURVE('',#177330,#177328,#70782,.F.); #222846=EDGE_CURVE('',#177329,#177330,#70783,.F.); #222847=EDGE_CURVE('',#177316,#177330,#105440,.T.); #222848=EDGE_CURVE('',#177328,#177318,#105441,.T.); #222849=EDGE_CURVE('',#177319,#177329,#105442,.T.); #222850=EDGE_CURVE('',#177330,#177321,#105443,.T.); #222851=EDGE_CURVE('',#177331,#177332,#70784,.F.); #222852=EDGE_CURVE('',#177333,#177331,#70785,.F.); #222853=EDGE_CURVE('',#177332,#177333,#70786,.F.); #222854=EDGE_CURVE('',#177324,#177333,#105444,.T.); #222855=EDGE_CURVE('',#177331,#177323,#105445,.T.); #222856=EDGE_CURVE('',#177329,#177332,#105446,.T.); #222857=EDGE_CURVE('',#177333,#177328,#105447,.T.); #222858=EDGE_CURVE('',#177325,#177331,#105448,.T.); #222859=EDGE_CURVE('',#177332,#177327,#105449,.T.); #222860=EDGE_CURVE('',#177320,#177326,#105450,.T.); #222861=EDGE_CURVE('',#177327,#177319,#105451,.T.); #222862=EDGE_CURVE('',#177326,#177314,#105452,.T.); #222863=EDGE_CURVE('',#177315,#177325,#105453,.T.); #222864=EDGE_CURVE('',#177313,#177322,#105454,.T.); #222865=EDGE_CURVE('',#177323,#177315,#105455,.T.); #222866=EDGE_CURVE('',#177311,#177320,#105456,.T.); #222867=EDGE_CURVE('',#177321,#177310,#105457,.T.); #222868=EDGE_CURVE('',#177310,#177316,#105458,.T.); #222869=EDGE_CURVE('',#177317,#177312,#105459,.T.); #222870=EDGE_CURVE('',#177314,#177311,#105460,.T.); #222871=EDGE_CURVE('',#177312,#177313,#105461,.T.); #222872=EDGE_CURVE('',#177334,#177335,#70787,.F.); #222873=EDGE_CURVE('',#177336,#177334,#70788,.F.); #222874=EDGE_CURVE('',#177335,#177336,#70789,.F.); #222875=EDGE_CURVE('',#177337,#177338,#70790,.F.); #222876=EDGE_CURVE('',#177339,#177337,#70791,.F.); #222877=EDGE_CURVE('',#177338,#177339,#70792,.F.); #222878=EDGE_CURVE('',#177340,#177341,#70793,.F.); #222879=EDGE_CURVE('',#177342,#177340,#70794,.F.); #222880=EDGE_CURVE('',#177341,#177342,#70795,.F.); #222881=EDGE_CURVE('',#177343,#177344,#70796,.F.); #222882=EDGE_CURVE('',#177345,#177343,#70797,.F.); #222883=EDGE_CURVE('',#177344,#177345,#70798,.F.); #222884=EDGE_CURVE('',#177346,#177347,#70799,.F.); #222885=EDGE_CURVE('',#177348,#177346,#70800,.F.); #222886=EDGE_CURVE('',#177347,#177348,#70801,.F.); #222887=EDGE_CURVE('',#177346,#177341,#105462,.T.); #222888=EDGE_CURVE('',#177342,#177348,#105463,.T.); #222889=EDGE_CURVE('',#177349,#177350,#70802,.F.); #222890=EDGE_CURVE('',#177351,#177349,#70803,.F.); #222891=EDGE_CURVE('',#177350,#177351,#70804,.F.); #222892=EDGE_CURVE('',#177352,#177353,#70805,.F.); #222893=EDGE_CURVE('',#177354,#177352,#70806,.F.); #222894=EDGE_CURVE('',#177353,#177354,#70807,.F.); #222895=EDGE_CURVE('',#177340,#177354,#105464,.T.); #222896=EDGE_CURVE('',#177352,#177342,#105465,.T.); #222897=EDGE_CURVE('',#177343,#177353,#105466,.T.); #222898=EDGE_CURVE('',#177354,#177345,#105467,.T.); #222899=EDGE_CURVE('',#177355,#177356,#70808,.F.); #222900=EDGE_CURVE('',#177357,#177355,#70809,.F.); #222901=EDGE_CURVE('',#177356,#177357,#70810,.F.); #222902=EDGE_CURVE('',#177355,#177347,#105468,.T.); #222903=EDGE_CURVE('',#177348,#177357,#105469,.T.); #222904=EDGE_CURVE('',#177353,#177356,#105470,.T.); #222905=EDGE_CURVE('',#177357,#177352,#105471,.T.); #222906=EDGE_CURVE('',#177349,#177355,#105472,.T.); #222907=EDGE_CURVE('',#177356,#177351,#105473,.T.); #222908=EDGE_CURVE('',#177344,#177350,#105474,.T.); #222909=EDGE_CURVE('',#177351,#177343,#105475,.T.); #222910=EDGE_CURVE('',#177350,#177338,#105476,.T.); #222911=EDGE_CURVE('',#177339,#177349,#105477,.T.); #222912=EDGE_CURVE('',#177337,#177346,#105478,.T.); #222913=EDGE_CURVE('',#177347,#177339,#105479,.T.); #222914=EDGE_CURVE('',#177335,#177344,#105480,.T.); #222915=EDGE_CURVE('',#177345,#177334,#105481,.T.); #222916=EDGE_CURVE('',#177334,#177340,#105482,.T.); #222917=EDGE_CURVE('',#177341,#177336,#105483,.T.); #222918=EDGE_CURVE('',#177338,#177335,#105484,.T.); #222919=EDGE_CURVE('',#177336,#177337,#105485,.T.); #222920=EDGE_CURVE('',#177358,#177359,#105486,.T.); #222921=EDGE_CURVE('',#177358,#177360,#105487,.T.); #222922=EDGE_CURVE('',#177361,#177360,#105488,.T.); #222923=EDGE_CURVE('',#177359,#177361,#105489,.T.); #222924=EDGE_CURVE('',#177362,#177359,#105490,.T.); #222925=EDGE_CURVE('',#177363,#177361,#105491,.T.); #222926=EDGE_CURVE('',#177362,#177363,#105492,.T.); #222927=EDGE_CURVE('',#177364,#177362,#105493,.T.); #222928=EDGE_CURVE('',#177365,#177363,#105494,.T.); #222929=EDGE_CURVE('',#177364,#177365,#105495,.T.); #222930=EDGE_CURVE('',#177358,#177364,#105496,.T.); #222931=EDGE_CURVE('',#177360,#177365,#105497,.T.); #222932=EDGE_CURVE('',#177366,#177367,#105498,.T.); #222933=EDGE_CURVE('',#177368,#177366,#105499,.T.); #222934=EDGE_CURVE('',#177369,#177368,#105500,.T.); #222935=EDGE_CURVE('',#177367,#177369,#105501,.T.); #222936=EDGE_CURVE('',#177370,#177371,#105502,.T.); #222937=EDGE_CURVE('',#177371,#177372,#105503,.T.); #222938=EDGE_CURVE('',#177372,#177373,#105504,.T.); #222939=EDGE_CURVE('',#177373,#177370,#105505,.T.); #222940=EDGE_CURVE('',#177370,#177366,#105506,.T.); #222941=EDGE_CURVE('',#177371,#177367,#105507,.T.); #222942=EDGE_CURVE('',#177372,#177369,#105508,.T.); #222943=EDGE_CURVE('',#177373,#177368,#105509,.T.); #222944=EDGE_CURVE('',#177374,#177375,#105510,.T.); #222945=EDGE_CURVE('',#177375,#177376,#105511,.T.); #222946=EDGE_CURVE('',#177377,#177376,#105512,.T.); #222947=EDGE_CURVE('',#177374,#177377,#105513,.T.); #222948=EDGE_CURVE('',#177378,#177374,#105514,.T.); #222949=EDGE_CURVE('',#177379,#177377,#105515,.T.); #222950=EDGE_CURVE('',#177378,#177379,#105516,.T.); #222951=EDGE_CURVE('',#177380,#177378,#105517,.T.); #222952=EDGE_CURVE('',#177381,#177379,#105518,.T.); #222953=EDGE_CURVE('',#177380,#177381,#105519,.T.); #222954=EDGE_CURVE('',#177375,#177380,#105520,.T.); #222955=EDGE_CURVE('',#177376,#177381,#105521,.T.); #222956=EDGE_CURVE('',#177382,#177383,#105522,.T.); #222957=EDGE_CURVE('',#177384,#177382,#105523,.T.); #222958=EDGE_CURVE('',#177385,#177384,#105524,.T.); #222959=EDGE_CURVE('',#177386,#177385,#105525,.T.); #222960=EDGE_CURVE('',#177386,#177387,#105526,.T.); #222961=EDGE_CURVE('',#177387,#177388,#105527,.T.); #222962=EDGE_CURVE('',#177388,#177389,#105528,.T.); #222963=EDGE_CURVE('',#177390,#177389,#105529,.T.); #222964=EDGE_CURVE('',#177390,#177391,#105530,.T.); #222965=EDGE_CURVE('',#177392,#177391,#105531,.T.); #222966=EDGE_CURVE('',#177393,#177392,#105532,.T.); #222967=EDGE_CURVE('',#177393,#177394,#105533,.T.); #222968=EDGE_CURVE('',#177395,#177394,#105534,.T.); #222969=EDGE_CURVE('',#177396,#177395,#105535,.T.); #222970=EDGE_CURVE('',#177397,#177396,#105536,.T.); #222971=EDGE_CURVE('',#177397,#177383,#105537,.T.); #222972=EDGE_CURVE('',#177398,#177399,#105538,.T.); #222973=EDGE_CURVE('',#177400,#177398,#105539,.T.); #222974=EDGE_CURVE('',#177400,#177401,#105540,.T.); #222975=EDGE_CURVE('',#177401,#177399,#105541,.T.); #222976=EDGE_CURVE('',#177402,#177403,#70811,.T.); #222977=EDGE_CURVE('',#177402,#177404,#105542,.T.); #222978=EDGE_CURVE('',#177404,#177405,#70812,.T.); #222979=EDGE_CURVE('',#177406,#177405,#105543,.T.); #222980=EDGE_CURVE('',#177406,#177407,#70813,.F.); #222981=EDGE_CURVE('',#177407,#177408,#105544,.T.); #222982=EDGE_CURVE('',#177409,#177408,#70814,.T.); #222983=EDGE_CURVE('',#177403,#177409,#105545,.F.); #222984=EDGE_CURVE('',#177410,#177411,#70815,.T.); #222985=EDGE_CURVE('',#177412,#177410,#105546,.T.); #222986=EDGE_CURVE('',#177413,#177412,#70816,.T.); #222987=EDGE_CURVE('',#177414,#177413,#105547,.T.); #222988=EDGE_CURVE('',#177414,#177415,#70817,.F.); #222989=EDGE_CURVE('',#177415,#177416,#105548,.T.); #222990=EDGE_CURVE('',#177416,#177417,#70818,.T.); #222991=EDGE_CURVE('',#177411,#177417,#105549,.T.); #222992=EDGE_CURVE('',#177411,#177402,#105550,.T.); #222993=EDGE_CURVE('',#177417,#177404,#105551,.T.); #222994=EDGE_CURVE('',#177408,#177413,#105552,.T.); #222995=EDGE_CURVE('',#177407,#177414,#105553,.T.); #222996=EDGE_CURVE('',#177418,#177419,#105554,.T.); #222997=EDGE_CURVE('',#177418,#177420,#105555,.T.); #222998=EDGE_CURVE('',#177420,#177421,#105556,.T.); #222999=EDGE_CURVE('',#177421,#177422,#105557,.T.); #223000=EDGE_CURVE('',#177422,#177423,#105558,.T.); #223001=EDGE_CURVE('',#177424,#177423,#105559,.T.); #223002=EDGE_CURVE('',#177424,#177425,#105560,.T.); #223003=EDGE_CURVE('',#177425,#177426,#105561,.T.); #223004=EDGE_CURVE('',#177426,#177427,#105562,.T.); #223005=EDGE_CURVE('',#177428,#177427,#105563,.T.); #223006=EDGE_CURVE('',#177429,#177428,#105564,.T.); #223007=EDGE_CURVE('',#177429,#177430,#105565,.T.); #223008=EDGE_CURVE('',#177430,#177431,#105566,.T.); #223009=EDGE_CURVE('',#177432,#177431,#105567,.T.); #223010=EDGE_CURVE('',#177432,#177433,#105568,.T.); #223011=EDGE_CURVE('',#177419,#177433,#105569,.T.); #223012=EDGE_CURVE('',#177433,#177434,#105570,.T.); #223013=EDGE_CURVE('',#177435,#177432,#105571,.T.); #223014=EDGE_CURVE('',#177434,#177435,#105572,.T.); #223015=EDGE_CURVE('',#177436,#177437,#105573,.T.); #223016=EDGE_CURVE('',#177436,#177438,#105574,.T.); #223017=EDGE_CURVE('',#177438,#177439,#105575,.T.); #223018=EDGE_CURVE('',#177439,#177440,#105576,.T.); #223019=EDGE_CURVE('',#177441,#177440,#105577,.T.); #223020=EDGE_CURVE('',#177442,#177441,#105578,.T.); #223021=EDGE_CURVE('',#177443,#177442,#105579,.T.); #223022=EDGE_CURVE('',#177444,#177443,#105580,.T.); #223023=EDGE_CURVE('',#177445,#177444,#105581,.T.); #223024=EDGE_CURVE('',#177446,#177445,#105582,.T.); #223025=EDGE_CURVE('',#177447,#177446,#105583,.T.); #223026=EDGE_CURVE('',#177448,#177447,#105584,.T.); #223027=EDGE_CURVE('',#177449,#177448,#105585,.T.); #223028=EDGE_CURVE('',#177450,#177449,#105586,.T.); #223029=EDGE_CURVE('',#177451,#177450,#105587,.T.); #223030=EDGE_CURVE('',#177452,#177451,#105588,.T.); #223031=EDGE_CURVE('',#177453,#177452,#105589,.T.); #223032=EDGE_CURVE('',#177454,#177453,#105590,.T.); #223033=EDGE_CURVE('',#177437,#177454,#105591,.T.); #223034=EDGE_CURVE('',#177455,#177384,#105592,.T.); #223035=EDGE_CURVE('',#177455,#177456,#70819,.F.); #223036=EDGE_CURVE('',#177456,#177457,#105593,.T.); #223037=EDGE_CURVE('',#177458,#177457,#105594,.T.); #223038=EDGE_CURVE('',#177384,#177458,#105595,.T.); #223039=EDGE_CURVE('',#177459,#177460,#70820,.F.); #223040=EDGE_CURVE('',#177460,#177387,#105596,.T.); #223041=EDGE_CURVE('',#177387,#177461,#105597,.T.); #223042=EDGE_CURVE('',#177462,#177461,#105598,.T.); #223043=EDGE_CURVE('',#177462,#177459,#105599,.T.); #223044=EDGE_CURVE('',#177463,#177464,#70821,.F.); #223045=EDGE_CURVE('',#177463,#177460,#63823,.T.); #223046=EDGE_CURVE('',#177459,#177465,#105600,.T.); #223047=EDGE_CURVE('',#177421,#177465,#70822,.T.); #223048=EDGE_CURVE('',#177466,#177421,#105601,.T.); #223049=EDGE_CURVE('',#177467,#177466,#70823,.T.); #223050=EDGE_CURVE('',#177467,#177464,#63824,.T.); #223051=EDGE_CURVE('',#177468,#177469,#105602,.T.); #223052=EDGE_CURVE('',#177468,#177470,#105603,.T.); #223053=EDGE_CURVE('',#177470,#177471,#105604,.T.); #223054=EDGE_CURVE('',#177471,#177469,#105605,.T.); #223055=EDGE_CURVE('',#177472,#177473,#105606,.T.); #223056=EDGE_CURVE('',#177472,#177474,#105607,.T.); #223057=EDGE_CURVE('',#177474,#177475,#105608,.T.); #223058=EDGE_CURVE('',#177475,#177473,#105609,.T.); #223059=EDGE_CURVE('',#177476,#177477,#105610,.T.); #223060=EDGE_CURVE('',#177476,#177478,#105611,.T.); #223061=EDGE_CURVE('',#177478,#177479,#105612,.T.); #223062=EDGE_CURVE('',#177479,#177477,#105613,.T.); #223063=EDGE_CURVE('',#177480,#177481,#105614,.T.); #223064=EDGE_CURVE('',#177480,#177482,#105615,.T.); #223065=EDGE_CURVE('',#177482,#177483,#105616,.T.); #223066=EDGE_CURVE('',#177483,#177481,#105617,.T.); #223067=EDGE_CURVE('',#177484,#177485,#105618,.T.); #223068=EDGE_CURVE('',#177484,#177486,#105619,.T.); #223069=EDGE_CURVE('',#177486,#177487,#105620,.T.); #223070=EDGE_CURVE('',#177487,#177485,#105621,.T.); #223071=EDGE_CURVE('',#177488,#177489,#105622,.T.); #223072=EDGE_CURVE('',#177488,#177490,#105623,.T.); #223073=EDGE_CURVE('',#177490,#177491,#105624,.T.); #223074=EDGE_CURVE('',#177491,#177489,#105625,.T.); #223075=EDGE_CURVE('',#177492,#177493,#105626,.T.); #223076=EDGE_CURVE('',#177492,#177494,#105627,.T.); #223077=EDGE_CURVE('',#177494,#177495,#105628,.T.); #223078=EDGE_CURVE('',#177495,#177493,#105629,.T.); #223079=EDGE_CURVE('',#177496,#177497,#105630,.T.); #223080=EDGE_CURVE('',#177496,#177498,#105631,.T.); #223081=EDGE_CURVE('',#177498,#177499,#105632,.T.); #223082=EDGE_CURVE('',#177499,#177497,#105633,.T.); #223083=EDGE_CURVE('',#177500,#177501,#105634,.T.); #223084=EDGE_CURVE('',#177500,#177502,#105635,.T.); #223085=EDGE_CURVE('',#177502,#177503,#105636,.T.); #223086=EDGE_CURVE('',#177503,#177501,#105637,.T.); #223087=EDGE_CURVE('',#177504,#177505,#105638,.T.); #223088=EDGE_CURVE('',#177504,#177506,#105639,.T.); #223089=EDGE_CURVE('',#177506,#177507,#105640,.T.); #223090=EDGE_CURVE('',#177507,#177505,#105641,.T.); #223091=EDGE_CURVE('',#177508,#177509,#105642,.T.); #223092=EDGE_CURVE('',#177508,#177510,#105643,.T.); #223093=EDGE_CURVE('',#177510,#177511,#105644,.T.); #223094=EDGE_CURVE('',#177511,#177509,#105645,.T.); #223095=EDGE_CURVE('',#177512,#177513,#105646,.T.); #223096=EDGE_CURVE('',#177512,#177514,#105647,.T.); #223097=EDGE_CURVE('',#177514,#177515,#105648,.T.); #223098=EDGE_CURVE('',#177515,#177513,#105649,.T.); #223099=EDGE_CURVE('',#177514,#177516,#105650,.T.); #223100=EDGE_CURVE('',#177516,#177517,#105651,.T.); #223101=EDGE_CURVE('',#177515,#177517,#105652,.T.); #223102=EDGE_CURVE('',#177516,#177518,#105653,.T.); #223103=EDGE_CURVE('',#177518,#177519,#105654,.T.); #223104=EDGE_CURVE('',#177517,#177519,#105655,.T.); #223105=EDGE_CURVE('',#177520,#177521,#105656,.T.); #223106=EDGE_CURVE('',#177520,#177522,#105657,.T.); #223107=EDGE_CURVE('',#177522,#177523,#105658,.T.); #223108=EDGE_CURVE('',#177521,#177523,#105659,.T.); #223109=EDGE_CURVE('',#177522,#177508,#105660,.T.); #223110=EDGE_CURVE('',#177523,#177509,#105661,.T.); #223111=EDGE_CURVE('',#177510,#177524,#105662,.T.); #223112=EDGE_CURVE('',#177524,#177525,#105663,.T.); #223113=EDGE_CURVE('',#177511,#177525,#105664,.T.); #223114=EDGE_CURVE('',#177524,#177526,#105665,.T.); #223115=EDGE_CURVE('',#177526,#177527,#105666,.T.); #223116=EDGE_CURVE('',#177525,#177527,#105667,.T.); #223117=EDGE_CURVE('',#177528,#177529,#105668,.T.); #223118=EDGE_CURVE('',#177528,#177530,#105669,.T.); #223119=EDGE_CURVE('',#177530,#177531,#105670,.T.); #223120=EDGE_CURVE('',#177529,#177531,#105671,.T.); #223121=EDGE_CURVE('',#177530,#177504,#105672,.T.); #223122=EDGE_CURVE('',#177531,#177505,#105673,.T.); #223123=EDGE_CURVE('',#177506,#177532,#105674,.T.); #223124=EDGE_CURVE('',#177532,#177533,#105675,.T.); #223125=EDGE_CURVE('',#177507,#177533,#105676,.T.); #223126=EDGE_CURVE('',#177532,#177534,#105677,.T.); #223127=EDGE_CURVE('',#177534,#177535,#105678,.T.); #223128=EDGE_CURVE('',#177533,#177535,#105679,.T.); #223129=EDGE_CURVE('',#177536,#177537,#105680,.T.); #223130=EDGE_CURVE('',#177536,#177538,#105681,.T.); #223131=EDGE_CURVE('',#177538,#177539,#105682,.T.); #223132=EDGE_CURVE('',#177537,#177539,#105683,.T.); #223133=EDGE_CURVE('',#177538,#177500,#105684,.T.); #223134=EDGE_CURVE('',#177539,#177501,#105685,.T.); #223135=EDGE_CURVE('',#177502,#177540,#105686,.T.); #223136=EDGE_CURVE('',#177540,#177541,#105687,.T.); #223137=EDGE_CURVE('',#177503,#177541,#105688,.T.); #223138=EDGE_CURVE('',#177540,#177542,#105689,.T.); #223139=EDGE_CURVE('',#177542,#177543,#105690,.T.); #223140=EDGE_CURVE('',#177541,#177543,#105691,.T.); #223141=EDGE_CURVE('',#177544,#177545,#105692,.T.); #223142=EDGE_CURVE('',#177544,#177546,#105693,.T.); #223143=EDGE_CURVE('',#177546,#177547,#105694,.T.); #223144=EDGE_CURVE('',#177545,#177547,#105695,.T.); #223145=EDGE_CURVE('',#177546,#177496,#105696,.T.); #223146=EDGE_CURVE('',#177547,#177497,#105697,.T.); #223147=EDGE_CURVE('',#177498,#177548,#105698,.T.); #223148=EDGE_CURVE('',#177548,#177549,#105699,.T.); #223149=EDGE_CURVE('',#177499,#177549,#105700,.T.); #223150=EDGE_CURVE('',#177548,#177550,#105701,.T.); #223151=EDGE_CURVE('',#177550,#177551,#105702,.T.); #223152=EDGE_CURVE('',#177549,#177551,#105703,.T.); #223153=EDGE_CURVE('',#177552,#177553,#105704,.T.); #223154=EDGE_CURVE('',#177552,#177554,#105705,.T.); #223155=EDGE_CURVE('',#177554,#177555,#105706,.T.); #223156=EDGE_CURVE('',#177553,#177555,#105707,.T.); #223157=EDGE_CURVE('',#177554,#177492,#105708,.T.); #223158=EDGE_CURVE('',#177555,#177493,#105709,.T.); #223159=EDGE_CURVE('',#177494,#177556,#105710,.T.); #223160=EDGE_CURVE('',#177556,#177557,#105711,.T.); #223161=EDGE_CURVE('',#177495,#177557,#105712,.T.); #223162=EDGE_CURVE('',#177556,#177558,#105713,.T.); #223163=EDGE_CURVE('',#177558,#177559,#105714,.T.); #223164=EDGE_CURVE('',#177557,#177559,#105715,.T.); #223165=EDGE_CURVE('',#177560,#177561,#105716,.T.); #223166=EDGE_CURVE('',#177560,#177562,#105717,.T.); #223167=EDGE_CURVE('',#177562,#177563,#105718,.T.); #223168=EDGE_CURVE('',#177561,#177563,#105719,.T.); #223169=EDGE_CURVE('',#177562,#177468,#105720,.T.); #223170=EDGE_CURVE('',#177563,#177469,#105721,.T.); #223171=EDGE_CURVE('',#177490,#177564,#105722,.T.); #223172=EDGE_CURVE('',#177564,#177565,#105723,.T.); #223173=EDGE_CURVE('',#177491,#177565,#105724,.T.); #223174=EDGE_CURVE('',#177564,#177566,#105725,.T.); #223175=EDGE_CURVE('',#177566,#177567,#105726,.T.); #223176=EDGE_CURVE('',#177565,#177567,#105727,.T.); #223177=EDGE_CURVE('',#177488,#177568,#105728,.T.); #223178=EDGE_CURVE('',#177489,#177569,#105729,.T.); #223179=EDGE_CURVE('',#177568,#177569,#105730,.T.); #223180=EDGE_CURVE('',#177568,#177570,#105731,.T.); #223181=EDGE_CURVE('',#177569,#177571,#105732,.T.); #223182=EDGE_CURVE('',#177570,#177571,#105733,.T.); #223183=EDGE_CURVE('',#177572,#177573,#105734,.T.); #223184=EDGE_CURVE('',#177572,#177574,#105735,.T.); #223185=EDGE_CURVE('',#177574,#177575,#105736,.T.); #223186=EDGE_CURVE('',#177573,#177575,#105737,.T.); #223187=EDGE_CURVE('',#177573,#177486,#105738,.T.); #223188=EDGE_CURVE('',#177575,#177487,#105739,.T.); #223189=EDGE_CURVE('',#177484,#177576,#105740,.T.); #223190=EDGE_CURVE('',#177485,#177577,#105741,.T.); #223191=EDGE_CURVE('',#177576,#177577,#105742,.T.); #223192=EDGE_CURVE('',#177576,#177578,#105743,.T.); #223193=EDGE_CURVE('',#177577,#177579,#105744,.T.); #223194=EDGE_CURVE('',#177578,#177579,#105745,.T.); #223195=EDGE_CURVE('',#177580,#177581,#105746,.T.); #223196=EDGE_CURVE('',#177580,#177582,#105747,.T.); #223197=EDGE_CURVE('',#177582,#177583,#105748,.T.); #223198=EDGE_CURVE('',#177581,#177583,#105749,.T.); #223199=EDGE_CURVE('',#177581,#177482,#105750,.T.); #223200=EDGE_CURVE('',#177583,#177483,#105751,.T.); #223201=EDGE_CURVE('',#177480,#177584,#105752,.T.); #223202=EDGE_CURVE('',#177481,#177585,#105753,.T.); #223203=EDGE_CURVE('',#177584,#177585,#105754,.T.); #223204=EDGE_CURVE('',#177584,#177586,#105755,.T.); #223205=EDGE_CURVE('',#177585,#177587,#105756,.T.); #223206=EDGE_CURVE('',#177586,#177587,#105757,.T.); #223207=EDGE_CURVE('',#177588,#177589,#105758,.T.); #223208=EDGE_CURVE('',#177588,#177590,#105759,.T.); #223209=EDGE_CURVE('',#177590,#177591,#105760,.T.); #223210=EDGE_CURVE('',#177589,#177591,#105761,.T.); #223211=EDGE_CURVE('',#177589,#177478,#105762,.T.); #223212=EDGE_CURVE('',#177591,#177479,#105763,.T.); #223213=EDGE_CURVE('',#177476,#177592,#105764,.T.); #223214=EDGE_CURVE('',#177477,#177593,#105765,.T.); #223215=EDGE_CURVE('',#177592,#177593,#105766,.T.); #223216=EDGE_CURVE('',#177592,#177594,#105767,.T.); #223217=EDGE_CURVE('',#177593,#177595,#105768,.T.); #223218=EDGE_CURVE('',#177594,#177595,#105769,.T.); #223219=EDGE_CURVE('',#177596,#177597,#105770,.T.); #223220=EDGE_CURVE('',#177596,#177598,#105771,.T.); #223221=EDGE_CURVE('',#177598,#177599,#105772,.T.); #223222=EDGE_CURVE('',#177597,#177599,#105773,.T.); #223223=EDGE_CURVE('',#177597,#177474,#105774,.T.); #223224=EDGE_CURVE('',#177599,#177475,#105775,.T.); #223225=EDGE_CURVE('',#177472,#177600,#105776,.T.); #223226=EDGE_CURVE('',#177473,#177601,#105777,.T.); #223227=EDGE_CURVE('',#177600,#177601,#105778,.T.); #223228=EDGE_CURVE('',#177600,#177602,#105779,.T.); #223229=EDGE_CURVE('',#177601,#177603,#105780,.T.); #223230=EDGE_CURVE('',#177602,#177603,#105781,.T.); #223231=EDGE_CURVE('',#177604,#177605,#105782,.T.); #223232=EDGE_CURVE('',#177604,#177606,#105783,.T.); #223233=EDGE_CURVE('',#177606,#177607,#105784,.T.); #223234=EDGE_CURVE('',#177605,#177607,#105785,.T.); #223235=EDGE_CURVE('',#177605,#177470,#105786,.T.); #223236=EDGE_CURVE('',#177607,#177471,#105787,.T.); #223237=EDGE_CURVE('',#177512,#177608,#105788,.T.); #223238=EDGE_CURVE('',#177513,#177609,#105789,.T.); #223239=EDGE_CURVE('',#177608,#177609,#105790,.T.); #223240=EDGE_CURVE('',#177608,#177610,#105791,.T.); #223241=EDGE_CURVE('',#177609,#177611,#105792,.T.); #223242=EDGE_CURVE('',#177610,#177611,#105793,.T.); #223243=EDGE_CURVE('',#177612,#177613,#105794,.T.); #223244=EDGE_CURVE('',#177613,#177614,#105795,.T.); #223245=EDGE_CURVE('',#177614,#177385,#105796,.T.); #223246=EDGE_CURVE('',#177458,#177612,#105797,.T.); #223247=EDGE_CURVE('',#177613,#177615,#105798,.T.); #223248=EDGE_CURVE('',#177616,#177612,#105799,.T.); #223249=EDGE_CURVE('',#177616,#177617,#105800,.T.); #223250=EDGE_CURVE('',#177617,#177618,#105801,.T.); #223251=EDGE_CURVE('',#177618,#177615,#105802,.T.); #223252=EDGE_CURVE('',#177615,#177619,#105803,.T.); #223253=EDGE_CURVE('',#177461,#177618,#105804,.T.); #223254=EDGE_CURVE('',#177619,#177386,#105805,.T.); #223255=EDGE_CURVE('',#177519,#177521,#105806,.T.); #223256=EDGE_CURVE('',#177527,#177529,#105807,.T.); #223257=EDGE_CURVE('',#177535,#177537,#105808,.T.); #223258=EDGE_CURVE('',#177543,#177545,#105809,.T.); #223259=EDGE_CURVE('',#177551,#177553,#105810,.T.); #223260=EDGE_CURVE('',#177559,#177561,#105811,.T.); #223261=EDGE_CURVE('',#177603,#177606,#105812,.T.); #223262=EDGE_CURVE('',#177595,#177598,#105813,.T.); #223263=EDGE_CURVE('',#177587,#177590,#105814,.T.); #223264=EDGE_CURVE('',#177579,#177582,#105815,.T.); #223265=EDGE_CURVE('',#177571,#177574,#105816,.T.); #223266=EDGE_CURVE('',#177567,#177619,#105817,.T.); #223267=EDGE_CURVE('',#177611,#177614,#105818,.T.); #223268=EDGE_CURVE('',#177618,#177620,#105819,.T.); #223269=EDGE_CURVE('',#177621,#177620,#105820,.T.); #223270=EDGE_CURVE('',#177622,#177621,#105821,.T.); #223271=EDGE_CURVE('',#177623,#177622,#105822,.T.); #223272=EDGE_CURVE('',#177623,#177462,#105823,.T.); #223273=EDGE_CURVE('',#177457,#177624,#105824,.T.); #223274=EDGE_CURVE('',#177624,#177625,#105825,.T.); #223275=EDGE_CURVE('',#177625,#177626,#105826,.T.); #223276=EDGE_CURVE('',#177627,#177626,#105827,.T.); #223277=EDGE_CURVE('',#177627,#177612,#105828,.T.); #223278=EDGE_CURVE('',#177628,#177629,#105829,.T.); #223279=EDGE_CURVE('',#177628,#177630,#70824,.F.); #223280=EDGE_CURVE('',#177630,#177631,#105830,.T.); #223281=EDGE_CURVE('',#177631,#177629,#70825,.F.); #223282=EDGE_CURVE('',#177632,#177630,#105831,.T.); #223283=EDGE_CURVE('',#177633,#177632,#105832,.T.); #223284=EDGE_CURVE('',#177633,#177631,#105833,.T.); #223285=EDGE_CURVE('',#177634,#177635,#105834,.T.); #223286=EDGE_CURVE('',#177636,#177635,#105835,.T.); #223287=EDGE_CURVE('',#177636,#177637,#105836,.T.); #223288=EDGE_CURVE('',#177637,#177634,#105837,.T.); #223289=EDGE_CURVE('',#177638,#177639,#105838,.T.); #223290=EDGE_CURVE('',#177640,#177638,#105839,.T.); #223291=EDGE_CURVE('',#177641,#177640,#105840,.T.); #223292=EDGE_CURVE('',#177641,#177642,#105841,.T.); #223293=EDGE_CURVE('',#177642,#177643,#105842,.T.); #223294=EDGE_CURVE('',#177643,#177644,#105843,.T.); #223295=EDGE_CURVE('',#177645,#177644,#105844,.T.); #223296=EDGE_CURVE('',#177646,#177645,#105845,.T.); #223297=EDGE_CURVE('',#177646,#177647,#105846,.T.); #223298=EDGE_CURVE('',#177647,#177648,#105847,.T.); #223299=EDGE_CURVE('',#177648,#177649,#105848,.T.); #223300=EDGE_CURVE('',#177649,#177650,#105849,.T.); #223301=EDGE_CURVE('',#177651,#177650,#105850,.T.); #223302=EDGE_CURVE('',#177639,#177651,#105851,.T.); #223303=EDGE_CURVE('',#177652,#177653,#105852,.T.); #223304=EDGE_CURVE('',#177652,#177654,#105853,.T.); #223305=EDGE_CURVE('',#177654,#177655,#105854,.T.); #223306=EDGE_CURVE('',#177653,#177655,#105855,.T.); #223307=EDGE_CURVE('',#177656,#177633,#105856,.F.); #223308=EDGE_CURVE('',#177657,#177656,#105857,.T.); #223309=EDGE_CURVE('',#177657,#177631,#105858,.T.); #223310=EDGE_CURVE('',#177658,#177659,#105859,.T.); #223311=EDGE_CURVE('',#177658,#177660,#105860,.T.); #223312=EDGE_CURVE('',#177660,#177661,#105861,.T.); #223313=EDGE_CURVE('',#177661,#177662,#70826,.T.); #223314=EDGE_CURVE('',#177662,#177663,#105862,.T.); #223315=EDGE_CURVE('',#177663,#177664,#70827,.T.); #223316=EDGE_CURVE('',#177664,#177665,#105863,.T.); #223317=EDGE_CURVE('',#177666,#177665,#105864,.T.); #223318=EDGE_CURVE('',#177667,#177666,#105865,.T.); #223319=EDGE_CURVE('',#177399,#177667,#70828,.T.); #223320=EDGE_CURVE('',#177659,#177401,#70829,.T.); #223321=EDGE_CURVE('',#177660,#177634,#105866,.F.); #223322=EDGE_CURVE('',#177661,#177637,#105867,.T.); #223323=EDGE_CURVE('',#177650,#177654,#105868,.F.); #223324=EDGE_CURVE('',#177649,#177655,#105869,.F.); #223325=EDGE_CURVE('',#177668,#177656,#105870,.T.); #223326=EDGE_CURVE('',#177405,#177668,#105871,.T.); #223327=EDGE_CURVE('',#177669,#177416,#105872,.T.); #223328=EDGE_CURVE('',#177669,#177670,#105873,.T.); #223329=EDGE_CURVE('',#177670,#177671,#105874,.T.); #223330=EDGE_CURVE('',#177671,#177672,#70830,.T.); #223331=EDGE_CURVE('',#177672,#177673,#105875,.T.); #223332=EDGE_CURVE('',#177673,#177657,#70831,.T.); #223333=EDGE_CURVE('',#177674,#177675,#105876,.T.); #223334=EDGE_CURVE('',#177675,#177676,#105877,.T.); #223335=EDGE_CURVE('',#177677,#177676,#105878,.T.); #223336=EDGE_CURVE('',#177674,#177677,#105879,.T.); #223337=EDGE_CURVE('',#177670,#177423,#105880,.F.); #223338=EDGE_CURVE('',#177422,#177671,#105881,.T.); #223339=EDGE_CURVE('',#177426,#177675,#105882,.F.); #223340=EDGE_CURVE('',#177427,#177674,#105883,.F.); #223341=EDGE_CURVE('',#177665,#177430,#105884,.F.); #223342=EDGE_CURVE('',#177431,#177664,#105885,.T.); #223343=EDGE_CURVE('',#177678,#177636,#70832,.F.); #223344=EDGE_CURVE('',#177678,#177679,#105886,.T.); #223345=EDGE_CURVE('',#177679,#177637,#70833,.F.); #223346=EDGE_CURVE('',#177624,#177680,#105887,.T.); #223347=EDGE_CURVE('',#177680,#177681,#105888,.T.); #223348=EDGE_CURVE('',#177681,#177679,#105889,.T.); #223349=EDGE_CURVE('',#177678,#177625,#105890,.T.); #223350=EDGE_CURVE('',#177680,#177432,#70834,.T.); #223351=EDGE_CURVE('',#177431,#177681,#70835,.F.); #223352=EDGE_CURVE('',#177465,#177682,#105891,.T.); #223353=EDGE_CURVE('',#177682,#177422,#70836,.F.); #223354=EDGE_CURVE('',#177465,#177623,#105892,.T.); #223355=EDGE_CURVE('',#177628,#177622,#105893,.T.); #223356=EDGE_CURVE('',#177629,#177682,#105894,.T.); #223357=EDGE_CURVE('',#177683,#177659,#105895,.T.); #223358=EDGE_CURVE('',#177683,#177406,#105896,.T.); #223359=EDGE_CURVE('',#177668,#177684,#105897,.T.); #223360=EDGE_CURVE('',#177684,#177685,#105898,.T.); #223361=EDGE_CURVE('',#177685,#177686,#105899,.T.); #223362=EDGE_CURVE('',#177686,#177652,#105900,.T.); #223363=EDGE_CURVE('',#177687,#177653,#105901,.T.); #223364=EDGE_CURVE('',#177688,#177687,#105902,.T.); #223365=EDGE_CURVE('',#177689,#177688,#105903,.T.); #223366=EDGE_CURVE('',#177658,#177689,#105904,.T.); #223367=EDGE_CURVE('',#177400,#177690,#70837,.T.); #223368=EDGE_CURVE('',#177690,#177691,#105905,.F.); #223369=EDGE_CURVE('',#177691,#177692,#70838,.T.); #223370=EDGE_CURVE('',#177693,#177692,#105906,.T.); #223371=EDGE_CURVE('',#177693,#177683,#70839,.F.); #223372=EDGE_CURVE('',#177692,#177694,#105907,.T.); #223373=EDGE_CURVE('',#177695,#177694,#105908,.T.); #223374=EDGE_CURVE('',#177695,#177693,#105909,.T.); #223375=EDGE_CURVE('',#177696,#177697,#105910,.T.); #223376=EDGE_CURVE('',#177697,#177398,#70840,.T.); #223377=EDGE_CURVE('',#177698,#177667,#105911,.T.); #223378=EDGE_CURVE('',#177698,#177695,#70841,.F.); #223379=EDGE_CURVE('',#177694,#177696,#70842,.T.); #223380=EDGE_CURVE('',#177415,#177698,#105912,.T.); #223381=EDGE_CURVE('',#177699,#177666,#105913,.T.); #223382=EDGE_CURVE('',#177700,#177699,#105914,.T.); #223383=EDGE_CURVE('',#177700,#177677,#105915,.T.); #223384=EDGE_CURVE('',#177701,#177676,#105916,.T.); #223385=EDGE_CURVE('',#177701,#177702,#105917,.T.); #223386=EDGE_CURVE('',#177702,#177669,#105918,.T.); #223387=EDGE_CURVE('',#177703,#177704,#105919,.T.); #223388=EDGE_CURVE('',#177704,#177705,#70843,.T.); #223389=EDGE_CURVE('',#177705,#177706,#105920,.T.); #223390=EDGE_CURVE('',#177706,#177707,#70844,.T.); #223391=EDGE_CURVE('',#177707,#177708,#105921,.T.); #223392=EDGE_CURVE('',#177708,#177709,#70845,.T.); #223393=EDGE_CURVE('',#177709,#177710,#105922,.T.); #223394=EDGE_CURVE('',#177710,#177703,#70846,.T.); #223395=EDGE_CURVE('',#177711,#177712,#105923,.T.); #223396=EDGE_CURVE('',#177713,#177711,#105924,.T.); #223397=EDGE_CURVE('',#177713,#177714,#105925,.T.); #223398=EDGE_CURVE('',#177714,#177712,#105926,.T.); #223399=EDGE_CURVE('',#177715,#177711,#105927,.T.); #223400=EDGE_CURVE('',#177716,#177715,#105928,.T.); #223401=EDGE_CURVE('',#177716,#177713,#105929,.T.); #223402=EDGE_CURVE('',#177712,#177717,#105930,.T.); #223403=EDGE_CURVE('',#177714,#177718,#105931,.T.); #223404=EDGE_CURVE('',#177718,#177717,#105932,.T.); #223405=EDGE_CURVE('',#177717,#177719,#70847,.T.); #223406=EDGE_CURVE('',#177718,#177720,#70848,.T.); #223407=EDGE_CURVE('',#177720,#177719,#105933,.T.); #223408=EDGE_CURVE('',#177719,#177721,#105934,.T.); #223409=EDGE_CURVE('',#177720,#177722,#105935,.T.); #223410=EDGE_CURVE('',#177722,#177721,#105936,.T.); #223411=EDGE_CURVE('',#177722,#177723,#105937,.T.); #223412=EDGE_CURVE('',#177723,#177724,#105938,.T.); #223413=EDGE_CURVE('',#177721,#177724,#105939,.T.); #223414=EDGE_CURVE('',#177725,#177726,#105940,.T.); #223415=EDGE_CURVE('',#177726,#177727,#105941,.F.); #223416=EDGE_CURVE('',#177727,#177728,#105942,.T.); #223417=EDGE_CURVE('',#177728,#177725,#105943,.T.); #223418=EDGE_CURVE('',#177729,#177730,#105944,.T.); #223419=EDGE_CURVE('',#177729,#177731,#105945,.F.); #223420=EDGE_CURVE('',#177731,#177732,#105946,.T.); #223421=EDGE_CURVE('',#177732,#177730,#105947,.T.); #223422=EDGE_CURVE('',#177730,#177733,#105948,.T.); #223423=EDGE_CURVE('',#177732,#177734,#105949,.T.); #223424=EDGE_CURVE('',#177734,#177733,#105950,.T.); #223425=EDGE_CURVE('',#177733,#177715,#70849,.T.); #223426=EDGE_CURVE('',#177734,#177716,#70850,.T.); #223427=EDGE_CURVE('',#177735,#177731,#105951,.T.); #223428=EDGE_CURVE('',#177735,#177736,#105952,.T.); #223429=EDGE_CURVE('',#177723,#177736,#105953,.T.); #223430=EDGE_CURVE('',#177724,#177737,#105954,.T.); #223431=EDGE_CURVE('',#177737,#177738,#105955,.T.); #223432=EDGE_CURVE('',#177738,#177729,#105956,.T.); #223433=EDGE_CURVE('',#177407,#177708,#105957,.T.); #223434=EDGE_CURVE('',#177709,#177406,#105958,.T.); #223435=EDGE_CURVE('',#177710,#177683,#105959,.T.); #223436=EDGE_CURVE('',#177414,#177707,#105960,.T.); #223437=EDGE_CURVE('',#177703,#177693,#105961,.T.); #223438=EDGE_CURVE('',#177415,#177706,#105962,.T.); #223439=EDGE_CURVE('',#177704,#177695,#105963,.T.); #223440=EDGE_CURVE('',#177698,#177705,#105964,.T.); #223441=EDGE_CURVE('',#177629,#177673,#105965,.T.); #223442=EDGE_CURVE('',#177682,#177672,#105966,.T.); #223443=EDGE_CURVE('',#177662,#177679,#105967,.T.); #223444=EDGE_CURVE('',#177663,#177681,#105968,.T.); #223445=EDGE_CURVE('',#177737,#177726,#3229,.T.); #223446=EDGE_CURVE('',#177725,#177738,#3230,.T.); #223447=EDGE_CURVE('',#177727,#177736,#3231,.F.); #223448=EDGE_CURVE('',#177735,#177728,#3232,.F.); #223449=EDGE_CURVE('',#177699,#177429,#105969,.T.); #223450=EDGE_CURVE('',#177428,#177700,#105970,.T.); #223451=EDGE_CURVE('',#177702,#177424,#105971,.T.); #223452=EDGE_CURVE('',#177425,#177701,#105972,.T.); #223453=EDGE_CURVE('',#177689,#177635,#105973,.T.); #223454=EDGE_CURVE('',#177739,#177646,#105974,.T.); #223455=EDGE_CURVE('',#177740,#177739,#105975,.T.); #223456=EDGE_CURVE('',#177740,#177626,#105976,.T.); #223457=EDGE_CURVE('',#177688,#177647,#105977,.T.); #223458=EDGE_CURVE('',#177687,#177648,#105978,.T.); #223459=EDGE_CURVE('',#177741,#177641,#105979,.T.); #223460=EDGE_CURVE('',#177742,#177640,#105980,.T.); #223461=EDGE_CURVE('',#177743,#177742,#105981,.T.); #223462=EDGE_CURVE('',#177743,#177645,#105982,.T.); #223463=EDGE_CURVE('',#177644,#177744,#105983,.T.); #223464=EDGE_CURVE('',#177741,#177744,#105984,.T.); #223465=EDGE_CURVE('',#177745,#177746,#105985,.T.); #223466=EDGE_CURVE('',#177746,#177747,#105986,.T.); #223467=EDGE_CURVE('',#177747,#177748,#105987,.T.); #223468=EDGE_CURVE('',#177745,#177748,#105988,.T.); #223469=EDGE_CURVE('',#177748,#177741,#105989,.T.); #223470=EDGE_CURVE('',#177744,#177745,#105990,.T.); #223471=EDGE_CURVE('',#177684,#177632,#105991,.T.); #223472=EDGE_CURVE('',#177749,#177621,#105992,.T.); #223473=EDGE_CURVE('',#177750,#177749,#105993,.T.); #223474=EDGE_CURVE('',#177750,#177638,#105994,.T.); #223475=EDGE_CURVE('',#177685,#177639,#105995,.T.); #223476=EDGE_CURVE('',#177686,#177651,#105996,.T.); #223477=EDGE_CURVE('',#177643,#177746,#105997,.T.); #223478=EDGE_CURVE('',#177747,#177642,#105998,.T.); #223479=EDGE_CURVE('',#177742,#177750,#105999,.T.); #223480=EDGE_CURVE('',#177751,#177620,#106000,.T.); #223481=EDGE_CURVE('',#177749,#177751,#106001,.T.); #223482=EDGE_CURVE('',#177752,#177620,#106002,.T.); #223483=EDGE_CURVE('',#177752,#177753,#106003,.T.); #223484=EDGE_CURVE('',#177753,#177627,#106004,.T.); #223485=EDGE_CURVE('',#177754,#177627,#106005,.T.); #223486=EDGE_CURVE('',#177751,#177754,#106006,.T.); #223487=EDGE_CURVE('',#177754,#177740,#106007,.T.); #223488=EDGE_CURVE('',#177739,#177743,#106008,.T.); #223489=EDGE_CURVE('',#177755,#177755,#70851,.T.); #223490=EDGE_CURVE('',#177756,#177756,#70852,.T.); #223491=EDGE_CURVE('',#177756,#177757,#106009,.T.); #223492=EDGE_CURVE('',#177757,#177757,#70853,.T.); #223493=EDGE_CURVE('',#177758,#177758,#70854,.F.); #223494=EDGE_CURVE('',#177755,#177759,#106010,.T.); #223495=EDGE_CURVE('',#177759,#177759,#70855,.F.); #223496=EDGE_CURVE('',#177760,#177760,#70856,.T.); #223497=EDGE_CURVE('',#177759,#177760,#106011,.T.); #223498=EDGE_CURVE('',#177757,#177758,#106012,.T.); #223499=EDGE_CURVE('',#177761,#177420,#106013,.T.); #223500=EDGE_CURVE('',#177466,#177761,#106014,.T.); #223501=EDGE_CURVE('',#177762,#177455,#63825,.T.); #223502=EDGE_CURVE('',#177762,#177763,#70857,.F.); #223503=EDGE_CURVE('',#177764,#177763,#63826,.T.); #223504=EDGE_CURVE('',#177435,#177764,#70858,.F.); #223505=EDGE_CURVE('',#177456,#177680,#106015,.T.); #223506=EDGE_CURVE('',#177617,#177752,#106016,.T.); #223507=EDGE_CURVE('',#177753,#177616,#106017,.T.); #223508=EDGE_CURVE('',#177570,#177566,#106018,.T.); #223509=EDGE_CURVE('',#177578,#177572,#106019,.T.); #223510=EDGE_CURVE('',#177586,#177580,#106020,.T.); #223511=EDGE_CURVE('',#177594,#177588,#106021,.T.); #223512=EDGE_CURVE('',#177602,#177596,#106022,.T.); #223513=EDGE_CURVE('',#177560,#177604,#106023,.T.); #223514=EDGE_CURVE('',#177552,#177558,#106024,.T.); #223515=EDGE_CURVE('',#177544,#177550,#106025,.T.); #223516=EDGE_CURVE('',#177536,#177542,#106026,.T.); #223517=EDGE_CURVE('',#177528,#177534,#106027,.T.); #223518=EDGE_CURVE('',#177520,#177526,#106028,.T.); #223519=EDGE_CURVE('',#177610,#177518,#106029,.T.); #223520=EDGE_CURVE('',#177608,#177516,#106030,.T.); #223521=EDGE_CURVE('',#177562,#177605,#106031,.T.); #223522=EDGE_CURVE('',#177600,#177597,#106032,.T.); #223523=EDGE_CURVE('',#177592,#177589,#106033,.T.); #223524=EDGE_CURVE('',#177584,#177581,#106034,.T.); #223525=EDGE_CURVE('',#177576,#177573,#106035,.T.); #223526=EDGE_CURVE('',#177568,#177564,#106036,.T.); #223527=EDGE_CURVE('',#177554,#177556,#106037,.T.); #223528=EDGE_CURVE('',#177546,#177548,#106038,.T.); #223529=EDGE_CURVE('',#177538,#177540,#106039,.T.); #223530=EDGE_CURVE('',#177530,#177532,#106040,.T.); #223531=EDGE_CURVE('',#177522,#177524,#106041,.T.); #223532=EDGE_CURVE('',#177765,#177766,#106042,.T.); #223533=EDGE_CURVE('',#177766,#177767,#106043,.F.); #223534=EDGE_CURVE('',#177767,#177393,#106044,.T.); #223535=EDGE_CURVE('',#177768,#177392,#106045,.T.); #223536=EDGE_CURVE('',#177765,#177768,#106046,.T.); #223537=EDGE_CURVE('',#177769,#177391,#106047,.T.); #223538=EDGE_CURVE('',#177768,#177769,#106048,.T.); #223539=EDGE_CURVE('',#177761,#177390,#106049,.T.); #223540=EDGE_CURVE('',#177770,#177418,#106050,.T.); #223541=EDGE_CURVE('',#177770,#177771,#106051,.T.); #223542=EDGE_CURVE('',#177769,#177771,#106052,.T.); #223543=EDGE_CURVE('',#177434,#177397,#106053,.T.); #223544=EDGE_CURVE('',#177438,#177396,#106054,.T.); #223545=EDGE_CURVE('',#177772,#177436,#106055,.T.); #223546=EDGE_CURVE('',#177419,#177772,#106056,.T.); #223547=EDGE_CURVE('',#177439,#177395,#106057,.T.); #223548=EDGE_CURVE('',#177394,#177773,#106058,.T.); #223549=EDGE_CURVE('',#177773,#177774,#106059,.F.); #223550=EDGE_CURVE('',#177440,#177774,#106060,.T.); #223551=EDGE_CURVE('',#177774,#177766,#106061,.T.); #223552=EDGE_CURVE('',#177765,#177775,#106062,.T.); #223553=EDGE_CURVE('',#177776,#177775,#106063,.T.); #223554=EDGE_CURVE('',#177777,#177776,#106064,.T.); #223555=EDGE_CURVE('',#177777,#177441,#106065,.T.); #223556=EDGE_CURVE('',#177778,#177771,#106066,.T.); #223557=EDGE_CURVE('',#177779,#177778,#106067,.T.); #223558=EDGE_CURVE('',#177780,#177779,#106068,.T.); #223559=EDGE_CURVE('',#177781,#177780,#106069,.T.); #223560=EDGE_CURVE('',#177782,#177781,#106070,.T.); #223561=EDGE_CURVE('',#177783,#177782,#106071,.T.); #223562=EDGE_CURVE('',#177784,#177783,#106072,.T.); #223563=EDGE_CURVE('',#177785,#177784,#106073,.T.); #223564=EDGE_CURVE('',#177786,#177785,#106074,.T.); #223565=EDGE_CURVE('',#177787,#177786,#106075,.T.); #223566=EDGE_CURVE('',#177788,#177787,#106076,.T.); #223567=EDGE_CURVE('',#177789,#177788,#106077,.T.); #223568=EDGE_CURVE('',#177790,#177789,#106078,.T.); #223569=EDGE_CURVE('',#177791,#177790,#106079,.T.); #223570=EDGE_CURVE('',#177775,#177791,#106080,.T.); #223571=EDGE_CURVE('',#177463,#177792,#106081,.T.); #223572=EDGE_CURVE('',#177792,#177387,#106082,.T.); #223573=EDGE_CURVE('',#177792,#177793,#106083,.T.); #223574=EDGE_CURVE('',#177793,#177388,#106084,.T.); #223575=EDGE_CURVE('',#177389,#177467,#106085,.T.); #223576=EDGE_CURVE('',#177793,#177464,#106086,.T.); #223577=EDGE_CURVE('',#177794,#177384,#106087,.T.); #223578=EDGE_CURVE('',#177762,#177794,#106088,.T.); #223579=EDGE_CURVE('',#177795,#177382,#106089,.T.); #223580=EDGE_CURVE('',#177794,#177795,#106090,.T.); #223581=EDGE_CURVE('',#177383,#177764,#106091,.T.); #223582=EDGE_CURVE('',#177795,#177763,#106092,.T.); #223583=EDGE_CURVE('',#177796,#177437,#106093,.T.); #223584=EDGE_CURVE('',#177772,#177796,#106094,.T.); #223585=EDGE_CURVE('',#177797,#177454,#106095,.T.); #223586=EDGE_CURVE('',#177796,#177797,#106096,.T.); #223587=EDGE_CURVE('',#177798,#177453,#106097,.T.); #223588=EDGE_CURVE('',#177797,#177798,#106098,.T.); #223589=EDGE_CURVE('',#177799,#177452,#106099,.T.); #223590=EDGE_CURVE('',#177798,#177799,#106100,.T.); #223591=EDGE_CURVE('',#177800,#177451,#106101,.T.); #223592=EDGE_CURVE('',#177799,#177800,#106102,.T.); #223593=EDGE_CURVE('',#177801,#177450,#106103,.T.); #223594=EDGE_CURVE('',#177800,#177801,#106104,.T.); #223595=EDGE_CURVE('',#177802,#177449,#106105,.T.); #223596=EDGE_CURVE('',#177801,#177802,#106106,.T.); #223597=EDGE_CURVE('',#177803,#177448,#106107,.T.); #223598=EDGE_CURVE('',#177802,#177803,#106108,.T.); #223599=EDGE_CURVE('',#177804,#177447,#106109,.T.); #223600=EDGE_CURVE('',#177803,#177804,#106110,.T.); #223601=EDGE_CURVE('',#177805,#177446,#106111,.T.); #223602=EDGE_CURVE('',#177804,#177805,#106112,.T.); #223603=EDGE_CURVE('',#177806,#177445,#106113,.T.); #223604=EDGE_CURVE('',#177805,#177806,#106114,.T.); #223605=EDGE_CURVE('',#177807,#177444,#106115,.T.); #223606=EDGE_CURVE('',#177806,#177807,#106116,.T.); #223607=EDGE_CURVE('',#177808,#177443,#106117,.T.); #223608=EDGE_CURVE('',#177807,#177808,#106118,.T.); #223609=EDGE_CURVE('',#177809,#177442,#106119,.T.); #223610=EDGE_CURVE('',#177808,#177809,#106120,.T.); #223611=EDGE_CURVE('',#177809,#177777,#106121,.T.); #223612=EDGE_CURVE('',#177810,#177791,#106122,.T.); #223613=EDGE_CURVE('',#177776,#177810,#106123,.T.); #223614=EDGE_CURVE('',#177811,#177790,#106124,.T.); #223615=EDGE_CURVE('',#177810,#177811,#106125,.T.); #223616=EDGE_CURVE('',#177812,#177789,#106126,.T.); #223617=EDGE_CURVE('',#177811,#177812,#106127,.T.); #223618=EDGE_CURVE('',#177813,#177788,#106128,.T.); #223619=EDGE_CURVE('',#177812,#177813,#106129,.T.); #223620=EDGE_CURVE('',#177814,#177787,#106130,.T.); #223621=EDGE_CURVE('',#177813,#177814,#106131,.T.); #223622=EDGE_CURVE('',#177815,#177786,#106132,.T.); #223623=EDGE_CURVE('',#177814,#177815,#106133,.T.); #223624=EDGE_CURVE('',#177816,#177785,#106134,.T.); #223625=EDGE_CURVE('',#177815,#177816,#106135,.T.); #223626=EDGE_CURVE('',#177817,#177784,#106136,.T.); #223627=EDGE_CURVE('',#177816,#177817,#106137,.T.); #223628=EDGE_CURVE('',#177818,#177783,#106138,.T.); #223629=EDGE_CURVE('',#177817,#177818,#106139,.T.); #223630=EDGE_CURVE('',#177819,#177782,#106140,.T.); #223631=EDGE_CURVE('',#177818,#177819,#106141,.T.); #223632=EDGE_CURVE('',#177820,#177781,#106142,.T.); #223633=EDGE_CURVE('',#177819,#177820,#106143,.T.); #223634=EDGE_CURVE('',#177821,#177780,#106144,.T.); #223635=EDGE_CURVE('',#177820,#177821,#106145,.T.); #223636=EDGE_CURVE('',#177822,#177779,#106146,.T.); #223637=EDGE_CURVE('',#177821,#177822,#106147,.T.); #223638=EDGE_CURVE('',#177823,#177778,#106148,.T.); #223639=EDGE_CURVE('',#177822,#177823,#106149,.T.); #223640=EDGE_CURVE('',#177823,#177770,#106150,.T.); #223641=EDGE_CURVE('',#177403,#177410,#106151,.T.); #223642=EDGE_CURVE('',#177409,#177412,#106152,.T.); #223643=EDGE_CURVE('',#177690,#177697,#106153,.T.); #223644=EDGE_CURVE('',#177691,#177696,#106154,.T.); #223645=EDGE_CURVE('',#177767,#177773,#106155,.T.); #223646=EDGE_CURVE('',#177824,#177825,#106156,.T.); #223647=EDGE_CURVE('',#177826,#177825,#106157,.T.); #223648=EDGE_CURVE('',#177827,#177826,#106158,.T.); #223649=EDGE_CURVE('',#177827,#177824,#106159,.T.); #223650=EDGE_CURVE('',#177825,#177828,#106160,.T.); #223651=EDGE_CURVE('',#177828,#177829,#70859,.T.); #223652=EDGE_CURVE('',#177829,#177830,#106161,.F.); #223653=EDGE_CURVE('',#177830,#177831,#70860,.F.); #223654=EDGE_CURVE('',#177831,#177832,#106162,.F.); #223655=EDGE_CURVE('',#177832,#177833,#106163,.T.); #223656=EDGE_CURVE('',#177834,#177833,#106164,.T.); #223657=EDGE_CURVE('',#177835,#177834,#70861,.T.); #223658=EDGE_CURVE('',#177836,#177835,#106165,.T.); #223659=EDGE_CURVE('',#177837,#177836,#70862,.T.); #223660=EDGE_CURVE('',#177826,#177837,#106166,.T.); #223661=EDGE_CURVE('',#177838,#177839,#106167,.T.); #223662=EDGE_CURVE('',#177840,#177839,#106168,.T.); #223663=EDGE_CURVE('',#177841,#177840,#106169,.T.); #223664=EDGE_CURVE('',#177841,#177842,#70863,.T.); #223665=EDGE_CURVE('',#177842,#177843,#106170,.T.); #223666=EDGE_CURVE('',#177843,#177844,#70864,.T.); #223667=EDGE_CURVE('',#177844,#177845,#106171,.T.); #223668=EDGE_CURVE('',#177846,#177845,#106172,.T.); #223669=EDGE_CURVE('',#177847,#177846,#106173,.F.); #223670=EDGE_CURVE('',#177848,#177847,#70865,.F.); #223671=EDGE_CURVE('',#177849,#177848,#106174,.F.); #223672=EDGE_CURVE('',#177838,#177849,#70866,.T.); #223673=EDGE_CURVE('',#177839,#177850,#106175,.T.); #223674=EDGE_CURVE('',#177851,#177850,#106176,.T.); #223675=EDGE_CURVE('',#177840,#177851,#106177,.T.); #223676=EDGE_CURVE('',#177852,#177853,#106178,.T.); #223677=EDGE_CURVE('',#177852,#177854,#106179,.T.); #223678=EDGE_CURVE('',#177851,#177854,#106180,.T.); #223679=EDGE_CURVE('',#177850,#177855,#106181,.T.); #223680=EDGE_CURVE('',#177855,#177853,#106182,.F.); #223681=EDGE_CURVE('',#177856,#177857,#106183,.T.); #223682=EDGE_CURVE('',#177856,#177852,#106184,.T.); #223683=EDGE_CURVE('',#177853,#177857,#106185,.T.); #223684=EDGE_CURVE('',#177858,#177827,#106186,.T.); #223685=EDGE_CURVE('',#177858,#177856,#106187,.T.); #223686=EDGE_CURVE('',#177857,#177859,#106188,.F.); #223687=EDGE_CURVE('',#177859,#177824,#106189,.T.); #223688=EDGE_CURVE('',#177854,#177858,#106190,.T.); #223689=EDGE_CURVE('',#177837,#177841,#106191,.T.); #223690=EDGE_CURVE('',#177859,#177855,#106192,.T.); #223691=EDGE_CURVE('',#177828,#177838,#106193,.T.); #223692=EDGE_CURVE('',#177846,#177832,#106194,.F.); #223693=EDGE_CURVE('',#177845,#177833,#106195,.T.); #223694=EDGE_CURVE('',#177842,#177836,#106196,.T.); #223695=EDGE_CURVE('',#177843,#177835,#106197,.T.); #223696=EDGE_CURVE('',#177844,#177834,#106198,.T.); #223697=EDGE_CURVE('',#177849,#177829,#106199,.F.); #223698=EDGE_CURVE('',#177848,#177830,#106200,.T.); #223699=EDGE_CURVE('',#177847,#177831,#106201,.F.); #223700=EDGE_CURVE('',#177860,#177861,#106202,.T.); #223701=EDGE_CURVE('',#177862,#177861,#106203,.T.); #223702=EDGE_CURVE('',#177863,#177862,#106204,.T.); #223703=EDGE_CURVE('',#177863,#177860,#106205,.T.); #223704=EDGE_CURVE('',#177861,#177864,#106206,.T.); #223705=EDGE_CURVE('',#177864,#177865,#70867,.T.); #223706=EDGE_CURVE('',#177865,#177866,#106207,.F.); #223707=EDGE_CURVE('',#177866,#177867,#70868,.F.); #223708=EDGE_CURVE('',#177867,#177868,#106208,.F.); #223709=EDGE_CURVE('',#177868,#177869,#106209,.T.); #223710=EDGE_CURVE('',#177870,#177869,#106210,.T.); #223711=EDGE_CURVE('',#177871,#177870,#70869,.T.); #223712=EDGE_CURVE('',#177872,#177871,#106211,.T.); #223713=EDGE_CURVE('',#177873,#177872,#70870,.T.); #223714=EDGE_CURVE('',#177862,#177873,#106212,.T.); #223715=EDGE_CURVE('',#177874,#177875,#106213,.T.); #223716=EDGE_CURVE('',#177876,#177875,#106214,.T.); #223717=EDGE_CURVE('',#177877,#177876,#106215,.T.); #223718=EDGE_CURVE('',#177877,#177878,#70871,.T.); #223719=EDGE_CURVE('',#177878,#177879,#106216,.T.); #223720=EDGE_CURVE('',#177879,#177880,#70872,.T.); #223721=EDGE_CURVE('',#177880,#177881,#106217,.T.); #223722=EDGE_CURVE('',#177882,#177881,#106218,.T.); #223723=EDGE_CURVE('',#177883,#177882,#106219,.F.); #223724=EDGE_CURVE('',#177884,#177883,#70873,.F.); #223725=EDGE_CURVE('',#177885,#177884,#106220,.F.); #223726=EDGE_CURVE('',#177874,#177885,#70874,.T.); #223727=EDGE_CURVE('',#177875,#177886,#106221,.T.); #223728=EDGE_CURVE('',#177887,#177886,#106222,.T.); #223729=EDGE_CURVE('',#177876,#177887,#106223,.T.); #223730=EDGE_CURVE('',#177888,#177889,#106224,.T.); #223731=EDGE_CURVE('',#177888,#177890,#106225,.T.); #223732=EDGE_CURVE('',#177887,#177890,#106226,.T.); #223733=EDGE_CURVE('',#177886,#177891,#106227,.T.); #223734=EDGE_CURVE('',#177891,#177889,#106228,.F.); #223735=EDGE_CURVE('',#177892,#177893,#106229,.T.); #223736=EDGE_CURVE('',#177892,#177888,#106230,.T.); #223737=EDGE_CURVE('',#177889,#177893,#106231,.T.); #223738=EDGE_CURVE('',#177894,#177863,#106232,.T.); #223739=EDGE_CURVE('',#177894,#177892,#106233,.T.); #223740=EDGE_CURVE('',#177893,#177895,#106234,.F.); #223741=EDGE_CURVE('',#177895,#177860,#106235,.T.); #223742=EDGE_CURVE('',#177890,#177894,#106236,.T.); #223743=EDGE_CURVE('',#177873,#177877,#106237,.T.); #223744=EDGE_CURVE('',#177895,#177891,#106238,.T.); #223745=EDGE_CURVE('',#177864,#177874,#106239,.T.); #223746=EDGE_CURVE('',#177882,#177868,#106240,.F.); #223747=EDGE_CURVE('',#177881,#177869,#106241,.T.); #223748=EDGE_CURVE('',#177878,#177872,#106242,.T.); #223749=EDGE_CURVE('',#177879,#177871,#106243,.T.); #223750=EDGE_CURVE('',#177880,#177870,#106244,.T.); #223751=EDGE_CURVE('',#177885,#177865,#106245,.F.); #223752=EDGE_CURVE('',#177884,#177866,#106246,.T.); #223753=EDGE_CURVE('',#177883,#177867,#106247,.F.); #223754=EDGE_CURVE('',#177896,#177897,#106248,.T.); #223755=EDGE_CURVE('',#177898,#177897,#106249,.T.); #223756=EDGE_CURVE('',#177899,#177898,#106250,.T.); #223757=EDGE_CURVE('',#177899,#177896,#106251,.T.); #223758=EDGE_CURVE('',#177897,#177900,#106252,.T.); #223759=EDGE_CURVE('',#177900,#177901,#70875,.T.); #223760=EDGE_CURVE('',#177901,#177902,#106253,.F.); #223761=EDGE_CURVE('',#177902,#177903,#70876,.F.); #223762=EDGE_CURVE('',#177903,#177904,#106254,.F.); #223763=EDGE_CURVE('',#177904,#177905,#106255,.T.); #223764=EDGE_CURVE('',#177906,#177905,#106256,.T.); #223765=EDGE_CURVE('',#177907,#177906,#70877,.T.); #223766=EDGE_CURVE('',#177908,#177907,#106257,.T.); #223767=EDGE_CURVE('',#177909,#177908,#70878,.T.); #223768=EDGE_CURVE('',#177898,#177909,#106258,.T.); #223769=EDGE_CURVE('',#177910,#177911,#106259,.T.); #223770=EDGE_CURVE('',#177912,#177911,#106260,.T.); #223771=EDGE_CURVE('',#177913,#177912,#106261,.T.); #223772=EDGE_CURVE('',#177913,#177914,#70879,.T.); #223773=EDGE_CURVE('',#177914,#177915,#106262,.T.); #223774=EDGE_CURVE('',#177915,#177916,#70880,.T.); #223775=EDGE_CURVE('',#177916,#177917,#106263,.T.); #223776=EDGE_CURVE('',#177918,#177917,#106264,.T.); #223777=EDGE_CURVE('',#177919,#177918,#106265,.F.); #223778=EDGE_CURVE('',#177920,#177919,#70881,.F.); #223779=EDGE_CURVE('',#177921,#177920,#106266,.F.); #223780=EDGE_CURVE('',#177910,#177921,#70882,.T.); #223781=EDGE_CURVE('',#177911,#177922,#106267,.T.); #223782=EDGE_CURVE('',#177923,#177922,#106268,.T.); #223783=EDGE_CURVE('',#177912,#177923,#106269,.T.); #223784=EDGE_CURVE('',#177924,#177925,#106270,.T.); #223785=EDGE_CURVE('',#177924,#177926,#106271,.T.); #223786=EDGE_CURVE('',#177923,#177926,#106272,.T.); #223787=EDGE_CURVE('',#177922,#177927,#106273,.T.); #223788=EDGE_CURVE('',#177927,#177925,#106274,.F.); #223789=EDGE_CURVE('',#177928,#177929,#106275,.T.); #223790=EDGE_CURVE('',#177928,#177924,#106276,.T.); #223791=EDGE_CURVE('',#177925,#177929,#106277,.T.); #223792=EDGE_CURVE('',#177930,#177899,#106278,.T.); #223793=EDGE_CURVE('',#177930,#177928,#106279,.T.); #223794=EDGE_CURVE('',#177929,#177931,#106280,.F.); #223795=EDGE_CURVE('',#177931,#177896,#106281,.T.); #223796=EDGE_CURVE('',#177926,#177930,#106282,.T.); #223797=EDGE_CURVE('',#177909,#177913,#106283,.T.); #223798=EDGE_CURVE('',#177931,#177927,#106284,.T.); #223799=EDGE_CURVE('',#177900,#177910,#106285,.T.); #223800=EDGE_CURVE('',#177918,#177904,#106286,.F.); #223801=EDGE_CURVE('',#177917,#177905,#106287,.T.); #223802=EDGE_CURVE('',#177914,#177908,#106288,.T.); #223803=EDGE_CURVE('',#177915,#177907,#106289,.T.); #223804=EDGE_CURVE('',#177916,#177906,#106290,.T.); #223805=EDGE_CURVE('',#177921,#177901,#106291,.F.); #223806=EDGE_CURVE('',#177920,#177902,#106292,.T.); #223807=EDGE_CURVE('',#177919,#177903,#106293,.F.); #223808=EDGE_CURVE('',#177932,#177933,#106294,.T.); #223809=EDGE_CURVE('',#177934,#177933,#106295,.T.); #223810=EDGE_CURVE('',#177935,#177934,#106296,.T.); #223811=EDGE_CURVE('',#177935,#177932,#106297,.T.); #223812=EDGE_CURVE('',#177933,#177936,#106298,.T.); #223813=EDGE_CURVE('',#177936,#177937,#70883,.T.); #223814=EDGE_CURVE('',#177937,#177938,#106299,.F.); #223815=EDGE_CURVE('',#177938,#177939,#70884,.F.); #223816=EDGE_CURVE('',#177939,#177940,#106300,.F.); #223817=EDGE_CURVE('',#177940,#177941,#106301,.T.); #223818=EDGE_CURVE('',#177942,#177941,#106302,.T.); #223819=EDGE_CURVE('',#177943,#177942,#70885,.T.); #223820=EDGE_CURVE('',#177944,#177943,#106303,.T.); #223821=EDGE_CURVE('',#177945,#177944,#70886,.T.); #223822=EDGE_CURVE('',#177934,#177945,#106304,.T.); #223823=EDGE_CURVE('',#177946,#177947,#106305,.T.); #223824=EDGE_CURVE('',#177948,#177947,#106306,.T.); #223825=EDGE_CURVE('',#177949,#177948,#106307,.T.); #223826=EDGE_CURVE('',#177949,#177950,#70887,.T.); #223827=EDGE_CURVE('',#177950,#177951,#106308,.T.); #223828=EDGE_CURVE('',#177951,#177952,#70888,.T.); #223829=EDGE_CURVE('',#177952,#177953,#106309,.T.); #223830=EDGE_CURVE('',#177954,#177953,#106310,.T.); #223831=EDGE_CURVE('',#177955,#177954,#106311,.F.); #223832=EDGE_CURVE('',#177956,#177955,#70889,.F.); #223833=EDGE_CURVE('',#177957,#177956,#106312,.F.); #223834=EDGE_CURVE('',#177946,#177957,#70890,.T.); #223835=EDGE_CURVE('',#177947,#177958,#106313,.T.); #223836=EDGE_CURVE('',#177959,#177958,#106314,.T.); #223837=EDGE_CURVE('',#177948,#177959,#106315,.T.); #223838=EDGE_CURVE('',#177960,#177961,#106316,.T.); #223839=EDGE_CURVE('',#177960,#177962,#106317,.T.); #223840=EDGE_CURVE('',#177959,#177962,#106318,.T.); #223841=EDGE_CURVE('',#177958,#177963,#106319,.T.); #223842=EDGE_CURVE('',#177963,#177961,#106320,.F.); #223843=EDGE_CURVE('',#177964,#177965,#106321,.T.); #223844=EDGE_CURVE('',#177964,#177960,#106322,.T.); #223845=EDGE_CURVE('',#177961,#177965,#106323,.T.); #223846=EDGE_CURVE('',#177966,#177935,#106324,.T.); #223847=EDGE_CURVE('',#177966,#177964,#106325,.T.); #223848=EDGE_CURVE('',#177965,#177967,#106326,.F.); #223849=EDGE_CURVE('',#177967,#177932,#106327,.T.); #223850=EDGE_CURVE('',#177962,#177966,#106328,.T.); #223851=EDGE_CURVE('',#177945,#177949,#106329,.T.); #223852=EDGE_CURVE('',#177967,#177963,#106330,.T.); #223853=EDGE_CURVE('',#177936,#177946,#106331,.T.); #223854=EDGE_CURVE('',#177954,#177940,#106332,.F.); #223855=EDGE_CURVE('',#177953,#177941,#106333,.T.); #223856=EDGE_CURVE('',#177950,#177944,#106334,.T.); #223857=EDGE_CURVE('',#177951,#177943,#106335,.T.); #223858=EDGE_CURVE('',#177952,#177942,#106336,.T.); #223859=EDGE_CURVE('',#177957,#177937,#106337,.F.); #223860=EDGE_CURVE('',#177956,#177938,#106338,.T.); #223861=EDGE_CURVE('',#177955,#177939,#106339,.F.); #223862=EDGE_CURVE('',#177968,#177969,#106340,.T.); #223863=EDGE_CURVE('',#177970,#177969,#106341,.T.); #223864=EDGE_CURVE('',#177971,#177970,#106342,.T.); #223865=EDGE_CURVE('',#177971,#177968,#106343,.T.); #223866=EDGE_CURVE('',#177969,#177972,#106344,.T.); #223867=EDGE_CURVE('',#177972,#177973,#70891,.T.); #223868=EDGE_CURVE('',#177973,#177974,#106345,.F.); #223869=EDGE_CURVE('',#177974,#177975,#70892,.F.); #223870=EDGE_CURVE('',#177975,#177976,#106346,.F.); #223871=EDGE_CURVE('',#177976,#177977,#106347,.T.); #223872=EDGE_CURVE('',#177978,#177977,#106348,.T.); #223873=EDGE_CURVE('',#177979,#177978,#70893,.T.); #223874=EDGE_CURVE('',#177980,#177979,#106349,.T.); #223875=EDGE_CURVE('',#177981,#177980,#70894,.T.); #223876=EDGE_CURVE('',#177970,#177981,#106350,.T.); #223877=EDGE_CURVE('',#177982,#177983,#106351,.T.); #223878=EDGE_CURVE('',#177984,#177983,#106352,.T.); #223879=EDGE_CURVE('',#177985,#177984,#106353,.T.); #223880=EDGE_CURVE('',#177985,#177986,#70895,.T.); #223881=EDGE_CURVE('',#177986,#177987,#106354,.T.); #223882=EDGE_CURVE('',#177987,#177988,#70896,.T.); #223883=EDGE_CURVE('',#177988,#177989,#106355,.T.); #223884=EDGE_CURVE('',#177990,#177989,#106356,.T.); #223885=EDGE_CURVE('',#177991,#177990,#106357,.F.); #223886=EDGE_CURVE('',#177992,#177991,#70897,.F.); #223887=EDGE_CURVE('',#177993,#177992,#106358,.F.); #223888=EDGE_CURVE('',#177982,#177993,#70898,.T.); #223889=EDGE_CURVE('',#177983,#177994,#106359,.T.); #223890=EDGE_CURVE('',#177995,#177994,#106360,.T.); #223891=EDGE_CURVE('',#177984,#177995,#106361,.T.); #223892=EDGE_CURVE('',#177996,#177997,#106362,.T.); #223893=EDGE_CURVE('',#177996,#177998,#106363,.T.); #223894=EDGE_CURVE('',#177995,#177998,#106364,.T.); #223895=EDGE_CURVE('',#177994,#177999,#106365,.T.); #223896=EDGE_CURVE('',#177999,#177997,#106366,.F.); #223897=EDGE_CURVE('',#178000,#178001,#106367,.T.); #223898=EDGE_CURVE('',#178000,#177996,#106368,.T.); #223899=EDGE_CURVE('',#177997,#178001,#106369,.T.); #223900=EDGE_CURVE('',#178002,#177971,#106370,.T.); #223901=EDGE_CURVE('',#178002,#178000,#106371,.T.); #223902=EDGE_CURVE('',#178001,#178003,#106372,.F.); #223903=EDGE_CURVE('',#178003,#177968,#106373,.T.); #223904=EDGE_CURVE('',#177998,#178002,#106374,.T.); #223905=EDGE_CURVE('',#177981,#177985,#106375,.T.); #223906=EDGE_CURVE('',#178003,#177999,#106376,.T.); #223907=EDGE_CURVE('',#177972,#177982,#106377,.T.); #223908=EDGE_CURVE('',#177990,#177976,#106378,.F.); #223909=EDGE_CURVE('',#177989,#177977,#106379,.T.); #223910=EDGE_CURVE('',#177986,#177980,#106380,.T.); #223911=EDGE_CURVE('',#177987,#177979,#106381,.T.); #223912=EDGE_CURVE('',#177988,#177978,#106382,.T.); #223913=EDGE_CURVE('',#177993,#177973,#106383,.F.); #223914=EDGE_CURVE('',#177992,#177974,#106384,.T.); #223915=EDGE_CURVE('',#177991,#177975,#106385,.F.); #223916=EDGE_CURVE('',#178004,#178005,#106386,.T.); #223917=EDGE_CURVE('',#178006,#178005,#106387,.T.); #223918=EDGE_CURVE('',#178007,#178006,#106388,.T.); #223919=EDGE_CURVE('',#178007,#178004,#106389,.T.); #223920=EDGE_CURVE('',#178005,#178008,#106390,.T.); #223921=EDGE_CURVE('',#178008,#178009,#70899,.T.); #223922=EDGE_CURVE('',#178009,#178010,#106391,.F.); #223923=EDGE_CURVE('',#178010,#178011,#70900,.F.); #223924=EDGE_CURVE('',#178011,#178012,#106392,.F.); #223925=EDGE_CURVE('',#178012,#178013,#106393,.T.); #223926=EDGE_CURVE('',#178014,#178013,#106394,.T.); #223927=EDGE_CURVE('',#178015,#178014,#70901,.T.); #223928=EDGE_CURVE('',#178016,#178015,#106395,.T.); #223929=EDGE_CURVE('',#178017,#178016,#70902,.T.); #223930=EDGE_CURVE('',#178006,#178017,#106396,.T.); #223931=EDGE_CURVE('',#178018,#178019,#106397,.T.); #223932=EDGE_CURVE('',#178020,#178019,#106398,.T.); #223933=EDGE_CURVE('',#178021,#178020,#106399,.T.); #223934=EDGE_CURVE('',#178021,#178022,#70903,.T.); #223935=EDGE_CURVE('',#178022,#178023,#106400,.T.); #223936=EDGE_CURVE('',#178023,#178024,#70904,.T.); #223937=EDGE_CURVE('',#178024,#178025,#106401,.T.); #223938=EDGE_CURVE('',#178026,#178025,#106402,.T.); #223939=EDGE_CURVE('',#178027,#178026,#106403,.F.); #223940=EDGE_CURVE('',#178028,#178027,#70905,.F.); #223941=EDGE_CURVE('',#178029,#178028,#106404,.F.); #223942=EDGE_CURVE('',#178018,#178029,#70906,.T.); #223943=EDGE_CURVE('',#178019,#178030,#106405,.T.); #223944=EDGE_CURVE('',#178031,#178030,#106406,.T.); #223945=EDGE_CURVE('',#178020,#178031,#106407,.T.); #223946=EDGE_CURVE('',#178032,#178033,#106408,.T.); #223947=EDGE_CURVE('',#178032,#178034,#106409,.T.); #223948=EDGE_CURVE('',#178031,#178034,#106410,.T.); #223949=EDGE_CURVE('',#178030,#178035,#106411,.T.); #223950=EDGE_CURVE('',#178035,#178033,#106412,.F.); #223951=EDGE_CURVE('',#178036,#178037,#106413,.T.); #223952=EDGE_CURVE('',#178036,#178032,#106414,.T.); #223953=EDGE_CURVE('',#178033,#178037,#106415,.T.); #223954=EDGE_CURVE('',#178038,#178007,#106416,.T.); #223955=EDGE_CURVE('',#178038,#178036,#106417,.T.); #223956=EDGE_CURVE('',#178037,#178039,#106418,.F.); #223957=EDGE_CURVE('',#178039,#178004,#106419,.T.); #223958=EDGE_CURVE('',#178034,#178038,#106420,.T.); #223959=EDGE_CURVE('',#178017,#178021,#106421,.T.); #223960=EDGE_CURVE('',#178039,#178035,#106422,.T.); #223961=EDGE_CURVE('',#178008,#178018,#106423,.T.); #223962=EDGE_CURVE('',#178026,#178012,#106424,.F.); #223963=EDGE_CURVE('',#178025,#178013,#106425,.T.); #223964=EDGE_CURVE('',#178022,#178016,#106426,.T.); #223965=EDGE_CURVE('',#178023,#178015,#106427,.T.); #223966=EDGE_CURVE('',#178024,#178014,#106428,.T.); #223967=EDGE_CURVE('',#178029,#178009,#106429,.F.); #223968=EDGE_CURVE('',#178028,#178010,#106430,.T.); #223969=EDGE_CURVE('',#178027,#178011,#106431,.F.); #223970=EDGE_CURVE('',#178040,#178041,#106432,.T.); #223971=EDGE_CURVE('',#178042,#178041,#106433,.T.); #223972=EDGE_CURVE('',#178043,#178042,#106434,.T.); #223973=EDGE_CURVE('',#178043,#178040,#106435,.T.); #223974=EDGE_CURVE('',#178041,#178044,#106436,.T.); #223975=EDGE_CURVE('',#178044,#178045,#70907,.T.); #223976=EDGE_CURVE('',#178045,#178046,#106437,.F.); #223977=EDGE_CURVE('',#178046,#178047,#70908,.F.); #223978=EDGE_CURVE('',#178047,#178048,#106438,.F.); #223979=EDGE_CURVE('',#178048,#178049,#106439,.T.); #223980=EDGE_CURVE('',#178050,#178049,#106440,.T.); #223981=EDGE_CURVE('',#178051,#178050,#70909,.T.); #223982=EDGE_CURVE('',#178052,#178051,#106441,.T.); #223983=EDGE_CURVE('',#178053,#178052,#70910,.T.); #223984=EDGE_CURVE('',#178042,#178053,#106442,.T.); #223985=EDGE_CURVE('',#178054,#178055,#106443,.T.); #223986=EDGE_CURVE('',#178056,#178055,#106444,.T.); #223987=EDGE_CURVE('',#178057,#178056,#106445,.T.); #223988=EDGE_CURVE('',#178057,#178058,#70911,.T.); #223989=EDGE_CURVE('',#178058,#178059,#106446,.T.); #223990=EDGE_CURVE('',#178059,#178060,#70912,.T.); #223991=EDGE_CURVE('',#178060,#178061,#106447,.T.); #223992=EDGE_CURVE('',#178062,#178061,#106448,.T.); #223993=EDGE_CURVE('',#178063,#178062,#106449,.F.); #223994=EDGE_CURVE('',#178064,#178063,#70913,.F.); #223995=EDGE_CURVE('',#178065,#178064,#106450,.F.); #223996=EDGE_CURVE('',#178054,#178065,#70914,.T.); #223997=EDGE_CURVE('',#178055,#178066,#106451,.T.); #223998=EDGE_CURVE('',#178067,#178066,#106452,.T.); #223999=EDGE_CURVE('',#178056,#178067,#106453,.T.); #224000=EDGE_CURVE('',#178068,#178069,#106454,.T.); #224001=EDGE_CURVE('',#178068,#178070,#106455,.T.); #224002=EDGE_CURVE('',#178067,#178070,#106456,.T.); #224003=EDGE_CURVE('',#178066,#178071,#106457,.T.); #224004=EDGE_CURVE('',#178071,#178069,#106458,.F.); #224005=EDGE_CURVE('',#178072,#178073,#106459,.T.); #224006=EDGE_CURVE('',#178072,#178068,#106460,.T.); #224007=EDGE_CURVE('',#178069,#178073,#106461,.T.); #224008=EDGE_CURVE('',#178074,#178043,#106462,.T.); #224009=EDGE_CURVE('',#178074,#178072,#106463,.T.); #224010=EDGE_CURVE('',#178073,#178075,#106464,.F.); #224011=EDGE_CURVE('',#178075,#178040,#106465,.T.); #224012=EDGE_CURVE('',#178070,#178074,#106466,.T.); #224013=EDGE_CURVE('',#178053,#178057,#106467,.T.); #224014=EDGE_CURVE('',#178075,#178071,#106468,.T.); #224015=EDGE_CURVE('',#178044,#178054,#106469,.T.); #224016=EDGE_CURVE('',#178062,#178048,#106470,.F.); #224017=EDGE_CURVE('',#178061,#178049,#106471,.T.); #224018=EDGE_CURVE('',#178058,#178052,#106472,.T.); #224019=EDGE_CURVE('',#178059,#178051,#106473,.T.); #224020=EDGE_CURVE('',#178060,#178050,#106474,.T.); #224021=EDGE_CURVE('',#178065,#178045,#106475,.F.); #224022=EDGE_CURVE('',#178064,#178046,#106476,.T.); #224023=EDGE_CURVE('',#178063,#178047,#106477,.F.); #224024=EDGE_CURVE('',#178076,#178077,#106478,.T.); #224025=EDGE_CURVE('',#178078,#178076,#106479,.T.); #224026=EDGE_CURVE('',#178078,#178079,#106480,.T.); #224027=EDGE_CURVE('',#178079,#178077,#106481,.T.); #224028=EDGE_CURVE('',#178077,#178080,#106482,.T.); #224029=EDGE_CURVE('',#178079,#178081,#106483,.T.); #224030=EDGE_CURVE('',#178081,#178082,#70915,.T.); #224031=EDGE_CURVE('',#178082,#178083,#106484,.T.); #224032=EDGE_CURVE('',#178083,#178084,#70916,.T.); #224033=EDGE_CURVE('',#178084,#178085,#106485,.T.); #224034=EDGE_CURVE('',#178086,#178085,#106486,.T.); #224035=EDGE_CURVE('',#178087,#178086,#106487,.F.); #224036=EDGE_CURVE('',#178088,#178087,#70917,.F.); #224037=EDGE_CURVE('',#178089,#178088,#106488,.F.); #224038=EDGE_CURVE('',#178080,#178089,#70918,.T.); #224039=EDGE_CURVE('',#178090,#178091,#106489,.T.); #224040=EDGE_CURVE('',#178090,#178092,#70919,.T.); #224041=EDGE_CURVE('',#178092,#178093,#106490,.F.); #224042=EDGE_CURVE('',#178093,#178094,#70920,.F.); #224043=EDGE_CURVE('',#178094,#178095,#106491,.F.); #224044=EDGE_CURVE('',#178095,#178096,#106492,.T.); #224045=EDGE_CURVE('',#178097,#178096,#106493,.T.); #224046=EDGE_CURVE('',#178098,#178097,#70921,.T.); #224047=EDGE_CURVE('',#178099,#178098,#106494,.T.); #224048=EDGE_CURVE('',#178100,#178099,#70922,.T.); #224049=EDGE_CURVE('',#178100,#178101,#106495,.T.); #224050=EDGE_CURVE('',#178101,#178091,#106496,.T.); #224051=EDGE_CURVE('',#178091,#178102,#106497,.T.); #224052=EDGE_CURVE('',#178101,#178103,#106498,.T.); #224053=EDGE_CURVE('',#178103,#178102,#106499,.T.); #224054=EDGE_CURVE('',#178104,#178105,#106500,.T.); #224055=EDGE_CURVE('',#178106,#178105,#106501,.F.); #224056=EDGE_CURVE('',#178102,#178106,#106502,.T.); #224057=EDGE_CURVE('',#178103,#178107,#106503,.T.); #224058=EDGE_CURVE('',#178104,#178107,#106504,.T.); #224059=EDGE_CURVE('',#178108,#178109,#106505,.T.); #224060=EDGE_CURVE('',#178105,#178109,#106506,.T.); #224061=EDGE_CURVE('',#178108,#178104,#106507,.T.); #224062=EDGE_CURVE('',#178110,#178078,#106508,.T.); #224063=EDGE_CURVE('',#178111,#178076,#106509,.T.); #224064=EDGE_CURVE('',#178109,#178111,#106510,.F.); #224065=EDGE_CURVE('',#178110,#178108,#106511,.T.); #224066=EDGE_CURVE('',#178081,#178100,#106512,.T.); #224067=EDGE_CURVE('',#178107,#178110,#106513,.T.); #224068=EDGE_CURVE('',#178080,#178090,#106514,.T.); #224069=EDGE_CURVE('',#178111,#178106,#106515,.T.); #224070=EDGE_CURVE('',#178096,#178085,#106516,.T.); #224071=EDGE_CURVE('',#178095,#178086,#106517,.F.); #224072=EDGE_CURVE('',#178099,#178082,#106518,.T.); #224073=EDGE_CURVE('',#178098,#178083,#106519,.T.); #224074=EDGE_CURVE('',#178097,#178084,#106520,.T.); #224075=EDGE_CURVE('',#178092,#178089,#106521,.F.); #224076=EDGE_CURVE('',#178093,#178088,#106522,.T.); #224077=EDGE_CURVE('',#178094,#178087,#106523,.F.); #224078=EDGE_CURVE('',#178112,#178113,#106524,.T.); #224079=EDGE_CURVE('',#178114,#178112,#106525,.T.); #224080=EDGE_CURVE('',#178114,#178115,#106526,.T.); #224081=EDGE_CURVE('',#178115,#178113,#106527,.T.); #224082=EDGE_CURVE('',#178113,#178116,#106528,.T.); #224083=EDGE_CURVE('',#178115,#178117,#106529,.T.); #224084=EDGE_CURVE('',#178117,#178118,#70923,.T.); #224085=EDGE_CURVE('',#178118,#178119,#106530,.T.); #224086=EDGE_CURVE('',#178119,#178120,#70924,.T.); #224087=EDGE_CURVE('',#178120,#178121,#106531,.T.); #224088=EDGE_CURVE('',#178122,#178121,#106532,.T.); #224089=EDGE_CURVE('',#178123,#178122,#106533,.F.); #224090=EDGE_CURVE('',#178124,#178123,#70925,.F.); #224091=EDGE_CURVE('',#178125,#178124,#106534,.F.); #224092=EDGE_CURVE('',#178116,#178125,#70926,.T.); #224093=EDGE_CURVE('',#178126,#178127,#106535,.T.); #224094=EDGE_CURVE('',#178126,#178128,#70927,.T.); #224095=EDGE_CURVE('',#178128,#178129,#106536,.F.); #224096=EDGE_CURVE('',#178129,#178130,#70928,.F.); #224097=EDGE_CURVE('',#178130,#178131,#106537,.F.); #224098=EDGE_CURVE('',#178131,#178132,#106538,.T.); #224099=EDGE_CURVE('',#178133,#178132,#106539,.T.); #224100=EDGE_CURVE('',#178134,#178133,#70929,.T.); #224101=EDGE_CURVE('',#178135,#178134,#106540,.T.); #224102=EDGE_CURVE('',#178136,#178135,#70930,.T.); #224103=EDGE_CURVE('',#178136,#178137,#106541,.T.); #224104=EDGE_CURVE('',#178137,#178127,#106542,.T.); #224105=EDGE_CURVE('',#178127,#178138,#106543,.T.); #224106=EDGE_CURVE('',#178137,#178139,#106544,.T.); #224107=EDGE_CURVE('',#178139,#178138,#106545,.T.); #224108=EDGE_CURVE('',#178140,#178141,#106546,.T.); #224109=EDGE_CURVE('',#178142,#178141,#106547,.F.); #224110=EDGE_CURVE('',#178138,#178142,#106548,.T.); #224111=EDGE_CURVE('',#178139,#178143,#106549,.T.); #224112=EDGE_CURVE('',#178140,#178143,#106550,.T.); #224113=EDGE_CURVE('',#178144,#178145,#106551,.T.); #224114=EDGE_CURVE('',#178141,#178145,#106552,.T.); #224115=EDGE_CURVE('',#178144,#178140,#106553,.T.); #224116=EDGE_CURVE('',#178146,#178114,#106554,.T.); #224117=EDGE_CURVE('',#178147,#178112,#106555,.T.); #224118=EDGE_CURVE('',#178145,#178147,#106556,.F.); #224119=EDGE_CURVE('',#178146,#178144,#106557,.T.); #224120=EDGE_CURVE('',#178117,#178136,#106558,.T.); #224121=EDGE_CURVE('',#178143,#178146,#106559,.T.); #224122=EDGE_CURVE('',#178116,#178126,#106560,.T.); #224123=EDGE_CURVE('',#178147,#178142,#106561,.T.); #224124=EDGE_CURVE('',#178132,#178121,#106562,.T.); #224125=EDGE_CURVE('',#178131,#178122,#106563,.F.); #224126=EDGE_CURVE('',#178135,#178118,#106564,.T.); #224127=EDGE_CURVE('',#178134,#178119,#106565,.T.); #224128=EDGE_CURVE('',#178133,#178120,#106566,.T.); #224129=EDGE_CURVE('',#178128,#178125,#106567,.F.); #224130=EDGE_CURVE('',#178129,#178124,#106568,.T.); #224131=EDGE_CURVE('',#178130,#178123,#106569,.F.); #224132=EDGE_CURVE('',#178148,#178149,#106570,.T.); #224133=EDGE_CURVE('',#178150,#178148,#106571,.T.); #224134=EDGE_CURVE('',#178150,#178151,#106572,.T.); #224135=EDGE_CURVE('',#178151,#178149,#106573,.T.); #224136=EDGE_CURVE('',#178149,#178152,#106574,.T.); #224137=EDGE_CURVE('',#178151,#178153,#106575,.T.); #224138=EDGE_CURVE('',#178153,#178154,#70931,.T.); #224139=EDGE_CURVE('',#178154,#178155,#106576,.T.); #224140=EDGE_CURVE('',#178155,#178156,#70932,.T.); #224141=EDGE_CURVE('',#178156,#178157,#106577,.T.); #224142=EDGE_CURVE('',#178158,#178157,#106578,.T.); #224143=EDGE_CURVE('',#178159,#178158,#106579,.F.); #224144=EDGE_CURVE('',#178160,#178159,#70933,.F.); #224145=EDGE_CURVE('',#178161,#178160,#106580,.F.); #224146=EDGE_CURVE('',#178152,#178161,#70934,.T.); #224147=EDGE_CURVE('',#178162,#178163,#106581,.T.); #224148=EDGE_CURVE('',#178162,#178164,#70935,.T.); #224149=EDGE_CURVE('',#178164,#178165,#106582,.F.); #224150=EDGE_CURVE('',#178165,#178166,#70936,.F.); #224151=EDGE_CURVE('',#178166,#178167,#106583,.F.); #224152=EDGE_CURVE('',#178167,#178168,#106584,.T.); #224153=EDGE_CURVE('',#178169,#178168,#106585,.T.); #224154=EDGE_CURVE('',#178170,#178169,#70937,.T.); #224155=EDGE_CURVE('',#178171,#178170,#106586,.T.); #224156=EDGE_CURVE('',#178172,#178171,#70938,.T.); #224157=EDGE_CURVE('',#178172,#178173,#106587,.T.); #224158=EDGE_CURVE('',#178173,#178163,#106588,.T.); #224159=EDGE_CURVE('',#178163,#178174,#106589,.T.); #224160=EDGE_CURVE('',#178173,#178175,#106590,.T.); #224161=EDGE_CURVE('',#178175,#178174,#106591,.T.); #224162=EDGE_CURVE('',#178176,#178177,#106592,.T.); #224163=EDGE_CURVE('',#178178,#178177,#106593,.F.); #224164=EDGE_CURVE('',#178174,#178178,#106594,.T.); #224165=EDGE_CURVE('',#178175,#178179,#106595,.T.); #224166=EDGE_CURVE('',#178176,#178179,#106596,.T.); #224167=EDGE_CURVE('',#178180,#178181,#106597,.T.); #224168=EDGE_CURVE('',#178177,#178181,#106598,.T.); #224169=EDGE_CURVE('',#178180,#178176,#106599,.T.); #224170=EDGE_CURVE('',#178182,#178150,#106600,.T.); #224171=EDGE_CURVE('',#178183,#178148,#106601,.T.); #224172=EDGE_CURVE('',#178181,#178183,#106602,.F.); #224173=EDGE_CURVE('',#178182,#178180,#106603,.T.); #224174=EDGE_CURVE('',#178153,#178172,#106604,.T.); #224175=EDGE_CURVE('',#178179,#178182,#106605,.T.); #224176=EDGE_CURVE('',#178152,#178162,#106606,.T.); #224177=EDGE_CURVE('',#178183,#178178,#106607,.T.); #224178=EDGE_CURVE('',#178168,#178157,#106608,.T.); #224179=EDGE_CURVE('',#178167,#178158,#106609,.F.); #224180=EDGE_CURVE('',#178171,#178154,#106610,.T.); #224181=EDGE_CURVE('',#178170,#178155,#106611,.T.); #224182=EDGE_CURVE('',#178169,#178156,#106612,.T.); #224183=EDGE_CURVE('',#178164,#178161,#106613,.F.); #224184=EDGE_CURVE('',#178165,#178160,#106614,.T.); #224185=EDGE_CURVE('',#178166,#178159,#106615,.F.); #224186=EDGE_CURVE('',#178184,#178185,#106616,.T.); #224187=EDGE_CURVE('',#178186,#178184,#106617,.T.); #224188=EDGE_CURVE('',#178186,#178187,#106618,.T.); #224189=EDGE_CURVE('',#178187,#178185,#106619,.T.); #224190=EDGE_CURVE('',#178185,#178188,#106620,.T.); #224191=EDGE_CURVE('',#178187,#178189,#106621,.T.); #224192=EDGE_CURVE('',#178189,#178190,#70939,.T.); #224193=EDGE_CURVE('',#178190,#178191,#106622,.T.); #224194=EDGE_CURVE('',#178191,#178192,#70940,.T.); #224195=EDGE_CURVE('',#178192,#178193,#106623,.T.); #224196=EDGE_CURVE('',#178194,#178193,#106624,.T.); #224197=EDGE_CURVE('',#178195,#178194,#106625,.F.); #224198=EDGE_CURVE('',#178196,#178195,#70941,.F.); #224199=EDGE_CURVE('',#178197,#178196,#106626,.F.); #224200=EDGE_CURVE('',#178188,#178197,#70942,.T.); #224201=EDGE_CURVE('',#178198,#178199,#106627,.T.); #224202=EDGE_CURVE('',#178198,#178200,#70943,.T.); #224203=EDGE_CURVE('',#178200,#178201,#106628,.F.); #224204=EDGE_CURVE('',#178201,#178202,#70944,.F.); #224205=EDGE_CURVE('',#178202,#178203,#106629,.F.); #224206=EDGE_CURVE('',#178203,#178204,#106630,.T.); #224207=EDGE_CURVE('',#178205,#178204,#106631,.T.); #224208=EDGE_CURVE('',#178206,#178205,#70945,.T.); #224209=EDGE_CURVE('',#178207,#178206,#106632,.T.); #224210=EDGE_CURVE('',#178208,#178207,#70946,.T.); #224211=EDGE_CURVE('',#178208,#178209,#106633,.T.); #224212=EDGE_CURVE('',#178209,#178199,#106634,.T.); #224213=EDGE_CURVE('',#178199,#178210,#106635,.T.); #224214=EDGE_CURVE('',#178209,#178211,#106636,.T.); #224215=EDGE_CURVE('',#178211,#178210,#106637,.T.); #224216=EDGE_CURVE('',#178212,#178213,#106638,.T.); #224217=EDGE_CURVE('',#178214,#178213,#106639,.F.); #224218=EDGE_CURVE('',#178210,#178214,#106640,.T.); #224219=EDGE_CURVE('',#178211,#178215,#106641,.T.); #224220=EDGE_CURVE('',#178212,#178215,#106642,.T.); #224221=EDGE_CURVE('',#178216,#178217,#106643,.T.); #224222=EDGE_CURVE('',#178213,#178217,#106644,.T.); #224223=EDGE_CURVE('',#178216,#178212,#106645,.T.); #224224=EDGE_CURVE('',#178218,#178186,#106646,.T.); #224225=EDGE_CURVE('',#178219,#178184,#106647,.T.); #224226=EDGE_CURVE('',#178217,#178219,#106648,.F.); #224227=EDGE_CURVE('',#178218,#178216,#106649,.T.); #224228=EDGE_CURVE('',#178189,#178208,#106650,.T.); #224229=EDGE_CURVE('',#178215,#178218,#106651,.T.); #224230=EDGE_CURVE('',#178188,#178198,#106652,.T.); #224231=EDGE_CURVE('',#178219,#178214,#106653,.T.); #224232=EDGE_CURVE('',#178204,#178193,#106654,.T.); #224233=EDGE_CURVE('',#178203,#178194,#106655,.F.); #224234=EDGE_CURVE('',#178207,#178190,#106656,.T.); #224235=EDGE_CURVE('',#178206,#178191,#106657,.T.); #224236=EDGE_CURVE('',#178205,#178192,#106658,.T.); #224237=EDGE_CURVE('',#178200,#178197,#106659,.F.); #224238=EDGE_CURVE('',#178201,#178196,#106660,.T.); #224239=EDGE_CURVE('',#178202,#178195,#106661,.F.); #224240=EDGE_CURVE('',#178220,#178221,#106662,.T.); #224241=EDGE_CURVE('',#178222,#178220,#106663,.T.); #224242=EDGE_CURVE('',#178222,#178223,#106664,.T.); #224243=EDGE_CURVE('',#178223,#178221,#106665,.T.); #224244=EDGE_CURVE('',#178221,#178224,#106666,.T.); #224245=EDGE_CURVE('',#178223,#178225,#106667,.T.); #224246=EDGE_CURVE('',#178225,#178226,#70947,.T.); #224247=EDGE_CURVE('',#178226,#178227,#106668,.T.); #224248=EDGE_CURVE('',#178227,#178228,#70948,.T.); #224249=EDGE_CURVE('',#178228,#178229,#106669,.T.); #224250=EDGE_CURVE('',#178230,#178229,#106670,.T.); #224251=EDGE_CURVE('',#178231,#178230,#106671,.F.); #224252=EDGE_CURVE('',#178232,#178231,#70949,.F.); #224253=EDGE_CURVE('',#178233,#178232,#106672,.F.); #224254=EDGE_CURVE('',#178224,#178233,#70950,.T.); #224255=EDGE_CURVE('',#178234,#178235,#106673,.T.); #224256=EDGE_CURVE('',#178234,#178236,#70951,.T.); #224257=EDGE_CURVE('',#178236,#178237,#106674,.F.); #224258=EDGE_CURVE('',#178237,#178238,#70952,.F.); #224259=EDGE_CURVE('',#178238,#178239,#106675,.F.); #224260=EDGE_CURVE('',#178239,#178240,#106676,.T.); #224261=EDGE_CURVE('',#178241,#178240,#106677,.T.); #224262=EDGE_CURVE('',#178242,#178241,#70953,.T.); #224263=EDGE_CURVE('',#178243,#178242,#106678,.T.); #224264=EDGE_CURVE('',#178244,#178243,#70954,.T.); #224265=EDGE_CURVE('',#178244,#178245,#106679,.T.); #224266=EDGE_CURVE('',#178245,#178235,#106680,.T.); #224267=EDGE_CURVE('',#178235,#178246,#106681,.T.); #224268=EDGE_CURVE('',#178245,#178247,#106682,.T.); #224269=EDGE_CURVE('',#178247,#178246,#106683,.T.); #224270=EDGE_CURVE('',#178248,#178249,#106684,.T.); #224271=EDGE_CURVE('',#178250,#178249,#106685,.F.); #224272=EDGE_CURVE('',#178246,#178250,#106686,.T.); #224273=EDGE_CURVE('',#178247,#178251,#106687,.T.); #224274=EDGE_CURVE('',#178248,#178251,#106688,.T.); #224275=EDGE_CURVE('',#178252,#178253,#106689,.T.); #224276=EDGE_CURVE('',#178249,#178253,#106690,.T.); #224277=EDGE_CURVE('',#178252,#178248,#106691,.T.); #224278=EDGE_CURVE('',#178254,#178222,#106692,.T.); #224279=EDGE_CURVE('',#178255,#178220,#106693,.T.); #224280=EDGE_CURVE('',#178253,#178255,#106694,.F.); #224281=EDGE_CURVE('',#178254,#178252,#106695,.T.); #224282=EDGE_CURVE('',#178225,#178244,#106696,.T.); #224283=EDGE_CURVE('',#178251,#178254,#106697,.T.); #224284=EDGE_CURVE('',#178224,#178234,#106698,.T.); #224285=EDGE_CURVE('',#178255,#178250,#106699,.T.); #224286=EDGE_CURVE('',#178240,#178229,#106700,.T.); #224287=EDGE_CURVE('',#178239,#178230,#106701,.F.); #224288=EDGE_CURVE('',#178243,#178226,#106702,.T.); #224289=EDGE_CURVE('',#178242,#178227,#106703,.T.); #224290=EDGE_CURVE('',#178241,#178228,#106704,.T.); #224291=EDGE_CURVE('',#178236,#178233,#106705,.F.); #224292=EDGE_CURVE('',#178237,#178232,#106706,.T.); #224293=EDGE_CURVE('',#178238,#178231,#106707,.F.); #224294=EDGE_CURVE('',#178256,#178257,#106708,.T.); #224295=EDGE_CURVE('',#178258,#178256,#106709,.T.); #224296=EDGE_CURVE('',#178258,#178259,#106710,.T.); #224297=EDGE_CURVE('',#178259,#178257,#106711,.T.); #224298=EDGE_CURVE('',#178257,#178260,#106712,.T.); #224299=EDGE_CURVE('',#178259,#178261,#106713,.T.); #224300=EDGE_CURVE('',#178261,#178262,#70955,.T.); #224301=EDGE_CURVE('',#178262,#178263,#106714,.T.); #224302=EDGE_CURVE('',#178263,#178264,#70956,.T.); #224303=EDGE_CURVE('',#178264,#178265,#106715,.T.); #224304=EDGE_CURVE('',#178266,#178265,#106716,.T.); #224305=EDGE_CURVE('',#178267,#178266,#106717,.F.); #224306=EDGE_CURVE('',#178268,#178267,#70957,.F.); #224307=EDGE_CURVE('',#178269,#178268,#106718,.F.); #224308=EDGE_CURVE('',#178260,#178269,#70958,.T.); #224309=EDGE_CURVE('',#178270,#178271,#106719,.T.); #224310=EDGE_CURVE('',#178270,#178272,#70959,.T.); #224311=EDGE_CURVE('',#178272,#178273,#106720,.F.); #224312=EDGE_CURVE('',#178273,#178274,#70960,.F.); #224313=EDGE_CURVE('',#178274,#178275,#106721,.F.); #224314=EDGE_CURVE('',#178275,#178276,#106722,.T.); #224315=EDGE_CURVE('',#178277,#178276,#106723,.T.); #224316=EDGE_CURVE('',#178278,#178277,#70961,.T.); #224317=EDGE_CURVE('',#178279,#178278,#106724,.T.); #224318=EDGE_CURVE('',#178280,#178279,#70962,.T.); #224319=EDGE_CURVE('',#178280,#178281,#106725,.T.); #224320=EDGE_CURVE('',#178281,#178271,#106726,.T.); #224321=EDGE_CURVE('',#178271,#178282,#106727,.T.); #224322=EDGE_CURVE('',#178281,#178283,#106728,.T.); #224323=EDGE_CURVE('',#178283,#178282,#106729,.T.); #224324=EDGE_CURVE('',#178284,#178285,#106730,.T.); #224325=EDGE_CURVE('',#178286,#178285,#106731,.F.); #224326=EDGE_CURVE('',#178282,#178286,#106732,.T.); #224327=EDGE_CURVE('',#178283,#178287,#106733,.T.); #224328=EDGE_CURVE('',#178284,#178287,#106734,.T.); #224329=EDGE_CURVE('',#178288,#178289,#106735,.T.); #224330=EDGE_CURVE('',#178285,#178289,#106736,.T.); #224331=EDGE_CURVE('',#178288,#178284,#106737,.T.); #224332=EDGE_CURVE('',#178290,#178258,#106738,.T.); #224333=EDGE_CURVE('',#178291,#178256,#106739,.T.); #224334=EDGE_CURVE('',#178289,#178291,#106740,.F.); #224335=EDGE_CURVE('',#178290,#178288,#106741,.T.); #224336=EDGE_CURVE('',#178261,#178280,#106742,.T.); #224337=EDGE_CURVE('',#178287,#178290,#106743,.T.); #224338=EDGE_CURVE('',#178260,#178270,#106744,.T.); #224339=EDGE_CURVE('',#178291,#178286,#106745,.T.); #224340=EDGE_CURVE('',#178276,#178265,#106746,.T.); #224341=EDGE_CURVE('',#178275,#178266,#106747,.F.); #224342=EDGE_CURVE('',#178279,#178262,#106748,.T.); #224343=EDGE_CURVE('',#178278,#178263,#106749,.T.); #224344=EDGE_CURVE('',#178277,#178264,#106750,.T.); #224345=EDGE_CURVE('',#178272,#178269,#106751,.F.); #224346=EDGE_CURVE('',#178273,#178268,#106752,.T.); #224347=EDGE_CURVE('',#178274,#178267,#106753,.F.); #224348=EDGE_CURVE('',#178292,#178293,#106754,.T.); #224349=EDGE_CURVE('',#178294,#178292,#106755,.T.); #224350=EDGE_CURVE('',#178294,#178295,#106756,.T.); #224351=EDGE_CURVE('',#178295,#178293,#106757,.T.); #224352=EDGE_CURVE('',#178293,#178296,#106758,.T.); #224353=EDGE_CURVE('',#178295,#178297,#106759,.T.); #224354=EDGE_CURVE('',#178297,#178298,#70963,.T.); #224355=EDGE_CURVE('',#178298,#178299,#106760,.T.); #224356=EDGE_CURVE('',#178299,#178300,#70964,.T.); #224357=EDGE_CURVE('',#178300,#178301,#106761,.T.); #224358=EDGE_CURVE('',#178302,#178301,#106762,.T.); #224359=EDGE_CURVE('',#178303,#178302,#106763,.F.); #224360=EDGE_CURVE('',#178304,#178303,#70965,.F.); #224361=EDGE_CURVE('',#178305,#178304,#106764,.F.); #224362=EDGE_CURVE('',#178296,#178305,#70966,.T.); #224363=EDGE_CURVE('',#178306,#178307,#106765,.T.); #224364=EDGE_CURVE('',#178306,#178308,#70967,.T.); #224365=EDGE_CURVE('',#178308,#178309,#106766,.F.); #224366=EDGE_CURVE('',#178309,#178310,#70968,.F.); #224367=EDGE_CURVE('',#178310,#178311,#106767,.F.); #224368=EDGE_CURVE('',#178311,#178312,#106768,.T.); #224369=EDGE_CURVE('',#178313,#178312,#106769,.T.); #224370=EDGE_CURVE('',#178314,#178313,#70969,.T.); #224371=EDGE_CURVE('',#178315,#178314,#106770,.T.); #224372=EDGE_CURVE('',#178316,#178315,#70970,.T.); #224373=EDGE_CURVE('',#178316,#178317,#106771,.T.); #224374=EDGE_CURVE('',#178317,#178307,#106772,.T.); #224375=EDGE_CURVE('',#178307,#178318,#106773,.T.); #224376=EDGE_CURVE('',#178317,#178319,#106774,.T.); #224377=EDGE_CURVE('',#178319,#178318,#106775,.T.); #224378=EDGE_CURVE('',#178320,#178321,#106776,.T.); #224379=EDGE_CURVE('',#178322,#178321,#106777,.F.); #224380=EDGE_CURVE('',#178318,#178322,#106778,.T.); #224381=EDGE_CURVE('',#178319,#178323,#106779,.T.); #224382=EDGE_CURVE('',#178320,#178323,#106780,.T.); #224383=EDGE_CURVE('',#178324,#178325,#106781,.T.); #224384=EDGE_CURVE('',#178321,#178325,#106782,.T.); #224385=EDGE_CURVE('',#178324,#178320,#106783,.T.); #224386=EDGE_CURVE('',#178326,#178294,#106784,.T.); #224387=EDGE_CURVE('',#178327,#178292,#106785,.T.); #224388=EDGE_CURVE('',#178325,#178327,#106786,.F.); #224389=EDGE_CURVE('',#178326,#178324,#106787,.T.); #224390=EDGE_CURVE('',#178297,#178316,#106788,.T.); #224391=EDGE_CURVE('',#178323,#178326,#106789,.T.); #224392=EDGE_CURVE('',#178296,#178306,#106790,.T.); #224393=EDGE_CURVE('',#178327,#178322,#106791,.T.); #224394=EDGE_CURVE('',#178312,#178301,#106792,.T.); #224395=EDGE_CURVE('',#178311,#178302,#106793,.F.); #224396=EDGE_CURVE('',#178315,#178298,#106794,.T.); #224397=EDGE_CURVE('',#178314,#178299,#106795,.T.); #224398=EDGE_CURVE('',#178313,#178300,#106796,.T.); #224399=EDGE_CURVE('',#178308,#178305,#106797,.F.); #224400=EDGE_CURVE('',#178309,#178304,#106798,.T.); #224401=EDGE_CURVE('',#178310,#178303,#106799,.F.); #224402=EDGE_CURVE('',#178328,#178329,#106800,.T.); #224403=EDGE_CURVE('',#178330,#178329,#106801,.T.); #224404=EDGE_CURVE('',#178331,#178330,#106802,.T.); #224405=EDGE_CURVE('',#178331,#178328,#106803,.T.); #224406=EDGE_CURVE('',#178329,#178332,#106804,.T.); #224407=EDGE_CURVE('',#178333,#178332,#106805,.T.); #224408=EDGE_CURVE('',#178330,#178333,#106806,.T.); #224409=EDGE_CURVE('',#178332,#178334,#106807,.T.); #224410=EDGE_CURVE('',#178335,#178334,#106808,.T.); #224411=EDGE_CURVE('',#178333,#178335,#106809,.T.); #224412=EDGE_CURVE('',#178334,#178336,#106810,.T.); #224413=EDGE_CURVE('',#178337,#178336,#106811,.T.); #224414=EDGE_CURVE('',#178335,#178337,#106812,.T.); #224415=EDGE_CURVE('',#178336,#178338,#70971,.T.); #224416=EDGE_CURVE('',#178339,#178338,#106813,.T.); #224417=EDGE_CURVE('',#178337,#178339,#70972,.T.); #224418=EDGE_CURVE('',#178338,#178340,#106814,.T.); #224419=EDGE_CURVE('',#178341,#178340,#106815,.T.); #224420=EDGE_CURVE('',#178339,#178341,#106816,.T.); #224421=EDGE_CURVE('',#178340,#178342,#106817,.T.); #224422=EDGE_CURVE('',#178343,#178342,#106818,.T.); #224423=EDGE_CURVE('',#178341,#178343,#106819,.T.); #224424=EDGE_CURVE('',#178342,#178344,#106820,.T.); #224425=EDGE_CURVE('',#178345,#178344,#106821,.T.); #224426=EDGE_CURVE('',#178343,#178345,#106822,.T.); #224427=EDGE_CURVE('',#178344,#178346,#70973,.T.); #224428=EDGE_CURVE('',#178347,#178346,#106823,.T.); #224429=EDGE_CURVE('',#178345,#178347,#70974,.T.); #224430=EDGE_CURVE('',#178346,#178348,#106824,.T.); #224431=EDGE_CURVE('',#178349,#178348,#106825,.T.); #224432=EDGE_CURVE('',#178347,#178349,#106826,.T.); #224433=EDGE_CURVE('',#178348,#178350,#70975,.T.); #224434=EDGE_CURVE('',#178351,#178350,#106827,.T.); #224435=EDGE_CURVE('',#178349,#178351,#70976,.T.); #224436=EDGE_CURVE('',#178350,#178352,#70977,.T.); #224437=EDGE_CURVE('',#178353,#178352,#106828,.T.); #224438=EDGE_CURVE('',#178351,#178353,#70978,.T.); #224439=EDGE_CURVE('',#178352,#178354,#106829,.T.); #224440=EDGE_CURVE('',#178355,#178354,#106830,.T.); #224441=EDGE_CURVE('',#178353,#178355,#106831,.T.); #224442=EDGE_CURVE('',#178354,#178356,#70979,.T.); #224443=EDGE_CURVE('',#178357,#178356,#106832,.T.); #224444=EDGE_CURVE('',#178355,#178357,#70980,.T.); #224445=EDGE_CURVE('',#178356,#178358,#106833,.T.); #224446=EDGE_CURVE('',#178359,#178358,#106834,.T.); #224447=EDGE_CURVE('',#178357,#178359,#106835,.T.); #224448=EDGE_CURVE('',#178358,#178360,#70981,.T.); #224449=EDGE_CURVE('',#178361,#178360,#106836,.T.); #224450=EDGE_CURVE('',#178359,#178361,#70982,.T.); #224451=EDGE_CURVE('',#178360,#178362,#106837,.T.); #224452=EDGE_CURVE('',#178363,#178362,#106838,.T.); #224453=EDGE_CURVE('',#178361,#178363,#106839,.T.); #224454=EDGE_CURVE('',#178362,#178364,#106840,.T.); #224455=EDGE_CURVE('',#178365,#178364,#106841,.T.); #224456=EDGE_CURVE('',#178363,#178365,#106842,.T.); #224457=EDGE_CURVE('',#178364,#178366,#106843,.T.); #224458=EDGE_CURVE('',#178367,#178366,#106844,.T.); #224459=EDGE_CURVE('',#178365,#178367,#106845,.T.); #224460=EDGE_CURVE('',#178366,#178368,#106846,.T.); #224461=EDGE_CURVE('',#178369,#178368,#106847,.T.); #224462=EDGE_CURVE('',#178367,#178369,#106848,.T.); #224463=EDGE_CURVE('',#178368,#178370,#106849,.T.); #224464=EDGE_CURVE('',#178371,#178370,#106850,.T.); #224465=EDGE_CURVE('',#178369,#178371,#106851,.T.); #224466=EDGE_CURVE('',#178370,#178372,#106852,.T.); #224467=EDGE_CURVE('',#178373,#178372,#106853,.T.); #224468=EDGE_CURVE('',#178371,#178373,#106854,.T.); #224469=EDGE_CURVE('',#178372,#178374,#106855,.T.); #224470=EDGE_CURVE('',#178375,#178374,#106856,.T.); #224471=EDGE_CURVE('',#178373,#178375,#106857,.T.); #224472=EDGE_CURVE('',#178374,#178376,#70983,.T.); #224473=EDGE_CURVE('',#178377,#178376,#106858,.T.); #224474=EDGE_CURVE('',#178375,#178377,#70984,.T.); #224475=EDGE_CURVE('',#178376,#178378,#106859,.T.); #224476=EDGE_CURVE('',#178379,#178378,#106860,.T.); #224477=EDGE_CURVE('',#178377,#178379,#106861,.T.); #224478=EDGE_CURVE('',#178378,#178380,#70985,.T.); #224479=EDGE_CURVE('',#178381,#178380,#106862,.T.); #224480=EDGE_CURVE('',#178379,#178381,#70986,.T.); #224481=EDGE_CURVE('',#178380,#178382,#106863,.T.); #224482=EDGE_CURVE('',#178383,#178382,#106864,.T.); #224483=EDGE_CURVE('',#178381,#178383,#106865,.T.); #224484=EDGE_CURVE('',#178382,#178384,#70987,.T.); #224485=EDGE_CURVE('',#178385,#178384,#106866,.T.); #224486=EDGE_CURVE('',#178383,#178385,#70988,.T.); #224487=EDGE_CURVE('',#178384,#178386,#70989,.T.); #224488=EDGE_CURVE('',#178387,#178386,#106867,.T.); #224489=EDGE_CURVE('',#178385,#178387,#70990,.T.); #224490=EDGE_CURVE('',#178386,#178388,#106868,.T.); #224491=EDGE_CURVE('',#178389,#178388,#106869,.T.); #224492=EDGE_CURVE('',#178387,#178389,#106870,.T.); #224493=EDGE_CURVE('',#178388,#178390,#70991,.T.); #224494=EDGE_CURVE('',#178391,#178390,#106871,.T.); #224495=EDGE_CURVE('',#178389,#178391,#70992,.T.); #224496=EDGE_CURVE('',#178390,#178392,#106872,.T.); #224497=EDGE_CURVE('',#178393,#178392,#106873,.T.); #224498=EDGE_CURVE('',#178391,#178393,#106874,.T.); #224499=EDGE_CURVE('',#178392,#178394,#106875,.T.); #224500=EDGE_CURVE('',#178395,#178394,#106876,.T.); #224501=EDGE_CURVE('',#178393,#178395,#106877,.T.); #224502=EDGE_CURVE('',#178394,#178396,#106878,.T.); #224503=EDGE_CURVE('',#178397,#178396,#106879,.T.); #224504=EDGE_CURVE('',#178395,#178397,#106880,.T.); #224505=EDGE_CURVE('',#178396,#178398,#70993,.T.); #224506=EDGE_CURVE('',#178399,#178398,#106881,.T.); #224507=EDGE_CURVE('',#178397,#178399,#70994,.T.); #224508=EDGE_CURVE('',#178398,#178328,#106882,.T.); #224509=EDGE_CURVE('',#178399,#178331,#106883,.T.); #224510=EDGE_CURVE('',#178400,#178401,#106884,.T.); #224511=EDGE_CURVE('',#178401,#178402,#106885,.T.); #224512=EDGE_CURVE('',#178403,#178402,#106886,.F.); #224513=EDGE_CURVE('',#178400,#178403,#106887,.F.); #224514=EDGE_CURVE('',#178404,#178405,#106888,.T.); #224515=EDGE_CURVE('',#178404,#178406,#106889,.T.); #224516=EDGE_CURVE('',#178406,#178407,#106890,.T.); #224517=EDGE_CURVE('',#178405,#178407,#106891,.F.); #224518=EDGE_CURVE('',#178408,#178409,#70995,.F.); #224519=EDGE_CURVE('',#178410,#178408,#106892,.T.); #224520=EDGE_CURVE('',#178411,#178410,#70996,.T.); #224521=EDGE_CURVE('',#178412,#178411,#106893,.T.); #224522=EDGE_CURVE('',#178413,#178412,#70997,.T.); #224523=EDGE_CURVE('',#178414,#178413,#106894,.T.); #224524=EDGE_CURVE('',#178415,#178414,#70998,.F.); #224525=EDGE_CURVE('',#178415,#178416,#106895,.T.); #224526=EDGE_CURVE('',#178416,#178417,#70999,.T.); #224527=EDGE_CURVE('',#178417,#178418,#106896,.T.); #224528=EDGE_CURVE('',#178418,#178419,#71000,.F.); #224529=EDGE_CURVE('',#178419,#178420,#106897,.T.); #224530=EDGE_CURVE('',#178420,#178421,#71001,.F.); #224531=EDGE_CURVE('',#178421,#178422,#106898,.T.); #224532=EDGE_CURVE('',#178422,#178423,#71002,.T.); #224533=EDGE_CURVE('',#178423,#178424,#106899,.T.); #224534=EDGE_CURVE('',#178424,#178425,#106900,.T.); #224535=EDGE_CURVE('',#178426,#178425,#106901,.T.); #224536=EDGE_CURVE('',#178427,#178426,#106902,.T.); #224537=EDGE_CURVE('',#178428,#178427,#71003,.T.); #224538=EDGE_CURVE('',#178429,#178428,#106903,.T.); #224539=EDGE_CURVE('',#178430,#178429,#106904,.T.); #224540=EDGE_CURVE('',#178431,#178430,#71004,.T.); #224541=EDGE_CURVE('',#178432,#178431,#106905,.T.); #224542=EDGE_CURVE('',#178433,#178432,#71005,.T.); #224543=EDGE_CURVE('',#178434,#178433,#106906,.T.); #224544=EDGE_CURVE('',#178435,#178434,#71006,.F.); #224545=EDGE_CURVE('',#178436,#178435,#71007,.T.); #224546=EDGE_CURVE('',#178437,#178436,#106907,.T.); #224547=EDGE_CURVE('',#178438,#178437,#71008,.F.); #224548=EDGE_CURVE('',#178439,#178438,#106908,.T.); #224549=EDGE_CURVE('',#178440,#178439,#71009,.T.); #224550=EDGE_CURVE('',#178441,#178440,#106909,.T.); #224551=EDGE_CURVE('',#178442,#178441,#71010,.F.); #224552=EDGE_CURVE('',#178443,#178442,#106910,.T.); #224553=EDGE_CURVE('',#178443,#178444,#106911,.T.); #224554=EDGE_CURVE('',#178445,#178444,#71011,.T.); #224555=EDGE_CURVE('',#178446,#178445,#106912,.T.); #224556=EDGE_CURVE('',#178446,#178409,#106913,.T.); #224557=EDGE_CURVE('',#178447,#178448,#106914,.T.); #224558=EDGE_CURVE('',#178448,#178449,#106915,.T.); #224559=EDGE_CURVE('',#178450,#178449,#106916,.T.); #224560=EDGE_CURVE('',#178450,#178451,#106917,.T.); #224561=EDGE_CURVE('',#178451,#178452,#106918,.T.); #224562=EDGE_CURVE('',#178452,#178453,#106919,.T.); #224563=EDGE_CURVE('',#178453,#178454,#106920,.T.); #224564=EDGE_CURVE('',#178454,#178447,#106921,.T.); #224565=EDGE_CURVE('',#178455,#178456,#106922,.T.); #224566=EDGE_CURVE('',#178457,#178455,#71012,.T.); #224567=EDGE_CURVE('',#178458,#178457,#106923,.F.); #224568=EDGE_CURVE('',#178459,#178458,#106924,.T.); #224569=EDGE_CURVE('',#178460,#178459,#71013,.T.); #224570=EDGE_CURVE('',#178461,#178460,#106925,.T.); #224571=EDGE_CURVE('',#178462,#178461,#106926,.T.); #224572=EDGE_CURVE('',#178463,#178462,#71014,.T.); #224573=EDGE_CURVE('',#178464,#178463,#106927,.T.); #224574=EDGE_CURVE('',#178465,#178464,#71015,.T.); #224575=EDGE_CURVE('',#178466,#178465,#106928,.T.); #224576=EDGE_CURVE('',#178467,#178466,#71016,.T.); #224577=EDGE_CURVE('',#178467,#178468,#106929,.T.); #224578=EDGE_CURVE('',#178469,#178468,#71017,.T.); #224579=EDGE_CURVE('',#178470,#178469,#71018,.T.); #224580=EDGE_CURVE('',#178471,#178470,#106930,.T.); #224581=EDGE_CURVE('',#178472,#178471,#71019,.T.); #224582=EDGE_CURVE('',#178473,#178472,#106931,.T.); #224583=EDGE_CURVE('',#178474,#178473,#71020,.T.); #224584=EDGE_CURVE('',#178475,#178474,#106932,.T.); #224585=EDGE_CURVE('',#178476,#178475,#106933,.T.); #224586=EDGE_CURVE('',#178477,#178476,#71021,.T.); #224587=EDGE_CURVE('',#178478,#178477,#106934,.T.); #224588=EDGE_CURVE('',#178478,#178479,#106935,.T.); #224589=EDGE_CURVE('',#178479,#178480,#106936,.F.); #224590=EDGE_CURVE('',#178481,#178480,#106937,.F.); #224591=EDGE_CURVE('',#178481,#178482,#71022,.T.); #224592=EDGE_CURVE('',#178482,#178483,#106938,.T.); #224593=EDGE_CURVE('',#178483,#178484,#71023,.T.); #224594=EDGE_CURVE('',#178484,#178485,#106939,.T.); #224595=EDGE_CURVE('',#178485,#178486,#71024,.T.); #224596=EDGE_CURVE('',#178486,#178487,#106940,.T.); #224597=EDGE_CURVE('',#178487,#178488,#71025,.T.); #224598=EDGE_CURVE('',#178489,#178488,#106941,.F.); #224599=EDGE_CURVE('',#178490,#178489,#71026,.T.); #224600=EDGE_CURVE('',#178491,#178490,#106942,.T.); #224601=EDGE_CURVE('',#178492,#178491,#71027,.T.); #224602=EDGE_CURVE('',#178493,#178492,#106943,.T.); #224603=EDGE_CURVE('',#178456,#178493,#71028,.T.); #224604=EDGE_CURVE('',#178494,#178495,#106944,.T.); #224605=EDGE_CURVE('',#178496,#178494,#106945,.T.); #224606=EDGE_CURVE('',#178497,#178496,#106946,.T.); #224607=EDGE_CURVE('',#178498,#178497,#106947,.T.); #224608=EDGE_CURVE('',#178499,#178498,#106948,.T.); #224609=EDGE_CURVE('',#178500,#178499,#106949,.T.); #224610=EDGE_CURVE('',#178501,#178500,#106950,.T.); #224611=EDGE_CURVE('',#178501,#178495,#106951,.T.); #224612=EDGE_CURVE('',#178409,#178457,#106952,.T.); #224613=EDGE_CURVE('',#178458,#178446,#106953,.T.); #224614=EDGE_CURVE('',#178423,#178481,#106954,.T.); #224615=EDGE_CURVE('',#178480,#178502,#106955,.T.); #224616=EDGE_CURVE('',#178502,#178424,#106956,.T.); #224617=EDGE_CURVE('',#178503,#178504,#71029,.T.); #224618=EDGE_CURVE('',#178504,#178505,#106957,.T.); #224619=EDGE_CURVE('',#178505,#178506,#106958,.T.); #224620=EDGE_CURVE('',#178507,#178506,#71030,.T.); #224621=EDGE_CURVE('',#178503,#178507,#106959,.T.); #224622=EDGE_CURVE('',#178508,#178509,#71031,.T.); #224623=EDGE_CURVE('',#178509,#178510,#106960,.T.); #224624=EDGE_CURVE('',#178510,#178511,#106961,.T.); #224625=EDGE_CURVE('',#178512,#178511,#71032,.T.); #224626=EDGE_CURVE('',#178508,#178512,#106962,.T.); #224627=EDGE_CURVE('',#178513,#178514,#71033,.T.); #224628=EDGE_CURVE('',#178513,#178509,#106963,.T.); #224629=EDGE_CURVE('',#178508,#178515,#106964,.T.); #224630=EDGE_CURVE('',#178516,#178515,#71034,.T.); #224631=EDGE_CURVE('',#178516,#178504,#106965,.T.); #224632=EDGE_CURVE('',#178503,#178517,#106966,.T.); #224633=EDGE_CURVE('',#178517,#178518,#106967,.T.); #224634=EDGE_CURVE('',#178518,#178519,#106968,.T.); #224635=EDGE_CURVE('',#178520,#178519,#106969,.T.); #224636=EDGE_CURVE('',#178520,#178514,#106970,.T.); #224637=EDGE_CURVE('',#178521,#178522,#106971,.T.); #224638=EDGE_CURVE('',#178522,#178523,#106972,.T.); #224639=EDGE_CURVE('',#178523,#178524,#106973,.T.); #224640=EDGE_CURVE('',#178524,#178525,#106974,.T.); #224641=EDGE_CURVE('',#178525,#178526,#106975,.T.); #224642=EDGE_CURVE('',#178527,#178526,#106976,.T.); #224643=EDGE_CURVE('',#178527,#178528,#106977,.T.); #224644=EDGE_CURVE('',#178528,#178521,#106978,.T.); #224645=EDGE_CURVE('',#178529,#178530,#106979,.T.); #224646=EDGE_CURVE('',#178530,#178531,#106980,.T.); #224647=EDGE_CURVE('',#178532,#178531,#106981,.T.); #224648=EDGE_CURVE('',#178532,#178533,#106982,.T.); #224649=EDGE_CURVE('',#178533,#178534,#106983,.T.); #224650=EDGE_CURVE('',#178534,#178535,#106984,.T.); #224651=EDGE_CURVE('',#178535,#178536,#106985,.T.); #224652=EDGE_CURVE('',#178536,#178529,#106986,.T.); #224653=EDGE_CURVE('',#178537,#178538,#106987,.T.); #224654=EDGE_CURVE('',#178537,#178539,#71035,.F.); #224655=EDGE_CURVE('',#178539,#178540,#106988,.T.); #224656=EDGE_CURVE('',#178540,#178541,#71036,.T.); #224657=EDGE_CURVE('',#178541,#178542,#71037,.T.); #224658=EDGE_CURVE('',#178542,#178543,#106989,.T.); #224659=EDGE_CURVE('',#178543,#178544,#71038,.T.); #224660=EDGE_CURVE('',#178544,#178545,#106990,.T.); #224661=EDGE_CURVE('',#178545,#178546,#71039,.T.); #224662=EDGE_CURVE('',#178546,#178547,#106991,.T.); #224663=EDGE_CURVE('',#178547,#178548,#71040,.T.); #224664=EDGE_CURVE('',#178548,#178549,#106992,.T.); #224665=EDGE_CURVE('',#178549,#178550,#71041,.T.); #224666=EDGE_CURVE('',#178550,#178551,#106993,.T.); #224667=EDGE_CURVE('',#178551,#178552,#106994,.T.); #224668=EDGE_CURVE('',#178552,#178553,#106995,.T.); #224669=EDGE_CURVE('',#178553,#178554,#71042,.T.); #224670=EDGE_CURVE('',#178554,#178555,#71043,.T.); #224671=EDGE_CURVE('',#178555,#178556,#106996,.T.); #224672=EDGE_CURVE('',#178556,#178557,#106997,.T.); #224673=EDGE_CURVE('',#178557,#178558,#106998,.T.); #224674=EDGE_CURVE('',#178559,#178558,#106999,.T.); #224675=EDGE_CURVE('',#178559,#178560,#107000,.T.); #224676=EDGE_CURVE('',#178560,#178561,#71044,.F.); #224677=EDGE_CURVE('',#178562,#178561,#107001,.T.); #224678=EDGE_CURVE('',#178563,#178562,#71045,.T.); #224679=EDGE_CURVE('',#178564,#178563,#107002,.T.); #224680=EDGE_CURVE('',#178565,#178564,#71046,.T.); #224681=EDGE_CURVE('',#178566,#178565,#107003,.T.); #224682=EDGE_CURVE('',#178567,#178566,#71047,.T.); #224683=EDGE_CURVE('',#178568,#178567,#107004,.T.); #224684=EDGE_CURVE('',#178569,#178568,#71048,.T.); #224685=EDGE_CURVE('',#178570,#178569,#107005,.T.); #224686=EDGE_CURVE('',#178571,#178570,#71049,.T.); #224687=EDGE_CURVE('',#178572,#178571,#71050,.T.); #224688=EDGE_CURVE('',#178573,#178572,#71051,.T.); #224689=EDGE_CURVE('',#178574,#178573,#107006,.T.); #224690=EDGE_CURVE('',#178575,#178574,#71052,.T.); #224691=EDGE_CURVE('',#178576,#178575,#107007,.T.); #224692=EDGE_CURVE('',#178576,#178577,#71053,.F.); #224693=EDGE_CURVE('',#178577,#178578,#107008,.T.); #224694=EDGE_CURVE('',#178538,#178578,#107009,.T.); #224695=EDGE_CURVE('',#178579,#178580,#107010,.T.); #224696=EDGE_CURVE('',#178579,#178581,#107011,.T.); #224697=EDGE_CURVE('',#178581,#178582,#107012,.T.); #224698=EDGE_CURVE('',#178580,#178582,#107013,.T.); #224699=EDGE_CURVE('',#178583,#178584,#107014,.T.); #224700=EDGE_CURVE('',#178585,#178584,#71054,.F.); #224701=EDGE_CURVE('',#178585,#178586,#107015,.T.); #224702=EDGE_CURVE('',#178586,#178587,#107016,.T.); #224703=EDGE_CURVE('',#178587,#178588,#107017,.T.); #224704=EDGE_CURVE('',#178588,#178589,#71055,.T.); #224705=EDGE_CURVE('',#178589,#178590,#107018,.T.); #224706=EDGE_CURVE('',#178583,#178590,#107019,.T.); #224707=EDGE_CURVE('',#178591,#178592,#71056,.T.); #224708=EDGE_CURVE('',#178591,#178584,#107020,.T.); #224709=EDGE_CURVE('',#178593,#178583,#71057,.F.); #224710=EDGE_CURVE('',#178594,#178593,#107021,.T.); #224711=EDGE_CURVE('',#178595,#178594,#71058,.T.); #224712=EDGE_CURVE('',#178596,#178595,#107022,.T.); #224713=EDGE_CURVE('',#178597,#178596,#71059,.F.); #224714=EDGE_CURVE('',#178597,#178598,#107023,.T.); #224715=EDGE_CURVE('',#178598,#178599,#71060,.F.); #224716=EDGE_CURVE('',#178599,#178600,#71061,.T.); #224717=EDGE_CURVE('',#178600,#178601,#107024,.T.); #224718=EDGE_CURVE('',#178601,#178602,#71062,.F.); #224719=EDGE_CURVE('',#178602,#178603,#107025,.T.); #224720=EDGE_CURVE('',#178603,#178604,#71063,.F.); #224721=EDGE_CURVE('',#178604,#178605,#107026,.T.); #224722=EDGE_CURVE('',#178605,#178606,#107027,.T.); #224723=EDGE_CURVE('',#178606,#178607,#71064,.F.); #224724=EDGE_CURVE('',#178607,#178608,#107028,.T.); #224725=EDGE_CURVE('',#178608,#178609,#107029,.T.); #224726=EDGE_CURVE('',#178609,#178610,#107030,.T.); #224727=EDGE_CURVE('',#178611,#178610,#107031,.T.); #224728=EDGE_CURVE('',#178612,#178611,#71065,.T.); #224729=EDGE_CURVE('',#178613,#178612,#107032,.T.); #224730=EDGE_CURVE('',#178614,#178613,#71066,.T.); #224731=EDGE_CURVE('',#178615,#178614,#107033,.T.); #224732=EDGE_CURVE('',#178616,#178615,#71067,.T.); #224733=EDGE_CURVE('',#178617,#178616,#107034,.T.); #224734=EDGE_CURVE('',#178406,#178617,#71068,.T.); #224735=EDGE_CURVE('',#178404,#178618,#71069,.T.); #224736=EDGE_CURVE('',#178618,#178619,#107035,.T.); #224737=EDGE_CURVE('',#178619,#178620,#71070,.T.); #224738=EDGE_CURVE('',#178620,#178621,#107036,.T.); #224739=EDGE_CURVE('',#178621,#178622,#71071,.T.); #224740=EDGE_CURVE('',#178622,#178623,#107037,.T.); #224741=EDGE_CURVE('',#178623,#178401,#71072,.T.); #224742=EDGE_CURVE('',#178592,#178400,#107038,.T.); #224743=EDGE_CURVE('',#178624,#178625,#107039,.T.); #224744=EDGE_CURVE('',#178625,#178626,#107040,.T.); #224745=EDGE_CURVE('',#178626,#178627,#107041,.T.); #224746=EDGE_CURVE('',#178627,#178628,#107042,.T.); #224747=EDGE_CURVE('',#178628,#178629,#107043,.T.); #224748=EDGE_CURVE('',#178630,#178629,#107044,.T.); #224749=EDGE_CURVE('',#178630,#178631,#107045,.T.); #224750=EDGE_CURVE('',#178631,#178624,#107046,.T.); #224751=EDGE_CURVE('',#178625,#178632,#107047,.T.); #224752=EDGE_CURVE('',#178624,#178633,#107048,.T.); #224753=EDGE_CURVE('',#178633,#178632,#107049,.T.); #224754=EDGE_CURVE('',#178629,#178634,#107050,.T.); #224755=EDGE_CURVE('',#178628,#178635,#107051,.T.); #224756=EDGE_CURVE('',#178635,#178634,#107052,.T.); #224757=EDGE_CURVE('',#178531,#178636,#107053,.T.); #224758=EDGE_CURVE('',#178530,#178637,#107054,.T.); #224759=EDGE_CURVE('',#178637,#178636,#107055,.T.); #224760=EDGE_CURVE('',#178535,#178638,#107056,.T.); #224761=EDGE_CURVE('',#178534,#178639,#107057,.T.); #224762=EDGE_CURVE('',#178639,#178638,#107058,.T.); #224763=EDGE_CURVE('',#178640,#178641,#3233,.T.); #224764=EDGE_CURVE('',#178642,#178640,#3234,.F.); #224765=EDGE_CURVE('',#178643,#178642,#3235,.T.); #224766=EDGE_CURVE('',#178644,#178643,#107059,.T.); #224767=EDGE_CURVE('',#178645,#178644,#107060,.F.); #224768=EDGE_CURVE('',#178641,#178645,#107061,.T.); #224769=EDGE_CURVE('',#178555,#178646,#107062,.T.); #224770=EDGE_CURVE('',#178646,#178647,#71073,.F.); #224771=EDGE_CURVE('',#178647,#178648,#107063,.F.); #224772=EDGE_CURVE('',#178514,#178648,#107064,.T.); #224773=EDGE_CURVE('',#178556,#178520,#71074,.T.); #224774=EDGE_CURVE('',#178649,#178650,#107065,.T.); #224775=EDGE_CURVE('',#178650,#178651,#107066,.T.); #224776=EDGE_CURVE('',#178652,#178651,#107067,.T.); #224777=EDGE_CURVE('',#178652,#178653,#107068,.T.); #224778=EDGE_CURVE('',#178653,#178654,#71075,.T.); #224779=EDGE_CURVE('',#178654,#178655,#71076,.T.); #224780=EDGE_CURVE('',#178655,#178656,#107069,.T.); #224781=EDGE_CURVE('',#178656,#178657,#107070,.T.); #224782=EDGE_CURVE('',#178657,#178658,#107071,.T.); #224783=EDGE_CURVE('',#178658,#178659,#71077,.T.); #224784=EDGE_CURVE('',#178659,#178660,#107072,.T.); #224785=EDGE_CURVE('',#178660,#178661,#71078,.T.); #224786=EDGE_CURVE('',#178661,#178662,#107073,.T.); #224787=EDGE_CURVE('',#178662,#178663,#71079,.T.); #224788=EDGE_CURVE('',#178663,#178664,#107074,.T.); #224789=EDGE_CURVE('',#178664,#178665,#71080,.T.); #224790=EDGE_CURVE('',#178665,#178666,#107075,.T.); #224791=EDGE_CURVE('',#178666,#178667,#71081,.T.); #224792=EDGE_CURVE('',#178667,#178668,#71082,.T.); #224793=EDGE_CURVE('',#178668,#178669,#107076,.T.); #224794=EDGE_CURVE('',#178669,#178670,#71083,.F.); #224795=EDGE_CURVE('',#178671,#178670,#107077,.T.); #224796=EDGE_CURVE('',#178671,#178672,#107078,.T.); #224797=EDGE_CURVE('',#178673,#178672,#107079,.T.); #224798=EDGE_CURVE('',#178673,#178674,#71084,.F.); #224799=EDGE_CURVE('',#178675,#178674,#107080,.T.); #224800=EDGE_CURVE('',#178676,#178675,#71085,.T.); #224801=EDGE_CURVE('',#178677,#178676,#107081,.T.); #224802=EDGE_CURVE('',#178678,#178677,#71086,.T.); #224803=EDGE_CURVE('',#178679,#178678,#71087,.T.); #224804=EDGE_CURVE('',#178680,#178679,#71088,.T.); #224805=EDGE_CURVE('',#178681,#178680,#107082,.T.); #224806=EDGE_CURVE('',#178682,#178681,#71089,.T.); #224807=EDGE_CURVE('',#178683,#178682,#107083,.T.); #224808=EDGE_CURVE('',#178684,#178683,#71090,.T.); #224809=EDGE_CURVE('',#178685,#178684,#107084,.T.); #224810=EDGE_CURVE('',#178686,#178685,#71091,.T.); #224811=EDGE_CURVE('',#178687,#178686,#107085,.T.); #224812=EDGE_CURVE('',#178688,#178687,#71092,.T.); #224813=EDGE_CURVE('',#178689,#178688,#107086,.T.); #224814=EDGE_CURVE('',#178689,#178690,#71093,.F.); #224815=EDGE_CURVE('',#178649,#178690,#107087,.T.); #224816=EDGE_CURVE('',#178691,#178692,#107088,.T.); #224817=EDGE_CURVE('',#178691,#178693,#107089,.T.); #224818=EDGE_CURVE('',#178693,#178694,#107090,.T.); #224819=EDGE_CURVE('',#178692,#178694,#107091,.T.); #224820=EDGE_CURVE('',#178444,#178695,#107092,.T.); #224821=EDGE_CURVE('',#178695,#178460,#107093,.T.); #224822=EDGE_CURVE('',#178445,#178459,#107094,.T.); #224823=EDGE_CURVE('',#178449,#178495,#107095,.T.); #224824=EDGE_CURVE('',#178448,#178494,#107096,.T.); #224825=EDGE_CURVE('',#178453,#178498,#107097,.T.); #224826=EDGE_CURVE('',#178452,#178499,#107098,.T.); #224827=EDGE_CURVE('',#178522,#178696,#107099,.T.); #224828=EDGE_CURVE('',#178521,#178697,#107100,.T.); #224829=EDGE_CURVE('',#178697,#178696,#107101,.T.); #224830=EDGE_CURVE('',#178526,#178698,#107102,.T.); #224831=EDGE_CURVE('',#178525,#178699,#107103,.T.); #224832=EDGE_CURVE('',#178699,#178698,#107104,.T.); #224833=EDGE_CURVE('',#178700,#178701,#107105,.T.); #224834=EDGE_CURVE('',#178701,#178702,#107106,.T.); #224835=EDGE_CURVE('',#178702,#178703,#107107,.F.); #224836=EDGE_CURVE('',#178704,#178703,#107108,.F.); #224837=EDGE_CURVE('',#178705,#178704,#107109,.T.); #224838=EDGE_CURVE('',#178705,#178706,#71094,.T.); #224839=EDGE_CURVE('',#178706,#178707,#107110,.T.); #224840=EDGE_CURVE('',#178707,#178708,#71095,.T.); #224841=EDGE_CURVE('',#178708,#178709,#107111,.T.); #224842=EDGE_CURVE('',#178709,#178710,#71096,.T.); #224843=EDGE_CURVE('',#178710,#178711,#107112,.T.); #224844=EDGE_CURVE('',#178711,#178712,#71097,.T.); #224845=EDGE_CURVE('',#178712,#178713,#107113,.T.); #224846=EDGE_CURVE('',#178713,#178714,#71098,.T.); #224847=EDGE_CURVE('',#178714,#178715,#107114,.T.); #224848=EDGE_CURVE('',#178715,#178716,#71099,.T.); #224849=EDGE_CURVE('',#178716,#178717,#71100,.T.); #224850=EDGE_CURVE('',#178717,#178718,#71101,.T.); #224851=EDGE_CURVE('',#178718,#178719,#107115,.T.); #224852=EDGE_CURVE('',#178719,#178720,#71102,.T.); #224853=EDGE_CURVE('',#178720,#178721,#107116,.T.); #224854=EDGE_CURVE('',#178721,#178722,#71103,.T.); #224855=EDGE_CURVE('',#178722,#178723,#107117,.T.); #224856=EDGE_CURVE('',#178724,#178723,#107118,.F.); #224857=EDGE_CURVE('',#178725,#178724,#107119,.T.); #224858=EDGE_CURVE('',#178725,#178726,#71104,.T.); #224859=EDGE_CURVE('',#178727,#178726,#107120,.T.); #224860=EDGE_CURVE('',#178728,#178727,#71105,.T.); #224861=EDGE_CURVE('',#178729,#178728,#71106,.T.); #224862=EDGE_CURVE('',#178730,#178729,#107121,.T.); #224863=EDGE_CURVE('',#178731,#178730,#71107,.T.); #224864=EDGE_CURVE('',#178732,#178731,#107122,.T.); #224865=EDGE_CURVE('',#178733,#178732,#71108,.T.); #224866=EDGE_CURVE('',#178734,#178733,#107123,.T.); #224867=EDGE_CURVE('',#178735,#178734,#71109,.T.); #224868=EDGE_CURVE('',#178736,#178735,#107124,.T.); #224869=EDGE_CURVE('',#178737,#178736,#71110,.T.); #224870=EDGE_CURVE('',#178738,#178737,#107125,.T.); #224871=EDGE_CURVE('',#178738,#178700,#107126,.T.); #224872=EDGE_CURVE('',#178739,#178740,#107127,.T.); #224873=EDGE_CURVE('',#178739,#178741,#107128,.T.); #224874=EDGE_CURVE('',#178741,#178742,#107129,.T.); #224875=EDGE_CURVE('',#178742,#178743,#71111,.T.); #224876=EDGE_CURVE('',#178744,#178743,#107130,.T.); #224877=EDGE_CURVE('',#178744,#178740,#71112,.T.); #224878=EDGE_CURVE('',#178701,#178745,#3236,.T.); #224879=EDGE_CURVE('',#178746,#178700,#107131,.F.); #224880=EDGE_CURVE('',#178747,#178746,#107132,.T.); #224881=EDGE_CURVE('',#178748,#178747,#3237,.T.); #224882=EDGE_CURVE('',#178745,#178748,#3238,.F.); #224883=EDGE_CURVE('',#178749,#178589,#107133,.T.); #224884=EDGE_CURVE('',#178750,#178749,#71113,.T.); #224885=EDGE_CURVE('',#178403,#178750,#107134,.T.); #224886=EDGE_CURVE('',#178751,#178402,#71114,.T.); #224887=EDGE_CURVE('',#178752,#178751,#107135,.T.); #224888=EDGE_CURVE('',#178753,#178752,#71115,.T.); #224889=EDGE_CURVE('',#178754,#178753,#107136,.T.); #224890=EDGE_CURVE('',#178755,#178754,#71116,.T.); #224891=EDGE_CURVE('',#178756,#178755,#107137,.T.); #224892=EDGE_CURVE('',#178405,#178756,#71117,.T.); #224893=EDGE_CURVE('',#178407,#178757,#71118,.T.); #224894=EDGE_CURVE('',#178757,#178758,#107138,.T.); #224895=EDGE_CURVE('',#178758,#178759,#71119,.T.); #224896=EDGE_CURVE('',#178759,#178760,#107139,.T.); #224897=EDGE_CURVE('',#178760,#178761,#71120,.T.); #224898=EDGE_CURVE('',#178761,#178762,#107140,.T.); #224899=EDGE_CURVE('',#178762,#178763,#71121,.T.); #224900=EDGE_CURVE('',#178763,#178764,#107141,.F.); #224901=EDGE_CURVE('',#178764,#178765,#107142,.F.); #224902=EDGE_CURVE('',#178766,#178765,#107143,.F.); #224903=EDGE_CURVE('',#178766,#178767,#107144,.T.); #224904=EDGE_CURVE('',#178767,#178768,#71122,.T.); #224905=EDGE_CURVE('',#178768,#178769,#107145,.T.); #224906=EDGE_CURVE('',#178769,#178770,#107146,.T.); #224907=EDGE_CURVE('',#178770,#178771,#71123,.T.); #224908=EDGE_CURVE('',#178771,#178772,#107147,.T.); #224909=EDGE_CURVE('',#178772,#178773,#71124,.T.); #224910=EDGE_CURVE('',#178773,#178774,#107148,.T.); #224911=EDGE_CURVE('',#178774,#178775,#71125,.T.); #224912=EDGE_CURVE('',#178775,#178776,#71126,.T.); #224913=EDGE_CURVE('',#178777,#178776,#107149,.F.); #224914=EDGE_CURVE('',#178778,#178777,#71127,.T.); #224915=EDGE_CURVE('',#178779,#178778,#107150,.T.); #224916=EDGE_CURVE('',#178780,#178779,#71128,.T.); #224917=EDGE_CURVE('',#178781,#178780,#107151,.T.); #224918=EDGE_CURVE('',#178590,#178781,#71129,.T.); #224919=EDGE_CURVE('',#178782,#178635,#107152,.T.); #224920=EDGE_CURVE('',#178783,#178782,#107153,.T.); #224921=EDGE_CURVE('',#178632,#178783,#107154,.T.); #224922=EDGE_CURVE('',#178784,#178633,#107155,.T.); #224923=EDGE_CURVE('',#178785,#178784,#107156,.T.); #224924=EDGE_CURVE('',#178785,#178634,#107157,.T.); #224925=EDGE_CURVE('',#178558,#178609,#71130,.T.); #224926=EDGE_CURVE('',#178608,#178559,#71131,.T.); #224927=EDGE_CURVE('',#178578,#178786,#71132,.T.); #224928=EDGE_CURVE('',#178786,#178598,#63827,.T.); #224929=EDGE_CURVE('',#178787,#178597,#63828,.T.); #224930=EDGE_CURVE('',#178538,#178787,#71133,.T.); #224931=EDGE_CURVE('',#178766,#178788,#71134,.T.); #224932=EDGE_CURVE('',#178765,#178789,#71135,.F.); #224933=EDGE_CURVE('',#178788,#178789,#107158,.T.); #224934=EDGE_CURVE('',#178790,#178776,#63829,.T.); #224935=EDGE_CURVE('',#178791,#178790,#71136,.T.); #224936=EDGE_CURVE('',#178792,#178791,#107159,.T.); #224937=EDGE_CURVE('',#178792,#178793,#71137,.T.); #224938=EDGE_CURVE('',#178793,#178777,#63830,.T.); #224939=EDGE_CURVE('',#178425,#178650,#71138,.T.); #224940=EDGE_CURVE('',#178426,#178649,#71139,.T.); #224941=EDGE_CURVE('',#178478,#178704,#71140,.T.); #224942=EDGE_CURVE('',#178703,#178479,#71141,.F.); #224943=EDGE_CURVE('',#178415,#178489,#107160,.T.); #224944=EDGE_CURVE('',#178416,#178488,#107161,.T.); #224945=EDGE_CURVE('',#178611,#178763,#107162,.T.); #224946=EDGE_CURVE('',#178610,#178794,#107163,.F.); #224947=EDGE_CURVE('',#178764,#178794,#107164,.T.); #224948=EDGE_CURVE('',#178653,#178795,#107165,.T.); #224949=EDGE_CURVE('',#178517,#178652,#71142,.T.); #224950=EDGE_CURVE('',#178507,#178796,#107166,.F.); #224951=EDGE_CURVE('',#178796,#178795,#71143,.F.); #224952=EDGE_CURVE('',#178515,#178797,#107167,.T.); #224953=EDGE_CURVE('',#178512,#178797,#107168,.F.); #224954=EDGE_CURVE('',#178794,#178798,#107169,.F.); #224955=EDGE_CURVE('',#178798,#178799,#71144,.T.); #224956=EDGE_CURVE('',#178799,#178800,#107170,.F.); #224957=EDGE_CURVE('',#178800,#178801,#71145,.T.); #224958=EDGE_CURVE('',#178801,#178802,#107171,.F.); #224959=EDGE_CURVE('',#178802,#178803,#71146,.T.); #224960=EDGE_CURVE('',#178803,#178804,#107172,.F.); #224961=EDGE_CURVE('',#178804,#178805,#71147,.T.); #224962=EDGE_CURVE('',#178805,#178502,#107173,.F.); #224963=EDGE_CURVE('',#178806,#178702,#71148,.F.); #224964=EDGE_CURVE('',#178807,#178806,#107174,.F.); #224965=EDGE_CURVE('',#178808,#178807,#71149,.F.); #224966=EDGE_CURVE('',#178789,#178808,#107175,.F.); #224967=EDGE_CURVE('',#178519,#178557,#71150,.T.); #224968=EDGE_CURVE('',#178651,#178518,#71151,.T.); #224969=EDGE_CURVE('',#178809,#178436,#63831,.T.); #224970=EDGE_CURVE('',#178672,#178809,#71152,.T.); #224971=EDGE_CURVE('',#178810,#178671,#71153,.T.); #224972=EDGE_CURVE('',#178437,#178810,#63832,.T.); #224973=EDGE_CURVE('',#178641,#178808,#107176,.F.); #224974=EDGE_CURVE('',#178645,#178811,#107177,.T.); #224975=EDGE_CURVE('',#178812,#178811,#107178,.T.); #224976=EDGE_CURVE('',#178813,#178812,#71154,.T.); #224977=EDGE_CURVE('',#178814,#178813,#107179,.T.); #224978=EDGE_CURVE('',#178815,#178814,#71155,.T.); #224979=EDGE_CURVE('',#178816,#178815,#107180,.T.); #224980=EDGE_CURVE('',#178817,#178816,#71156,.T.); #224981=EDGE_CURVE('',#178818,#178817,#107181,.T.); #224982=EDGE_CURVE('',#178819,#178818,#71157,.T.); #224983=EDGE_CURVE('',#178820,#178819,#107182,.T.); #224984=EDGE_CURVE('',#178821,#178820,#71158,.T.); #224985=EDGE_CURVE('',#178822,#178821,#71159,.T.); #224986=EDGE_CURVE('',#178823,#178822,#107183,.T.); #224987=EDGE_CURVE('',#178823,#178824,#71160,.T.); #224988=EDGE_CURVE('',#178824,#178792,#107184,.T.); #224989=EDGE_CURVE('',#178825,#178791,#107185,.T.); #224990=EDGE_CURVE('',#178825,#178826,#71161,.T.); #224991=EDGE_CURVE('',#178826,#178827,#107186,.T.); #224992=EDGE_CURVE('',#178827,#178828,#71162,.T.); #224993=EDGE_CURVE('',#178828,#178829,#107187,.T.); #224994=EDGE_CURVE('',#178829,#178830,#71163,.T.); #224995=EDGE_CURVE('',#178830,#178831,#71164,.T.); #224996=EDGE_CURVE('',#178831,#178832,#71165,.T.); #224997=EDGE_CURVE('',#178832,#178833,#107188,.T.); #224998=EDGE_CURVE('',#178833,#178834,#71166,.T.); #224999=EDGE_CURVE('',#178834,#178835,#107189,.T.); #225000=EDGE_CURVE('',#178835,#178836,#71167,.T.); #225001=EDGE_CURVE('',#178836,#178837,#107190,.T.); #225002=EDGE_CURVE('',#178837,#178838,#71168,.T.); #225003=EDGE_CURVE('',#178838,#178839,#107191,.T.); #225004=EDGE_CURVE('',#178839,#178840,#71169,.T.); #225005=EDGE_CURVE('',#178840,#178841,#107192,.T.); #225006=EDGE_CURVE('',#178841,#178842,#71170,.T.); #225007=EDGE_CURVE('',#178842,#178788,#107193,.T.); #225008=EDGE_CURVE('',#178843,#178844,#107194,.T.); #225009=EDGE_CURVE('',#178843,#178845,#107195,.T.); #225010=EDGE_CURVE('',#178845,#178846,#107196,.T.); #225011=EDGE_CURVE('',#178846,#178847,#71171,.T.); #225012=EDGE_CURVE('',#178848,#178847,#107197,.T.); #225013=EDGE_CURVE('',#178848,#178844,#71172,.T.); #225014=EDGE_CURVE('',#178647,#178807,#107198,.T.); #225015=EDGE_CURVE('',#178640,#178646,#63833,.T.); #225016=EDGE_CURVE('',#178849,#178511,#107199,.T.); #225017=EDGE_CURVE('',#178849,#178648,#71173,.T.); #225018=EDGE_CURVE('',#178796,#178806,#107200,.F.); #225019=EDGE_CURVE('',#178850,#178506,#107201,.T.); #225020=EDGE_CURVE('',#178850,#178797,#71174,.T.); #225021=EDGE_CURVE('',#178851,#178699,#107202,.T.); #225022=EDGE_CURVE('',#178852,#178851,#107203,.T.); #225023=EDGE_CURVE('',#178696,#178852,#107204,.T.); #225024=EDGE_CURVE('',#178853,#178697,#107205,.T.); #225025=EDGE_CURVE('',#178854,#178853,#107206,.T.); #225026=EDGE_CURVE('',#178854,#178698,#107207,.T.); #225027=EDGE_CURVE('',#178855,#178637,#107208,.T.); #225028=EDGE_CURVE('',#178856,#178855,#107209,.T.); #225029=EDGE_CURVE('',#178638,#178856,#107210,.T.); #225030=EDGE_CURVE('',#178857,#178639,#107211,.T.); #225031=EDGE_CURVE('',#178858,#178857,#107212,.T.); #225032=EDGE_CURVE('',#178858,#178636,#107213,.T.); #225033=EDGE_CURVE('',#178795,#178745,#63834,.T.); #225034=EDGE_CURVE('',#178723,#178859,#71175,.T.); #225035=EDGE_CURVE('',#178859,#178468,#63835,.T.); #225036=EDGE_CURVE('',#178467,#178860,#63836,.T.); #225037=EDGE_CURVE('',#178860,#178724,#71176,.T.); #225038=EDGE_CURVE('',#178516,#178861,#107214,.T.); #225039=EDGE_CURVE('',#178861,#178850,#107215,.T.); #225040=EDGE_CURVE('',#178513,#178862,#107216,.T.); #225041=EDGE_CURVE('',#178862,#178849,#107217,.T.); #225042=EDGE_CURVE('',#178810,#178860,#107218,.T.); #225043=EDGE_CURVE('',#178437,#178467,#107219,.T.); #225044=EDGE_CURVE('',#178670,#178725,#107220,.F.); #225045=EDGE_CURVE('',#178461,#178863,#71177,.T.); #225046=EDGE_CURVE('',#178863,#178864,#107221,.T.); #225047=EDGE_CURVE('',#178865,#178864,#107222,.T.); #225048=EDGE_CURVE('',#178865,#178866,#107223,.T.); #225049=EDGE_CURVE('',#178443,#178866,#71178,.T.); #225050=EDGE_CURVE('',#178442,#178462,#107224,.T.); #225051=EDGE_CURVE('',#178441,#178463,#107225,.T.); #225052=EDGE_CURVE('',#178440,#178464,#107226,.T.); #225053=EDGE_CURVE('',#178439,#178465,#107227,.T.); #225054=EDGE_CURVE('',#178438,#178466,#107228,.T.); #225055=EDGE_CURVE('',#178595,#178779,#107229,.T.); #225056=EDGE_CURVE('',#178596,#178778,#107230,.T.); #225057=EDGE_CURVE('',#178594,#178780,#107231,.T.); #225058=EDGE_CURVE('',#178593,#178781,#107232,.T.); #225059=EDGE_CURVE('',#178824,#178537,#107233,.F.); #225060=EDGE_CURVE('',#178787,#178793,#107234,.T.); #225061=EDGE_CURVE('',#178597,#178777,#107235,.T.); #225062=EDGE_CURVE('',#178592,#178750,#107236,.T.); #225063=EDGE_CURVE('',#178591,#178867,#107237,.T.); #225064=EDGE_CURVE('',#178867,#178749,#107238,.T.); #225065=EDGE_CURVE('',#178690,#178705,#107239,.F.); #225066=EDGE_CURVE('',#178427,#178477,#107240,.T.); #225067=EDGE_CURVE('',#178435,#178469,#107241,.T.); #225068=EDGE_CURVE('',#178809,#178859,#107242,.T.); #225069=EDGE_CURVE('',#178722,#178673,#107243,.F.); #225070=EDGE_CURVE('',#178428,#178476,#107244,.T.); #225071=EDGE_CURVE('',#178429,#178475,#107245,.T.); #225072=EDGE_CURVE('',#178430,#178474,#107246,.T.); #225073=EDGE_CURVE('',#178431,#178473,#107247,.T.); #225074=EDGE_CURVE('',#178432,#178472,#107248,.T.); #225075=EDGE_CURVE('',#178433,#178471,#107249,.T.); #225076=EDGE_CURVE('',#178434,#178470,#107250,.T.); #225077=EDGE_CURVE('',#178842,#178560,#107251,.T.); #225078=EDGE_CURVE('',#178607,#178767,#107252,.T.); #225079=EDGE_CURVE('',#178786,#178790,#107253,.T.); #225080=EDGE_CURVE('',#178599,#178775,#107254,.T.); #225081=EDGE_CURVE('',#178577,#178825,#107255,.T.); #225082=EDGE_CURVE('',#178606,#178768,#107256,.T.); #225083=EDGE_CURVE('',#178605,#178769,#107257,.T.); #225084=EDGE_CURVE('',#178604,#178770,#107258,.T.); #225085=EDGE_CURVE('',#178603,#178771,#107259,.T.); #225086=EDGE_CURVE('',#178602,#178772,#107260,.T.); #225087=EDGE_CURVE('',#178601,#178773,#107261,.T.); #225088=EDGE_CURVE('',#178600,#178774,#107262,.T.); #225089=EDGE_CURVE('',#178706,#178689,#107263,.F.); #225090=EDGE_CURVE('',#178688,#178707,#107264,.T.); #225091=EDGE_CURVE('',#178687,#178708,#107265,.T.); #225092=EDGE_CURVE('',#178686,#178709,#107266,.T.); #225093=EDGE_CURVE('',#178685,#178710,#107267,.T.); #225094=EDGE_CURVE('',#178684,#178711,#107268,.T.); #225095=EDGE_CURVE('',#178683,#178712,#107269,.T.); #225096=EDGE_CURVE('',#178682,#178713,#107270,.T.); #225097=EDGE_CURVE('',#178681,#178714,#107271,.T.); #225098=EDGE_CURVE('',#178680,#178715,#107272,.T.); #225099=EDGE_CURVE('',#178679,#178716,#107273,.T.); #225100=EDGE_CURVE('',#178678,#178717,#107274,.T.); #225101=EDGE_CURVE('',#178677,#178718,#107275,.T.); #225102=EDGE_CURVE('',#178676,#178719,#107276,.T.); #225103=EDGE_CURVE('',#178675,#178720,#107277,.T.); #225104=EDGE_CURVE('',#178674,#178721,#107278,.T.); #225105=EDGE_CURVE('',#178654,#178748,#107279,.T.); #225106=EDGE_CURVE('',#178655,#178747,#107280,.T.); #225107=EDGE_CURVE('',#178656,#178746,#107281,.T.); #225108=EDGE_CURVE('',#178746,#178868,#107282,.T.); #225109=EDGE_CURVE('',#178657,#178868,#107283,.T.); #225110=EDGE_CURVE('',#178868,#178738,#107284,.F.); #225111=EDGE_CURVE('',#178658,#178737,#107285,.T.); #225112=EDGE_CURVE('',#178659,#178736,#107286,.T.); #225113=EDGE_CURVE('',#178660,#178735,#107287,.T.); #225114=EDGE_CURVE('',#178661,#178734,#107288,.T.); #225115=EDGE_CURVE('',#178662,#178733,#107289,.T.); #225116=EDGE_CURVE('',#178663,#178732,#107290,.T.); #225117=EDGE_CURVE('',#178664,#178731,#107291,.T.); #225118=EDGE_CURVE('',#178665,#178730,#107292,.T.); #225119=EDGE_CURVE('',#178666,#178729,#107293,.T.); #225120=EDGE_CURVE('',#178667,#178728,#107294,.T.); #225121=EDGE_CURVE('',#178668,#178727,#107295,.T.); #225122=EDGE_CURVE('',#178726,#178669,#107296,.F.); #225123=EDGE_CURVE('',#178425,#178805,#107297,.T.); #225124=EDGE_CURVE('',#178650,#178804,#107298,.T.); #225125=EDGE_CURVE('',#178651,#178803,#107299,.T.); #225126=EDGE_CURVE('',#178518,#178802,#107300,.T.); #225127=EDGE_CURVE('',#178519,#178801,#107301,.T.); #225128=EDGE_CURVE('',#178557,#178800,#107302,.T.); #225129=EDGE_CURVE('',#178558,#178799,#107303,.T.); #225130=EDGE_CURVE('',#178609,#178798,#107304,.T.); #225131=EDGE_CURVE('',#178523,#178852,#107305,.T.); #225132=EDGE_CURVE('',#178524,#178851,#107306,.T.); #225133=EDGE_CURVE('',#178527,#178854,#107307,.T.); #225134=EDGE_CURVE('',#178528,#178853,#107308,.T.); #225135=EDGE_CURVE('',#178696,#178869,#71179,.T.); #225136=EDGE_CURVE('',#178869,#178870,#107309,.T.); #225137=EDGE_CURVE('',#178870,#178871,#71180,.T.); #225138=EDGE_CURVE('',#178871,#178872,#107310,.T.); #225139=EDGE_CURVE('',#178872,#178873,#71181,.T.); #225140=EDGE_CURVE('',#178873,#178874,#107311,.T.); #225141=EDGE_CURVE('',#178874,#178854,#71182,.T.); #225142=EDGE_CURVE('',#178875,#178527,#71183,.F.); #225143=EDGE_CURVE('',#178876,#178875,#107312,.F.); #225144=EDGE_CURVE('',#178877,#178876,#71184,.T.); #225145=EDGE_CURVE('',#178878,#178877,#107313,.F.); #225146=EDGE_CURVE('',#178879,#178878,#71185,.T.); #225147=EDGE_CURVE('',#178880,#178879,#107314,.F.); #225148=EDGE_CURVE('',#178522,#178880,#71186,.F.); #225149=EDGE_CURVE('',#178881,#178882,#107315,.F.); #225150=EDGE_CURVE('',#178882,#178883,#71187,.T.); #225151=EDGE_CURVE('',#178883,#178884,#107316,.F.); #225152=EDGE_CURVE('',#178884,#178885,#71188,.T.); #225153=EDGE_CURVE('',#178885,#178886,#107317,.F.); #225154=EDGE_CURVE('',#178886,#178526,#71189,.F.); #225155=EDGE_CURVE('',#178887,#178698,#71190,.T.); #225156=EDGE_CURVE('',#178888,#178887,#107318,.T.); #225157=EDGE_CURVE('',#178889,#178888,#71191,.T.); #225158=EDGE_CURVE('',#178890,#178889,#107319,.T.); #225159=EDGE_CURVE('',#178891,#178890,#71192,.T.); #225160=EDGE_CURVE('',#178892,#178891,#107320,.T.); #225161=EDGE_CURVE('',#178852,#178892,#71193,.T.); #225162=EDGE_CURVE('',#178523,#178881,#71194,.F.); #225163=EDGE_CURVE('',#178869,#178892,#107321,.T.); #225164=EDGE_CURVE('',#178870,#178891,#107322,.T.); #225165=EDGE_CURVE('',#178871,#178890,#107323,.T.); #225166=EDGE_CURVE('',#178872,#178889,#107324,.T.); #225167=EDGE_CURVE('',#178873,#178888,#107325,.T.); #225168=EDGE_CURVE('',#178874,#178887,#107326,.T.); #225169=EDGE_CURVE('',#178880,#178881,#107327,.F.); #225170=EDGE_CURVE('',#178879,#178882,#107328,.T.); #225171=EDGE_CURVE('',#178878,#178883,#107329,.F.); #225172=EDGE_CURVE('',#178877,#178884,#107330,.T.); #225173=EDGE_CURVE('',#178876,#178885,#107331,.F.); #225174=EDGE_CURVE('',#178875,#178886,#107332,.T.); #225175=EDGE_CURVE('',#178447,#178496,#107333,.T.); #225176=EDGE_CURVE('',#178450,#178501,#107334,.T.); #225177=EDGE_CURVE('',#178451,#178500,#107335,.T.); #225178=EDGE_CURVE('',#178454,#178497,#107336,.T.); #225179=EDGE_CURVE('',#178498,#178893,#71195,.T.); #225180=EDGE_CURVE('',#178893,#178894,#107337,.T.); #225181=EDGE_CURVE('',#178894,#178895,#71196,.T.); #225182=EDGE_CURVE('',#178895,#178896,#107338,.T.); #225183=EDGE_CURVE('',#178896,#178897,#71197,.T.); #225184=EDGE_CURVE('',#178897,#178898,#107339,.T.); #225185=EDGE_CURVE('',#178898,#178501,#71198,.T.); #225186=EDGE_CURVE('',#178899,#178450,#71199,.F.); #225187=EDGE_CURVE('',#178900,#178899,#107340,.F.); #225188=EDGE_CURVE('',#178901,#178900,#71200,.T.); #225189=EDGE_CURVE('',#178902,#178901,#107341,.F.); #225190=EDGE_CURVE('',#178903,#178902,#71201,.T.); #225191=EDGE_CURVE('',#178904,#178903,#107342,.F.); #225192=EDGE_CURVE('',#178453,#178904,#71202,.F.); #225193=EDGE_CURVE('',#178905,#178906,#107343,.F.); #225194=EDGE_CURVE('',#178906,#178907,#71203,.T.); #225195=EDGE_CURVE('',#178907,#178908,#107344,.F.); #225196=EDGE_CURVE('',#178908,#178909,#71204,.T.); #225197=EDGE_CURVE('',#178909,#178910,#107345,.F.); #225198=EDGE_CURVE('',#178910,#178449,#71205,.F.); #225199=EDGE_CURVE('',#178911,#178495,#71206,.T.); #225200=EDGE_CURVE('',#178912,#178911,#107346,.T.); #225201=EDGE_CURVE('',#178913,#178912,#71207,.T.); #225202=EDGE_CURVE('',#178914,#178913,#107347,.T.); #225203=EDGE_CURVE('',#178915,#178914,#71208,.T.); #225204=EDGE_CURVE('',#178916,#178915,#107348,.T.); #225205=EDGE_CURVE('',#178497,#178916,#71209,.T.); #225206=EDGE_CURVE('',#178454,#178905,#71210,.F.); #225207=EDGE_CURVE('',#178893,#178916,#107349,.T.); #225208=EDGE_CURVE('',#178894,#178915,#107350,.T.); #225209=EDGE_CURVE('',#178895,#178914,#107351,.T.); #225210=EDGE_CURVE('',#178896,#178913,#107352,.T.); #225211=EDGE_CURVE('',#178897,#178912,#107353,.T.); #225212=EDGE_CURVE('',#178898,#178911,#107354,.T.); #225213=EDGE_CURVE('',#178904,#178905,#107355,.F.); #225214=EDGE_CURVE('',#178903,#178906,#107356,.T.); #225215=EDGE_CURVE('',#178902,#178907,#107357,.F.); #225216=EDGE_CURVE('',#178901,#178908,#107358,.T.); #225217=EDGE_CURVE('',#178900,#178909,#107359,.F.); #225218=EDGE_CURVE('',#178899,#178910,#107360,.T.); #225219=EDGE_CURVE('',#178917,#178918,#107361,.T.); #225220=EDGE_CURVE('',#178918,#178919,#107362,.T.); #225221=EDGE_CURVE('',#178919,#178920,#107363,.T.); #225222=EDGE_CURVE('',#178917,#178920,#107364,.T.); #225223=EDGE_CURVE('',#178921,#178917,#71211,.F.); #225224=EDGE_CURVE('',#178920,#178922,#71212,.T.); #225225=EDGE_CURVE('',#178921,#178922,#107365,.T.); #225226=EDGE_CURVE('',#178923,#178921,#107366,.T.); #225227=EDGE_CURVE('',#178922,#178924,#107367,.T.); #225228=EDGE_CURVE('',#178923,#178924,#107368,.T.); #225229=EDGE_CURVE('',#178918,#178865,#71213,.F.); #225230=EDGE_CURVE('',#178864,#178919,#71214,.T.); #225231=EDGE_CURVE('',#178863,#178925,#107369,.T.); #225232=EDGE_CURVE('',#178924,#178925,#71215,.T.); #225233=EDGE_CURVE('',#178926,#178927,#107370,.T.); #225234=EDGE_CURVE('',#178926,#178923,#71216,.T.); #225235=EDGE_CURVE('',#178927,#178925,#107371,.T.); #225236=EDGE_CURVE('',#178866,#178926,#107372,.T.); #225237=EDGE_CURVE('',#178926,#178444,#63837,.T.); #225238=EDGE_CURVE('',#178925,#178460,#63838,.T.); #225239=EDGE_CURVE('',#178928,#178929,#107373,.T.); #225240=EDGE_CURVE('',#178929,#178742,#71217,.F.); #225241=EDGE_CURVE('',#178741,#178928,#71218,.T.); #225242=EDGE_CURVE('',#178930,#178931,#107374,.T.); #225243=EDGE_CURVE('',#178931,#178929,#107375,.F.); #225244=EDGE_CURVE('',#178928,#178930,#107376,.T.); #225245=EDGE_CURVE('',#178740,#178931,#71219,.F.); #225246=EDGE_CURVE('',#178930,#178739,#71220,.T.); #225247=EDGE_CURVE('',#178931,#178744,#71221,.T.); #225248=EDGE_CURVE('',#178929,#178743,#71222,.T.); #225249=EDGE_CURVE('',#178932,#178692,#107377,.T.); #225250=EDGE_CURVE('',#178933,#178932,#107378,.T.); #225251=EDGE_CURVE('',#178933,#178691,#107379,.T.); #225252=EDGE_CURVE('',#178694,#178934,#107380,.T.); #225253=EDGE_CURVE('',#178693,#178935,#107381,.T.); #225254=EDGE_CURVE('',#178935,#178934,#107382,.T.); #225255=EDGE_CURVE('',#178934,#178932,#107383,.T.); #225256=EDGE_CURVE('',#178935,#178933,#107384,.T.); #225257=EDGE_CURVE('',#178561,#178841,#107385,.T.); #225258=EDGE_CURVE('',#178562,#178840,#107386,.T.); #225259=EDGE_CURVE('',#178826,#178576,#107387,.F.); #225260=EDGE_CURVE('',#178575,#178827,#107388,.T.); #225261=EDGE_CURVE('',#178574,#178828,#107389,.T.); #225262=EDGE_CURVE('',#178573,#178829,#107390,.T.); #225263=EDGE_CURVE('',#178572,#178830,#107391,.T.); #225264=EDGE_CURVE('',#178571,#178831,#107392,.T.); #225265=EDGE_CURVE('',#178570,#178832,#107393,.T.); #225266=EDGE_CURVE('',#178569,#178833,#107394,.T.); #225267=EDGE_CURVE('',#178568,#178834,#107395,.T.); #225268=EDGE_CURVE('',#178567,#178835,#107396,.T.); #225269=EDGE_CURVE('',#178566,#178836,#107397,.T.); #225270=EDGE_CURVE('',#178565,#178837,#107398,.T.); #225271=EDGE_CURVE('',#178564,#178838,#107399,.T.); #225272=EDGE_CURVE('',#178563,#178839,#107400,.T.); #225273=EDGE_CURVE('',#178539,#178823,#107401,.T.); #225274=EDGE_CURVE('',#178540,#178822,#107402,.T.); #225275=EDGE_CURVE('',#178541,#178821,#107403,.T.); #225276=EDGE_CURVE('',#178542,#178820,#107404,.T.); #225277=EDGE_CURVE('',#178543,#178819,#107405,.T.); #225278=EDGE_CURVE('',#178544,#178818,#107406,.T.); #225279=EDGE_CURVE('',#178545,#178817,#107407,.T.); #225280=EDGE_CURVE('',#178546,#178816,#107408,.T.); #225281=EDGE_CURVE('',#178547,#178815,#107409,.T.); #225282=EDGE_CURVE('',#178548,#178814,#107410,.T.); #225283=EDGE_CURVE('',#178549,#178813,#107411,.T.); #225284=EDGE_CURVE('',#178550,#178812,#107412,.T.); #225285=EDGE_CURVE('',#178811,#178936,#107413,.F.); #225286=EDGE_CURVE('',#178551,#178936,#107414,.T.); #225287=EDGE_CURVE('',#178936,#178644,#107415,.T.); #225288=EDGE_CURVE('',#178552,#178644,#107416,.T.); #225289=EDGE_CURVE('',#178553,#178643,#107417,.T.); #225290=EDGE_CURVE('',#178554,#178642,#107418,.T.); #225291=EDGE_CURVE('',#178529,#178855,#107419,.T.); #225292=EDGE_CURVE('',#178532,#178858,#107420,.T.); #225293=EDGE_CURVE('',#178533,#178857,#107421,.T.); #225294=EDGE_CURVE('',#178536,#178856,#107422,.T.); #225295=EDGE_CURVE('',#178638,#178937,#71223,.T.); #225296=EDGE_CURVE('',#178937,#178938,#107423,.T.); #225297=EDGE_CURVE('',#178938,#178939,#71224,.T.); #225298=EDGE_CURVE('',#178939,#178940,#107424,.T.); #225299=EDGE_CURVE('',#178940,#178941,#71225,.T.); #225300=EDGE_CURVE('',#178941,#178942,#107425,.T.); #225301=EDGE_CURVE('',#178942,#178858,#71226,.T.); #225302=EDGE_CURVE('',#178943,#178532,#71227,.F.); #225303=EDGE_CURVE('',#178944,#178943,#107426,.F.); #225304=EDGE_CURVE('',#178945,#178944,#71228,.T.); #225305=EDGE_CURVE('',#178946,#178945,#107427,.F.); #225306=EDGE_CURVE('',#178947,#178946,#71229,.T.); #225307=EDGE_CURVE('',#178948,#178947,#107428,.F.); #225308=EDGE_CURVE('',#178535,#178948,#71230,.F.); #225309=EDGE_CURVE('',#178949,#178950,#107429,.F.); #225310=EDGE_CURVE('',#178950,#178951,#71231,.T.); #225311=EDGE_CURVE('',#178951,#178952,#107430,.F.); #225312=EDGE_CURVE('',#178952,#178953,#71232,.T.); #225313=EDGE_CURVE('',#178953,#178954,#107431,.F.); #225314=EDGE_CURVE('',#178954,#178531,#71233,.F.); #225315=EDGE_CURVE('',#178955,#178636,#71234,.T.); #225316=EDGE_CURVE('',#178956,#178955,#107432,.T.); #225317=EDGE_CURVE('',#178957,#178956,#71235,.T.); #225318=EDGE_CURVE('',#178958,#178957,#107433,.T.); #225319=EDGE_CURVE('',#178959,#178958,#71236,.T.); #225320=EDGE_CURVE('',#178960,#178959,#107434,.T.); #225321=EDGE_CURVE('',#178856,#178960,#71237,.T.); #225322=EDGE_CURVE('',#178536,#178949,#71238,.F.); #225323=EDGE_CURVE('',#178937,#178960,#107435,.T.); #225324=EDGE_CURVE('',#178938,#178959,#107436,.T.); #225325=EDGE_CURVE('',#178939,#178958,#107437,.T.); #225326=EDGE_CURVE('',#178940,#178957,#107438,.T.); #225327=EDGE_CURVE('',#178941,#178956,#107439,.T.); #225328=EDGE_CURVE('',#178942,#178955,#107440,.T.); #225329=EDGE_CURVE('',#178948,#178949,#107441,.F.); #225330=EDGE_CURVE('',#178947,#178950,#107442,.T.); #225331=EDGE_CURVE('',#178946,#178951,#107443,.F.); #225332=EDGE_CURVE('',#178945,#178952,#107444,.T.); #225333=EDGE_CURVE('',#178944,#178953,#107445,.F.); #225334=EDGE_CURVE('',#178943,#178954,#107446,.T.); #225335=EDGE_CURVE('',#178626,#178783,#107447,.T.); #225336=EDGE_CURVE('',#178627,#178782,#107448,.T.); #225337=EDGE_CURVE('',#178630,#178785,#107449,.T.); #225338=EDGE_CURVE('',#178631,#178784,#107450,.T.); #225339=EDGE_CURVE('',#178632,#178961,#71239,.T.); #225340=EDGE_CURVE('',#178961,#178962,#107451,.T.); #225341=EDGE_CURVE('',#178962,#178963,#71240,.T.); #225342=EDGE_CURVE('',#178963,#178964,#107452,.T.); #225343=EDGE_CURVE('',#178964,#178965,#71241,.T.); #225344=EDGE_CURVE('',#178965,#178966,#107453,.T.); #225345=EDGE_CURVE('',#178966,#178785,#71242,.T.); #225346=EDGE_CURVE('',#178967,#178630,#71243,.F.); #225347=EDGE_CURVE('',#178968,#178967,#107454,.F.); #225348=EDGE_CURVE('',#178969,#178968,#71244,.T.); #225349=EDGE_CURVE('',#178970,#178969,#107455,.F.); #225350=EDGE_CURVE('',#178971,#178970,#71245,.T.); #225351=EDGE_CURVE('',#178972,#178971,#107456,.F.); #225352=EDGE_CURVE('',#178625,#178972,#71246,.F.); #225353=EDGE_CURVE('',#178973,#178974,#107457,.F.); #225354=EDGE_CURVE('',#178974,#178975,#71247,.T.); #225355=EDGE_CURVE('',#178975,#178976,#107458,.F.); #225356=EDGE_CURVE('',#178976,#178977,#71248,.T.); #225357=EDGE_CURVE('',#178977,#178978,#107459,.F.); #225358=EDGE_CURVE('',#178978,#178629,#71249,.F.); #225359=EDGE_CURVE('',#178979,#178634,#71250,.T.); #225360=EDGE_CURVE('',#178980,#178979,#107460,.T.); #225361=EDGE_CURVE('',#178981,#178980,#71251,.T.); #225362=EDGE_CURVE('',#178982,#178981,#107461,.T.); #225363=EDGE_CURVE('',#178983,#178982,#71252,.T.); #225364=EDGE_CURVE('',#178984,#178983,#107462,.T.); #225365=EDGE_CURVE('',#178783,#178984,#71253,.T.); #225366=EDGE_CURVE('',#178626,#178973,#71254,.F.); #225367=EDGE_CURVE('',#178961,#178984,#107463,.T.); #225368=EDGE_CURVE('',#178962,#178983,#107464,.T.); #225369=EDGE_CURVE('',#178963,#178982,#107465,.T.); #225370=EDGE_CURVE('',#178964,#178981,#107466,.T.); #225371=EDGE_CURVE('',#178965,#178980,#107467,.T.); #225372=EDGE_CURVE('',#178966,#178979,#107468,.T.); #225373=EDGE_CURVE('',#178972,#178973,#107469,.F.); #225374=EDGE_CURVE('',#178971,#178974,#107470,.T.); #225375=EDGE_CURVE('',#178970,#178975,#107471,.F.); #225376=EDGE_CURVE('',#178969,#178976,#107472,.T.); #225377=EDGE_CURVE('',#178968,#178977,#107473,.F.); #225378=EDGE_CURVE('',#178967,#178978,#107474,.T.); #225379=EDGE_CURVE('',#178985,#178986,#71255,.F.); #225380=EDGE_CURVE('',#178986,#178987,#107475,.T.); #225381=EDGE_CURVE('',#178987,#178988,#71256,.T.); #225382=EDGE_CURVE('',#178985,#178988,#107476,.T.); #225383=EDGE_CURVE('',#178989,#178985,#107477,.T.); #225384=EDGE_CURVE('',#178988,#178990,#107478,.T.); #225385=EDGE_CURVE('',#178989,#178990,#107479,.T.); #225386=EDGE_CURVE('',#178586,#178989,#71257,.F.); #225387=EDGE_CURVE('',#178990,#178587,#71258,.T.); #225388=EDGE_CURVE('',#178991,#178992,#107480,.T.); #225389=EDGE_CURVE('',#178992,#178987,#107481,.T.); #225390=EDGE_CURVE('',#178986,#178991,#107482,.T.); #225391=EDGE_CURVE('',#178991,#178993,#71259,.T.); #225392=EDGE_CURVE('',#178993,#178994,#107483,.T.); #225393=EDGE_CURVE('',#178994,#178995,#107484,.T.); #225394=EDGE_CURVE('',#178995,#178992,#71260,.T.); #225395=EDGE_CURVE('',#178995,#178588,#107485,.T.); #225396=EDGE_CURVE('',#178993,#178585,#107486,.T.); #225397=EDGE_CURVE('',#178591,#178993,#63839,.T.); #225398=EDGE_CURVE('',#178749,#178995,#63840,.T.); #225399=EDGE_CURVE('',#178844,#178996,#71261,.F.); #225400=EDGE_CURVE('',#178997,#178996,#107487,.T.); #225401=EDGE_CURVE('',#178997,#178843,#71262,.T.); #225402=EDGE_CURVE('',#178998,#178999,#107488,.T.); #225403=EDGE_CURVE('',#178999,#178846,#71263,.F.); #225404=EDGE_CURVE('',#178845,#178998,#71264,.T.); #225405=EDGE_CURVE('',#178996,#178999,#107489,.F.); #225406=EDGE_CURVE('',#178998,#178997,#107490,.T.); #225407=EDGE_CURVE('',#178847,#178999,#71265,.T.); #225408=EDGE_CURVE('',#178848,#178996,#71266,.T.); #225409=EDGE_CURVE('',#178582,#179000,#107491,.T.); #225410=EDGE_CURVE('',#178581,#179001,#107492,.T.); #225411=EDGE_CURVE('',#179001,#179000,#107493,.T.); #225412=EDGE_CURVE('',#179000,#179002,#107494,.T.); #225413=EDGE_CURVE('',#179001,#179003,#107495,.T.); #225414=EDGE_CURVE('',#179003,#179002,#107496,.T.); #225415=EDGE_CURVE('',#179002,#178580,#107497,.T.); #225416=EDGE_CURVE('',#179003,#178579,#107498,.T.); #225417=EDGE_CURVE('',#179004,#179005,#107499,.T.); #225418=EDGE_CURVE('',#179004,#179006,#107500,.T.); #225419=EDGE_CURVE('',#179006,#179007,#107501,.T.); #225420=EDGE_CURVE('',#179005,#179007,#107502,.T.); #225421=EDGE_CURVE('',#179006,#179008,#3239,.T.); #225422=EDGE_CURVE('',#179008,#179009,#107503,.T.); #225423=EDGE_CURVE('',#179007,#179009,#71267,.T.); #225424=EDGE_CURVE('',#179010,#179011,#107504,.T.); #225425=EDGE_CURVE('',#179012,#179010,#107505,.T.); #225426=EDGE_CURVE('',#179012,#179013,#107506,.T.); #225427=EDGE_CURVE('',#179013,#179011,#107507,.T.); #225428=EDGE_CURVE('',#179011,#179014,#71268,.T.); #225429=EDGE_CURVE('',#179013,#179015,#71269,.T.); #225430=EDGE_CURVE('',#179015,#179014,#107508,.T.); #225431=EDGE_CURVE('',#179014,#179016,#71270,.T.); #225432=EDGE_CURVE('',#179015,#179017,#71271,.T.); #225433=EDGE_CURVE('',#179017,#179016,#107509,.T.); #225434=EDGE_CURVE('',#179016,#179018,#71272,.T.); #225435=EDGE_CURVE('',#179017,#179019,#71273,.T.); #225436=EDGE_CURVE('',#179019,#179018,#107510,.T.); #225437=EDGE_CURVE('',#179018,#179020,#107511,.T.); #225438=EDGE_CURVE('',#179019,#179021,#107512,.T.); #225439=EDGE_CURVE('',#179021,#179020,#107513,.T.); #225440=EDGE_CURVE('',#179020,#179022,#71274,.T.); #225441=EDGE_CURVE('',#179021,#179023,#71275,.T.); #225442=EDGE_CURVE('',#179023,#179022,#107514,.T.); #225443=EDGE_CURVE('',#179022,#179024,#71276,.T.); #225444=EDGE_CURVE('',#179023,#179025,#71277,.T.); #225445=EDGE_CURVE('',#179025,#179024,#107515,.T.); #225446=EDGE_CURVE('',#179024,#179026,#71278,.T.); #225447=EDGE_CURVE('',#179025,#179027,#71279,.T.); #225448=EDGE_CURVE('',#179027,#179026,#107516,.T.); #225449=EDGE_CURVE('',#179026,#179028,#107517,.T.); #225450=EDGE_CURVE('',#179027,#179029,#107518,.T.); #225451=EDGE_CURVE('',#179029,#179028,#107519,.T.); #225452=EDGE_CURVE('',#179028,#179030,#71280,.T.); #225453=EDGE_CURVE('',#179029,#179031,#71281,.T.); #225454=EDGE_CURVE('',#179031,#179030,#107520,.T.); #225455=EDGE_CURVE('',#179030,#179032,#107521,.T.); #225456=EDGE_CURVE('',#179031,#179033,#107522,.T.); #225457=EDGE_CURVE('',#179033,#179032,#107523,.T.); #225458=EDGE_CURVE('',#179032,#179010,#71282,.T.); #225459=EDGE_CURVE('',#179033,#179012,#71283,.T.); #225460=EDGE_CURVE('',#179034,#179035,#107524,.T.); #225461=EDGE_CURVE('',#179036,#179034,#107525,.T.); #225462=EDGE_CURVE('',#179036,#179037,#107526,.T.); #225463=EDGE_CURVE('',#179037,#179035,#107527,.T.); #225464=EDGE_CURVE('',#179038,#179034,#71284,.T.); #225465=EDGE_CURVE('',#179039,#179038,#107528,.T.); #225466=EDGE_CURVE('',#179039,#179036,#71285,.T.); #225467=EDGE_CURVE('',#179040,#179038,#71286,.T.); #225468=EDGE_CURVE('',#179041,#179040,#107529,.T.); #225469=EDGE_CURVE('',#179041,#179039,#71287,.T.); #225470=EDGE_CURVE('',#179042,#179040,#71288,.T.); #225471=EDGE_CURVE('',#179043,#179042,#107530,.T.); #225472=EDGE_CURVE('',#179043,#179041,#71289,.T.); #225473=EDGE_CURVE('',#179044,#179042,#107531,.T.); #225474=EDGE_CURVE('',#179045,#179044,#107532,.T.); #225475=EDGE_CURVE('',#179045,#179043,#107533,.T.); #225476=EDGE_CURVE('',#179046,#179044,#71290,.T.); #225477=EDGE_CURVE('',#179047,#179046,#107534,.T.); #225478=EDGE_CURVE('',#179047,#179045,#71291,.T.); #225479=EDGE_CURVE('',#179048,#179046,#71292,.T.); #225480=EDGE_CURVE('',#179049,#179048,#107535,.T.); #225481=EDGE_CURVE('',#179049,#179047,#71293,.T.); #225482=EDGE_CURVE('',#179050,#179048,#71294,.T.); #225483=EDGE_CURVE('',#179051,#179050,#107536,.T.); #225484=EDGE_CURVE('',#179051,#179049,#71295,.T.); #225485=EDGE_CURVE('',#179052,#179050,#107537,.T.); #225486=EDGE_CURVE('',#179053,#179052,#107538,.T.); #225487=EDGE_CURVE('',#179053,#179051,#107539,.T.); #225488=EDGE_CURVE('',#179054,#179052,#71296,.T.); #225489=EDGE_CURVE('',#179055,#179054,#107540,.T.); #225490=EDGE_CURVE('',#179055,#179053,#71297,.T.); #225491=EDGE_CURVE('',#179056,#179054,#107541,.T.); #225492=EDGE_CURVE('',#179057,#179056,#107542,.T.); #225493=EDGE_CURVE('',#179057,#179055,#107543,.T.); #225494=EDGE_CURVE('',#179035,#179056,#71298,.T.); #225495=EDGE_CURVE('',#179037,#179057,#71299,.T.); #225496=EDGE_CURVE('',#179058,#179059,#107544,.T.); #225497=EDGE_CURVE('',#179058,#179060,#107545,.T.); #225498=EDGE_CURVE('',#179060,#179061,#107546,.T.); #225499=EDGE_CURVE('',#179059,#179061,#107547,.T.); #225500=EDGE_CURVE('',#179062,#179063,#107548,.T.); #225501=EDGE_CURVE('',#179062,#179058,#3240,.T.); #225502=EDGE_CURVE('',#179063,#179059,#71300,.T.); #225503=EDGE_CURVE('',#179064,#179065,#107549,.T.); #225504=EDGE_CURVE('',#179064,#179066,#63841,.T.); #225505=EDGE_CURVE('',#179066,#179067,#107550,.T.); #225506=EDGE_CURVE('',#179067,#179068,#107551,.T.); #225507=EDGE_CURVE('',#179065,#179068,#63842,.T.); #225508=EDGE_CURVE('',#179069,#179070,#107552,.T.); #225509=EDGE_CURVE('',#179069,#179064,#63843,.T.); #225510=EDGE_CURVE('',#179070,#179065,#63844,.T.); #225511=EDGE_CURVE('',#179008,#179071,#107553,.F.); #225512=EDGE_CURVE('',#179071,#179072,#107554,.T.); #225513=EDGE_CURVE('',#179072,#179069,#71301,.F.); #225514=EDGE_CURVE('',#179073,#179070,#71302,.T.); #225515=EDGE_CURVE('',#179009,#179073,#107555,.T.); #225516=EDGE_CURVE('',#179073,#179074,#107556,.T.); #225517=EDGE_CURVE('',#179074,#179075,#107557,.T.); #225518=EDGE_CURVE('',#179075,#179005,#71303,.T.); #225519=EDGE_CURVE('',#179076,#179075,#107558,.T.); #225520=EDGE_CURVE('',#179076,#179004,#3241,.T.); #225521=EDGE_CURVE('',#179077,#179076,#107559,.F.); #225522=EDGE_CURVE('',#179071,#179077,#107560,.T.); #225523=EDGE_CURVE('',#179078,#179077,#107561,.T.); #225524=EDGE_CURVE('',#179072,#179078,#107562,.T.); #225525=EDGE_CURVE('',#179079,#179080,#107563,.T.); #225526=EDGE_CURVE('',#179079,#179081,#107564,.T.); #225527=EDGE_CURVE('',#179082,#179081,#107565,.T.); #225528=EDGE_CURVE('',#179080,#179082,#107566,.T.); #225529=EDGE_CURVE('',#179081,#179062,#107567,.F.); #225530=EDGE_CURVE('',#179083,#179079,#107568,.F.); #225531=EDGE_CURVE('',#179060,#179083,#3242,.T.); #225532=EDGE_CURVE('',#179083,#179084,#107569,.T.); #225533=EDGE_CURVE('',#179061,#179084,#71304,.T.); #225534=EDGE_CURVE('',#179085,#179086,#107570,.T.); #225535=EDGE_CURVE('',#179085,#179087,#63845,.T.); #225536=EDGE_CURVE('',#179087,#179088,#107571,.F.); #225537=EDGE_CURVE('',#179086,#179088,#63846,.T.); #225538=EDGE_CURVE('',#179084,#179089,#107572,.T.); #225539=EDGE_CURVE('',#179089,#179090,#107573,.T.); #225540=EDGE_CURVE('',#179090,#179063,#107574,.T.); #225541=EDGE_CURVE('',#179088,#179090,#71305,.T.); #225542=EDGE_CURVE('',#179087,#179082,#71306,.T.); #225543=EDGE_CURVE('',#179091,#179092,#107575,.T.); #225544=EDGE_CURVE('',#179091,#179085,#63847,.T.); #225545=EDGE_CURVE('',#179093,#179086,#63848,.T.); #225546=EDGE_CURVE('',#179092,#179093,#107576,.T.); #225547=EDGE_CURVE('',#179094,#179091,#107577,.T.); #225548=EDGE_CURVE('',#179080,#179094,#71307,.F.); #225549=EDGE_CURVE('',#179095,#179096,#107578,.T.); #225550=EDGE_CURVE('',#179096,#179097,#107579,.T.); #225551=EDGE_CURVE('',#179098,#179097,#107580,.T.); #225552=EDGE_CURVE('',#179098,#179095,#107581,.T.); #225553=EDGE_CURVE('',#179099,#179100,#107582,.T.); #225554=EDGE_CURVE('',#179100,#179101,#107583,.T.); #225555=EDGE_CURVE('',#179102,#179101,#107584,.T.); #225556=EDGE_CURVE('',#179102,#179099,#107585,.T.); #225557=EDGE_CURVE('',#179103,#179078,#71308,.T.); #225558=EDGE_CURVE('',#179066,#179103,#107586,.T.); #225559=EDGE_CURVE('',#179104,#179105,#107587,.T.); #225560=EDGE_CURVE('',#179106,#179104,#107588,.T.); #225561=EDGE_CURVE('',#179106,#179107,#107589,.T.); #225562=EDGE_CURVE('',#179107,#179105,#107590,.T.); #225563=EDGE_CURVE('',#179108,#179104,#71309,.T.); #225564=EDGE_CURVE('',#179109,#179108,#107591,.T.); #225565=EDGE_CURVE('',#179109,#179106,#71310,.T.); #225566=EDGE_CURVE('',#179110,#179108,#71311,.T.); #225567=EDGE_CURVE('',#179111,#179110,#107592,.T.); #225568=EDGE_CURVE('',#179111,#179109,#71312,.T.); #225569=EDGE_CURVE('',#179066,#179111,#71313,.T.); #225570=EDGE_CURVE('',#179068,#179110,#71314,.T.); #225571=EDGE_CURVE('',#179112,#179074,#71315,.T.); #225572=EDGE_CURVE('',#179068,#179112,#107593,.T.); #225573=EDGE_CURVE('',#179113,#179112,#107594,.T.); #225574=EDGE_CURVE('',#179114,#179113,#107595,.T.); #225575=EDGE_CURVE('',#179114,#179103,#107596,.T.); #225576=EDGE_CURVE('',#179115,#179113,#71316,.T.); #225577=EDGE_CURVE('',#179116,#179115,#107597,.T.); #225578=EDGE_CURVE('',#179116,#179114,#71317,.T.); #225579=EDGE_CURVE('',#179117,#179115,#107598,.T.); #225580=EDGE_CURVE('',#179118,#179117,#107599,.T.); #225581=EDGE_CURVE('',#179118,#179116,#107600,.T.); #225582=EDGE_CURVE('',#179119,#179117,#71318,.T.); #225583=EDGE_CURVE('',#179120,#179119,#107601,.T.); #225584=EDGE_CURVE('',#179120,#179118,#71319,.T.); #225585=EDGE_CURVE('',#179121,#179119,#107602,.T.); #225586=EDGE_CURVE('',#179122,#179121,#107603,.T.); #225587=EDGE_CURVE('',#179122,#179120,#107604,.T.); #225588=EDGE_CURVE('',#179123,#179121,#71320,.T.); #225589=EDGE_CURVE('',#179124,#179123,#107605,.T.); #225590=EDGE_CURVE('',#179124,#179122,#71321,.T.); #225591=EDGE_CURVE('',#179125,#179123,#71322,.T.); #225592=EDGE_CURVE('',#179125,#179100,#3243,.T.); #225593=EDGE_CURVE('',#179099,#179124,#71323,.T.); #225594=EDGE_CURVE('',#179101,#179126,#3244,.T.); #225595=EDGE_CURVE('',#179126,#179125,#107606,.T.); #225596=EDGE_CURVE('',#179127,#179126,#71324,.T.); #225597=EDGE_CURVE('',#179128,#179127,#107607,.T.); #225598=EDGE_CURVE('',#179128,#179102,#71325,.T.); #225599=EDGE_CURVE('',#179129,#179127,#71326,.T.); #225600=EDGE_CURVE('',#179130,#179129,#107608,.T.); #225601=EDGE_CURVE('',#179130,#179128,#71327,.T.); #225602=EDGE_CURVE('',#179131,#179129,#107609,.T.); #225603=EDGE_CURVE('',#179132,#179131,#107610,.T.); #225604=EDGE_CURVE('',#179132,#179130,#107611,.T.); #225605=EDGE_CURVE('',#179133,#179131,#71328,.T.); #225606=EDGE_CURVE('',#179134,#179133,#107612,.T.); #225607=EDGE_CURVE('',#179134,#179132,#71329,.T.); #225608=EDGE_CURVE('',#179135,#179133,#71330,.T.); #225609=EDGE_CURVE('',#179135,#179096,#3245,.T.); #225610=EDGE_CURVE('',#179095,#179134,#71331,.T.); #225611=EDGE_CURVE('',#179097,#179136,#3246,.T.); #225612=EDGE_CURVE('',#179136,#179135,#107613,.T.); #225613=EDGE_CURVE('',#179137,#179136,#71332,.T.); #225614=EDGE_CURVE('',#179138,#179137,#107614,.T.); #225615=EDGE_CURVE('',#179138,#179098,#71333,.T.); #225616=EDGE_CURVE('',#179139,#179137,#71334,.T.); #225617=EDGE_CURVE('',#179140,#179139,#107615,.T.); #225618=EDGE_CURVE('',#179140,#179138,#71335,.T.); #225619=EDGE_CURVE('',#179141,#179139,#107616,.T.); #225620=EDGE_CURVE('',#179142,#179141,#107617,.T.); #225621=EDGE_CURVE('',#179142,#179140,#107618,.T.); #225622=EDGE_CURVE('',#179143,#179141,#71336,.T.); #225623=EDGE_CURVE('',#179144,#179143,#107619,.T.); #225624=EDGE_CURVE('',#179144,#179142,#71337,.T.); #225625=EDGE_CURVE('',#179145,#179143,#107620,.T.); #225626=EDGE_CURVE('',#179146,#179145,#107621,.T.); #225627=EDGE_CURVE('',#179146,#179144,#107622,.T.); #225628=EDGE_CURVE('',#179147,#179145,#71338,.T.); #225629=EDGE_CURVE('',#179148,#179147,#107623,.T.); #225630=EDGE_CURVE('',#179148,#179146,#71339,.T.); #225631=EDGE_CURVE('',#179094,#179148,#107624,.T.); #225632=EDGE_CURVE('',#179149,#179147,#107625,.T.); #225633=EDGE_CURVE('',#179089,#179149,#71340,.T.); #225634=EDGE_CURVE('',#179149,#179093,#107626,.T.); #225635=EDGE_CURVE('',#179150,#179091,#71341,.T.); #225636=EDGE_CURVE('',#179151,#179093,#71342,.T.); #225637=EDGE_CURVE('',#179150,#179151,#107627,.T.); #225638=EDGE_CURVE('',#179152,#179151,#71343,.T.); #225639=EDGE_CURVE('',#179153,#179152,#107628,.T.); #225640=EDGE_CURVE('',#179153,#179150,#71344,.T.); #225641=EDGE_CURVE('',#179154,#179152,#71345,.T.); #225642=EDGE_CURVE('',#179155,#179154,#107629,.T.); #225643=EDGE_CURVE('',#179155,#179153,#71346,.T.); #225644=EDGE_CURVE('',#179156,#179154,#107630,.T.); #225645=EDGE_CURVE('',#179157,#179156,#107631,.T.); #225646=EDGE_CURVE('',#179157,#179155,#107632,.T.); #225647=EDGE_CURVE('',#179158,#179159,#107633,.T.); #225648=EDGE_CURVE('',#179160,#179158,#107634,.T.); #225649=EDGE_CURVE('',#179160,#179161,#107635,.T.); #225650=EDGE_CURVE('',#179161,#179159,#107636,.T.); #225651=EDGE_CURVE('',#179162,#179158,#71347,.T.); #225652=EDGE_CURVE('',#179163,#179162,#107637,.T.); #225653=EDGE_CURVE('',#179163,#179160,#71348,.T.); #225654=EDGE_CURVE('',#179159,#179164,#71349,.T.); #225655=EDGE_CURVE('',#179161,#179165,#71350,.T.); #225656=EDGE_CURVE('',#179165,#179164,#107638,.T.); #225657=EDGE_CURVE('',#179165,#179166,#107639,.T.); #225658=EDGE_CURVE('',#179166,#179167,#71351,.F.); #225659=EDGE_CURVE('',#179167,#179168,#107640,.T.); #225660=EDGE_CURVE('',#179169,#179168,#71352,.T.); #225661=EDGE_CURVE('',#179164,#179169,#107641,.T.); #225662=EDGE_CURVE('',#179105,#179170,#71353,.T.); #225663=EDGE_CURVE('',#179170,#179171,#107642,.T.); #225664=EDGE_CURVE('',#179171,#179162,#107643,.T.); #225665=EDGE_CURVE('',#179169,#179172,#107644,.T.); #225666=EDGE_CURVE('',#179172,#179156,#71354,.T.); #225667=EDGE_CURVE('',#179173,#179174,#107645,.T.); #225668=EDGE_CURVE('',#179173,#179157,#71355,.T.); #225669=EDGE_CURVE('',#179174,#179172,#107646,.T.); #225670=EDGE_CURVE('',#179175,#179176,#107647,.T.); #225671=EDGE_CURVE('',#179107,#179175,#71356,.T.); #225672=EDGE_CURVE('',#179166,#179173,#107648,.T.); #225673=EDGE_CURVE('',#179176,#179163,#107649,.T.); #225674=EDGE_CURVE('',#179175,#179177,#107650,.T.); #225675=EDGE_CURVE('',#179177,#179170,#107651,.T.); #225676=EDGE_CURVE('',#179178,#179171,#71357,.T.); #225677=EDGE_CURVE('',#179179,#179178,#107652,.F.); #225678=EDGE_CURVE('',#179179,#179176,#71358,.T.); #225679=EDGE_CURVE('',#179175,#179180,#3247,.T.); #225680=EDGE_CURVE('',#179180,#179181,#107653,.F.); #225681=EDGE_CURVE('',#179170,#179181,#3248,.T.); #225682=EDGE_CURVE('',#179180,#179182,#71359,.F.); #225683=EDGE_CURVE('',#179182,#179183,#107654,.T.); #225684=EDGE_CURVE('',#179181,#179183,#71360,.T.); #225685=EDGE_CURVE('',#179182,#178513,#63849,.T.); #225686=EDGE_CURVE('',#179183,#178849,#63850,.T.); #225687=EDGE_CURVE('',#178509,#179179,#63851,.T.); #225688=EDGE_CURVE('',#178511,#179178,#63852,.T.); #225689=EDGE_CURVE('',#179167,#178516,#63853,.T.); #225690=EDGE_CURVE('',#179168,#178850,#63854,.T.); #225691=EDGE_CURVE('',#178504,#179184,#63855,.T.); #225692=EDGE_CURVE('',#179184,#179185,#107655,.F.); #225693=EDGE_CURVE('',#178506,#179185,#63856,.T.); #225694=EDGE_CURVE('',#179184,#179186,#71361,.T.); #225695=EDGE_CURVE('',#179186,#179187,#107656,.T.); #225696=EDGE_CURVE('',#179185,#179187,#71362,.T.); #225697=EDGE_CURVE('',#179186,#179173,#3249,.T.); #225698=EDGE_CURVE('',#179187,#179172,#3250,.T.); #225699=EDGE_CURVE('',#178422,#178482,#107657,.T.); #225700=EDGE_CURVE('',#178421,#178483,#107658,.T.); #225701=EDGE_CURVE('',#178420,#178484,#107659,.T.); #225702=EDGE_CURVE('',#178419,#178485,#107660,.T.); #225703=EDGE_CURVE('',#178418,#178486,#107661,.T.); #225704=EDGE_CURVE('',#178417,#178487,#107662,.T.); #225705=EDGE_CURVE('',#178408,#178455,#107663,.T.); #225706=EDGE_CURVE('',#178410,#178456,#107664,.T.); #225707=EDGE_CURVE('',#178411,#178493,#107665,.T.); #225708=EDGE_CURVE('',#178412,#178492,#107666,.T.); #225709=EDGE_CURVE('',#178413,#178491,#107667,.T.); #225710=EDGE_CURVE('',#178414,#178490,#107668,.T.); #225711=EDGE_CURVE('',#178617,#178757,#107669,.T.); #225712=EDGE_CURVE('',#178616,#178758,#107670,.T.); #225713=EDGE_CURVE('',#178615,#178759,#107671,.T.); #225714=EDGE_CURVE('',#178614,#178760,#107672,.T.); #225715=EDGE_CURVE('',#178613,#178761,#107673,.T.); #225716=EDGE_CURVE('',#178612,#178762,#107674,.T.); #225717=EDGE_CURVE('',#178618,#178756,#107675,.T.); #225718=EDGE_CURVE('',#178619,#178755,#107676,.T.); #225719=EDGE_CURVE('',#178620,#178754,#107677,.T.); #225720=EDGE_CURVE('',#178621,#178753,#107678,.T.); #225721=EDGE_CURVE('',#178622,#178752,#107679,.T.); #225722=EDGE_CURVE('',#178623,#178751,#107680,.T.); #225723=EDGE_CURVE('',#179188,#179189,#107681,.T.); #225724=EDGE_CURVE('',#179190,#179189,#107682,.T.); #225725=EDGE_CURVE('',#179191,#179190,#107683,.T.); #225726=EDGE_CURVE('',#179191,#179188,#107684,.T.); #225727=EDGE_CURVE('',#179189,#179192,#107685,.T.); #225728=EDGE_CURVE('',#179192,#179193,#71363,.T.); #225729=EDGE_CURVE('',#179193,#179194,#107686,.F.); #225730=EDGE_CURVE('',#179194,#179195,#71364,.F.); #225731=EDGE_CURVE('',#179195,#179196,#107687,.F.); #225732=EDGE_CURVE('',#179196,#179197,#107688,.T.); #225733=EDGE_CURVE('',#179198,#179197,#107689,.T.); #225734=EDGE_CURVE('',#179199,#179198,#71365,.T.); #225735=EDGE_CURVE('',#179200,#179199,#107690,.T.); #225736=EDGE_CURVE('',#179201,#179200,#71366,.T.); #225737=EDGE_CURVE('',#179190,#179201,#107691,.T.); #225738=EDGE_CURVE('',#179202,#179203,#107692,.T.); #225739=EDGE_CURVE('',#179204,#179203,#107693,.T.); #225740=EDGE_CURVE('',#179205,#179204,#107694,.T.); #225741=EDGE_CURVE('',#179205,#179206,#71367,.T.); #225742=EDGE_CURVE('',#179206,#179207,#107695,.T.); #225743=EDGE_CURVE('',#179207,#179208,#71368,.T.); #225744=EDGE_CURVE('',#179208,#179209,#107696,.T.); #225745=EDGE_CURVE('',#179210,#179209,#107697,.T.); #225746=EDGE_CURVE('',#179211,#179210,#107698,.F.); #225747=EDGE_CURVE('',#179212,#179211,#71369,.F.); #225748=EDGE_CURVE('',#179213,#179212,#107699,.F.); #225749=EDGE_CURVE('',#179202,#179213,#71370,.T.); #225750=EDGE_CURVE('',#179203,#179214,#107700,.T.); #225751=EDGE_CURVE('',#179215,#179214,#107701,.T.); #225752=EDGE_CURVE('',#179204,#179215,#107702,.T.); #225753=EDGE_CURVE('',#179216,#179217,#107703,.T.); #225754=EDGE_CURVE('',#179216,#179218,#107704,.T.); #225755=EDGE_CURVE('',#179215,#179218,#107705,.T.); #225756=EDGE_CURVE('',#179214,#179219,#107706,.T.); #225757=EDGE_CURVE('',#179219,#179217,#107707,.F.); #225758=EDGE_CURVE('',#179220,#179221,#107708,.T.); #225759=EDGE_CURVE('',#179220,#179216,#107709,.T.); #225760=EDGE_CURVE('',#179217,#179221,#107710,.T.); #225761=EDGE_CURVE('',#179222,#179191,#107711,.T.); #225762=EDGE_CURVE('',#179222,#179220,#107712,.T.); #225763=EDGE_CURVE('',#179221,#179223,#107713,.F.); #225764=EDGE_CURVE('',#179223,#179188,#107714,.T.); #225765=EDGE_CURVE('',#179218,#179222,#107715,.T.); #225766=EDGE_CURVE('',#179201,#179205,#107716,.T.); #225767=EDGE_CURVE('',#179223,#179219,#107717,.T.); #225768=EDGE_CURVE('',#179192,#179202,#107718,.T.); #225769=EDGE_CURVE('',#179210,#179196,#107719,.F.); #225770=EDGE_CURVE('',#179209,#179197,#107720,.T.); #225771=EDGE_CURVE('',#179206,#179200,#107721,.T.); #225772=EDGE_CURVE('',#179207,#179199,#107722,.T.); #225773=EDGE_CURVE('',#179208,#179198,#107723,.T.); #225774=EDGE_CURVE('',#179213,#179193,#107724,.F.); #225775=EDGE_CURVE('',#179212,#179194,#107725,.T.); #225776=EDGE_CURVE('',#179211,#179195,#107726,.F.); #225777=EDGE_CURVE('',#179224,#179225,#107727,.T.); #225778=EDGE_CURVE('',#179226,#179225,#107728,.T.); #225779=EDGE_CURVE('',#179227,#179226,#107729,.T.); #225780=EDGE_CURVE('',#179227,#179228,#107730,.T.); #225781=EDGE_CURVE('',#179228,#179229,#107731,.T.); #225782=EDGE_CURVE('',#179230,#179229,#107732,.T.); #225783=EDGE_CURVE('',#179231,#179230,#107733,.T.); #225784=EDGE_CURVE('',#179231,#179232,#107734,.T.); #225785=EDGE_CURVE('',#179232,#179233,#107735,.T.); #225786=EDGE_CURVE('',#179234,#179233,#107736,.T.); #225787=EDGE_CURVE('',#179235,#179234,#107737,.T.); #225788=EDGE_CURVE('',#179235,#179224,#107738,.T.); #225789=EDGE_CURVE('',#179236,#179237,#107739,.T.); #225790=EDGE_CURVE('',#179236,#179238,#107740,.T.); #225791=EDGE_CURVE('',#179238,#179239,#107741,.T.); #225792=EDGE_CURVE('',#179237,#179239,#107742,.T.); #225793=EDGE_CURVE('',#179240,#179241,#107743,.T.); #225794=EDGE_CURVE('',#179242,#179241,#107744,.T.); #225795=EDGE_CURVE('',#179242,#179243,#107745,.T.); #225796=EDGE_CURVE('',#179243,#179240,#107746,.T.); #225797=EDGE_CURVE('',#179244,#179245,#107747,.T.); #225798=EDGE_CURVE('',#179245,#179246,#107748,.T.); #225799=EDGE_CURVE('',#179246,#179247,#107749,.T.); #225800=EDGE_CURVE('',#179247,#179244,#107750,.T.); #225801=EDGE_CURVE('',#179248,#179249,#107751,.T.); #225802=EDGE_CURVE('',#179249,#179250,#107752,.T.); #225803=EDGE_CURVE('',#179251,#179250,#107753,.T.); #225804=EDGE_CURVE('',#179248,#179251,#107754,.T.); #225805=EDGE_CURVE('',#179252,#179253,#107755,.T.); #225806=EDGE_CURVE('',#179253,#179254,#107756,.T.); #225807=EDGE_CURVE('',#179254,#179255,#107757,.T.); #225808=EDGE_CURVE('',#179255,#179252,#107758,.T.); #225809=EDGE_CURVE('',#179256,#179257,#107759,.T.); #225810=EDGE_CURVE('',#179257,#179258,#107760,.T.); #225811=EDGE_CURVE('',#179259,#179258,#107761,.T.); #225812=EDGE_CURVE('',#179256,#179259,#107762,.T.); #225813=EDGE_CURVE('',#179260,#179261,#107763,.T.); #225814=EDGE_CURVE('',#179261,#179262,#107764,.T.); #225815=EDGE_CURVE('',#179262,#179263,#107765,.T.); #225816=EDGE_CURVE('',#179263,#179260,#107766,.T.); #225817=EDGE_CURVE('',#179264,#179265,#107767,.T.); #225818=EDGE_CURVE('',#179266,#179264,#107768,.T.); #225819=EDGE_CURVE('',#179267,#179266,#107769,.T.); #225820=EDGE_CURVE('',#179265,#179267,#107770,.T.); #225821=EDGE_CURVE('',#179268,#179269,#107771,.T.); #225822=EDGE_CURVE('',#179270,#179268,#107772,.T.); #225823=EDGE_CURVE('',#179271,#179270,#107773,.T.); #225824=EDGE_CURVE('',#179269,#179271,#107774,.T.); #225825=EDGE_CURVE('',#179272,#179247,#107775,.T.); #225826=EDGE_CURVE('',#179246,#179226,#107776,.T.); #225827=EDGE_CURVE('',#179225,#179273,#107777,.T.); #225828=EDGE_CURVE('',#179272,#179273,#107778,.T.); #225829=EDGE_CURVE('',#179244,#179274,#107779,.T.); #225830=EDGE_CURVE('',#179274,#179275,#107780,.T.); #225831=EDGE_CURVE('',#179275,#179228,#107781,.T.); #225832=EDGE_CURVE('',#179227,#179245,#107782,.T.); #225833=EDGE_CURVE('',#179273,#179276,#107783,.T.); #225834=EDGE_CURVE('',#179276,#179224,#107784,.T.); #225835=EDGE_CURVE('',#179277,#179255,#107785,.T.); #225836=EDGE_CURVE('',#179254,#179234,#107786,.T.); #225837=EDGE_CURVE('',#179233,#179278,#107787,.T.); #225838=EDGE_CURVE('',#179277,#179278,#107788,.T.); #225839=EDGE_CURVE('',#179252,#179279,#107789,.T.); #225840=EDGE_CURVE('',#179279,#179276,#107790,.T.); #225841=EDGE_CURVE('',#179235,#179253,#107791,.T.); #225842=EDGE_CURVE('',#179278,#179280,#107792,.T.); #225843=EDGE_CURVE('',#179280,#179232,#107793,.T.); #225844=EDGE_CURVE('',#179281,#179282,#107794,.T.); #225845=EDGE_CURVE('',#179281,#179283,#107795,.T.); #225846=EDGE_CURVE('',#179283,#179284,#107796,.T.); #225847=EDGE_CURVE('',#179284,#179285,#107797,.T.); #225848=EDGE_CURVE('',#179286,#179285,#107798,.T.); #225849=EDGE_CURVE('',#179286,#179231,#107799,.T.); #225850=EDGE_CURVE('',#179230,#179282,#107800,.T.); #225851=EDGE_CURVE('',#179287,#179288,#107801,.T.); #225852=EDGE_CURVE('',#179287,#179289,#71371,.T.); #225853=EDGE_CURVE('',#179289,#179241,#107802,.T.); #225854=EDGE_CURVE('',#179240,#179280,#107803,.T.); #225855=EDGE_CURVE('',#179288,#179286,#107804,.T.); #225856=EDGE_CURVE('',#179290,#179236,#107805,.T.); #225857=EDGE_CURVE('',#179290,#179291,#71372,.T.); #225858=EDGE_CURVE('',#179292,#179291,#107806,.T.); #225859=EDGE_CURVE('',#179292,#179282,#107807,.T.); #225860=EDGE_CURVE('',#179229,#179293,#107808,.T.); #225861=EDGE_CURVE('',#179238,#179293,#107809,.T.); #225862=EDGE_CURVE('',#179294,#179295,#71373,.T.); #225863=EDGE_CURVE('',#179296,#179294,#107810,.T.); #225864=EDGE_CURVE('',#179297,#179296,#71374,.T.); #225865=EDGE_CURVE('',#179298,#179297,#107811,.T.); #225866=EDGE_CURVE('',#179299,#179298,#107812,.T.); #225867=EDGE_CURVE('',#179300,#179299,#107813,.T.); #225868=EDGE_CURVE('',#179239,#179300,#107814,.T.); #225869=EDGE_CURVE('',#179293,#179275,#107815,.T.); #225870=EDGE_CURVE('',#179272,#179274,#107816,.T.); #225871=EDGE_CURVE('',#179277,#179279,#107817,.T.); #225872=EDGE_CURVE('',#179301,#179243,#107818,.T.); #225873=EDGE_CURVE('',#179302,#179301,#107819,.T.); #225874=EDGE_CURVE('',#179303,#179302,#107820,.T.); #225875=EDGE_CURVE('',#179295,#179303,#107821,.T.); #225876=EDGE_CURVE('',#179304,#179305,#71375,.T.); #225877=EDGE_CURVE('',#179305,#179306,#107822,.T.); #225878=EDGE_CURVE('',#179306,#179307,#71376,.T.); #225879=EDGE_CURVE('',#179307,#179308,#107823,.T.); #225880=EDGE_CURVE('',#179308,#179309,#107824,.T.); #225881=EDGE_CURVE('',#179309,#179310,#107825,.T.); #225882=EDGE_CURVE('',#179310,#179242,#107826,.T.); #225883=EDGE_CURVE('',#179289,#179311,#107827,.T.); #225884=EDGE_CURVE('',#179261,#179311,#107828,.T.); #225885=EDGE_CURVE('',#179260,#179312,#107829,.T.); #225886=EDGE_CURVE('',#179312,#179290,#107830,.T.); #225887=EDGE_CURVE('',#179237,#179313,#107831,.T.); #225888=EDGE_CURVE('',#179313,#179314,#107832,.T.); #225889=EDGE_CURVE('',#179314,#179315,#107833,.T.); #225890=EDGE_CURVE('',#179315,#179304,#107834,.T.); #225891=EDGE_CURVE('',#179263,#179316,#107835,.T.); #225892=EDGE_CURVE('',#179316,#179317,#107836,.T.); #225893=EDGE_CURVE('',#179318,#179317,#107837,.T.); #225894=EDGE_CURVE('',#179319,#179318,#107838,.T.); #225895=EDGE_CURVE('',#179319,#179312,#71377,.T.); #225896=EDGE_CURVE('',#179262,#179320,#107839,.T.); #225897=EDGE_CURVE('',#179320,#179316,#107840,.T.); #225898=EDGE_CURVE('',#179321,#179322,#107841,.T.); #225899=EDGE_CURVE('',#179322,#179320,#107842,.T.); #225900=EDGE_CURVE('',#179311,#179323,#71378,.T.); #225901=EDGE_CURVE('',#179321,#179323,#107843,.T.); #225902=EDGE_CURVE('',#179310,#179301,#107844,.T.); #225903=EDGE_CURVE('',#179309,#179302,#107845,.T.); #225904=EDGE_CURVE('',#179308,#179303,#107846,.T.); #225905=EDGE_CURVE('',#179307,#179295,#107847,.T.); #225906=EDGE_CURVE('',#179306,#179294,#107848,.T.); #225907=EDGE_CURVE('',#179313,#179300,#107849,.T.); #225908=EDGE_CURVE('',#179305,#179296,#107850,.T.); #225909=EDGE_CURVE('',#179304,#179297,#107851,.T.); #225910=EDGE_CURVE('',#179315,#179298,#107852,.T.); #225911=EDGE_CURVE('',#179314,#179299,#107853,.T.); #225912=EDGE_CURVE('',#179324,#179264,#107854,.T.); #225913=EDGE_CURVE('',#179324,#179325,#107855,.T.); #225914=EDGE_CURVE('',#179325,#179266,#107856,.T.); #225915=EDGE_CURVE('',#179326,#179265,#107857,.T.); #225916=EDGE_CURVE('',#179326,#179324,#107858,.T.); #225917=EDGE_CURVE('',#179327,#179267,#107859,.T.); #225918=EDGE_CURVE('',#179327,#179326,#107860,.T.); #225919=EDGE_CURVE('',#179325,#179327,#107861,.T.); #225920=EDGE_CURVE('',#179328,#179329,#107862,.T.); #225921=EDGE_CURVE('',#179329,#179330,#107863,.T.); #225922=EDGE_CURVE('',#179330,#179331,#107864,.T.); #225923=EDGE_CURVE('',#179331,#179328,#107865,.T.); #225924=EDGE_CURVE('',#179324,#179331,#107866,.T.); #225925=EDGE_CURVE('',#179328,#179326,#107867,.T.); #225926=EDGE_CURVE('',#179329,#179327,#107868,.T.); #225927=EDGE_CURVE('',#179325,#179330,#107869,.T.); #225928=EDGE_CURVE('',#179332,#179268,#107870,.T.); #225929=EDGE_CURVE('',#179332,#179333,#107871,.T.); #225930=EDGE_CURVE('',#179333,#179270,#107872,.T.); #225931=EDGE_CURVE('',#179334,#179269,#107873,.T.); #225932=EDGE_CURVE('',#179334,#179332,#107874,.T.); #225933=EDGE_CURVE('',#179335,#179271,#107875,.T.); #225934=EDGE_CURVE('',#179335,#179334,#107876,.T.); #225935=EDGE_CURVE('',#179333,#179335,#107877,.T.); #225936=EDGE_CURVE('',#179336,#179337,#107878,.T.); #225937=EDGE_CURVE('',#179337,#179338,#107879,.T.); #225938=EDGE_CURVE('',#179338,#179339,#107880,.T.); #225939=EDGE_CURVE('',#179339,#179336,#107881,.T.); #225940=EDGE_CURVE('',#179332,#179339,#107882,.T.); #225941=EDGE_CURVE('',#179336,#179334,#107883,.T.); #225942=EDGE_CURVE('',#179337,#179335,#107884,.T.); #225943=EDGE_CURVE('',#179333,#179338,#107885,.T.); #225944=EDGE_CURVE('',#179256,#179340,#107886,.T.); #225945=EDGE_CURVE('',#179340,#179341,#107887,.T.); #225946=EDGE_CURVE('',#179257,#179341,#107888,.T.); #225947=EDGE_CURVE('',#179342,#179343,#107889,.T.); #225948=EDGE_CURVE('',#179343,#179344,#107890,.T.); #225949=EDGE_CURVE('',#179344,#179345,#107891,.T.); #225950=EDGE_CURVE('',#179345,#179342,#107892,.T.); #225951=EDGE_CURVE('',#179258,#179346,#107893,.T.); #225952=EDGE_CURVE('',#179346,#179347,#107894,.T.); #225953=EDGE_CURVE('',#179259,#179347,#107895,.T.); #225954=EDGE_CURVE('',#179341,#179346,#107896,.T.); #225955=EDGE_CURVE('',#179347,#179340,#107897,.T.); #225956=EDGE_CURVE('',#179347,#179345,#107898,.T.); #225957=EDGE_CURVE('',#179342,#179346,#107899,.T.); #225958=EDGE_CURVE('',#179343,#179341,#107900,.T.); #225959=EDGE_CURVE('',#179340,#179344,#107901,.T.); #225960=EDGE_CURVE('',#179248,#179348,#107902,.T.); #225961=EDGE_CURVE('',#179348,#179349,#107903,.T.); #225962=EDGE_CURVE('',#179249,#179349,#107904,.T.); #225963=EDGE_CURVE('',#179350,#179351,#107905,.T.); #225964=EDGE_CURVE('',#179351,#179352,#107906,.T.); #225965=EDGE_CURVE('',#179352,#179353,#107907,.T.); #225966=EDGE_CURVE('',#179353,#179350,#107908,.T.); #225967=EDGE_CURVE('',#179250,#179354,#107909,.T.); #225968=EDGE_CURVE('',#179354,#179355,#107910,.T.); #225969=EDGE_CURVE('',#179251,#179355,#107911,.T.); #225970=EDGE_CURVE('',#179349,#179354,#107912,.T.); #225971=EDGE_CURVE('',#179355,#179348,#107913,.T.); #225972=EDGE_CURVE('',#179355,#179353,#107914,.T.); #225973=EDGE_CURVE('',#179350,#179354,#107915,.T.); #225974=EDGE_CURVE('',#179351,#179349,#107916,.T.); #225975=EDGE_CURVE('',#179348,#179352,#107917,.T.); #225976=EDGE_CURVE('',#179285,#179322,#107918,.T.); #225977=EDGE_CURVE('',#179288,#179321,#107919,.T.); #225978=EDGE_CURVE('',#179323,#179287,#107920,.T.); #225979=EDGE_CURVE('',#179317,#179281,#107921,.T.); #225980=EDGE_CURVE('',#179318,#179292,#107922,.T.); #225981=EDGE_CURVE('',#179291,#179319,#107923,.T.); #225982=EDGE_CURVE('',#179320,#179284,#107924,.T.); #225983=EDGE_CURVE('',#179316,#179283,#107925,.T.); #225984=EDGE_CURVE('',#179356,#179357,#71379,.F.); #225985=EDGE_CURVE('',#179358,#179356,#71380,.F.); #225986=EDGE_CURVE('',#179357,#179358,#71381,.F.); #225987=EDGE_CURVE('',#179359,#179360,#71382,.F.); #225988=EDGE_CURVE('',#179361,#179359,#71383,.F.); #225989=EDGE_CURVE('',#179360,#179361,#71384,.F.); #225990=EDGE_CURVE('',#179362,#179363,#71385,.F.); #225991=EDGE_CURVE('',#179364,#179362,#71386,.F.); #225992=EDGE_CURVE('',#179363,#179364,#71387,.F.); #225993=EDGE_CURVE('',#179365,#179366,#71388,.F.); #225994=EDGE_CURVE('',#179367,#179365,#71389,.F.); #225995=EDGE_CURVE('',#179366,#179367,#71390,.F.); #225996=EDGE_CURVE('',#179368,#179369,#71391,.F.); #225997=EDGE_CURVE('',#179370,#179368,#71392,.F.); #225998=EDGE_CURVE('',#179369,#179370,#71393,.F.); #225999=EDGE_CURVE('',#179368,#179363,#107926,.T.); #226000=EDGE_CURVE('',#179364,#179370,#107927,.T.); #226001=EDGE_CURVE('',#179371,#179372,#71394,.F.); #226002=EDGE_CURVE('',#179373,#179371,#71395,.F.); #226003=EDGE_CURVE('',#179372,#179373,#71396,.F.); #226004=EDGE_CURVE('',#179374,#179375,#71397,.F.); #226005=EDGE_CURVE('',#179376,#179374,#71398,.F.); #226006=EDGE_CURVE('',#179375,#179376,#71399,.F.); #226007=EDGE_CURVE('',#179362,#179376,#107928,.T.); #226008=EDGE_CURVE('',#179374,#179364,#107929,.T.); #226009=EDGE_CURVE('',#179365,#179375,#107930,.T.); #226010=EDGE_CURVE('',#179376,#179367,#107931,.T.); #226011=EDGE_CURVE('',#179377,#179378,#71400,.F.); #226012=EDGE_CURVE('',#179379,#179377,#71401,.F.); #226013=EDGE_CURVE('',#179378,#179379,#71402,.F.); #226014=EDGE_CURVE('',#179370,#179379,#107932,.T.); #226015=EDGE_CURVE('',#179377,#179369,#107933,.T.); #226016=EDGE_CURVE('',#179375,#179378,#107934,.T.); #226017=EDGE_CURVE('',#179379,#179374,#107935,.T.); #226018=EDGE_CURVE('',#179371,#179377,#107936,.T.); #226019=EDGE_CURVE('',#179378,#179373,#107937,.T.); #226020=EDGE_CURVE('',#179366,#179372,#107938,.T.); #226021=EDGE_CURVE('',#179373,#179365,#107939,.T.); #226022=EDGE_CURVE('',#179372,#179360,#107940,.T.); #226023=EDGE_CURVE('',#179361,#179371,#107941,.T.); #226024=EDGE_CURVE('',#179359,#179368,#107942,.T.); #226025=EDGE_CURVE('',#179369,#179361,#107943,.T.); #226026=EDGE_CURVE('',#179357,#179366,#107944,.T.); #226027=EDGE_CURVE('',#179367,#179356,#107945,.T.); #226028=EDGE_CURVE('',#179356,#179362,#107946,.T.); #226029=EDGE_CURVE('',#179363,#179358,#107947,.T.); #226030=EDGE_CURVE('',#179360,#179357,#107948,.T.); #226031=EDGE_CURVE('',#179358,#179359,#107949,.T.); #226032=EDGE_CURVE('',#179380,#179381,#71403,.F.); #226033=EDGE_CURVE('',#179382,#179380,#71404,.F.); #226034=EDGE_CURVE('',#179381,#179382,#71405,.F.); #226035=EDGE_CURVE('',#179383,#179384,#71406,.F.); #226036=EDGE_CURVE('',#179385,#179383,#71407,.F.); #226037=EDGE_CURVE('',#179384,#179385,#71408,.F.); #226038=EDGE_CURVE('',#179386,#179387,#71409,.F.); #226039=EDGE_CURVE('',#179388,#179386,#71410,.F.); #226040=EDGE_CURVE('',#179387,#179388,#71411,.F.); #226041=EDGE_CURVE('',#179389,#179390,#71412,.F.); #226042=EDGE_CURVE('',#179391,#179389,#71413,.F.); #226043=EDGE_CURVE('',#179390,#179391,#71414,.F.); #226044=EDGE_CURVE('',#179392,#179393,#71415,.F.); #226045=EDGE_CURVE('',#179394,#179392,#71416,.F.); #226046=EDGE_CURVE('',#179393,#179394,#71417,.F.); #226047=EDGE_CURVE('',#179392,#179387,#107950,.T.); #226048=EDGE_CURVE('',#179388,#179394,#107951,.T.); #226049=EDGE_CURVE('',#179395,#179396,#71418,.F.); #226050=EDGE_CURVE('',#179397,#179395,#71419,.F.); #226051=EDGE_CURVE('',#179396,#179397,#71420,.F.); #226052=EDGE_CURVE('',#179398,#179399,#71421,.F.); #226053=EDGE_CURVE('',#179400,#179398,#71422,.F.); #226054=EDGE_CURVE('',#179399,#179400,#71423,.F.); #226055=EDGE_CURVE('',#179386,#179400,#107952,.T.); #226056=EDGE_CURVE('',#179398,#179388,#107953,.T.); #226057=EDGE_CURVE('',#179389,#179399,#107954,.T.); #226058=EDGE_CURVE('',#179400,#179391,#107955,.T.); #226059=EDGE_CURVE('',#179401,#179402,#71424,.F.); #226060=EDGE_CURVE('',#179403,#179401,#71425,.F.); #226061=EDGE_CURVE('',#179402,#179403,#71426,.F.); #226062=EDGE_CURVE('',#179401,#179393,#107956,.T.); #226063=EDGE_CURVE('',#179394,#179403,#107957,.T.); #226064=EDGE_CURVE('',#179399,#179402,#107958,.T.); #226065=EDGE_CURVE('',#179403,#179398,#107959,.T.); #226066=EDGE_CURVE('',#179395,#179401,#107960,.T.); #226067=EDGE_CURVE('',#179402,#179397,#107961,.T.); #226068=EDGE_CURVE('',#179390,#179396,#107962,.T.); #226069=EDGE_CURVE('',#179397,#179389,#107963,.T.); #226070=EDGE_CURVE('',#179396,#179384,#107964,.T.); #226071=EDGE_CURVE('',#179385,#179395,#107965,.T.); #226072=EDGE_CURVE('',#179383,#179392,#107966,.T.); #226073=EDGE_CURVE('',#179393,#179385,#107967,.T.); #226074=EDGE_CURVE('',#179381,#179390,#107968,.T.); #226075=EDGE_CURVE('',#179391,#179380,#107969,.T.); #226076=EDGE_CURVE('',#179380,#179386,#107970,.T.); #226077=EDGE_CURVE('',#179387,#179382,#107971,.T.); #226078=EDGE_CURVE('',#179384,#179381,#107972,.T.); #226079=EDGE_CURVE('',#179382,#179383,#107973,.T.); #226080=EDGE_CURVE('',#179404,#179405,#107974,.T.); #226081=EDGE_CURVE('',#179404,#179406,#107975,.T.); #226082=EDGE_CURVE('',#179407,#179406,#107976,.T.); #226083=EDGE_CURVE('',#179405,#179407,#107977,.T.); #226084=EDGE_CURVE('',#179408,#179405,#107978,.T.); #226085=EDGE_CURVE('',#179409,#179407,#107979,.T.); #226086=EDGE_CURVE('',#179408,#179409,#107980,.T.); #226087=EDGE_CURVE('',#179410,#179408,#107981,.T.); #226088=EDGE_CURVE('',#179411,#179409,#107982,.T.); #226089=EDGE_CURVE('',#179410,#179411,#107983,.T.); #226090=EDGE_CURVE('',#179404,#179410,#107984,.T.); #226091=EDGE_CURVE('',#179406,#179411,#107985,.T.); #226092=EDGE_CURVE('',#179412,#179413,#71427,.F.); #226093=EDGE_CURVE('',#179414,#179412,#71428,.F.); #226094=EDGE_CURVE('',#179413,#179414,#71429,.F.); #226095=EDGE_CURVE('',#179415,#179416,#71430,.F.); #226096=EDGE_CURVE('',#179417,#179415,#71431,.F.); #226097=EDGE_CURVE('',#179416,#179417,#71432,.F.); #226098=EDGE_CURVE('',#179418,#179419,#71433,.F.); #226099=EDGE_CURVE('',#179420,#179418,#71434,.F.); #226100=EDGE_CURVE('',#179419,#179420,#71435,.F.); #226101=EDGE_CURVE('',#179421,#179422,#71436,.F.); #226102=EDGE_CURVE('',#179423,#179421,#71437,.F.); #226103=EDGE_CURVE('',#179422,#179423,#71438,.F.); #226104=EDGE_CURVE('',#179424,#179425,#71439,.F.); #226105=EDGE_CURVE('',#179426,#179424,#71440,.F.); #226106=EDGE_CURVE('',#179425,#179426,#71441,.F.); #226107=EDGE_CURVE('',#179424,#179419,#107986,.T.); #226108=EDGE_CURVE('',#179420,#179426,#107987,.T.); #226109=EDGE_CURVE('',#179427,#179428,#71442,.F.); #226110=EDGE_CURVE('',#179429,#179427,#71443,.F.); #226111=EDGE_CURVE('',#179428,#179429,#71444,.F.); #226112=EDGE_CURVE('',#179430,#179431,#71445,.F.); #226113=EDGE_CURVE('',#179432,#179430,#71446,.F.); #226114=EDGE_CURVE('',#179431,#179432,#71447,.F.); #226115=EDGE_CURVE('',#179418,#179432,#107988,.T.); #226116=EDGE_CURVE('',#179430,#179420,#107989,.T.); #226117=EDGE_CURVE('',#179421,#179431,#107990,.T.); #226118=EDGE_CURVE('',#179432,#179423,#107991,.T.); #226119=EDGE_CURVE('',#179433,#179434,#71448,.F.); #226120=EDGE_CURVE('',#179435,#179433,#71449,.F.); #226121=EDGE_CURVE('',#179434,#179435,#71450,.F.); #226122=EDGE_CURVE('',#179426,#179435,#107992,.T.); #226123=EDGE_CURVE('',#179433,#179425,#107993,.T.); #226124=EDGE_CURVE('',#179431,#179434,#107994,.T.); #226125=EDGE_CURVE('',#179435,#179430,#107995,.T.); #226126=EDGE_CURVE('',#179427,#179433,#107996,.T.); #226127=EDGE_CURVE('',#179434,#179429,#107997,.T.); #226128=EDGE_CURVE('',#179422,#179428,#107998,.T.); #226129=EDGE_CURVE('',#179429,#179421,#107999,.T.); #226130=EDGE_CURVE('',#179428,#179416,#108000,.T.); #226131=EDGE_CURVE('',#179417,#179427,#108001,.T.); #226132=EDGE_CURVE('',#179415,#179424,#108002,.T.); #226133=EDGE_CURVE('',#179425,#179417,#108003,.T.); #226134=EDGE_CURVE('',#179413,#179422,#108004,.T.); #226135=EDGE_CURVE('',#179423,#179412,#108005,.T.); #226136=EDGE_CURVE('',#179412,#179418,#108006,.T.); #226137=EDGE_CURVE('',#179419,#179414,#108007,.T.); #226138=EDGE_CURVE('',#179416,#179413,#108008,.T.); #226139=EDGE_CURVE('',#179414,#179415,#108009,.T.); #226140=EDGE_CURVE('',#179436,#179437,#71451,.F.); #226141=EDGE_CURVE('',#179438,#179436,#71452,.F.); #226142=EDGE_CURVE('',#179437,#179438,#71453,.F.); #226143=EDGE_CURVE('',#179439,#179440,#71454,.F.); #226144=EDGE_CURVE('',#179441,#179439,#71455,.F.); #226145=EDGE_CURVE('',#179440,#179441,#71456,.F.); #226146=EDGE_CURVE('',#179442,#179443,#71457,.F.); #226147=EDGE_CURVE('',#179444,#179442,#71458,.F.); #226148=EDGE_CURVE('',#179443,#179444,#71459,.F.); #226149=EDGE_CURVE('',#179445,#179446,#71460,.F.); #226150=EDGE_CURVE('',#179447,#179445,#71461,.F.); #226151=EDGE_CURVE('',#179446,#179447,#71462,.F.); #226152=EDGE_CURVE('',#179448,#179449,#71463,.F.); #226153=EDGE_CURVE('',#179450,#179448,#71464,.F.); #226154=EDGE_CURVE('',#179449,#179450,#71465,.F.); #226155=EDGE_CURVE('',#179448,#179443,#108010,.T.); #226156=EDGE_CURVE('',#179444,#179450,#108011,.T.); #226157=EDGE_CURVE('',#179451,#179452,#71466,.F.); #226158=EDGE_CURVE('',#179453,#179451,#71467,.F.); #226159=EDGE_CURVE('',#179452,#179453,#71468,.F.); #226160=EDGE_CURVE('',#179454,#179455,#71469,.F.); #226161=EDGE_CURVE('',#179456,#179454,#71470,.F.); #226162=EDGE_CURVE('',#179455,#179456,#71471,.F.); #226163=EDGE_CURVE('',#179442,#179456,#108012,.T.); #226164=EDGE_CURVE('',#179454,#179444,#108013,.T.); #226165=EDGE_CURVE('',#179445,#179455,#108014,.T.); #226166=EDGE_CURVE('',#179456,#179447,#108015,.T.); #226167=EDGE_CURVE('',#179457,#179458,#71472,.F.); #226168=EDGE_CURVE('',#179459,#179457,#71473,.F.); #226169=EDGE_CURVE('',#179458,#179459,#71474,.F.); #226170=EDGE_CURVE('',#179457,#179449,#108016,.T.); #226171=EDGE_CURVE('',#179450,#179459,#108017,.T.); #226172=EDGE_CURVE('',#179455,#179458,#108018,.T.); #226173=EDGE_CURVE('',#179459,#179454,#108019,.T.); #226174=EDGE_CURVE('',#179451,#179457,#108020,.T.); #226175=EDGE_CURVE('',#179458,#179453,#108021,.T.); #226176=EDGE_CURVE('',#179446,#179452,#108022,.T.); #226177=EDGE_CURVE('',#179453,#179445,#108023,.T.); #226178=EDGE_CURVE('',#179452,#179440,#108024,.T.); #226179=EDGE_CURVE('',#179441,#179451,#108025,.T.); #226180=EDGE_CURVE('',#179439,#179448,#108026,.T.); #226181=EDGE_CURVE('',#179449,#179441,#108027,.T.); #226182=EDGE_CURVE('',#179437,#179446,#108028,.T.); #226183=EDGE_CURVE('',#179447,#179436,#108029,.T.); #226184=EDGE_CURVE('',#179436,#179442,#108030,.T.); #226185=EDGE_CURVE('',#179443,#179438,#108031,.T.); #226186=EDGE_CURVE('',#179440,#179437,#108032,.T.); #226187=EDGE_CURVE('',#179438,#179439,#108033,.T.); #226188=EDGE_CURVE('',#179460,#179461,#108034,.T.); #226189=EDGE_CURVE('',#179460,#179462,#108035,.T.); #226190=EDGE_CURVE('',#179463,#179462,#108036,.T.); #226191=EDGE_CURVE('',#179461,#179463,#108037,.T.); #226192=EDGE_CURVE('',#179464,#179461,#108038,.T.); #226193=EDGE_CURVE('',#179465,#179463,#108039,.T.); #226194=EDGE_CURVE('',#179464,#179465,#108040,.T.); #226195=EDGE_CURVE('',#179466,#179464,#108041,.T.); #226196=EDGE_CURVE('',#179467,#179465,#108042,.T.); #226197=EDGE_CURVE('',#179466,#179467,#108043,.T.); #226198=EDGE_CURVE('',#179460,#179466,#108044,.T.); #226199=EDGE_CURVE('',#179462,#179467,#108045,.T.); #226200=EDGE_CURVE('',#179468,#179469,#71475,.F.); #226201=EDGE_CURVE('',#179470,#179468,#71476,.F.); #226202=EDGE_CURVE('',#179469,#179470,#71477,.F.); #226203=EDGE_CURVE('',#179471,#179472,#71478,.F.); #226204=EDGE_CURVE('',#179473,#179471,#71479,.F.); #226205=EDGE_CURVE('',#179472,#179473,#71480,.F.); #226206=EDGE_CURVE('',#179474,#179475,#71481,.F.); #226207=EDGE_CURVE('',#179476,#179474,#71482,.F.); #226208=EDGE_CURVE('',#179475,#179476,#71483,.F.); #226209=EDGE_CURVE('',#179477,#179478,#71484,.F.); #226210=EDGE_CURVE('',#179479,#179477,#71485,.F.); #226211=EDGE_CURVE('',#179478,#179479,#71486,.F.); #226212=EDGE_CURVE('',#179480,#179481,#71487,.F.); #226213=EDGE_CURVE('',#179482,#179480,#71488,.F.); #226214=EDGE_CURVE('',#179481,#179482,#71489,.F.); #226215=EDGE_CURVE('',#179480,#179475,#108046,.T.); #226216=EDGE_CURVE('',#179476,#179482,#108047,.T.); #226217=EDGE_CURVE('',#179483,#179484,#71490,.F.); #226218=EDGE_CURVE('',#179485,#179483,#71491,.F.); #226219=EDGE_CURVE('',#179484,#179485,#71492,.F.); #226220=EDGE_CURVE('',#179486,#179487,#71493,.F.); #226221=EDGE_CURVE('',#179488,#179486,#71494,.F.); #226222=EDGE_CURVE('',#179487,#179488,#71495,.F.); #226223=EDGE_CURVE('',#179474,#179488,#108048,.T.); #226224=EDGE_CURVE('',#179486,#179476,#108049,.T.); #226225=EDGE_CURVE('',#179477,#179487,#108050,.T.); #226226=EDGE_CURVE('',#179488,#179479,#108051,.T.); #226227=EDGE_CURVE('',#179489,#179490,#71496,.F.); #226228=EDGE_CURVE('',#179491,#179489,#71497,.F.); #226229=EDGE_CURVE('',#179490,#179491,#71498,.F.); #226230=EDGE_CURVE('',#179482,#179491,#108052,.T.); #226231=EDGE_CURVE('',#179489,#179481,#108053,.T.); #226232=EDGE_CURVE('',#179487,#179490,#108054,.T.); #226233=EDGE_CURVE('',#179491,#179486,#108055,.T.); #226234=EDGE_CURVE('',#179483,#179489,#108056,.T.); #226235=EDGE_CURVE('',#179490,#179485,#108057,.T.); #226236=EDGE_CURVE('',#179478,#179484,#108058,.T.); #226237=EDGE_CURVE('',#179485,#179477,#108059,.T.); #226238=EDGE_CURVE('',#179484,#179472,#108060,.T.); #226239=EDGE_CURVE('',#179473,#179483,#108061,.T.); #226240=EDGE_CURVE('',#179471,#179480,#108062,.T.); #226241=EDGE_CURVE('',#179481,#179473,#108063,.T.); #226242=EDGE_CURVE('',#179469,#179478,#108064,.T.); #226243=EDGE_CURVE('',#179479,#179468,#108065,.T.); #226244=EDGE_CURVE('',#179468,#179474,#108066,.T.); #226245=EDGE_CURVE('',#179475,#179470,#108067,.T.); #226246=EDGE_CURVE('',#179472,#179469,#108068,.T.); #226247=EDGE_CURVE('',#179470,#179471,#108069,.T.); #226248=EDGE_CURVE('',#179492,#179493,#71499,.F.); #226249=EDGE_CURVE('',#179494,#179492,#71500,.F.); #226250=EDGE_CURVE('',#179493,#179494,#71501,.F.); #226251=EDGE_CURVE('',#179495,#179496,#71502,.F.); #226252=EDGE_CURVE('',#179497,#179495,#71503,.F.); #226253=EDGE_CURVE('',#179496,#179497,#71504,.F.); #226254=EDGE_CURVE('',#179498,#179499,#71505,.F.); #226255=EDGE_CURVE('',#179500,#179498,#71506,.F.); #226256=EDGE_CURVE('',#179499,#179500,#71507,.F.); #226257=EDGE_CURVE('',#179501,#179502,#71508,.F.); #226258=EDGE_CURVE('',#179503,#179501,#71509,.F.); #226259=EDGE_CURVE('',#179502,#179503,#71510,.F.); #226260=EDGE_CURVE('',#179504,#179505,#71511,.F.); #226261=EDGE_CURVE('',#179506,#179504,#71512,.F.); #226262=EDGE_CURVE('',#179505,#179506,#71513,.F.); #226263=EDGE_CURVE('',#179504,#179499,#108070,.T.); #226264=EDGE_CURVE('',#179500,#179506,#108071,.T.); #226265=EDGE_CURVE('',#179507,#179508,#71514,.F.); #226266=EDGE_CURVE('',#179509,#179507,#71515,.F.); #226267=EDGE_CURVE('',#179508,#179509,#71516,.F.); #226268=EDGE_CURVE('',#179510,#179511,#71517,.F.); #226269=EDGE_CURVE('',#179512,#179510,#71518,.F.); #226270=EDGE_CURVE('',#179511,#179512,#71519,.F.); #226271=EDGE_CURVE('',#179498,#179512,#108072,.T.); #226272=EDGE_CURVE('',#179510,#179500,#108073,.T.); #226273=EDGE_CURVE('',#179501,#179511,#108074,.T.); #226274=EDGE_CURVE('',#179512,#179503,#108075,.T.); #226275=EDGE_CURVE('',#179513,#179514,#71520,.F.); #226276=EDGE_CURVE('',#179515,#179513,#71521,.F.); #226277=EDGE_CURVE('',#179514,#179515,#71522,.F.); #226278=EDGE_CURVE('',#179513,#179505,#108076,.T.); #226279=EDGE_CURVE('',#179506,#179515,#108077,.T.); #226280=EDGE_CURVE('',#179511,#179514,#108078,.T.); #226281=EDGE_CURVE('',#179515,#179510,#108079,.T.); #226282=EDGE_CURVE('',#179507,#179513,#108080,.T.); #226283=EDGE_CURVE('',#179514,#179509,#108081,.T.); #226284=EDGE_CURVE('',#179502,#179508,#108082,.T.); #226285=EDGE_CURVE('',#179509,#179501,#108083,.T.); #226286=EDGE_CURVE('',#179508,#179496,#108084,.T.); #226287=EDGE_CURVE('',#179497,#179507,#108085,.T.); #226288=EDGE_CURVE('',#179495,#179504,#108086,.T.); #226289=EDGE_CURVE('',#179505,#179497,#108087,.T.); #226290=EDGE_CURVE('',#179493,#179502,#108088,.T.); #226291=EDGE_CURVE('',#179503,#179492,#108089,.T.); #226292=EDGE_CURVE('',#179492,#179498,#108090,.T.); #226293=EDGE_CURVE('',#179499,#179494,#108091,.T.); #226294=EDGE_CURVE('',#179496,#179493,#108092,.T.); #226295=EDGE_CURVE('',#179494,#179495,#108093,.T.); #226296=EDGE_CURVE('',#179516,#179517,#108094,.T.); #226297=EDGE_CURVE('',#179516,#179518,#108095,.T.); #226298=EDGE_CURVE('',#179519,#179518,#108096,.T.); #226299=EDGE_CURVE('',#179517,#179519,#108097,.T.); #226300=EDGE_CURVE('',#179520,#179517,#108098,.T.); #226301=EDGE_CURVE('',#179521,#179519,#108099,.T.); #226302=EDGE_CURVE('',#179520,#179521,#108100,.T.); #226303=EDGE_CURVE('',#179522,#179520,#108101,.T.); #226304=EDGE_CURVE('',#179523,#179521,#108102,.T.); #226305=EDGE_CURVE('',#179522,#179523,#108103,.T.); #226306=EDGE_CURVE('',#179516,#179522,#108104,.T.); #226307=EDGE_CURVE('',#179518,#179523,#108105,.T.); #226308=EDGE_CURVE('',#179524,#179524,#71523,.T.); #226309=EDGE_CURVE('',#179524,#179525,#108106,.T.); #226310=EDGE_CURVE('',#179525,#179525,#71524,.T.); #226311=EDGE_CURVE('',#179526,#179526,#71525,.T.); #226312=EDGE_CURVE('',#179527,#179527,#71526,.T.); #226313=EDGE_CURVE('',#179527,#179526,#71527,.T.); #226314=EDGE_CURVE('',#179528,#179528,#71528,.T.); #226315=EDGE_CURVE('',#179528,#179527,#108107,.T.); #226316=EDGE_CURVE('',#179529,#179529,#71529,.T.); #226317=EDGE_CURVE('',#179529,#179528,#71530,.T.); #226318=EDGE_CURVE('',#179529,#179530,#71531,.T.); #226319=EDGE_CURVE('',#179530,#179530,#71532,.T.); #226320=EDGE_CURVE('',#179531,#179531,#71533,.T.); #226321=EDGE_CURVE('',#179531,#179530,#71534,.T.); #226322=EDGE_CURVE('',#179532,#179532,#71535,.T.); #226323=EDGE_CURVE('',#179532,#179531,#108108,.T.); #226324=EDGE_CURVE('',#179533,#179533,#71536,.T.); #226325=EDGE_CURVE('',#179533,#179532,#71537,.T.); #226326=EDGE_CURVE('',#179534,#179535,#71538,.T.); #226327=EDGE_CURVE('',#179535,#179536,#71539,.T.); #226328=EDGE_CURVE('',#179536,#179537,#71540,.T.); #226329=EDGE_CURVE('',#179537,#179538,#108109,.T.); #226330=EDGE_CURVE('',#179538,#179539,#71541,.T.); #226331=EDGE_CURVE('',#179539,#179540,#108110,.T.); #226332=EDGE_CURVE('',#179540,#179541,#71542,.T.); #226333=EDGE_CURVE('',#179541,#179542,#108111,.T.); #226334=EDGE_CURVE('',#179542,#179543,#71543,.T.); #226335=EDGE_CURVE('',#179543,#179544,#71544,.T.); #226336=EDGE_CURVE('',#179544,#179545,#71545,.T.); #226337=EDGE_CURVE('',#179545,#179546,#108112,.T.); #226338=EDGE_CURVE('',#179546,#179547,#71546,.T.); #226339=EDGE_CURVE('',#179547,#179548,#108113,.T.); #226340=EDGE_CURVE('',#179548,#179549,#71547,.T.); #226341=EDGE_CURVE('',#179549,#179534,#108114,.T.); #226342=EDGE_CURVE('',#179550,#179535,#71548,.T.); #226343=EDGE_CURVE('',#179551,#179550,#71549,.T.); #226344=EDGE_CURVE('',#179536,#179551,#71550,.T.); #226345=EDGE_CURVE('',#179552,#179551,#71551,.T.); #226346=EDGE_CURVE('',#179537,#179552,#71552,.T.); #226347=EDGE_CURVE('',#179553,#179552,#108115,.T.); #226348=EDGE_CURVE('',#179538,#179553,#71553,.T.); #226349=EDGE_CURVE('',#179554,#179553,#71554,.T.); #226350=EDGE_CURVE('',#179539,#179554,#71555,.T.); #226351=EDGE_CURVE('',#179555,#179554,#108116,.T.); #226352=EDGE_CURVE('',#179540,#179555,#71556,.T.); #226353=EDGE_CURVE('',#179556,#179555,#71557,.T.); #226354=EDGE_CURVE('',#179541,#179556,#71558,.T.); #226355=EDGE_CURVE('',#179557,#179556,#108117,.T.); #226356=EDGE_CURVE('',#179542,#179557,#71559,.T.); #226357=EDGE_CURVE('',#179558,#179557,#71560,.T.); #226358=EDGE_CURVE('',#179543,#179558,#71561,.T.); #226359=EDGE_CURVE('',#179559,#179558,#71562,.T.); #226360=EDGE_CURVE('',#179544,#179559,#71563,.T.); #226361=EDGE_CURVE('',#179560,#179559,#71564,.T.); #226362=EDGE_CURVE('',#179545,#179560,#71565,.T.); #226363=EDGE_CURVE('',#179561,#179560,#108118,.T.); #226364=EDGE_CURVE('',#179546,#179561,#71566,.T.); #226365=EDGE_CURVE('',#179562,#179561,#71567,.T.); #226366=EDGE_CURVE('',#179547,#179562,#71568,.T.); #226367=EDGE_CURVE('',#179563,#179562,#108119,.T.); #226368=EDGE_CURVE('',#179548,#179563,#71569,.T.); #226369=EDGE_CURVE('',#179564,#179563,#71570,.T.); #226370=EDGE_CURVE('',#179549,#179564,#71571,.T.); #226371=EDGE_CURVE('',#179565,#179564,#108120,.T.); #226372=EDGE_CURVE('',#179534,#179565,#71572,.T.); #226373=EDGE_CURVE('',#179550,#179565,#71573,.T.); #226374=EDGE_CURVE('',#179566,#179566,#71574,.T.); #226375=EDGE_CURVE('',#179566,#179567,#108121,.T.); #226376=EDGE_CURVE('',#179567,#179567,#71575,.T.); #226377=EDGE_CURVE('',#179568,#179568,#71576,.T.); #226378=EDGE_CURVE('',#179568,#179569,#108122,.T.); #226379=EDGE_CURVE('',#179569,#179569,#71577,.T.); #226380=EDGE_CURVE('',#179570,#179571,#108123,.T.); #226381=EDGE_CURVE('',#179571,#179572,#108124,.T.); #226382=EDGE_CURVE('',#179572,#179573,#108125,.T.); #226383=EDGE_CURVE('',#179573,#179570,#108126,.T.); #226384=EDGE_CURVE('',#179574,#179571,#71578,.T.); #226385=EDGE_CURVE('',#179575,#179574,#108127,.T.); #226386=EDGE_CURVE('',#179572,#179575,#71579,.T.); #226387=EDGE_CURVE('',#179576,#179575,#108128,.T.); #226388=EDGE_CURVE('',#179573,#179576,#71580,.T.); #226389=EDGE_CURVE('',#179577,#179576,#108129,.T.); #226390=EDGE_CURVE('',#179570,#179577,#71581,.T.); #226391=EDGE_CURVE('',#179574,#179577,#108130,.T.); #226392=EDGE_CURVE('',#179578,#179579,#108131,.T.); #226393=EDGE_CURVE('',#179579,#179580,#108132,.T.); #226394=EDGE_CURVE('',#179580,#179581,#108133,.T.); #226395=EDGE_CURVE('',#179581,#179578,#108134,.T.); #226396=EDGE_CURVE('',#179582,#179579,#71582,.T.); #226397=EDGE_CURVE('',#179583,#179582,#108135,.T.); #226398=EDGE_CURVE('',#179580,#179583,#71583,.T.); #226399=EDGE_CURVE('',#179584,#179583,#108136,.T.); #226400=EDGE_CURVE('',#179581,#179584,#71584,.T.); #226401=EDGE_CURVE('',#179585,#179584,#108137,.T.); #226402=EDGE_CURVE('',#179578,#179585,#71585,.T.); #226403=EDGE_CURVE('',#179582,#179585,#108138,.T.); #226404=EDGE_CURVE('',#179586,#179587,#108139,.T.); #226405=EDGE_CURVE('',#179587,#179588,#108140,.T.); #226406=EDGE_CURVE('',#179588,#179589,#108141,.T.); #226407=EDGE_CURVE('',#179589,#179586,#108142,.T.); #226408=EDGE_CURVE('',#179590,#179587,#71586,.T.); #226409=EDGE_CURVE('',#179591,#179590,#108143,.T.); #226410=EDGE_CURVE('',#179588,#179591,#71587,.T.); #226411=EDGE_CURVE('',#179592,#179591,#108144,.T.); #226412=EDGE_CURVE('',#179589,#179592,#71588,.T.); #226413=EDGE_CURVE('',#179593,#179592,#108145,.T.); #226414=EDGE_CURVE('',#179586,#179593,#71589,.T.); #226415=EDGE_CURVE('',#179590,#179593,#108146,.T.); #226416=EDGE_CURVE('',#179594,#179595,#108147,.T.); #226417=EDGE_CURVE('',#179595,#179596,#108148,.T.); #226418=EDGE_CURVE('',#179596,#179597,#108149,.T.); #226419=EDGE_CURVE('',#179597,#179594,#108150,.T.); #226420=EDGE_CURVE('',#179598,#179595,#71590,.T.); #226421=EDGE_CURVE('',#179599,#179598,#108151,.T.); #226422=EDGE_CURVE('',#179596,#179599,#71591,.T.); #226423=EDGE_CURVE('',#179600,#179599,#108152,.T.); #226424=EDGE_CURVE('',#179597,#179600,#71592,.T.); #226425=EDGE_CURVE('',#179601,#179600,#108153,.T.); #226426=EDGE_CURVE('',#179594,#179601,#71593,.T.); #226427=EDGE_CURVE('',#179598,#179601,#108154,.T.); #226428=EDGE_CURVE('',#179602,#179603,#108155,.T.); #226429=EDGE_CURVE('',#179603,#179604,#108156,.T.); #226430=EDGE_CURVE('',#179604,#179605,#108157,.T.); #226431=EDGE_CURVE('',#179605,#179602,#108158,.T.); #226432=EDGE_CURVE('',#179606,#179603,#71594,.T.); #226433=EDGE_CURVE('',#179607,#179606,#108159,.T.); #226434=EDGE_CURVE('',#179604,#179607,#71595,.T.); #226435=EDGE_CURVE('',#179608,#179607,#108160,.T.); #226436=EDGE_CURVE('',#179605,#179608,#71596,.T.); #226437=EDGE_CURVE('',#179609,#179608,#108161,.T.); #226438=EDGE_CURVE('',#179602,#179609,#71597,.T.); #226439=EDGE_CURVE('',#179606,#179609,#108162,.T.); #226440=EDGE_CURVE('',#179610,#179611,#108163,.T.); #226441=EDGE_CURVE('',#179611,#179612,#108164,.T.); #226442=EDGE_CURVE('',#179612,#179613,#108165,.T.); #226443=EDGE_CURVE('',#179613,#179610,#108166,.T.); #226444=EDGE_CURVE('',#179614,#179611,#71598,.T.); #226445=EDGE_CURVE('',#179615,#179614,#108167,.T.); #226446=EDGE_CURVE('',#179612,#179615,#71599,.T.); #226447=EDGE_CURVE('',#179616,#179615,#108168,.T.); #226448=EDGE_CURVE('',#179613,#179616,#71600,.T.); #226449=EDGE_CURVE('',#179617,#179616,#108169,.T.); #226450=EDGE_CURVE('',#179610,#179617,#71601,.T.); #226451=EDGE_CURVE('',#179614,#179617,#108170,.T.); #226452=EDGE_CURVE('',#179618,#179619,#108171,.T.); #226453=EDGE_CURVE('',#179619,#179620,#108172,.T.); #226454=EDGE_CURVE('',#179620,#179621,#108173,.T.); #226455=EDGE_CURVE('',#179621,#179618,#108174,.T.); #226456=EDGE_CURVE('',#179622,#179619,#71602,.T.); #226457=EDGE_CURVE('',#179623,#179622,#108175,.T.); #226458=EDGE_CURVE('',#179620,#179623,#71603,.T.); #226459=EDGE_CURVE('',#179624,#179623,#108176,.T.); #226460=EDGE_CURVE('',#179621,#179624,#71604,.T.); #226461=EDGE_CURVE('',#179625,#179624,#108177,.T.); #226462=EDGE_CURVE('',#179618,#179625,#71605,.T.); #226463=EDGE_CURVE('',#179622,#179625,#108178,.T.); #226464=EDGE_CURVE('',#179626,#179627,#108179,.T.); #226465=EDGE_CURVE('',#179627,#179628,#108180,.T.); #226466=EDGE_CURVE('',#179628,#179629,#108181,.T.); #226467=EDGE_CURVE('',#179629,#179626,#108182,.T.); #226468=EDGE_CURVE('',#179630,#179627,#71606,.T.); #226469=EDGE_CURVE('',#179631,#179630,#108183,.T.); #226470=EDGE_CURVE('',#179628,#179631,#71607,.T.); #226471=EDGE_CURVE('',#179632,#179631,#108184,.T.); #226472=EDGE_CURVE('',#179629,#179632,#71608,.T.); #226473=EDGE_CURVE('',#179633,#179632,#108185,.T.); #226474=EDGE_CURVE('',#179626,#179633,#71609,.T.); #226475=EDGE_CURVE('',#179630,#179633,#108186,.T.); #226476=EDGE_CURVE('',#179634,#179635,#108187,.T.); #226477=EDGE_CURVE('',#179635,#179636,#108188,.T.); #226478=EDGE_CURVE('',#179636,#179637,#108189,.T.); #226479=EDGE_CURVE('',#179637,#179634,#108190,.T.); #226480=EDGE_CURVE('',#179638,#179635,#71610,.T.); #226481=EDGE_CURVE('',#179639,#179638,#108191,.T.); #226482=EDGE_CURVE('',#179636,#179639,#71611,.T.); #226483=EDGE_CURVE('',#179640,#179639,#108192,.T.); #226484=EDGE_CURVE('',#179637,#179640,#71612,.T.); #226485=EDGE_CURVE('',#179641,#179640,#108193,.T.); #226486=EDGE_CURVE('',#179634,#179641,#71613,.T.); #226487=EDGE_CURVE('',#179638,#179641,#108194,.T.); #226488=EDGE_CURVE('',#179642,#179642,#71614,.T.); #226489=EDGE_CURVE('',#179642,#179643,#108195,.T.); #226490=EDGE_CURVE('',#179643,#179643,#71615,.T.); #226491=EDGE_CURVE('',#179644,#179645,#108196,.T.); #226492=EDGE_CURVE('',#179645,#179646,#108197,.T.); #226493=EDGE_CURVE('',#179646,#179647,#108198,.T.); #226494=EDGE_CURVE('',#179647,#179644,#108199,.T.); #226495=EDGE_CURVE('',#179648,#179646,#108200,.T.); #226496=EDGE_CURVE('',#179645,#179649,#108201,.T.); #226497=EDGE_CURVE('',#179648,#179649,#108202,.T.); #226498=EDGE_CURVE('',#179650,#179644,#108203,.T.); #226499=EDGE_CURVE('',#179647,#179651,#108204,.T.); #226500=EDGE_CURVE('',#179651,#179650,#108205,.T.); #226501=EDGE_CURVE('',#179649,#179650,#108206,.T.); #226502=EDGE_CURVE('',#179651,#179648,#108207,.T.); #226503=EDGE_CURVE('',#179647,#179652,#108208,.T.); #226504=EDGE_CURVE('',#179653,#179652,#108209,.T.); #226505=EDGE_CURVE('',#179651,#179653,#108210,.T.); #226506=EDGE_CURVE('',#179646,#179654,#108211,.T.); #226507=EDGE_CURVE('',#179652,#179654,#108212,.T.); #226508=EDGE_CURVE('',#179655,#179653,#108213,.T.); #226509=EDGE_CURVE('',#179648,#179655,#108214,.T.); #226510=EDGE_CURVE('',#179654,#179655,#108215,.T.); #226511=EDGE_CURVE('',#179656,#179657,#108216,.T.); #226512=EDGE_CURVE('',#179657,#179658,#108217,.T.); #226513=EDGE_CURVE('',#179659,#179658,#108218,.T.); #226514=EDGE_CURVE('',#179656,#179659,#108219,.T.); #226515=EDGE_CURVE('',#179660,#179656,#108220,.T.); #226516=EDGE_CURVE('',#179661,#179659,#108221,.T.); #226517=EDGE_CURVE('',#179660,#179661,#108222,.T.); #226518=EDGE_CURVE('',#179662,#179660,#108223,.T.); #226519=EDGE_CURVE('',#179663,#179661,#108224,.T.); #226520=EDGE_CURVE('',#179662,#179663,#108225,.T.); #226521=EDGE_CURVE('',#179657,#179662,#108226,.T.); #226522=EDGE_CURVE('',#179658,#179663,#108227,.T.); #226523=EDGE_CURVE('',#179664,#179665,#108228,.T.); #226524=EDGE_CURVE('',#179664,#179666,#108229,.T.); #226525=EDGE_CURVE('',#179667,#179666,#108230,.T.); #226526=EDGE_CURVE('',#179665,#179667,#108231,.T.); #226527=EDGE_CURVE('',#179668,#179665,#108232,.T.); #226528=EDGE_CURVE('',#179669,#179667,#108233,.T.); #226529=EDGE_CURVE('',#179668,#179669,#108234,.T.); #226530=EDGE_CURVE('',#179670,#179668,#71616,.T.); #226531=EDGE_CURVE('',#179671,#179669,#71617,.T.); #226532=EDGE_CURVE('',#179670,#179671,#108235,.T.); #226533=EDGE_CURVE('',#179672,#179670,#108236,.T.); #226534=EDGE_CURVE('',#179673,#179671,#108237,.T.); #226535=EDGE_CURVE('',#179672,#179673,#108238,.T.); #226536=EDGE_CURVE('',#179674,#179672,#71618,.T.); #226537=EDGE_CURVE('',#179675,#179673,#71619,.T.); #226538=EDGE_CURVE('',#179674,#179675,#108239,.T.); #226539=EDGE_CURVE('',#179664,#179674,#108240,.T.); #226540=EDGE_CURVE('',#179666,#179675,#108241,.T.); #226541=EDGE_CURVE('',#179676,#179677,#108242,.T.); #226542=EDGE_CURVE('',#179677,#179678,#108243,.T.); #226543=EDGE_CURVE('',#179678,#179679,#108244,.T.); #226544=EDGE_CURVE('',#179676,#179679,#108245,.T.); #226545=EDGE_CURVE('',#179680,#179677,#108246,.T.); #226546=EDGE_CURVE('',#179680,#179681,#108247,.T.); #226547=EDGE_CURVE('',#179681,#179678,#108248,.T.); #226548=EDGE_CURVE('',#179682,#179680,#71620,.T.); #226549=EDGE_CURVE('',#179682,#179683,#108249,.T.); #226550=EDGE_CURVE('',#179683,#179681,#71621,.T.); #226551=EDGE_CURVE('',#179684,#179682,#108250,.T.); #226552=EDGE_CURVE('',#179684,#179685,#108251,.T.); #226553=EDGE_CURVE('',#179685,#179683,#108252,.T.); #226554=EDGE_CURVE('',#179686,#179684,#71622,.T.); #226555=EDGE_CURVE('',#179686,#179687,#108253,.T.); #226556=EDGE_CURVE('',#179687,#179685,#71623,.T.); #226557=EDGE_CURVE('',#179676,#179686,#108254,.T.); #226558=EDGE_CURVE('',#179679,#179687,#108255,.T.); #226559=EDGE_CURVE('',#179688,#179689,#108256,.T.); #226560=EDGE_CURVE('',#179688,#179690,#108257,.T.); #226561=EDGE_CURVE('',#179691,#179690,#108258,.T.); #226562=EDGE_CURVE('',#179689,#179691,#108259,.T.); #226563=EDGE_CURVE('',#179692,#179689,#108260,.T.); #226564=EDGE_CURVE('',#179693,#179691,#108261,.T.); #226565=EDGE_CURVE('',#179692,#179693,#108262,.T.); #226566=EDGE_CURVE('',#179694,#179692,#71624,.T.); #226567=EDGE_CURVE('',#179695,#179693,#71625,.T.); #226568=EDGE_CURVE('',#179694,#179695,#108263,.T.); #226569=EDGE_CURVE('',#179696,#179694,#108264,.T.); #226570=EDGE_CURVE('',#179697,#179695,#108265,.T.); #226571=EDGE_CURVE('',#179696,#179697,#108266,.T.); #226572=EDGE_CURVE('',#179698,#179696,#71626,.T.); #226573=EDGE_CURVE('',#179699,#179697,#71627,.T.); #226574=EDGE_CURVE('',#179698,#179699,#108267,.T.); #226575=EDGE_CURVE('',#179688,#179698,#108268,.T.); #226576=EDGE_CURVE('',#179690,#179699,#108269,.T.); #226577=EDGE_CURVE('',#179700,#179701,#108270,.T.); #226578=EDGE_CURVE('',#179700,#179702,#108271,.T.); #226579=EDGE_CURVE('',#179703,#179702,#108272,.T.); #226580=EDGE_CURVE('',#179701,#179703,#108273,.T.); #226581=EDGE_CURVE('',#179704,#179701,#108274,.T.); #226582=EDGE_CURVE('',#179705,#179703,#108275,.T.); #226583=EDGE_CURVE('',#179704,#179705,#108276,.T.); #226584=EDGE_CURVE('',#179706,#179704,#71628,.T.); #226585=EDGE_CURVE('',#179707,#179705,#71629,.T.); #226586=EDGE_CURVE('',#179706,#179707,#108277,.T.); #226587=EDGE_CURVE('',#179708,#179706,#108278,.T.); #226588=EDGE_CURVE('',#179709,#179707,#108279,.T.); #226589=EDGE_CURVE('',#179708,#179709,#108280,.T.); #226590=EDGE_CURVE('',#179710,#179708,#71630,.T.); #226591=EDGE_CURVE('',#179711,#179709,#71631,.T.); #226592=EDGE_CURVE('',#179710,#179711,#108281,.T.); #226593=EDGE_CURVE('',#179700,#179710,#108282,.T.); #226594=EDGE_CURVE('',#179702,#179711,#108283,.T.); #226595=EDGE_CURVE('',#179712,#179713,#108284,.T.); #226596=EDGE_CURVE('',#179712,#179714,#108285,.T.); #226597=EDGE_CURVE('',#179715,#179714,#108286,.T.); #226598=EDGE_CURVE('',#179713,#179715,#108287,.T.); #226599=EDGE_CURVE('',#179716,#179713,#108288,.T.); #226600=EDGE_CURVE('',#179717,#179715,#108289,.T.); #226601=EDGE_CURVE('',#179716,#179717,#108290,.T.); #226602=EDGE_CURVE('',#179718,#179716,#71632,.T.); #226603=EDGE_CURVE('',#179719,#179717,#71633,.T.); #226604=EDGE_CURVE('',#179718,#179719,#108291,.T.); #226605=EDGE_CURVE('',#179720,#179718,#108292,.T.); #226606=EDGE_CURVE('',#179721,#179719,#108293,.T.); #226607=EDGE_CURVE('',#179720,#179721,#108294,.T.); #226608=EDGE_CURVE('',#179722,#179720,#71634,.T.); #226609=EDGE_CURVE('',#179723,#179721,#71635,.T.); #226610=EDGE_CURVE('',#179722,#179723,#108295,.T.); #226611=EDGE_CURVE('',#179712,#179722,#108296,.T.); #226612=EDGE_CURVE('',#179714,#179723,#108297,.T.); #226613=EDGE_CURVE('',#179724,#179725,#108298,.T.); #226614=EDGE_CURVE('',#179725,#179726,#108299,.T.); #226615=EDGE_CURVE('',#179726,#179727,#108300,.T.); #226616=EDGE_CURVE('',#179724,#179727,#108301,.T.); #226617=EDGE_CURVE('',#179728,#179725,#108302,.T.); #226618=EDGE_CURVE('',#179728,#179729,#108303,.T.); #226619=EDGE_CURVE('',#179729,#179726,#108304,.T.); #226620=EDGE_CURVE('',#179730,#179728,#71636,.T.); #226621=EDGE_CURVE('',#179730,#179731,#108305,.T.); #226622=EDGE_CURVE('',#179731,#179729,#71637,.T.); #226623=EDGE_CURVE('',#179732,#179730,#108306,.T.); #226624=EDGE_CURVE('',#179732,#179733,#108307,.T.); #226625=EDGE_CURVE('',#179733,#179731,#108308,.T.); #226626=EDGE_CURVE('',#179734,#179732,#71638,.T.); #226627=EDGE_CURVE('',#179734,#179735,#108309,.T.); #226628=EDGE_CURVE('',#179735,#179733,#71639,.T.); #226629=EDGE_CURVE('',#179724,#179734,#108310,.T.); #226630=EDGE_CURVE('',#179727,#179735,#108311,.T.); #226631=EDGE_CURVE('',#179736,#179737,#108312,.T.); #226632=EDGE_CURVE('',#179737,#179738,#108313,.T.); #226633=EDGE_CURVE('',#179738,#179739,#108314,.T.); #226634=EDGE_CURVE('',#179736,#179739,#108315,.T.); #226635=EDGE_CURVE('',#179740,#179737,#108316,.T.); #226636=EDGE_CURVE('',#179740,#179741,#108317,.T.); #226637=EDGE_CURVE('',#179741,#179738,#108318,.T.); #226638=EDGE_CURVE('',#179742,#179740,#71640,.T.); #226639=EDGE_CURVE('',#179742,#179743,#108319,.T.); #226640=EDGE_CURVE('',#179743,#179741,#71641,.T.); #226641=EDGE_CURVE('',#179744,#179742,#108320,.T.); #226642=EDGE_CURVE('',#179744,#179745,#108321,.T.); #226643=EDGE_CURVE('',#179745,#179743,#108322,.T.); #226644=EDGE_CURVE('',#179746,#179744,#71642,.T.); #226645=EDGE_CURVE('',#179746,#179747,#108323,.T.); #226646=EDGE_CURVE('',#179747,#179745,#71643,.T.); #226647=EDGE_CURVE('',#179736,#179746,#108324,.T.); #226648=EDGE_CURVE('',#179739,#179747,#108325,.T.); #226649=EDGE_CURVE('',#179748,#179749,#108326,.T.); #226650=EDGE_CURVE('',#179749,#179750,#108327,.T.); #226651=EDGE_CURVE('',#179750,#179751,#108328,.T.); #226652=EDGE_CURVE('',#179748,#179751,#108329,.T.); #226653=EDGE_CURVE('',#179752,#179749,#108330,.T.); #226654=EDGE_CURVE('',#179752,#179753,#108331,.T.); #226655=EDGE_CURVE('',#179753,#179750,#108332,.T.); #226656=EDGE_CURVE('',#179754,#179752,#71644,.T.); #226657=EDGE_CURVE('',#179754,#179755,#108333,.T.); #226658=EDGE_CURVE('',#179755,#179753,#71645,.T.); #226659=EDGE_CURVE('',#179756,#179754,#108334,.T.); #226660=EDGE_CURVE('',#179756,#179757,#108335,.T.); #226661=EDGE_CURVE('',#179757,#179755,#108336,.T.); #226662=EDGE_CURVE('',#179758,#179756,#71646,.T.); #226663=EDGE_CURVE('',#179758,#179759,#108337,.T.); #226664=EDGE_CURVE('',#179759,#179757,#71647,.T.); #226665=EDGE_CURVE('',#179748,#179758,#108338,.T.); #226666=EDGE_CURVE('',#179751,#179759,#108339,.T.); #226667=EDGE_CURVE('',#179760,#179761,#108340,.T.); #226668=EDGE_CURVE('',#179760,#179762,#108341,.T.); #226669=EDGE_CURVE('',#179762,#179763,#108342,.T.); #226670=EDGE_CURVE('',#179761,#179763,#108343,.T.); #226671=EDGE_CURVE('',#179764,#179760,#108344,.T.); #226672=EDGE_CURVE('',#179764,#179765,#108345,.T.); #226673=EDGE_CURVE('',#179765,#179762,#108346,.T.); #226674=EDGE_CURVE('',#179766,#179764,#108347,.T.); #226675=EDGE_CURVE('',#179766,#179767,#108348,.T.); #226676=EDGE_CURVE('',#179767,#179765,#108349,.T.); #226677=EDGE_CURVE('',#179761,#179766,#108350,.T.); #226678=EDGE_CURVE('',#179763,#179767,#108351,.T.); #226679=EDGE_CURVE('',#179768,#179769,#108352,.T.); #226680=EDGE_CURVE('',#179769,#179770,#108353,.T.); #226681=EDGE_CURVE('',#179771,#179770,#108354,.T.); #226682=EDGE_CURVE('',#179768,#179771,#108355,.T.); #226683=EDGE_CURVE('',#179772,#179768,#108356,.T.); #226684=EDGE_CURVE('',#179773,#179771,#108357,.T.); #226685=EDGE_CURVE('',#179772,#179773,#108358,.T.); #226686=EDGE_CURVE('',#179774,#179772,#108359,.T.); #226687=EDGE_CURVE('',#179775,#179773,#108360,.T.); #226688=EDGE_CURVE('',#179774,#179775,#108361,.T.); #226689=EDGE_CURVE('',#179769,#179774,#108362,.T.); #226690=EDGE_CURVE('',#179770,#179775,#108363,.T.); #226691=EDGE_CURVE('',#179776,#179777,#108364,.T.); #226692=EDGE_CURVE('',#179777,#179778,#108365,.T.); #226693=EDGE_CURVE('',#179779,#179778,#108366,.T.); #226694=EDGE_CURVE('',#179776,#179779,#108367,.T.); #226695=EDGE_CURVE('',#179780,#179776,#108368,.T.); #226696=EDGE_CURVE('',#179781,#179779,#108369,.T.); #226697=EDGE_CURVE('',#179780,#179781,#108370,.T.); #226698=EDGE_CURVE('',#179782,#179780,#108371,.T.); #226699=EDGE_CURVE('',#179783,#179781,#108372,.T.); #226700=EDGE_CURVE('',#179782,#179783,#108373,.T.); #226701=EDGE_CURVE('',#179777,#179782,#108374,.T.); #226702=EDGE_CURVE('',#179778,#179783,#108375,.T.); #226703=EDGE_CURVE('',#179784,#179785,#108376,.T.); #226704=EDGE_CURVE('',#179785,#179786,#108377,.T.); #226705=EDGE_CURVE('',#179787,#179786,#108378,.T.); #226706=EDGE_CURVE('',#179784,#179787,#108379,.T.); #226707=EDGE_CURVE('',#179788,#179784,#108380,.T.); #226708=EDGE_CURVE('',#179789,#179787,#108381,.T.); #226709=EDGE_CURVE('',#179788,#179789,#108382,.T.); #226710=EDGE_CURVE('',#179790,#179788,#108383,.T.); #226711=EDGE_CURVE('',#179791,#179789,#108384,.T.); #226712=EDGE_CURVE('',#179790,#179791,#108385,.T.); #226713=EDGE_CURVE('',#179785,#179790,#108386,.T.); #226714=EDGE_CURVE('',#179786,#179791,#108387,.T.); #226715=EDGE_CURVE('',#179792,#179793,#108388,.T.); #226716=EDGE_CURVE('',#179792,#179794,#108389,.T.); #226717=EDGE_CURVE('',#179794,#179795,#108390,.T.); #226718=EDGE_CURVE('',#179793,#179795,#108391,.T.); #226719=EDGE_CURVE('',#179796,#179792,#108392,.T.); #226720=EDGE_CURVE('',#179796,#179797,#108393,.T.); #226721=EDGE_CURVE('',#179797,#179794,#108394,.T.); #226722=EDGE_CURVE('',#179798,#179796,#108395,.T.); #226723=EDGE_CURVE('',#179798,#179799,#108396,.T.); #226724=EDGE_CURVE('',#179799,#179797,#108397,.T.); #226725=EDGE_CURVE('',#179793,#179798,#108398,.T.); #226726=EDGE_CURVE('',#179795,#179799,#108399,.T.); #226727=EDGE_CURVE('',#179800,#179801,#108400,.T.); #226728=EDGE_CURVE('',#179800,#179802,#108401,.T.); #226729=EDGE_CURVE('',#179802,#179803,#108402,.T.); #226730=EDGE_CURVE('',#179801,#179803,#108403,.T.); #226731=EDGE_CURVE('',#179804,#179800,#108404,.T.); #226732=EDGE_CURVE('',#179804,#179805,#108405,.T.); #226733=EDGE_CURVE('',#179805,#179802,#108406,.T.); #226734=EDGE_CURVE('',#179806,#179804,#108407,.T.); #226735=EDGE_CURVE('',#179806,#179807,#108408,.T.); #226736=EDGE_CURVE('',#179807,#179805,#108409,.T.); #226737=EDGE_CURVE('',#179801,#179806,#108410,.T.); #226738=EDGE_CURVE('',#179803,#179807,#108411,.T.); #226739=EDGE_CURVE('',#179808,#179809,#108412,.T.); #226740=EDGE_CURVE('',#179808,#179810,#108413,.T.); #226741=EDGE_CURVE('',#179810,#179811,#108414,.T.); #226742=EDGE_CURVE('',#179809,#179811,#108415,.T.); #226743=EDGE_CURVE('',#179812,#179808,#108416,.T.); #226744=EDGE_CURVE('',#179812,#179813,#108417,.T.); #226745=EDGE_CURVE('',#179813,#179810,#108418,.T.); #226746=EDGE_CURVE('',#179814,#179812,#108419,.T.); #226747=EDGE_CURVE('',#179814,#179815,#108420,.T.); #226748=EDGE_CURVE('',#179815,#179813,#108421,.T.); #226749=EDGE_CURVE('',#179809,#179814,#108422,.T.); #226750=EDGE_CURVE('',#179811,#179815,#108423,.T.); #226751=EDGE_CURVE('',#179816,#179817,#108424,.T.); #226752=EDGE_CURVE('',#179817,#179818,#108425,.T.); #226753=EDGE_CURVE('',#179819,#179818,#108426,.T.); #226754=EDGE_CURVE('',#179816,#179819,#108427,.T.); #226755=EDGE_CURVE('',#179820,#179816,#108428,.T.); #226756=EDGE_CURVE('',#179821,#179819,#108429,.T.); #226757=EDGE_CURVE('',#179820,#179821,#108430,.T.); #226758=EDGE_CURVE('',#179822,#179820,#108431,.T.); #226759=EDGE_CURVE('',#179823,#179821,#108432,.T.); #226760=EDGE_CURVE('',#179822,#179823,#108433,.T.); #226761=EDGE_CURVE('',#179817,#179822,#108434,.T.); #226762=EDGE_CURVE('',#179818,#179823,#108435,.T.); #226763=EDGE_CURVE('',#179824,#179824,#71648,.T.); #226764=EDGE_CURVE('',#179824,#179825,#108436,.T.); #226765=EDGE_CURVE('',#179825,#179825,#71649,.T.); #226766=EDGE_CURVE('',#179826,#179827,#108437,.T.); #226767=EDGE_CURVE('',#179827,#179828,#108438,.T.); #226768=EDGE_CURVE('',#179828,#179829,#108439,.T.); #226769=EDGE_CURVE('',#179829,#179826,#108440,.T.); #226770=EDGE_CURVE('',#179830,#179828,#108441,.T.); #226771=EDGE_CURVE('',#179827,#179831,#108442,.T.); #226772=EDGE_CURVE('',#179830,#179831,#108443,.T.); #226773=EDGE_CURVE('',#179832,#179826,#108444,.T.); #226774=EDGE_CURVE('',#179829,#179833,#108445,.T.); #226775=EDGE_CURVE('',#179833,#179832,#108446,.T.); #226776=EDGE_CURVE('',#179831,#179832,#108447,.T.); #226777=EDGE_CURVE('',#179833,#179830,#108448,.T.); #226778=EDGE_CURVE('',#179829,#179834,#108449,.T.); #226779=EDGE_CURVE('',#179835,#179834,#108450,.T.); #226780=EDGE_CURVE('',#179833,#179835,#108451,.T.); #226781=EDGE_CURVE('',#179828,#179836,#108452,.T.); #226782=EDGE_CURVE('',#179834,#179836,#108453,.T.); #226783=EDGE_CURVE('',#179837,#179835,#108454,.T.); #226784=EDGE_CURVE('',#179830,#179837,#108455,.T.); #226785=EDGE_CURVE('',#179836,#179837,#108456,.T.); #226786=EDGE_CURVE('',#179838,#179839,#108457,.T.); #226787=EDGE_CURVE('',#179839,#179840,#108458,.T.); #226788=EDGE_CURVE('',#179841,#179840,#108459,.T.); #226789=EDGE_CURVE('',#179838,#179841,#108460,.T.); #226790=EDGE_CURVE('',#179842,#179838,#108461,.T.); #226791=EDGE_CURVE('',#179843,#179841,#108462,.T.); #226792=EDGE_CURVE('',#179842,#179843,#108463,.T.); #226793=EDGE_CURVE('',#179844,#179842,#108464,.T.); #226794=EDGE_CURVE('',#179845,#179843,#108465,.T.); #226795=EDGE_CURVE('',#179844,#179845,#108466,.T.); #226796=EDGE_CURVE('',#179839,#179844,#108467,.T.); #226797=EDGE_CURVE('',#179840,#179845,#108468,.T.); #226798=EDGE_CURVE('',#179846,#179847,#108469,.T.); #226799=EDGE_CURVE('',#179846,#179848,#108470,.T.); #226800=EDGE_CURVE('',#179849,#179848,#108471,.T.); #226801=EDGE_CURVE('',#179847,#179849,#108472,.T.); #226802=EDGE_CURVE('',#179850,#179847,#108473,.T.); #226803=EDGE_CURVE('',#179851,#179849,#108474,.T.); #226804=EDGE_CURVE('',#179850,#179851,#108475,.T.); #226805=EDGE_CURVE('',#179852,#179850,#71650,.T.); #226806=EDGE_CURVE('',#179853,#179851,#71651,.T.); #226807=EDGE_CURVE('',#179852,#179853,#108476,.T.); #226808=EDGE_CURVE('',#179854,#179852,#108477,.T.); #226809=EDGE_CURVE('',#179855,#179853,#108478,.T.); #226810=EDGE_CURVE('',#179854,#179855,#108479,.T.); #226811=EDGE_CURVE('',#179856,#179854,#71652,.T.); #226812=EDGE_CURVE('',#179857,#179855,#71653,.T.); #226813=EDGE_CURVE('',#179856,#179857,#108480,.T.); #226814=EDGE_CURVE('',#179846,#179856,#108481,.T.); #226815=EDGE_CURVE('',#179848,#179857,#108482,.T.); #226816=EDGE_CURVE('',#179858,#179859,#108483,.T.); #226817=EDGE_CURVE('',#179859,#179860,#108484,.T.); #226818=EDGE_CURVE('',#179860,#179861,#108485,.T.); #226819=EDGE_CURVE('',#179858,#179861,#108486,.T.); #226820=EDGE_CURVE('',#179862,#179859,#108487,.T.); #226821=EDGE_CURVE('',#179862,#179863,#108488,.T.); #226822=EDGE_CURVE('',#179863,#179860,#108489,.T.); #226823=EDGE_CURVE('',#179864,#179862,#71654,.T.); #226824=EDGE_CURVE('',#179864,#179865,#108490,.T.); #226825=EDGE_CURVE('',#179865,#179863,#71655,.T.); #226826=EDGE_CURVE('',#179866,#179864,#108491,.T.); #226827=EDGE_CURVE('',#179866,#179867,#108492,.T.); #226828=EDGE_CURVE('',#179867,#179865,#108493,.T.); #226829=EDGE_CURVE('',#179868,#179866,#71656,.T.); #226830=EDGE_CURVE('',#179868,#179869,#108494,.T.); #226831=EDGE_CURVE('',#179869,#179867,#71657,.T.); #226832=EDGE_CURVE('',#179858,#179868,#108495,.T.); #226833=EDGE_CURVE('',#179861,#179869,#108496,.T.); #226834=EDGE_CURVE('',#179870,#179871,#108497,.T.); #226835=EDGE_CURVE('',#179870,#179872,#108498,.T.); #226836=EDGE_CURVE('',#179873,#179872,#108499,.T.); #226837=EDGE_CURVE('',#179871,#179873,#108500,.T.); #226838=EDGE_CURVE('',#179874,#179871,#108501,.T.); #226839=EDGE_CURVE('',#179875,#179873,#108502,.T.); #226840=EDGE_CURVE('',#179874,#179875,#108503,.T.); #226841=EDGE_CURVE('',#179876,#179874,#71658,.T.); #226842=EDGE_CURVE('',#179877,#179875,#71659,.T.); #226843=EDGE_CURVE('',#179876,#179877,#108504,.T.); #226844=EDGE_CURVE('',#179878,#179876,#108505,.T.); #226845=EDGE_CURVE('',#179879,#179877,#108506,.T.); #226846=EDGE_CURVE('',#179878,#179879,#108507,.T.); #226847=EDGE_CURVE('',#179880,#179878,#71660,.T.); #226848=EDGE_CURVE('',#179881,#179879,#71661,.T.); #226849=EDGE_CURVE('',#179880,#179881,#108508,.T.); #226850=EDGE_CURVE('',#179870,#179880,#108509,.T.); #226851=EDGE_CURVE('',#179872,#179881,#108510,.T.); #226852=EDGE_CURVE('',#179882,#179883,#108511,.T.); #226853=EDGE_CURVE('',#179882,#179884,#108512,.T.); #226854=EDGE_CURVE('',#179885,#179884,#108513,.T.); #226855=EDGE_CURVE('',#179883,#179885,#108514,.T.); #226856=EDGE_CURVE('',#179886,#179883,#108515,.T.); #226857=EDGE_CURVE('',#179887,#179885,#108516,.T.); #226858=EDGE_CURVE('',#179886,#179887,#108517,.T.); #226859=EDGE_CURVE('',#179888,#179886,#71662,.T.); #226860=EDGE_CURVE('',#179889,#179887,#71663,.T.); #226861=EDGE_CURVE('',#179888,#179889,#108518,.T.); #226862=EDGE_CURVE('',#179890,#179888,#108519,.T.); #226863=EDGE_CURVE('',#179891,#179889,#108520,.T.); #226864=EDGE_CURVE('',#179890,#179891,#108521,.T.); #226865=EDGE_CURVE('',#179892,#179890,#71664,.T.); #226866=EDGE_CURVE('',#179893,#179891,#71665,.T.); #226867=EDGE_CURVE('',#179892,#179893,#108522,.T.); #226868=EDGE_CURVE('',#179882,#179892,#108523,.T.); #226869=EDGE_CURVE('',#179884,#179893,#108524,.T.); #226870=EDGE_CURVE('',#179894,#179895,#108525,.T.); #226871=EDGE_CURVE('',#179894,#179896,#108526,.T.); #226872=EDGE_CURVE('',#179897,#179896,#108527,.T.); #226873=EDGE_CURVE('',#179895,#179897,#108528,.T.); #226874=EDGE_CURVE('',#179898,#179895,#108529,.T.); #226875=EDGE_CURVE('',#179899,#179897,#108530,.T.); #226876=EDGE_CURVE('',#179898,#179899,#108531,.T.); #226877=EDGE_CURVE('',#179900,#179898,#71666,.T.); #226878=EDGE_CURVE('',#179901,#179899,#71667,.T.); #226879=EDGE_CURVE('',#179900,#179901,#108532,.T.); #226880=EDGE_CURVE('',#179902,#179900,#108533,.T.); #226881=EDGE_CURVE('',#179903,#179901,#108534,.T.); #226882=EDGE_CURVE('',#179902,#179903,#108535,.T.); #226883=EDGE_CURVE('',#179904,#179902,#71668,.T.); #226884=EDGE_CURVE('',#179905,#179903,#71669,.T.); #226885=EDGE_CURVE('',#179904,#179905,#108536,.T.); #226886=EDGE_CURVE('',#179894,#179904,#108537,.T.); #226887=EDGE_CURVE('',#179896,#179905,#108538,.T.); #226888=EDGE_CURVE('',#179906,#179907,#108539,.T.); #226889=EDGE_CURVE('',#179907,#179908,#108540,.T.); #226890=EDGE_CURVE('',#179908,#179909,#108541,.T.); #226891=EDGE_CURVE('',#179906,#179909,#108542,.T.); #226892=EDGE_CURVE('',#179910,#179907,#108543,.T.); #226893=EDGE_CURVE('',#179910,#179911,#108544,.T.); #226894=EDGE_CURVE('',#179911,#179908,#108545,.T.); #226895=EDGE_CURVE('',#179912,#179910,#71670,.T.); #226896=EDGE_CURVE('',#179912,#179913,#108546,.T.); #226897=EDGE_CURVE('',#179913,#179911,#71671,.T.); #226898=EDGE_CURVE('',#179914,#179912,#108547,.T.); #226899=EDGE_CURVE('',#179914,#179915,#108548,.T.); #226900=EDGE_CURVE('',#179915,#179913,#108549,.T.); #226901=EDGE_CURVE('',#179916,#179914,#71672,.T.); #226902=EDGE_CURVE('',#179916,#179917,#108550,.T.); #226903=EDGE_CURVE('',#179917,#179915,#71673,.T.); #226904=EDGE_CURVE('',#179906,#179916,#108551,.T.); #226905=EDGE_CURVE('',#179909,#179917,#108552,.T.); #226906=EDGE_CURVE('',#179918,#179919,#108553,.T.); #226907=EDGE_CURVE('',#179919,#179920,#108554,.T.); #226908=EDGE_CURVE('',#179920,#179921,#108555,.T.); #226909=EDGE_CURVE('',#179918,#179921,#108556,.T.); #226910=EDGE_CURVE('',#179922,#179919,#108557,.T.); #226911=EDGE_CURVE('',#179922,#179923,#108558,.T.); #226912=EDGE_CURVE('',#179923,#179920,#108559,.T.); #226913=EDGE_CURVE('',#179924,#179922,#71674,.T.); #226914=EDGE_CURVE('',#179924,#179925,#108560,.T.); #226915=EDGE_CURVE('',#179925,#179923,#71675,.T.); #226916=EDGE_CURVE('',#179926,#179924,#108561,.T.); #226917=EDGE_CURVE('',#179926,#179927,#108562,.T.); #226918=EDGE_CURVE('',#179927,#179925,#108563,.T.); #226919=EDGE_CURVE('',#179928,#179926,#71676,.T.); #226920=EDGE_CURVE('',#179928,#179929,#108564,.T.); #226921=EDGE_CURVE('',#179929,#179927,#71677,.T.); #226922=EDGE_CURVE('',#179918,#179928,#108565,.T.); #226923=EDGE_CURVE('',#179921,#179929,#108566,.T.); #226924=EDGE_CURVE('',#179930,#179931,#108567,.T.); #226925=EDGE_CURVE('',#179931,#179932,#108568,.T.); #226926=EDGE_CURVE('',#179932,#179933,#108569,.T.); #226927=EDGE_CURVE('',#179930,#179933,#108570,.T.); #226928=EDGE_CURVE('',#179934,#179931,#108571,.T.); #226929=EDGE_CURVE('',#179934,#179935,#108572,.T.); #226930=EDGE_CURVE('',#179935,#179932,#108573,.T.); #226931=EDGE_CURVE('',#179936,#179934,#71678,.T.); #226932=EDGE_CURVE('',#179936,#179937,#108574,.T.); #226933=EDGE_CURVE('',#179937,#179935,#71679,.T.); #226934=EDGE_CURVE('',#179938,#179936,#108575,.T.); #226935=EDGE_CURVE('',#179938,#179939,#108576,.T.); #226936=EDGE_CURVE('',#179939,#179937,#108577,.T.); #226937=EDGE_CURVE('',#179940,#179938,#71680,.T.); #226938=EDGE_CURVE('',#179940,#179941,#108578,.T.); #226939=EDGE_CURVE('',#179941,#179939,#71681,.T.); #226940=EDGE_CURVE('',#179930,#179940,#108579,.T.); #226941=EDGE_CURVE('',#179933,#179941,#108580,.T.); #226942=EDGE_CURVE('',#179942,#179943,#108581,.T.); #226943=EDGE_CURVE('',#179942,#179944,#108582,.T.); #226944=EDGE_CURVE('',#179944,#179945,#108583,.T.); #226945=EDGE_CURVE('',#179943,#179945,#108584,.T.); #226946=EDGE_CURVE('',#179946,#179942,#108585,.T.); #226947=EDGE_CURVE('',#179946,#179947,#108586,.T.); #226948=EDGE_CURVE('',#179947,#179944,#108587,.T.); #226949=EDGE_CURVE('',#179948,#179946,#108588,.T.); #226950=EDGE_CURVE('',#179948,#179949,#108589,.T.); #226951=EDGE_CURVE('',#179949,#179947,#108590,.T.); #226952=EDGE_CURVE('',#179943,#179948,#108591,.T.); #226953=EDGE_CURVE('',#179945,#179949,#108592,.T.); #226954=EDGE_CURVE('',#179950,#179951,#108593,.T.); #226955=EDGE_CURVE('',#179951,#179952,#108594,.T.); #226956=EDGE_CURVE('',#179953,#179952,#108595,.T.); #226957=EDGE_CURVE('',#179950,#179953,#108596,.T.); #226958=EDGE_CURVE('',#179954,#179950,#108597,.T.); #226959=EDGE_CURVE('',#179955,#179953,#108598,.T.); #226960=EDGE_CURVE('',#179954,#179955,#108599,.T.); #226961=EDGE_CURVE('',#179956,#179954,#108600,.T.); #226962=EDGE_CURVE('',#179957,#179955,#108601,.T.); #226963=EDGE_CURVE('',#179956,#179957,#108602,.T.); #226964=EDGE_CURVE('',#179951,#179956,#108603,.T.); #226965=EDGE_CURVE('',#179952,#179957,#108604,.T.); #226966=EDGE_CURVE('',#179958,#179959,#108605,.T.); #226967=EDGE_CURVE('',#179959,#179960,#108606,.T.); #226968=EDGE_CURVE('',#179961,#179960,#108607,.T.); #226969=EDGE_CURVE('',#179958,#179961,#108608,.T.); #226970=EDGE_CURVE('',#179962,#179958,#108609,.T.); #226971=EDGE_CURVE('',#179963,#179961,#108610,.T.); #226972=EDGE_CURVE('',#179962,#179963,#108611,.T.); #226973=EDGE_CURVE('',#179964,#179962,#108612,.T.); #226974=EDGE_CURVE('',#179965,#179963,#108613,.T.); #226975=EDGE_CURVE('',#179964,#179965,#108614,.T.); #226976=EDGE_CURVE('',#179959,#179964,#108615,.T.); #226977=EDGE_CURVE('',#179960,#179965,#108616,.T.); #226978=EDGE_CURVE('',#179966,#179967,#108617,.T.); #226979=EDGE_CURVE('',#179967,#179968,#108618,.T.); #226980=EDGE_CURVE('',#179969,#179968,#108619,.T.); #226981=EDGE_CURVE('',#179966,#179969,#108620,.T.); #226982=EDGE_CURVE('',#179970,#179966,#108621,.T.); #226983=EDGE_CURVE('',#179971,#179969,#108622,.T.); #226984=EDGE_CURVE('',#179970,#179971,#108623,.T.); #226985=EDGE_CURVE('',#179972,#179970,#108624,.T.); #226986=EDGE_CURVE('',#179973,#179971,#108625,.T.); #226987=EDGE_CURVE('',#179972,#179973,#108626,.T.); #226988=EDGE_CURVE('',#179967,#179972,#108627,.T.); #226989=EDGE_CURVE('',#179968,#179973,#108628,.T.); #226990=EDGE_CURVE('',#179974,#179975,#108629,.T.); #226991=EDGE_CURVE('',#179974,#179976,#108630,.T.); #226992=EDGE_CURVE('',#179976,#179977,#108631,.T.); #226993=EDGE_CURVE('',#179975,#179977,#108632,.T.); #226994=EDGE_CURVE('',#179978,#179974,#108633,.T.); #226995=EDGE_CURVE('',#179978,#179979,#108634,.T.); #226996=EDGE_CURVE('',#179979,#179976,#108635,.T.); #226997=EDGE_CURVE('',#179980,#179978,#108636,.T.); #226998=EDGE_CURVE('',#179980,#179981,#108637,.T.); #226999=EDGE_CURVE('',#179981,#179979,#108638,.T.); #227000=EDGE_CURVE('',#179975,#179980,#108639,.T.); #227001=EDGE_CURVE('',#179977,#179981,#108640,.T.); #227002=EDGE_CURVE('',#179982,#179983,#108641,.T.); #227003=EDGE_CURVE('',#179982,#179984,#108642,.T.); #227004=EDGE_CURVE('',#179984,#179985,#108643,.T.); #227005=EDGE_CURVE('',#179983,#179985,#108644,.T.); #227006=EDGE_CURVE('',#179986,#179982,#108645,.T.); #227007=EDGE_CURVE('',#179986,#179987,#108646,.T.); #227008=EDGE_CURVE('',#179987,#179984,#108647,.T.); #227009=EDGE_CURVE('',#179988,#179986,#108648,.T.); #227010=EDGE_CURVE('',#179988,#179989,#108649,.T.); #227011=EDGE_CURVE('',#179989,#179987,#108650,.T.); #227012=EDGE_CURVE('',#179983,#179988,#108651,.T.); #227013=EDGE_CURVE('',#179985,#179989,#108652,.T.); #227014=EDGE_CURVE('',#179990,#179991,#108653,.T.); #227015=EDGE_CURVE('',#179990,#179992,#108654,.T.); #227016=EDGE_CURVE('',#179992,#179993,#108655,.T.); #227017=EDGE_CURVE('',#179991,#179993,#108656,.T.); #227018=EDGE_CURVE('',#179994,#179990,#108657,.T.); #227019=EDGE_CURVE('',#179994,#179995,#108658,.T.); #227020=EDGE_CURVE('',#179995,#179992,#108659,.T.); #227021=EDGE_CURVE('',#179996,#179994,#108660,.T.); #227022=EDGE_CURVE('',#179996,#179997,#108661,.T.); #227023=EDGE_CURVE('',#179997,#179995,#108662,.T.); #227024=EDGE_CURVE('',#179991,#179996,#108663,.T.); #227025=EDGE_CURVE('',#179993,#179997,#108664,.T.); #227026=EDGE_CURVE('',#179998,#179999,#108665,.T.); #227027=EDGE_CURVE('',#179999,#180000,#108666,.T.); #227028=EDGE_CURVE('',#180001,#180000,#108667,.T.); #227029=EDGE_CURVE('',#179998,#180001,#108668,.T.); #227030=EDGE_CURVE('',#180002,#179998,#108669,.T.); #227031=EDGE_CURVE('',#180003,#180001,#108670,.T.); #227032=EDGE_CURVE('',#180002,#180003,#108671,.T.); #227033=EDGE_CURVE('',#180004,#180002,#108672,.T.); #227034=EDGE_CURVE('',#180005,#180003,#108673,.T.); #227035=EDGE_CURVE('',#180004,#180005,#108674,.T.); #227036=EDGE_CURVE('',#179999,#180004,#108675,.T.); #227037=EDGE_CURVE('',#180000,#180005,#108676,.T.); #227038=EDGE_CURVE('',#180006,#180007,#108677,.T.); #227039=EDGE_CURVE('',#180007,#180008,#108678,.T.); #227040=EDGE_CURVE('',#180008,#180009,#108679,.T.); #227041=EDGE_CURVE('',#180006,#180009,#108680,.T.); #227042=EDGE_CURVE('',#180007,#180010,#108681,.T.); #227043=EDGE_CURVE('',#180010,#180011,#108682,.T.); #227044=EDGE_CURVE('',#180011,#180008,#108683,.T.); #227045=EDGE_CURVE('',#180010,#180012,#108684,.T.); #227046=EDGE_CURVE('',#180012,#180013,#108685,.T.); #227047=EDGE_CURVE('',#180013,#180011,#108686,.T.); #227048=EDGE_CURVE('',#180009,#180013,#108687,.T.); #227049=EDGE_CURVE('',#180012,#180006,#108688,.T.); #227050=EDGE_CURVE('',#180014,#180009,#108689,.T.); #227051=EDGE_CURVE('',#180014,#180015,#108690,.T.); #227052=EDGE_CURVE('',#180015,#180013,#108691,.T.); #227053=EDGE_CURVE('',#180016,#180008,#108692,.T.); #227054=EDGE_CURVE('',#180016,#180014,#108693,.T.); #227055=EDGE_CURVE('',#180017,#180011,#108694,.T.); #227056=EDGE_CURVE('',#180017,#180016,#108695,.T.); #227057=EDGE_CURVE('',#180015,#180017,#108696,.T.); #227058=EDGE_CURVE('',#180018,#180019,#108697,.T.); #227059=EDGE_CURVE('',#180018,#180020,#108698,.T.); #227060=EDGE_CURVE('',#180021,#180020,#108699,.T.); #227061=EDGE_CURVE('',#180019,#180021,#108700,.T.); #227062=EDGE_CURVE('',#180022,#180019,#108701,.T.); #227063=EDGE_CURVE('',#180023,#180021,#108702,.T.); #227064=EDGE_CURVE('',#180022,#180023,#108703,.T.); #227065=EDGE_CURVE('',#180024,#180022,#108704,.T.); #227066=EDGE_CURVE('',#180025,#180023,#108705,.T.); #227067=EDGE_CURVE('',#180024,#180025,#108706,.T.); #227068=EDGE_CURVE('',#180018,#180024,#108707,.T.); #227069=EDGE_CURVE('',#180020,#180025,#108708,.T.); #227070=EDGE_CURVE('',#180026,#180027,#108709,.T.); #227071=EDGE_CURVE('',#180027,#180028,#108710,.T.); #227072=EDGE_CURVE('',#180029,#180028,#108711,.T.); #227073=EDGE_CURVE('',#180026,#180029,#108712,.T.); #227074=EDGE_CURVE('',#180030,#180026,#108713,.T.); #227075=EDGE_CURVE('',#180031,#180029,#108714,.T.); #227076=EDGE_CURVE('',#180030,#180031,#108715,.T.); #227077=EDGE_CURVE('',#180032,#180030,#108716,.T.); #227078=EDGE_CURVE('',#180033,#180031,#108717,.T.); #227079=EDGE_CURVE('',#180032,#180033,#108718,.T.); #227080=EDGE_CURVE('',#180027,#180032,#108719,.T.); #227081=EDGE_CURVE('',#180028,#180033,#108720,.T.); #227082=EDGE_CURVE('',#180034,#180035,#108721,.T.); #227083=EDGE_CURVE('',#180034,#180036,#108722,.T.); #227084=EDGE_CURVE('',#180037,#180036,#108723,.T.); #227085=EDGE_CURVE('',#180035,#180037,#108724,.T.); #227086=EDGE_CURVE('',#180035,#180038,#108725,.T.); #227087=EDGE_CURVE('',#180039,#180037,#108726,.T.); #227088=EDGE_CURVE('',#180038,#180039,#108727,.T.); #227089=EDGE_CURVE('',#180038,#180040,#108728,.T.); #227090=EDGE_CURVE('',#180041,#180039,#108729,.T.); #227091=EDGE_CURVE('',#180040,#180041,#108730,.T.); #227092=EDGE_CURVE('',#180040,#180034,#108731,.T.); #227093=EDGE_CURVE('',#180036,#180041,#108732,.T.); #227094=EDGE_CURVE('',#180042,#180043,#108733,.T.); #227095=EDGE_CURVE('',#180042,#180044,#108734,.T.); #227096=EDGE_CURVE('',#180045,#180044,#108735,.T.); #227097=EDGE_CURVE('',#180043,#180045,#108736,.T.); #227098=EDGE_CURVE('',#180043,#180046,#108737,.T.); #227099=EDGE_CURVE('',#180047,#180045,#108738,.T.); #227100=EDGE_CURVE('',#180046,#180047,#108739,.T.); #227101=EDGE_CURVE('',#180046,#180048,#108740,.T.); #227102=EDGE_CURVE('',#180049,#180047,#108741,.T.); #227103=EDGE_CURVE('',#180048,#180049,#108742,.T.); #227104=EDGE_CURVE('',#180042,#180048,#108743,.T.); #227105=EDGE_CURVE('',#180044,#180049,#108744,.T.); #227106=EDGE_CURVE('',#180050,#180050,#71682,.T.); #227107=EDGE_CURVE('',#180050,#180051,#108745,.T.); #227108=EDGE_CURVE('',#180051,#180051,#71683,.T.); #227109=EDGE_CURVE('',#180052,#180052,#71684,.T.); #227110=EDGE_CURVE('',#180052,#180053,#108746,.T.); #227111=EDGE_CURVE('',#180053,#180053,#71685,.T.); #227112=EDGE_CURVE('',#180054,#180055,#108747,.T.); #227113=EDGE_CURVE('',#180055,#180056,#63857,.T.); #227114=EDGE_CURVE('',#180057,#180056,#63858,.T.); #227115=EDGE_CURVE('',#180058,#180057,#63859,.T.); #227116=EDGE_CURVE('',#180058,#180054,#63860,.T.); #227117=EDGE_CURVE('',#180059,#180060,#108748,.T.); #227118=EDGE_CURVE('',#180060,#180055,#63861,.T.); #227119=EDGE_CURVE('',#180054,#180059,#63862,.T.); #227120=EDGE_CURVE('',#180061,#180062,#108749,.T.); #227121=EDGE_CURVE('',#180061,#180063,#63863,.T.); #227122=EDGE_CURVE('',#180064,#180063,#71686,.T.); #227123=EDGE_CURVE('',#180064,#180062,#63864,.T.); #227124=EDGE_CURVE('',#180065,#180066,#108750,.T.); #227125=EDGE_CURVE('',#180066,#180067,#63865,.F.); #227126=EDGE_CURVE('',#180067,#180068,#71687,.T.); #227127=EDGE_CURVE('',#180068,#180065,#63866,.T.); #227128=EDGE_CURVE('',#180069,#180070,#108751,.T.); #227129=EDGE_CURVE('',#180070,#180066,#63867,.F.); #227130=EDGE_CURVE('',#180065,#180069,#63868,.T.); #227131=EDGE_CURVE('',#180071,#180072,#108752,.T.); #227132=EDGE_CURVE('',#180071,#180073,#63869,.T.); #227133=EDGE_CURVE('',#180074,#180073,#63870,.T.); #227134=EDGE_CURVE('',#180075,#180074,#63871,.T.); #227135=EDGE_CURVE('',#180075,#180072,#63872,.F.); #227136=EDGE_CURVE('',#180063,#180068,#63873,.T.); #227137=EDGE_CURVE('',#180059,#180061,#63874,.T.); #227138=EDGE_CURVE('',#180073,#180058,#63875,.T.); #227139=EDGE_CURVE('',#180069,#180071,#63876,.T.); #227140=EDGE_CURVE('',#180056,#180075,#63877,.T.); #227141=EDGE_CURVE('',#180062,#180060,#63878,.T.); #227142=EDGE_CURVE('',#180067,#180064,#63879,.T.); #227143=EDGE_CURVE('',#180072,#180070,#63880,.F.); #227144=EDGE_CURVE('',#180074,#180076,#8106,.T.); #227145=EDGE_CURVE('',#180077,#180076,#63881,.T.); #227146=EDGE_CURVE('',#180078,#180077,#63882,.T.); #227147=EDGE_CURVE('',#180079,#180078,#63883,.T.); #227148=EDGE_CURVE('',#180057,#180079,#63884,.T.); #227149=EDGE_CURVE('',#180080,#180079,#63885,.T.); #227150=EDGE_CURVE('',#180081,#180080,#63886,.T.); #227151=EDGE_CURVE('',#180076,#180081,#63887,.T.); #227152=EDGE_CURVE('',#180082,#180083,#108753,.T.); #227153=EDGE_CURVE('',#180084,#180082,#108754,.T.); #227154=EDGE_CURVE('',#180085,#180084,#108755,.T.); #227155=EDGE_CURVE('',#180086,#180085,#108756,.T.); #227156=EDGE_CURVE('',#180087,#180086,#108757,.T.); #227157=EDGE_CURVE('',#180083,#180087,#108758,.T.); #227158=EDGE_CURVE('',#180077,#180083,#108759,.T.); #227159=EDGE_CURVE('',#180076,#180082,#108760,.T.); #227160=EDGE_CURVE('',#180078,#180087,#108761,.T.); #227161=EDGE_CURVE('',#180079,#180086,#108762,.T.); #227162=EDGE_CURVE('',#180080,#180085,#108763,.T.); #227163=EDGE_CURVE('',#180081,#180084,#108764,.T.); #227164=EDGE_CURVE('',#180088,#180089,#108765,.T.); #227165=EDGE_CURVE('',#180088,#180090,#63888,.T.); #227166=EDGE_CURVE('',#180091,#180090,#71688,.F.); #227167=EDGE_CURVE('',#180091,#180089,#63889,.T.); #227168=EDGE_CURVE('',#180092,#180093,#108766,.T.); #227169=EDGE_CURVE('',#180092,#180088,#63890,.T.); #227170=EDGE_CURVE('',#180089,#180093,#63891,.T.); #227171=EDGE_CURVE('',#180094,#180095,#108767,.T.); #227172=EDGE_CURVE('',#180094,#180092,#63892,.T.); #227173=EDGE_CURVE('',#180093,#180095,#63893,.T.); #227174=EDGE_CURVE('',#180096,#180097,#108768,.T.); #227175=EDGE_CURVE('',#180096,#180094,#63894,.T.); #227176=EDGE_CURVE('',#180095,#180097,#63895,.T.); #227177=EDGE_CURVE('',#180098,#180099,#108769,.T.); #227178=EDGE_CURVE('',#180098,#180096,#63896,.T.); #227179=EDGE_CURVE('',#180097,#180099,#63897,.T.); #227180=EDGE_CURVE('',#180100,#180101,#108770,.T.); #227181=EDGE_CURVE('',#180100,#180098,#63898,.T.); #227182=EDGE_CURVE('',#180099,#180101,#63899,.T.); #227183=EDGE_CURVE('',#180102,#180103,#108771,.T.); #227184=EDGE_CURVE('',#180102,#180100,#63900,.T.); #227185=EDGE_CURVE('',#180101,#180103,#63901,.T.); #227186=EDGE_CURVE('',#180104,#180105,#108772,.T.); #227187=EDGE_CURVE('',#180104,#180106,#63902,.T.); #227188=EDGE_CURVE('',#180105,#180106,#71689,.T.); #227189=EDGE_CURVE('',#180107,#180108,#108773,.T.); #227190=EDGE_CURVE('',#180107,#180104,#63903,.T.); #227191=EDGE_CURVE('',#180109,#180105,#71690,.T.); #227192=EDGE_CURVE('',#180108,#180109,#63904,.T.); #227193=EDGE_CURVE('',#180110,#180111,#108774,.T.); #227194=EDGE_CURVE('',#180110,#180107,#63905,.T.); #227195=EDGE_CURVE('',#180111,#180108,#63906,.T.); #227196=EDGE_CURVE('',#180112,#180113,#108775,.T.); #227197=EDGE_CURVE('',#180112,#180110,#63907,.T.); #227198=EDGE_CURVE('',#180113,#180111,#63908,.T.); #227199=EDGE_CURVE('',#180114,#180115,#108776,.T.); #227200=EDGE_CURVE('',#180114,#180112,#63909,.T.); #227201=EDGE_CURVE('',#180115,#180113,#63910,.T.); #227202=EDGE_CURVE('',#180116,#180117,#108777,.T.); #227203=EDGE_CURVE('',#180116,#180114,#63911,.T.); #227204=EDGE_CURVE('',#180117,#180115,#63912,.T.); #227205=EDGE_CURVE('',#180118,#180119,#108778,.T.); #227206=EDGE_CURVE('',#180118,#180116,#63913,.T.); #227207=EDGE_CURVE('',#180119,#180117,#63914,.T.); #227208=EDGE_CURVE('',#180120,#180121,#108779,.T.); #227209=EDGE_CURVE('',#180122,#180121,#108780,.T.); #227210=EDGE_CURVE('',#180123,#180122,#108781,.T.); #227211=EDGE_CURVE('',#180123,#180120,#108782,.T.); #227212=EDGE_CURVE('',#180124,#180125,#108783,.T.); #227213=EDGE_CURVE('',#180126,#180124,#108784,.T.); #227214=EDGE_CURVE('',#180126,#180127,#108785,.T.); #227215=EDGE_CURVE('',#180127,#180125,#108786,.T.); #227216=EDGE_CURVE('',#180128,#180129,#108787,.T.); #227217=EDGE_CURVE('',#180129,#180130,#108788,.T.); #227218=EDGE_CURVE('',#180130,#180131,#108789,.T.); #227219=EDGE_CURVE('',#180128,#180131,#108790,.T.); #227220=EDGE_CURVE('',#180132,#180133,#108791,.T.); #227221=EDGE_CURVE('',#180134,#180133,#108792,.T.); #227222=EDGE_CURVE('',#180135,#180134,#108793,.T.); #227223=EDGE_CURVE('',#180135,#180132,#108794,.T.); #227224=EDGE_CURVE('',#180136,#180137,#108795,.T.); #227225=EDGE_CURVE('',#180138,#180137,#108796,.T.); #227226=EDGE_CURVE('',#180139,#180138,#108797,.T.); #227227=EDGE_CURVE('',#180139,#180136,#108798,.T.); #227228=EDGE_CURVE('',#180140,#180141,#108799,.T.); #227229=EDGE_CURVE('',#180141,#180142,#108800,.T.); #227230=EDGE_CURVE('',#180142,#180143,#108801,.T.); #227231=EDGE_CURVE('',#180140,#180143,#108802,.T.); #227232=EDGE_CURVE('',#180144,#180145,#108803,.T.); #227233=EDGE_CURVE('',#180146,#180145,#108804,.T.); #227234=EDGE_CURVE('',#180147,#180146,#108805,.T.); #227235=EDGE_CURVE('',#180144,#180147,#108806,.T.); #227236=EDGE_CURVE('',#180148,#180144,#63915,.T.); #227237=EDGE_CURVE('',#180149,#180147,#63916,.T.); #227238=EDGE_CURVE('',#180148,#180149,#108807,.T.); #227239=EDGE_CURVE('',#180150,#180151,#63917,.T.); #227240=EDGE_CURVE('',#180151,#180152,#108808,.T.); #227241=EDGE_CURVE('',#180153,#180152,#63918,.T.); #227242=EDGE_CURVE('',#180150,#180153,#108809,.T.); #227243=EDGE_CURVE('',#180154,#180150,#108810,.T.); #227244=EDGE_CURVE('',#180155,#180153,#108811,.T.); #227245=EDGE_CURVE('',#180154,#180155,#108812,.T.); #227246=EDGE_CURVE('',#180155,#180146,#108813,.T.); #227247=EDGE_CURVE('',#180152,#180149,#108814,.T.); #227248=EDGE_CURVE('',#180145,#180156,#108815,.T.); #227249=EDGE_CURVE('',#180156,#180157,#71691,.T.); #227250=EDGE_CURVE('',#180157,#180158,#71692,.T.); #227251=EDGE_CURVE('',#180158,#180159,#108816,.T.); #227252=EDGE_CURVE('',#180159,#180160,#108817,.T.); #227253=EDGE_CURVE('',#180160,#180161,#108818,.T.); #227254=EDGE_CURVE('',#180161,#180162,#108819,.T.); #227255=EDGE_CURVE('',#180162,#180163,#108820,.T.); #227256=EDGE_CURVE('',#180163,#180164,#71693,.T.); #227257=EDGE_CURVE('',#180164,#180154,#108821,.T.); #227258=EDGE_CURVE('',#180165,#180162,#108822,.T.); #227259=EDGE_CURVE('',#180166,#180161,#108823,.T.); #227260=EDGE_CURVE('',#180165,#180166,#108824,.T.); #227261=EDGE_CURVE('',#180167,#180165,#63919,.T.); #227262=EDGE_CURVE('',#180168,#180166,#63920,.T.); #227263=EDGE_CURVE('',#180167,#180168,#108825,.T.); #227264=EDGE_CURVE('',#180169,#180170,#63921,.T.); #227265=EDGE_CURVE('',#180170,#180171,#108826,.T.); #227266=EDGE_CURVE('',#180172,#180171,#63922,.T.); #227267=EDGE_CURVE('',#180169,#180172,#108827,.T.); #227268=EDGE_CURVE('',#180159,#180169,#108828,.T.); #227269=EDGE_CURVE('',#180160,#180172,#108829,.T.); #227270=EDGE_CURVE('',#180171,#180168,#108830,.T.); #227271=EDGE_CURVE('',#180173,#180174,#108831,.T.); #227272=EDGE_CURVE('',#180173,#180175,#108832,.T.); #227273=EDGE_CURVE('',#180175,#180176,#8107,.T.); #227274=EDGE_CURVE('',#180174,#180176,#108833,.T.); #227275=EDGE_CURVE('',#180177,#180173,#108834,.T.); #227276=EDGE_CURVE('',#180177,#180178,#108835,.T.); #227277=EDGE_CURVE('',#180178,#180175,#8108,.T.); #227278=EDGE_CURVE('',#180179,#180180,#108836,.T.); #227279=EDGE_CURVE('',#180179,#180181,#108837,.T.); #227280=EDGE_CURVE('',#180181,#180182,#8109,.T.); #227281=EDGE_CURVE('',#180180,#180182,#108838,.T.); #227282=EDGE_CURVE('',#180183,#180179,#108839,.T.); #227283=EDGE_CURVE('',#180183,#180184,#108840,.T.); #227284=EDGE_CURVE('',#180184,#180181,#8110,.T.); #227285=EDGE_CURVE('',#180185,#180186,#108841,.T.); #227286=EDGE_CURVE('',#180185,#180187,#108842,.T.); #227287=EDGE_CURVE('',#180187,#180188,#8111,.T.); #227288=EDGE_CURVE('',#180186,#180188,#108843,.T.); #227289=EDGE_CURVE('',#180189,#180185,#108844,.T.); #227290=EDGE_CURVE('',#180189,#180190,#108845,.T.); #227291=EDGE_CURVE('',#180190,#180187,#8112,.T.); #227292=EDGE_CURVE('',#180144,#180191,#8113,.T.); #227293=EDGE_CURVE('',#180156,#180191,#108846,.T.); #227294=EDGE_CURVE('',#180164,#180192,#108847,.T.); #227295=EDGE_CURVE('',#180192,#180150,#8114,.T.); #227296=EDGE_CURVE('',#180193,#180194,#108848,.T.); #227297=EDGE_CURVE('',#180193,#180195,#108849,.T.); #227298=EDGE_CURVE('',#180195,#180196,#8115,.T.); #227299=EDGE_CURVE('',#180194,#180196,#108850,.T.); #227300=EDGE_CURVE('',#180197,#180193,#108851,.T.); #227301=EDGE_CURVE('',#180197,#180198,#108852,.T.); #227302=EDGE_CURVE('',#180198,#180195,#8116,.T.); #227303=EDGE_CURVE('',#180199,#180200,#108853,.T.); #227304=EDGE_CURVE('',#180199,#180201,#108854,.T.); #227305=EDGE_CURVE('',#180201,#180202,#8117,.T.); #227306=EDGE_CURVE('',#180200,#180202,#108855,.T.); #227307=EDGE_CURVE('',#180203,#180199,#108856,.T.); #227308=EDGE_CURVE('',#180203,#180204,#108857,.T.); #227309=EDGE_CURVE('',#180204,#180201,#8118,.T.); #227310=EDGE_CURVE('',#180205,#180206,#108858,.T.); #227311=EDGE_CURVE('',#180205,#180207,#108859,.T.); #227312=EDGE_CURVE('',#180207,#180208,#8119,.T.); #227313=EDGE_CURVE('',#180206,#180208,#108860,.T.); #227314=EDGE_CURVE('',#180209,#180205,#108861,.T.); #227315=EDGE_CURVE('',#180209,#180210,#108862,.T.); #227316=EDGE_CURVE('',#180210,#180207,#8120,.T.); #227317=EDGE_CURVE('',#180211,#180212,#108863,.T.); #227318=EDGE_CURVE('',#180211,#180213,#108864,.T.); #227319=EDGE_CURVE('',#180213,#180214,#8121,.T.); #227320=EDGE_CURVE('',#180212,#180214,#108865,.T.); #227321=EDGE_CURVE('',#180215,#180211,#108866,.T.); #227322=EDGE_CURVE('',#180215,#180216,#108867,.T.); #227323=EDGE_CURVE('',#180216,#180213,#8122,.T.); #227324=EDGE_CURVE('',#180151,#180213,#71694,.T.); #227325=EDGE_CURVE('',#180214,#180192,#71695,.T.); #227326=EDGE_CURVE('',#180213,#180207,#71696,.T.); #227327=EDGE_CURVE('',#180207,#180201,#71697,.T.); #227328=EDGE_CURVE('',#180201,#180195,#71698,.T.); #227329=EDGE_CURVE('',#180195,#180175,#71699,.T.); #227330=EDGE_CURVE('',#180175,#180181,#71700,.T.); #227331=EDGE_CURVE('',#180181,#180187,#71701,.T.); #227332=EDGE_CURVE('',#180187,#180148,#71702,.T.); #227333=EDGE_CURVE('',#180208,#180216,#71703,.T.); #227334=EDGE_CURVE('',#180202,#180210,#71704,.T.); #227335=EDGE_CURVE('',#180196,#180204,#71705,.T.); #227336=EDGE_CURVE('',#180176,#180198,#71706,.T.); #227337=EDGE_CURVE('',#180182,#180178,#71707,.T.); #227338=EDGE_CURVE('',#180188,#180184,#71708,.T.); #227339=EDGE_CURVE('',#180191,#180190,#71709,.T.); #227340=EDGE_CURVE('',#180206,#180209,#71710,.T.); #227341=EDGE_CURVE('',#180200,#180203,#71711,.T.); #227342=EDGE_CURVE('',#180212,#180215,#71712,.T.); #227343=EDGE_CURVE('',#180194,#180197,#71713,.T.); #227344=EDGE_CURVE('',#180174,#180177,#71714,.T.); #227345=EDGE_CURVE('',#180186,#180189,#71715,.T.); #227346=EDGE_CURVE('',#180217,#180218,#108868,.T.); #227347=EDGE_CURVE('',#180217,#180219,#108869,.T.); #227348=EDGE_CURVE('',#180219,#180220,#8123,.T.); #227349=EDGE_CURVE('',#180218,#180220,#108870,.T.); #227350=EDGE_CURVE('',#180221,#180217,#108871,.T.); #227351=EDGE_CURVE('',#180221,#180222,#108872,.T.); #227352=EDGE_CURVE('',#180222,#180219,#8124,.T.); #227353=EDGE_CURVE('',#180223,#180224,#108873,.T.); #227354=EDGE_CURVE('',#180223,#180225,#108874,.T.); #227355=EDGE_CURVE('',#180225,#180226,#8125,.T.); #227356=EDGE_CURVE('',#180224,#180226,#108875,.T.); #227357=EDGE_CURVE('',#180227,#180223,#108876,.T.); #227358=EDGE_CURVE('',#180227,#180228,#108877,.T.); #227359=EDGE_CURVE('',#180228,#180225,#8126,.T.); #227360=EDGE_CURVE('',#180229,#180230,#108878,.T.); #227361=EDGE_CURVE('',#180229,#180231,#108879,.T.); #227362=EDGE_CURVE('',#180231,#180232,#8127,.T.); #227363=EDGE_CURVE('',#180230,#180232,#108880,.T.); #227364=EDGE_CURVE('',#180233,#180229,#108881,.T.); #227365=EDGE_CURVE('',#180233,#180234,#108882,.T.); #227366=EDGE_CURVE('',#180234,#180231,#8128,.T.); #227367=EDGE_CURVE('',#180235,#180236,#108883,.T.); #227368=EDGE_CURVE('',#180235,#180237,#108884,.T.); #227369=EDGE_CURVE('',#180237,#180238,#8129,.T.); #227370=EDGE_CURVE('',#180236,#180238,#108885,.T.); #227371=EDGE_CURVE('',#180239,#180235,#108886,.T.); #227372=EDGE_CURVE('',#180239,#180240,#108887,.T.); #227373=EDGE_CURVE('',#180240,#180237,#8130,.T.); #227374=EDGE_CURVE('',#180165,#180241,#8131,.T.); #227375=EDGE_CURVE('',#180237,#180167,#71716,.T.); #227376=EDGE_CURVE('',#180241,#180240,#71717,.T.); #227377=EDGE_CURVE('',#180231,#180237,#71718,.T.); #227378=EDGE_CURVE('',#180238,#180234,#71719,.T.); #227379=EDGE_CURVE('',#180225,#180231,#71720,.T.); #227380=EDGE_CURVE('',#180232,#180228,#71721,.T.); #227381=EDGE_CURVE('',#180242,#180243,#8132,.T.); #227382=EDGE_CURVE('',#180220,#180242,#71722,.T.); #227383=EDGE_CURVE('',#180243,#180219,#71723,.T.); #227384=EDGE_CURVE('',#180243,#180244,#8133,.T.); #227385=EDGE_CURVE('',#180245,#180243,#71724,.T.); #227386=EDGE_CURVE('',#180246,#180245,#8134,.T.); #227387=EDGE_CURVE('',#180244,#180246,#71725,.T.); #227388=EDGE_CURVE('',#180245,#180247,#8135,.T.); #227389=EDGE_CURVE('',#180248,#180245,#71726,.T.); #227390=EDGE_CURVE('',#180249,#180248,#8136,.T.); #227391=EDGE_CURVE('',#180247,#180249,#71727,.T.); #227392=EDGE_CURVE('',#180219,#180225,#71728,.T.); #227393=EDGE_CURVE('',#180226,#180222,#71729,.T.); #227394=EDGE_CURVE('',#180170,#180248,#71730,.T.); #227395=EDGE_CURVE('',#180248,#180250,#8137,.T.); #227396=EDGE_CURVE('',#180251,#180169,#8138,.T.); #227397=EDGE_CURVE('',#180250,#180251,#71731,.T.); #227398=EDGE_CURVE('',#180163,#180241,#108888,.T.); #227399=EDGE_CURVE('',#180158,#180251,#108889,.T.); #227400=EDGE_CURVE('',#180252,#180253,#108890,.T.); #227401=EDGE_CURVE('',#180252,#180249,#108891,.T.); #227402=EDGE_CURVE('',#180253,#180248,#108892,.T.); #227403=EDGE_CURVE('',#180253,#180254,#108893,.T.); #227404=EDGE_CURVE('',#180254,#180250,#108894,.T.); #227405=EDGE_CURVE('',#180255,#180256,#108895,.T.); #227406=EDGE_CURVE('',#180255,#180246,#108896,.T.); #227407=EDGE_CURVE('',#180256,#180245,#108897,.T.); #227408=EDGE_CURVE('',#180256,#180257,#108898,.T.); #227409=EDGE_CURVE('',#180257,#180247,#108899,.T.); #227410=EDGE_CURVE('',#180258,#180259,#108900,.T.); #227411=EDGE_CURVE('',#180258,#180242,#108901,.T.); #227412=EDGE_CURVE('',#180259,#180243,#108902,.T.); #227413=EDGE_CURVE('',#180259,#180260,#108903,.T.); #227414=EDGE_CURVE('',#180260,#180244,#108904,.T.); #227415=EDGE_CURVE('',#180260,#180258,#71732,.T.); #227416=EDGE_CURVE('',#180257,#180255,#71733,.T.); #227417=EDGE_CURVE('',#180254,#180252,#71734,.T.); #227418=EDGE_CURVE('',#180180,#180183,#71735,.T.); #227419=EDGE_CURVE('',#180236,#180239,#71736,.T.); #227420=EDGE_CURVE('',#180230,#180233,#71737,.T.); #227421=EDGE_CURVE('',#180224,#180227,#71738,.T.); #227422=EDGE_CURVE('',#180218,#180221,#71739,.T.); #227423=EDGE_CURVE('',#180261,#180261,#71740,.T.); #227424=EDGE_CURVE('',#180261,#180157,#108905,.T.); #227425=EDGE_CURVE('',#180262,#180263,#108906,.T.); #227426=EDGE_CURVE('',#180264,#180262,#108907,.T.); #227427=EDGE_CURVE('',#180264,#180265,#108908,.T.); #227428=EDGE_CURVE('',#180265,#180263,#108909,.T.); #227429=EDGE_CURVE('',#180266,#180267,#108910,.T.); #227430=EDGE_CURVE('',#180266,#180268,#108911,.T.); #227431=EDGE_CURVE('',#180269,#180268,#108912,.T.); #227432=EDGE_CURVE('',#180269,#180267,#108913,.T.); #227433=EDGE_CURVE('',#180268,#180270,#71741,.T.); #227434=EDGE_CURVE('',#180271,#180270,#108914,.T.); #227435=EDGE_CURVE('',#180269,#180271,#71742,.T.); #227436=EDGE_CURVE('',#180272,#180265,#71743,.T.); #227437=EDGE_CURVE('',#180273,#180264,#71744,.T.); #227438=EDGE_CURVE('',#180273,#180272,#108915,.T.); #227439=EDGE_CURVE('',#180270,#180274,#108916,.T.); #227440=EDGE_CURVE('',#180275,#180274,#71745,.T.); #227441=EDGE_CURVE('',#180275,#180276,#108917,.T.); #227442=EDGE_CURVE('',#180276,#180277,#108918,.T.); #227443=EDGE_CURVE('',#180278,#180277,#71746,.T.); #227444=EDGE_CURVE('',#180278,#180279,#108919,.T.); #227445=EDGE_CURVE('',#180280,#180279,#71747,.T.); #227446=EDGE_CURVE('',#180280,#180281,#108920,.T.); #227447=EDGE_CURVE('',#180281,#180282,#108921,.T.); #227448=EDGE_CURVE('',#180283,#180282,#71748,.T.); #227449=EDGE_CURVE('',#180283,#180271,#108922,.T.); #227450=EDGE_CURVE('',#180284,#180272,#108923,.T.); #227451=EDGE_CURVE('',#180274,#180284,#108924,.T.); #227452=EDGE_CURVE('',#180263,#180266,#108925,.T.); #227453=EDGE_CURVE('',#180277,#180285,#108926,.T.); #227454=EDGE_CURVE('',#180276,#180286,#108927,.T.); #227455=EDGE_CURVE('',#180285,#180286,#108928,.T.); #227456=EDGE_CURVE('',#180282,#180287,#108929,.T.); #227457=EDGE_CURVE('',#180288,#180281,#108930,.T.); #227458=EDGE_CURVE('',#180287,#180288,#108931,.T.); #227459=EDGE_CURVE('',#180289,#180280,#108932,.T.); #227460=EDGE_CURVE('',#180288,#180289,#108933,.T.); #227461=EDGE_CURVE('',#180287,#180290,#71749,.T.); #227462=EDGE_CURVE('',#180290,#180283,#108934,.T.); #227463=EDGE_CURVE('',#180291,#180275,#108935,.T.); #227464=EDGE_CURVE('',#180286,#180291,#108936,.T.); #227465=EDGE_CURVE('',#180284,#180291,#71750,.T.); #227466=EDGE_CURVE('',#180285,#180292,#71751,.T.); #227467=EDGE_CURVE('',#180292,#180278,#108937,.T.); #227468=EDGE_CURVE('',#180279,#180293,#108938,.T.); #227469=EDGE_CURVE('',#180293,#180292,#108939,.T.); #227470=EDGE_CURVE('',#180290,#180273,#108940,.T.); #227471=EDGE_CURVE('',#180293,#180289,#71752,.T.); #227472=EDGE_CURVE('',#180267,#180262,#108941,.T.); #227473=EDGE_CURVE('',#180294,#180295,#108942,.T.); #227474=EDGE_CURVE('',#180296,#180294,#108943,.T.); #227475=EDGE_CURVE('',#180297,#180296,#71753,.T.); #227476=EDGE_CURVE('',#180297,#180298,#108944,.T.); #227477=EDGE_CURVE('',#180298,#180299,#108945,.T.); #227478=EDGE_CURVE('',#180300,#180299,#71754,.T.); #227479=EDGE_CURVE('',#180300,#180301,#108946,.T.); #227480=EDGE_CURVE('',#180302,#180301,#71755,.T.); #227481=EDGE_CURVE('',#180302,#180303,#108947,.T.); #227482=EDGE_CURVE('',#180303,#180304,#108948,.T.); #227483=EDGE_CURVE('',#180305,#180304,#71756,.T.); #227484=EDGE_CURVE('',#180305,#180295,#108949,.T.); #227485=EDGE_CURVE('',#180306,#180294,#108950,.T.); #227486=EDGE_CURVE('',#180306,#180307,#108951,.T.); #227487=EDGE_CURVE('',#180296,#180307,#108952,.T.); #227488=EDGE_CURVE('',#180308,#180306,#108953,.T.); #227489=EDGE_CURVE('',#180308,#180309,#108954,.T.); #227490=EDGE_CURVE('',#180310,#180309,#71757,.T.); #227491=EDGE_CURVE('',#180310,#180311,#108955,.T.); #227492=EDGE_CURVE('',#180311,#180312,#108956,.T.); #227493=EDGE_CURVE('',#180313,#180312,#71758,.T.); #227494=EDGE_CURVE('',#180313,#180314,#108957,.T.); #227495=EDGE_CURVE('',#180315,#180314,#71759,.T.); #227496=EDGE_CURVE('',#180315,#180316,#108958,.T.); #227497=EDGE_CURVE('',#180316,#180317,#108959,.T.); #227498=EDGE_CURVE('',#180307,#180317,#71760,.T.); #227499=EDGE_CURVE('',#180299,#180315,#108960,.T.); #227500=EDGE_CURVE('',#180314,#180300,#108961,.T.); #227501=EDGE_CURVE('',#180301,#180313,#108962,.T.); #227502=EDGE_CURVE('',#180312,#180302,#108963,.T.); #227503=EDGE_CURVE('',#180309,#180305,#108964,.T.); #227504=EDGE_CURVE('',#180304,#180310,#108965,.T.); #227505=EDGE_CURVE('',#180311,#180303,#108966,.T.); #227506=EDGE_CURVE('',#180317,#180297,#108967,.T.); #227507=EDGE_CURVE('',#180298,#180316,#108968,.T.); #227508=EDGE_CURVE('',#180295,#180308,#108969,.T.); #227509=EDGE_CURVE('',#180318,#180319,#108970,.T.); #227510=EDGE_CURVE('',#180318,#180320,#108971,.T.); #227511=EDGE_CURVE('',#180320,#180321,#108972,.T.); #227512=EDGE_CURVE('',#180319,#180321,#108973,.T.); #227513=EDGE_CURVE('',#180322,#180320,#71761,.T.); #227514=EDGE_CURVE('',#180323,#180318,#71762,.T.); #227515=EDGE_CURVE('',#180323,#180322,#108974,.T.); #227516=EDGE_CURVE('',#180324,#180325,#108975,.T.); #227517=EDGE_CURVE('',#180325,#180326,#71763,.T.); #227518=EDGE_CURVE('',#180327,#180326,#108976,.T.); #227519=EDGE_CURVE('',#180324,#180327,#71764,.T.); #227520=EDGE_CURVE('',#180328,#180325,#108977,.T.); #227521=EDGE_CURVE('',#180324,#180329,#108978,.T.); #227522=EDGE_CURVE('',#180328,#180329,#108979,.T.); #227523=EDGE_CURVE('',#180326,#180330,#108980,.T.); #227524=EDGE_CURVE('',#180331,#180330,#71765,.T.); #227525=EDGE_CURVE('',#180331,#180332,#108981,.T.); #227526=EDGE_CURVE('',#180332,#180333,#108982,.T.); #227527=EDGE_CURVE('',#180334,#180333,#71766,.T.); #227528=EDGE_CURVE('',#180334,#180335,#108983,.T.); #227529=EDGE_CURVE('',#180336,#180335,#71767,.T.); #227530=EDGE_CURVE('',#180336,#180337,#108984,.T.); #227531=EDGE_CURVE('',#180337,#180338,#108985,.T.); #227532=EDGE_CURVE('',#180339,#180338,#71768,.T.); #227533=EDGE_CURVE('',#180339,#180327,#108986,.T.); #227534=EDGE_CURVE('',#180340,#180322,#108987,.T.); #227535=EDGE_CURVE('',#180330,#180340,#108988,.T.); #227536=EDGE_CURVE('',#180321,#180328,#108989,.T.); #227537=EDGE_CURVE('',#180341,#180342,#71769,.T.); #227538=EDGE_CURVE('',#180342,#180336,#108990,.T.); #227539=EDGE_CURVE('',#180335,#180341,#108991,.T.); #227540=EDGE_CURVE('',#180343,#180323,#108992,.T.); #227541=EDGE_CURVE('',#180344,#180343,#71770,.T.); #227542=EDGE_CURVE('',#180344,#180345,#108993,.T.); #227543=EDGE_CURVE('',#180345,#180342,#108994,.T.); #227544=EDGE_CURVE('',#180341,#180346,#108995,.T.); #227545=EDGE_CURVE('',#180347,#180346,#71771,.T.); #227546=EDGE_CURVE('',#180347,#180348,#108996,.T.); #227547=EDGE_CURVE('',#180348,#180349,#108997,.T.); #227548=EDGE_CURVE('',#180340,#180349,#71772,.T.); #227549=EDGE_CURVE('',#180346,#180334,#108998,.T.); #227550=EDGE_CURVE('',#180333,#180347,#108999,.T.); #227551=EDGE_CURVE('',#180349,#180331,#109000,.T.); #227552=EDGE_CURVE('',#180332,#180348,#109001,.T.); #227553=EDGE_CURVE('',#180343,#180339,#109002,.T.); #227554=EDGE_CURVE('',#180338,#180344,#109003,.T.); #227555=EDGE_CURVE('',#180329,#180319,#109004,.T.); #227556=EDGE_CURVE('',#180345,#180337,#109005,.T.); #227557=EDGE_CURVE('',#180350,#180351,#109006,.T.); #227558=EDGE_CURVE('',#180352,#180350,#109007,.T.); #227559=EDGE_CURVE('',#180352,#180353,#109008,.T.); #227560=EDGE_CURVE('',#180353,#180351,#109009,.T.); #227561=EDGE_CURVE('',#180354,#180355,#109010,.T.); #227562=EDGE_CURVE('',#180354,#180356,#109011,.T.); #227563=EDGE_CURVE('',#180357,#180356,#109012,.T.); #227564=EDGE_CURVE('',#180357,#180355,#109013,.T.); #227565=EDGE_CURVE('',#180356,#180358,#71773,.T.); #227566=EDGE_CURVE('',#180359,#180358,#109014,.T.); #227567=EDGE_CURVE('',#180357,#180359,#71774,.T.); #227568=EDGE_CURVE('',#180360,#180353,#71775,.T.); #227569=EDGE_CURVE('',#180361,#180352,#71776,.T.); #227570=EDGE_CURVE('',#180361,#180360,#109015,.T.); #227571=EDGE_CURVE('',#180358,#180362,#109016,.T.); #227572=EDGE_CURVE('',#180363,#180362,#71777,.T.); #227573=EDGE_CURVE('',#180363,#180364,#109017,.T.); #227574=EDGE_CURVE('',#180364,#180365,#109018,.T.); #227575=EDGE_CURVE('',#180366,#180365,#71778,.T.); #227576=EDGE_CURVE('',#180366,#180367,#109019,.T.); #227577=EDGE_CURVE('',#180368,#180367,#71779,.T.); #227578=EDGE_CURVE('',#180368,#180369,#109020,.T.); #227579=EDGE_CURVE('',#180369,#180370,#109021,.T.); #227580=EDGE_CURVE('',#180371,#180370,#71780,.T.); #227581=EDGE_CURVE('',#180371,#180359,#109022,.T.); #227582=EDGE_CURVE('',#180372,#180360,#109023,.T.); #227583=EDGE_CURVE('',#180362,#180372,#109024,.T.); #227584=EDGE_CURVE('',#180351,#180354,#109025,.T.); #227585=EDGE_CURVE('',#180365,#180373,#109026,.T.); #227586=EDGE_CURVE('',#180364,#180374,#109027,.T.); #227587=EDGE_CURVE('',#180373,#180374,#109028,.T.); #227588=EDGE_CURVE('',#180370,#180375,#109029,.T.); #227589=EDGE_CURVE('',#180376,#180369,#109030,.T.); #227590=EDGE_CURVE('',#180375,#180376,#109031,.T.); #227591=EDGE_CURVE('',#180377,#180368,#109032,.T.); #227592=EDGE_CURVE('',#180376,#180377,#109033,.T.); #227593=EDGE_CURVE('',#180375,#180378,#71781,.T.); #227594=EDGE_CURVE('',#180378,#180371,#109034,.T.); #227595=EDGE_CURVE('',#180379,#180363,#109035,.T.); #227596=EDGE_CURVE('',#180374,#180379,#109036,.T.); #227597=EDGE_CURVE('',#180372,#180379,#71782,.T.); #227598=EDGE_CURVE('',#180373,#180380,#71783,.T.); #227599=EDGE_CURVE('',#180380,#180366,#109037,.T.); #227600=EDGE_CURVE('',#180367,#180381,#109038,.T.); #227601=EDGE_CURVE('',#180381,#180380,#109039,.T.); #227602=EDGE_CURVE('',#180378,#180361,#109040,.T.); #227603=EDGE_CURVE('',#180381,#180377,#71784,.T.); #227604=EDGE_CURVE('',#180355,#180350,#109041,.T.); #227605=EDGE_CURVE('',#180382,#180383,#109042,.T.); #227606=EDGE_CURVE('',#180384,#180382,#109043,.T.); #227607=EDGE_CURVE('',#180385,#180384,#71785,.T.); #227608=EDGE_CURVE('',#180385,#180386,#109044,.T.); #227609=EDGE_CURVE('',#180386,#180387,#109045,.T.); #227610=EDGE_CURVE('',#180388,#180387,#71786,.T.); #227611=EDGE_CURVE('',#180388,#180389,#109046,.T.); #227612=EDGE_CURVE('',#180390,#180389,#71787,.T.); #227613=EDGE_CURVE('',#180390,#180391,#109047,.T.); #227614=EDGE_CURVE('',#180391,#180392,#109048,.T.); #227615=EDGE_CURVE('',#180393,#180392,#71788,.T.); #227616=EDGE_CURVE('',#180393,#180383,#109049,.T.); #227617=EDGE_CURVE('',#180394,#180382,#109050,.T.); #227618=EDGE_CURVE('',#180394,#180395,#109051,.T.); #227619=EDGE_CURVE('',#180384,#180395,#109052,.T.); #227620=EDGE_CURVE('',#180396,#180394,#109053,.T.); #227621=EDGE_CURVE('',#180396,#180397,#109054,.T.); #227622=EDGE_CURVE('',#180398,#180397,#71789,.T.); #227623=EDGE_CURVE('',#180398,#180399,#109055,.T.); #227624=EDGE_CURVE('',#180399,#180400,#109056,.T.); #227625=EDGE_CURVE('',#180401,#180400,#71790,.T.); #227626=EDGE_CURVE('',#180401,#180402,#109057,.T.); #227627=EDGE_CURVE('',#180403,#180402,#71791,.T.); #227628=EDGE_CURVE('',#180403,#180404,#109058,.T.); #227629=EDGE_CURVE('',#180404,#180405,#109059,.T.); #227630=EDGE_CURVE('',#180395,#180405,#71792,.T.); #227631=EDGE_CURVE('',#180383,#180396,#109060,.T.); #227632=EDGE_CURVE('',#180397,#180393,#109061,.T.); #227633=EDGE_CURVE('',#180400,#180390,#109062,.T.); #227634=EDGE_CURVE('',#180399,#180391,#109063,.T.); #227635=EDGE_CURVE('',#180405,#180385,#109064,.T.); #227636=EDGE_CURVE('',#180386,#180404,#109065,.T.); #227637=EDGE_CURVE('',#180387,#180403,#109066,.T.); #227638=EDGE_CURVE('',#180392,#180398,#109067,.T.); #227639=EDGE_CURVE('',#180389,#180401,#109068,.T.); #227640=EDGE_CURVE('',#180402,#180388,#109069,.T.); #227641=EDGE_CURVE('',#180131,#180406,#109070,.T.); #227642=EDGE_CURVE('',#180406,#180407,#63923,.T.); #227643=EDGE_CURVE('',#180408,#180407,#71793,.T.); #227644=EDGE_CURVE('',#180409,#180408,#109071,.T.); #227645=EDGE_CURVE('',#180410,#180409,#71794,.T.); #227646=EDGE_CURVE('',#180410,#180411,#109072,.T.); #227647=EDGE_CURVE('',#180411,#180131,#109073,.T.); #227648=EDGE_CURVE('',#180412,#180125,#109074,.T.); #227649=EDGE_CURVE('',#180413,#180412,#109075,.T.); #227650=EDGE_CURVE('',#180413,#180414,#109076,.T.); #227651=EDGE_CURVE('',#180414,#180415,#109077,.T.); #227652=EDGE_CURVE('',#180416,#180415,#109078,.T.); #227653=EDGE_CURVE('',#180417,#180416,#109079,.T.); #227654=EDGE_CURVE('',#180417,#180123,#109080,.T.); #227655=EDGE_CURVE('',#180123,#180125,#109081,.T.); #227656=EDGE_CURVE('',#180135,#180418,#109082,.T.); #227657=EDGE_CURVE('',#180135,#180419,#109083,.T.); #227658=EDGE_CURVE('',#180419,#180420,#109084,.T.); #227659=EDGE_CURVE('',#180421,#180420,#71795,.T.); #227660=EDGE_CURVE('',#180422,#180421,#109085,.T.); #227661=EDGE_CURVE('',#180422,#180423,#63924,.T.); #227662=EDGE_CURVE('',#180418,#180423,#71796,.T.); #227663=EDGE_CURVE('',#180424,#180425,#109086,.T.); #227664=EDGE_CURVE('',#180426,#180425,#71797,.T.); #227665=EDGE_CURVE('',#180426,#180427,#109087,.T.); #227666=EDGE_CURVE('',#180428,#180427,#71798,.T.); #227667=EDGE_CURVE('',#180139,#180428,#109088,.T.); #227668=EDGE_CURVE('',#180139,#180424,#109089,.T.); #227669=EDGE_CURVE('',#180140,#180429,#71799,.T.); #227670=EDGE_CURVE('',#180140,#180430,#109090,.T.); #227671=EDGE_CURVE('',#180431,#180430,#71800,.T.); #227672=EDGE_CURVE('',#180432,#180431,#109091,.T.); #227673=EDGE_CURVE('',#180433,#180432,#71801,.T.); #227674=EDGE_CURVE('',#180433,#180134,#109092,.T.); #227675=EDGE_CURVE('',#180434,#180134,#71802,.T.); #227676=EDGE_CURVE('',#180434,#180435,#109093,.T.); #227677=EDGE_CURVE('',#180436,#180435,#109094,.T.); #227678=EDGE_CURVE('',#180437,#180436,#109095,.T.); #227679=EDGE_CURVE('',#180437,#180438,#109096,.T.); #227680=EDGE_CURVE('',#180438,#180439,#109097,.T.); #227681=EDGE_CURVE('',#180440,#180439,#109098,.T.); #227682=EDGE_CURVE('',#180441,#180440,#109099,.T.); #227683=EDGE_CURVE('',#180441,#180442,#109100,.T.); #227684=EDGE_CURVE('',#180442,#180429,#109101,.T.); #227685=EDGE_CURVE('',#180443,#180109,#63925,.T.); #227686=EDGE_CURVE('',#180103,#180443,#63926,.T.); #227687=EDGE_CURVE('',#180444,#180091,#63927,.T.); #227688=EDGE_CURVE('',#180444,#180119,#63928,.T.); #227689=EDGE_CURVE('',#180445,#180444,#71803,.T.); #227690=EDGE_CURVE('',#180445,#180118,#63929,.T.); #227691=EDGE_CURVE('',#180106,#180446,#63930,.T.); #227692=EDGE_CURVE('',#180090,#180445,#63931,.T.); #227693=EDGE_CURVE('',#180446,#180102,#63932,.T.); #227694=EDGE_CURVE('',#180443,#180446,#71804,.T.); #227695=EDGE_CURVE('',#180447,#180448,#109102,.T.); #227696=EDGE_CURVE('',#180449,#180447,#109103,.T.); #227697=EDGE_CURVE('',#180449,#180450,#109104,.T.); #227698=EDGE_CURVE('',#180451,#180450,#109105,.T.); #227699=EDGE_CURVE('',#180452,#180451,#109106,.T.); #227700=EDGE_CURVE('',#180448,#180452,#109107,.T.); #227701=EDGE_CURVE('',#180453,#180449,#109108,.T.); #227702=EDGE_CURVE('',#180454,#180453,#109109,.T.); #227703=EDGE_CURVE('',#180450,#180454,#109110,.T.); #227704=EDGE_CURVE('',#180448,#180455,#109111,.T.); #227705=EDGE_CURVE('',#180452,#180456,#109112,.T.); #227706=EDGE_CURVE('',#180456,#180455,#109113,.T.); #227707=EDGE_CURVE('',#180457,#180451,#109114,.T.); #227708=EDGE_CURVE('',#180456,#180457,#109115,.T.); #227709=EDGE_CURVE('',#180455,#180458,#109116,.T.); #227710=EDGE_CURVE('',#180454,#180457,#109117,.T.); #227711=EDGE_CURVE('',#180458,#180453,#109118,.T.); #227712=EDGE_CURVE('',#180459,#180458,#109119,.T.); #227713=EDGE_CURVE('',#180460,#180459,#109120,.T.); #227714=EDGE_CURVE('',#180461,#180460,#109121,.T.); #227715=EDGE_CURVE('',#180462,#180461,#109122,.T.); #227716=EDGE_CURVE('',#180463,#180462,#109123,.T.); #227717=EDGE_CURVE('',#180464,#180463,#109124,.T.); #227718=EDGE_CURVE('',#180465,#180464,#109125,.T.); #227719=EDGE_CURVE('',#180465,#180466,#109126,.T.); #227720=EDGE_CURVE('',#180447,#180466,#109127,.T.); #227721=EDGE_CURVE('',#180467,#180468,#109128,.T.); #227722=EDGE_CURVE('',#180468,#180469,#109129,.T.); #227723=EDGE_CURVE('',#180469,#180470,#109130,.T.); #227724=EDGE_CURVE('',#180470,#180467,#109131,.T.); #227725=EDGE_CURVE('',#180468,#180471,#109132,.T.); #227726=EDGE_CURVE('',#180471,#180472,#109133,.T.); #227727=EDGE_CURVE('',#180472,#180469,#109134,.T.); #227728=EDGE_CURVE('',#180473,#180474,#109135,.T.); #227729=EDGE_CURVE('',#180474,#180475,#109136,.T.); #227730=EDGE_CURVE('',#180475,#180476,#109137,.T.); #227731=EDGE_CURVE('',#180476,#180473,#109138,.T.); #227732=EDGE_CURVE('',#180477,#180478,#109139,.T.); #227733=EDGE_CURVE('',#180478,#180479,#109140,.T.); #227734=EDGE_CURVE('',#180479,#180480,#109141,.T.); #227735=EDGE_CURVE('',#180477,#180480,#109142,.T.); #227736=EDGE_CURVE('',#180481,#180477,#109143,.T.); #227737=EDGE_CURVE('',#180480,#180482,#109144,.T.); #227738=EDGE_CURVE('',#180482,#180481,#109145,.T.); #227739=EDGE_CURVE('',#180483,#180484,#109146,.T.); #227740=EDGE_CURVE('',#180484,#180485,#109147,.T.); #227741=EDGE_CURVE('',#180486,#180485,#109148,.T.); #227742=EDGE_CURVE('',#180483,#180486,#109149,.T.); #227743=EDGE_CURVE('',#180485,#180487,#71805,.F.); #227744=EDGE_CURVE('',#180488,#180487,#109150,.F.); #227745=EDGE_CURVE('',#180486,#180488,#71806,.T.); #227746=EDGE_CURVE('',#180489,#180490,#109151,.T.); #227747=EDGE_CURVE('',#180488,#180490,#109152,.T.); #227748=EDGE_CURVE('',#180487,#180489,#109153,.T.); #227749=EDGE_CURVE('',#180461,#180491,#71807,.T.); #227750=EDGE_CURVE('',#180460,#180492,#109154,.T.); #227751=EDGE_CURVE('',#180492,#180493,#109155,.F.); #227752=EDGE_CURVE('',#180493,#180494,#71808,.T.); #227753=EDGE_CURVE('',#180494,#180495,#109156,.T.); #227754=EDGE_CURVE('',#180495,#180491,#109157,.T.); #227755=EDGE_CURVE('',#180496,#180495,#109158,.T.); #227756=EDGE_CURVE('',#180494,#180497,#109159,.F.); #227757=EDGE_CURVE('',#180497,#180496,#109160,.T.); #227758=EDGE_CURVE('',#180459,#180498,#109161,.T.); #227759=EDGE_CURVE('',#180492,#180498,#109162,.F.); #227760=EDGE_CURVE('',#180498,#180499,#109163,.T.); #227761=EDGE_CURVE('',#180493,#180499,#109164,.T.); #227762=EDGE_CURVE('',#180499,#180497,#71809,.F.); #227763=EDGE_CURVE('',#180500,#180501,#109165,.T.); #227764=EDGE_CURVE('',#180501,#180502,#109166,.T.); #227765=EDGE_CURVE('',#180503,#180502,#109167,.T.); #227766=EDGE_CURVE('',#180500,#180503,#109168,.T.); #227767=EDGE_CURVE('',#180502,#180504,#71810,.F.); #227768=EDGE_CURVE('',#180505,#180504,#109169,.F.); #227769=EDGE_CURVE('',#180503,#180505,#71811,.T.); #227770=EDGE_CURVE('',#180506,#180507,#109170,.T.); #227771=EDGE_CURVE('',#180505,#180507,#109171,.T.); #227772=EDGE_CURVE('',#180504,#180506,#109172,.T.); #227773=EDGE_CURVE('',#180508,#180509,#109173,.T.); #227774=EDGE_CURVE('',#180510,#180509,#109174,.T.); #227775=EDGE_CURVE('',#180511,#180510,#109175,.F.); #227776=EDGE_CURVE('',#180511,#180508,#109176,.T.); #227777=EDGE_CURVE('',#180509,#180466,#109177,.T.); #227778=EDGE_CURVE('',#180510,#180465,#109178,.T.); #227779=EDGE_CURVE('',#180512,#180513,#109179,.T.); #227780=EDGE_CURVE('',#180513,#180514,#109180,.T.); #227781=EDGE_CURVE('',#180515,#180514,#109181,.T.); #227782=EDGE_CURVE('',#180512,#180515,#109182,.T.); #227783=EDGE_CURVE('',#180514,#180508,#71812,.F.); #227784=EDGE_CURVE('',#180515,#180511,#71813,.T.); #227785=EDGE_CURVE('',#180513,#180489,#109183,.T.); #227786=EDGE_CURVE('',#180484,#180506,#109184,.T.); #227787=EDGE_CURVE('',#180501,#180496,#109185,.T.); #227788=EDGE_CURVE('',#180516,#180517,#71814,.T.); #227789=EDGE_CURVE('',#180516,#180518,#109186,.T.); #227790=EDGE_CURVE('',#180518,#180519,#71815,.T.); #227791=EDGE_CURVE('',#180517,#180519,#109187,.T.); #227792=EDGE_CURVE('',#180520,#180521,#109188,.T.); #227793=EDGE_CURVE('',#180476,#180521,#109189,.T.); #227794=EDGE_CURVE('',#180522,#180475,#71816,.T.); #227795=EDGE_CURVE('',#180523,#180522,#109190,.T.); #227796=EDGE_CURVE('',#180524,#180523,#71817,.T.); #227797=EDGE_CURVE('',#180525,#180524,#109191,.T.); #227798=EDGE_CURVE('',#180526,#180525,#109192,.T.); #227799=EDGE_CURVE('',#180527,#180526,#109193,.T.); #227800=EDGE_CURVE('',#180528,#180527,#109194,.T.); #227801=EDGE_CURVE('',#180482,#180528,#109195,.T.); #227802=EDGE_CURVE('',#180529,#180479,#109196,.T.); #227803=EDGE_CURVE('',#180520,#180529,#109197,.T.); #227804=EDGE_CURVE('',#180530,#180531,#109198,.T.); #227805=EDGE_CURVE('',#180531,#180528,#109199,.T.); #227806=EDGE_CURVE('',#180530,#180527,#109200,.T.); #227807=EDGE_CURVE('',#180532,#180530,#109201,.T.); #227808=EDGE_CURVE('',#180519,#180526,#109202,.T.); #227809=EDGE_CURVE('',#180532,#180518,#109203,.T.); #227810=EDGE_CURVE('',#180533,#180534,#71818,.T.); #227811=EDGE_CURVE('',#180533,#180535,#109204,.T.); #227812=EDGE_CURVE('',#180536,#180535,#71819,.T.); #227813=EDGE_CURVE('',#180536,#180481,#109205,.T.); #227814=EDGE_CURVE('',#180531,#180537,#109206,.T.); #227815=EDGE_CURVE('',#180538,#180537,#109207,.T.); #227816=EDGE_CURVE('',#180538,#180534,#109208,.T.); #227817=EDGE_CURVE('',#180471,#180538,#109209,.T.); #227818=EDGE_CURVE('',#180537,#180472,#109210,.T.); #227819=EDGE_CURVE('',#180539,#180540,#71820,.T.); #227820=EDGE_CURVE('',#180539,#180467,#109211,.T.); #227821=EDGE_CURVE('',#180470,#180541,#109212,.T.); #227822=EDGE_CURVE('',#180541,#180520,#109213,.T.); #227823=EDGE_CURVE('',#180542,#180529,#109214,.T.); #227824=EDGE_CURVE('',#180542,#180543,#109215,.T.); #227825=EDGE_CURVE('',#180544,#180543,#71821,.T.); #227826=EDGE_CURVE('',#180544,#180540,#109216,.T.); #227827=EDGE_CURVE('',#180478,#180542,#109217,.T.); #227828=EDGE_CURVE('',#180545,#180546,#109218,.T.); #227829=EDGE_CURVE('',#180547,#180546,#109219,.T.); #227830=EDGE_CURVE('',#180547,#180548,#109220,.T.); #227831=EDGE_CURVE('',#180545,#180548,#109221,.T.); #227832=EDGE_CURVE('',#180549,#180545,#71822,.T.); #227833=EDGE_CURVE('',#180548,#180550,#71823,.T.); #227834=EDGE_CURVE('',#180549,#180550,#109222,.T.); #227835=EDGE_CURVE('',#180551,#180549,#109223,.T.); #227836=EDGE_CURVE('',#180550,#180552,#109224,.T.); #227837=EDGE_CURVE('',#180551,#180552,#109225,.T.); #227838=EDGE_CURVE('',#180553,#180551,#71824,.T.); #227839=EDGE_CURVE('',#180552,#180554,#71825,.T.); #227840=EDGE_CURVE('',#180553,#180554,#109226,.T.); #227841=EDGE_CURVE('',#180555,#180553,#109227,.T.); #227842=EDGE_CURVE('',#180554,#180556,#109228,.T.); #227843=EDGE_CURVE('',#180556,#180555,#109229,.T.); #227844=EDGE_CURVE('',#180557,#180555,#109230,.T.); #227845=EDGE_CURVE('',#180556,#180532,#109231,.T.); #227846=EDGE_CURVE('',#180557,#180532,#109232,.T.); #227847=EDGE_CURVE('',#180558,#180557,#109233,.T.); #227848=EDGE_CURVE('',#180558,#180516,#109234,.T.); #227849=EDGE_CURVE('',#180559,#180560,#109235,.T.); #227850=EDGE_CURVE('',#180517,#180560,#109236,.T.); #227851=EDGE_CURVE('',#180526,#180559,#109237,.T.); #227852=EDGE_CURVE('',#180561,#180559,#109238,.T.); #227853=EDGE_CURVE('',#180525,#180561,#109239,.T.); #227854=EDGE_CURVE('',#180562,#180561,#109240,.T.); #227855=EDGE_CURVE('',#180524,#180562,#109241,.T.); #227856=EDGE_CURVE('',#180563,#180562,#71826,.T.); #227857=EDGE_CURVE('',#180563,#180523,#109242,.T.); #227858=EDGE_CURVE('',#180564,#180563,#109243,.T.); #227859=EDGE_CURVE('',#180564,#180522,#109244,.T.); #227860=EDGE_CURVE('',#180474,#180564,#71827,.T.); #227861=EDGE_CURVE('',#180565,#180541,#109245,.T.); #227862=EDGE_CURVE('',#180565,#180547,#109246,.T.); #227863=EDGE_CURVE('',#180521,#180565,#109247,.T.); #227864=EDGE_CURVE('',#180566,#180567,#71828,.T.); #227865=EDGE_CURVE('',#180566,#180500,#109248,.T.); #227866=EDGE_CURVE('',#180507,#180567,#109249,.T.); #227867=EDGE_CURVE('',#180430,#180536,#109250,.T.); #227868=EDGE_CURVE('',#180535,#180431,#109251,.T.); #227869=EDGE_CURVE('',#180568,#180569,#109252,.T.); #227870=EDGE_CURVE('',#180490,#180568,#109253,.T.); #227871=EDGE_CURVE('',#180570,#180512,#109254,.T.); #227872=EDGE_CURVE('',#180571,#180570,#109255,.T.); #227873=EDGE_CURVE('',#180560,#180571,#109256,.T.); #227874=EDGE_CURVE('',#180569,#180473,#109257,.T.); #227875=EDGE_CURVE('',#180570,#180464,#71829,.T.); #227876=EDGE_CURVE('',#180463,#180571,#71830,.T.); #227877=EDGE_CURVE('',#180572,#180568,#71831,.T.); #227878=EDGE_CURVE('',#180572,#180483,#109258,.T.); #227879=EDGE_CURVE('',#180569,#180573,#71832,.T.); #227880=EDGE_CURVE('',#180573,#180572,#109259,.T.); #227881=EDGE_CURVE('',#180543,#180138,#109260,.T.); #227882=EDGE_CURVE('',#180124,#180138,#71833,.T.); #227883=EDGE_CURVE('',#180124,#180544,#109261,.T.); #227884=EDGE_CURVE('',#180432,#180533,#109262,.T.); #227885=EDGE_CURVE('',#180534,#180433,#109263,.T.); #227886=EDGE_CURVE('',#180574,#180566,#109264,.T.); #227887=EDGE_CURVE('',#180546,#180574,#109265,.T.); #227888=EDGE_CURVE('',#180575,#180558,#109266,.T.); #227889=EDGE_CURVE('',#180491,#180575,#109267,.T.); #227890=EDGE_CURVE('',#180575,#180462,#71834,.T.); #227891=EDGE_CURVE('',#180567,#180576,#109268,.T.); #227892=EDGE_CURVE('',#180576,#180573,#109269,.T.); #227893=EDGE_CURVE('',#180576,#180574,#71835,.T.); #227894=EDGE_CURVE('',#180577,#180578,#109270,.T.); #227895=EDGE_CURVE('',#180578,#180143,#109271,.T.); #227896=EDGE_CURVE('',#180143,#180579,#109272,.T.); #227897=EDGE_CURVE('',#180580,#180579,#71836,.T.); #227898=EDGE_CURVE('',#180581,#180580,#109273,.T.); #227899=EDGE_CURVE('',#180577,#180581,#71837,.T.); #227900=EDGE_CURVE('',#180128,#180122,#71838,.T.); #227901=EDGE_CURVE('',#180128,#180539,#109274,.T.); #227902=EDGE_CURVE('',#180540,#180122,#109275,.T.); #227903=EDGE_CURVE('',#180419,#180411,#109276,.T.); #227904=EDGE_CURVE('',#180411,#180582,#109277,.T.); #227905=EDGE_CURVE('',#180582,#180583,#109278,.T.); #227906=EDGE_CURVE('',#180583,#180419,#109279,.T.); #227907=EDGE_CURVE('',#180121,#180129,#71839,.T.); #227908=EDGE_CURVE('',#180584,#180141,#71840,.T.); #227909=EDGE_CURVE('',#180584,#180585,#109280,.T.); #227910=EDGE_CURVE('',#180586,#180585,#109281,.T.); #227911=EDGE_CURVE('',#180587,#180586,#109282,.T.); #227912=EDGE_CURVE('',#180587,#180588,#109283,.T.); #227913=EDGE_CURVE('',#180589,#180588,#109284,.T.); #227914=EDGE_CURVE('',#180589,#180590,#109285,.T.); #227915=EDGE_CURVE('',#180591,#180590,#109286,.T.); #227916=EDGE_CURVE('',#180591,#180592,#109287,.T.); #227917=EDGE_CURVE('',#180592,#180593,#109288,.T.); #227918=EDGE_CURVE('',#180133,#180593,#71841,.T.); #227919=EDGE_CURVE('',#180594,#180132,#109289,.T.); #227920=EDGE_CURVE('',#180594,#180595,#71842,.T.); #227921=EDGE_CURVE('',#180596,#180595,#63933,.F.); #227922=EDGE_CURVE('',#180596,#180597,#109290,.T.); #227923=EDGE_CURVE('',#180598,#180597,#71843,.T.); #227924=EDGE_CURVE('',#180598,#180599,#109291,.T.); #227925=EDGE_CURVE('',#180600,#180599,#109292,.T.); #227926=EDGE_CURVE('',#180600,#180601,#109293,.T.); #227927=EDGE_CURVE('',#180602,#180601,#71844,.T.); #227928=EDGE_CURVE('',#180602,#180603,#109294,.T.); #227929=EDGE_CURVE('',#180603,#180604,#71845,.T.); #227930=EDGE_CURVE('',#180605,#180604,#63934,.F.); #227931=EDGE_CURVE('',#180605,#180130,#109295,.T.); #227932=EDGE_CURVE('',#180606,#180120,#109296,.T.); #227933=EDGE_CURVE('',#180607,#180606,#109297,.T.); #227934=EDGE_CURVE('',#180607,#180608,#109298,.T.); #227935=EDGE_CURVE('',#180609,#180608,#109299,.T.); #227936=EDGE_CURVE('',#180610,#180609,#109300,.T.); #227937=EDGE_CURVE('',#180611,#180610,#109301,.T.); #227938=EDGE_CURVE('',#180611,#180127,#109302,.T.); #227939=EDGE_CURVE('',#180137,#180126,#71846,.T.); #227940=EDGE_CURVE('',#180612,#180136,#109303,.T.); #227941=EDGE_CURVE('',#180612,#180613,#71847,.T.); #227942=EDGE_CURVE('',#180613,#180614,#109304,.T.); #227943=EDGE_CURVE('',#180615,#180614,#71848,.T.); #227944=EDGE_CURVE('',#180615,#180616,#109305,.T.); #227945=EDGE_CURVE('',#180617,#180616,#109306,.T.); #227946=EDGE_CURVE('',#180617,#180618,#109307,.T.); #227947=EDGE_CURVE('',#180619,#180618,#71849,.T.); #227948=EDGE_CURVE('',#180619,#180620,#109308,.T.); #227949=EDGE_CURVE('',#180620,#180621,#71850,.T.); #227950=EDGE_CURVE('',#180621,#180142,#109309,.T.); #227951=EDGE_CURVE('',#180593,#180434,#109310,.T.); #227952=EDGE_CURVE('',#180435,#180592,#109311,.T.); #227953=EDGE_CURVE('',#180429,#180584,#109312,.T.); #227954=EDGE_CURVE('',#180424,#180578,#109313,.T.); #227955=EDGE_CURVE('',#180412,#180611,#109314,.T.); #227956=EDGE_CURVE('',#180585,#180442,#109315,.T.); #227957=EDGE_CURVE('',#180586,#180441,#109316,.T.); #227958=EDGE_CURVE('',#180436,#180591,#109317,.T.); #227959=EDGE_CURVE('',#180606,#180417,#109318,.T.); #227960=EDGE_CURVE('',#180416,#180607,#109319,.T.); #227961=EDGE_CURVE('',#180608,#180415,#109320,.T.); #227962=EDGE_CURVE('',#180622,#180623,#109321,.T.); #227963=EDGE_CURVE('',#180622,#180624,#109322,.T.); #227964=EDGE_CURVE('',#180624,#180625,#109323,.T.); #227965=EDGE_CURVE('',#180623,#180625,#109324,.T.); #227966=EDGE_CURVE('',#180626,#180627,#109325,.T.); #227967=EDGE_CURVE('',#180628,#180626,#109326,.T.); #227968=EDGE_CURVE('',#180629,#180628,#109327,.T.); #227969=EDGE_CURVE('',#180629,#180627,#109328,.T.); #227970=EDGE_CURVE('',#180629,#180609,#71851,.T.); #227971=EDGE_CURVE('',#180608,#180624,#71852,.T.); #227972=EDGE_CURVE('',#180624,#180629,#109329,.T.); #227973=EDGE_CURVE('',#180628,#180414,#71853,.T.); #227974=EDGE_CURVE('',#180628,#180625,#109330,.T.); #227975=EDGE_CURVE('',#180415,#180625,#71854,.T.); #227976=EDGE_CURVE('',#180609,#180414,#109331,.T.); #227977=EDGE_CURVE('',#180610,#180413,#109332,.T.); #227978=EDGE_CURVE('',#180630,#180622,#71855,.T.); #227979=EDGE_CURVE('',#180630,#180631,#109333,.T.); #227980=EDGE_CURVE('',#180627,#180631,#71856,.T.); #227981=EDGE_CURVE('',#180632,#180626,#71857,.T.); #227982=EDGE_CURVE('',#180631,#180632,#109334,.T.); #227983=EDGE_CURVE('',#180623,#180633,#71858,.T.); #227984=EDGE_CURVE('',#180632,#180633,#109335,.T.); #227985=EDGE_CURVE('',#180633,#180630,#109336,.T.); #227986=EDGE_CURVE('',#180440,#180587,#109337,.T.); #227987=EDGE_CURVE('',#180590,#180437,#109338,.T.); #227988=EDGE_CURVE('',#180589,#180438,#109339,.T.); #227989=EDGE_CURVE('',#180634,#180635,#109340,.T.); #227990=EDGE_CURVE('',#180636,#180634,#109341,.T.); #227991=EDGE_CURVE('',#180636,#180637,#109342,.T.); #227992=EDGE_CURVE('',#180637,#180635,#109343,.T.); #227993=EDGE_CURVE('',#180638,#180639,#109344,.T.); #227994=EDGE_CURVE('',#180638,#180640,#109345,.T.); #227995=EDGE_CURVE('',#180641,#180640,#109346,.T.); #227996=EDGE_CURVE('',#180639,#180641,#109347,.T.); #227997=EDGE_CURVE('',#180641,#180589,#71859,.T.); #227998=EDGE_CURVE('',#180588,#180636,#71860,.T.); #227999=EDGE_CURVE('',#180636,#180641,#109348,.T.); #228000=EDGE_CURVE('',#180640,#180438,#71861,.T.); #228001=EDGE_CURVE('',#180640,#180637,#109349,.T.); #228002=EDGE_CURVE('',#180439,#180637,#71862,.T.); #228003=EDGE_CURVE('',#180588,#180439,#109350,.T.); #228004=EDGE_CURVE('',#180642,#180634,#71863,.T.); #228005=EDGE_CURVE('',#180642,#180643,#109351,.T.); #228006=EDGE_CURVE('',#180639,#180643,#71864,.T.); #228007=EDGE_CURVE('',#180644,#180638,#71865,.T.); #228008=EDGE_CURVE('',#180643,#180644,#109352,.T.); #228009=EDGE_CURVE('',#180635,#180645,#71866,.T.); #228010=EDGE_CURVE('',#180644,#180645,#109353,.T.); #228011=EDGE_CURVE('',#180645,#180642,#109354,.T.); #228012=EDGE_CURVE('',#180579,#180621,#109355,.T.); #228013=EDGE_CURVE('',#180406,#180605,#109356,.T.); #228014=EDGE_CURVE('',#180420,#180598,#109357,.T.); #228015=EDGE_CURVE('',#180597,#180421,#109358,.T.); #228016=EDGE_CURVE('',#180646,#180583,#71867,.T.); #228017=EDGE_CURVE('',#180647,#180646,#109359,.T.); #228018=EDGE_CURVE('',#180648,#180647,#109360,.T.); #228019=EDGE_CURVE('',#180649,#180648,#109361,.T.); #228020=EDGE_CURVE('',#180599,#180649,#71868,.T.); #228021=EDGE_CURVE('',#180647,#180650,#71869,.T.); #228022=EDGE_CURVE('',#180650,#180651,#109362,.T.); #228023=EDGE_CURVE('',#180651,#180648,#71870,.T.); #228024=EDGE_CURVE('',#180652,#180650,#109363,.T.); #228025=EDGE_CURVE('',#180652,#180653,#109364,.T.); #228026=EDGE_CURVE('',#180651,#180653,#109365,.T.); #228027=EDGE_CURVE('',#180654,#180646,#109366,.T.); #228028=EDGE_CURVE('',#180654,#180655,#109367,.T.); #228029=EDGE_CURVE('',#180656,#180655,#71871,.T.); #228030=EDGE_CURVE('',#180656,#180657,#109368,.T.); #228031=EDGE_CURVE('',#180657,#180652,#109369,.T.); #228032=EDGE_CURVE('',#180601,#180410,#109370,.T.); #228033=EDGE_CURVE('',#180409,#180602,#109371,.T.); #228034=EDGE_CURVE('',#180658,#180600,#71872,.T.); #228035=EDGE_CURVE('',#180659,#180658,#109372,.T.); #228036=EDGE_CURVE('',#180655,#180659,#109373,.T.); #228037=EDGE_CURVE('',#180582,#180654,#71873,.T.); #228038=EDGE_CURVE('',#180659,#180660,#71874,.T.); #228039=EDGE_CURVE('',#180660,#180656,#109374,.T.); #228040=EDGE_CURVE('',#180653,#180661,#109375,.T.); #228041=EDGE_CURVE('',#180661,#180660,#109376,.T.); #228042=EDGE_CURVE('',#180649,#180658,#109377,.T.); #228043=EDGE_CURVE('',#180662,#180663,#71875,.T.); #228044=EDGE_CURVE('',#180663,#180664,#109378,.T.); #228045=EDGE_CURVE('',#180664,#180665,#71876,.T.); #228046=EDGE_CURVE('',#180665,#180662,#109379,.T.); #228047=EDGE_CURVE('',#180662,#180666,#109380,.T.); #228048=EDGE_CURVE('',#180667,#180666,#109381,.T.); #228049=EDGE_CURVE('',#180667,#180668,#109382,.T.); #228050=EDGE_CURVE('',#180669,#180668,#71877,.T.); #228051=EDGE_CURVE('',#180669,#180670,#109383,.T.); #228052=EDGE_CURVE('',#180670,#180671,#109384,.T.); #228053=EDGE_CURVE('',#180671,#180663,#109385,.T.); #228054=EDGE_CURVE('',#180672,#180665,#109386,.T.); #228055=EDGE_CURVE('',#180673,#180672,#71878,.T.); #228056=EDGE_CURVE('',#180578,#180673,#109387,.T.); #228057=EDGE_CURVE('',#180618,#180577,#109388,.T.); #228058=EDGE_CURVE('',#180666,#180617,#71879,.T.); #228059=EDGE_CURVE('',#180581,#180619,#109389,.T.); #228060=EDGE_CURVE('',#180674,#180675,#71880,.T.); #228061=EDGE_CURVE('',#180675,#180669,#109390,.T.); #228062=EDGE_CURVE('',#180668,#180674,#109391,.T.); #228063=EDGE_CURVE('',#180664,#180676,#109392,.T.); #228064=EDGE_CURVE('',#180676,#180677,#109393,.T.); #228065=EDGE_CURVE('',#180677,#180675,#109394,.T.); #228066=EDGE_CURVE('',#180674,#180678,#109395,.T.); #228067=EDGE_CURVE('',#180672,#180678,#109396,.T.); #228068=EDGE_CURVE('',#180616,#180667,#71881,.T.); #228069=EDGE_CURVE('',#180425,#180615,#109397,.T.); #228070=EDGE_CURVE('',#180679,#180424,#109398,.T.); #228071=EDGE_CURVE('',#180678,#180679,#71882,.T.); #228072=EDGE_CURVE('',#180614,#180426,#109399,.T.); #228073=EDGE_CURVE('',#180673,#180679,#109400,.T.); #228074=EDGE_CURVE('',#180671,#180676,#109401,.T.); #228075=EDGE_CURVE('',#180580,#180620,#109402,.T.); #228076=EDGE_CURVE('',#180427,#180613,#109403,.T.); #228077=EDGE_CURVE('',#180661,#180657,#109404,.T.); #228078=EDGE_CURVE('',#180422,#180596,#109405,.T.); #228079=EDGE_CURVE('',#180408,#180603,#109406,.T.); #228080=EDGE_CURVE('',#180677,#180670,#109407,.T.); #228081=EDGE_CURVE('',#180428,#180612,#109408,.T.); #228082=EDGE_CURVE('',#180418,#180594,#109409,.T.); #228083=EDGE_CURVE('',#180407,#180604,#109410,.T.); #228084=EDGE_CURVE('',#180423,#180595,#109411,.T.); #228085=EDGE_CURVE('',#180680,#180681,#71883,.T.); #228086=EDGE_CURVE('',#180682,#180680,#109412,.T.); #228087=EDGE_CURVE('',#180683,#180682,#71884,.T.); #228088=EDGE_CURVE('',#180681,#180683,#109413,.T.); #228089=EDGE_CURVE('',#180684,#180685,#71885,.T.); #228090=EDGE_CURVE('',#180686,#180684,#109414,.T.); #228091=EDGE_CURVE('',#180687,#180686,#71886,.T.); #228092=EDGE_CURVE('',#180685,#180687,#109415,.T.); #228093=EDGE_CURVE('',#180688,#180689,#109416,.T.); #228094=EDGE_CURVE('',#180688,#180690,#71887,.T.); #228095=EDGE_CURVE('',#180691,#180690,#109417,.T.); #228096=EDGE_CURVE('',#180689,#180691,#71888,.T.); #228097=EDGE_CURVE('',#180692,#180693,#109418,.T.); #228098=EDGE_CURVE('',#180694,#180692,#71889,.T.); #228099=EDGE_CURVE('',#180695,#180694,#109419,.T.); #228100=EDGE_CURVE('',#180695,#180693,#71890,.T.); #228101=EDGE_CURVE('',#180696,#180697,#109420,.T.); #228102=EDGE_CURVE('',#180696,#180661,#71891,.T.); #228103=EDGE_CURVE('',#180653,#180697,#71892,.T.); #228104=EDGE_CURVE('',#180698,#180657,#71893,.T.); #228105=EDGE_CURVE('',#180698,#180699,#109421,.T.); #228106=EDGE_CURVE('',#180652,#180699,#71894,.T.); #228107=EDGE_CURVE('',#180687,#180695,#109422,.T.); #228108=EDGE_CURVE('',#180683,#180686,#109423,.T.); #228109=EDGE_CURVE('',#180690,#180682,#109424,.T.); #228110=EDGE_CURVE('',#180690,#180695,#109425,.T.); #228111=EDGE_CURVE('',#180688,#180693,#109426,.T.); #228112=EDGE_CURVE('',#180700,#180688,#109427,.T.); #228113=EDGE_CURVE('',#180700,#180701,#109428,.T.); #228114=EDGE_CURVE('',#180693,#180701,#109429,.T.); #228115=EDGE_CURVE('',#180702,#180700,#71895,.T.); #228116=EDGE_CURVE('',#180702,#180703,#109430,.T.); #228117=EDGE_CURVE('',#180701,#180703,#71896,.T.); #228118=EDGE_CURVE('',#180702,#180696,#109431,.T.); #228119=EDGE_CURVE('',#180697,#180703,#109432,.T.); #228120=EDGE_CURVE('',#180681,#180684,#109433,.T.); #228121=EDGE_CURVE('',#180685,#180694,#109434,.T.); #228122=EDGE_CURVE('',#180691,#180680,#109435,.T.); #228123=EDGE_CURVE('',#180691,#180694,#109436,.T.); #228124=EDGE_CURVE('',#180689,#180692,#109437,.T.); #228125=EDGE_CURVE('',#180704,#180705,#109438,.T.); #228126=EDGE_CURVE('',#180704,#180689,#109439,.T.); #228127=EDGE_CURVE('',#180692,#180705,#109440,.T.); #228128=EDGE_CURVE('',#180706,#180707,#109441,.T.); #228129=EDGE_CURVE('',#180706,#180704,#71897,.T.); #228130=EDGE_CURVE('',#180705,#180707,#71898,.T.); #228131=EDGE_CURVE('',#180706,#180698,#109442,.T.); #228132=EDGE_CURVE('',#180699,#180707,#109443,.T.); #228133=EDGE_CURVE('',#180701,#180705,#109444,.T.); #228134=EDGE_CURVE('',#180707,#180703,#109445,.T.); #228135=EDGE_CURVE('',#180697,#180699,#109446,.T.); #228136=EDGE_CURVE('',#180704,#180700,#109447,.T.); #228137=EDGE_CURVE('',#180702,#180706,#109448,.T.); #228138=EDGE_CURVE('',#180698,#180696,#109449,.T.); #228139=EDGE_CURVE('',#180708,#180709,#71899,.T.); #228140=EDGE_CURVE('',#180710,#180708,#109450,.T.); #228141=EDGE_CURVE('',#180711,#180710,#71900,.T.); #228142=EDGE_CURVE('',#180709,#180711,#109451,.T.); #228143=EDGE_CURVE('',#180712,#180713,#71901,.T.); #228144=EDGE_CURVE('',#180714,#180712,#109452,.T.); #228145=EDGE_CURVE('',#180715,#180714,#71902,.T.); #228146=EDGE_CURVE('',#180713,#180715,#109453,.T.); #228147=EDGE_CURVE('',#180716,#180717,#109454,.T.); #228148=EDGE_CURVE('',#180716,#180718,#71903,.T.); #228149=EDGE_CURVE('',#180719,#180718,#109455,.T.); #228150=EDGE_CURVE('',#180717,#180719,#71904,.T.); #228151=EDGE_CURVE('',#180720,#180721,#109456,.T.); #228152=EDGE_CURVE('',#180722,#180720,#71905,.T.); #228153=EDGE_CURVE('',#180723,#180722,#109457,.T.); #228154=EDGE_CURVE('',#180723,#180721,#71906,.T.); #228155=EDGE_CURVE('',#180724,#180670,#71907,.T.); #228156=EDGE_CURVE('',#180724,#180725,#109458,.T.); #228157=EDGE_CURVE('',#180671,#180725,#71908,.T.); #228158=EDGE_CURVE('',#180676,#180726,#71909,.T.); #228159=EDGE_CURVE('',#180727,#180726,#109459,.T.); #228160=EDGE_CURVE('',#180727,#180677,#71910,.T.); #228161=EDGE_CURVE('',#180709,#180712,#109460,.T.); #228162=EDGE_CURVE('',#180713,#180722,#109461,.T.); #228163=EDGE_CURVE('',#180719,#180722,#109462,.T.); #228164=EDGE_CURVE('',#180719,#180708,#109463,.T.); #228165=EDGE_CURVE('',#180717,#180720,#109464,.T.); #228166=EDGE_CURVE('',#180728,#180729,#109465,.T.); #228167=EDGE_CURVE('',#180728,#180717,#109466,.T.); #228168=EDGE_CURVE('',#180720,#180729,#109467,.T.); #228169=EDGE_CURVE('',#180730,#180724,#109468,.T.); #228170=EDGE_CURVE('',#180730,#180731,#109469,.T.); #228171=EDGE_CURVE('',#180725,#180731,#109470,.T.); #228172=EDGE_CURVE('',#180711,#180714,#109471,.T.); #228173=EDGE_CURVE('',#180715,#180723,#109472,.T.); #228174=EDGE_CURVE('',#180718,#180710,#109473,.T.); #228175=EDGE_CURVE('',#180718,#180723,#109474,.T.); #228176=EDGE_CURVE('',#180716,#180721,#109475,.T.); #228177=EDGE_CURVE('',#180732,#180716,#109476,.T.); #228178=EDGE_CURVE('',#180732,#180733,#109477,.T.); #228179=EDGE_CURVE('',#180721,#180733,#109478,.T.); #228180=EDGE_CURVE('',#180734,#180735,#109479,.T.); #228181=EDGE_CURVE('',#180734,#180727,#109480,.T.); #228182=EDGE_CURVE('',#180726,#180735,#109481,.T.); #228183=EDGE_CURVE('',#180733,#180729,#109482,.T.); #228184=EDGE_CURVE('',#180731,#180735,#109483,.T.); #228185=EDGE_CURVE('',#180729,#180731,#71911,.T.); #228186=EDGE_CURVE('',#180733,#180735,#71912,.T.); #228187=EDGE_CURVE('',#180726,#180725,#109484,.T.); #228188=EDGE_CURVE('',#180728,#180732,#109485,.T.); #228189=EDGE_CURVE('',#180724,#180727,#109486,.T.); #228190=EDGE_CURVE('',#180734,#180730,#109487,.T.); #228191=EDGE_CURVE('',#180734,#180732,#71913,.T.); #228192=EDGE_CURVE('',#180730,#180728,#71914,.T.); #228193=EDGE_CURVE('',#180736,#180736,#71915,.T.); #228194=EDGE_CURVE('',#180736,#180737,#109488,.T.); #228195=EDGE_CURVE('',#180737,#180737,#71916,.T.); #228196=EDGE_CURVE('',#180738,#180739,#109489,.T.); #228197=EDGE_CURVE('',#180739,#180740,#109490,.T.); #228198=EDGE_CURVE('',#180740,#180741,#109491,.T.); #228199=EDGE_CURVE('',#180741,#180738,#109492,.T.); #228200=EDGE_CURVE('',#180742,#180740,#109493,.T.); #228201=EDGE_CURVE('',#180739,#180743,#109494,.T.); #228202=EDGE_CURVE('',#180742,#180743,#109495,.T.); #228203=EDGE_CURVE('',#180744,#180738,#109496,.T.); #228204=EDGE_CURVE('',#180741,#180745,#109497,.T.); #228205=EDGE_CURVE('',#180745,#180744,#109498,.T.); #228206=EDGE_CURVE('',#180743,#180744,#109499,.T.); #228207=EDGE_CURVE('',#180745,#180742,#109500,.T.); #228208=EDGE_CURVE('',#180741,#180746,#109501,.T.); #228209=EDGE_CURVE('',#180747,#180746,#109502,.T.); #228210=EDGE_CURVE('',#180745,#180747,#109503,.T.); #228211=EDGE_CURVE('',#180740,#180748,#109504,.T.); #228212=EDGE_CURVE('',#180746,#180748,#109505,.T.); #228213=EDGE_CURVE('',#180749,#180747,#109506,.T.); #228214=EDGE_CURVE('',#180742,#180749,#109507,.T.); #228215=EDGE_CURVE('',#180748,#180749,#109508,.T.); #228216=EDGE_CURVE('',#180750,#180751,#71917,.T.); #228217=EDGE_CURVE('',#180750,#180752,#109509,.T.); #228218=EDGE_CURVE('',#180752,#180753,#109510,.T.); #228219=EDGE_CURVE('',#180751,#180753,#109511,.T.); #228220=EDGE_CURVE('',#180751,#180754,#109512,.T.); #228221=EDGE_CURVE('',#180754,#180755,#71918,.T.); #228222=EDGE_CURVE('',#180755,#180750,#109513,.T.); #228223=EDGE_CURVE('',#180756,#180757,#109514,.T.); #228224=EDGE_CURVE('',#180757,#180758,#109515,.T.); #228225=EDGE_CURVE('',#180759,#180758,#109516,.T.); #228226=EDGE_CURVE('',#180756,#180759,#109517,.T.); #228227=EDGE_CURVE('',#180752,#180756,#109518,.T.); #228228=EDGE_CURVE('',#180753,#180759,#109519,.T.); #228229=EDGE_CURVE('',#180760,#180754,#109520,.T.); #228230=EDGE_CURVE('',#180761,#180760,#109521,.T.); #228231=EDGE_CURVE('',#180761,#180755,#109522,.T.); #228232=EDGE_CURVE('',#180757,#180761,#109523,.T.); #228233=EDGE_CURVE('',#180758,#180760,#109524,.T.); #228234=EDGE_CURVE('',#180762,#180763,#71919,.T.); #228235=EDGE_CURVE('',#180763,#180764,#109525,.T.); #228236=EDGE_CURVE('',#180765,#180764,#109526,.T.); #228237=EDGE_CURVE('',#180762,#180765,#109527,.T.); #228238=EDGE_CURVE('',#180766,#180762,#109528,.T.); #228239=EDGE_CURVE('',#180767,#180766,#71920,.T.); #228240=EDGE_CURVE('',#180763,#180767,#109529,.T.); #228241=EDGE_CURVE('',#180768,#180769,#109530,.T.); #228242=EDGE_CURVE('',#180768,#180770,#109531,.T.); #228243=EDGE_CURVE('',#180770,#180771,#109532,.T.); #228244=EDGE_CURVE('',#180769,#180771,#109533,.T.); #228245=EDGE_CURVE('',#180765,#180768,#109534,.T.); #228246=EDGE_CURVE('',#180764,#180770,#109535,.T.); #228247=EDGE_CURVE('',#180772,#180766,#109536,.T.); #228248=EDGE_CURVE('',#180772,#180773,#109537,.T.); #228249=EDGE_CURVE('',#180773,#180767,#109538,.T.); #228250=EDGE_CURVE('',#180769,#180772,#109539,.T.); #228251=EDGE_CURVE('',#180771,#180773,#109540,.T.); #228252=EDGE_CURVE('',#180774,#180775,#71921,.T.); #228253=EDGE_CURVE('',#180774,#180776,#109541,.T.); #228254=EDGE_CURVE('',#180776,#180777,#109542,.T.); #228255=EDGE_CURVE('',#180775,#180777,#109543,.T.); #228256=EDGE_CURVE('',#180775,#180778,#109544,.T.); #228257=EDGE_CURVE('',#180778,#180779,#71922,.T.); #228258=EDGE_CURVE('',#180779,#180774,#109545,.T.); #228259=EDGE_CURVE('',#180780,#180781,#109546,.T.); #228260=EDGE_CURVE('',#180781,#180782,#109547,.T.); #228261=EDGE_CURVE('',#180783,#180782,#109548,.T.); #228262=EDGE_CURVE('',#180780,#180783,#109549,.T.); #228263=EDGE_CURVE('',#180776,#180780,#109550,.T.); #228264=EDGE_CURVE('',#180777,#180783,#109551,.T.); #228265=EDGE_CURVE('',#180784,#180778,#109552,.T.); #228266=EDGE_CURVE('',#180785,#180784,#109553,.T.); #228267=EDGE_CURVE('',#180785,#180779,#109554,.T.); #228268=EDGE_CURVE('',#180781,#180785,#109555,.T.); #228269=EDGE_CURVE('',#180782,#180784,#109556,.T.); #228270=EDGE_CURVE('',#180786,#180787,#71923,.T.); #228271=EDGE_CURVE('',#180786,#180788,#109557,.T.); #228272=EDGE_CURVE('',#180788,#180789,#109558,.T.); #228273=EDGE_CURVE('',#180787,#180789,#109559,.T.); #228274=EDGE_CURVE('',#180787,#180790,#109560,.T.); #228275=EDGE_CURVE('',#180790,#180791,#71924,.T.); #228276=EDGE_CURVE('',#180791,#180786,#109561,.T.); #228277=EDGE_CURVE('',#180792,#180793,#109562,.T.); #228278=EDGE_CURVE('',#180793,#180794,#109563,.T.); #228279=EDGE_CURVE('',#180795,#180794,#109564,.T.); #228280=EDGE_CURVE('',#180792,#180795,#109565,.T.); #228281=EDGE_CURVE('',#180788,#180792,#109566,.T.); #228282=EDGE_CURVE('',#180789,#180795,#109567,.T.); #228283=EDGE_CURVE('',#180796,#180790,#109568,.T.); #228284=EDGE_CURVE('',#180797,#180796,#109569,.T.); #228285=EDGE_CURVE('',#180797,#180791,#109570,.T.); #228286=EDGE_CURVE('',#180793,#180797,#109571,.T.); #228287=EDGE_CURVE('',#180794,#180796,#109572,.T.); #228288=EDGE_CURVE('',#180798,#180799,#71925,.T.); #228289=EDGE_CURVE('',#180798,#180800,#109573,.T.); #228290=EDGE_CURVE('',#180800,#180801,#109574,.T.); #228291=EDGE_CURVE('',#180799,#180801,#109575,.T.); #228292=EDGE_CURVE('',#180799,#180802,#109576,.T.); #228293=EDGE_CURVE('',#180802,#180803,#71926,.T.); #228294=EDGE_CURVE('',#180803,#180798,#109577,.T.); #228295=EDGE_CURVE('',#180804,#180805,#109578,.T.); #228296=EDGE_CURVE('',#180805,#180806,#109579,.T.); #228297=EDGE_CURVE('',#180807,#180806,#109580,.T.); #228298=EDGE_CURVE('',#180804,#180807,#109581,.T.); #228299=EDGE_CURVE('',#180800,#180804,#109582,.T.); #228300=EDGE_CURVE('',#180801,#180807,#109583,.T.); #228301=EDGE_CURVE('',#180808,#180802,#109584,.T.); #228302=EDGE_CURVE('',#180809,#180808,#109585,.T.); #228303=EDGE_CURVE('',#180809,#180803,#109586,.T.); #228304=EDGE_CURVE('',#180805,#180809,#109587,.T.); #228305=EDGE_CURVE('',#180806,#180808,#109588,.T.); #228306=EDGE_CURVE('',#180810,#180811,#71927,.T.); #228307=EDGE_CURVE('',#180810,#180812,#109589,.T.); #228308=EDGE_CURVE('',#180812,#180813,#109590,.T.); #228309=EDGE_CURVE('',#180811,#180813,#109591,.T.); #228310=EDGE_CURVE('',#180811,#180814,#109592,.T.); #228311=EDGE_CURVE('',#180814,#180815,#71928,.T.); #228312=EDGE_CURVE('',#180815,#180810,#109593,.T.); #228313=EDGE_CURVE('',#180816,#180817,#109594,.T.); #228314=EDGE_CURVE('',#180817,#180818,#109595,.T.); #228315=EDGE_CURVE('',#180819,#180818,#109596,.T.); #228316=EDGE_CURVE('',#180816,#180819,#109597,.T.); #228317=EDGE_CURVE('',#180812,#180816,#109598,.T.); #228318=EDGE_CURVE('',#180813,#180819,#109599,.T.); #228319=EDGE_CURVE('',#180820,#180814,#109600,.T.); #228320=EDGE_CURVE('',#180821,#180820,#109601,.T.); #228321=EDGE_CURVE('',#180821,#180815,#109602,.T.); #228322=EDGE_CURVE('',#180817,#180821,#109603,.T.); #228323=EDGE_CURVE('',#180818,#180820,#109604,.T.); #228324=EDGE_CURVE('',#180822,#180823,#71929,.T.); #228325=EDGE_CURVE('',#180822,#180824,#109605,.T.); #228326=EDGE_CURVE('',#180824,#180825,#109606,.T.); #228327=EDGE_CURVE('',#180823,#180825,#109607,.T.); #228328=EDGE_CURVE('',#180823,#180826,#109608,.T.); #228329=EDGE_CURVE('',#180826,#180827,#71930,.T.); #228330=EDGE_CURVE('',#180827,#180822,#109609,.T.); #228331=EDGE_CURVE('',#180828,#180829,#109610,.T.); #228332=EDGE_CURVE('',#180829,#180830,#109611,.T.); #228333=EDGE_CURVE('',#180831,#180830,#109612,.T.); #228334=EDGE_CURVE('',#180828,#180831,#109613,.T.); #228335=EDGE_CURVE('',#180824,#180828,#109614,.T.); #228336=EDGE_CURVE('',#180825,#180831,#109615,.T.); #228337=EDGE_CURVE('',#180832,#180826,#109616,.T.); #228338=EDGE_CURVE('',#180833,#180832,#109617,.T.); #228339=EDGE_CURVE('',#180833,#180827,#109618,.T.); #228340=EDGE_CURVE('',#180829,#180833,#109619,.T.); #228341=EDGE_CURVE('',#180830,#180832,#109620,.T.); #228342=EDGE_CURVE('',#180834,#180835,#71931,.T.); #228343=EDGE_CURVE('',#180834,#180836,#109621,.T.); #228344=EDGE_CURVE('',#180836,#180837,#109622,.T.); #228345=EDGE_CURVE('',#180835,#180837,#109623,.T.); #228346=EDGE_CURVE('',#180835,#180838,#109624,.T.); #228347=EDGE_CURVE('',#180838,#180839,#71932,.T.); #228348=EDGE_CURVE('',#180839,#180834,#109625,.T.); #228349=EDGE_CURVE('',#180840,#180841,#109626,.T.); #228350=EDGE_CURVE('',#180841,#180842,#109627,.T.); #228351=EDGE_CURVE('',#180843,#180842,#109628,.T.); #228352=EDGE_CURVE('',#180840,#180843,#109629,.T.); #228353=EDGE_CURVE('',#180836,#180840,#109630,.T.); #228354=EDGE_CURVE('',#180837,#180843,#109631,.T.); #228355=EDGE_CURVE('',#180844,#180838,#109632,.T.); #228356=EDGE_CURVE('',#180845,#180844,#109633,.T.); #228357=EDGE_CURVE('',#180845,#180839,#109634,.T.); #228358=EDGE_CURVE('',#180841,#180845,#109635,.T.); #228359=EDGE_CURVE('',#180842,#180844,#109636,.T.); #228360=EDGE_CURVE('',#180846,#180847,#71933,.T.); #228361=EDGE_CURVE('',#180846,#180848,#109637,.T.); #228362=EDGE_CURVE('',#180848,#180849,#109638,.T.); #228363=EDGE_CURVE('',#180847,#180849,#109639,.T.); #228364=EDGE_CURVE('',#180847,#180850,#109640,.T.); #228365=EDGE_CURVE('',#180850,#180851,#71934,.T.); #228366=EDGE_CURVE('',#180851,#180846,#109641,.T.); #228367=EDGE_CURVE('',#180852,#180853,#109642,.T.); #228368=EDGE_CURVE('',#180853,#180854,#109643,.T.); #228369=EDGE_CURVE('',#180855,#180854,#109644,.T.); #228370=EDGE_CURVE('',#180852,#180855,#109645,.T.); #228371=EDGE_CURVE('',#180848,#180852,#109646,.T.); #228372=EDGE_CURVE('',#180849,#180855,#109647,.T.); #228373=EDGE_CURVE('',#180856,#180850,#109648,.T.); #228374=EDGE_CURVE('',#180857,#180856,#109649,.T.); #228375=EDGE_CURVE('',#180857,#180851,#109650,.T.); #228376=EDGE_CURVE('',#180853,#180857,#109651,.T.); #228377=EDGE_CURVE('',#180854,#180856,#109652,.T.); #228378=EDGE_CURVE('',#180858,#180859,#71935,.T.); #228379=EDGE_CURVE('',#180858,#180860,#109653,.T.); #228380=EDGE_CURVE('',#180860,#180861,#109654,.T.); #228381=EDGE_CURVE('',#180859,#180861,#109655,.T.); #228382=EDGE_CURVE('',#180859,#180862,#109656,.T.); #228383=EDGE_CURVE('',#180862,#180863,#71936,.T.); #228384=EDGE_CURVE('',#180863,#180858,#109657,.T.); #228385=EDGE_CURVE('',#180864,#180865,#109658,.T.); #228386=EDGE_CURVE('',#180865,#180866,#109659,.T.); #228387=EDGE_CURVE('',#180867,#180866,#109660,.T.); #228388=EDGE_CURVE('',#180864,#180867,#109661,.T.); #228389=EDGE_CURVE('',#180860,#180864,#109662,.T.); #228390=EDGE_CURVE('',#180861,#180867,#109663,.T.); #228391=EDGE_CURVE('',#180868,#180862,#109664,.T.); #228392=EDGE_CURVE('',#180869,#180868,#109665,.T.); #228393=EDGE_CURVE('',#180869,#180863,#109666,.T.); #228394=EDGE_CURVE('',#180865,#180869,#109667,.T.); #228395=EDGE_CURVE('',#180866,#180868,#109668,.T.); #228396=EDGE_CURVE('',#180870,#180871,#71937,.T.); #228397=EDGE_CURVE('',#180870,#180872,#109669,.T.); #228398=EDGE_CURVE('',#180872,#180873,#109670,.T.); #228399=EDGE_CURVE('',#180871,#180873,#109671,.T.); #228400=EDGE_CURVE('',#180871,#180874,#109672,.T.); #228401=EDGE_CURVE('',#180874,#180875,#71938,.T.); #228402=EDGE_CURVE('',#180875,#180870,#109673,.T.); #228403=EDGE_CURVE('',#180876,#180877,#109674,.T.); #228404=EDGE_CURVE('',#180877,#180878,#109675,.T.); #228405=EDGE_CURVE('',#180879,#180878,#109676,.T.); #228406=EDGE_CURVE('',#180876,#180879,#109677,.T.); #228407=EDGE_CURVE('',#180872,#180876,#109678,.T.); #228408=EDGE_CURVE('',#180873,#180879,#109679,.T.); #228409=EDGE_CURVE('',#180880,#180874,#109680,.T.); #228410=EDGE_CURVE('',#180881,#180880,#109681,.T.); #228411=EDGE_CURVE('',#180881,#180875,#109682,.T.); #228412=EDGE_CURVE('',#180877,#180881,#109683,.T.); #228413=EDGE_CURVE('',#180878,#180880,#109684,.T.); #228414=EDGE_CURVE('',#180882,#180883,#71939,.T.); #228415=EDGE_CURVE('',#180882,#180884,#109685,.T.); #228416=EDGE_CURVE('',#180884,#180885,#109686,.T.); #228417=EDGE_CURVE('',#180883,#180885,#109687,.T.); #228418=EDGE_CURVE('',#180883,#180886,#109688,.T.); #228419=EDGE_CURVE('',#180886,#180887,#71940,.T.); #228420=EDGE_CURVE('',#180887,#180882,#109689,.T.); #228421=EDGE_CURVE('',#180888,#180889,#109690,.T.); #228422=EDGE_CURVE('',#180889,#180890,#109691,.T.); #228423=EDGE_CURVE('',#180891,#180890,#109692,.T.); #228424=EDGE_CURVE('',#180888,#180891,#109693,.T.); #228425=EDGE_CURVE('',#180884,#180888,#109694,.T.); #228426=EDGE_CURVE('',#180885,#180891,#109695,.T.); #228427=EDGE_CURVE('',#180892,#180886,#109696,.T.); #228428=EDGE_CURVE('',#180893,#180892,#109697,.T.); #228429=EDGE_CURVE('',#180893,#180887,#109698,.T.); #228430=EDGE_CURVE('',#180889,#180893,#109699,.T.); #228431=EDGE_CURVE('',#180890,#180892,#109700,.T.); #228432=EDGE_CURVE('',#180894,#180895,#71941,.T.); #228433=EDGE_CURVE('',#180894,#180896,#109701,.T.); #228434=EDGE_CURVE('',#180896,#180897,#109702,.T.); #228435=EDGE_CURVE('',#180895,#180897,#109703,.T.); #228436=EDGE_CURVE('',#180895,#180898,#109704,.T.); #228437=EDGE_CURVE('',#180898,#180899,#71942,.T.); #228438=EDGE_CURVE('',#180899,#180894,#109705,.T.); #228439=EDGE_CURVE('',#180900,#180901,#109706,.T.); #228440=EDGE_CURVE('',#180901,#180902,#109707,.T.); #228441=EDGE_CURVE('',#180903,#180902,#109708,.T.); #228442=EDGE_CURVE('',#180900,#180903,#109709,.T.); #228443=EDGE_CURVE('',#180896,#180900,#109710,.T.); #228444=EDGE_CURVE('',#180897,#180903,#109711,.T.); #228445=EDGE_CURVE('',#180904,#180898,#109712,.T.); #228446=EDGE_CURVE('',#180905,#180904,#109713,.T.); #228447=EDGE_CURVE('',#180905,#180899,#109714,.T.); #228448=EDGE_CURVE('',#180901,#180905,#109715,.T.); #228449=EDGE_CURVE('',#180902,#180904,#109716,.T.); #228450=EDGE_CURVE('',#180906,#180907,#71943,.T.); #228451=EDGE_CURVE('',#180907,#180908,#109717,.T.); #228452=EDGE_CURVE('',#180909,#180908,#109718,.T.); #228453=EDGE_CURVE('',#180906,#180909,#109719,.T.); #228454=EDGE_CURVE('',#180910,#180906,#109720,.T.); #228455=EDGE_CURVE('',#180911,#180910,#71944,.T.); #228456=EDGE_CURVE('',#180907,#180911,#109721,.T.); #228457=EDGE_CURVE('',#180912,#180913,#109722,.T.); #228458=EDGE_CURVE('',#180912,#180914,#109723,.T.); #228459=EDGE_CURVE('',#180914,#180915,#109724,.T.); #228460=EDGE_CURVE('',#180913,#180915,#109725,.T.); #228461=EDGE_CURVE('',#180909,#180912,#109726,.T.); #228462=EDGE_CURVE('',#180908,#180914,#109727,.T.); #228463=EDGE_CURVE('',#180916,#180910,#109728,.T.); #228464=EDGE_CURVE('',#180916,#180917,#109729,.T.); #228465=EDGE_CURVE('',#180917,#180911,#109730,.T.); #228466=EDGE_CURVE('',#180913,#180916,#109731,.T.); #228467=EDGE_CURVE('',#180915,#180917,#109732,.T.); #228468=EDGE_CURVE('',#180918,#180919,#71945,.T.); #228469=EDGE_CURVE('',#180919,#180920,#109733,.T.); #228470=EDGE_CURVE('',#180921,#180920,#109734,.T.); #228471=EDGE_CURVE('',#180918,#180921,#109735,.T.); #228472=EDGE_CURVE('',#180922,#180918,#109736,.T.); #228473=EDGE_CURVE('',#180923,#180922,#71946,.T.); #228474=EDGE_CURVE('',#180919,#180923,#109737,.T.); #228475=EDGE_CURVE('',#180924,#180925,#109738,.T.); #228476=EDGE_CURVE('',#180924,#180926,#109739,.T.); #228477=EDGE_CURVE('',#180926,#180927,#109740,.T.); #228478=EDGE_CURVE('',#180925,#180927,#109741,.T.); #228479=EDGE_CURVE('',#180921,#180924,#109742,.T.); #228480=EDGE_CURVE('',#180920,#180926,#109743,.T.); #228481=EDGE_CURVE('',#180928,#180922,#109744,.T.); #228482=EDGE_CURVE('',#180928,#180929,#109745,.T.); #228483=EDGE_CURVE('',#180929,#180923,#109746,.T.); #228484=EDGE_CURVE('',#180925,#180928,#109747,.T.); #228485=EDGE_CURVE('',#180927,#180929,#109748,.T.); #228486=EDGE_CURVE('',#180930,#180931,#71947,.T.); #228487=EDGE_CURVE('',#180931,#180932,#109749,.T.); #228488=EDGE_CURVE('',#180933,#180932,#109750,.T.); #228489=EDGE_CURVE('',#180930,#180933,#109751,.T.); #228490=EDGE_CURVE('',#180934,#180930,#109752,.T.); #228491=EDGE_CURVE('',#180935,#180934,#71948,.T.); #228492=EDGE_CURVE('',#180931,#180935,#109753,.T.); #228493=EDGE_CURVE('',#180936,#180937,#109754,.T.); #228494=EDGE_CURVE('',#180936,#180938,#109755,.T.); #228495=EDGE_CURVE('',#180938,#180939,#109756,.T.); #228496=EDGE_CURVE('',#180937,#180939,#109757,.T.); #228497=EDGE_CURVE('',#180933,#180936,#109758,.T.); #228498=EDGE_CURVE('',#180932,#180938,#109759,.T.); #228499=EDGE_CURVE('',#180940,#180934,#109760,.T.); #228500=EDGE_CURVE('',#180940,#180941,#109761,.T.); #228501=EDGE_CURVE('',#180941,#180935,#109762,.T.); #228502=EDGE_CURVE('',#180937,#180940,#109763,.T.); #228503=EDGE_CURVE('',#180939,#180941,#109764,.T.); #228504=EDGE_CURVE('',#180942,#180943,#71949,.T.); #228505=EDGE_CURVE('',#180943,#180944,#109765,.T.); #228506=EDGE_CURVE('',#180945,#180944,#109766,.T.); #228507=EDGE_CURVE('',#180942,#180945,#109767,.T.); #228508=EDGE_CURVE('',#180946,#180942,#109768,.T.); #228509=EDGE_CURVE('',#180947,#180946,#71950,.T.); #228510=EDGE_CURVE('',#180943,#180947,#109769,.T.); #228511=EDGE_CURVE('',#180948,#180949,#109770,.T.); #228512=EDGE_CURVE('',#180948,#180950,#109771,.T.); #228513=EDGE_CURVE('',#180950,#180951,#109772,.T.); #228514=EDGE_CURVE('',#180949,#180951,#109773,.T.); #228515=EDGE_CURVE('',#180945,#180948,#109774,.T.); #228516=EDGE_CURVE('',#180944,#180950,#109775,.T.); #228517=EDGE_CURVE('',#180952,#180946,#109776,.T.); #228518=EDGE_CURVE('',#180952,#180953,#109777,.T.); #228519=EDGE_CURVE('',#180953,#180947,#109778,.T.); #228520=EDGE_CURVE('',#180949,#180952,#109779,.T.); #228521=EDGE_CURVE('',#180951,#180953,#109780,.T.); #228522=EDGE_CURVE('',#180954,#180955,#71951,.T.); #228523=EDGE_CURVE('',#180955,#180956,#109781,.T.); #228524=EDGE_CURVE('',#180957,#180956,#109782,.T.); #228525=EDGE_CURVE('',#180954,#180957,#109783,.T.); #228526=EDGE_CURVE('',#180958,#180954,#109784,.T.); #228527=EDGE_CURVE('',#180959,#180958,#71952,.T.); #228528=EDGE_CURVE('',#180955,#180959,#109785,.T.); #228529=EDGE_CURVE('',#180960,#180961,#109786,.T.); #228530=EDGE_CURVE('',#180960,#180962,#109787,.T.); #228531=EDGE_CURVE('',#180962,#180963,#109788,.T.); #228532=EDGE_CURVE('',#180961,#180963,#109789,.T.); #228533=EDGE_CURVE('',#180957,#180960,#109790,.T.); #228534=EDGE_CURVE('',#180956,#180962,#109791,.T.); #228535=EDGE_CURVE('',#180964,#180958,#109792,.T.); #228536=EDGE_CURVE('',#180964,#180965,#109793,.T.); #228537=EDGE_CURVE('',#180965,#180959,#109794,.T.); #228538=EDGE_CURVE('',#180961,#180964,#109795,.T.); #228539=EDGE_CURVE('',#180963,#180965,#109796,.T.); #228540=EDGE_CURVE('',#180966,#180967,#71953,.T.); #228541=EDGE_CURVE('',#180967,#180968,#109797,.T.); #228542=EDGE_CURVE('',#180969,#180968,#109798,.T.); #228543=EDGE_CURVE('',#180966,#180969,#109799,.T.); #228544=EDGE_CURVE('',#180970,#180966,#109800,.T.); #228545=EDGE_CURVE('',#180971,#180970,#71954,.T.); #228546=EDGE_CURVE('',#180967,#180971,#109801,.T.); #228547=EDGE_CURVE('',#180972,#180973,#109802,.T.); #228548=EDGE_CURVE('',#180972,#180974,#109803,.T.); #228549=EDGE_CURVE('',#180974,#180975,#109804,.T.); #228550=EDGE_CURVE('',#180973,#180975,#109805,.T.); #228551=EDGE_CURVE('',#180969,#180972,#109806,.T.); #228552=EDGE_CURVE('',#180968,#180974,#109807,.T.); #228553=EDGE_CURVE('',#180976,#180970,#109808,.T.); #228554=EDGE_CURVE('',#180976,#180977,#109809,.T.); #228555=EDGE_CURVE('',#180977,#180971,#109810,.T.); #228556=EDGE_CURVE('',#180973,#180976,#109811,.T.); #228557=EDGE_CURVE('',#180975,#180977,#109812,.T.); #228558=EDGE_CURVE('',#180978,#180979,#71955,.T.); #228559=EDGE_CURVE('',#180979,#180980,#109813,.T.); #228560=EDGE_CURVE('',#180981,#180980,#109814,.T.); #228561=EDGE_CURVE('',#180978,#180981,#109815,.T.); #228562=EDGE_CURVE('',#180982,#180978,#109816,.T.); #228563=EDGE_CURVE('',#180983,#180982,#71956,.T.); #228564=EDGE_CURVE('',#180979,#180983,#109817,.T.); #228565=EDGE_CURVE('',#180984,#180985,#109818,.T.); #228566=EDGE_CURVE('',#180984,#180986,#109819,.T.); #228567=EDGE_CURVE('',#180986,#180987,#109820,.T.); #228568=EDGE_CURVE('',#180985,#180987,#109821,.T.); #228569=EDGE_CURVE('',#180981,#180984,#109822,.T.); #228570=EDGE_CURVE('',#180980,#180986,#109823,.T.); #228571=EDGE_CURVE('',#180988,#180982,#109824,.T.); #228572=EDGE_CURVE('',#180988,#180989,#109825,.T.); #228573=EDGE_CURVE('',#180989,#180983,#109826,.T.); #228574=EDGE_CURVE('',#180985,#180988,#109827,.T.); #228575=EDGE_CURVE('',#180987,#180989,#109828,.T.); #228576=EDGE_CURVE('',#180990,#180991,#71957,.T.); #228577=EDGE_CURVE('',#180991,#180992,#109829,.T.); #228578=EDGE_CURVE('',#180993,#180992,#109830,.T.); #228579=EDGE_CURVE('',#180990,#180993,#109831,.T.); #228580=EDGE_CURVE('',#180994,#180990,#109832,.T.); #228581=EDGE_CURVE('',#180995,#180994,#71958,.T.); #228582=EDGE_CURVE('',#180991,#180995,#109833,.T.); #228583=EDGE_CURVE('',#180996,#180997,#109834,.T.); #228584=EDGE_CURVE('',#180996,#180998,#109835,.T.); #228585=EDGE_CURVE('',#180998,#180999,#109836,.T.); #228586=EDGE_CURVE('',#180997,#180999,#109837,.T.); #228587=EDGE_CURVE('',#180993,#180996,#109838,.T.); #228588=EDGE_CURVE('',#180992,#180998,#109839,.T.); #228589=EDGE_CURVE('',#181000,#180994,#109840,.T.); #228590=EDGE_CURVE('',#181000,#181001,#109841,.T.); #228591=EDGE_CURVE('',#181001,#180995,#109842,.T.); #228592=EDGE_CURVE('',#180997,#181000,#109843,.T.); #228593=EDGE_CURVE('',#180999,#181001,#109844,.T.); #228594=EDGE_CURVE('',#181002,#181003,#71959,.T.); #228595=EDGE_CURVE('',#181003,#181004,#109845,.T.); #228596=EDGE_CURVE('',#181005,#181004,#109846,.T.); #228597=EDGE_CURVE('',#181002,#181005,#109847,.T.); #228598=EDGE_CURVE('',#181006,#181002,#109848,.T.); #228599=EDGE_CURVE('',#181007,#181006,#71960,.T.); #228600=EDGE_CURVE('',#181003,#181007,#109849,.T.); #228601=EDGE_CURVE('',#181008,#181009,#109850,.T.); #228602=EDGE_CURVE('',#181008,#181010,#109851,.T.); #228603=EDGE_CURVE('',#181010,#181011,#109852,.T.); #228604=EDGE_CURVE('',#181009,#181011,#109853,.T.); #228605=EDGE_CURVE('',#181005,#181008,#109854,.T.); #228606=EDGE_CURVE('',#181004,#181010,#109855,.T.); #228607=EDGE_CURVE('',#181012,#181006,#109856,.T.); #228608=EDGE_CURVE('',#181012,#181013,#109857,.T.); #228609=EDGE_CURVE('',#181013,#181007,#109858,.T.); #228610=EDGE_CURVE('',#181009,#181012,#109859,.T.); #228611=EDGE_CURVE('',#181011,#181013,#109860,.T.); #228612=EDGE_CURVE('',#181014,#181015,#71961,.T.); #228613=EDGE_CURVE('',#181015,#181016,#109861,.T.); #228614=EDGE_CURVE('',#181017,#181016,#109862,.T.); #228615=EDGE_CURVE('',#181014,#181017,#109863,.T.); #228616=EDGE_CURVE('',#181018,#181014,#109864,.T.); #228617=EDGE_CURVE('',#181019,#181018,#71962,.T.); #228618=EDGE_CURVE('',#181015,#181019,#109865,.T.); #228619=EDGE_CURVE('',#181020,#181021,#109866,.T.); #228620=EDGE_CURVE('',#181020,#181022,#109867,.T.); #228621=EDGE_CURVE('',#181022,#181023,#109868,.T.); #228622=EDGE_CURVE('',#181021,#181023,#109869,.T.); #228623=EDGE_CURVE('',#181017,#181020,#109870,.T.); #228624=EDGE_CURVE('',#181016,#181022,#109871,.T.); #228625=EDGE_CURVE('',#181024,#181018,#109872,.T.); #228626=EDGE_CURVE('',#181024,#181025,#109873,.T.); #228627=EDGE_CURVE('',#181025,#181019,#109874,.T.); #228628=EDGE_CURVE('',#181021,#181024,#109875,.T.); #228629=EDGE_CURVE('',#181023,#181025,#109876,.T.); #228630=EDGE_CURVE('',#181026,#181027,#71963,.T.); #228631=EDGE_CURVE('',#181027,#181028,#109877,.T.); #228632=EDGE_CURVE('',#181029,#181028,#109878,.T.); #228633=EDGE_CURVE('',#181026,#181029,#109879,.T.); #228634=EDGE_CURVE('',#181030,#181026,#109880,.T.); #228635=EDGE_CURVE('',#181031,#181030,#71964,.T.); #228636=EDGE_CURVE('',#181027,#181031,#109881,.T.); #228637=EDGE_CURVE('',#181032,#181033,#109882,.T.); #228638=EDGE_CURVE('',#181032,#181034,#109883,.T.); #228639=EDGE_CURVE('',#181034,#181035,#109884,.T.); #228640=EDGE_CURVE('',#181033,#181035,#109885,.T.); #228641=EDGE_CURVE('',#181029,#181032,#109886,.T.); #228642=EDGE_CURVE('',#181028,#181034,#109887,.T.); #228643=EDGE_CURVE('',#181036,#181030,#109888,.T.); #228644=EDGE_CURVE('',#181036,#181037,#109889,.T.); #228645=EDGE_CURVE('',#181037,#181031,#109890,.T.); #228646=EDGE_CURVE('',#181033,#181036,#109891,.T.); #228647=EDGE_CURVE('',#181035,#181037,#109892,.T.); #228648=EDGE_CURVE('',#181038,#181039,#71965,.T.); #228649=EDGE_CURVE('',#181040,#181038,#109893,.T.); #228650=EDGE_CURVE('',#181040,#181041,#109894,.T.); #228651=EDGE_CURVE('',#181039,#181041,#109895,.T.); #228652=EDGE_CURVE('',#181042,#181043,#71966,.T.); #228653=EDGE_CURVE('',#181043,#181038,#109896,.T.); #228654=EDGE_CURVE('',#181039,#181042,#109897,.T.); #228655=EDGE_CURVE('',#181044,#181042,#109898,.T.); #228656=EDGE_CURVE('',#181045,#181044,#109899,.T.); #228657=EDGE_CURVE('',#181043,#181045,#109900,.T.); #228658=EDGE_CURVE('',#181046,#181045,#109901,.T.); #228659=EDGE_CURVE('',#181047,#181044,#109902,.T.); #228660=EDGE_CURVE('',#181046,#181047,#109903,.T.); #228661=EDGE_CURVE('',#181048,#181046,#109904,.T.); #228662=EDGE_CURVE('',#181049,#181047,#109905,.T.); #228663=EDGE_CURVE('',#181048,#181049,#109906,.T.); #228664=EDGE_CURVE('',#181040,#181048,#109907,.T.); #228665=EDGE_CURVE('',#181041,#181049,#109908,.T.); #228666=EDGE_CURVE('',#181050,#181051,#71967,.T.); #228667=EDGE_CURVE('',#181051,#181052,#109909,.T.); #228668=EDGE_CURVE('',#181053,#181052,#109910,.T.); #228669=EDGE_CURVE('',#181053,#181050,#109911,.T.); #228670=EDGE_CURVE('',#181054,#181055,#71968,.T.); #228671=EDGE_CURVE('',#181051,#181054,#109912,.T.); #228672=EDGE_CURVE('',#181055,#181050,#109913,.T.); #228673=EDGE_CURVE('',#181055,#181056,#109914,.T.); #228674=EDGE_CURVE('',#181056,#181057,#109915,.T.); #228675=EDGE_CURVE('',#181057,#181054,#109916,.T.); #228676=EDGE_CURVE('',#181058,#181056,#109917,.T.); #228677=EDGE_CURVE('',#181058,#181059,#109918,.T.); #228678=EDGE_CURVE('',#181059,#181057,#109919,.T.); #228679=EDGE_CURVE('',#181060,#181058,#109920,.T.); #228680=EDGE_CURVE('',#181060,#181061,#109921,.T.); #228681=EDGE_CURVE('',#181061,#181059,#109922,.T.); #228682=EDGE_CURVE('',#181053,#181060,#109923,.T.); #228683=EDGE_CURVE('',#181052,#181061,#109924,.T.); #228684=EDGE_CURVE('',#181062,#181063,#71969,.T.); #228685=EDGE_CURVE('',#181064,#181062,#109925,.T.); #228686=EDGE_CURVE('',#181064,#181065,#109926,.T.); #228687=EDGE_CURVE('',#181063,#181065,#109927,.T.); #228688=EDGE_CURVE('',#181066,#181067,#71970,.T.); #228689=EDGE_CURVE('',#181067,#181062,#109928,.T.); #228690=EDGE_CURVE('',#181063,#181066,#109929,.T.); #228691=EDGE_CURVE('',#181068,#181066,#109930,.T.); #228692=EDGE_CURVE('',#181069,#181068,#109931,.T.); #228693=EDGE_CURVE('',#181067,#181069,#109932,.T.); #228694=EDGE_CURVE('',#181070,#181069,#109933,.T.); #228695=EDGE_CURVE('',#181071,#181068,#109934,.T.); #228696=EDGE_CURVE('',#181070,#181071,#109935,.T.); #228697=EDGE_CURVE('',#181072,#181070,#109936,.T.); #228698=EDGE_CURVE('',#181073,#181071,#109937,.T.); #228699=EDGE_CURVE('',#181072,#181073,#109938,.T.); #228700=EDGE_CURVE('',#181064,#181072,#109939,.T.); #228701=EDGE_CURVE('',#181065,#181073,#109940,.T.); #228702=EDGE_CURVE('',#181074,#181075,#71971,.T.); #228703=EDGE_CURVE('',#181076,#181074,#109941,.T.); #228704=EDGE_CURVE('',#181076,#181077,#109942,.T.); #228705=EDGE_CURVE('',#181075,#181077,#109943,.T.); #228706=EDGE_CURVE('',#181078,#181079,#71972,.T.); #228707=EDGE_CURVE('',#181079,#181074,#109944,.T.); #228708=EDGE_CURVE('',#181075,#181078,#109945,.T.); #228709=EDGE_CURVE('',#181080,#181078,#109946,.T.); #228710=EDGE_CURVE('',#181081,#181080,#109947,.T.); #228711=EDGE_CURVE('',#181079,#181081,#109948,.T.); #228712=EDGE_CURVE('',#181082,#181081,#109949,.T.); #228713=EDGE_CURVE('',#181083,#181080,#109950,.T.); #228714=EDGE_CURVE('',#181082,#181083,#109951,.T.); #228715=EDGE_CURVE('',#181084,#181082,#109952,.T.); #228716=EDGE_CURVE('',#181085,#181083,#109953,.T.); #228717=EDGE_CURVE('',#181084,#181085,#109954,.T.); #228718=EDGE_CURVE('',#181076,#181084,#109955,.T.); #228719=EDGE_CURVE('',#181077,#181085,#109956,.T.); #228720=EDGE_CURVE('',#181086,#181087,#71973,.T.); #228721=EDGE_CURVE('',#181088,#181086,#109957,.T.); #228722=EDGE_CURVE('',#181088,#181089,#109958,.T.); #228723=EDGE_CURVE('',#181087,#181089,#109959,.T.); #228724=EDGE_CURVE('',#181090,#181091,#71974,.T.); #228725=EDGE_CURVE('',#181091,#181086,#109960,.T.); #228726=EDGE_CURVE('',#181087,#181090,#109961,.T.); #228727=EDGE_CURVE('',#181092,#181090,#109962,.T.); #228728=EDGE_CURVE('',#181093,#181092,#109963,.T.); #228729=EDGE_CURVE('',#181091,#181093,#109964,.T.); #228730=EDGE_CURVE('',#181094,#181093,#109965,.T.); #228731=EDGE_CURVE('',#181095,#181092,#109966,.T.); #228732=EDGE_CURVE('',#181094,#181095,#109967,.T.); #228733=EDGE_CURVE('',#181096,#181094,#109968,.T.); #228734=EDGE_CURVE('',#181097,#181095,#109969,.T.); #228735=EDGE_CURVE('',#181096,#181097,#109970,.T.); #228736=EDGE_CURVE('',#181088,#181096,#109971,.T.); #228737=EDGE_CURVE('',#181089,#181097,#109972,.T.); #228738=EDGE_CURVE('',#181098,#181099,#71975,.T.); #228739=EDGE_CURVE('',#181100,#181098,#109973,.T.); #228740=EDGE_CURVE('',#181100,#181101,#109974,.T.); #228741=EDGE_CURVE('',#181099,#181101,#109975,.T.); #228742=EDGE_CURVE('',#181102,#181103,#71976,.T.); #228743=EDGE_CURVE('',#181103,#181098,#109976,.T.); #228744=EDGE_CURVE('',#181099,#181102,#109977,.T.); #228745=EDGE_CURVE('',#181104,#181102,#109978,.T.); #228746=EDGE_CURVE('',#181105,#181104,#109979,.T.); #228747=EDGE_CURVE('',#181103,#181105,#109980,.T.); #228748=EDGE_CURVE('',#181106,#181105,#109981,.T.); #228749=EDGE_CURVE('',#181107,#181104,#109982,.T.); #228750=EDGE_CURVE('',#181106,#181107,#109983,.T.); #228751=EDGE_CURVE('',#181108,#181106,#109984,.T.); #228752=EDGE_CURVE('',#181109,#181107,#109985,.T.); #228753=EDGE_CURVE('',#181108,#181109,#109986,.T.); #228754=EDGE_CURVE('',#181100,#181108,#109987,.T.); #228755=EDGE_CURVE('',#181101,#181109,#109988,.T.); #228756=EDGE_CURVE('',#181110,#181111,#71977,.T.); #228757=EDGE_CURVE('',#181112,#181110,#109989,.T.); #228758=EDGE_CURVE('',#181112,#181113,#109990,.T.); #228759=EDGE_CURVE('',#181111,#181113,#109991,.T.); #228760=EDGE_CURVE('',#181114,#181115,#71978,.T.); #228761=EDGE_CURVE('',#181115,#181110,#109992,.T.); #228762=EDGE_CURVE('',#181111,#181114,#109993,.T.); #228763=EDGE_CURVE('',#181116,#181114,#109994,.T.); #228764=EDGE_CURVE('',#181117,#181116,#109995,.T.); #228765=EDGE_CURVE('',#181115,#181117,#109996,.T.); #228766=EDGE_CURVE('',#181118,#181117,#109997,.T.); #228767=EDGE_CURVE('',#181119,#181116,#109998,.T.); #228768=EDGE_CURVE('',#181118,#181119,#109999,.T.); #228769=EDGE_CURVE('',#181120,#181118,#110000,.T.); #228770=EDGE_CURVE('',#181121,#181119,#110001,.T.); #228771=EDGE_CURVE('',#181120,#181121,#110002,.T.); #228772=EDGE_CURVE('',#181112,#181120,#110003,.T.); #228773=EDGE_CURVE('',#181113,#181121,#110004,.T.); #228774=EDGE_CURVE('',#181122,#181123,#71979,.T.); #228775=EDGE_CURVE('',#181124,#181122,#110005,.T.); #228776=EDGE_CURVE('',#181124,#181125,#110006,.T.); #228777=EDGE_CURVE('',#181123,#181125,#110007,.T.); #228778=EDGE_CURVE('',#181126,#181127,#71980,.T.); #228779=EDGE_CURVE('',#181127,#181122,#110008,.T.); #228780=EDGE_CURVE('',#181123,#181126,#110009,.T.); #228781=EDGE_CURVE('',#181128,#181126,#110010,.T.); #228782=EDGE_CURVE('',#181129,#181128,#110011,.T.); #228783=EDGE_CURVE('',#181127,#181129,#110012,.T.); #228784=EDGE_CURVE('',#181130,#181129,#110013,.T.); #228785=EDGE_CURVE('',#181131,#181128,#110014,.T.); #228786=EDGE_CURVE('',#181130,#181131,#110015,.T.); #228787=EDGE_CURVE('',#181132,#181130,#110016,.T.); #228788=EDGE_CURVE('',#181133,#181131,#110017,.T.); #228789=EDGE_CURVE('',#181132,#181133,#110018,.T.); #228790=EDGE_CURVE('',#181124,#181132,#110019,.T.); #228791=EDGE_CURVE('',#181125,#181133,#110020,.T.); #228792=EDGE_CURVE('',#181134,#181135,#71981,.T.); #228793=EDGE_CURVE('',#181136,#181134,#110021,.T.); #228794=EDGE_CURVE('',#181136,#181137,#110022,.T.); #228795=EDGE_CURVE('',#181135,#181137,#110023,.T.); #228796=EDGE_CURVE('',#181138,#181139,#71982,.T.); #228797=EDGE_CURVE('',#181139,#181134,#110024,.T.); #228798=EDGE_CURVE('',#181135,#181138,#110025,.T.); #228799=EDGE_CURVE('',#181140,#181138,#110026,.T.); #228800=EDGE_CURVE('',#181141,#181140,#110027,.T.); #228801=EDGE_CURVE('',#181139,#181141,#110028,.T.); #228802=EDGE_CURVE('',#181142,#181141,#110029,.T.); #228803=EDGE_CURVE('',#181143,#181140,#110030,.T.); #228804=EDGE_CURVE('',#181142,#181143,#110031,.T.); #228805=EDGE_CURVE('',#181144,#181142,#110032,.T.); #228806=EDGE_CURVE('',#181145,#181143,#110033,.T.); #228807=EDGE_CURVE('',#181144,#181145,#110034,.T.); #228808=EDGE_CURVE('',#181136,#181144,#110035,.T.); #228809=EDGE_CURVE('',#181137,#181145,#110036,.T.); #228810=EDGE_CURVE('',#181146,#181147,#71983,.T.); #228811=EDGE_CURVE('',#181148,#181146,#110037,.T.); #228812=EDGE_CURVE('',#181148,#181149,#110038,.T.); #228813=EDGE_CURVE('',#181147,#181149,#110039,.T.); #228814=EDGE_CURVE('',#181150,#181151,#71984,.T.); #228815=EDGE_CURVE('',#181151,#181146,#110040,.T.); #228816=EDGE_CURVE('',#181147,#181150,#110041,.T.); #228817=EDGE_CURVE('',#181152,#181150,#110042,.T.); #228818=EDGE_CURVE('',#181153,#181152,#110043,.T.); #228819=EDGE_CURVE('',#181151,#181153,#110044,.T.); #228820=EDGE_CURVE('',#181154,#181153,#110045,.T.); #228821=EDGE_CURVE('',#181155,#181152,#110046,.T.); #228822=EDGE_CURVE('',#181154,#181155,#110047,.T.); #228823=EDGE_CURVE('',#181156,#181154,#110048,.T.); #228824=EDGE_CURVE('',#181157,#181155,#110049,.T.); #228825=EDGE_CURVE('',#181156,#181157,#110050,.T.); #228826=EDGE_CURVE('',#181148,#181156,#110051,.T.); #228827=EDGE_CURVE('',#181149,#181157,#110052,.T.); #228828=EDGE_CURVE('',#181158,#181159,#71985,.T.); #228829=EDGE_CURVE('',#181160,#181158,#110053,.T.); #228830=EDGE_CURVE('',#181160,#181161,#110054,.T.); #228831=EDGE_CURVE('',#181159,#181161,#110055,.T.); #228832=EDGE_CURVE('',#181162,#181163,#71986,.T.); #228833=EDGE_CURVE('',#181163,#181158,#110056,.T.); #228834=EDGE_CURVE('',#181159,#181162,#110057,.T.); #228835=EDGE_CURVE('',#181164,#181162,#110058,.T.); #228836=EDGE_CURVE('',#181165,#181164,#110059,.T.); #228837=EDGE_CURVE('',#181163,#181165,#110060,.T.); #228838=EDGE_CURVE('',#181166,#181165,#110061,.T.); #228839=EDGE_CURVE('',#181167,#181164,#110062,.T.); #228840=EDGE_CURVE('',#181166,#181167,#110063,.T.); #228841=EDGE_CURVE('',#181168,#181166,#110064,.T.); #228842=EDGE_CURVE('',#181169,#181167,#110065,.T.); #228843=EDGE_CURVE('',#181168,#181169,#110066,.T.); #228844=EDGE_CURVE('',#181160,#181168,#110067,.T.); #228845=EDGE_CURVE('',#181161,#181169,#110068,.T.); #228846=EDGE_CURVE('',#181170,#181171,#71987,.T.); #228847=EDGE_CURVE('',#181172,#181170,#110069,.T.); #228848=EDGE_CURVE('',#181172,#181173,#110070,.T.); #228849=EDGE_CURVE('',#181171,#181173,#110071,.T.); #228850=EDGE_CURVE('',#181174,#181175,#71988,.T.); #228851=EDGE_CURVE('',#181175,#181170,#110072,.T.); #228852=EDGE_CURVE('',#181171,#181174,#110073,.T.); #228853=EDGE_CURVE('',#181176,#181174,#110074,.T.); #228854=EDGE_CURVE('',#181177,#181176,#110075,.T.); #228855=EDGE_CURVE('',#181175,#181177,#110076,.T.); #228856=EDGE_CURVE('',#181178,#181177,#110077,.T.); #228857=EDGE_CURVE('',#181179,#181176,#110078,.T.); #228858=EDGE_CURVE('',#181178,#181179,#110079,.T.); #228859=EDGE_CURVE('',#181180,#181178,#110080,.T.); #228860=EDGE_CURVE('',#181181,#181179,#110081,.T.); #228861=EDGE_CURVE('',#181180,#181181,#110082,.T.); #228862=EDGE_CURVE('',#181172,#181180,#110083,.T.); #228863=EDGE_CURVE('',#181173,#181181,#110084,.T.); #228864=EDGE_CURVE('',#181182,#181183,#71989,.T.); #228865=EDGE_CURVE('',#181184,#181182,#110085,.T.); #228866=EDGE_CURVE('',#181184,#181185,#110086,.T.); #228867=EDGE_CURVE('',#181183,#181185,#110087,.T.); #228868=EDGE_CURVE('',#181186,#181187,#71990,.T.); #228869=EDGE_CURVE('',#181187,#181182,#110088,.T.); #228870=EDGE_CURVE('',#181183,#181186,#110089,.T.); #228871=EDGE_CURVE('',#181188,#181186,#110090,.T.); #228872=EDGE_CURVE('',#181189,#181188,#110091,.T.); #228873=EDGE_CURVE('',#181187,#181189,#110092,.T.); #228874=EDGE_CURVE('',#181190,#181189,#110093,.T.); #228875=EDGE_CURVE('',#181191,#181188,#110094,.T.); #228876=EDGE_CURVE('',#181190,#181191,#110095,.T.); #228877=EDGE_CURVE('',#181192,#181190,#110096,.T.); #228878=EDGE_CURVE('',#181193,#181191,#110097,.T.); #228879=EDGE_CURVE('',#181192,#181193,#110098,.T.); #228880=EDGE_CURVE('',#181184,#181192,#110099,.T.); #228881=EDGE_CURVE('',#181185,#181193,#110100,.T.); #228882=EDGE_CURVE('',#181194,#181195,#71991,.T.); #228883=EDGE_CURVE('',#181195,#181196,#110101,.T.); #228884=EDGE_CURVE('',#181197,#181196,#110102,.T.); #228885=EDGE_CURVE('',#181197,#181194,#110103,.T.); #228886=EDGE_CURVE('',#181198,#181199,#71992,.T.); #228887=EDGE_CURVE('',#181195,#181198,#110104,.T.); #228888=EDGE_CURVE('',#181199,#181194,#110105,.T.); #228889=EDGE_CURVE('',#181199,#181200,#110106,.T.); #228890=EDGE_CURVE('',#181200,#181201,#110107,.T.); #228891=EDGE_CURVE('',#181201,#181198,#110108,.T.); #228892=EDGE_CURVE('',#181202,#181200,#110109,.T.); #228893=EDGE_CURVE('',#181202,#181203,#110110,.T.); #228894=EDGE_CURVE('',#181203,#181201,#110111,.T.); #228895=EDGE_CURVE('',#181204,#181202,#110112,.T.); #228896=EDGE_CURVE('',#181204,#181205,#110113,.T.); #228897=EDGE_CURVE('',#181205,#181203,#110114,.T.); #228898=EDGE_CURVE('',#181197,#181204,#110115,.T.); #228899=EDGE_CURVE('',#181196,#181205,#110116,.T.); #228900=EDGE_CURVE('',#181206,#181207,#71993,.T.); #228901=EDGE_CURVE('',#181207,#181208,#110117,.T.); #228902=EDGE_CURVE('',#181209,#181208,#110118,.T.); #228903=EDGE_CURVE('',#181209,#181206,#110119,.T.); #228904=EDGE_CURVE('',#181210,#181211,#71994,.T.); #228905=EDGE_CURVE('',#181207,#181210,#110120,.T.); #228906=EDGE_CURVE('',#181211,#181206,#110121,.T.); #228907=EDGE_CURVE('',#181211,#181212,#110122,.T.); #228908=EDGE_CURVE('',#181212,#181213,#110123,.T.); #228909=EDGE_CURVE('',#181213,#181210,#110124,.T.); #228910=EDGE_CURVE('',#181214,#181212,#110125,.T.); #228911=EDGE_CURVE('',#181214,#181215,#110126,.T.); #228912=EDGE_CURVE('',#181215,#181213,#110127,.T.); #228913=EDGE_CURVE('',#181216,#181214,#110128,.T.); #228914=EDGE_CURVE('',#181216,#181217,#110129,.T.); #228915=EDGE_CURVE('',#181217,#181215,#110130,.T.); #228916=EDGE_CURVE('',#181209,#181216,#110131,.T.); #228917=EDGE_CURVE('',#181208,#181217,#110132,.T.); #228918=EDGE_CURVE('',#181218,#181219,#71995,.T.); #228919=EDGE_CURVE('',#181219,#181220,#110133,.T.); #228920=EDGE_CURVE('',#181221,#181220,#110134,.T.); #228921=EDGE_CURVE('',#181221,#181218,#110135,.T.); #228922=EDGE_CURVE('',#181222,#181223,#71996,.T.); #228923=EDGE_CURVE('',#181219,#181222,#110136,.T.); #228924=EDGE_CURVE('',#181223,#181218,#110137,.T.); #228925=EDGE_CURVE('',#181223,#181224,#110138,.T.); #228926=EDGE_CURVE('',#181224,#181225,#110139,.T.); #228927=EDGE_CURVE('',#181225,#181222,#110140,.T.); #228928=EDGE_CURVE('',#181226,#181224,#110141,.T.); #228929=EDGE_CURVE('',#181226,#181227,#110142,.T.); #228930=EDGE_CURVE('',#181227,#181225,#110143,.T.); #228931=EDGE_CURVE('',#181228,#181226,#110144,.T.); #228932=EDGE_CURVE('',#181228,#181229,#110145,.T.); #228933=EDGE_CURVE('',#181229,#181227,#110146,.T.); #228934=EDGE_CURVE('',#181221,#181228,#110147,.T.); #228935=EDGE_CURVE('',#181220,#181229,#110148,.T.); #228936=EDGE_CURVE('',#181230,#181231,#71997,.T.); #228937=EDGE_CURVE('',#181231,#181232,#110149,.T.); #228938=EDGE_CURVE('',#181233,#181232,#110150,.T.); #228939=EDGE_CURVE('',#181233,#181230,#110151,.T.); #228940=EDGE_CURVE('',#181234,#181235,#71998,.T.); #228941=EDGE_CURVE('',#181231,#181234,#110152,.T.); #228942=EDGE_CURVE('',#181235,#181230,#110153,.T.); #228943=EDGE_CURVE('',#181235,#181236,#110154,.T.); #228944=EDGE_CURVE('',#181236,#181237,#110155,.T.); #228945=EDGE_CURVE('',#181237,#181234,#110156,.T.); #228946=EDGE_CURVE('',#181238,#181236,#110157,.T.); #228947=EDGE_CURVE('',#181238,#181239,#110158,.T.); #228948=EDGE_CURVE('',#181239,#181237,#110159,.T.); #228949=EDGE_CURVE('',#181240,#181238,#110160,.T.); #228950=EDGE_CURVE('',#181240,#181241,#110161,.T.); #228951=EDGE_CURVE('',#181241,#181239,#110162,.T.); #228952=EDGE_CURVE('',#181233,#181240,#110163,.T.); #228953=EDGE_CURVE('',#181232,#181241,#110164,.T.); #228954=EDGE_CURVE('',#181242,#181243,#71999,.T.); #228955=EDGE_CURVE('',#181243,#181244,#110165,.T.); #228956=EDGE_CURVE('',#181245,#181244,#110166,.T.); #228957=EDGE_CURVE('',#181245,#181242,#110167,.T.); #228958=EDGE_CURVE('',#181246,#181247,#72000,.T.); #228959=EDGE_CURVE('',#181243,#181246,#110168,.T.); #228960=EDGE_CURVE('',#181247,#181242,#110169,.T.); #228961=EDGE_CURVE('',#181247,#181248,#110170,.T.); #228962=EDGE_CURVE('',#181248,#181249,#110171,.T.); #228963=EDGE_CURVE('',#181249,#181246,#110172,.T.); #228964=EDGE_CURVE('',#181250,#181248,#110173,.T.); #228965=EDGE_CURVE('',#181250,#181251,#110174,.T.); #228966=EDGE_CURVE('',#181251,#181249,#110175,.T.); #228967=EDGE_CURVE('',#181252,#181250,#110176,.T.); #228968=EDGE_CURVE('',#181252,#181253,#110177,.T.); #228969=EDGE_CURVE('',#181253,#181251,#110178,.T.); #228970=EDGE_CURVE('',#181245,#181252,#110179,.T.); #228971=EDGE_CURVE('',#181244,#181253,#110180,.T.); #228972=EDGE_CURVE('',#181254,#181255,#72001,.T.); #228973=EDGE_CURVE('',#181255,#181256,#110181,.T.); #228974=EDGE_CURVE('',#181257,#181256,#110182,.T.); #228975=EDGE_CURVE('',#181257,#181254,#110183,.T.); #228976=EDGE_CURVE('',#181258,#181259,#72002,.T.); #228977=EDGE_CURVE('',#181255,#181258,#110184,.T.); #228978=EDGE_CURVE('',#181259,#181254,#110185,.T.); #228979=EDGE_CURVE('',#181259,#181260,#110186,.T.); #228980=EDGE_CURVE('',#181260,#181261,#110187,.T.); #228981=EDGE_CURVE('',#181261,#181258,#110188,.T.); #228982=EDGE_CURVE('',#181262,#181260,#110189,.T.); #228983=EDGE_CURVE('',#181262,#181263,#110190,.T.); #228984=EDGE_CURVE('',#181263,#181261,#110191,.T.); #228985=EDGE_CURVE('',#181264,#181262,#110192,.T.); #228986=EDGE_CURVE('',#181264,#181265,#110193,.T.); #228987=EDGE_CURVE('',#181265,#181263,#110194,.T.); #228988=EDGE_CURVE('',#181257,#181264,#110195,.T.); #228989=EDGE_CURVE('',#181256,#181265,#110196,.T.); #228990=EDGE_CURVE('',#181266,#181267,#72003,.T.); #228991=EDGE_CURVE('',#181267,#181268,#110197,.T.); #228992=EDGE_CURVE('',#181269,#181268,#110198,.T.); #228993=EDGE_CURVE('',#181269,#181266,#110199,.T.); #228994=EDGE_CURVE('',#181270,#181271,#72004,.T.); #228995=EDGE_CURVE('',#181267,#181270,#110200,.T.); #228996=EDGE_CURVE('',#181271,#181266,#110201,.T.); #228997=EDGE_CURVE('',#181271,#181272,#110202,.T.); #228998=EDGE_CURVE('',#181272,#181273,#110203,.T.); #228999=EDGE_CURVE('',#181273,#181270,#110204,.T.); #229000=EDGE_CURVE('',#181274,#181272,#110205,.T.); #229001=EDGE_CURVE('',#181274,#181275,#110206,.T.); #229002=EDGE_CURVE('',#181275,#181273,#110207,.T.); #229003=EDGE_CURVE('',#181276,#181274,#110208,.T.); #229004=EDGE_CURVE('',#181276,#181277,#110209,.T.); #229005=EDGE_CURVE('',#181277,#181275,#110210,.T.); #229006=EDGE_CURVE('',#181269,#181276,#110211,.T.); #229007=EDGE_CURVE('',#181268,#181277,#110212,.T.); #229008=EDGE_CURVE('',#181278,#181279,#72005,.T.); #229009=EDGE_CURVE('',#181279,#181280,#110213,.T.); #229010=EDGE_CURVE('',#181281,#181280,#110214,.T.); #229011=EDGE_CURVE('',#181281,#181278,#110215,.T.); #229012=EDGE_CURVE('',#181282,#181283,#72006,.T.); #229013=EDGE_CURVE('',#181279,#181282,#110216,.T.); #229014=EDGE_CURVE('',#181283,#181278,#110217,.T.); #229015=EDGE_CURVE('',#181283,#181284,#110218,.T.); #229016=EDGE_CURVE('',#181284,#181285,#110219,.T.); #229017=EDGE_CURVE('',#181285,#181282,#110220,.T.); #229018=EDGE_CURVE('',#181286,#181284,#110221,.T.); #229019=EDGE_CURVE('',#181286,#181287,#110222,.T.); #229020=EDGE_CURVE('',#181287,#181285,#110223,.T.); #229021=EDGE_CURVE('',#181288,#181286,#110224,.T.); #229022=EDGE_CURVE('',#181288,#181289,#110225,.T.); #229023=EDGE_CURVE('',#181289,#181287,#110226,.T.); #229024=EDGE_CURVE('',#181281,#181288,#110227,.T.); #229025=EDGE_CURVE('',#181280,#181289,#110228,.T.); #229026=EDGE_CURVE('',#181290,#181291,#72007,.T.); #229027=EDGE_CURVE('',#181291,#181292,#110229,.T.); #229028=EDGE_CURVE('',#181293,#181292,#110230,.T.); #229029=EDGE_CURVE('',#181293,#181290,#110231,.T.); #229030=EDGE_CURVE('',#181294,#181295,#72008,.T.); #229031=EDGE_CURVE('',#181291,#181294,#110232,.T.); #229032=EDGE_CURVE('',#181295,#181290,#110233,.T.); #229033=EDGE_CURVE('',#181295,#181296,#110234,.T.); #229034=EDGE_CURVE('',#181296,#181297,#110235,.T.); #229035=EDGE_CURVE('',#181297,#181294,#110236,.T.); #229036=EDGE_CURVE('',#181298,#181296,#110237,.T.); #229037=EDGE_CURVE('',#181298,#181299,#110238,.T.); #229038=EDGE_CURVE('',#181299,#181297,#110239,.T.); #229039=EDGE_CURVE('',#181300,#181298,#110240,.T.); #229040=EDGE_CURVE('',#181300,#181301,#110241,.T.); #229041=EDGE_CURVE('',#181301,#181299,#110242,.T.); #229042=EDGE_CURVE('',#181293,#181300,#110243,.T.); #229043=EDGE_CURVE('',#181292,#181301,#110244,.T.); #229044=EDGE_CURVE('',#181302,#181303,#72009,.T.); #229045=EDGE_CURVE('',#181303,#181304,#110245,.T.); #229046=EDGE_CURVE('',#181305,#181304,#110246,.T.); #229047=EDGE_CURVE('',#181305,#181302,#110247,.T.); #229048=EDGE_CURVE('',#181306,#181307,#72010,.T.); #229049=EDGE_CURVE('',#181303,#181306,#110248,.T.); #229050=EDGE_CURVE('',#181307,#181302,#110249,.T.); #229051=EDGE_CURVE('',#181307,#181308,#110250,.T.); #229052=EDGE_CURVE('',#181308,#181309,#110251,.T.); #229053=EDGE_CURVE('',#181309,#181306,#110252,.T.); #229054=EDGE_CURVE('',#181310,#181308,#110253,.T.); #229055=EDGE_CURVE('',#181310,#181311,#110254,.T.); #229056=EDGE_CURVE('',#181311,#181309,#110255,.T.); #229057=EDGE_CURVE('',#181312,#181310,#110256,.T.); #229058=EDGE_CURVE('',#181312,#181313,#110257,.T.); #229059=EDGE_CURVE('',#181313,#181311,#110258,.T.); #229060=EDGE_CURVE('',#181305,#181312,#110259,.T.); #229061=EDGE_CURVE('',#181304,#181313,#110260,.T.); #229062=EDGE_CURVE('',#181314,#181315,#72011,.T.); #229063=EDGE_CURVE('',#181315,#181316,#110261,.T.); #229064=EDGE_CURVE('',#181317,#181316,#110262,.T.); #229065=EDGE_CURVE('',#181317,#181314,#110263,.T.); #229066=EDGE_CURVE('',#181318,#181319,#72012,.T.); #229067=EDGE_CURVE('',#181315,#181318,#110264,.T.); #229068=EDGE_CURVE('',#181319,#181314,#110265,.T.); #229069=EDGE_CURVE('',#181319,#181320,#110266,.T.); #229070=EDGE_CURVE('',#181320,#181321,#110267,.T.); #229071=EDGE_CURVE('',#181321,#181318,#110268,.T.); #229072=EDGE_CURVE('',#181322,#181320,#110269,.T.); #229073=EDGE_CURVE('',#181322,#181323,#110270,.T.); #229074=EDGE_CURVE('',#181323,#181321,#110271,.T.); #229075=EDGE_CURVE('',#181324,#181322,#110272,.T.); #229076=EDGE_CURVE('',#181324,#181325,#110273,.T.); #229077=EDGE_CURVE('',#181325,#181323,#110274,.T.); #229078=EDGE_CURVE('',#181317,#181324,#110275,.T.); #229079=EDGE_CURVE('',#181316,#181325,#110276,.T.); #229080=EDGE_CURVE('',#181326,#181327,#110277,.T.); #229081=EDGE_CURVE('',#181327,#181328,#110278,.T.); #229082=EDGE_CURVE('',#181329,#181328,#110279,.T.); #229083=EDGE_CURVE('',#181326,#181329,#110280,.T.); #229084=EDGE_CURVE('',#181330,#181326,#110281,.T.); #229085=EDGE_CURVE('',#181331,#181329,#110282,.T.); #229086=EDGE_CURVE('',#181330,#181331,#110283,.T.); #229087=EDGE_CURVE('',#181332,#181330,#110284,.T.); #229088=EDGE_CURVE('',#181333,#181331,#110285,.T.); #229089=EDGE_CURVE('',#181332,#181333,#110286,.T.); #229090=EDGE_CURVE('',#181327,#181332,#110287,.T.); #229091=EDGE_CURVE('',#181328,#181333,#110288,.T.); #229092=EDGE_CURVE('',#181334,#181335,#72013,.F.); #229093=EDGE_CURVE('',#181336,#181334,#72014,.F.); #229094=EDGE_CURVE('',#181335,#181336,#72015,.F.); #229095=EDGE_CURVE('',#181337,#181338,#72016,.F.); #229096=EDGE_CURVE('',#181339,#181337,#72017,.F.); #229097=EDGE_CURVE('',#181338,#181339,#72018,.F.); #229098=EDGE_CURVE('',#181340,#181341,#72019,.F.); #229099=EDGE_CURVE('',#181342,#181340,#72020,.F.); #229100=EDGE_CURVE('',#181341,#181342,#72021,.F.); #229101=EDGE_CURVE('',#181343,#181344,#72022,.F.); #229102=EDGE_CURVE('',#181345,#181343,#72023,.F.); #229103=EDGE_CURVE('',#181344,#181345,#72024,.F.); #229104=EDGE_CURVE('',#181346,#181347,#72025,.F.); #229105=EDGE_CURVE('',#181348,#181346,#72026,.F.); #229106=EDGE_CURVE('',#181347,#181348,#72027,.F.); #229107=EDGE_CURVE('',#181346,#181341,#110289,.T.); #229108=EDGE_CURVE('',#181342,#181348,#110290,.T.); #229109=EDGE_CURVE('',#181349,#181350,#72028,.F.); #229110=EDGE_CURVE('',#181351,#181349,#72029,.F.); #229111=EDGE_CURVE('',#181350,#181351,#72030,.F.); #229112=EDGE_CURVE('',#181352,#181353,#72031,.F.); #229113=EDGE_CURVE('',#181354,#181352,#72032,.F.); #229114=EDGE_CURVE('',#181353,#181354,#72033,.F.); #229115=EDGE_CURVE('',#181340,#181354,#110291,.T.); #229116=EDGE_CURVE('',#181352,#181342,#110292,.T.); #229117=EDGE_CURVE('',#181343,#181353,#110293,.T.); #229118=EDGE_CURVE('',#181354,#181345,#110294,.T.); #229119=EDGE_CURVE('',#181355,#181356,#72034,.F.); #229120=EDGE_CURVE('',#181357,#181355,#72035,.F.); #229121=EDGE_CURVE('',#181356,#181357,#72036,.F.); #229122=EDGE_CURVE('',#181348,#181357,#110295,.T.); #229123=EDGE_CURVE('',#181355,#181347,#110296,.T.); #229124=EDGE_CURVE('',#181353,#181356,#110297,.T.); #229125=EDGE_CURVE('',#181357,#181352,#110298,.T.); #229126=EDGE_CURVE('',#181349,#181355,#110299,.T.); #229127=EDGE_CURVE('',#181356,#181351,#110300,.T.); #229128=EDGE_CURVE('',#181344,#181350,#110301,.T.); #229129=EDGE_CURVE('',#181351,#181343,#110302,.T.); #229130=EDGE_CURVE('',#181350,#181338,#110303,.T.); #229131=EDGE_CURVE('',#181339,#181349,#110304,.T.); #229132=EDGE_CURVE('',#181337,#181346,#110305,.T.); #229133=EDGE_CURVE('',#181347,#181339,#110306,.T.); #229134=EDGE_CURVE('',#181335,#181344,#110307,.T.); #229135=EDGE_CURVE('',#181345,#181334,#110308,.T.); #229136=EDGE_CURVE('',#181334,#181340,#110309,.T.); #229137=EDGE_CURVE('',#181341,#181336,#110310,.T.); #229138=EDGE_CURVE('',#181338,#181335,#110311,.T.); #229139=EDGE_CURVE('',#181336,#181337,#110312,.T.); #229140=EDGE_CURVE('',#181358,#181359,#72037,.F.); #229141=EDGE_CURVE('',#181360,#181358,#72038,.F.); #229142=EDGE_CURVE('',#181359,#181360,#72039,.F.); #229143=EDGE_CURVE('',#181361,#181362,#72040,.F.); #229144=EDGE_CURVE('',#181363,#181361,#72041,.F.); #229145=EDGE_CURVE('',#181362,#181363,#72042,.F.); #229146=EDGE_CURVE('',#181364,#181365,#72043,.F.); #229147=EDGE_CURVE('',#181366,#181364,#72044,.F.); #229148=EDGE_CURVE('',#181365,#181366,#72045,.F.); #229149=EDGE_CURVE('',#181367,#181368,#72046,.F.); #229150=EDGE_CURVE('',#181369,#181367,#72047,.F.); #229151=EDGE_CURVE('',#181368,#181369,#72048,.F.); #229152=EDGE_CURVE('',#181370,#181371,#72049,.F.); #229153=EDGE_CURVE('',#181372,#181370,#72050,.F.); #229154=EDGE_CURVE('',#181371,#181372,#72051,.F.); #229155=EDGE_CURVE('',#181370,#181365,#110313,.T.); #229156=EDGE_CURVE('',#181366,#181372,#110314,.T.); #229157=EDGE_CURVE('',#181373,#181374,#72052,.F.); #229158=EDGE_CURVE('',#181375,#181373,#72053,.F.); #229159=EDGE_CURVE('',#181374,#181375,#72054,.F.); #229160=EDGE_CURVE('',#181376,#181377,#72055,.F.); #229161=EDGE_CURVE('',#181378,#181376,#72056,.F.); #229162=EDGE_CURVE('',#181377,#181378,#72057,.F.); #229163=EDGE_CURVE('',#181364,#181378,#110315,.T.); #229164=EDGE_CURVE('',#181376,#181366,#110316,.T.); #229165=EDGE_CURVE('',#181367,#181377,#110317,.T.); #229166=EDGE_CURVE('',#181378,#181369,#110318,.T.); #229167=EDGE_CURVE('',#181379,#181380,#72058,.F.); #229168=EDGE_CURVE('',#181381,#181379,#72059,.F.); #229169=EDGE_CURVE('',#181380,#181381,#72060,.F.); #229170=EDGE_CURVE('',#181379,#181371,#110319,.T.); #229171=EDGE_CURVE('',#181372,#181381,#110320,.T.); #229172=EDGE_CURVE('',#181377,#181380,#110321,.T.); #229173=EDGE_CURVE('',#181381,#181376,#110322,.T.); #229174=EDGE_CURVE('',#181373,#181379,#110323,.T.); #229175=EDGE_CURVE('',#181380,#181375,#110324,.T.); #229176=EDGE_CURVE('',#181368,#181374,#110325,.T.); #229177=EDGE_CURVE('',#181375,#181367,#110326,.T.); #229178=EDGE_CURVE('',#181374,#181362,#110327,.T.); #229179=EDGE_CURVE('',#181363,#181373,#110328,.T.); #229180=EDGE_CURVE('',#181361,#181370,#110329,.T.); #229181=EDGE_CURVE('',#181371,#181363,#110330,.T.); #229182=EDGE_CURVE('',#181359,#181368,#110331,.T.); #229183=EDGE_CURVE('',#181369,#181358,#110332,.T.); #229184=EDGE_CURVE('',#181358,#181364,#110333,.T.); #229185=EDGE_CURVE('',#181365,#181360,#110334,.T.); #229186=EDGE_CURVE('',#181362,#181359,#110335,.T.); #229187=EDGE_CURVE('',#181360,#181361,#110336,.T.); #229188=EDGE_CURVE('',#181382,#181383,#110337,.T.); #229189=EDGE_CURVE('',#181382,#181384,#110338,.T.); #229190=EDGE_CURVE('',#181385,#181384,#110339,.T.); #229191=EDGE_CURVE('',#181383,#181385,#110340,.T.); #229192=EDGE_CURVE('',#181386,#181383,#110341,.T.); #229193=EDGE_CURVE('',#181387,#181385,#110342,.T.); #229194=EDGE_CURVE('',#181386,#181387,#110343,.T.); #229195=EDGE_CURVE('',#181388,#181386,#110344,.T.); #229196=EDGE_CURVE('',#181389,#181387,#110345,.T.); #229197=EDGE_CURVE('',#181388,#181389,#110346,.T.); #229198=EDGE_CURVE('',#181382,#181388,#110347,.T.); #229199=EDGE_CURVE('',#181384,#181389,#110348,.T.); #229200=EDGE_CURVE('',#181390,#181391,#110349,.T.); #229201=EDGE_CURVE('',#181391,#181392,#110350,.T.); #229202=EDGE_CURVE('',#181392,#181393,#110351,.T.); #229203=EDGE_CURVE('',#181390,#181393,#110352,.T.); #229204=EDGE_CURVE('',#181391,#181394,#110353,.T.); #229205=EDGE_CURVE('',#181394,#181395,#110354,.T.); #229206=EDGE_CURVE('',#181395,#181392,#110355,.T.); #229207=EDGE_CURVE('',#181394,#181396,#110356,.T.); #229208=EDGE_CURVE('',#181396,#181397,#110357,.T.); #229209=EDGE_CURVE('',#181397,#181395,#110358,.T.); #229210=EDGE_CURVE('',#181393,#181397,#110359,.T.); #229211=EDGE_CURVE('',#181396,#181390,#110360,.T.); #229212=EDGE_CURVE('',#181398,#181393,#110361,.T.); #229213=EDGE_CURVE('',#181398,#181399,#110362,.T.); #229214=EDGE_CURVE('',#181399,#181397,#110363,.T.); #229215=EDGE_CURVE('',#181400,#181392,#110364,.T.); #229216=EDGE_CURVE('',#181400,#181398,#110365,.T.); #229217=EDGE_CURVE('',#181401,#181395,#110366,.T.); #229218=EDGE_CURVE('',#181401,#181400,#110367,.T.); #229219=EDGE_CURVE('',#181399,#181401,#110368,.T.); #229220=EDGE_CURVE('',#181402,#181403,#110369,.T.); #229221=EDGE_CURVE('',#181402,#181404,#110370,.T.); #229222=EDGE_CURVE('',#181405,#181404,#110371,.T.); #229223=EDGE_CURVE('',#181403,#181405,#110372,.T.); #229224=EDGE_CURVE('',#181406,#181403,#110373,.T.); #229225=EDGE_CURVE('',#181407,#181405,#110374,.T.); #229226=EDGE_CURVE('',#181406,#181407,#110375,.T.); #229227=EDGE_CURVE('',#181408,#181406,#110376,.T.); #229228=EDGE_CURVE('',#181409,#181407,#110377,.T.); #229229=EDGE_CURVE('',#181408,#181409,#110378,.T.); #229230=EDGE_CURVE('',#181402,#181408,#110379,.T.); #229231=EDGE_CURVE('',#181404,#181409,#110380,.T.); #229232=EDGE_CURVE('',#181410,#181411,#110381,.T.); #229233=EDGE_CURVE('',#181411,#181412,#110382,.T.); #229234=EDGE_CURVE('',#181413,#181412,#110383,.T.); #229235=EDGE_CURVE('',#181410,#181413,#110384,.T.); #229236=EDGE_CURVE('',#181414,#181410,#110385,.T.); #229237=EDGE_CURVE('',#181415,#181413,#110386,.T.); #229238=EDGE_CURVE('',#181414,#181415,#110387,.T.); #229239=EDGE_CURVE('',#181416,#181414,#110388,.T.); #229240=EDGE_CURVE('',#181417,#181415,#110389,.T.); #229241=EDGE_CURVE('',#181416,#181417,#110390,.T.); #229242=EDGE_CURVE('',#181411,#181416,#110391,.T.); #229243=EDGE_CURVE('',#181412,#181417,#110392,.T.); #229244=EDGE_CURVE('',#181418,#181419,#110393,.T.); #229245=EDGE_CURVE('',#181418,#181420,#110394,.T.); #229246=EDGE_CURVE('',#181421,#181420,#110395,.T.); #229247=EDGE_CURVE('',#181419,#181421,#110396,.T.); #229248=EDGE_CURVE('',#181419,#181422,#110397,.T.); #229249=EDGE_CURVE('',#181423,#181421,#110398,.T.); #229250=EDGE_CURVE('',#181422,#181423,#110399,.T.); #229251=EDGE_CURVE('',#181422,#181424,#110400,.T.); #229252=EDGE_CURVE('',#181425,#181423,#110401,.T.); #229253=EDGE_CURVE('',#181424,#181425,#110402,.T.); #229254=EDGE_CURVE('',#181424,#181418,#110403,.T.); #229255=EDGE_CURVE('',#181420,#181425,#110404,.T.); #229256=EDGE_CURVE('',#181426,#181427,#110405,.T.); #229257=EDGE_CURVE('',#181426,#181428,#110406,.T.); #229258=EDGE_CURVE('',#181429,#181428,#110407,.T.); #229259=EDGE_CURVE('',#181427,#181429,#110408,.T.); #229260=EDGE_CURVE('',#181427,#181430,#110409,.T.); #229261=EDGE_CURVE('',#181431,#181429,#110410,.T.); #229262=EDGE_CURVE('',#181430,#181431,#110411,.T.); #229263=EDGE_CURVE('',#181430,#181432,#110412,.T.); #229264=EDGE_CURVE('',#181433,#181431,#110413,.T.); #229265=EDGE_CURVE('',#181432,#181433,#110414,.T.); #229266=EDGE_CURVE('',#181426,#181432,#110415,.T.); #229267=EDGE_CURVE('',#181428,#181433,#110416,.T.); #229268=EDGE_CURVE('',#181434,#181435,#110417,.T.); #229269=EDGE_CURVE('',#181434,#181436,#110418,.T.); #229270=EDGE_CURVE('',#181437,#181436,#110419,.T.); #229271=EDGE_CURVE('',#181435,#181437,#110420,.T.); #229272=EDGE_CURVE('',#181438,#181435,#110421,.T.); #229273=EDGE_CURVE('',#181439,#181437,#110422,.T.); #229274=EDGE_CURVE('',#181438,#181439,#110423,.T.); #229275=EDGE_CURVE('',#181440,#181438,#110424,.T.); #229276=EDGE_CURVE('',#181441,#181439,#110425,.T.); #229277=EDGE_CURVE('',#181440,#181441,#110426,.T.); #229278=EDGE_CURVE('',#181434,#181440,#110427,.T.); #229279=EDGE_CURVE('',#181436,#181441,#110428,.T.); #229280=EDGE_CURVE('',#181442,#181442,#72061,.T.); #229281=EDGE_CURVE('',#181442,#181443,#110429,.T.); #229282=EDGE_CURVE('',#181443,#181443,#72062,.T.); #229283=EDGE_CURVE('',#181444,#181445,#110430,.T.); #229284=EDGE_CURVE('',#181445,#181446,#110431,.T.); #229285=EDGE_CURVE('',#181446,#181447,#110432,.T.); #229286=EDGE_CURVE('',#181444,#181447,#110433,.T.); #229287=EDGE_CURVE('',#181445,#181448,#110434,.T.); #229288=EDGE_CURVE('',#181448,#181449,#110435,.T.); #229289=EDGE_CURVE('',#181449,#181446,#110436,.T.); #229290=EDGE_CURVE('',#181448,#181450,#110437,.T.); #229291=EDGE_CURVE('',#181450,#181451,#110438,.T.); #229292=EDGE_CURVE('',#181451,#181449,#110439,.T.); #229293=EDGE_CURVE('',#181447,#181451,#110440,.T.); #229294=EDGE_CURVE('',#181450,#181444,#110441,.T.); #229295=EDGE_CURVE('',#181452,#181447,#110442,.T.); #229296=EDGE_CURVE('',#181453,#181452,#110443,.T.); #229297=EDGE_CURVE('',#181453,#181451,#110444,.T.); #229298=EDGE_CURVE('',#181454,#181446,#110445,.T.); #229299=EDGE_CURVE('',#181452,#181454,#110446,.T.); #229300=EDGE_CURVE('',#181455,#181453,#110447,.T.); #229301=EDGE_CURVE('',#181453,#181456,#110448,.T.); #229302=EDGE_CURVE('',#181456,#181457,#110449,.T.); #229303=EDGE_CURVE('',#181457,#181455,#110450,.T.); #229304=EDGE_CURVE('',#181458,#181456,#110451,.T.); #229305=EDGE_CURVE('',#181458,#181452,#110452,.T.); #229306=EDGE_CURVE('',#181454,#181455,#110453,.T.); #229307=EDGE_CURVE('',#181457,#181459,#110454,.T.); #229308=EDGE_CURVE('',#181459,#181454,#110455,.T.); #229309=EDGE_CURVE('',#181459,#181458,#110456,.T.); #229310=EDGE_CURVE('',#181455,#181449,#110457,.T.); #229311=EDGE_CURVE('',#181460,#181461,#72063,.T.); #229312=EDGE_CURVE('',#181461,#181462,#110458,.T.); #229313=EDGE_CURVE('',#181462,#181463,#72064,.T.); #229314=EDGE_CURVE('',#181463,#181464,#110459,.T.); #229315=EDGE_CURVE('',#181464,#181465,#110460,.T.); #229316=EDGE_CURVE('',#181465,#181466,#110461,.T.); #229317=EDGE_CURVE('',#181466,#181467,#72065,.T.); #229318=EDGE_CURVE('',#181467,#181468,#110462,.T.); #229319=EDGE_CURVE('',#181468,#181469,#72066,.T.); #229320=EDGE_CURVE('',#181469,#181470,#110463,.T.); #229321=EDGE_CURVE('',#181470,#181471,#110464,.T.); #229322=EDGE_CURVE('',#181471,#181460,#110465,.T.); #229323=EDGE_CURVE('',#181472,#181461,#110466,.T.); #229324=EDGE_CURVE('',#181473,#181472,#110467,.T.); #229325=EDGE_CURVE('',#181462,#181473,#110468,.T.); #229326=EDGE_CURVE('',#181474,#181473,#72067,.T.); #229327=EDGE_CURVE('',#181463,#181474,#110469,.T.); #229328=EDGE_CURVE('',#181475,#181474,#110470,.T.); #229329=EDGE_CURVE('',#181464,#181475,#110471,.T.); #229330=EDGE_CURVE('',#181476,#181475,#110472,.T.); #229331=EDGE_CURVE('',#181465,#181476,#110473,.T.); #229332=EDGE_CURVE('',#181477,#181476,#110474,.T.); #229333=EDGE_CURVE('',#181466,#181477,#110475,.T.); #229334=EDGE_CURVE('',#181478,#181477,#72068,.T.); #229335=EDGE_CURVE('',#181467,#181478,#110476,.T.); #229336=EDGE_CURVE('',#181479,#181478,#110477,.T.); #229337=EDGE_CURVE('',#181468,#181479,#110478,.T.); #229338=EDGE_CURVE('',#181480,#181479,#72069,.T.); #229339=EDGE_CURVE('',#181469,#181480,#110479,.T.); #229340=EDGE_CURVE('',#181481,#181480,#110480,.T.); #229341=EDGE_CURVE('',#181470,#181481,#110481,.T.); #229342=EDGE_CURVE('',#181482,#181481,#110482,.T.); #229343=EDGE_CURVE('',#181471,#181482,#110483,.T.); #229344=EDGE_CURVE('',#181483,#181482,#110484,.T.); #229345=EDGE_CURVE('',#181460,#181483,#110485,.T.); #229346=EDGE_CURVE('',#181472,#181483,#72070,.T.); #229347=EDGE_CURVE('',#181484,#181485,#72071,.T.); #229348=EDGE_CURVE('',#181486,#181484,#110486,.T.); #229349=EDGE_CURVE('',#181487,#181486,#110487,.T.); #229350=EDGE_CURVE('',#181488,#181487,#110488,.T.); #229351=EDGE_CURVE('',#181489,#181488,#72072,.T.); #229352=EDGE_CURVE('',#181490,#181489,#110489,.T.); #229353=EDGE_CURVE('',#181491,#181490,#72073,.T.); #229354=EDGE_CURVE('',#181492,#181491,#110490,.T.); #229355=EDGE_CURVE('',#181493,#181492,#110491,.T.); #229356=EDGE_CURVE('',#181494,#181493,#110492,.T.); #229357=EDGE_CURVE('',#181495,#181494,#72074,.T.); #229358=EDGE_CURVE('',#181485,#181495,#110493,.T.); #229359=EDGE_CURVE('',#181496,#181485,#110494,.T.); #229360=EDGE_CURVE('',#181495,#181497,#110495,.T.); #229361=EDGE_CURVE('',#181497,#181496,#110496,.T.); #229362=EDGE_CURVE('',#181494,#181498,#110497,.T.); #229363=EDGE_CURVE('',#181498,#181497,#72075,.T.); #229364=EDGE_CURVE('',#181493,#181499,#110498,.T.); #229365=EDGE_CURVE('',#181499,#181498,#110499,.T.); #229366=EDGE_CURVE('',#181492,#181500,#110500,.T.); #229367=EDGE_CURVE('',#181500,#181499,#110501,.T.); #229368=EDGE_CURVE('',#181491,#181501,#110502,.T.); #229369=EDGE_CURVE('',#181501,#181500,#110503,.T.); #229370=EDGE_CURVE('',#181490,#181502,#110504,.T.); #229371=EDGE_CURVE('',#181502,#181501,#72076,.T.); #229372=EDGE_CURVE('',#181489,#181503,#110505,.T.); #229373=EDGE_CURVE('',#181503,#181502,#110506,.T.); #229374=EDGE_CURVE('',#181488,#181504,#110507,.T.); #229375=EDGE_CURVE('',#181504,#181503,#72077,.T.); #229376=EDGE_CURVE('',#181487,#181505,#110508,.T.); #229377=EDGE_CURVE('',#181505,#181504,#110509,.T.); #229378=EDGE_CURVE('',#181486,#181506,#110510,.T.); #229379=EDGE_CURVE('',#181506,#181505,#110511,.T.); #229380=EDGE_CURVE('',#181484,#181507,#110512,.T.); #229381=EDGE_CURVE('',#181507,#181506,#110513,.T.); #229382=EDGE_CURVE('',#181496,#181507,#72078,.T.); #229383=EDGE_CURVE('',#181508,#181509,#72079,.T.); #229384=EDGE_CURVE('',#181509,#181510,#110514,.T.); #229385=EDGE_CURVE('',#181510,#181511,#72080,.T.); #229386=EDGE_CURVE('',#181511,#181512,#110515,.T.); #229387=EDGE_CURVE('',#181512,#181513,#110516,.T.); #229388=EDGE_CURVE('',#181513,#181514,#110517,.T.); #229389=EDGE_CURVE('',#181514,#181515,#72081,.T.); #229390=EDGE_CURVE('',#181515,#181516,#110518,.T.); #229391=EDGE_CURVE('',#181516,#181517,#72082,.T.); #229392=EDGE_CURVE('',#181517,#181518,#110519,.T.); #229393=EDGE_CURVE('',#181518,#181519,#110520,.T.); #229394=EDGE_CURVE('',#181519,#181508,#110521,.T.); #229395=EDGE_CURVE('',#181520,#181509,#110522,.T.); #229396=EDGE_CURVE('',#181521,#181520,#110523,.T.); #229397=EDGE_CURVE('',#181510,#181521,#110524,.T.); #229398=EDGE_CURVE('',#181522,#181521,#72083,.T.); #229399=EDGE_CURVE('',#181511,#181522,#110525,.T.); #229400=EDGE_CURVE('',#181523,#181522,#110526,.T.); #229401=EDGE_CURVE('',#181512,#181523,#110527,.T.); #229402=EDGE_CURVE('',#181524,#181523,#110528,.T.); #229403=EDGE_CURVE('',#181513,#181524,#110529,.T.); #229404=EDGE_CURVE('',#181525,#181524,#110530,.T.); #229405=EDGE_CURVE('',#181514,#181525,#110531,.T.); #229406=EDGE_CURVE('',#181526,#181525,#72084,.T.); #229407=EDGE_CURVE('',#181515,#181526,#110532,.T.); #229408=EDGE_CURVE('',#181527,#181526,#110533,.T.); #229409=EDGE_CURVE('',#181516,#181527,#110534,.T.); #229410=EDGE_CURVE('',#181528,#181527,#72085,.T.); #229411=EDGE_CURVE('',#181517,#181528,#110535,.T.); #229412=EDGE_CURVE('',#181529,#181528,#110536,.T.); #229413=EDGE_CURVE('',#181518,#181529,#110537,.T.); #229414=EDGE_CURVE('',#181530,#181529,#110538,.T.); #229415=EDGE_CURVE('',#181519,#181530,#110539,.T.); #229416=EDGE_CURVE('',#181531,#181530,#110540,.T.); #229417=EDGE_CURVE('',#181508,#181531,#110541,.T.); #229418=EDGE_CURVE('',#181520,#181531,#72086,.T.); #229419=EDGE_CURVE('',#181532,#181533,#72087,.T.); #229420=EDGE_CURVE('',#181533,#181534,#110542,.T.); #229421=EDGE_CURVE('',#181534,#181535,#72088,.T.); #229422=EDGE_CURVE('',#181535,#181536,#110543,.T.); #229423=EDGE_CURVE('',#181536,#181537,#110544,.T.); #229424=EDGE_CURVE('',#181537,#181538,#110545,.T.); #229425=EDGE_CURVE('',#181538,#181539,#72089,.T.); #229426=EDGE_CURVE('',#181539,#181540,#110546,.T.); #229427=EDGE_CURVE('',#181540,#181541,#72090,.T.); #229428=EDGE_CURVE('',#181541,#181542,#110547,.T.); #229429=EDGE_CURVE('',#181542,#181543,#110548,.T.); #229430=EDGE_CURVE('',#181543,#181532,#110549,.T.); #229431=EDGE_CURVE('',#181544,#181533,#110550,.T.); #229432=EDGE_CURVE('',#181545,#181544,#110551,.T.); #229433=EDGE_CURVE('',#181534,#181545,#110552,.T.); #229434=EDGE_CURVE('',#181546,#181545,#72091,.T.); #229435=EDGE_CURVE('',#181535,#181546,#110553,.T.); #229436=EDGE_CURVE('',#181547,#181546,#110554,.T.); #229437=EDGE_CURVE('',#181536,#181547,#110555,.T.); #229438=EDGE_CURVE('',#181548,#181547,#110556,.T.); #229439=EDGE_CURVE('',#181537,#181548,#110557,.T.); #229440=EDGE_CURVE('',#181549,#181548,#110558,.T.); #229441=EDGE_CURVE('',#181538,#181549,#110559,.T.); #229442=EDGE_CURVE('',#181550,#181549,#72092,.T.); #229443=EDGE_CURVE('',#181539,#181550,#110560,.T.); #229444=EDGE_CURVE('',#181551,#181550,#110561,.T.); #229445=EDGE_CURVE('',#181540,#181551,#110562,.T.); #229446=EDGE_CURVE('',#181552,#181551,#72093,.T.); #229447=EDGE_CURVE('',#181541,#181552,#110563,.T.); #229448=EDGE_CURVE('',#181553,#181552,#110564,.T.); #229449=EDGE_CURVE('',#181542,#181553,#110565,.T.); #229450=EDGE_CURVE('',#181554,#181553,#110566,.T.); #229451=EDGE_CURVE('',#181543,#181554,#110567,.T.); #229452=EDGE_CURVE('',#181555,#181554,#110568,.T.); #229453=EDGE_CURVE('',#181532,#181555,#110569,.T.); #229454=EDGE_CURVE('',#181544,#181555,#72094,.T.); #229455=EDGE_CURVE('',#181556,#181557,#72095,.T.); #229456=EDGE_CURVE('',#181557,#181558,#110570,.T.); #229457=EDGE_CURVE('',#181558,#181559,#72096,.T.); #229458=EDGE_CURVE('',#181559,#181560,#110571,.T.); #229459=EDGE_CURVE('',#181560,#181561,#110572,.T.); #229460=EDGE_CURVE('',#181561,#181562,#110573,.T.); #229461=EDGE_CURVE('',#181562,#181563,#72097,.T.); #229462=EDGE_CURVE('',#181563,#181564,#110574,.T.); #229463=EDGE_CURVE('',#181564,#181565,#72098,.T.); #229464=EDGE_CURVE('',#181565,#181566,#110575,.T.); #229465=EDGE_CURVE('',#181566,#181567,#110576,.T.); #229466=EDGE_CURVE('',#181567,#181556,#110577,.T.); #229467=EDGE_CURVE('',#181568,#181557,#110578,.T.); #229468=EDGE_CURVE('',#181569,#181568,#110579,.T.); #229469=EDGE_CURVE('',#181558,#181569,#110580,.T.); #229470=EDGE_CURVE('',#181570,#181569,#72099,.T.); #229471=EDGE_CURVE('',#181559,#181570,#110581,.T.); #229472=EDGE_CURVE('',#181571,#181570,#110582,.T.); #229473=EDGE_CURVE('',#181560,#181571,#110583,.T.); #229474=EDGE_CURVE('',#181572,#181571,#110584,.T.); #229475=EDGE_CURVE('',#181561,#181572,#110585,.T.); #229476=EDGE_CURVE('',#181573,#181572,#110586,.T.); #229477=EDGE_CURVE('',#181562,#181573,#110587,.T.); #229478=EDGE_CURVE('',#181574,#181573,#72100,.T.); #229479=EDGE_CURVE('',#181563,#181574,#110588,.T.); #229480=EDGE_CURVE('',#181575,#181574,#110589,.T.); #229481=EDGE_CURVE('',#181564,#181575,#110590,.T.); #229482=EDGE_CURVE('',#181576,#181575,#72101,.T.); #229483=EDGE_CURVE('',#181565,#181576,#110591,.T.); #229484=EDGE_CURVE('',#181577,#181576,#110592,.T.); #229485=EDGE_CURVE('',#181566,#181577,#110593,.T.); #229486=EDGE_CURVE('',#181578,#181577,#110594,.T.); #229487=EDGE_CURVE('',#181567,#181578,#110595,.T.); #229488=EDGE_CURVE('',#181579,#181578,#110596,.T.); #229489=EDGE_CURVE('',#181556,#181579,#110597,.T.); #229490=EDGE_CURVE('',#181568,#181579,#72102,.T.); #229491=EDGE_CURVE('',#181580,#181581,#72103,.T.); #229492=EDGE_CURVE('',#181582,#181580,#110598,.T.); #229493=EDGE_CURVE('',#181583,#181582,#110599,.T.); #229494=EDGE_CURVE('',#181584,#181583,#110600,.T.); #229495=EDGE_CURVE('',#181585,#181584,#72104,.T.); #229496=EDGE_CURVE('',#181586,#181585,#110601,.T.); #229497=EDGE_CURVE('',#181587,#181586,#72105,.T.); #229498=EDGE_CURVE('',#181588,#181587,#110602,.T.); #229499=EDGE_CURVE('',#181589,#181588,#110603,.T.); #229500=EDGE_CURVE('',#181590,#181589,#110604,.T.); #229501=EDGE_CURVE('',#181591,#181590,#72106,.T.); #229502=EDGE_CURVE('',#181581,#181591,#110605,.T.); #229503=EDGE_CURVE('',#181592,#181581,#110606,.T.); #229504=EDGE_CURVE('',#181591,#181593,#110607,.T.); #229505=EDGE_CURVE('',#181593,#181592,#110608,.T.); #229506=EDGE_CURVE('',#181590,#181594,#110609,.T.); #229507=EDGE_CURVE('',#181594,#181593,#72107,.T.); #229508=EDGE_CURVE('',#181589,#181595,#110610,.T.); #229509=EDGE_CURVE('',#181595,#181594,#110611,.T.); #229510=EDGE_CURVE('',#181588,#181596,#110612,.T.); #229511=EDGE_CURVE('',#181596,#181595,#110613,.T.); #229512=EDGE_CURVE('',#181587,#181597,#110614,.T.); #229513=EDGE_CURVE('',#181597,#181596,#110615,.T.); #229514=EDGE_CURVE('',#181586,#181598,#110616,.T.); #229515=EDGE_CURVE('',#181598,#181597,#72108,.T.); #229516=EDGE_CURVE('',#181585,#181599,#110617,.T.); #229517=EDGE_CURVE('',#181599,#181598,#110618,.T.); #229518=EDGE_CURVE('',#181584,#181600,#110619,.T.); #229519=EDGE_CURVE('',#181600,#181599,#72109,.T.); #229520=EDGE_CURVE('',#181583,#181601,#110620,.T.); #229521=EDGE_CURVE('',#181601,#181600,#110621,.T.); #229522=EDGE_CURVE('',#181582,#181602,#110622,.T.); #229523=EDGE_CURVE('',#181602,#181601,#110623,.T.); #229524=EDGE_CURVE('',#181580,#181603,#110624,.T.); #229525=EDGE_CURVE('',#181603,#181602,#110625,.T.); #229526=EDGE_CURVE('',#181592,#181603,#72110,.T.); #229527=EDGE_CURVE('',#181604,#181605,#72111,.T.); #229528=EDGE_CURVE('',#181606,#181604,#110626,.T.); #229529=EDGE_CURVE('',#181607,#181606,#110627,.T.); #229530=EDGE_CURVE('',#181608,#181607,#110628,.T.); #229531=EDGE_CURVE('',#181609,#181608,#72112,.T.); #229532=EDGE_CURVE('',#181610,#181609,#110629,.T.); #229533=EDGE_CURVE('',#181611,#181610,#72113,.T.); #229534=EDGE_CURVE('',#181612,#181611,#110630,.T.); #229535=EDGE_CURVE('',#181613,#181612,#110631,.T.); #229536=EDGE_CURVE('',#181614,#181613,#110632,.T.); #229537=EDGE_CURVE('',#181615,#181614,#72114,.T.); #229538=EDGE_CURVE('',#181605,#181615,#110633,.T.); #229539=EDGE_CURVE('',#181616,#181605,#110634,.T.); #229540=EDGE_CURVE('',#181615,#181617,#110635,.T.); #229541=EDGE_CURVE('',#181617,#181616,#110636,.T.); #229542=EDGE_CURVE('',#181614,#181618,#110637,.T.); #229543=EDGE_CURVE('',#181618,#181617,#72115,.T.); #229544=EDGE_CURVE('',#181613,#181619,#110638,.T.); #229545=EDGE_CURVE('',#181619,#181618,#110639,.T.); #229546=EDGE_CURVE('',#181612,#181620,#110640,.T.); #229547=EDGE_CURVE('',#181620,#181619,#110641,.T.); #229548=EDGE_CURVE('',#181611,#181621,#110642,.T.); #229549=EDGE_CURVE('',#181621,#181620,#110643,.T.); #229550=EDGE_CURVE('',#181610,#181622,#110644,.T.); #229551=EDGE_CURVE('',#181622,#181621,#72116,.T.); #229552=EDGE_CURVE('',#181609,#181623,#110645,.T.); #229553=EDGE_CURVE('',#181623,#181622,#110646,.T.); #229554=EDGE_CURVE('',#181608,#181624,#110647,.T.); #229555=EDGE_CURVE('',#181624,#181623,#72117,.T.); #229556=EDGE_CURVE('',#181607,#181625,#110648,.T.); #229557=EDGE_CURVE('',#181625,#181624,#110649,.T.); #229558=EDGE_CURVE('',#181606,#181626,#110650,.T.); #229559=EDGE_CURVE('',#181626,#181625,#110651,.T.); #229560=EDGE_CURVE('',#181604,#181627,#110652,.T.); #229561=EDGE_CURVE('',#181627,#181626,#110653,.T.); #229562=EDGE_CURVE('',#181616,#181627,#72118,.T.); #229563=EDGE_CURVE('',#181628,#181629,#72119,.T.); #229564=EDGE_CURVE('',#181630,#181628,#110654,.T.); #229565=EDGE_CURVE('',#181631,#181630,#110655,.T.); #229566=EDGE_CURVE('',#181632,#181631,#110656,.T.); #229567=EDGE_CURVE('',#181633,#181632,#72120,.T.); #229568=EDGE_CURVE('',#181634,#181633,#110657,.T.); #229569=EDGE_CURVE('',#181635,#181634,#72121,.T.); #229570=EDGE_CURVE('',#181636,#181635,#110658,.T.); #229571=EDGE_CURVE('',#181637,#181636,#110659,.T.); #229572=EDGE_CURVE('',#181638,#181637,#110660,.T.); #229573=EDGE_CURVE('',#181639,#181638,#72122,.T.); #229574=EDGE_CURVE('',#181629,#181639,#110661,.T.); #229575=EDGE_CURVE('',#181640,#181629,#110662,.T.); #229576=EDGE_CURVE('',#181639,#181641,#110663,.T.); #229577=EDGE_CURVE('',#181641,#181640,#110664,.T.); #229578=EDGE_CURVE('',#181638,#181642,#110665,.T.); #229579=EDGE_CURVE('',#181642,#181641,#72123,.T.); #229580=EDGE_CURVE('',#181637,#181643,#110666,.T.); #229581=EDGE_CURVE('',#181643,#181642,#110667,.T.); #229582=EDGE_CURVE('',#181636,#181644,#110668,.T.); #229583=EDGE_CURVE('',#181644,#181643,#110669,.T.); #229584=EDGE_CURVE('',#181635,#181645,#110670,.T.); #229585=EDGE_CURVE('',#181645,#181644,#110671,.T.); #229586=EDGE_CURVE('',#181634,#181646,#110672,.T.); #229587=EDGE_CURVE('',#181646,#181645,#72124,.T.); #229588=EDGE_CURVE('',#181633,#181647,#110673,.T.); #229589=EDGE_CURVE('',#181647,#181646,#110674,.T.); #229590=EDGE_CURVE('',#181632,#181648,#110675,.T.); #229591=EDGE_CURVE('',#181648,#181647,#72125,.T.); #229592=EDGE_CURVE('',#181631,#181649,#110676,.T.); #229593=EDGE_CURVE('',#181649,#181648,#110677,.T.); #229594=EDGE_CURVE('',#181630,#181650,#110678,.T.); #229595=EDGE_CURVE('',#181650,#181649,#110679,.T.); #229596=EDGE_CURVE('',#181628,#181651,#110680,.T.); #229597=EDGE_CURVE('',#181651,#181650,#110681,.T.); #229598=EDGE_CURVE('',#181640,#181651,#72126,.T.); #229599=EDGE_CURVE('',#181652,#181652,#72127,.T.); #229600=EDGE_CURVE('',#181652,#181653,#110682,.T.); #229601=EDGE_CURVE('',#181653,#181653,#72128,.T.); #229602=EDGE_CURVE('',#181654,#181655,#110683,.T.); #229603=EDGE_CURVE('',#181655,#181656,#110684,.T.); #229604=EDGE_CURVE('',#181656,#181657,#110685,.T.); #229605=EDGE_CURVE('',#181654,#181657,#110686,.T.); #229606=EDGE_CURVE('',#181655,#181658,#110687,.T.); #229607=EDGE_CURVE('',#181658,#181659,#110688,.T.); #229608=EDGE_CURVE('',#181659,#181656,#110689,.T.); #229609=EDGE_CURVE('',#181658,#181660,#110690,.T.); #229610=EDGE_CURVE('',#181660,#181661,#110691,.T.); #229611=EDGE_CURVE('',#181661,#181659,#110692,.T.); #229612=EDGE_CURVE('',#181657,#181661,#110693,.T.); #229613=EDGE_CURVE('',#181660,#181654,#110694,.T.); #229614=EDGE_CURVE('',#181662,#181657,#110695,.T.); #229615=EDGE_CURVE('',#181663,#181662,#110696,.T.); #229616=EDGE_CURVE('',#181663,#181661,#110697,.T.); #229617=EDGE_CURVE('',#181664,#181656,#110698,.T.); #229618=EDGE_CURVE('',#181662,#181664,#110699,.T.); #229619=EDGE_CURVE('',#181665,#181663,#110700,.T.); #229620=EDGE_CURVE('',#181663,#181666,#110701,.T.); #229621=EDGE_CURVE('',#181666,#181667,#110702,.T.); #229622=EDGE_CURVE('',#181667,#181665,#110703,.T.); #229623=EDGE_CURVE('',#181668,#181666,#110704,.T.); #229624=EDGE_CURVE('',#181668,#181662,#110705,.T.); #229625=EDGE_CURVE('',#181664,#181665,#110706,.T.); #229626=EDGE_CURVE('',#181667,#181669,#110707,.T.); #229627=EDGE_CURVE('',#181669,#181664,#110708,.T.); #229628=EDGE_CURVE('',#181669,#181668,#110709,.T.); #229629=EDGE_CURVE('',#181665,#181659,#110710,.T.); #229630=EDGE_CURVE('',#181670,#181671,#72129,.T.); #229631=EDGE_CURVE('',#181671,#181672,#110711,.T.); #229632=EDGE_CURVE('',#181672,#181673,#110712,.T.); #229633=EDGE_CURVE('',#181673,#181674,#110713,.T.); #229634=EDGE_CURVE('',#181674,#181675,#72130,.T.); #229635=EDGE_CURVE('',#181675,#181676,#110714,.T.); #229636=EDGE_CURVE('',#181676,#181677,#72131,.T.); #229637=EDGE_CURVE('',#181677,#181678,#110715,.T.); #229638=EDGE_CURVE('',#181678,#181679,#110716,.T.); #229639=EDGE_CURVE('',#181679,#181680,#110717,.T.); #229640=EDGE_CURVE('',#181680,#181681,#72132,.T.); #229641=EDGE_CURVE('',#181681,#181670,#110718,.T.); #229642=EDGE_CURVE('',#181682,#181671,#110719,.T.); #229643=EDGE_CURVE('',#181683,#181682,#110720,.T.); #229644=EDGE_CURVE('',#181683,#181672,#110721,.T.); #229645=EDGE_CURVE('',#181684,#181683,#110722,.T.); #229646=EDGE_CURVE('',#181684,#181673,#110723,.T.); #229647=EDGE_CURVE('',#181685,#181684,#110724,.T.); #229648=EDGE_CURVE('',#181685,#181674,#110725,.T.); #229649=EDGE_CURVE('',#181686,#181685,#72133,.T.); #229650=EDGE_CURVE('',#181686,#181675,#110726,.T.); #229651=EDGE_CURVE('',#181687,#181686,#110727,.T.); #229652=EDGE_CURVE('',#181687,#181676,#110728,.T.); #229653=EDGE_CURVE('',#181688,#181687,#72134,.T.); #229654=EDGE_CURVE('',#181688,#181677,#110729,.T.); #229655=EDGE_CURVE('',#181689,#181688,#110730,.T.); #229656=EDGE_CURVE('',#181689,#181678,#110731,.T.); #229657=EDGE_CURVE('',#181690,#181689,#110732,.T.); #229658=EDGE_CURVE('',#181690,#181679,#110733,.T.); #229659=EDGE_CURVE('',#181691,#181690,#110734,.T.); #229660=EDGE_CURVE('',#181691,#181680,#110735,.T.); #229661=EDGE_CURVE('',#181692,#181691,#72135,.T.); #229662=EDGE_CURVE('',#181692,#181681,#110736,.T.); #229663=EDGE_CURVE('',#181693,#181692,#110737,.T.); #229664=EDGE_CURVE('',#181670,#181693,#110738,.T.); #229665=EDGE_CURVE('',#181682,#181693,#72136,.T.); #229666=EDGE_CURVE('',#181694,#181695,#72137,.T.); #229667=EDGE_CURVE('',#181695,#181696,#110739,.T.); #229668=EDGE_CURVE('',#181696,#181697,#110740,.T.); #229669=EDGE_CURVE('',#181697,#181698,#110741,.T.); #229670=EDGE_CURVE('',#181698,#181699,#72138,.T.); #229671=EDGE_CURVE('',#181699,#181700,#110742,.T.); #229672=EDGE_CURVE('',#181700,#181701,#72139,.T.); #229673=EDGE_CURVE('',#181701,#181702,#110743,.T.); #229674=EDGE_CURVE('',#181702,#181703,#110744,.T.); #229675=EDGE_CURVE('',#181703,#181704,#110745,.T.); #229676=EDGE_CURVE('',#181704,#181705,#72140,.T.); #229677=EDGE_CURVE('',#181705,#181694,#110746,.T.); #229678=EDGE_CURVE('',#181706,#181695,#110747,.T.); #229679=EDGE_CURVE('',#181707,#181706,#110748,.T.); #229680=EDGE_CURVE('',#181707,#181696,#110749,.T.); #229681=EDGE_CURVE('',#181708,#181707,#110750,.T.); #229682=EDGE_CURVE('',#181708,#181697,#110751,.T.); #229683=EDGE_CURVE('',#181709,#181708,#110752,.T.); #229684=EDGE_CURVE('',#181709,#181698,#110753,.T.); #229685=EDGE_CURVE('',#181710,#181709,#72141,.T.); #229686=EDGE_CURVE('',#181710,#181699,#110754,.T.); #229687=EDGE_CURVE('',#181711,#181710,#110755,.T.); #229688=EDGE_CURVE('',#181711,#181700,#110756,.T.); #229689=EDGE_CURVE('',#181712,#181711,#72142,.T.); #229690=EDGE_CURVE('',#181712,#181701,#110757,.T.); #229691=EDGE_CURVE('',#181713,#181712,#110758,.T.); #229692=EDGE_CURVE('',#181713,#181702,#110759,.T.); #229693=EDGE_CURVE('',#181714,#181713,#110760,.T.); #229694=EDGE_CURVE('',#181714,#181703,#110761,.T.); #229695=EDGE_CURVE('',#181715,#181714,#110762,.T.); #229696=EDGE_CURVE('',#181715,#181704,#110763,.T.); #229697=EDGE_CURVE('',#181716,#181715,#72143,.T.); #229698=EDGE_CURVE('',#181716,#181705,#110764,.T.); #229699=EDGE_CURVE('',#181717,#181716,#110765,.T.); #229700=EDGE_CURVE('',#181694,#181717,#110766,.T.); #229701=EDGE_CURVE('',#181706,#181717,#72144,.T.); #229702=EDGE_CURVE('',#181718,#181719,#72145,.T.); #229703=EDGE_CURVE('',#181719,#181720,#110767,.T.); #229704=EDGE_CURVE('',#181720,#181721,#110768,.T.); #229705=EDGE_CURVE('',#181721,#181722,#110769,.T.); #229706=EDGE_CURVE('',#181722,#181723,#72146,.T.); #229707=EDGE_CURVE('',#181723,#181724,#110770,.T.); #229708=EDGE_CURVE('',#181724,#181725,#72147,.T.); #229709=EDGE_CURVE('',#181725,#181726,#110771,.T.); #229710=EDGE_CURVE('',#181726,#181727,#110772,.T.); #229711=EDGE_CURVE('',#181727,#181728,#110773,.T.); #229712=EDGE_CURVE('',#181728,#181729,#72148,.T.); #229713=EDGE_CURVE('',#181729,#181718,#110774,.T.); #229714=EDGE_CURVE('',#181730,#181719,#110775,.T.); #229715=EDGE_CURVE('',#181731,#181730,#110776,.T.); #229716=EDGE_CURVE('',#181731,#181720,#110777,.T.); #229717=EDGE_CURVE('',#181732,#181731,#110778,.T.); #229718=EDGE_CURVE('',#181732,#181721,#110779,.T.); #229719=EDGE_CURVE('',#181733,#181732,#110780,.T.); #229720=EDGE_CURVE('',#181733,#181722,#110781,.T.); #229721=EDGE_CURVE('',#181734,#181733,#72149,.T.); #229722=EDGE_CURVE('',#181734,#181723,#110782,.T.); #229723=EDGE_CURVE('',#181735,#181734,#110783,.T.); #229724=EDGE_CURVE('',#181735,#181724,#110784,.T.); #229725=EDGE_CURVE('',#181736,#181735,#72150,.T.); #229726=EDGE_CURVE('',#181736,#181725,#110785,.T.); #229727=EDGE_CURVE('',#181737,#181736,#110786,.T.); #229728=EDGE_CURVE('',#181737,#181726,#110787,.T.); #229729=EDGE_CURVE('',#181738,#181737,#110788,.T.); #229730=EDGE_CURVE('',#181738,#181727,#110789,.T.); #229731=EDGE_CURVE('',#181739,#181738,#110790,.T.); #229732=EDGE_CURVE('',#181739,#181728,#110791,.T.); #229733=EDGE_CURVE('',#181740,#181739,#72151,.T.); #229734=EDGE_CURVE('',#181740,#181729,#110792,.T.); #229735=EDGE_CURVE('',#181741,#181740,#110793,.T.); #229736=EDGE_CURVE('',#181718,#181741,#110794,.T.); #229737=EDGE_CURVE('',#181730,#181741,#72152,.T.); #229738=EDGE_CURVE('',#181742,#181743,#72153,.T.); #229739=EDGE_CURVE('',#181743,#181744,#110795,.T.); #229740=EDGE_CURVE('',#181744,#181745,#110796,.T.); #229741=EDGE_CURVE('',#181745,#181746,#110797,.T.); #229742=EDGE_CURVE('',#181746,#181747,#72154,.T.); #229743=EDGE_CURVE('',#181747,#181748,#110798,.T.); #229744=EDGE_CURVE('',#181748,#181749,#72155,.T.); #229745=EDGE_CURVE('',#181749,#181750,#110799,.T.); #229746=EDGE_CURVE('',#181750,#181751,#110800,.T.); #229747=EDGE_CURVE('',#181751,#181752,#110801,.T.); #229748=EDGE_CURVE('',#181752,#181753,#72156,.T.); #229749=EDGE_CURVE('',#181753,#181742,#110802,.T.); #229750=EDGE_CURVE('',#181754,#181743,#110803,.T.); #229751=EDGE_CURVE('',#181755,#181754,#110804,.T.); #229752=EDGE_CURVE('',#181755,#181744,#110805,.T.); #229753=EDGE_CURVE('',#181756,#181755,#110806,.T.); #229754=EDGE_CURVE('',#181756,#181745,#110807,.T.); #229755=EDGE_CURVE('',#181757,#181756,#110808,.T.); #229756=EDGE_CURVE('',#181757,#181746,#110809,.T.); #229757=EDGE_CURVE('',#181758,#181757,#72157,.T.); #229758=EDGE_CURVE('',#181758,#181747,#110810,.T.); #229759=EDGE_CURVE('',#181759,#181758,#110811,.T.); #229760=EDGE_CURVE('',#181759,#181748,#110812,.T.); #229761=EDGE_CURVE('',#181760,#181759,#72158,.T.); #229762=EDGE_CURVE('',#181760,#181749,#110813,.T.); #229763=EDGE_CURVE('',#181761,#181760,#110814,.T.); #229764=EDGE_CURVE('',#181761,#181750,#110815,.T.); #229765=EDGE_CURVE('',#181762,#181761,#110816,.T.); #229766=EDGE_CURVE('',#181762,#181751,#110817,.T.); #229767=EDGE_CURVE('',#181763,#181762,#110818,.T.); #229768=EDGE_CURVE('',#181763,#181752,#110819,.T.); #229769=EDGE_CURVE('',#181764,#181763,#72159,.T.); #229770=EDGE_CURVE('',#181764,#181753,#110820,.T.); #229771=EDGE_CURVE('',#181765,#181764,#110821,.T.); #229772=EDGE_CURVE('',#181742,#181765,#110822,.T.); #229773=EDGE_CURVE('',#181754,#181765,#72160,.T.); #229774=EDGE_CURVE('',#181766,#181767,#72161,.T.); #229775=EDGE_CURVE('',#181767,#181768,#110823,.T.); #229776=EDGE_CURVE('',#181768,#181769,#110824,.T.); #229777=EDGE_CURVE('',#181769,#181770,#110825,.T.); #229778=EDGE_CURVE('',#181770,#181771,#72162,.T.); #229779=EDGE_CURVE('',#181771,#181772,#110826,.T.); #229780=EDGE_CURVE('',#181772,#181773,#72163,.T.); #229781=EDGE_CURVE('',#181773,#181774,#110827,.T.); #229782=EDGE_CURVE('',#181774,#181775,#110828,.T.); #229783=EDGE_CURVE('',#181775,#181776,#110829,.T.); #229784=EDGE_CURVE('',#181776,#181777,#72164,.T.); #229785=EDGE_CURVE('',#181777,#181766,#110830,.T.); #229786=EDGE_CURVE('',#181778,#181767,#110831,.T.); #229787=EDGE_CURVE('',#181779,#181778,#110832,.T.); #229788=EDGE_CURVE('',#181779,#181768,#110833,.T.); #229789=EDGE_CURVE('',#181780,#181779,#110834,.T.); #229790=EDGE_CURVE('',#181780,#181769,#110835,.T.); #229791=EDGE_CURVE('',#181781,#181780,#110836,.T.); #229792=EDGE_CURVE('',#181781,#181770,#110837,.T.); #229793=EDGE_CURVE('',#181782,#181781,#72165,.T.); #229794=EDGE_CURVE('',#181782,#181771,#110838,.T.); #229795=EDGE_CURVE('',#181783,#181782,#110839,.T.); #229796=EDGE_CURVE('',#181783,#181772,#110840,.T.); #229797=EDGE_CURVE('',#181784,#181783,#72166,.T.); #229798=EDGE_CURVE('',#181784,#181773,#110841,.T.); #229799=EDGE_CURVE('',#181785,#181784,#110842,.T.); #229800=EDGE_CURVE('',#181785,#181774,#110843,.T.); #229801=EDGE_CURVE('',#181786,#181785,#110844,.T.); #229802=EDGE_CURVE('',#181786,#181775,#110845,.T.); #229803=EDGE_CURVE('',#181787,#181786,#110846,.T.); #229804=EDGE_CURVE('',#181787,#181776,#110847,.T.); #229805=EDGE_CURVE('',#181788,#181787,#72167,.T.); #229806=EDGE_CURVE('',#181788,#181777,#110848,.T.); #229807=EDGE_CURVE('',#181789,#181788,#110849,.T.); #229808=EDGE_CURVE('',#181766,#181789,#110850,.T.); #229809=EDGE_CURVE('',#181778,#181789,#72168,.T.); #229810=EDGE_CURVE('',#181790,#181791,#72169,.F.); #229811=EDGE_CURVE('',#181792,#181790,#72170,.F.); #229812=EDGE_CURVE('',#181791,#181792,#72171,.F.); #229813=EDGE_CURVE('',#181793,#181794,#72172,.F.); #229814=EDGE_CURVE('',#181795,#181793,#72173,.F.); #229815=EDGE_CURVE('',#181794,#181795,#72174,.F.); #229816=EDGE_CURVE('',#181796,#181797,#72175,.F.); #229817=EDGE_CURVE('',#181798,#181796,#72176,.F.); #229818=EDGE_CURVE('',#181797,#181798,#72177,.F.); #229819=EDGE_CURVE('',#181799,#181800,#72178,.F.); #229820=EDGE_CURVE('',#181801,#181799,#72179,.F.); #229821=EDGE_CURVE('',#181800,#181801,#72180,.F.); #229822=EDGE_CURVE('',#181802,#181803,#72181,.F.); #229823=EDGE_CURVE('',#181804,#181802,#72182,.F.); #229824=EDGE_CURVE('',#181803,#181804,#72183,.F.); #229825=EDGE_CURVE('',#181802,#181797,#110851,.T.); #229826=EDGE_CURVE('',#181798,#181804,#110852,.T.); #229827=EDGE_CURVE('',#181805,#181806,#72184,.F.); #229828=EDGE_CURVE('',#181807,#181805,#72185,.F.); #229829=EDGE_CURVE('',#181806,#181807,#72186,.F.); #229830=EDGE_CURVE('',#181808,#181809,#72187,.F.); #229831=EDGE_CURVE('',#181810,#181808,#72188,.F.); #229832=EDGE_CURVE('',#181809,#181810,#72189,.F.); #229833=EDGE_CURVE('',#181796,#181810,#110853,.T.); #229834=EDGE_CURVE('',#181808,#181798,#110854,.T.); #229835=EDGE_CURVE('',#181799,#181809,#110855,.T.); #229836=EDGE_CURVE('',#181810,#181801,#110856,.T.); #229837=EDGE_CURVE('',#181811,#181812,#72190,.F.); #229838=EDGE_CURVE('',#181813,#181811,#72191,.F.); #229839=EDGE_CURVE('',#181812,#181813,#72192,.F.); #229840=EDGE_CURVE('',#181804,#181813,#110857,.T.); #229841=EDGE_CURVE('',#181811,#181803,#110858,.T.); #229842=EDGE_CURVE('',#181809,#181812,#110859,.T.); #229843=EDGE_CURVE('',#181813,#181808,#110860,.T.); #229844=EDGE_CURVE('',#181805,#181811,#110861,.T.); #229845=EDGE_CURVE('',#181812,#181807,#110862,.T.); #229846=EDGE_CURVE('',#181800,#181806,#110863,.T.); #229847=EDGE_CURVE('',#181807,#181799,#110864,.T.); #229848=EDGE_CURVE('',#181806,#181794,#110865,.T.); #229849=EDGE_CURVE('',#181795,#181805,#110866,.T.); #229850=EDGE_CURVE('',#181793,#181802,#110867,.T.); #229851=EDGE_CURVE('',#181803,#181795,#110868,.T.); #229852=EDGE_CURVE('',#181791,#181800,#110869,.T.); #229853=EDGE_CURVE('',#181801,#181790,#110870,.T.); #229854=EDGE_CURVE('',#181790,#181796,#110871,.T.); #229855=EDGE_CURVE('',#181797,#181792,#110872,.T.); #229856=EDGE_CURVE('',#181794,#181791,#110873,.T.); #229857=EDGE_CURVE('',#181792,#181793,#110874,.T.); #229858=EDGE_CURVE('',#181814,#181815,#72193,.F.); #229859=EDGE_CURVE('',#181816,#181814,#72194,.F.); #229860=EDGE_CURVE('',#181815,#181816,#72195,.F.); #229861=EDGE_CURVE('',#181817,#181818,#72196,.F.); #229862=EDGE_CURVE('',#181819,#181817,#72197,.F.); #229863=EDGE_CURVE('',#181818,#181819,#72198,.F.); #229864=EDGE_CURVE('',#181820,#181821,#72199,.F.); #229865=EDGE_CURVE('',#181822,#181820,#72200,.F.); #229866=EDGE_CURVE('',#181821,#181822,#72201,.F.); #229867=EDGE_CURVE('',#181823,#181824,#72202,.F.); #229868=EDGE_CURVE('',#181825,#181823,#72203,.F.); #229869=EDGE_CURVE('',#181824,#181825,#72204,.F.); #229870=EDGE_CURVE('',#181826,#181827,#72205,.F.); #229871=EDGE_CURVE('',#181828,#181826,#72206,.F.); #229872=EDGE_CURVE('',#181827,#181828,#72207,.F.); #229873=EDGE_CURVE('',#181826,#181821,#110875,.T.); #229874=EDGE_CURVE('',#181822,#181828,#110876,.T.); #229875=EDGE_CURVE('',#181829,#181830,#72208,.F.); #229876=EDGE_CURVE('',#181831,#181829,#72209,.F.); #229877=EDGE_CURVE('',#181830,#181831,#72210,.F.); #229878=EDGE_CURVE('',#181832,#181833,#72211,.F.); #229879=EDGE_CURVE('',#181834,#181832,#72212,.F.); #229880=EDGE_CURVE('',#181833,#181834,#72213,.F.); #229881=EDGE_CURVE('',#181820,#181834,#110877,.T.); #229882=EDGE_CURVE('',#181832,#181822,#110878,.T.); #229883=EDGE_CURVE('',#181823,#181833,#110879,.T.); #229884=EDGE_CURVE('',#181834,#181825,#110880,.T.); #229885=EDGE_CURVE('',#181835,#181836,#72214,.F.); #229886=EDGE_CURVE('',#181837,#181835,#72215,.F.); #229887=EDGE_CURVE('',#181836,#181837,#72216,.F.); #229888=EDGE_CURVE('',#181835,#181827,#110881,.T.); #229889=EDGE_CURVE('',#181828,#181837,#110882,.T.); #229890=EDGE_CURVE('',#181833,#181836,#110883,.T.); #229891=EDGE_CURVE('',#181837,#181832,#110884,.T.); #229892=EDGE_CURVE('',#181829,#181835,#110885,.T.); #229893=EDGE_CURVE('',#181836,#181831,#110886,.T.); #229894=EDGE_CURVE('',#181824,#181830,#110887,.T.); #229895=EDGE_CURVE('',#181831,#181823,#110888,.T.); #229896=EDGE_CURVE('',#181830,#181818,#110889,.T.); #229897=EDGE_CURVE('',#181819,#181829,#110890,.T.); #229898=EDGE_CURVE('',#181817,#181826,#110891,.T.); #229899=EDGE_CURVE('',#181827,#181819,#110892,.T.); #229900=EDGE_CURVE('',#181815,#181824,#110893,.T.); #229901=EDGE_CURVE('',#181825,#181814,#110894,.T.); #229902=EDGE_CURVE('',#181814,#181820,#110895,.T.); #229903=EDGE_CURVE('',#181821,#181816,#110896,.T.); #229904=EDGE_CURVE('',#181818,#181815,#110897,.T.); #229905=EDGE_CURVE('',#181816,#181817,#110898,.T.); #229906=EDGE_CURVE('',#181838,#181839,#110899,.T.); #229907=EDGE_CURVE('',#181838,#181840,#110900,.T.); #229908=EDGE_CURVE('',#181841,#181840,#110901,.T.); #229909=EDGE_CURVE('',#181839,#181841,#110902,.T.); #229910=EDGE_CURVE('',#181842,#181839,#110903,.T.); #229911=EDGE_CURVE('',#181843,#181841,#110904,.T.); #229912=EDGE_CURVE('',#181842,#181843,#110905,.T.); #229913=EDGE_CURVE('',#181844,#181842,#110906,.T.); #229914=EDGE_CURVE('',#181845,#181843,#110907,.T.); #229915=EDGE_CURVE('',#181844,#181845,#110908,.T.); #229916=EDGE_CURVE('',#181838,#181844,#110909,.T.); #229917=EDGE_CURVE('',#181840,#181845,#110910,.T.); #229918=EDGE_CURVE('',#181846,#181846,#72217,.T.); #229919=EDGE_CURVE('',#181846,#181847,#110911,.T.); #229920=EDGE_CURVE('',#181847,#181847,#72218,.T.); #229921=EDGE_CURVE('',#181848,#181848,#72219,.T.); #229922=EDGE_CURVE('',#181848,#181849,#110912,.T.); #229923=EDGE_CURVE('',#181849,#181849,#72220,.T.); #229924=EDGE_CURVE('',#181850,#181851,#110913,.T.); #229925=EDGE_CURVE('',#181851,#181852,#110914,.T.); #229926=EDGE_CURVE('',#181853,#181852,#110915,.T.); #229927=EDGE_CURVE('',#181850,#181853,#110916,.T.); #229928=EDGE_CURVE('',#181854,#181850,#110917,.T.); #229929=EDGE_CURVE('',#181855,#181853,#110918,.T.); #229930=EDGE_CURVE('',#181854,#181855,#110919,.T.); #229931=EDGE_CURVE('',#181856,#181854,#110920,.T.); #229932=EDGE_CURVE('',#181857,#181855,#110921,.T.); #229933=EDGE_CURVE('',#181856,#181857,#110922,.T.); #229934=EDGE_CURVE('',#181858,#181856,#110923,.T.); #229935=EDGE_CURVE('',#181859,#181857,#110924,.T.); #229936=EDGE_CURVE('',#181858,#181859,#110925,.T.); #229937=EDGE_CURVE('',#181860,#181858,#110926,.T.); #229938=EDGE_CURVE('',#181861,#181859,#110927,.T.); #229939=EDGE_CURVE('',#181860,#181861,#110928,.T.); #229940=EDGE_CURVE('',#181862,#181860,#110929,.T.); #229941=EDGE_CURVE('',#181863,#181861,#110930,.T.); #229942=EDGE_CURVE('',#181862,#181863,#110931,.T.); #229943=EDGE_CURVE('',#181864,#181862,#110932,.T.); #229944=EDGE_CURVE('',#181865,#181863,#110933,.T.); #229945=EDGE_CURVE('',#181864,#181865,#110934,.T.); #229946=EDGE_CURVE('',#181866,#181864,#110935,.T.); #229947=EDGE_CURVE('',#181867,#181865,#110936,.T.); #229948=EDGE_CURVE('',#181866,#181867,#110937,.T.); #229949=EDGE_CURVE('',#181868,#181866,#110938,.T.); #229950=EDGE_CURVE('',#181869,#181867,#110939,.T.); #229951=EDGE_CURVE('',#181868,#181869,#110940,.T.); #229952=EDGE_CURVE('',#181870,#181868,#110941,.T.); #229953=EDGE_CURVE('',#181871,#181869,#110942,.T.); #229954=EDGE_CURVE('',#181870,#181871,#110943,.T.); #229955=EDGE_CURVE('',#181872,#181870,#110944,.T.); #229956=EDGE_CURVE('',#181873,#181871,#110945,.T.); #229957=EDGE_CURVE('',#181872,#181873,#110946,.T.); #229958=EDGE_CURVE('',#181851,#181872,#110947,.T.); #229959=EDGE_CURVE('',#181852,#181873,#110948,.T.); #229960=EDGE_CURVE('',#181874,#181874,#72221,.T.); #229961=EDGE_CURVE('',#181874,#181875,#110949,.T.); #229962=EDGE_CURVE('',#181875,#181875,#72222,.T.); #229963=EDGE_CURVE('',#181876,#181876,#72223,.T.); #229964=EDGE_CURVE('',#181876,#181877,#110950,.T.); #229965=EDGE_CURVE('',#181877,#181877,#72224,.T.); #229966=EDGE_CURVE('',#181878,#181879,#110951,.T.); #229967=EDGE_CURVE('',#181879,#181880,#110952,.T.); #229968=EDGE_CURVE('',#181881,#181880,#110953,.T.); #229969=EDGE_CURVE('',#181878,#181881,#110954,.T.); #229970=EDGE_CURVE('',#181882,#181878,#110955,.T.); #229971=EDGE_CURVE('',#181883,#181881,#110956,.T.); #229972=EDGE_CURVE('',#181882,#181883,#110957,.T.); #229973=EDGE_CURVE('',#181884,#181882,#110958,.T.); #229974=EDGE_CURVE('',#181885,#181883,#110959,.T.); #229975=EDGE_CURVE('',#181884,#181885,#110960,.T.); #229976=EDGE_CURVE('',#181886,#181884,#110961,.T.); #229977=EDGE_CURVE('',#181887,#181885,#110962,.T.); #229978=EDGE_CURVE('',#181886,#181887,#110963,.T.); #229979=EDGE_CURVE('',#181888,#181886,#110964,.T.); #229980=EDGE_CURVE('',#181889,#181887,#110965,.T.); #229981=EDGE_CURVE('',#181888,#181889,#110966,.T.); #229982=EDGE_CURVE('',#181890,#181888,#110967,.T.); #229983=EDGE_CURVE('',#181891,#181889,#110968,.T.); #229984=EDGE_CURVE('',#181890,#181891,#110969,.T.); #229985=EDGE_CURVE('',#181892,#181890,#110970,.T.); #229986=EDGE_CURVE('',#181893,#181891,#110971,.T.); #229987=EDGE_CURVE('',#181892,#181893,#110972,.T.); #229988=EDGE_CURVE('',#181894,#181892,#110973,.T.); #229989=EDGE_CURVE('',#181895,#181893,#110974,.T.); #229990=EDGE_CURVE('',#181894,#181895,#110975,.T.); #229991=EDGE_CURVE('',#181896,#181894,#110976,.T.); #229992=EDGE_CURVE('',#181897,#181895,#110977,.T.); #229993=EDGE_CURVE('',#181896,#181897,#110978,.T.); #229994=EDGE_CURVE('',#181898,#181896,#110979,.T.); #229995=EDGE_CURVE('',#181899,#181897,#110980,.T.); #229996=EDGE_CURVE('',#181898,#181899,#110981,.T.); #229997=EDGE_CURVE('',#181900,#181898,#110982,.T.); #229998=EDGE_CURVE('',#181901,#181899,#110983,.T.); #229999=EDGE_CURVE('',#181900,#181901,#110984,.T.); #230000=EDGE_CURVE('',#181879,#181900,#110985,.T.); #230001=EDGE_CURVE('',#181880,#181901,#110986,.T.); #230002=EDGE_CURVE('',#181902,#181902,#72225,.T.); #230003=EDGE_CURVE('',#181902,#181903,#110987,.T.); #230004=EDGE_CURVE('',#181903,#181903,#72226,.T.); #230005=EDGE_CURVE('',#181904,#181904,#72227,.T.); #230006=EDGE_CURVE('',#181904,#181905,#110988,.T.); #230007=EDGE_CURVE('',#181905,#181905,#72228,.T.); #230008=EDGE_CURVE('',#181906,#181907,#110989,.T.); #230009=EDGE_CURVE('',#181906,#181908,#110990,.T.); #230010=EDGE_CURVE('',#181909,#181908,#110991,.T.); #230011=EDGE_CURVE('',#181907,#181909,#110992,.T.); #230012=EDGE_CURVE('',#181907,#181910,#110993,.T.); #230013=EDGE_CURVE('',#181911,#181909,#110994,.T.); #230014=EDGE_CURVE('',#181910,#181911,#110995,.T.); #230015=EDGE_CURVE('',#181910,#181912,#110996,.T.); #230016=EDGE_CURVE('',#181913,#181911,#110997,.T.); #230017=EDGE_CURVE('',#181912,#181913,#110998,.T.); #230018=EDGE_CURVE('',#181912,#181914,#110999,.T.); #230019=EDGE_CURVE('',#181915,#181913,#111000,.T.); #230020=EDGE_CURVE('',#181914,#181915,#111001,.T.); #230021=EDGE_CURVE('',#181914,#181916,#111002,.T.); #230022=EDGE_CURVE('',#181917,#181915,#111003,.T.); #230023=EDGE_CURVE('',#181916,#181917,#111004,.T.); #230024=EDGE_CURVE('',#181916,#181918,#111005,.T.); #230025=EDGE_CURVE('',#181919,#181917,#111006,.T.); #230026=EDGE_CURVE('',#181918,#181919,#111007,.T.); #230027=EDGE_CURVE('',#181918,#181920,#111008,.T.); #230028=EDGE_CURVE('',#181921,#181919,#111009,.T.); #230029=EDGE_CURVE('',#181920,#181921,#111010,.T.); #230030=EDGE_CURVE('',#181920,#181922,#111011,.T.); #230031=EDGE_CURVE('',#181923,#181921,#111012,.T.); #230032=EDGE_CURVE('',#181922,#181923,#111013,.T.); #230033=EDGE_CURVE('',#181922,#181924,#111014,.T.); #230034=EDGE_CURVE('',#181925,#181923,#111015,.T.); #230035=EDGE_CURVE('',#181924,#181925,#111016,.T.); #230036=EDGE_CURVE('',#181924,#181926,#111017,.T.); #230037=EDGE_CURVE('',#181927,#181925,#111018,.T.); #230038=EDGE_CURVE('',#181926,#181927,#111019,.T.); #230039=EDGE_CURVE('',#181926,#181928,#111020,.T.); #230040=EDGE_CURVE('',#181929,#181927,#111021,.T.); #230041=EDGE_CURVE('',#181928,#181929,#111022,.T.); #230042=EDGE_CURVE('',#181928,#181906,#111023,.T.); #230043=EDGE_CURVE('',#181908,#181929,#111024,.T.); #230044=EDGE_CURVE('',#181930,#181931,#111025,.T.); #230045=EDGE_CURVE('',#181932,#181930,#111026,.T.); #230046=EDGE_CURVE('',#181933,#181932,#111027,.T.); #230047=EDGE_CURVE('',#181931,#181933,#111028,.T.); #230048=EDGE_CURVE('',#181934,#181930,#111029,.T.); #230049=EDGE_CURVE('',#181935,#181934,#111030,.T.); #230050=EDGE_CURVE('',#181935,#181932,#111031,.T.); #230051=EDGE_CURVE('',#181936,#181931,#111032,.T.); #230052=EDGE_CURVE('',#181934,#181936,#111033,.T.); #230053=EDGE_CURVE('',#181937,#181933,#111034,.T.); #230054=EDGE_CURVE('',#181936,#181937,#111035,.T.); #230055=EDGE_CURVE('',#181937,#181935,#111036,.T.); #230056=EDGE_CURVE('',#181938,#181938,#72229,.T.); #230057=EDGE_CURVE('',#181938,#181939,#111037,.T.); #230058=EDGE_CURVE('',#181939,#181939,#72230,.T.); #230059=EDGE_CURVE('',#181940,#181941,#72231,.T.); #230060=EDGE_CURVE('',#181942,#181941,#111038,.T.); #230061=EDGE_CURVE('',#181943,#181942,#111039,.F.); #230062=EDGE_CURVE('',#181940,#181943,#111040,.T.); #230063=EDGE_CURVE('',#181944,#181945,#72232,.T.); #230064=EDGE_CURVE('',#181945,#181938,#111041,.T.); #230065=EDGE_CURVE('',#181945,#181944,#72233,.T.); #230066=EDGE_CURVE('',#181946,#181943,#111042,.T.); #230067=EDGE_CURVE('',#181946,#181947,#111043,.T.); #230068=EDGE_CURVE('',#181940,#181947,#111044,.F.); #230069=EDGE_CURVE('',#181948,#181942,#111045,.F.); #230070=EDGE_CURVE('',#181948,#181946,#111046,.T.); #230071=EDGE_CURVE('',#181949,#181941,#111047,.F.); #230072=EDGE_CURVE('',#181948,#181949,#111048,.T.); #230073=EDGE_CURVE('',#181949,#181947,#72234,.F.); #230074=EDGE_CURVE('',#181950,#181950,#72235,.T.); #230075=EDGE_CURVE('',#181950,#181951,#111049,.T.); #230076=EDGE_CURVE('',#181951,#181951,#72236,.T.); #230077=EDGE_CURVE('',#181952,#181952,#72237,.T.); #230078=EDGE_CURVE('',#181951,#181953,#111050,.T.); #230079=EDGE_CURVE('',#181953,#181953,#72238,.T.); #230080=EDGE_CURVE('',#181954,#181955,#72239,.T.); #230081=EDGE_CURVE('',#181956,#181954,#111051,.T.); #230082=EDGE_CURVE('',#181957,#181956,#72240,.T.); #230083=EDGE_CURVE('',#181955,#181957,#111052,.T.); #230084=EDGE_CURVE('',#181958,#181959,#111053,.T.); #230085=EDGE_CURVE('',#181960,#181958,#72241,.T.); #230086=EDGE_CURVE('',#181960,#181961,#111054,.T.); #230087=EDGE_CURVE('',#181959,#181961,#72242,.T.); #230088=EDGE_CURVE('',#181962,#181963,#111055,.T.); #230089=EDGE_CURVE('',#181964,#181962,#72243,.T.); #230090=EDGE_CURVE('',#181965,#181964,#111056,.T.); #230091=EDGE_CURVE('',#181963,#181965,#72244,.T.); #230092=EDGE_CURVE('',#181952,#181966,#111057,.T.); #230093=EDGE_CURVE('',#181966,#181966,#72245,.T.); #230094=EDGE_CURVE('',#181966,#181967,#111058,.T.); #230095=EDGE_CURVE('',#181967,#181967,#72246,.T.); #230096=EDGE_CURVE('',#181967,#181944,#111059,.T.); #230097=EDGE_CURVE('',#181955,#181968,#8139,.T.); #230098=EDGE_CURVE('',#181957,#181969,#8140,.F.); #230099=EDGE_CURVE('',#181969,#181968,#111060,.T.); #230100=EDGE_CURVE('',#181965,#181970,#8141,.T.); #230101=EDGE_CURVE('',#181964,#181971,#8142,.F.); #230102=EDGE_CURVE('',#181971,#181970,#111061,.T.); #230103=EDGE_CURVE('',#181972,#181959,#8143,.T.); #230104=EDGE_CURVE('',#181972,#181973,#111062,.T.); #230105=EDGE_CURVE('',#181973,#181958,#8144,.F.); #230106=EDGE_CURVE('',#181974,#181954,#8145,.T.); #230107=EDGE_CURVE('',#181974,#181975,#111063,.T.); #230108=EDGE_CURVE('',#181975,#181956,#8146,.F.); #230109=EDGE_CURVE('',#181976,#181963,#8147,.T.); #230110=EDGE_CURVE('',#181976,#181977,#111064,.T.); #230111=EDGE_CURVE('',#181977,#181962,#8148,.F.); #230112=EDGE_CURVE('',#181961,#181978,#8149,.F.); #230113=EDGE_CURVE('',#181960,#181979,#8150,.T.); #230114=EDGE_CURVE('',#181979,#181978,#111065,.T.); #230115=EDGE_CURVE('',#181970,#181976,#72247,.T.); #230116=EDGE_CURVE('',#181977,#181971,#72248,.T.); #230117=EDGE_CURVE('',#181968,#181974,#72249,.T.); #230118=EDGE_CURVE('',#181975,#181969,#72250,.T.); #230119=EDGE_CURVE('',#181978,#181972,#72251,.T.); #230120=EDGE_CURVE('',#181973,#181979,#72252,.T.); #230121=EDGE_CURVE('',#181980,#181980,#72253,.T.); #230122=EDGE_CURVE('',#181980,#181953,#111066,.T.); #230123=EDGE_CURVE('',#181981,#181982,#111067,.T.); #230124=EDGE_CURVE('',#181982,#181983,#63935,.T.); #230125=EDGE_CURVE('',#181983,#181984,#111068,.T.); #230126=EDGE_CURVE('',#181981,#181984,#63936,.T.); #230127=EDGE_CURVE('',#181985,#181986,#72254,.T.); #230128=EDGE_CURVE('',#181983,#181986,#8151,.T.); #230129=EDGE_CURVE('',#181982,#181987,#72255,.T.); #230130=EDGE_CURVE('',#181987,#181988,#63937,.T.); #230131=EDGE_CURVE('',#181985,#181988,#8152,.T.); #230132=EDGE_CURVE('',#181989,#181988,#111069,.T.); #230133=EDGE_CURVE('',#181987,#181990,#111070,.T.); #230134=EDGE_CURVE('',#181990,#181989,#63938,.T.); #230135=EDGE_CURVE('',#181991,#181992,#72256,.T.); #230136=EDGE_CURVE('',#181989,#181992,#8153,.T.); #230137=EDGE_CURVE('',#181990,#181981,#72257,.T.); #230138=EDGE_CURVE('',#181991,#181984,#8154,.T.); #230139=EDGE_CURVE('',#181993,#181992,#111071,.T.); #230140=EDGE_CURVE('',#181994,#181993,#111072,.T.); #230141=EDGE_CURVE('',#181994,#181985,#111073,.T.); #230142=EDGE_CURVE('',#181995,#181991,#111074,.T.); #230143=EDGE_CURVE('',#181993,#181995,#72258,.T.); #230144=EDGE_CURVE('',#181996,#181994,#72259,.T.); #230145=EDGE_CURVE('',#181996,#181986,#111075,.T.); #230146=EDGE_CURVE('',#181995,#181996,#111076,.T.); #230147=EDGE_CURVE('',#181997,#181998,#72260,.T.); #230148=EDGE_CURVE('',#181997,#181999,#111077,.T.); #230149=EDGE_CURVE('',#181998,#181999,#111078,.T.); #230150=EDGE_CURVE('',#182000,#182001,#72261,.F.); #230151=EDGE_CURVE('',#182002,#182000,#111079,.F.); #230152=EDGE_CURVE('',#182003,#182002,#72262,.F.); #230153=EDGE_CURVE('',#182004,#182003,#111080,.T.); #230154=EDGE_CURVE('',#182005,#182004,#111081,.T.); #230155=EDGE_CURVE('',#182005,#182001,#111082,.F.); #230156=EDGE_CURVE('',#182006,#182007,#63939,.T.); #230157=EDGE_CURVE('',#182008,#182006,#111083,.T.); #230158=EDGE_CURVE('',#182007,#182008,#72263,.T.); #230159=EDGE_CURVE('',#182009,#182010,#63940,.T.); #230160=EDGE_CURVE('',#182011,#182009,#72264,.T.); #230161=EDGE_CURVE('',#182011,#182010,#111084,.T.); #230162=EDGE_CURVE('',#182012,#182013,#63941,.T.); #230163=EDGE_CURVE('',#182012,#182014,#111085,.T.); #230164=EDGE_CURVE('',#182014,#182013,#72265,.T.); #230165=EDGE_CURVE('',#182015,#182016,#63942,.T.); #230166=EDGE_CURVE('',#182015,#182017,#72266,.T.); #230167=EDGE_CURVE('',#182016,#182017,#111086,.T.); #230168=EDGE_CURVE('',#182018,#182019,#72267,.T.); #230169=EDGE_CURVE('',#182018,#182020,#111087,.T.); #230170=EDGE_CURVE('',#182020,#182019,#111088,.T.); #230171=EDGE_CURVE('',#182021,#182016,#111089,.T.); #230172=EDGE_CURVE('',#182022,#182021,#63943,.T.); #230173=EDGE_CURVE('',#182022,#182015,#111090,.T.); #230174=EDGE_CURVE('',#181997,#182023,#111091,.T.); #230175=EDGE_CURVE('',#182024,#182023,#72268,.T.); #230176=EDGE_CURVE('',#182019,#182024,#111092,.T.); #230177=EDGE_CURVE('',#182017,#182020,#111093,.T.); #230178=EDGE_CURVE('',#182025,#182022,#72269,.T.); #230179=EDGE_CURVE('',#182025,#182013,#111094,.T.); #230180=EDGE_CURVE('',#181999,#182014,#111095,.T.); #230181=EDGE_CURVE('',#182026,#182025,#63944,.T.); #230182=EDGE_CURVE('',#182026,#182012,#111096,.T.); #230183=EDGE_CURVE('',#181998,#182027,#111097,.T.); #230184=EDGE_CURVE('',#182023,#182027,#63945,.T.); #230185=EDGE_CURVE('',#182028,#182028,#72270,.T.); #230186=EDGE_CURVE('',#182029,#182005,#111098,.T.); #230187=EDGE_CURVE('',#182004,#182030,#111099,.T.); #230188=EDGE_CURVE('',#182030,#182029,#72271,.F.); #230189=EDGE_CURVE('',#182031,#182007,#111100,.T.); #230190=EDGE_CURVE('',#182032,#182031,#63946,.T.); #230191=EDGE_CURVE('',#182032,#182006,#111101,.T.); #230192=EDGE_CURVE('',#182001,#182033,#111102,.T.); #230193=EDGE_CURVE('',#182034,#182033,#63947,.T.); #230194=EDGE_CURVE('',#182000,#182034,#111103,.T.); #230195=EDGE_CURVE('',#182003,#182035,#111104,.T.); #230196=EDGE_CURVE('',#182027,#182035,#111105,.T.); #230197=EDGE_CURVE('',#182036,#182026,#111106,.T.); #230198=EDGE_CURVE('',#182036,#182010,#111107,.T.); #230199=EDGE_CURVE('',#182011,#182037,#111108,.T.); #230200=EDGE_CURVE('',#182030,#182037,#111109,.F.); #230201=EDGE_CURVE('',#182038,#182036,#63948,.T.); #230202=EDGE_CURVE('',#182009,#182038,#111110,.T.); #230203=EDGE_CURVE('',#182039,#182024,#63949,.T.); #230204=EDGE_CURVE('',#182018,#182039,#111111,.T.); #230205=EDGE_CURVE('',#182033,#182039,#111112,.T.); #230206=EDGE_CURVE('',#182040,#182029,#111113,.F.); #230207=EDGE_CURVE('',#182008,#182040,#111114,.T.); #230208=EDGE_CURVE('',#182021,#182032,#111115,.T.); #230209=EDGE_CURVE('',#182002,#182041,#111116,.T.); #230210=EDGE_CURVE('',#182035,#182041,#63950,.T.); #230211=EDGE_CURVE('',#182041,#182034,#72272,.T.); #230212=EDGE_CURVE('',#182042,#182043,#111117,.T.); #230213=EDGE_CURVE('',#182044,#182043,#72273,.T.); #230214=EDGE_CURVE('',#182044,#182045,#111118,.T.); #230215=EDGE_CURVE('',#182038,#182045,#72274,.T.); #230216=EDGE_CURVE('',#182046,#182031,#72275,.T.); #230217=EDGE_CURVE('',#182046,#182047,#111119,.T.); #230218=EDGE_CURVE('',#182047,#182048,#72276,.T.); #230219=EDGE_CURVE('',#182048,#182049,#111120,.T.); #230220=EDGE_CURVE('',#182045,#182049,#72277,.T.); #230221=EDGE_CURVE('',#182050,#182044,#72278,.T.); #230222=EDGE_CURVE('',#182050,#182051,#111121,.T.); #230223=EDGE_CURVE('',#182052,#182051,#3251,.F.); #230224=EDGE_CURVE('',#182052,#182053,#72279,.T.); #230225=EDGE_CURVE('',#182054,#182053,#3252,.F.); #230226=EDGE_CURVE('',#182054,#182055,#111122,.T.); #230227=EDGE_CURVE('',#182056,#182055,#72280,.T.); #230228=EDGE_CURVE('',#182056,#182057,#111123,.T.); #230229=EDGE_CURVE('',#182058,#182057,#3253,.F.); #230230=EDGE_CURVE('',#182058,#182059,#72281,.T.); #230231=EDGE_CURVE('',#182042,#182059,#3254,.F.); #230232=EDGE_CURVE('',#182040,#182060,#72282,.F.); #230233=EDGE_CURVE('',#182060,#182046,#111124,.T.); #230234=EDGE_CURVE('',#182047,#182061,#111125,.T.); #230235=EDGE_CURVE('',#182062,#182061,#72283,.F.); #230236=EDGE_CURVE('',#182048,#182062,#111126,.T.); #230237=EDGE_CURVE('',#182063,#182062,#111127,.F.); #230238=EDGE_CURVE('',#182064,#182063,#111128,.T.); #230239=EDGE_CURVE('',#182049,#182064,#111129,.T.); #230240=EDGE_CURVE('',#182065,#182063,#72284,.F.); #230241=EDGE_CURVE('',#182060,#182065,#111130,.T.); #230242=EDGE_CURVE('',#182060,#182064,#72285,.T.); #230243=EDGE_CURVE('',#182061,#182065,#111131,.F.); #230244=EDGE_CURVE('',#182066,#182066,#72286,.T.); #230245=EDGE_CURVE('',#182067,#182067,#72287,.T.); #230246=EDGE_CURVE('',#182068,#182068,#72288,.T.); #230247=EDGE_CURVE('',#182068,#182067,#111132,.T.); #230248=EDGE_CURVE('',#182069,#182069,#72289,.T.); #230249=EDGE_CURVE('',#182055,#182070,#111133,.T.); #230250=EDGE_CURVE('',#182071,#182070,#72290,.T.); #230251=EDGE_CURVE('',#182056,#182071,#111134,.T.); #230252=EDGE_CURVE('',#182072,#182050,#111135,.T.); #230253=EDGE_CURVE('',#182073,#182043,#111136,.T.); #230254=EDGE_CURVE('',#182074,#182073,#72291,.T.); #230255=EDGE_CURVE('',#182072,#182074,#72292,.T.); #230256=EDGE_CURVE('',#182066,#182074,#111137,.T.); #230257=EDGE_CURVE('',#182071,#182073,#72293,.T.); #230258=EDGE_CURVE('',#182072,#182070,#72294,.T.); #230259=EDGE_CURVE('',#182071,#182073,#111138,.T.); #230260=EDGE_CURVE('',#182070,#182072,#111139,.T.); #230261=EDGE_CURVE('',#182051,#182054,#111140,.T.); #230262=EDGE_CURVE('',#182053,#182052,#111141,.T.); #230263=EDGE_CURVE('',#182057,#182042,#111142,.T.); #230264=EDGE_CURVE('',#182059,#182058,#111143,.T.); #230265=EDGE_CURVE('',#182064,#182037,#72295,.F.); #230266=EDGE_CURVE('',#182069,#182028,#111144,.T.); #230267=EDGE_CURVE('',#182075,#182076,#111145,.T.); #230268=EDGE_CURVE('',#182076,#182077,#111146,.T.); #230269=EDGE_CURVE('',#182077,#182078,#111147,.T.); #230270=EDGE_CURVE('',#182078,#182075,#111148,.T.); #230271=EDGE_CURVE('',#182079,#182076,#72296,.T.); #230272=EDGE_CURVE('',#182080,#182079,#111149,.T.); #230273=EDGE_CURVE('',#182077,#182080,#72297,.T.); #230274=EDGE_CURVE('',#182081,#182082,#111150,.T.); #230275=EDGE_CURVE('',#182082,#182083,#111151,.T.); #230276=EDGE_CURVE('',#182083,#182084,#111152,.T.); #230277=EDGE_CURVE('',#182084,#182081,#111153,.T.); #230278=EDGE_CURVE('',#182085,#182082,#111154,.T.); #230279=EDGE_CURVE('',#182086,#182085,#111155,.T.); #230280=EDGE_CURVE('',#182083,#182086,#111156,.T.); #230281=EDGE_CURVE('',#182079,#182087,#111157,.T.); #230282=EDGE_CURVE('',#182087,#182088,#111158,.T.); #230283=EDGE_CURVE('',#182088,#182080,#111159,.T.); #230284=EDGE_CURVE('',#182088,#182078,#111160,.T.); #230285=EDGE_CURVE('',#182075,#182087,#111161,.T.); #230286=EDGE_CURVE('',#182085,#182089,#111162,.T.); #230287=EDGE_CURVE('',#182089,#182090,#111163,.T.); #230288=EDGE_CURVE('',#182090,#182086,#111164,.T.); #230289=EDGE_CURVE('',#182090,#182084,#72298,.T.); #230290=EDGE_CURVE('',#182081,#182089,#72299,.T.); #230291=EDGE_CURVE('',#182091,#182091,#72300,.T.); #230292=EDGE_CURVE('',#182091,#182092,#111165,.T.); #230293=EDGE_CURVE('',#182092,#182092,#72301,.T.); #230294=EDGE_CURVE('',#182093,#182094,#111166,.F.); #230295=EDGE_CURVE('',#182094,#182095,#72302,.F.); #230296=EDGE_CURVE('',#182095,#182096,#111167,.F.); #230297=EDGE_CURVE('',#182096,#182093,#72303,.F.); #230298=EDGE_CURVE('',#182097,#182097,#72304,.T.); #230299=EDGE_CURVE('',#182098,#182099,#72305,.T.); #230300=EDGE_CURVE('',#182099,#182098,#111168,.T.); #230301=EDGE_CURVE('',#182100,#182101,#72306,.T.); #230302=EDGE_CURVE('',#182101,#182100,#111169,.T.); #230303=EDGE_CURVE('',#182092,#182102,#111170,.T.); #230304=EDGE_CURVE('',#182102,#182102,#72307,.T.); #230305=EDGE_CURVE('',#182097,#182103,#111171,.T.); #230306=EDGE_CURVE('',#182103,#182103,#72308,.T.); #230307=EDGE_CURVE('',#182103,#182104,#111172,.T.); #230308=EDGE_CURVE('',#182104,#182104,#72309,.T.); #230309=EDGE_CURVE('',#182105,#182105,#72310,.T.); #230310=EDGE_CURVE('',#182105,#182106,#111173,.T.); #230311=EDGE_CURVE('',#182106,#182106,#72311,.T.); #230312=EDGE_CURVE('',#182107,#182108,#72312,.T.); #230313=EDGE_CURVE('',#182108,#182107,#72313,.T.); #230314=EDGE_CURVE('',#182109,#182109,#72314,.T.); #230315=EDGE_CURVE('',#182110,#182111,#111174,.T.); #230316=EDGE_CURVE('',#182111,#182110,#72315,.T.); #230317=EDGE_CURVE('',#182112,#182113,#111175,.T.); #230318=EDGE_CURVE('',#182113,#182112,#72316,.T.); #230319=EDGE_CURVE('',#182106,#182114,#111176,.T.); #230320=EDGE_CURVE('',#182114,#182114,#72317,.T.); #230321=EDGE_CURVE('',#182109,#182115,#111177,.T.); #230322=EDGE_CURVE('',#182115,#182115,#72318,.T.); #230323=EDGE_CURVE('',#182115,#182116,#111178,.T.); #230324=EDGE_CURVE('',#182116,#182116,#72319,.T.); #230325=EDGE_CURVE('',#182117,#182118,#111179,.T.); #230326=EDGE_CURVE('',#182118,#182112,#63951,.T.); #230327=EDGE_CURVE('',#182113,#182117,#63952,.T.); #230328=EDGE_CURVE('',#182118,#182117,#72320,.T.); #230329=EDGE_CURVE('',#182117,#182119,#111180,.T.); #230330=EDGE_CURVE('',#182120,#182119,#111181,.T.); #230331=EDGE_CURVE('',#182118,#182120,#111182,.T.); #230332=EDGE_CURVE('',#182101,#182119,#63953,.T.); #230333=EDGE_CURVE('',#182119,#182120,#72321,.T.); #230334=EDGE_CURVE('',#182100,#182120,#63954,.T.); #230335=EDGE_CURVE('',#182099,#182121,#63955,.T.); #230336=EDGE_CURVE('',#182121,#182122,#72322,.T.); #230337=EDGE_CURVE('',#182098,#182122,#63956,.T.); #230338=EDGE_CURVE('',#182122,#182121,#111183,.T.); #230339=EDGE_CURVE('',#182123,#182121,#111184,.T.); #230340=EDGE_CURVE('',#182124,#182123,#72323,.T.); #230341=EDGE_CURVE('',#182124,#182122,#111185,.T.); #230342=EDGE_CURVE('',#182123,#182124,#111186,.T.); #230343=EDGE_CURVE('',#182124,#182110,#63957,.T.); #230344=EDGE_CURVE('',#182111,#182123,#63958,.T.); #230345=EDGE_CURVE('',#182125,#182126,#111187,.T.); #230346=EDGE_CURVE('',#182126,#182127,#72324,.T.); #230347=EDGE_CURVE('',#182127,#182128,#111188,.T.); #230348=EDGE_CURVE('',#182128,#182125,#72325,.T.); #230349=EDGE_CURVE('',#182129,#182130,#72326,.T.); #230350=EDGE_CURVE('',#182130,#182125,#111189,.T.); #230351=EDGE_CURVE('',#182125,#182128,#72327,.T.); #230352=EDGE_CURVE('',#182128,#182129,#111190,.T.); #230353=EDGE_CURVE('',#182107,#182125,#111191,.T.); #230354=EDGE_CURVE('',#182108,#182128,#111192,.T.); #230355=EDGE_CURVE('',#182108,#182131,#111193,.T.); #230356=EDGE_CURVE('',#182131,#182127,#111194,.T.); #230357=EDGE_CURVE('',#182131,#182132,#72328,.T.); #230358=EDGE_CURVE('',#182132,#182126,#111195,.T.); #230359=EDGE_CURVE('',#182132,#182107,#111196,.T.); #230360=EDGE_CURVE('',#182133,#182108,#111197,.T.); #230361=EDGE_CURVE('',#182133,#182129,#111198,.T.); #230362=EDGE_CURVE('',#182108,#182128,#111199,.T.); #230363=EDGE_CURVE('',#182107,#182125,#111200,.T.); #230364=EDGE_CURVE('',#182107,#182134,#111201,.T.); #230365=EDGE_CURVE('',#182134,#182130,#111202,.T.); #230366=EDGE_CURVE('',#182134,#182133,#72329,.T.); #230367=EDGE_CURVE('',#182135,#182136,#111203,.T.); #230368=EDGE_CURVE('',#182136,#182137,#72330,.T.); #230369=EDGE_CURVE('',#182137,#182138,#111204,.T.); #230370=EDGE_CURVE('',#182138,#182135,#72331,.T.); #230371=EDGE_CURVE('',#182136,#182093,#111205,.T.); #230372=EDGE_CURVE('',#182137,#182096,#111206,.F.); #230373=EDGE_CURVE('',#182138,#182095,#111207,.T.); #230374=EDGE_CURVE('',#182135,#182094,#111208,.F.); #230375=EDGE_CURVE('',#182139,#182140,#72332,.T.); #230376=EDGE_CURVE('',#182141,#182139,#111209,.T.); #230377=EDGE_CURVE('',#182140,#182141,#111210,.T.); #230378=EDGE_CURVE('',#182142,#182143,#111211,.T.); #230379=EDGE_CURVE('',#182142,#182141,#111212,.T.); #230380=EDGE_CURVE('',#182139,#182144,#111213,.T.); #230381=EDGE_CURVE('',#182143,#182144,#111214,.T.); #230382=EDGE_CURVE('',#182145,#182139,#72333,.T.); #230383=EDGE_CURVE('',#182144,#182145,#111215,.T.); #230384=EDGE_CURVE('',#182146,#182145,#72334,.T.); #230385=EDGE_CURVE('',#182147,#182146,#111216,.T.); #230386=EDGE_CURVE('',#182145,#182147,#111217,.T.); #230387=EDGE_CURVE('',#182148,#182149,#111218,.T.); #230388=EDGE_CURVE('',#182148,#182147,#111219,.T.); #230389=EDGE_CURVE('',#182146,#182150,#111220,.T.); #230390=EDGE_CURVE('',#182149,#182150,#111221,.T.); #230391=EDGE_CURVE('',#182140,#182146,#72335,.T.); #230392=EDGE_CURVE('',#182150,#182140,#111222,.T.); #230393=EDGE_CURVE('',#182151,#182152,#111223,.T.); #230394=EDGE_CURVE('',#182153,#182151,#72336,.T.); #230395=EDGE_CURVE('',#182152,#182153,#111224,.T.); #230396=EDGE_CURVE('',#182153,#182154,#111225,.T.); #230397=EDGE_CURVE('',#182155,#182153,#72337,.T.); #230398=EDGE_CURVE('',#182154,#182155,#111226,.T.); #230399=EDGE_CURVE('',#182156,#182157,#111227,.T.); #230400=EDGE_CURVE('',#182156,#182154,#111228,.T.); #230401=EDGE_CURVE('',#182155,#182158,#111229,.T.); #230402=EDGE_CURVE('',#182157,#182158,#111230,.T.); #230403=EDGE_CURVE('',#182159,#182155,#72338,.T.); #230404=EDGE_CURVE('',#182158,#182159,#111231,.T.); #230405=EDGE_CURVE('',#182160,#182161,#111232,.T.); #230406=EDGE_CURVE('',#182160,#182162,#111233,.T.); #230407=EDGE_CURVE('',#182162,#182151,#111234,.T.); #230408=EDGE_CURVE('',#182161,#182152,#111235,.T.); #230409=EDGE_CURVE('',#182159,#182162,#111236,.T.); #230410=EDGE_CURVE('',#182151,#182159,#72339,.T.); #230411=EDGE_CURVE('',#182163,#182143,#111237,.T.); #230412=EDGE_CURVE('',#182164,#182163,#111238,.T.); #230413=EDGE_CURVE('',#182142,#182164,#111239,.T.); #230414=EDGE_CURVE('',#182165,#182161,#111240,.T.); #230415=EDGE_CURVE('',#182166,#182165,#111241,.T.); #230416=EDGE_CURVE('',#182160,#182166,#111242,.T.); #230417=EDGE_CURVE('',#182167,#182168,#111243,.T.); #230418=EDGE_CURVE('',#182167,#182163,#111244,.T.); #230419=EDGE_CURVE('',#182148,#182169,#111245,.T.); #230420=EDGE_CURVE('',#182168,#182169,#111246,.T.); #230421=EDGE_CURVE('',#182170,#182167,#111247,.T.); #230422=EDGE_CURVE('',#182170,#182164,#111248,.T.); #230423=EDGE_CURVE('',#182171,#182170,#111249,.T.); #230424=EDGE_CURVE('',#182171,#182172,#111250,.T.); #230425=EDGE_CURVE('',#182172,#182149,#111251,.T.); #230426=EDGE_CURVE('',#182168,#182171,#111252,.T.); #230427=EDGE_CURVE('',#182169,#182172,#111253,.T.); #230428=EDGE_CURVE('',#182173,#182174,#111254,.T.); #230429=EDGE_CURVE('',#182173,#182175,#111255,.T.); #230430=EDGE_CURVE('',#182175,#182176,#111256,.T.); #230431=EDGE_CURVE('',#182174,#182176,#111257,.T.); #230432=EDGE_CURVE('',#182176,#182157,#111258,.T.); #230433=EDGE_CURVE('',#182156,#182175,#111259,.T.); #230434=EDGE_CURVE('',#182177,#182173,#111260,.T.); #230435=EDGE_CURVE('',#182177,#182165,#111261,.T.); #230436=EDGE_CURVE('',#182178,#182177,#111262,.T.); #230437=EDGE_CURVE('',#182178,#182166,#111263,.T.); #230438=EDGE_CURVE('',#182174,#182178,#111264,.T.); #230439=EDGE_CURVE('',#182179,#182170,#111265,.T.); #230440=EDGE_CURVE('',#182179,#182180,#111266,.T.); #230441=EDGE_CURVE('',#182171,#182180,#111267,.T.); #230442=EDGE_CURVE('',#182181,#182178,#111268,.T.); #230443=EDGE_CURVE('',#182181,#182182,#111269,.T.); #230444=EDGE_CURVE('',#182174,#182182,#111270,.T.); #230445=EDGE_CURVE('',#182183,#182173,#111271,.T.); #230446=EDGE_CURVE('',#182183,#182184,#111272,.T.); #230447=EDGE_CURVE('',#182177,#182184,#111273,.T.); #230448=EDGE_CURVE('',#182185,#182179,#111274,.T.); #230449=EDGE_CURVE('',#182167,#182186,#111275,.T.); #230450=EDGE_CURVE('',#182186,#182187,#111276,.T.); #230451=EDGE_CURVE('',#182187,#182185,#111277,.T.); #230452=EDGE_CURVE('',#182188,#182168,#111278,.T.); #230453=EDGE_CURVE('',#182188,#182186,#111279,.T.); #230454=EDGE_CURVE('',#182189,#182188,#111280,.T.); #230455=EDGE_CURVE('',#182180,#182190,#111281,.T.); #230456=EDGE_CURVE('',#182190,#182189,#111282,.T.); #230457=EDGE_CURVE('',#182191,#182181,#111283,.T.); #230458=EDGE_CURVE('',#182184,#182192,#111284,.T.); #230459=EDGE_CURVE('',#182192,#182191,#111285,.T.); #230460=EDGE_CURVE('',#182193,#182183,#111286,.T.); #230461=EDGE_CURVE('',#182182,#182194,#111287,.T.); #230462=EDGE_CURVE('',#182194,#182193,#111288,.T.); #230463=EDGE_CURVE('',#182195,#182196,#111289,.T.); #230464=EDGE_CURVE('',#182196,#182197,#111290,.T.); #230465=EDGE_CURVE('',#182197,#182198,#111291,.T.); #230466=EDGE_CURVE('',#182198,#182195,#111292,.T.); #230467=EDGE_CURVE('',#182197,#182190,#111293,.T.); #230468=EDGE_CURVE('',#182196,#182189,#111294,.T.); #230469=EDGE_CURVE('',#182199,#182200,#111295,.T.); #230470=EDGE_CURVE('',#182200,#182201,#111296,.T.); #230471=EDGE_CURVE('',#182201,#182202,#111297,.T.); #230472=EDGE_CURVE('',#182202,#182199,#111298,.T.); #230473=EDGE_CURVE('',#182198,#182201,#111299,.T.); #230474=EDGE_CURVE('',#182200,#182203,#111300,.T.); #230475=EDGE_CURVE('',#182203,#182204,#72340,.T.); #230476=EDGE_CURVE('',#182204,#182195,#111301,.T.); #230477=EDGE_CURVE('',#182205,#182206,#111302,.T.); #230478=EDGE_CURVE('',#182206,#182207,#111303,.T.); #230479=EDGE_CURVE('',#182207,#182208,#111304,.T.); #230480=EDGE_CURVE('',#182208,#182205,#111305,.T.); #230481=EDGE_CURVE('',#182207,#182192,#111306,.T.); #230482=EDGE_CURVE('',#182206,#182191,#111307,.T.); #230483=EDGE_CURVE('',#182209,#182210,#111308,.T.); #230484=EDGE_CURVE('',#182210,#182211,#111309,.T.); #230485=EDGE_CURVE('',#182211,#182212,#111310,.T.); #230486=EDGE_CURVE('',#182212,#182209,#111311,.T.); #230487=EDGE_CURVE('',#182208,#182211,#111312,.T.); #230488=EDGE_CURVE('',#182210,#182213,#111313,.T.); #230489=EDGE_CURVE('',#182213,#182214,#72341,.T.); #230490=EDGE_CURVE('',#182214,#182215,#72342,.T.); #230491=EDGE_CURVE('',#182215,#182205,#111314,.T.); #230492=EDGE_CURVE('',#182216,#182216,#72343,.T.); #230493=EDGE_CURVE('',#182216,#182159,#111315,.T.); #230494=EDGE_CURVE('',#182217,#182217,#72344,.T.); #230495=EDGE_CURVE('',#182217,#182140,#111316,.T.); #230496=EDGE_CURVE('',#182209,#182194,#111317,.T.); #230497=EDGE_CURVE('',#182212,#182193,#111318,.T.); #230498=EDGE_CURVE('',#182213,#182218,#111319,.T.); #230499=EDGE_CURVE('',#182219,#182215,#111320,.T.); #230500=EDGE_CURVE('',#182218,#182219,#111321,.T.); #230501=EDGE_CURVE('',#182220,#182221,#111322,.T.); #230502=EDGE_CURVE('',#182222,#182220,#111323,.T.); #230503=EDGE_CURVE('',#182223,#182222,#111324,.T.); #230504=EDGE_CURVE('',#182224,#182223,#111325,.T.); #230505=EDGE_CURVE('',#182225,#182224,#111326,.T.); #230506=EDGE_CURVE('',#182225,#182226,#111327,.T.); #230507=EDGE_CURVE('',#182227,#182226,#111328,.T.); #230508=EDGE_CURVE('',#182221,#182227,#111329,.T.); #230509=EDGE_CURVE('',#182199,#182187,#111330,.T.); #230510=EDGE_CURVE('',#182202,#182185,#111331,.T.); #230511=EDGE_CURVE('',#182228,#182229,#111332,.T.); #230512=EDGE_CURVE('',#182230,#182228,#111333,.T.); #230513=EDGE_CURVE('',#182231,#182230,#111334,.T.); #230514=EDGE_CURVE('',#182232,#182231,#111335,.T.); #230515=EDGE_CURVE('',#182233,#182232,#111336,.T.); #230516=EDGE_CURVE('',#182233,#182234,#111337,.T.); #230517=EDGE_CURVE('',#182235,#182234,#111338,.T.); #230518=EDGE_CURVE('',#182229,#182235,#111339,.T.); #230519=EDGE_CURVE('',#182218,#182221,#72345,.T.); #230520=EDGE_CURVE('',#182220,#182219,#72346,.T.); #230521=EDGE_CURVE('',#182236,#182236,#72347,.T.); #230522=EDGE_CURVE('',#182224,#182233,#111340,.T.); #230523=EDGE_CURVE('',#182232,#182225,#111341,.T.); #230524=EDGE_CURVE('',#182203,#182237,#111342,.T.); #230525=EDGE_CURVE('',#182238,#182204,#111343,.T.); #230526=EDGE_CURVE('',#182237,#182238,#111344,.T.); #230527=EDGE_CURVE('',#182237,#182229,#72348,.T.); #230528=EDGE_CURVE('',#182228,#182238,#72349,.T.); #230529=EDGE_CURVE('',#182230,#182227,#72350,.T.); #230530=EDGE_CURVE('',#182222,#182235,#72351,.T.); #230531=EDGE_CURVE('',#182214,#182239,#111345,.T.); #230532=EDGE_CURVE('',#182239,#182240,#72352,.T.); #230533=EDGE_CURVE('',#182240,#182241,#72353,.T.); #230534=EDGE_CURVE('',#182241,#182239,#72354,.T.); #230535=EDGE_CURVE('',#182234,#182223,#111346,.T.); #230536=EDGE_CURVE('',#182226,#182231,#111347,.T.); #230537=EDGE_CURVE('',#182236,#182242,#111348,.T.); #230538=EDGE_CURVE('',#182242,#182242,#72355,.T.); #230539=EDGE_CURVE('',#182240,#182243,#111349,.T.); #230540=EDGE_CURVE('',#182244,#182243,#111350,.T.); #230541=EDGE_CURVE('',#182244,#182245,#111351,.T.); #230542=EDGE_CURVE('',#182246,#182245,#111352,.T.); #230543=EDGE_CURVE('',#182246,#182240,#111353,.T.); #230544=EDGE_CURVE('',#182245,#182247,#72356,.T.); #230545=EDGE_CURVE('',#182247,#182248,#111354,.T.); #230546=EDGE_CURVE('',#182248,#182246,#72357,.T.); #230547=EDGE_CURVE('',#182243,#182249,#72358,.T.); #230548=EDGE_CURVE('',#182249,#182250,#111355,.T.); #230549=EDGE_CURVE('',#182250,#182244,#72359,.T.); #230550=EDGE_CURVE('',#182249,#182241,#111356,.T.); #230551=EDGE_CURVE('',#182241,#182248,#111357,.T.); #230552=EDGE_CURVE('',#182247,#182250,#111358,.T.); #230553=EDGE_CURVE('',#182251,#182252,#111359,.T.); #230554=EDGE_CURVE('',#182252,#182253,#111360,.T.); #230555=EDGE_CURVE('',#182253,#182254,#111361,.T.); #230556=EDGE_CURVE('',#182254,#182251,#111362,.T.); #230557=EDGE_CURVE('',#182255,#182252,#72360,.T.); #230558=EDGE_CURVE('',#182256,#182255,#111363,.T.); #230559=EDGE_CURVE('',#182253,#182256,#72361,.T.); #230560=EDGE_CURVE('',#182257,#182258,#111364,.T.); #230561=EDGE_CURVE('',#182258,#182259,#111365,.T.); #230562=EDGE_CURVE('',#182259,#182260,#111366,.T.); #230563=EDGE_CURVE('',#182260,#182257,#111367,.T.); #230564=EDGE_CURVE('',#182261,#182258,#111368,.T.); #230565=EDGE_CURVE('',#182262,#182261,#111369,.T.); #230566=EDGE_CURVE('',#182259,#182262,#111370,.T.); #230567=EDGE_CURVE('',#182261,#182263,#111371,.T.); #230568=EDGE_CURVE('',#182263,#182264,#111372,.T.); #230569=EDGE_CURVE('',#182264,#182262,#111373,.T.); #230570=EDGE_CURVE('',#182264,#182260,#72362,.T.); #230571=EDGE_CURVE('',#182257,#182263,#72363,.T.); #230572=EDGE_CURVE('',#182255,#182265,#111374,.T.); #230573=EDGE_CURVE('',#182265,#182266,#111375,.T.); #230574=EDGE_CURVE('',#182266,#182256,#111376,.T.); #230575=EDGE_CURVE('',#182266,#182254,#111377,.T.); #230576=EDGE_CURVE('',#182251,#182265,#111378,.T.); #230577=EDGE_CURVE('',#182267,#182268,#111379,.T.); #230578=EDGE_CURVE('',#182267,#182269,#111380,.T.); #230579=EDGE_CURVE('',#182270,#182269,#111381,.T.); #230580=EDGE_CURVE('',#182268,#182270,#111382,.T.); #230581=EDGE_CURVE('',#182268,#182271,#111383,.T.); #230582=EDGE_CURVE('',#182272,#182270,#111384,.T.); #230583=EDGE_CURVE('',#182271,#182272,#111385,.T.); #230584=EDGE_CURVE('',#182271,#182273,#111386,.T.); #230585=EDGE_CURVE('',#182274,#182272,#111387,.T.); #230586=EDGE_CURVE('',#182273,#182274,#111388,.T.); #230587=EDGE_CURVE('',#182273,#182267,#111389,.T.); #230588=EDGE_CURVE('',#182269,#182274,#111390,.T.); #230589=EDGE_CURVE('',#182275,#182275,#72364,.T.); #230590=EDGE_CURVE('',#182275,#182276,#111391,.T.); #230591=EDGE_CURVE('',#182276,#182276,#72365,.T.); #230592=EDGE_CURVE('',#182277,#182278,#111392,.F.); #230593=EDGE_CURVE('',#182277,#182279,#111393,.T.); #230594=EDGE_CURVE('',#182280,#182279,#111394,.T.); #230595=EDGE_CURVE('',#182278,#182280,#111395,.T.); #230596=EDGE_CURVE('',#182278,#182281,#72366,.F.); #230597=EDGE_CURVE('',#182282,#182280,#72367,.T.); #230598=EDGE_CURVE('',#182281,#182282,#111396,.F.); #230599=EDGE_CURVE('',#182283,#182281,#111397,.T.); #230600=EDGE_CURVE('',#182284,#182282,#111398,.T.); #230601=EDGE_CURVE('',#182283,#182284,#111399,.F.); #230602=EDGE_CURVE('',#182283,#182277,#72368,.F.); #230603=EDGE_CURVE('',#182279,#182284,#72369,.T.); #230604=EDGE_CURVE('',#182285,#182286,#72370,.T.); #230605=EDGE_CURVE('',#182286,#182287,#63959,.T.); #230606=EDGE_CURVE('',#182288,#182287,#63960,.T.); #230607=EDGE_CURVE('',#182285,#182288,#63961,.T.); #230608=EDGE_CURVE('',#182289,#182290,#72371,.T.); #230609=EDGE_CURVE('',#182290,#182285,#72372,.T.); #230610=EDGE_CURVE('',#182291,#182288,#63962,.T.); #230611=EDGE_CURVE('',#182289,#182291,#63963,.T.); #230612=EDGE_CURVE('',#182292,#182289,#72373,.T.); #230613=EDGE_CURVE('',#182293,#182291,#63964,.T.); #230614=EDGE_CURVE('',#182292,#182293,#63965,.T.); #230615=EDGE_CURVE('',#182294,#182292,#72374,.T.); #230616=EDGE_CURVE('',#182295,#182293,#63966,.T.); #230617=EDGE_CURVE('',#182294,#182295,#63967,.T.); #230618=EDGE_CURVE('',#182296,#182294,#72375,.T.); #230619=EDGE_CURVE('',#182297,#182295,#63968,.T.); #230620=EDGE_CURVE('',#182296,#182297,#63969,.T.); #230621=EDGE_CURVE('',#182298,#182296,#72376,.T.); #230622=EDGE_CURVE('',#182299,#182297,#63970,.T.); #230623=EDGE_CURVE('',#182298,#182299,#63971,.T.); #230624=EDGE_CURVE('',#182300,#182298,#72377,.T.); #230625=EDGE_CURVE('',#182301,#182299,#63972,.T.); #230626=EDGE_CURVE('',#182300,#182301,#63973,.T.); #230627=EDGE_CURVE('',#182286,#182300,#72378,.T.); #230628=EDGE_CURVE('',#182287,#182301,#63974,.T.); #230629=EDGE_CURVE('',#182302,#182303,#111400,.T.); #230630=EDGE_CURVE('',#182303,#182304,#72379,.T.); #230631=EDGE_CURVE('',#182304,#182305,#111401,.T.); #230632=EDGE_CURVE('',#182305,#182306,#72380,.T.); #230633=EDGE_CURVE('',#182306,#182307,#111402,.T.); #230634=EDGE_CURVE('',#182307,#182308,#72381,.T.); #230635=EDGE_CURVE('',#182308,#182309,#111403,.T.); #230636=EDGE_CURVE('',#182309,#182302,#72382,.T.); #230637=EDGE_CURVE('',#182302,#182310,#111404,.T.); #230638=EDGE_CURVE('',#182310,#182311,#72383,.T.); #230639=EDGE_CURVE('',#182303,#182311,#111405,.T.); #230640=EDGE_CURVE('',#182311,#182312,#72384,.T.); #230641=EDGE_CURVE('',#182304,#182312,#111406,.T.); #230642=EDGE_CURVE('',#182312,#182313,#72385,.T.); #230643=EDGE_CURVE('',#182305,#182313,#111407,.T.); #230644=EDGE_CURVE('',#182313,#182314,#72386,.T.); #230645=EDGE_CURVE('',#182306,#182314,#111408,.T.); #230646=EDGE_CURVE('',#182314,#182315,#72387,.T.); #230647=EDGE_CURVE('',#182315,#182316,#72388,.T.); #230648=EDGE_CURVE('',#182307,#182316,#111409,.T.); #230649=EDGE_CURVE('',#182316,#182317,#72389,.T.); #230650=EDGE_CURVE('',#182308,#182317,#111410,.T.); #230651=EDGE_CURVE('',#182317,#182318,#72390,.T.); #230652=EDGE_CURVE('',#182309,#182318,#111411,.T.); #230653=EDGE_CURVE('',#182318,#182310,#72391,.T.); #230654=EDGE_CURVE('',#182319,#182319,#72392,.T.); #230655=EDGE_CURVE('',#182319,#182320,#111412,.T.); #230656=EDGE_CURVE('',#182320,#182320,#72393,.T.); #230657=EDGE_CURVE('',#182321,#182321,#72394,.T.); #230658=EDGE_CURVE('',#182321,#182319,#111413,.T.); #230659=EDGE_CURVE('',#182322,#182322,#72395,.T.); #230660=EDGE_CURVE('',#182315,#182323,#111414,.T.); #230661=EDGE_CURVE('',#182323,#182323,#72396,.T.); #230662=EDGE_CURVE('',#182290,#182322,#111415,.T.); #230663=EDGE_CURVE('',#182324,#182324,#72397,.T.); #230664=EDGE_CURVE('',#182324,#182325,#111416,.T.); #230665=EDGE_CURVE('',#182325,#182325,#72398,.T.); #230666=EDGE_CURVE('',#182326,#182326,#72399,.T.); #230667=EDGE_CURVE('',#182326,#182327,#111417,.T.); #230668=EDGE_CURVE('',#182327,#182327,#72400,.T.); #230669=EDGE_CURVE('',#182328,#182328,#72401,.T.); #230670=EDGE_CURVE('',#182328,#182329,#111418,.T.); #230671=EDGE_CURVE('',#182329,#182329,#72402,.T.); #230672=EDGE_CURVE('',#182330,#182330,#72403,.T.); #230673=EDGE_CURVE('',#182330,#182331,#111419,.T.); #230674=EDGE_CURVE('',#182331,#182331,#72404,.T.); #230675=ORIENTED_EDGE('',*,*,#182332,.F.); #230676=ORIENTED_EDGE('',*,*,#182333,.T.); #230677=ORIENTED_EDGE('',*,*,#182334,.F.); #230678=ORIENTED_EDGE('',*,*,#182335,.F.); #230679=ORIENTED_EDGE('',*,*,#182336,.F.); #230680=ORIENTED_EDGE('',*,*,#182337,.T.); #230681=ORIENTED_EDGE('',*,*,#182338,.F.); #230682=ORIENTED_EDGE('',*,*,#182339,.T.); #230683=ORIENTED_EDGE('',*,*,#182336,.T.); #230684=ORIENTED_EDGE('',*,*,#182340,.T.); #230685=ORIENTED_EDGE('',*,*,#182341,.T.); #230686=ORIENTED_EDGE('',*,*,#182342,.T.); #230687=ORIENTED_EDGE('',*,*,#182343,.T.); #230688=ORIENTED_EDGE('',*,*,#182344,.T.); #230689=ORIENTED_EDGE('',*,*,#182345,.T.); #230690=ORIENTED_EDGE('',*,*,#182346,.T.); #230691=ORIENTED_EDGE('',*,*,#182347,.T.); #230692=ORIENTED_EDGE('',*,*,#182348,.T.); #230693=ORIENTED_EDGE('',*,*,#182349,.T.); #230694=ORIENTED_EDGE('',*,*,#182350,.T.); #230695=ORIENTED_EDGE('',*,*,#182351,.T.); #230696=ORIENTED_EDGE('',*,*,#182337,.F.); #230697=ORIENTED_EDGE('',*,*,#182351,.F.); #230698=ORIENTED_EDGE('',*,*,#182352,.F.); #230699=ORIENTED_EDGE('',*,*,#182353,.T.); #230700=ORIENTED_EDGE('',*,*,#182354,.T.); #230701=ORIENTED_EDGE('',*,*,#182355,.T.); #230702=ORIENTED_EDGE('',*,*,#182356,.T.); #230703=ORIENTED_EDGE('',*,*,#182357,.T.); #230704=ORIENTED_EDGE('',*,*,#182358,.F.); #230705=ORIENTED_EDGE('',*,*,#182359,.T.); #230706=ORIENTED_EDGE('',*,*,#182360,.F.); #230707=ORIENTED_EDGE('',*,*,#182361,.T.); #230708=ORIENTED_EDGE('',*,*,#182362,.F.); #230709=ORIENTED_EDGE('',*,*,#182338,.T.); #230710=ORIENTED_EDGE('',*,*,#182353,.F.); #230711=ORIENTED_EDGE('',*,*,#182363,.T.); #230712=ORIENTED_EDGE('',*,*,#182364,.T.); #230713=ORIENTED_EDGE('',*,*,#182365,.T.); #230714=ORIENTED_EDGE('',*,*,#182366,.T.); #230715=ORIENTED_EDGE('',*,*,#182354,.F.); #230716=ORIENTED_EDGE('',*,*,#182367,.T.); #230717=ORIENTED_EDGE('',*,*,#182365,.F.); #230718=ORIENTED_EDGE('',*,*,#182368,.T.); #230719=ORIENTED_EDGE('',*,*,#182369,.T.); #230720=ORIENTED_EDGE('',*,*,#182370,.T.); #230721=ORIENTED_EDGE('',*,*,#182371,.T.); #230722=ORIENTED_EDGE('',*,*,#182372,.T.); #230723=ORIENTED_EDGE('',*,*,#182373,.T.); #230724=ORIENTED_EDGE('',*,*,#182374,.F.); #230725=ORIENTED_EDGE('',*,*,#182375,.T.); #230726=ORIENTED_EDGE('',*,*,#182376,.F.); #230727=ORIENTED_EDGE('',*,*,#182377,.T.); #230728=ORIENTED_EDGE('',*,*,#182378,.T.); #230729=ORIENTED_EDGE('',*,*,#182379,.T.); #230730=ORIENTED_EDGE('',*,*,#182380,.F.); #230731=ORIENTED_EDGE('',*,*,#182381,.F.); #230732=ORIENTED_EDGE('',*,*,#182382,.T.); #230733=ORIENTED_EDGE('',*,*,#182383,.F.); #230734=ORIENTED_EDGE('',*,*,#182384,.F.); #230735=ORIENTED_EDGE('',*,*,#182385,.F.); #230736=ORIENTED_EDGE('',*,*,#182386,.F.); #230737=ORIENTED_EDGE('',*,*,#182387,.F.); #230738=ORIENTED_EDGE('',*,*,#182388,.F.); #230739=ORIENTED_EDGE('',*,*,#182389,.F.); #230740=ORIENTED_EDGE('',*,*,#182390,.F.); #230741=ORIENTED_EDGE('',*,*,#182391,.F.); #230742=ORIENTED_EDGE('',*,*,#182392,.F.); #230743=ORIENTED_EDGE('',*,*,#182393,.F.); #230744=ORIENTED_EDGE('',*,*,#182394,.F.); #230745=ORIENTED_EDGE('',*,*,#182395,.F.); #230746=ORIENTED_EDGE('',*,*,#182396,.F.); #230747=ORIENTED_EDGE('',*,*,#182397,.F.); #230748=ORIENTED_EDGE('',*,*,#182398,.F.); #230749=ORIENTED_EDGE('',*,*,#182399,.F.); #230750=ORIENTED_EDGE('',*,*,#182400,.F.); #230751=ORIENTED_EDGE('',*,*,#182401,.F.); #230752=ORIENTED_EDGE('',*,*,#182402,.F.); #230753=ORIENTED_EDGE('',*,*,#182403,.F.); #230754=ORIENTED_EDGE('',*,*,#182404,.F.); #230755=ORIENTED_EDGE('',*,*,#182405,.F.); #230756=ORIENTED_EDGE('',*,*,#182406,.F.); #230757=ORIENTED_EDGE('',*,*,#182407,.F.); #230758=ORIENTED_EDGE('',*,*,#182408,.F.); #230759=ORIENTED_EDGE('',*,*,#182409,.F.); #230760=ORIENTED_EDGE('',*,*,#182410,.F.); #230761=ORIENTED_EDGE('',*,*,#182411,.F.); #230762=ORIENTED_EDGE('',*,*,#182412,.F.); #230763=ORIENTED_EDGE('',*,*,#182413,.F.); #230764=ORIENTED_EDGE('',*,*,#182414,.F.); #230765=ORIENTED_EDGE('',*,*,#182415,.F.); #230766=ORIENTED_EDGE('',*,*,#182416,.F.); #230767=ORIENTED_EDGE('',*,*,#182347,.F.); #230768=ORIENTED_EDGE('',*,*,#182417,.F.); #230769=ORIENTED_EDGE('',*,*,#182355,.F.); #230770=ORIENTED_EDGE('',*,*,#182366,.F.); #230771=ORIENTED_EDGE('',*,*,#182379,.F.); #230772=ORIENTED_EDGE('',*,*,#182418,.F.); #230773=ORIENTED_EDGE('',*,*,#182419,.F.); #230774=ORIENTED_EDGE('',*,*,#182420,.F.); #230775=ORIENTED_EDGE('',*,*,#182421,.F.); #230776=ORIENTED_EDGE('',*,*,#182422,.F.); #230777=ORIENTED_EDGE('',*,*,#182423,.F.); #230778=ORIENTED_EDGE('',*,*,#182424,.F.); #230779=ORIENTED_EDGE('',*,*,#182425,.F.); #230780=ORIENTED_EDGE('',*,*,#182426,.F.); #230781=ORIENTED_EDGE('',*,*,#182427,.F.); #230782=ORIENTED_EDGE('',*,*,#182428,.F.); #230783=ORIENTED_EDGE('',*,*,#182429,.F.); #230784=ORIENTED_EDGE('',*,*,#182430,.F.); #230785=ORIENTED_EDGE('',*,*,#182431,.F.); #230786=ORIENTED_EDGE('',*,*,#182432,.F.); #230787=ORIENTED_EDGE('',*,*,#182433,.F.); #230788=ORIENTED_EDGE('',*,*,#182434,.F.); #230789=ORIENTED_EDGE('',*,*,#182435,.F.); #230790=ORIENTED_EDGE('',*,*,#182436,.F.); #230791=ORIENTED_EDGE('',*,*,#182437,.F.); #230792=ORIENTED_EDGE('',*,*,#182438,.F.); #230793=ORIENTED_EDGE('',*,*,#182439,.F.); #230794=ORIENTED_EDGE('',*,*,#182440,.F.); #230795=ORIENTED_EDGE('',*,*,#182441,.F.); #230796=ORIENTED_EDGE('',*,*,#182442,.F.); #230797=ORIENTED_EDGE('',*,*,#182443,.F.); #230798=ORIENTED_EDGE('',*,*,#182444,.F.); #230799=ORIENTED_EDGE('',*,*,#182445,.F.); #230800=ORIENTED_EDGE('',*,*,#182446,.F.); #230801=ORIENTED_EDGE('',*,*,#182447,.F.); #230802=ORIENTED_EDGE('',*,*,#182448,.F.); #230803=ORIENTED_EDGE('',*,*,#182449,.F.); #230804=ORIENTED_EDGE('',*,*,#182450,.F.); #230805=ORIENTED_EDGE('',*,*,#182451,.F.); #230806=ORIENTED_EDGE('',*,*,#182452,.F.); #230807=ORIENTED_EDGE('',*,*,#182453,.F.); #230808=ORIENTED_EDGE('',*,*,#182454,.F.); #230809=ORIENTED_EDGE('',*,*,#182455,.F.); #230810=ORIENTED_EDGE('',*,*,#182456,.T.); #230811=ORIENTED_EDGE('',*,*,#182457,.T.); #230812=ORIENTED_EDGE('',*,*,#182380,.T.); #230813=ORIENTED_EDGE('',*,*,#182458,.T.); #230814=ORIENTED_EDGE('',*,*,#182459,.F.); #230815=ORIENTED_EDGE('',*,*,#182460,.F.); #230816=ORIENTED_EDGE('',*,*,#182456,.F.); #230817=ORIENTED_EDGE('',*,*,#182461,.F.); #230818=ORIENTED_EDGE('',*,*,#182462,.F.); #230819=ORIENTED_EDGE('',*,*,#182463,.F.); #230820=ORIENTED_EDGE('',*,*,#182464,.F.); #230821=ORIENTED_EDGE('',*,*,#182465,.F.); #230822=ORIENTED_EDGE('',*,*,#182466,.F.); #230823=ORIENTED_EDGE('',*,*,#182467,.F.); #230824=ORIENTED_EDGE('',*,*,#182468,.F.); #230825=ORIENTED_EDGE('',*,*,#182469,.F.); #230826=ORIENTED_EDGE('',*,*,#182470,.F.); #230827=ORIENTED_EDGE('',*,*,#182471,.F.); #230828=ORIENTED_EDGE('',*,*,#182472,.F.); #230829=ORIENTED_EDGE('',*,*,#182473,.F.); #230830=ORIENTED_EDGE('',*,*,#182474,.F.); #230831=ORIENTED_EDGE('',*,*,#182475,.F.); #230832=ORIENTED_EDGE('',*,*,#182476,.F.); #230833=ORIENTED_EDGE('',*,*,#182477,.F.); #230834=ORIENTED_EDGE('',*,*,#182478,.F.); #230835=ORIENTED_EDGE('',*,*,#182479,.F.); #230836=ORIENTED_EDGE('',*,*,#182480,.F.); #230837=ORIENTED_EDGE('',*,*,#182481,.F.); #230838=ORIENTED_EDGE('',*,*,#182482,.F.); #230839=ORIENTED_EDGE('',*,*,#182483,.F.); #230840=ORIENTED_EDGE('',*,*,#182484,.F.); #230841=ORIENTED_EDGE('',*,*,#182485,.F.); #230842=ORIENTED_EDGE('',*,*,#182486,.F.); #230843=ORIENTED_EDGE('',*,*,#182487,.F.); #230844=ORIENTED_EDGE('',*,*,#182488,.F.); #230845=ORIENTED_EDGE('',*,*,#182489,.F.); #230846=ORIENTED_EDGE('',*,*,#182490,.F.); #230847=ORIENTED_EDGE('',*,*,#182491,.F.); #230848=ORIENTED_EDGE('',*,*,#182492,.F.); #230849=ORIENTED_EDGE('',*,*,#182493,.F.); #230850=ORIENTED_EDGE('',*,*,#182494,.F.); #230851=ORIENTED_EDGE('',*,*,#182495,.F.); #230852=ORIENTED_EDGE('',*,*,#182496,.F.); #230853=ORIENTED_EDGE('',*,*,#182382,.F.); #230854=ORIENTED_EDGE('',*,*,#182497,.T.); #230855=ORIENTED_EDGE('',*,*,#182459,.T.); #230856=ORIENTED_EDGE('',*,*,#182498,.T.); #230857=ORIENTED_EDGE('',*,*,#182499,.F.); #230858=ORIENTED_EDGE('',*,*,#182383,.T.); #230859=ORIENTED_EDGE('',*,*,#182498,.F.); #230860=ORIENTED_EDGE('',*,*,#182476,.T.); #230861=ORIENTED_EDGE('',*,*,#182500,.T.); #230862=ORIENTED_EDGE('',*,*,#182384,.T.); #230863=ORIENTED_EDGE('',*,*,#182499,.T.); #230864=ORIENTED_EDGE('',*,*,#182475,.T.); #230865=ORIENTED_EDGE('',*,*,#182501,.F.); #230866=ORIENTED_EDGE('',*,*,#182385,.T.); #230867=ORIENTED_EDGE('',*,*,#182500,.F.); #230868=ORIENTED_EDGE('',*,*,#182474,.T.); #230869=ORIENTED_EDGE('',*,*,#182502,.T.); #230870=ORIENTED_EDGE('',*,*,#182386,.T.); #230871=ORIENTED_EDGE('',*,*,#182501,.T.); #230872=ORIENTED_EDGE('',*,*,#182473,.T.); #230873=ORIENTED_EDGE('',*,*,#182503,.F.); #230874=ORIENTED_EDGE('',*,*,#182387,.T.); #230875=ORIENTED_EDGE('',*,*,#182502,.F.); #230876=ORIENTED_EDGE('',*,*,#182472,.T.); #230877=ORIENTED_EDGE('',*,*,#182504,.T.); #230878=ORIENTED_EDGE('',*,*,#182388,.T.); #230879=ORIENTED_EDGE('',*,*,#182503,.T.); #230880=ORIENTED_EDGE('',*,*,#182471,.T.); #230881=ORIENTED_EDGE('',*,*,#182505,.F.); #230882=ORIENTED_EDGE('',*,*,#182389,.T.); #230883=ORIENTED_EDGE('',*,*,#182504,.F.); #230884=ORIENTED_EDGE('',*,*,#182470,.T.); #230885=ORIENTED_EDGE('',*,*,#182469,.T.); #230886=ORIENTED_EDGE('',*,*,#182506,.T.); #230887=ORIENTED_EDGE('',*,*,#182390,.T.); #230888=ORIENTED_EDGE('',*,*,#182505,.T.); #230889=ORIENTED_EDGE('',*,*,#182468,.T.); #230890=ORIENTED_EDGE('',*,*,#182507,.F.); #230891=ORIENTED_EDGE('',*,*,#182391,.T.); #230892=ORIENTED_EDGE('',*,*,#182506,.F.); #230893=ORIENTED_EDGE('',*,*,#182392,.T.); #230894=ORIENTED_EDGE('',*,*,#182507,.T.); #230895=ORIENTED_EDGE('',*,*,#182467,.T.); #230896=ORIENTED_EDGE('',*,*,#182508,.T.); #230897=ORIENTED_EDGE('',*,*,#182466,.T.); #230898=ORIENTED_EDGE('',*,*,#182509,.T.); #230899=ORIENTED_EDGE('',*,*,#182393,.T.); #230900=ORIENTED_EDGE('',*,*,#182508,.F.); #230901=ORIENTED_EDGE('',*,*,#182394,.T.); #230902=ORIENTED_EDGE('',*,*,#182509,.F.); #230903=ORIENTED_EDGE('',*,*,#182465,.T.); #230904=ORIENTED_EDGE('',*,*,#182510,.F.); #230905=ORIENTED_EDGE('',*,*,#182395,.T.); #230906=ORIENTED_EDGE('',*,*,#182510,.T.); #230907=ORIENTED_EDGE('',*,*,#182464,.T.); #230908=ORIENTED_EDGE('',*,*,#182511,.T.); #230909=ORIENTED_EDGE('',*,*,#182512,.T.); #230910=ORIENTED_EDGE('',*,*,#182513,.T.); #230911=ORIENTED_EDGE('',*,*,#182514,.T.); #230912=ORIENTED_EDGE('',*,*,#182515,.T.); #230913=ORIENTED_EDGE('',*,*,#182516,.T.); #230914=ORIENTED_EDGE('',*,*,#182517,.T.); #230915=ORIENTED_EDGE('',*,*,#182518,.T.); #230916=ORIENTED_EDGE('',*,*,#182519,.T.); #230917=ORIENTED_EDGE('',*,*,#182520,.T.); #230918=ORIENTED_EDGE('',*,*,#182521,.T.); #230919=ORIENTED_EDGE('',*,*,#182522,.T.); #230920=ORIENTED_EDGE('',*,*,#182523,.T.); #230921=ORIENTED_EDGE('',*,*,#182524,.F.); #230922=ORIENTED_EDGE('',*,*,#182525,.T.); #230923=ORIENTED_EDGE('',*,*,#182511,.F.); #230924=ORIENTED_EDGE('',*,*,#182463,.T.); #230925=ORIENTED_EDGE('',*,*,#182526,.T.); #230926=ORIENTED_EDGE('',*,*,#182449,.T.); #230927=ORIENTED_EDGE('',*,*,#182527,.F.); #230928=ORIENTED_EDGE('',*,*,#182528,.F.); #230929=ORIENTED_EDGE('',*,*,#182529,.T.); #230930=ORIENTED_EDGE('',*,*,#182512,.F.); #230931=ORIENTED_EDGE('',*,*,#182530,.T.); #230932=ORIENTED_EDGE('',*,*,#182528,.T.); #230933=ORIENTED_EDGE('',*,*,#182531,.T.); #230934=ORIENTED_EDGE('',*,*,#182445,.T.); #230935=ORIENTED_EDGE('',*,*,#182532,.F.); #230936=ORIENTED_EDGE('',*,*,#182533,.T.); #230937=ORIENTED_EDGE('',*,*,#182534,.T.); #230938=ORIENTED_EDGE('',*,*,#182535,.F.); #230939=ORIENTED_EDGE('',*,*,#182536,.T.); #230940=ORIENTED_EDGE('',*,*,#182537,.F.); #230941=ORIENTED_EDGE('',*,*,#182538,.T.); #230942=ORIENTED_EDGE('',*,*,#182539,.F.); #230943=ORIENTED_EDGE('',*,*,#182540,.T.); #230944=ORIENTED_EDGE('',*,*,#182541,.F.); #230945=ORIENTED_EDGE('',*,*,#182513,.F.); #230946=ORIENTED_EDGE('',*,*,#182529,.F.); #230947=ORIENTED_EDGE('',*,*,#182541,.T.); #230948=ORIENTED_EDGE('',*,*,#182542,.F.); #230949=ORIENTED_EDGE('',*,*,#182514,.F.); #230950=ORIENTED_EDGE('',*,*,#182542,.T.); #230951=ORIENTED_EDGE('',*,*,#182540,.F.); #230952=ORIENTED_EDGE('',*,*,#182543,.T.); #230953=ORIENTED_EDGE('',*,*,#182544,.F.); #230954=ORIENTED_EDGE('',*,*,#182515,.F.); #230955=ORIENTED_EDGE('',*,*,#182543,.F.); #230956=ORIENTED_EDGE('',*,*,#182539,.T.); #230957=ORIENTED_EDGE('',*,*,#182516,.F.); #230958=ORIENTED_EDGE('',*,*,#182544,.T.); #230959=ORIENTED_EDGE('',*,*,#182538,.F.); #230960=ORIENTED_EDGE('',*,*,#182545,.T.); #230961=ORIENTED_EDGE('',*,*,#182517,.F.); #230962=ORIENTED_EDGE('',*,*,#182545,.F.); #230963=ORIENTED_EDGE('',*,*,#182537,.T.); #230964=ORIENTED_EDGE('',*,*,#182546,.F.); #230965=ORIENTED_EDGE('',*,*,#182518,.F.); #230966=ORIENTED_EDGE('',*,*,#182546,.T.); #230967=ORIENTED_EDGE('',*,*,#182536,.F.); #230968=ORIENTED_EDGE('',*,*,#182547,.T.); #230969=ORIENTED_EDGE('',*,*,#182548,.F.); #230970=ORIENTED_EDGE('',*,*,#182519,.F.); #230971=ORIENTED_EDGE('',*,*,#182547,.F.); #230972=ORIENTED_EDGE('',*,*,#182535,.T.); #230973=ORIENTED_EDGE('',*,*,#182520,.F.); #230974=ORIENTED_EDGE('',*,*,#182548,.T.); #230975=ORIENTED_EDGE('',*,*,#182534,.F.); #230976=ORIENTED_EDGE('',*,*,#182549,.T.); #230977=ORIENTED_EDGE('',*,*,#182550,.F.); #230978=ORIENTED_EDGE('',*,*,#182551,.F.); #230979=ORIENTED_EDGE('',*,*,#182552,.F.); #230980=ORIENTED_EDGE('',*,*,#182553,.F.); #230981=ORIENTED_EDGE('',*,*,#182554,.F.); #230982=ORIENTED_EDGE('',*,*,#182521,.F.); #230983=ORIENTED_EDGE('',*,*,#182549,.F.); #230984=ORIENTED_EDGE('',*,*,#182533,.F.); #230985=ORIENTED_EDGE('',*,*,#182555,.F.); #230986=ORIENTED_EDGE('',*,*,#182556,.F.); #230987=ORIENTED_EDGE('',*,*,#182557,.F.); #230988=ORIENTED_EDGE('',*,*,#182558,.F.); #230989=ORIENTED_EDGE('',*,*,#182559,.F.); #230990=ORIENTED_EDGE('',*,*,#182560,.F.); #230991=ORIENTED_EDGE('',*,*,#182561,.F.); #230992=ORIENTED_EDGE('',*,*,#182562,.F.); #230993=ORIENTED_EDGE('',*,*,#182563,.F.); #230994=ORIENTED_EDGE('',*,*,#182564,.F.); #230995=ORIENTED_EDGE('',*,*,#182565,.F.); #230996=ORIENTED_EDGE('',*,*,#182566,.F.); #230997=ORIENTED_EDGE('',*,*,#182567,.F.); #230998=ORIENTED_EDGE('',*,*,#182568,.F.); #230999=ORIENTED_EDGE('',*,*,#182569,.F.); #231000=ORIENTED_EDGE('',*,*,#182570,.F.); #231001=ORIENTED_EDGE('',*,*,#182571,.F.); #231002=ORIENTED_EDGE('',*,*,#182572,.F.); #231003=ORIENTED_EDGE('',*,*,#182573,.F.); #231004=ORIENTED_EDGE('',*,*,#182574,.F.); #231005=ORIENTED_EDGE('',*,*,#182575,.F.); #231006=ORIENTED_EDGE('',*,*,#182576,.F.); #231007=ORIENTED_EDGE('',*,*,#182577,.F.); #231008=ORIENTED_EDGE('',*,*,#182368,.F.); #231009=ORIENTED_EDGE('',*,*,#182367,.F.); #231010=ORIENTED_EDGE('',*,*,#182364,.F.); #231011=ORIENTED_EDGE('',*,*,#182578,.F.); #231012=ORIENTED_EDGE('',*,*,#182349,.F.); #231013=ORIENTED_EDGE('',*,*,#182579,.F.); #231014=ORIENTED_EDGE('',*,*,#182580,.F.); #231015=ORIENTED_EDGE('',*,*,#182581,.F.); #231016=ORIENTED_EDGE('',*,*,#182582,.F.); #231017=ORIENTED_EDGE('',*,*,#182583,.F.); #231018=ORIENTED_EDGE('',*,*,#182584,.F.); #231019=ORIENTED_EDGE('',*,*,#182585,.F.); #231020=ORIENTED_EDGE('',*,*,#182586,.F.); #231021=ORIENTED_EDGE('',*,*,#182587,.F.); #231022=ORIENTED_EDGE('',*,*,#182588,.F.); #231023=ORIENTED_EDGE('',*,*,#182589,.F.); #231024=ORIENTED_EDGE('',*,*,#182590,.F.); #231025=ORIENTED_EDGE('',*,*,#182591,.F.); #231026=ORIENTED_EDGE('',*,*,#182592,.F.); #231027=ORIENTED_EDGE('',*,*,#182593,.F.); #231028=ORIENTED_EDGE('',*,*,#182594,.F.); #231029=ORIENTED_EDGE('',*,*,#182595,.F.); #231030=ORIENTED_EDGE('',*,*,#182596,.F.); #231031=ORIENTED_EDGE('',*,*,#182597,.F.); #231032=ORIENTED_EDGE('',*,*,#182598,.F.); #231033=ORIENTED_EDGE('',*,*,#182599,.F.); #231034=ORIENTED_EDGE('',*,*,#182600,.F.); #231035=ORIENTED_EDGE('',*,*,#182601,.F.); #231036=ORIENTED_EDGE('',*,*,#182602,.F.); #231037=ORIENTED_EDGE('',*,*,#182603,.F.); #231038=ORIENTED_EDGE('',*,*,#182604,.F.); #231039=ORIENTED_EDGE('',*,*,#182605,.F.); #231040=ORIENTED_EDGE('',*,*,#182606,.F.); #231041=ORIENTED_EDGE('',*,*,#182607,.F.); #231042=ORIENTED_EDGE('',*,*,#182608,.F.); #231043=ORIENTED_EDGE('',*,*,#182609,.F.); #231044=ORIENTED_EDGE('',*,*,#182610,.F.); #231045=ORIENTED_EDGE('',*,*,#182611,.F.); #231046=ORIENTED_EDGE('',*,*,#182612,.F.); #231047=ORIENTED_EDGE('',*,*,#182613,.F.); #231048=ORIENTED_EDGE('',*,*,#182614,.T.); #231049=ORIENTED_EDGE('',*,*,#182550,.T.); #231050=ORIENTED_EDGE('',*,*,#182615,.T.); #231051=ORIENTED_EDGE('',*,*,#182616,.F.); #231052=ORIENTED_EDGE('',*,*,#182617,.F.); #231053=ORIENTED_EDGE('',*,*,#182613,.T.); #231054=ORIENTED_EDGE('',*,*,#182618,.F.); #231055=ORIENTED_EDGE('',*,*,#182619,.F.); #231056=ORIENTED_EDGE('',*,*,#182620,.F.); #231057=ORIENTED_EDGE('',*,*,#182621,.F.); #231058=ORIENTED_EDGE('',*,*,#182622,.F.); #231059=ORIENTED_EDGE('',*,*,#182623,.F.); #231060=ORIENTED_EDGE('',*,*,#182624,.F.); #231061=ORIENTED_EDGE('',*,*,#182625,.F.); #231062=ORIENTED_EDGE('',*,*,#182626,.F.); #231063=ORIENTED_EDGE('',*,*,#182627,.F.); #231064=ORIENTED_EDGE('',*,*,#182628,.F.); #231065=ORIENTED_EDGE('',*,*,#182629,.F.); #231066=ORIENTED_EDGE('',*,*,#182630,.F.); #231067=ORIENTED_EDGE('',*,*,#182523,.F.); #231068=ORIENTED_EDGE('',*,*,#182631,.F.); #231069=ORIENTED_EDGE('',*,*,#182632,.F.); #231070=ORIENTED_EDGE('',*,*,#182633,.F.); #231071=ORIENTED_EDGE('',*,*,#182634,.F.); #231072=ORIENTED_EDGE('',*,*,#182635,.F.); #231073=ORIENTED_EDGE('',*,*,#182636,.F.); #231074=ORIENTED_EDGE('',*,*,#182637,.F.); #231075=ORIENTED_EDGE('',*,*,#182638,.F.); #231076=ORIENTED_EDGE('',*,*,#182639,.F.); #231077=ORIENTED_EDGE('',*,*,#182640,.F.); #231078=ORIENTED_EDGE('',*,*,#182641,.F.); #231079=ORIENTED_EDGE('',*,*,#182642,.F.); #231080=ORIENTED_EDGE('',*,*,#182643,.F.); #231081=ORIENTED_EDGE('',*,*,#182644,.F.); #231082=ORIENTED_EDGE('',*,*,#182645,.F.); #231083=ORIENTED_EDGE('',*,*,#182646,.F.); #231084=ORIENTED_EDGE('',*,*,#182647,.F.); #231085=ORIENTED_EDGE('',*,*,#182648,.F.); #231086=ORIENTED_EDGE('',*,*,#182649,.F.); #231087=ORIENTED_EDGE('',*,*,#182650,.F.); #231088=ORIENTED_EDGE('',*,*,#182651,.F.); #231089=ORIENTED_EDGE('',*,*,#182652,.F.); #231090=ORIENTED_EDGE('',*,*,#182552,.T.); #231091=ORIENTED_EDGE('',*,*,#182653,.T.); #231092=ORIENTED_EDGE('',*,*,#182616,.T.); #231093=ORIENTED_EDGE('',*,*,#182654,.T.); #231094=ORIENTED_EDGE('',*,*,#182632,.T.); #231095=ORIENTED_EDGE('',*,*,#182655,.F.); #231096=ORIENTED_EDGE('',*,*,#182553,.T.); #231097=ORIENTED_EDGE('',*,*,#182654,.F.); #231098=ORIENTED_EDGE('',*,*,#182631,.T.); #231099=ORIENTED_EDGE('',*,*,#182522,.F.); #231100=ORIENTED_EDGE('',*,*,#182554,.T.); #231101=ORIENTED_EDGE('',*,*,#182655,.T.); #231102=ORIENTED_EDGE('',*,*,#182617,.T.); #231103=ORIENTED_EDGE('',*,*,#182653,.F.); #231104=ORIENTED_EDGE('',*,*,#182551,.T.); #231105=ORIENTED_EDGE('',*,*,#182614,.F.); #231106=ORIENTED_EDGE('',*,*,#182630,.T.); #231107=ORIENTED_EDGE('',*,*,#182656,.T.); #231108=ORIENTED_EDGE('',*,*,#182596,.T.); #231109=ORIENTED_EDGE('',*,*,#182524,.T.); #231110=ORIENTED_EDGE('',*,*,#182629,.T.); #231111=ORIENTED_EDGE('',*,*,#182657,.F.); #231112=ORIENTED_EDGE('',*,*,#182597,.T.); #231113=ORIENTED_EDGE('',*,*,#182656,.F.); #231114=ORIENTED_EDGE('',*,*,#182598,.T.); #231115=ORIENTED_EDGE('',*,*,#182657,.T.); #231116=ORIENTED_EDGE('',*,*,#182628,.T.); #231117=ORIENTED_EDGE('',*,*,#182658,.F.); #231118=ORIENTED_EDGE('',*,*,#182658,.T.); #231119=ORIENTED_EDGE('',*,*,#182627,.T.); #231120=ORIENTED_EDGE('',*,*,#182659,.T.); #231121=ORIENTED_EDGE('',*,*,#182599,.T.); #231122=ORIENTED_EDGE('',*,*,#182600,.T.); #231123=ORIENTED_EDGE('',*,*,#182659,.F.); #231124=ORIENTED_EDGE('',*,*,#182626,.T.); #231125=ORIENTED_EDGE('',*,*,#182660,.F.); #231126=ORIENTED_EDGE('',*,*,#182601,.T.); #231127=ORIENTED_EDGE('',*,*,#182660,.T.); #231128=ORIENTED_EDGE('',*,*,#182625,.T.); #231129=ORIENTED_EDGE('',*,*,#182661,.T.); #231130=ORIENTED_EDGE('',*,*,#182602,.T.); #231131=ORIENTED_EDGE('',*,*,#182661,.F.); #231132=ORIENTED_EDGE('',*,*,#182624,.T.); #231133=ORIENTED_EDGE('',*,*,#182662,.F.); #231134=ORIENTED_EDGE('',*,*,#182603,.T.); #231135=ORIENTED_EDGE('',*,*,#182662,.T.); #231136=ORIENTED_EDGE('',*,*,#182623,.T.); #231137=ORIENTED_EDGE('',*,*,#182663,.T.); #231138=ORIENTED_EDGE('',*,*,#182604,.T.); #231139=ORIENTED_EDGE('',*,*,#182663,.F.); #231140=ORIENTED_EDGE('',*,*,#182622,.T.); #231141=ORIENTED_EDGE('',*,*,#182664,.F.); #231142=ORIENTED_EDGE('',*,*,#182605,.T.); #231143=ORIENTED_EDGE('',*,*,#182664,.T.); #231144=ORIENTED_EDGE('',*,*,#182621,.T.); #231145=ORIENTED_EDGE('',*,*,#182665,.T.); #231146=ORIENTED_EDGE('',*,*,#182606,.T.); #231147=ORIENTED_EDGE('',*,*,#182665,.F.); #231148=ORIENTED_EDGE('',*,*,#182620,.T.); #231149=ORIENTED_EDGE('',*,*,#182666,.F.); #231150=ORIENTED_EDGE('',*,*,#182607,.T.); #231151=ORIENTED_EDGE('',*,*,#182666,.T.); #231152=ORIENTED_EDGE('',*,*,#182619,.T.); #231153=ORIENTED_EDGE('',*,*,#182667,.T.); #231154=ORIENTED_EDGE('',*,*,#182608,.T.); #231155=ORIENTED_EDGE('',*,*,#182667,.F.); #231156=ORIENTED_EDGE('',*,*,#182618,.T.); #231157=ORIENTED_EDGE('',*,*,#182615,.F.); #231158=ORIENTED_EDGE('',*,*,#182668,.F.); #231159=ORIENTED_EDGE('',*,*,#182633,.T.); #231160=ORIENTED_EDGE('',*,*,#182669,.F.); #231161=ORIENTED_EDGE('',*,*,#182670,.F.); #231162=ORIENTED_EDGE('',*,*,#182671,.F.); #231163=ORIENTED_EDGE('',*,*,#182635,.T.); #231164=ORIENTED_EDGE('',*,*,#182634,.T.); #231165=ORIENTED_EDGE('',*,*,#182668,.T.); #231166=ORIENTED_EDGE('',*,*,#182636,.T.); #231167=ORIENTED_EDGE('',*,*,#182671,.T.); #231168=ORIENTED_EDGE('',*,*,#182672,.T.); #231169=ORIENTED_EDGE('',*,*,#182673,.F.); #231170=ORIENTED_EDGE('',*,*,#182637,.T.); #231171=ORIENTED_EDGE('',*,*,#182672,.F.); #231172=ORIENTED_EDGE('',*,*,#182674,.F.); #231173=ORIENTED_EDGE('',*,*,#182675,.F.); #231174=ORIENTED_EDGE('',*,*,#182639,.T.); #231175=ORIENTED_EDGE('',*,*,#182638,.T.); #231176=ORIENTED_EDGE('',*,*,#182673,.T.); #231177=ORIENTED_EDGE('',*,*,#182640,.T.); #231178=ORIENTED_EDGE('',*,*,#182675,.T.); #231179=ORIENTED_EDGE('',*,*,#182676,.T.); #231180=ORIENTED_EDGE('',*,*,#182677,.F.); #231181=ORIENTED_EDGE('',*,*,#182641,.T.); #231182=ORIENTED_EDGE('',*,*,#182676,.F.); #231183=ORIENTED_EDGE('',*,*,#182678,.F.); #231184=ORIENTED_EDGE('',*,*,#182679,.F.); #231185=ORIENTED_EDGE('',*,*,#182643,.T.); #231186=ORIENTED_EDGE('',*,*,#182642,.T.); #231187=ORIENTED_EDGE('',*,*,#182677,.T.); #231188=ORIENTED_EDGE('',*,*,#182644,.T.); #231189=ORIENTED_EDGE('',*,*,#182679,.T.); #231190=ORIENTED_EDGE('',*,*,#182680,.T.); #231191=ORIENTED_EDGE('',*,*,#182681,.F.); #231192=ORIENTED_EDGE('',*,*,#182645,.T.); #231193=ORIENTED_EDGE('',*,*,#182680,.F.); #231194=ORIENTED_EDGE('',*,*,#182682,.F.); #231195=ORIENTED_EDGE('',*,*,#182683,.F.); #231196=ORIENTED_EDGE('',*,*,#182647,.T.); #231197=ORIENTED_EDGE('',*,*,#182646,.T.); #231198=ORIENTED_EDGE('',*,*,#182681,.T.); #231199=ORIENTED_EDGE('',*,*,#182648,.T.); #231200=ORIENTED_EDGE('',*,*,#182683,.T.); #231201=ORIENTED_EDGE('',*,*,#182669,.T.); #231202=ORIENTED_EDGE('',*,*,#182684,.T.); #231203=ORIENTED_EDGE('',*,*,#182685,.F.); #231204=ORIENTED_EDGE('',*,*,#182686,.T.); #231205=ORIENTED_EDGE('',*,*,#182687,.T.); #231206=ORIENTED_EDGE('',*,*,#182688,.T.); #231207=ORIENTED_EDGE('',*,*,#182689,.T.); #231208=ORIENTED_EDGE('',*,*,#182690,.T.); #231209=ORIENTED_EDGE('',*,*,#182691,.T.); #231210=ORIENTED_EDGE('',*,*,#182692,.F.); #231211=ORIENTED_EDGE('',*,*,#182693,.T.); #231212=ORIENTED_EDGE('',*,*,#182694,.T.); #231213=ORIENTED_EDGE('',*,*,#182695,.T.); #231214=ORIENTED_EDGE('',*,*,#182682,.T.); #231215=ORIENTED_EDGE('',*,*,#182678,.T.); #231216=ORIENTED_EDGE('',*,*,#182674,.T.); #231217=ORIENTED_EDGE('',*,*,#182670,.T.); #231218=ORIENTED_EDGE('',*,*,#182695,.F.); #231219=ORIENTED_EDGE('',*,*,#182696,.T.); #231220=ORIENTED_EDGE('',*,*,#182697,.F.); #231221=ORIENTED_EDGE('',*,*,#182698,.T.); #231222=ORIENTED_EDGE('',*,*,#182699,.F.); #231223=ORIENTED_EDGE('',*,*,#182700,.T.); #231224=ORIENTED_EDGE('',*,*,#182701,.T.); #231225=ORIENTED_EDGE('',*,*,#182702,.T.); #231226=ORIENTED_EDGE('',*,*,#182703,.T.); #231227=ORIENTED_EDGE('',*,*,#182704,.T.); #231228=ORIENTED_EDGE('',*,*,#182705,.T.); #231229=ORIENTED_EDGE('',*,*,#182706,.T.); #231230=ORIENTED_EDGE('',*,*,#182707,.T.); #231231=ORIENTED_EDGE('',*,*,#182685,.T.); #231232=ORIENTED_EDGE('',*,*,#182708,.T.); #231233=ORIENTED_EDGE('',*,*,#182709,.T.); #231234=ORIENTED_EDGE('',*,*,#182710,.T.); #231235=ORIENTED_EDGE('',*,*,#182711,.F.); #231236=ORIENTED_EDGE('',*,*,#182712,.F.); #231237=ORIENTED_EDGE('',*,*,#182713,.F.); #231238=ORIENTED_EDGE('',*,*,#182714,.F.); #231239=ORIENTED_EDGE('',*,*,#182715,.F.); #231240=ORIENTED_EDGE('',*,*,#182716,.F.); #231241=ORIENTED_EDGE('',*,*,#182717,.F.); #231242=ORIENTED_EDGE('',*,*,#182718,.T.); #231243=ORIENTED_EDGE('',*,*,#182686,.F.); #231244=ORIENTED_EDGE('',*,*,#182718,.F.); #231245=ORIENTED_EDGE('',*,*,#182719,.T.); #231246=ORIENTED_EDGE('',*,*,#182720,.T.); #231247=ORIENTED_EDGE('',*,*,#182721,.T.); #231248=ORIENTED_EDGE('',*,*,#182722,.T.); #231249=ORIENTED_EDGE('',*,*,#182723,.F.); #231250=ORIENTED_EDGE('',*,*,#182724,.F.); #231251=ORIENTED_EDGE('',*,*,#182725,.F.); #231252=ORIENTED_EDGE('',*,*,#182726,.F.); #231253=ORIENTED_EDGE('',*,*,#182727,.F.); #231254=ORIENTED_EDGE('',*,*,#182728,.F.); #231255=ORIENTED_EDGE('',*,*,#182729,.T.); #231256=ORIENTED_EDGE('',*,*,#182730,.F.); #231257=ORIENTED_EDGE('',*,*,#182731,.F.); #231258=ORIENTED_EDGE('',*,*,#182708,.F.); #231259=ORIENTED_EDGE('',*,*,#182732,.T.); #231260=ORIENTED_EDGE('',*,*,#182733,.T.); #231261=ORIENTED_EDGE('',*,*,#182734,.T.); #231262=ORIENTED_EDGE('',*,*,#182729,.F.); #231263=ORIENTED_EDGE('',*,*,#182735,.F.); #231264=ORIENTED_EDGE('',*,*,#182332,.T.); #231265=ORIENTED_EDGE('',*,*,#182736,.F.); #231266=ORIENTED_EDGE('',*,*,#182737,.F.); #231267=ORIENTED_EDGE('',*,*,#182738,.F.); #231268=ORIENTED_EDGE('',*,*,#182739,.F.); #231269=ORIENTED_EDGE('',*,*,#182740,.F.); #231270=ORIENTED_EDGE('',*,*,#182741,.F.); #231271=ORIENTED_EDGE('',*,*,#182742,.F.); #231272=ORIENTED_EDGE('',*,*,#182743,.F.); #231273=ORIENTED_EDGE('',*,*,#182744,.T.); #231274=ORIENTED_EDGE('',*,*,#182745,.F.); #231275=ORIENTED_EDGE('',*,*,#182746,.F.); #231276=ORIENTED_EDGE('',*,*,#182747,.T.); #231277=ORIENTED_EDGE('',*,*,#182748,.T.); #231278=ORIENTED_EDGE('',*,*,#182747,.F.); #231279=ORIENTED_EDGE('',*,*,#182749,.F.); #231280=ORIENTED_EDGE('',*,*,#182750,.F.); #231281=ORIENTED_EDGE('',*,*,#182751,.F.); #231282=ORIENTED_EDGE('',*,*,#182752,.F.); #231283=ORIENTED_EDGE('',*,*,#182753,.F.); #231284=ORIENTED_EDGE('',*,*,#182754,.F.); #231285=ORIENTED_EDGE('',*,*,#182755,.F.); #231286=ORIENTED_EDGE('',*,*,#182756,.F.); #231287=ORIENTED_EDGE('',*,*,#182757,.T.); #231288=ORIENTED_EDGE('',*,*,#182758,.F.); #231289=ORIENTED_EDGE('',*,*,#182759,.F.); #231290=ORIENTED_EDGE('',*,*,#182760,.F.); #231291=ORIENTED_EDGE('',*,*,#182761,.F.); #231292=ORIENTED_EDGE('',*,*,#182762,.F.); #231293=ORIENTED_EDGE('',*,*,#182763,.F.); #231294=ORIENTED_EDGE('',*,*,#182764,.F.); #231295=ORIENTED_EDGE('',*,*,#182765,.F.); #231296=ORIENTED_EDGE('',*,*,#182766,.T.); #231297=ORIENTED_EDGE('',*,*,#182767,.F.); #231298=ORIENTED_EDGE('',*,*,#182768,.F.); #231299=ORIENTED_EDGE('',*,*,#182769,.F.); #231300=ORIENTED_EDGE('',*,*,#182770,.F.); #231301=ORIENTED_EDGE('',*,*,#182771,.F.); #231302=ORIENTED_EDGE('',*,*,#182772,.F.); #231303=ORIENTED_EDGE('',*,*,#182773,.T.); #231304=ORIENTED_EDGE('',*,*,#182774,.F.); #231305=ORIENTED_EDGE('',*,*,#182775,.F.); #231306=ORIENTED_EDGE('',*,*,#182776,.F.); #231307=ORIENTED_EDGE('',*,*,#182777,.F.); #231308=ORIENTED_EDGE('',*,*,#182778,.F.); #231309=ORIENTED_EDGE('',*,*,#182779,.F.); #231310=ORIENTED_EDGE('',*,*,#182780,.F.); #231311=ORIENTED_EDGE('',*,*,#182781,.F.); #231312=ORIENTED_EDGE('',*,*,#182730,.T.); #231313=ORIENTED_EDGE('',*,*,#182782,.F.); #231314=ORIENTED_EDGE('',*,*,#182783,.F.); #231315=ORIENTED_EDGE('',*,*,#182784,.F.); #231316=ORIENTED_EDGE('',*,*,#182785,.F.); #231317=ORIENTED_EDGE('',*,*,#182786,.F.); #231318=ORIENTED_EDGE('',*,*,#182787,.F.); #231319=ORIENTED_EDGE('',*,*,#182788,.F.); #231320=ORIENTED_EDGE('',*,*,#182789,.F.); #231321=ORIENTED_EDGE('',*,*,#182790,.T.); #231322=ORIENTED_EDGE('',*,*,#182791,.F.); #231323=ORIENTED_EDGE('',*,*,#182792,.F.); #231324=ORIENTED_EDGE('',*,*,#182793,.F.); #231325=ORIENTED_EDGE('',*,*,#182794,.F.); #231326=ORIENTED_EDGE('',*,*,#182795,.F.); #231327=ORIENTED_EDGE('',*,*,#182796,.F.); #231328=ORIENTED_EDGE('',*,*,#182797,.F.); #231329=ORIENTED_EDGE('',*,*,#182798,.F.); #231330=ORIENTED_EDGE('',*,*,#182799,.T.); #231331=ORIENTED_EDGE('',*,*,#182800,.F.); #231332=ORIENTED_EDGE('',*,*,#182801,.F.); #231333=ORIENTED_EDGE('',*,*,#182802,.F.); #231334=ORIENTED_EDGE('',*,*,#182803,.F.); #231335=ORIENTED_EDGE('',*,*,#182804,.F.); #231336=ORIENTED_EDGE('',*,*,#182805,.F.); #231337=ORIENTED_EDGE('',*,*,#182806,.F.); #231338=ORIENTED_EDGE('',*,*,#182807,.F.); #231339=ORIENTED_EDGE('',*,*,#182808,.T.); #231340=ORIENTED_EDGE('',*,*,#182809,.F.); #231341=ORIENTED_EDGE('',*,*,#182810,.F.); #231342=ORIENTED_EDGE('',*,*,#182811,.F.); #231343=ORIENTED_EDGE('',*,*,#182812,.F.); #231344=ORIENTED_EDGE('',*,*,#182813,.F.); #231345=ORIENTED_EDGE('',*,*,#182814,.F.); #231346=ORIENTED_EDGE('',*,*,#182815,.F.); #231347=ORIENTED_EDGE('',*,*,#182816,.F.); #231348=ORIENTED_EDGE('',*,*,#182817,.T.); #231349=ORIENTED_EDGE('',*,*,#182818,.F.); #231350=ORIENTED_EDGE('',*,*,#182819,.F.); #231351=ORIENTED_EDGE('',*,*,#182820,.F.); #231352=ORIENTED_EDGE('',*,*,#182821,.F.); #231353=ORIENTED_EDGE('',*,*,#182822,.F.); #231354=ORIENTED_EDGE('',*,*,#182823,.F.); #231355=ORIENTED_EDGE('',*,*,#182824,.F.); #231356=ORIENTED_EDGE('',*,*,#182825,.F.); #231357=ORIENTED_EDGE('',*,*,#182826,.T.); #231358=ORIENTED_EDGE('',*,*,#182790,.F.); #231359=ORIENTED_EDGE('',*,*,#182827,.F.); #231360=ORIENTED_EDGE('',*,*,#182773,.F.); #231361=ORIENTED_EDGE('',*,*,#182828,.F.); #231362=ORIENTED_EDGE('',*,*,#182757,.F.); #231363=ORIENTED_EDGE('',*,*,#182829,.F.); #231364=ORIENTED_EDGE('',*,*,#182333,.F.); #231365=ORIENTED_EDGE('',*,*,#182826,.F.); #231366=ORIENTED_EDGE('',*,*,#182830,.F.); #231367=ORIENTED_EDGE('',*,*,#182808,.F.); #231368=ORIENTED_EDGE('',*,*,#182831,.F.); #231369=ORIENTED_EDGE('',*,*,#182831,.T.); #231370=ORIENTED_EDGE('',*,*,#182832,.F.); #231371=ORIENTED_EDGE('',*,*,#182833,.F.); #231372=ORIENTED_EDGE('',*,*,#182833,.T.); #231373=ORIENTED_EDGE('',*,*,#182834,.F.); #231374=ORIENTED_EDGE('',*,*,#182835,.F.); #231375=ORIENTED_EDGE('',*,*,#182836,.F.); #231376=ORIENTED_EDGE('',*,*,#182837,.T.); #231377=ORIENTED_EDGE('',*,*,#182838,.F.); #231378=ORIENTED_EDGE('',*,*,#182791,.T.); #231379=ORIENTED_EDGE('',*,*,#182839,.T.); #231380=ORIENTED_EDGE('',*,*,#182792,.T.); #231381=ORIENTED_EDGE('',*,*,#182838,.T.); #231382=ORIENTED_EDGE('',*,*,#182840,.T.); #231383=ORIENTED_EDGE('',*,*,#182841,.T.); #231384=ORIENTED_EDGE('',*,*,#182793,.T.); #231385=ORIENTED_EDGE('',*,*,#182839,.F.); #231386=ORIENTED_EDGE('',*,*,#182842,.T.); #231387=ORIENTED_EDGE('',*,*,#182843,.T.); #231388=ORIENTED_EDGE('',*,*,#182794,.T.); #231389=ORIENTED_EDGE('',*,*,#182841,.F.); #231390=ORIENTED_EDGE('',*,*,#182844,.T.); #231391=ORIENTED_EDGE('',*,*,#182845,.T.); #231392=ORIENTED_EDGE('',*,*,#182795,.T.); #231393=ORIENTED_EDGE('',*,*,#182843,.F.); #231394=ORIENTED_EDGE('',*,*,#182846,.T.); #231395=ORIENTED_EDGE('',*,*,#182847,.T.); #231396=ORIENTED_EDGE('',*,*,#182796,.T.); #231397=ORIENTED_EDGE('',*,*,#182845,.F.); #231398=ORIENTED_EDGE('',*,*,#182848,.T.); #231399=ORIENTED_EDGE('',*,*,#182847,.F.); #231400=ORIENTED_EDGE('',*,*,#182849,.F.); #231401=ORIENTED_EDGE('',*,*,#182850,.T.); #231402=ORIENTED_EDGE('',*,*,#182797,.T.); #231403=ORIENTED_EDGE('',*,*,#182851,.T.); #231404=ORIENTED_EDGE('',*,*,#182798,.T.); #231405=ORIENTED_EDGE('',*,*,#182850,.F.); #231406=ORIENTED_EDGE('',*,*,#182852,.F.); #231407=ORIENTED_EDGE('',*,*,#182799,.F.); #231408=ORIENTED_EDGE('',*,*,#182851,.F.); #231409=ORIENTED_EDGE('',*,*,#182853,.F.); #231410=ORIENTED_EDGE('',*,*,#182854,.T.); #231411=ORIENTED_EDGE('',*,*,#182855,.F.); #231412=ORIENTED_EDGE('',*,*,#182856,.F.); #231413=ORIENTED_EDGE('',*,*,#182856,.T.); #231414=ORIENTED_EDGE('',*,*,#182857,.T.); #231415=ORIENTED_EDGE('',*,*,#182858,.F.); #231416=ORIENTED_EDGE('',*,*,#182800,.T.); #231417=ORIENTED_EDGE('',*,*,#182858,.T.); #231418=ORIENTED_EDGE('',*,*,#182859,.T.); #231419=ORIENTED_EDGE('',*,*,#182860,.F.); #231420=ORIENTED_EDGE('',*,*,#182801,.T.); #231421=ORIENTED_EDGE('',*,*,#182860,.T.); #231422=ORIENTED_EDGE('',*,*,#182861,.T.); #231423=ORIENTED_EDGE('',*,*,#182862,.F.); #231424=ORIENTED_EDGE('',*,*,#182802,.T.); #231425=ORIENTED_EDGE('',*,*,#182863,.F.); #231426=ORIENTED_EDGE('',*,*,#182803,.T.); #231427=ORIENTED_EDGE('',*,*,#182862,.T.); #231428=ORIENTED_EDGE('',*,*,#182864,.T.); #231429=ORIENTED_EDGE('',*,*,#182863,.T.); #231430=ORIENTED_EDGE('',*,*,#182865,.T.); #231431=ORIENTED_EDGE('',*,*,#182866,.F.); #231432=ORIENTED_EDGE('',*,*,#182867,.F.); #231433=ORIENTED_EDGE('',*,*,#182804,.T.); #231434=ORIENTED_EDGE('',*,*,#182868,.F.); #231435=ORIENTED_EDGE('',*,*,#182805,.T.); #231436=ORIENTED_EDGE('',*,*,#182867,.T.); #231437=ORIENTED_EDGE('',*,*,#182866,.T.); #231438=ORIENTED_EDGE('',*,*,#182869,.T.); #231439=ORIENTED_EDGE('',*,*,#182868,.T.); #231440=ORIENTED_EDGE('',*,*,#182870,.F.); #231441=ORIENTED_EDGE('',*,*,#182871,.F.); #231442=ORIENTED_EDGE('',*,*,#182806,.T.); #231443=ORIENTED_EDGE('',*,*,#182807,.T.); #231444=ORIENTED_EDGE('',*,*,#182871,.T.); #231445=ORIENTED_EDGE('',*,*,#182872,.F.); #231446=ORIENTED_EDGE('',*,*,#182834,.T.); #231447=ORIENTED_EDGE('',*,*,#182832,.T.); #231448=ORIENTED_EDGE('',*,*,#182689,.F.); #231449=ORIENTED_EDGE('',*,*,#182873,.T.); #231450=ORIENTED_EDGE('',*,*,#182874,.T.); #231451=ORIENTED_EDGE('',*,*,#182835,.T.); #231452=ORIENTED_EDGE('',*,*,#182872,.T.); #231453=ORIENTED_EDGE('',*,*,#182870,.T.); #231454=ORIENTED_EDGE('',*,*,#182869,.F.); #231455=ORIENTED_EDGE('',*,*,#182865,.F.); #231456=ORIENTED_EDGE('',*,*,#182864,.F.); #231457=ORIENTED_EDGE('',*,*,#182861,.F.); #231458=ORIENTED_EDGE('',*,*,#182859,.F.); #231459=ORIENTED_EDGE('',*,*,#182857,.F.); #231460=ORIENTED_EDGE('',*,*,#182855,.T.); #231461=ORIENTED_EDGE('',*,*,#182836,.T.); #231462=ORIENTED_EDGE('',*,*,#182874,.F.); #231463=ORIENTED_EDGE('',*,*,#182875,.T.); #231464=ORIENTED_EDGE('',*,*,#182688,.F.); #231465=ORIENTED_EDGE('',*,*,#182853,.T.); #231466=ORIENTED_EDGE('',*,*,#182852,.T.); #231467=ORIENTED_EDGE('',*,*,#182849,.T.); #231468=ORIENTED_EDGE('',*,*,#182848,.F.); #231469=ORIENTED_EDGE('',*,*,#182846,.F.); #231470=ORIENTED_EDGE('',*,*,#182844,.F.); #231471=ORIENTED_EDGE('',*,*,#182842,.F.); #231472=ORIENTED_EDGE('',*,*,#182840,.F.); #231473=ORIENTED_EDGE('',*,*,#182837,.F.); #231474=ORIENTED_EDGE('',*,*,#182875,.F.); #231475=ORIENTED_EDGE('',*,*,#182873,.F.); #231476=ORIENTED_EDGE('',*,*,#182854,.F.); #231477=ORIENTED_EDGE('',*,*,#182687,.F.); #231478=ORIENTED_EDGE('',*,*,#182734,.F.); #231479=ORIENTED_EDGE('',*,*,#182876,.T.); #231480=ORIENTED_EDGE('',*,*,#182877,.T.); #231481=ORIENTED_EDGE('',*,*,#182491,.T.); #231482=ORIENTED_EDGE('',*,*,#182878,.F.); #231483=ORIENTED_EDGE('',*,*,#182489,.T.); #231484=ORIENTED_EDGE('',*,*,#182879,.F.); #231485=ORIENTED_EDGE('',*,*,#182690,.F.); #231486=ORIENTED_EDGE('',*,*,#182488,.T.); #231487=ORIENTED_EDGE('',*,*,#182880,.F.); #231488=ORIENTED_EDGE('',*,*,#182879,.T.); #231489=ORIENTED_EDGE('',*,*,#182881,.F.); #231490=ORIENTED_EDGE('',*,*,#182691,.F.); #231491=ORIENTED_EDGE('',*,*,#182880,.T.); #231492=ORIENTED_EDGE('',*,*,#182487,.T.); #231493=ORIENTED_EDGE('',*,*,#182882,.F.); #231494=ORIENTED_EDGE('',*,*,#182485,.T.); #231495=ORIENTED_EDGE('',*,*,#182883,.F.); #231496=ORIENTED_EDGE('',*,*,#182694,.F.); #231497=ORIENTED_EDGE('',*,*,#182817,.F.); #231498=ORIENTED_EDGE('',*,*,#182884,.F.); #231499=ORIENTED_EDGE('',*,*,#182885,.F.); #231500=ORIENTED_EDGE('',*,*,#182881,.T.); #231501=ORIENTED_EDGE('',*,*,#182886,.F.); #231502=ORIENTED_EDGE('',*,*,#182887,.F.); #231503=ORIENTED_EDGE('',*,*,#182887,.T.); #231504=ORIENTED_EDGE('',*,*,#182888,.T.); #231505=ORIENTED_EDGE('',*,*,#182889,.F.); #231506=ORIENTED_EDGE('',*,*,#182818,.T.); #231507=ORIENTED_EDGE('',*,*,#182825,.T.); #231508=ORIENTED_EDGE('',*,*,#182890,.T.); #231509=ORIENTED_EDGE('',*,*,#182891,.F.); #231510=ORIENTED_EDGE('',*,*,#182892,.T.); #231511=ORIENTED_EDGE('',*,*,#182893,.T.); #231512=ORIENTED_EDGE('',*,*,#182830,.T.); #231513=ORIENTED_EDGE('',*,*,#182893,.F.); #231514=ORIENTED_EDGE('',*,*,#182894,.F.); #231515=ORIENTED_EDGE('',*,*,#182894,.T.); #231516=ORIENTED_EDGE('',*,*,#182892,.F.); #231517=ORIENTED_EDGE('',*,*,#182895,.F.); #231518=ORIENTED_EDGE('',*,*,#182896,.F.); #231519=ORIENTED_EDGE('',*,*,#182897,.T.); #231520=ORIENTED_EDGE('',*,*,#182898,.F.); #231521=ORIENTED_EDGE('',*,*,#182809,.T.); #231522=ORIENTED_EDGE('',*,*,#182899,.T.); #231523=ORIENTED_EDGE('',*,*,#182810,.T.); #231524=ORIENTED_EDGE('',*,*,#182898,.T.); #231525=ORIENTED_EDGE('',*,*,#182900,.T.); #231526=ORIENTED_EDGE('',*,*,#182901,.T.); #231527=ORIENTED_EDGE('',*,*,#182811,.T.); #231528=ORIENTED_EDGE('',*,*,#182899,.F.); #231529=ORIENTED_EDGE('',*,*,#182902,.T.); #231530=ORIENTED_EDGE('',*,*,#182903,.T.); #231531=ORIENTED_EDGE('',*,*,#182812,.T.); #231532=ORIENTED_EDGE('',*,*,#182901,.F.); #231533=ORIENTED_EDGE('',*,*,#182904,.T.); #231534=ORIENTED_EDGE('',*,*,#182905,.T.); #231535=ORIENTED_EDGE('',*,*,#182813,.T.); #231536=ORIENTED_EDGE('',*,*,#182903,.F.); #231537=ORIENTED_EDGE('',*,*,#182906,.T.); #231538=ORIENTED_EDGE('',*,*,#182907,.T.); #231539=ORIENTED_EDGE('',*,*,#182814,.T.); #231540=ORIENTED_EDGE('',*,*,#182905,.F.); #231541=ORIENTED_EDGE('',*,*,#182908,.T.); #231542=ORIENTED_EDGE('',*,*,#182907,.F.); #231543=ORIENTED_EDGE('',*,*,#182909,.F.); #231544=ORIENTED_EDGE('',*,*,#182910,.T.); #231545=ORIENTED_EDGE('',*,*,#182815,.T.); #231546=ORIENTED_EDGE('',*,*,#182884,.T.); #231547=ORIENTED_EDGE('',*,*,#182816,.T.); #231548=ORIENTED_EDGE('',*,*,#182910,.F.); #231549=ORIENTED_EDGE('',*,*,#182911,.F.); #231550=ORIENTED_EDGE('',*,*,#182692,.T.); #231551=ORIENTED_EDGE('',*,*,#182885,.T.); #231552=ORIENTED_EDGE('',*,*,#182911,.T.); #231553=ORIENTED_EDGE('',*,*,#182909,.T.); #231554=ORIENTED_EDGE('',*,*,#182908,.F.); #231555=ORIENTED_EDGE('',*,*,#182906,.F.); #231556=ORIENTED_EDGE('',*,*,#182904,.F.); #231557=ORIENTED_EDGE('',*,*,#182902,.F.); #231558=ORIENTED_EDGE('',*,*,#182900,.F.); #231559=ORIENTED_EDGE('',*,*,#182897,.F.); #231560=ORIENTED_EDGE('',*,*,#182912,.F.); #231561=ORIENTED_EDGE('',*,*,#182913,.F.); #231562=ORIENTED_EDGE('',*,*,#182693,.F.); #231563=ORIENTED_EDGE('',*,*,#182913,.T.); #231564=ORIENTED_EDGE('',*,*,#182914,.T.); #231565=ORIENTED_EDGE('',*,*,#182895,.T.); #231566=ORIENTED_EDGE('',*,*,#182891,.T.); #231567=ORIENTED_EDGE('',*,*,#182915,.T.); #231568=ORIENTED_EDGE('',*,*,#182916,.F.); #231569=ORIENTED_EDGE('',*,*,#182917,.F.); #231570=ORIENTED_EDGE('',*,*,#182918,.F.); #231571=ORIENTED_EDGE('',*,*,#182919,.F.); #231572=ORIENTED_EDGE('',*,*,#182920,.F.); #231573=ORIENTED_EDGE('',*,*,#182888,.F.); #231574=ORIENTED_EDGE('',*,*,#182886,.T.); #231575=ORIENTED_EDGE('',*,*,#182889,.T.); #231576=ORIENTED_EDGE('',*,*,#182920,.T.); #231577=ORIENTED_EDGE('',*,*,#182921,.F.); #231578=ORIENTED_EDGE('',*,*,#182819,.T.); #231579=ORIENTED_EDGE('',*,*,#182921,.T.); #231580=ORIENTED_EDGE('',*,*,#182919,.T.); #231581=ORIENTED_EDGE('',*,*,#182922,.F.); #231582=ORIENTED_EDGE('',*,*,#182820,.T.); #231583=ORIENTED_EDGE('',*,*,#182923,.F.); #231584=ORIENTED_EDGE('',*,*,#182821,.T.); #231585=ORIENTED_EDGE('',*,*,#182922,.T.); #231586=ORIENTED_EDGE('',*,*,#182918,.T.); #231587=ORIENTED_EDGE('',*,*,#182923,.T.); #231588=ORIENTED_EDGE('',*,*,#182917,.T.); #231589=ORIENTED_EDGE('',*,*,#182924,.T.); #231590=ORIENTED_EDGE('',*,*,#182822,.T.); #231591=ORIENTED_EDGE('',*,*,#182925,.F.); #231592=ORIENTED_EDGE('',*,*,#182823,.T.); #231593=ORIENTED_EDGE('',*,*,#182924,.F.); #231594=ORIENTED_EDGE('',*,*,#182916,.T.); #231595=ORIENTED_EDGE('',*,*,#182925,.T.); #231596=ORIENTED_EDGE('',*,*,#182915,.F.); #231597=ORIENTED_EDGE('',*,*,#182890,.F.); #231598=ORIENTED_EDGE('',*,*,#182824,.T.); #231599=ORIENTED_EDGE('',*,*,#182896,.T.); #231600=ORIENTED_EDGE('',*,*,#182914,.F.); #231601=ORIENTED_EDGE('',*,*,#182912,.T.); #231602=ORIENTED_EDGE('',*,*,#182926,.T.); #231603=ORIENTED_EDGE('',*,*,#182743,.T.); #231604=ORIENTED_EDGE('',*,*,#182927,.F.); #231605=ORIENTED_EDGE('',*,*,#182928,.F.); #231606=ORIENTED_EDGE('',*,*,#182744,.F.); #231607=ORIENTED_EDGE('',*,*,#182926,.F.); #231608=ORIENTED_EDGE('',*,*,#182929,.F.); #231609=ORIENTED_EDGE('',*,*,#182930,.T.); #231610=ORIENTED_EDGE('',*,*,#182931,.F.); #231611=ORIENTED_EDGE('',*,*,#182932,.F.); #231612=ORIENTED_EDGE('',*,*,#182932,.T.); #231613=ORIENTED_EDGE('',*,*,#182933,.T.); #231614=ORIENTED_EDGE('',*,*,#182934,.F.); #231615=ORIENTED_EDGE('',*,*,#182749,.T.); #231616=ORIENTED_EDGE('',*,*,#182746,.T.); #231617=ORIENTED_EDGE('',*,*,#182745,.T.); #231618=ORIENTED_EDGE('',*,*,#182934,.T.); #231619=ORIENTED_EDGE('',*,*,#182935,.T.); #231620=ORIENTED_EDGE('',*,*,#182936,.F.); #231621=ORIENTED_EDGE('',*,*,#182750,.T.); #231622=ORIENTED_EDGE('',*,*,#182937,.F.); #231623=ORIENTED_EDGE('',*,*,#182751,.T.); #231624=ORIENTED_EDGE('',*,*,#182936,.T.); #231625=ORIENTED_EDGE('',*,*,#182938,.T.); #231626=ORIENTED_EDGE('',*,*,#182939,.F.); #231627=ORIENTED_EDGE('',*,*,#182752,.T.); #231628=ORIENTED_EDGE('',*,*,#182937,.T.); #231629=ORIENTED_EDGE('',*,*,#182940,.T.); #231630=ORIENTED_EDGE('',*,*,#182939,.T.); #231631=ORIENTED_EDGE('',*,*,#182941,.T.); #231632=ORIENTED_EDGE('',*,*,#182942,.F.); #231633=ORIENTED_EDGE('',*,*,#182753,.T.); #231634=ORIENTED_EDGE('',*,*,#182943,.F.); #231635=ORIENTED_EDGE('',*,*,#182754,.T.); #231636=ORIENTED_EDGE('',*,*,#182942,.T.); #231637=ORIENTED_EDGE('',*,*,#182944,.T.); #231638=ORIENTED_EDGE('',*,*,#182943,.T.); #231639=ORIENTED_EDGE('',*,*,#182945,.F.); #231640=ORIENTED_EDGE('',*,*,#182946,.F.); #231641=ORIENTED_EDGE('',*,*,#182755,.T.); #231642=ORIENTED_EDGE('',*,*,#182756,.T.); #231643=ORIENTED_EDGE('',*,*,#182946,.T.); #231644=ORIENTED_EDGE('',*,*,#182947,.F.); #231645=ORIENTED_EDGE('',*,*,#182948,.T.); #231646=ORIENTED_EDGE('',*,*,#182949,.T.); #231647=ORIENTED_EDGE('',*,*,#182829,.T.); #231648=ORIENTED_EDGE('',*,*,#182949,.F.); #231649=ORIENTED_EDGE('',*,*,#182950,.F.); #231650=ORIENTED_EDGE('',*,*,#182950,.T.); #231651=ORIENTED_EDGE('',*,*,#182948,.F.); #231652=ORIENTED_EDGE('',*,*,#182951,.F.); #231653=ORIENTED_EDGE('',*,*,#182952,.F.); #231654=ORIENTED_EDGE('',*,*,#182953,.T.); #231655=ORIENTED_EDGE('',*,*,#182954,.F.); #231656=ORIENTED_EDGE('',*,*,#182334,.T.); #231657=ORIENTED_EDGE('',*,*,#182955,.T.); #231658=ORIENTED_EDGE('',*,*,#182737,.T.); #231659=ORIENTED_EDGE('',*,*,#182736,.T.); #231660=ORIENTED_EDGE('',*,*,#182335,.T.); #231661=ORIENTED_EDGE('',*,*,#182954,.T.); #231662=ORIENTED_EDGE('',*,*,#182956,.T.); #231663=ORIENTED_EDGE('',*,*,#182957,.T.); #231664=ORIENTED_EDGE('',*,*,#182738,.T.); #231665=ORIENTED_EDGE('',*,*,#182955,.F.); #231666=ORIENTED_EDGE('',*,*,#182958,.T.); #231667=ORIENTED_EDGE('',*,*,#182959,.T.); #231668=ORIENTED_EDGE('',*,*,#182739,.T.); #231669=ORIENTED_EDGE('',*,*,#182957,.F.); #231670=ORIENTED_EDGE('',*,*,#182960,.T.); #231671=ORIENTED_EDGE('',*,*,#182961,.T.); #231672=ORIENTED_EDGE('',*,*,#182740,.T.); #231673=ORIENTED_EDGE('',*,*,#182959,.F.); #231674=ORIENTED_EDGE('',*,*,#182962,.T.); #231675=ORIENTED_EDGE('',*,*,#182963,.T.); #231676=ORIENTED_EDGE('',*,*,#182741,.T.); #231677=ORIENTED_EDGE('',*,*,#182961,.F.); #231678=ORIENTED_EDGE('',*,*,#182964,.T.); #231679=ORIENTED_EDGE('',*,*,#182963,.F.); #231680=ORIENTED_EDGE('',*,*,#182965,.F.); #231681=ORIENTED_EDGE('',*,*,#182927,.T.); #231682=ORIENTED_EDGE('',*,*,#182742,.T.); #231683=ORIENTED_EDGE('',*,*,#182701,.F.); #231684=ORIENTED_EDGE('',*,*,#182929,.T.); #231685=ORIENTED_EDGE('',*,*,#182928,.T.); #231686=ORIENTED_EDGE('',*,*,#182965,.T.); #231687=ORIENTED_EDGE('',*,*,#182964,.F.); #231688=ORIENTED_EDGE('',*,*,#182962,.F.); #231689=ORIENTED_EDGE('',*,*,#182960,.F.); #231690=ORIENTED_EDGE('',*,*,#182958,.F.); #231691=ORIENTED_EDGE('',*,*,#182956,.F.); #231692=ORIENTED_EDGE('',*,*,#182953,.F.); #231693=ORIENTED_EDGE('',*,*,#182966,.F.); #231694=ORIENTED_EDGE('',*,*,#182967,.T.); #231695=ORIENTED_EDGE('',*,*,#182968,.T.); #231696=ORIENTED_EDGE('',*,*,#182527,.T.); #231697=ORIENTED_EDGE('',*,*,#182448,.T.); #231698=ORIENTED_EDGE('',*,*,#182699,.T.); #231699=ORIENTED_EDGE('',*,*,#182968,.F.); #231700=ORIENTED_EDGE('',*,*,#182698,.F.); #231701=ORIENTED_EDGE('',*,*,#182969,.F.); #231702=ORIENTED_EDGE('',*,*,#182530,.F.); #231703=ORIENTED_EDGE('',*,*,#182969,.T.); #231704=ORIENTED_EDGE('',*,*,#182697,.T.); #231705=ORIENTED_EDGE('',*,*,#182446,.T.); #231706=ORIENTED_EDGE('',*,*,#182531,.F.); #231707=ORIENTED_EDGE('',*,*,#182930,.F.); #231708=ORIENTED_EDGE('',*,*,#182700,.F.); #231709=ORIENTED_EDGE('',*,*,#182447,.T.); #231710=ORIENTED_EDGE('',*,*,#182696,.F.); #231711=ORIENTED_EDGE('',*,*,#182970,.T.); #231712=ORIENTED_EDGE('',*,*,#182483,.T.); #231713=ORIENTED_EDGE('',*,*,#182971,.F.); #231714=ORIENTED_EDGE('',*,*,#182481,.T.); #231715=ORIENTED_EDGE('',*,*,#182972,.F.); #231716=ORIENTED_EDGE('',*,*,#182703,.F.); #231717=ORIENTED_EDGE('',*,*,#182480,.T.); #231718=ORIENTED_EDGE('',*,*,#182973,.F.); #231719=ORIENTED_EDGE('',*,*,#182972,.T.); #231720=ORIENTED_EDGE('',*,*,#182974,.F.); #231721=ORIENTED_EDGE('',*,*,#182704,.F.); #231722=ORIENTED_EDGE('',*,*,#182973,.T.); #231723=ORIENTED_EDGE('',*,*,#182479,.T.); #231724=ORIENTED_EDGE('',*,*,#182975,.F.); #231725=ORIENTED_EDGE('',*,*,#182477,.T.); #231726=ORIENTED_EDGE('',*,*,#182976,.T.); #231727=ORIENTED_EDGE('',*,*,#182977,.F.); #231728=ORIENTED_EDGE('',*,*,#182707,.F.); #231729=ORIENTED_EDGE('',*,*,#182766,.F.); #231730=ORIENTED_EDGE('',*,*,#182978,.F.); #231731=ORIENTED_EDGE('',*,*,#182979,.T.); #231732=ORIENTED_EDGE('',*,*,#182980,.F.); #231733=ORIENTED_EDGE('',*,*,#182974,.T.); #231734=ORIENTED_EDGE('',*,*,#182981,.F.); #231735=ORIENTED_EDGE('',*,*,#182982,.T.); #231736=ORIENTED_EDGE('',*,*,#182983,.F.); #231737=ORIENTED_EDGE('',*,*,#182983,.T.); #231738=ORIENTED_EDGE('',*,*,#182984,.T.); #231739=ORIENTED_EDGE('',*,*,#182985,.F.); #231740=ORIENTED_EDGE('',*,*,#182767,.T.); #231741=ORIENTED_EDGE('',*,*,#182985,.T.); #231742=ORIENTED_EDGE('',*,*,#182986,.T.); #231743=ORIENTED_EDGE('',*,*,#182987,.F.); #231744=ORIENTED_EDGE('',*,*,#182768,.T.); #231745=ORIENTED_EDGE('',*,*,#182987,.T.); #231746=ORIENTED_EDGE('',*,*,#182988,.T.); #231747=ORIENTED_EDGE('',*,*,#182989,.F.); #231748=ORIENTED_EDGE('',*,*,#182769,.T.); #231749=ORIENTED_EDGE('',*,*,#182989,.T.); #231750=ORIENTED_EDGE('',*,*,#182990,.T.); #231751=ORIENTED_EDGE('',*,*,#182991,.F.); #231752=ORIENTED_EDGE('',*,*,#182770,.T.); #231753=ORIENTED_EDGE('',*,*,#182991,.T.); #231754=ORIENTED_EDGE('',*,*,#182992,.F.); #231755=ORIENTED_EDGE('',*,*,#182993,.F.); #231756=ORIENTED_EDGE('',*,*,#182771,.T.); #231757=ORIENTED_EDGE('',*,*,#182772,.T.); #231758=ORIENTED_EDGE('',*,*,#182993,.T.); #231759=ORIENTED_EDGE('',*,*,#182994,.F.); #231760=ORIENTED_EDGE('',*,*,#182995,.T.); #231761=ORIENTED_EDGE('',*,*,#182996,.F.); #231762=ORIENTED_EDGE('',*,*,#182828,.T.); #231763=ORIENTED_EDGE('',*,*,#182996,.T.); #231764=ORIENTED_EDGE('',*,*,#182997,.F.); #231765=ORIENTED_EDGE('',*,*,#182997,.T.); #231766=ORIENTED_EDGE('',*,*,#182995,.F.); #231767=ORIENTED_EDGE('',*,*,#182998,.F.); #231768=ORIENTED_EDGE('',*,*,#182999,.F.); #231769=ORIENTED_EDGE('',*,*,#183000,.T.); #231770=ORIENTED_EDGE('',*,*,#183001,.F.); #231771=ORIENTED_EDGE('',*,*,#182758,.T.); #231772=ORIENTED_EDGE('',*,*,#183001,.T.); #231773=ORIENTED_EDGE('',*,*,#183002,.T.); #231774=ORIENTED_EDGE('',*,*,#183003,.T.); #231775=ORIENTED_EDGE('',*,*,#182759,.T.); #231776=ORIENTED_EDGE('',*,*,#183003,.F.); #231777=ORIENTED_EDGE('',*,*,#183004,.T.); #231778=ORIENTED_EDGE('',*,*,#183005,.T.); #231779=ORIENTED_EDGE('',*,*,#182760,.T.); #231780=ORIENTED_EDGE('',*,*,#183005,.F.); #231781=ORIENTED_EDGE('',*,*,#183006,.T.); #231782=ORIENTED_EDGE('',*,*,#183007,.T.); #231783=ORIENTED_EDGE('',*,*,#182761,.T.); #231784=ORIENTED_EDGE('',*,*,#183008,.T.); #231785=ORIENTED_EDGE('',*,*,#182762,.T.); #231786=ORIENTED_EDGE('',*,*,#183007,.F.); #231787=ORIENTED_EDGE('',*,*,#183009,.T.); #231788=ORIENTED_EDGE('',*,*,#183008,.F.); #231789=ORIENTED_EDGE('',*,*,#183010,.T.); #231790=ORIENTED_EDGE('',*,*,#183011,.T.); #231791=ORIENTED_EDGE('',*,*,#182763,.T.); #231792=ORIENTED_EDGE('',*,*,#183011,.F.); #231793=ORIENTED_EDGE('',*,*,#183012,.T.); #231794=ORIENTED_EDGE('',*,*,#183013,.T.); #231795=ORIENTED_EDGE('',*,*,#182764,.T.); #231796=ORIENTED_EDGE('',*,*,#182978,.T.); #231797=ORIENTED_EDGE('',*,*,#182765,.T.); #231798=ORIENTED_EDGE('',*,*,#183013,.F.); #231799=ORIENTED_EDGE('',*,*,#183014,.F.); #231800=ORIENTED_EDGE('',*,*,#182705,.F.); #231801=ORIENTED_EDGE('',*,*,#182980,.T.); #231802=ORIENTED_EDGE('',*,*,#182979,.F.); #231803=ORIENTED_EDGE('',*,*,#183014,.T.); #231804=ORIENTED_EDGE('',*,*,#183012,.F.); #231805=ORIENTED_EDGE('',*,*,#183010,.F.); #231806=ORIENTED_EDGE('',*,*,#183009,.F.); #231807=ORIENTED_EDGE('',*,*,#183006,.F.); #231808=ORIENTED_EDGE('',*,*,#183004,.F.); #231809=ORIENTED_EDGE('',*,*,#183002,.F.); #231810=ORIENTED_EDGE('',*,*,#183000,.F.); #231811=ORIENTED_EDGE('',*,*,#183015,.F.); #231812=ORIENTED_EDGE('',*,*,#183016,.T.); #231813=ORIENTED_EDGE('',*,*,#182706,.F.); #231814=ORIENTED_EDGE('',*,*,#183016,.F.); #231815=ORIENTED_EDGE('',*,*,#183017,.F.); #231816=ORIENTED_EDGE('',*,*,#182998,.T.); #231817=ORIENTED_EDGE('',*,*,#182994,.T.); #231818=ORIENTED_EDGE('',*,*,#182992,.T.); #231819=ORIENTED_EDGE('',*,*,#182990,.F.); #231820=ORIENTED_EDGE('',*,*,#182988,.F.); #231821=ORIENTED_EDGE('',*,*,#182986,.F.); #231822=ORIENTED_EDGE('',*,*,#182984,.F.); #231823=ORIENTED_EDGE('',*,*,#182982,.F.); #231824=ORIENTED_EDGE('',*,*,#182981,.T.); #231825=ORIENTED_EDGE('',*,*,#182999,.T.); #231826=ORIENTED_EDGE('',*,*,#183017,.T.); #231827=ORIENTED_EDGE('',*,*,#183015,.T.); #231828=ORIENTED_EDGE('',*,*,#183018,.T.); #231829=ORIENTED_EDGE('',*,*,#182977,.T.); #231830=ORIENTED_EDGE('',*,*,#183019,.T.); #231831=ORIENTED_EDGE('',*,*,#183020,.F.); #231832=ORIENTED_EDGE('',*,*,#182732,.F.); #231833=ORIENTED_EDGE('',*,*,#182684,.F.); #231834=ORIENTED_EDGE('',*,*,#183018,.F.); #231835=ORIENTED_EDGE('',*,*,#183020,.T.); #231836=ORIENTED_EDGE('',*,*,#183019,.F.); #231837=ORIENTED_EDGE('',*,*,#182976,.F.); #231838=ORIENTED_EDGE('',*,*,#182492,.T.); #231839=ORIENTED_EDGE('',*,*,#182877,.F.); #231840=ORIENTED_EDGE('',*,*,#183021,.T.); #231841=ORIENTED_EDGE('',*,*,#183022,.T.); #231842=ORIENTED_EDGE('',*,*,#183023,.F.); #231843=ORIENTED_EDGE('',*,*,#182733,.F.); #231844=ORIENTED_EDGE('',*,*,#183022,.F.); #231845=ORIENTED_EDGE('',*,*,#183023,.T.); #231846=ORIENTED_EDGE('',*,*,#183021,.F.); #231847=ORIENTED_EDGE('',*,*,#182876,.F.); #231848=ORIENTED_EDGE('',*,*,#182478,.T.); #231849=ORIENTED_EDGE('',*,*,#182975,.T.); #231850=ORIENTED_EDGE('',*,*,#182482,.T.); #231851=ORIENTED_EDGE('',*,*,#182971,.T.); #231852=ORIENTED_EDGE('',*,*,#182484,.T.); #231853=ORIENTED_EDGE('',*,*,#182970,.F.); #231854=ORIENTED_EDGE('',*,*,#182883,.T.); #231855=ORIENTED_EDGE('',*,*,#182702,.F.); #231856=ORIENTED_EDGE('',*,*,#182967,.F.); #231857=ORIENTED_EDGE('',*,*,#183024,.T.); #231858=ORIENTED_EDGE('',*,*,#182951,.T.); #231859=ORIENTED_EDGE('',*,*,#182947,.T.); #231860=ORIENTED_EDGE('',*,*,#182945,.T.); #231861=ORIENTED_EDGE('',*,*,#182944,.F.); #231862=ORIENTED_EDGE('',*,*,#182941,.F.); #231863=ORIENTED_EDGE('',*,*,#182940,.F.); #231864=ORIENTED_EDGE('',*,*,#182938,.F.); #231865=ORIENTED_EDGE('',*,*,#182935,.F.); #231866=ORIENTED_EDGE('',*,*,#182933,.F.); #231867=ORIENTED_EDGE('',*,*,#182931,.T.); #231868=ORIENTED_EDGE('',*,*,#182952,.T.); #231869=ORIENTED_EDGE('',*,*,#183024,.F.); #231870=ORIENTED_EDGE('',*,*,#182966,.T.); #231871=ORIENTED_EDGE('',*,*,#182486,.T.); #231872=ORIENTED_EDGE('',*,*,#182882,.T.); #231873=ORIENTED_EDGE('',*,*,#182490,.T.); #231874=ORIENTED_EDGE('',*,*,#182878,.T.); #231875=ORIENTED_EDGE('',*,*,#182827,.T.); #231876=ORIENTED_EDGE('',*,*,#183025,.F.); #231877=ORIENTED_EDGE('',*,*,#183026,.F.); #231878=ORIENTED_EDGE('',*,*,#182774,.T.); #231879=ORIENTED_EDGE('',*,*,#183026,.T.); #231880=ORIENTED_EDGE('',*,*,#183027,.F.); #231881=ORIENTED_EDGE('',*,*,#182720,.F.); #231882=ORIENTED_EDGE('',*,*,#183028,.F.); #231883=ORIENTED_EDGE('',*,*,#182716,.T.); #231884=ORIENTED_EDGE('',*,*,#183029,.F.); #231885=ORIENTED_EDGE('',*,*,#183030,.T.); #231886=ORIENTED_EDGE('',*,*,#182775,.T.); #231887=ORIENTED_EDGE('',*,*,#183029,.T.); #231888=ORIENTED_EDGE('',*,*,#182715,.T.); #231889=ORIENTED_EDGE('',*,*,#183031,.T.); #231890=ORIENTED_EDGE('',*,*,#182776,.T.); #231891=ORIENTED_EDGE('',*,*,#183030,.F.); #231892=ORIENTED_EDGE('',*,*,#182714,.T.); #231893=ORIENTED_EDGE('',*,*,#183032,.T.); #231894=ORIENTED_EDGE('',*,*,#182777,.T.); #231895=ORIENTED_EDGE('',*,*,#183031,.F.); #231896=ORIENTED_EDGE('',*,*,#182713,.T.); #231897=ORIENTED_EDGE('',*,*,#183033,.T.); #231898=ORIENTED_EDGE('',*,*,#182778,.T.); #231899=ORIENTED_EDGE('',*,*,#183032,.F.); #231900=ORIENTED_EDGE('',*,*,#182712,.T.); #231901=ORIENTED_EDGE('',*,*,#183034,.T.); #231902=ORIENTED_EDGE('',*,*,#182779,.T.); #231903=ORIENTED_EDGE('',*,*,#183033,.F.); #231904=ORIENTED_EDGE('',*,*,#182711,.T.); #231905=ORIENTED_EDGE('',*,*,#183034,.F.); #231906=ORIENTED_EDGE('',*,*,#182710,.F.); #231907=ORIENTED_EDGE('',*,*,#183035,.T.); #231908=ORIENTED_EDGE('',*,*,#182780,.T.); #231909=ORIENTED_EDGE('',*,*,#182731,.T.); #231910=ORIENTED_EDGE('',*,*,#182781,.T.); #231911=ORIENTED_EDGE('',*,*,#183035,.F.); #231912=ORIENTED_EDGE('',*,*,#182709,.F.); #231913=ORIENTED_EDGE('',*,*,#183028,.T.); #231914=ORIENTED_EDGE('',*,*,#182719,.F.); #231915=ORIENTED_EDGE('',*,*,#182717,.T.); #231916=ORIENTED_EDGE('',*,*,#182789,.T.); #231917=ORIENTED_EDGE('',*,*,#183036,.T.); #231918=ORIENTED_EDGE('',*,*,#183037,.T.); #231919=ORIENTED_EDGE('',*,*,#182721,.F.); #231920=ORIENTED_EDGE('',*,*,#183027,.T.); #231921=ORIENTED_EDGE('',*,*,#183025,.T.); #231922=ORIENTED_EDGE('',*,*,#183038,.T.); #231923=ORIENTED_EDGE('',*,*,#182722,.F.); #231924=ORIENTED_EDGE('',*,*,#183037,.F.); #231925=ORIENTED_EDGE('',*,*,#183036,.F.); #231926=ORIENTED_EDGE('',*,*,#182788,.T.); #231927=ORIENTED_EDGE('',*,*,#183038,.F.); #231928=ORIENTED_EDGE('',*,*,#182787,.T.); #231929=ORIENTED_EDGE('',*,*,#183039,.F.); #231930=ORIENTED_EDGE('',*,*,#182723,.T.); #231931=ORIENTED_EDGE('',*,*,#183040,.T.); #231932=ORIENTED_EDGE('',*,*,#182724,.T.); #231933=ORIENTED_EDGE('',*,*,#183039,.T.); #231934=ORIENTED_EDGE('',*,*,#182786,.T.); #231935=ORIENTED_EDGE('',*,*,#183040,.F.); #231936=ORIENTED_EDGE('',*,*,#182785,.T.); #231937=ORIENTED_EDGE('',*,*,#183041,.T.); #231938=ORIENTED_EDGE('',*,*,#182725,.T.); #231939=ORIENTED_EDGE('',*,*,#183041,.F.); #231940=ORIENTED_EDGE('',*,*,#182784,.T.); #231941=ORIENTED_EDGE('',*,*,#183042,.T.); #231942=ORIENTED_EDGE('',*,*,#182726,.T.); #231943=ORIENTED_EDGE('',*,*,#183043,.T.); #231944=ORIENTED_EDGE('',*,*,#182727,.T.); #231945=ORIENTED_EDGE('',*,*,#183042,.F.); #231946=ORIENTED_EDGE('',*,*,#182783,.T.); #231947=ORIENTED_EDGE('',*,*,#182735,.T.); #231948=ORIENTED_EDGE('',*,*,#182728,.T.); #231949=ORIENTED_EDGE('',*,*,#183043,.F.); #231950=ORIENTED_EDGE('',*,*,#182782,.T.); #231951=ORIENTED_EDGE('',*,*,#182748,.F.); #231952=ORIENTED_EDGE('',*,*,#182609,.T.); #231953=ORIENTED_EDGE('',*,*,#183044,.T.); #231954=ORIENTED_EDGE('',*,*,#182649,.T.); #231955=ORIENTED_EDGE('',*,*,#183044,.F.); #231956=ORIENTED_EDGE('',*,*,#182611,.T.); #231957=ORIENTED_EDGE('',*,*,#183045,.T.); #231958=ORIENTED_EDGE('',*,*,#182650,.T.); #231959=ORIENTED_EDGE('',*,*,#183045,.F.); #231960=ORIENTED_EDGE('',*,*,#182612,.T.); #231961=ORIENTED_EDGE('',*,*,#183046,.T.); #231962=ORIENTED_EDGE('',*,*,#182651,.T.); #231963=ORIENTED_EDGE('',*,*,#183046,.F.); #231964=ORIENTED_EDGE('',*,*,#182610,.T.); #231965=ORIENTED_EDGE('',*,*,#183047,.T.); #231966=ORIENTED_EDGE('',*,*,#182652,.T.); #231967=ORIENTED_EDGE('',*,*,#183047,.F.); #231968=ORIENTED_EDGE('',*,*,#182595,.T.); #231969=ORIENTED_EDGE('',*,*,#183048,.F.); #231970=ORIENTED_EDGE('',*,*,#182396,.T.); #231971=ORIENTED_EDGE('',*,*,#182525,.F.); #231972=ORIENTED_EDGE('',*,*,#183049,.T.); #231973=ORIENTED_EDGE('',*,*,#183050,.T.); #231974=ORIENTED_EDGE('',*,*,#183051,.T.); #231975=ORIENTED_EDGE('',*,*,#183052,.T.); #231976=ORIENTED_EDGE('',*,*,#183053,.T.); #231977=ORIENTED_EDGE('',*,*,#183054,.T.); #231978=ORIENTED_EDGE('',*,*,#183055,.T.); #231979=ORIENTED_EDGE('',*,*,#183056,.T.); #231980=ORIENTED_EDGE('',*,*,#182397,.T.); #231981=ORIENTED_EDGE('',*,*,#183048,.T.); #231982=ORIENTED_EDGE('',*,*,#182594,.T.); #231983=ORIENTED_EDGE('',*,*,#183057,.T.); #231984=ORIENTED_EDGE('',*,*,#183058,.T.); #231985=ORIENTED_EDGE('',*,*,#183059,.F.); #231986=ORIENTED_EDGE('',*,*,#183060,.T.); #231987=ORIENTED_EDGE('',*,*,#183049,.F.); #231988=ORIENTED_EDGE('',*,*,#183061,.T.); #231989=ORIENTED_EDGE('',*,*,#183062,.T.); #231990=ORIENTED_EDGE('',*,*,#183063,.T.); #231991=ORIENTED_EDGE('',*,*,#183059,.T.); #231992=ORIENTED_EDGE('',*,*,#183064,.T.); #231993=ORIENTED_EDGE('',*,*,#183065,.T.); #231994=ORIENTED_EDGE('',*,*,#182592,.T.); #231995=ORIENTED_EDGE('',*,*,#183066,.T.); #231996=ORIENTED_EDGE('',*,*,#182401,.T.); #231997=ORIENTED_EDGE('',*,*,#183067,.T.); #231998=ORIENTED_EDGE('',*,*,#183068,.T.); #231999=ORIENTED_EDGE('',*,*,#183069,.T.); #232000=ORIENTED_EDGE('',*,*,#183070,.T.); #232001=ORIENTED_EDGE('',*,*,#183071,.T.); #232002=ORIENTED_EDGE('',*,*,#183062,.F.); #232003=ORIENTED_EDGE('',*,*,#183072,.T.); #232004=ORIENTED_EDGE('',*,*,#183051,.F.); #232005=ORIENTED_EDGE('',*,*,#183073,.T.); #232006=ORIENTED_EDGE('',*,*,#183063,.F.); #232007=ORIENTED_EDGE('',*,*,#183073,.F.); #232008=ORIENTED_EDGE('',*,*,#183050,.F.); #232009=ORIENTED_EDGE('',*,*,#183060,.F.); #232010=ORIENTED_EDGE('',*,*,#183072,.F.); #232011=ORIENTED_EDGE('',*,*,#183071,.F.); #232012=ORIENTED_EDGE('',*,*,#183074,.F.); #232013=ORIENTED_EDGE('',*,*,#183052,.F.); #232014=ORIENTED_EDGE('',*,*,#183070,.F.); #232015=ORIENTED_EDGE('',*,*,#183075,.T.); #232016=ORIENTED_EDGE('',*,*,#183053,.F.); #232017=ORIENTED_EDGE('',*,*,#183074,.T.); #232018=ORIENTED_EDGE('',*,*,#183069,.F.); #232019=ORIENTED_EDGE('',*,*,#183076,.F.); #232020=ORIENTED_EDGE('',*,*,#183054,.F.); #232021=ORIENTED_EDGE('',*,*,#183075,.F.); #232022=ORIENTED_EDGE('',*,*,#183068,.F.); #232023=ORIENTED_EDGE('',*,*,#183077,.T.); #232024=ORIENTED_EDGE('',*,*,#183055,.F.); #232025=ORIENTED_EDGE('',*,*,#183076,.T.); #232026=ORIENTED_EDGE('',*,*,#183078,.F.); #232027=ORIENTED_EDGE('',*,*,#182398,.T.); #232028=ORIENTED_EDGE('',*,*,#183056,.F.); #232029=ORIENTED_EDGE('',*,*,#183077,.F.); #232030=ORIENTED_EDGE('',*,*,#183067,.F.); #232031=ORIENTED_EDGE('',*,*,#182400,.T.); #232032=ORIENTED_EDGE('',*,*,#183078,.T.); #232033=ORIENTED_EDGE('',*,*,#182399,.T.); #232034=ORIENTED_EDGE('',*,*,#182591,.T.); #232035=ORIENTED_EDGE('',*,*,#183079,.F.); #232036=ORIENTED_EDGE('',*,*,#182402,.T.); #232037=ORIENTED_EDGE('',*,*,#183066,.F.); #232038=ORIENTED_EDGE('',*,*,#183080,.T.); #232039=ORIENTED_EDGE('',*,*,#183081,.T.); #232040=ORIENTED_EDGE('',*,*,#183082,.T.); #232041=ORIENTED_EDGE('',*,*,#183083,.T.); #232042=ORIENTED_EDGE('',*,*,#183084,.T.); #232043=ORIENTED_EDGE('',*,*,#183085,.T.); #232044=ORIENTED_EDGE('',*,*,#183086,.T.); #232045=ORIENTED_EDGE('',*,*,#183087,.T.); #232046=ORIENTED_EDGE('',*,*,#182403,.T.); #232047=ORIENTED_EDGE('',*,*,#183079,.T.); #232048=ORIENTED_EDGE('',*,*,#182590,.T.); #232049=ORIENTED_EDGE('',*,*,#183088,.T.); #232050=ORIENTED_EDGE('',*,*,#183089,.T.); #232051=ORIENTED_EDGE('',*,*,#183080,.F.); #232052=ORIENTED_EDGE('',*,*,#183090,.T.); #232053=ORIENTED_EDGE('',*,*,#183091,.F.); #232054=ORIENTED_EDGE('',*,*,#183092,.T.); #232055=ORIENTED_EDGE('',*,*,#183081,.F.); #232056=ORIENTED_EDGE('',*,*,#183092,.F.); #232057=ORIENTED_EDGE('',*,*,#183093,.T.); #232058=ORIENTED_EDGE('',*,*,#183094,.F.); #232059=ORIENTED_EDGE('',*,*,#183082,.F.); #232060=ORIENTED_EDGE('',*,*,#183094,.T.); #232061=ORIENTED_EDGE('',*,*,#183095,.F.); #232062=ORIENTED_EDGE('',*,*,#183096,.T.); #232063=ORIENTED_EDGE('',*,*,#183097,.F.); #232064=ORIENTED_EDGE('',*,*,#183083,.F.); #232065=ORIENTED_EDGE('',*,*,#183096,.F.); #232066=ORIENTED_EDGE('',*,*,#183098,.T.); #232067=ORIENTED_EDGE('',*,*,#183084,.F.); #232068=ORIENTED_EDGE('',*,*,#183097,.T.); #232069=ORIENTED_EDGE('',*,*,#183099,.F.); #232070=ORIENTED_EDGE('',*,*,#183100,.T.); #232071=ORIENTED_EDGE('',*,*,#183085,.F.); #232072=ORIENTED_EDGE('',*,*,#183100,.F.); #232073=ORIENTED_EDGE('',*,*,#183101,.T.); #232074=ORIENTED_EDGE('',*,*,#183102,.F.); #232075=ORIENTED_EDGE('',*,*,#183086,.F.); #232076=ORIENTED_EDGE('',*,*,#183102,.T.); #232077=ORIENTED_EDGE('',*,*,#183103,.F.); #232078=ORIENTED_EDGE('',*,*,#183104,.T.); #232079=ORIENTED_EDGE('',*,*,#183087,.F.); #232080=ORIENTED_EDGE('',*,*,#183104,.F.); #232081=ORIENTED_EDGE('',*,*,#183105,.F.); #232082=ORIENTED_EDGE('',*,*,#182404,.T.); #232083=ORIENTED_EDGE('',*,*,#183106,.T.); #232084=ORIENTED_EDGE('',*,*,#182405,.T.); #232085=ORIENTED_EDGE('',*,*,#183105,.T.); #232086=ORIENTED_EDGE('',*,*,#183103,.T.); #232087=ORIENTED_EDGE('',*,*,#183101,.F.); #232088=ORIENTED_EDGE('',*,*,#183099,.T.); #232089=ORIENTED_EDGE('',*,*,#183098,.F.); #232090=ORIENTED_EDGE('',*,*,#183095,.T.); #232091=ORIENTED_EDGE('',*,*,#183093,.F.); #232092=ORIENTED_EDGE('',*,*,#183091,.T.); #232093=ORIENTED_EDGE('',*,*,#183107,.F.); #232094=ORIENTED_EDGE('',*,*,#183108,.T.); #232095=ORIENTED_EDGE('',*,*,#182588,.T.); #232096=ORIENTED_EDGE('',*,*,#183109,.T.); #232097=ORIENTED_EDGE('',*,*,#182406,.T.); #232098=ORIENTED_EDGE('',*,*,#183106,.F.); #232099=ORIENTED_EDGE('',*,*,#182587,.T.); #232100=ORIENTED_EDGE('',*,*,#183109,.F.); #232101=ORIENTED_EDGE('',*,*,#182586,.T.); #232102=ORIENTED_EDGE('',*,*,#183110,.T.); #232103=ORIENTED_EDGE('',*,*,#183111,.T.); #232104=ORIENTED_EDGE('',*,*,#183112,.T.); #232105=ORIENTED_EDGE('',*,*,#183113,.T.); #232106=ORIENTED_EDGE('',*,*,#183114,.T.); #232107=ORIENTED_EDGE('',*,*,#183115,.F.); #232108=ORIENTED_EDGE('',*,*,#183116,.T.); #232109=ORIENTED_EDGE('',*,*,#183117,.F.); #232110=ORIENTED_EDGE('',*,*,#183118,.T.); #232111=ORIENTED_EDGE('',*,*,#183119,.T.); #232112=ORIENTED_EDGE('',*,*,#182407,.T.); #232113=ORIENTED_EDGE('',*,*,#183120,.F.); #232114=ORIENTED_EDGE('',*,*,#182408,.T.); #232115=ORIENTED_EDGE('',*,*,#183119,.F.); #232116=ORIENTED_EDGE('',*,*,#183121,.F.); #232117=ORIENTED_EDGE('',*,*,#183122,.T.); #232118=ORIENTED_EDGE('',*,*,#183123,.T.); #232119=ORIENTED_EDGE('',*,*,#183124,.T.); #232120=ORIENTED_EDGE('',*,*,#183125,.T.); #232121=ORIENTED_EDGE('',*,*,#183126,.T.); #232122=ORIENTED_EDGE('',*,*,#182584,.T.); #232123=ORIENTED_EDGE('',*,*,#183127,.T.); #232124=ORIENTED_EDGE('',*,*,#182409,.T.); #232125=ORIENTED_EDGE('',*,*,#183120,.T.); #232126=ORIENTED_EDGE('',*,*,#183128,.T.); #232127=ORIENTED_EDGE('',*,*,#183129,.T.); #232128=ORIENTED_EDGE('',*,*,#183130,.T.); #232129=ORIENTED_EDGE('',*,*,#183131,.T.); #232130=ORIENTED_EDGE('',*,*,#183122,.F.); #232131=ORIENTED_EDGE('',*,*,#183132,.T.); #232132=ORIENTED_EDGE('',*,*,#183114,.F.); #232133=ORIENTED_EDGE('',*,*,#183133,.T.); #232134=ORIENTED_EDGE('',*,*,#183123,.F.); #232135=ORIENTED_EDGE('',*,*,#183133,.F.); #232136=ORIENTED_EDGE('',*,*,#183113,.F.); #232137=ORIENTED_EDGE('',*,*,#183134,.F.); #232138=ORIENTED_EDGE('',*,*,#183124,.F.); #232139=ORIENTED_EDGE('',*,*,#183134,.T.); #232140=ORIENTED_EDGE('',*,*,#183112,.F.); #232141=ORIENTED_EDGE('',*,*,#183135,.T.); #232142=ORIENTED_EDGE('',*,*,#183136,.F.); #232143=ORIENTED_EDGE('',*,*,#183125,.F.); #232144=ORIENTED_EDGE('',*,*,#183135,.F.); #232145=ORIENTED_EDGE('',*,*,#183111,.F.); #232146=ORIENTED_EDGE('',*,*,#183126,.F.); #232147=ORIENTED_EDGE('',*,*,#183136,.T.); #232148=ORIENTED_EDGE('',*,*,#183110,.F.); #232149=ORIENTED_EDGE('',*,*,#182585,.T.); #232150=ORIENTED_EDGE('',*,*,#183132,.F.); #232151=ORIENTED_EDGE('',*,*,#183131,.F.); #232152=ORIENTED_EDGE('',*,*,#183137,.F.); #232153=ORIENTED_EDGE('',*,*,#183115,.T.); #232154=ORIENTED_EDGE('',*,*,#183130,.F.); #232155=ORIENTED_EDGE('',*,*,#183138,.T.); #232156=ORIENTED_EDGE('',*,*,#183116,.F.); #232157=ORIENTED_EDGE('',*,*,#183137,.T.); #232158=ORIENTED_EDGE('',*,*,#183129,.F.); #232159=ORIENTED_EDGE('',*,*,#183139,.F.); #232160=ORIENTED_EDGE('',*,*,#183117,.T.); #232161=ORIENTED_EDGE('',*,*,#183138,.F.); #232162=ORIENTED_EDGE('',*,*,#183128,.F.); #232163=ORIENTED_EDGE('',*,*,#183121,.T.); #232164=ORIENTED_EDGE('',*,*,#183118,.F.); #232165=ORIENTED_EDGE('',*,*,#183139,.T.); #232166=ORIENTED_EDGE('',*,*,#182583,.T.); #232167=ORIENTED_EDGE('',*,*,#183140,.F.); #232168=ORIENTED_EDGE('',*,*,#182410,.T.); #232169=ORIENTED_EDGE('',*,*,#183127,.F.); #232170=ORIENTED_EDGE('',*,*,#183141,.T.); #232171=ORIENTED_EDGE('',*,*,#183142,.T.); #232172=ORIENTED_EDGE('',*,*,#183143,.T.); #232173=ORIENTED_EDGE('',*,*,#183144,.T.); #232174=ORIENTED_EDGE('',*,*,#183145,.T.); #232175=ORIENTED_EDGE('',*,*,#183146,.T.); #232176=ORIENTED_EDGE('',*,*,#183147,.T.); #232177=ORIENTED_EDGE('',*,*,#183148,.T.); #232178=ORIENTED_EDGE('',*,*,#182411,.T.); #232179=ORIENTED_EDGE('',*,*,#183140,.T.); #232180=ORIENTED_EDGE('',*,*,#182582,.T.); #232181=ORIENTED_EDGE('',*,*,#183149,.T.); #232182=ORIENTED_EDGE('',*,*,#183150,.T.); #232183=ORIENTED_EDGE('',*,*,#183151,.F.); #232184=ORIENTED_EDGE('',*,*,#183152,.T.); #232185=ORIENTED_EDGE('',*,*,#183141,.F.); #232186=ORIENTED_EDGE('',*,*,#183153,.T.); #232187=ORIENTED_EDGE('',*,*,#183154,.T.); #232188=ORIENTED_EDGE('',*,*,#183155,.T.); #232189=ORIENTED_EDGE('',*,*,#183151,.T.); #232190=ORIENTED_EDGE('',*,*,#183156,.T.); #232191=ORIENTED_EDGE('',*,*,#183157,.T.); #232192=ORIENTED_EDGE('',*,*,#182580,.T.); #232193=ORIENTED_EDGE('',*,*,#183158,.T.); #232194=ORIENTED_EDGE('',*,*,#182415,.T.); #232195=ORIENTED_EDGE('',*,*,#183159,.T.); #232196=ORIENTED_EDGE('',*,*,#183160,.T.); #232197=ORIENTED_EDGE('',*,*,#183161,.T.); #232198=ORIENTED_EDGE('',*,*,#183162,.T.); #232199=ORIENTED_EDGE('',*,*,#183163,.T.); #232200=ORIENTED_EDGE('',*,*,#183154,.F.); #232201=ORIENTED_EDGE('',*,*,#183164,.T.); #232202=ORIENTED_EDGE('',*,*,#183143,.F.); #232203=ORIENTED_EDGE('',*,*,#183165,.T.); #232204=ORIENTED_EDGE('',*,*,#183155,.F.); #232205=ORIENTED_EDGE('',*,*,#183165,.F.); #232206=ORIENTED_EDGE('',*,*,#183142,.F.); #232207=ORIENTED_EDGE('',*,*,#183152,.F.); #232208=ORIENTED_EDGE('',*,*,#183164,.F.); #232209=ORIENTED_EDGE('',*,*,#183163,.F.); #232210=ORIENTED_EDGE('',*,*,#183166,.F.); #232211=ORIENTED_EDGE('',*,*,#183144,.F.); #232212=ORIENTED_EDGE('',*,*,#183162,.F.); #232213=ORIENTED_EDGE('',*,*,#183167,.T.); #232214=ORIENTED_EDGE('',*,*,#183145,.F.); #232215=ORIENTED_EDGE('',*,*,#183166,.T.); #232216=ORIENTED_EDGE('',*,*,#183161,.F.); #232217=ORIENTED_EDGE('',*,*,#183168,.F.); #232218=ORIENTED_EDGE('',*,*,#183146,.F.); #232219=ORIENTED_EDGE('',*,*,#183167,.F.); #232220=ORIENTED_EDGE('',*,*,#183160,.F.); #232221=ORIENTED_EDGE('',*,*,#183169,.T.); #232222=ORIENTED_EDGE('',*,*,#183147,.F.); #232223=ORIENTED_EDGE('',*,*,#183168,.T.); #232224=ORIENTED_EDGE('',*,*,#183170,.F.); #232225=ORIENTED_EDGE('',*,*,#182412,.T.); #232226=ORIENTED_EDGE('',*,*,#183148,.F.); #232227=ORIENTED_EDGE('',*,*,#183169,.F.); #232228=ORIENTED_EDGE('',*,*,#183159,.F.); #232229=ORIENTED_EDGE('',*,*,#182414,.T.); #232230=ORIENTED_EDGE('',*,*,#183170,.T.); #232231=ORIENTED_EDGE('',*,*,#182413,.T.); #232232=ORIENTED_EDGE('',*,*,#182579,.T.); #232233=ORIENTED_EDGE('',*,*,#182348,.F.); #232234=ORIENTED_EDGE('',*,*,#182416,.T.); #232235=ORIENTED_EDGE('',*,*,#183158,.F.); #232236=ORIENTED_EDGE('',*,*,#183157,.F.); #232237=ORIENTED_EDGE('',*,*,#183171,.T.); #232238=ORIENTED_EDGE('',*,*,#183149,.F.); #232239=ORIENTED_EDGE('',*,*,#182581,.T.); #232240=ORIENTED_EDGE('',*,*,#183171,.F.); #232241=ORIENTED_EDGE('',*,*,#183156,.F.); #232242=ORIENTED_EDGE('',*,*,#183153,.F.); #232243=ORIENTED_EDGE('',*,*,#183150,.F.); #232244=ORIENTED_EDGE('',*,*,#183088,.F.); #232245=ORIENTED_EDGE('',*,*,#182589,.T.); #232246=ORIENTED_EDGE('',*,*,#183108,.F.); #232247=ORIENTED_EDGE('',*,*,#183172,.T.); #232248=ORIENTED_EDGE('',*,*,#183090,.F.); #232249=ORIENTED_EDGE('',*,*,#183089,.F.); #232250=ORIENTED_EDGE('',*,*,#183172,.F.); #232251=ORIENTED_EDGE('',*,*,#183107,.T.); #232252=ORIENTED_EDGE('',*,*,#183065,.F.); #232253=ORIENTED_EDGE('',*,*,#183173,.T.); #232254=ORIENTED_EDGE('',*,*,#183057,.F.); #232255=ORIENTED_EDGE('',*,*,#182593,.T.); #232256=ORIENTED_EDGE('',*,*,#183173,.F.); #232257=ORIENTED_EDGE('',*,*,#183064,.F.); #232258=ORIENTED_EDGE('',*,*,#183061,.F.); #232259=ORIENTED_EDGE('',*,*,#183058,.F.); #232260=ORIENTED_EDGE('',*,*,#182350,.F.); #232261=ORIENTED_EDGE('',*,*,#182578,.T.); #232262=ORIENTED_EDGE('',*,*,#182363,.F.); #232263=ORIENTED_EDGE('',*,*,#182352,.T.); #232264=ORIENTED_EDGE('',*,*,#183174,.F.); #232265=ORIENTED_EDGE('',*,*,#183175,.T.); #232266=ORIENTED_EDGE('',*,*,#182369,.F.); #232267=ORIENTED_EDGE('',*,*,#182577,.T.); #232268=ORIENTED_EDGE('',*,*,#183176,.T.); #232269=ORIENTED_EDGE('',*,*,#183177,.T.); #232270=ORIENTED_EDGE('',*,*,#183178,.T.); #232271=ORIENTED_EDGE('',*,*,#183179,.T.); #232272=ORIENTED_EDGE('',*,*,#183174,.T.); #232273=ORIENTED_EDGE('',*,*,#182576,.T.); #232274=ORIENTED_EDGE('',*,*,#183180,.T.); #232275=ORIENTED_EDGE('',*,*,#182419,.T.); #232276=ORIENTED_EDGE('',*,*,#183181,.T.); #232277=ORIENTED_EDGE('',*,*,#183182,.T.); #232278=ORIENTED_EDGE('',*,*,#183183,.T.); #232279=ORIENTED_EDGE('',*,*,#183184,.T.); #232280=ORIENTED_EDGE('',*,*,#183185,.T.); #232281=ORIENTED_EDGE('',*,*,#183176,.F.); #232282=ORIENTED_EDGE('',*,*,#183186,.T.); #232283=ORIENTED_EDGE('',*,*,#182373,.F.); #232284=ORIENTED_EDGE('',*,*,#183187,.T.); #232285=ORIENTED_EDGE('',*,*,#183177,.F.); #232286=ORIENTED_EDGE('',*,*,#183187,.F.); #232287=ORIENTED_EDGE('',*,*,#182372,.F.); #232288=ORIENTED_EDGE('',*,*,#183188,.F.); #232289=ORIENTED_EDGE('',*,*,#183178,.F.); #232290=ORIENTED_EDGE('',*,*,#183188,.T.); #232291=ORIENTED_EDGE('',*,*,#182371,.F.); #232292=ORIENTED_EDGE('',*,*,#183189,.T.); #232293=ORIENTED_EDGE('',*,*,#183175,.F.); #232294=ORIENTED_EDGE('',*,*,#183179,.F.); #232295=ORIENTED_EDGE('',*,*,#183189,.F.); #232296=ORIENTED_EDGE('',*,*,#182370,.F.); #232297=ORIENTED_EDGE('',*,*,#183186,.F.); #232298=ORIENTED_EDGE('',*,*,#183185,.F.); #232299=ORIENTED_EDGE('',*,*,#183190,.F.); #232300=ORIENTED_EDGE('',*,*,#182374,.T.); #232301=ORIENTED_EDGE('',*,*,#183184,.F.); #232302=ORIENTED_EDGE('',*,*,#183191,.T.); #232303=ORIENTED_EDGE('',*,*,#182375,.F.); #232304=ORIENTED_EDGE('',*,*,#183190,.T.); #232305=ORIENTED_EDGE('',*,*,#183183,.F.); #232306=ORIENTED_EDGE('',*,*,#183192,.F.); #232307=ORIENTED_EDGE('',*,*,#182376,.T.); #232308=ORIENTED_EDGE('',*,*,#183191,.F.); #232309=ORIENTED_EDGE('',*,*,#183182,.F.); #232310=ORIENTED_EDGE('',*,*,#183193,.T.); #232311=ORIENTED_EDGE('',*,*,#182377,.F.); #232312=ORIENTED_EDGE('',*,*,#183192,.T.); #232313=ORIENTED_EDGE('',*,*,#183181,.F.); #232314=ORIENTED_EDGE('',*,*,#182418,.T.); #232315=ORIENTED_EDGE('',*,*,#182378,.F.); #232316=ORIENTED_EDGE('',*,*,#183193,.F.); #232317=ORIENTED_EDGE('',*,*,#182575,.T.); #232318=ORIENTED_EDGE('',*,*,#183194,.F.); #232319=ORIENTED_EDGE('',*,*,#182420,.T.); #232320=ORIENTED_EDGE('',*,*,#183180,.F.); #232321=ORIENTED_EDGE('',*,*,#183195,.T.); #232322=ORIENTED_EDGE('',*,*,#183196,.T.); #232323=ORIENTED_EDGE('',*,*,#183197,.T.); #232324=ORIENTED_EDGE('',*,*,#183198,.T.); #232325=ORIENTED_EDGE('',*,*,#183199,.T.); #232326=ORIENTED_EDGE('',*,*,#183200,.T.); #232327=ORIENTED_EDGE('',*,*,#183201,.T.); #232328=ORIENTED_EDGE('',*,*,#183202,.T.); #232329=ORIENTED_EDGE('',*,*,#182421,.T.); #232330=ORIENTED_EDGE('',*,*,#183194,.T.); #232331=ORIENTED_EDGE('',*,*,#182574,.T.); #232332=ORIENTED_EDGE('',*,*,#183203,.T.); #232333=ORIENTED_EDGE('',*,*,#183204,.T.); #232334=ORIENTED_EDGE('',*,*,#183205,.F.); #232335=ORIENTED_EDGE('',*,*,#183206,.T.); #232336=ORIENTED_EDGE('',*,*,#183195,.F.); #232337=ORIENTED_EDGE('',*,*,#183207,.T.); #232338=ORIENTED_EDGE('',*,*,#183208,.T.); #232339=ORIENTED_EDGE('',*,*,#183209,.T.); #232340=ORIENTED_EDGE('',*,*,#183205,.T.); #232341=ORIENTED_EDGE('',*,*,#183210,.T.); #232342=ORIENTED_EDGE('',*,*,#183211,.T.); #232343=ORIENTED_EDGE('',*,*,#182572,.T.); #232344=ORIENTED_EDGE('',*,*,#183212,.T.); #232345=ORIENTED_EDGE('',*,*,#182425,.T.); #232346=ORIENTED_EDGE('',*,*,#183213,.T.); #232347=ORIENTED_EDGE('',*,*,#183214,.T.); #232348=ORIENTED_EDGE('',*,*,#183215,.T.); #232349=ORIENTED_EDGE('',*,*,#183216,.T.); #232350=ORIENTED_EDGE('',*,*,#183217,.T.); #232351=ORIENTED_EDGE('',*,*,#183208,.F.); #232352=ORIENTED_EDGE('',*,*,#183218,.T.); #232353=ORIENTED_EDGE('',*,*,#183197,.F.); #232354=ORIENTED_EDGE('',*,*,#183219,.T.); #232355=ORIENTED_EDGE('',*,*,#183209,.F.); #232356=ORIENTED_EDGE('',*,*,#183219,.F.); #232357=ORIENTED_EDGE('',*,*,#183196,.F.); #232358=ORIENTED_EDGE('',*,*,#183206,.F.); #232359=ORIENTED_EDGE('',*,*,#183218,.F.); #232360=ORIENTED_EDGE('',*,*,#183217,.F.); #232361=ORIENTED_EDGE('',*,*,#183220,.F.); #232362=ORIENTED_EDGE('',*,*,#183198,.F.); #232363=ORIENTED_EDGE('',*,*,#183216,.F.); #232364=ORIENTED_EDGE('',*,*,#183221,.T.); #232365=ORIENTED_EDGE('',*,*,#183199,.F.); #232366=ORIENTED_EDGE('',*,*,#183220,.T.); #232367=ORIENTED_EDGE('',*,*,#183215,.F.); #232368=ORIENTED_EDGE('',*,*,#183222,.F.); #232369=ORIENTED_EDGE('',*,*,#183200,.F.); #232370=ORIENTED_EDGE('',*,*,#183221,.F.); #232371=ORIENTED_EDGE('',*,*,#183214,.F.); #232372=ORIENTED_EDGE('',*,*,#183223,.T.); #232373=ORIENTED_EDGE('',*,*,#183201,.F.); #232374=ORIENTED_EDGE('',*,*,#183222,.T.); #232375=ORIENTED_EDGE('',*,*,#183224,.F.); #232376=ORIENTED_EDGE('',*,*,#182422,.T.); #232377=ORIENTED_EDGE('',*,*,#183202,.F.); #232378=ORIENTED_EDGE('',*,*,#183223,.F.); #232379=ORIENTED_EDGE('',*,*,#183213,.F.); #232380=ORIENTED_EDGE('',*,*,#182424,.T.); #232381=ORIENTED_EDGE('',*,*,#183224,.T.); #232382=ORIENTED_EDGE('',*,*,#182423,.T.); #232383=ORIENTED_EDGE('',*,*,#182571,.T.); #232384=ORIENTED_EDGE('',*,*,#183225,.F.); #232385=ORIENTED_EDGE('',*,*,#182426,.T.); #232386=ORIENTED_EDGE('',*,*,#183212,.F.); #232387=ORIENTED_EDGE('',*,*,#183226,.T.); #232388=ORIENTED_EDGE('',*,*,#183227,.T.); #232389=ORIENTED_EDGE('',*,*,#183228,.T.); #232390=ORIENTED_EDGE('',*,*,#183229,.T.); #232391=ORIENTED_EDGE('',*,*,#183230,.T.); #232392=ORIENTED_EDGE('',*,*,#183231,.T.); #232393=ORIENTED_EDGE('',*,*,#183232,.T.); #232394=ORIENTED_EDGE('',*,*,#183233,.T.); #232395=ORIENTED_EDGE('',*,*,#182427,.T.); #232396=ORIENTED_EDGE('',*,*,#183225,.T.); #232397=ORIENTED_EDGE('',*,*,#182570,.T.); #232398=ORIENTED_EDGE('',*,*,#183234,.T.); #232399=ORIENTED_EDGE('',*,*,#183235,.T.); #232400=ORIENTED_EDGE('',*,*,#183226,.F.); #232401=ORIENTED_EDGE('',*,*,#183236,.T.); #232402=ORIENTED_EDGE('',*,*,#183237,.F.); #232403=ORIENTED_EDGE('',*,*,#183238,.T.); #232404=ORIENTED_EDGE('',*,*,#183227,.F.); #232405=ORIENTED_EDGE('',*,*,#183238,.F.); #232406=ORIENTED_EDGE('',*,*,#183239,.T.); #232407=ORIENTED_EDGE('',*,*,#183240,.F.); #232408=ORIENTED_EDGE('',*,*,#183228,.F.); #232409=ORIENTED_EDGE('',*,*,#183240,.T.); #232410=ORIENTED_EDGE('',*,*,#183241,.F.); #232411=ORIENTED_EDGE('',*,*,#183242,.T.); #232412=ORIENTED_EDGE('',*,*,#183243,.F.); #232413=ORIENTED_EDGE('',*,*,#183229,.F.); #232414=ORIENTED_EDGE('',*,*,#183242,.F.); #232415=ORIENTED_EDGE('',*,*,#183244,.T.); #232416=ORIENTED_EDGE('',*,*,#183230,.F.); #232417=ORIENTED_EDGE('',*,*,#183243,.T.); #232418=ORIENTED_EDGE('',*,*,#183245,.F.); #232419=ORIENTED_EDGE('',*,*,#183246,.T.); #232420=ORIENTED_EDGE('',*,*,#183231,.F.); #232421=ORIENTED_EDGE('',*,*,#183246,.F.); #232422=ORIENTED_EDGE('',*,*,#183247,.T.); #232423=ORIENTED_EDGE('',*,*,#183248,.F.); #232424=ORIENTED_EDGE('',*,*,#183232,.F.); #232425=ORIENTED_EDGE('',*,*,#183248,.T.); #232426=ORIENTED_EDGE('',*,*,#183249,.F.); #232427=ORIENTED_EDGE('',*,*,#183250,.T.); #232428=ORIENTED_EDGE('',*,*,#183233,.F.); #232429=ORIENTED_EDGE('',*,*,#183250,.F.); #232430=ORIENTED_EDGE('',*,*,#183251,.F.); #232431=ORIENTED_EDGE('',*,*,#182428,.T.); #232432=ORIENTED_EDGE('',*,*,#183252,.T.); #232433=ORIENTED_EDGE('',*,*,#182429,.T.); #232434=ORIENTED_EDGE('',*,*,#183251,.T.); #232435=ORIENTED_EDGE('',*,*,#183249,.T.); #232436=ORIENTED_EDGE('',*,*,#183247,.F.); #232437=ORIENTED_EDGE('',*,*,#183245,.T.); #232438=ORIENTED_EDGE('',*,*,#183244,.F.); #232439=ORIENTED_EDGE('',*,*,#183241,.T.); #232440=ORIENTED_EDGE('',*,*,#183239,.F.); #232441=ORIENTED_EDGE('',*,*,#183237,.T.); #232442=ORIENTED_EDGE('',*,*,#183253,.F.); #232443=ORIENTED_EDGE('',*,*,#183254,.T.); #232444=ORIENTED_EDGE('',*,*,#182568,.T.); #232445=ORIENTED_EDGE('',*,*,#183255,.T.); #232446=ORIENTED_EDGE('',*,*,#182430,.T.); #232447=ORIENTED_EDGE('',*,*,#183252,.F.); #232448=ORIENTED_EDGE('',*,*,#182567,.T.); #232449=ORIENTED_EDGE('',*,*,#183255,.F.); #232450=ORIENTED_EDGE('',*,*,#182566,.T.); #232451=ORIENTED_EDGE('',*,*,#183256,.T.); #232452=ORIENTED_EDGE('',*,*,#183257,.T.); #232453=ORIENTED_EDGE('',*,*,#183258,.T.); #232454=ORIENTED_EDGE('',*,*,#183259,.T.); #232455=ORIENTED_EDGE('',*,*,#183260,.T.); #232456=ORIENTED_EDGE('',*,*,#183261,.F.); #232457=ORIENTED_EDGE('',*,*,#183262,.T.); #232458=ORIENTED_EDGE('',*,*,#183263,.F.); #232459=ORIENTED_EDGE('',*,*,#183264,.T.); #232460=ORIENTED_EDGE('',*,*,#183265,.T.); #232461=ORIENTED_EDGE('',*,*,#182431,.T.); #232462=ORIENTED_EDGE('',*,*,#183266,.F.); #232463=ORIENTED_EDGE('',*,*,#182432,.T.); #232464=ORIENTED_EDGE('',*,*,#183265,.F.); #232465=ORIENTED_EDGE('',*,*,#183267,.F.); #232466=ORIENTED_EDGE('',*,*,#183268,.T.); #232467=ORIENTED_EDGE('',*,*,#183269,.T.); #232468=ORIENTED_EDGE('',*,*,#183270,.T.); #232469=ORIENTED_EDGE('',*,*,#183271,.T.); #232470=ORIENTED_EDGE('',*,*,#183272,.T.); #232471=ORIENTED_EDGE('',*,*,#182564,.T.); #232472=ORIENTED_EDGE('',*,*,#183273,.T.); #232473=ORIENTED_EDGE('',*,*,#182433,.T.); #232474=ORIENTED_EDGE('',*,*,#183266,.T.); #232475=ORIENTED_EDGE('',*,*,#183274,.T.); #232476=ORIENTED_EDGE('',*,*,#183275,.T.); #232477=ORIENTED_EDGE('',*,*,#183276,.T.); #232478=ORIENTED_EDGE('',*,*,#183277,.T.); #232479=ORIENTED_EDGE('',*,*,#183268,.F.); #232480=ORIENTED_EDGE('',*,*,#183278,.T.); #232481=ORIENTED_EDGE('',*,*,#183260,.F.); #232482=ORIENTED_EDGE('',*,*,#183279,.T.); #232483=ORIENTED_EDGE('',*,*,#183269,.F.); #232484=ORIENTED_EDGE('',*,*,#183279,.F.); #232485=ORIENTED_EDGE('',*,*,#183259,.F.); #232486=ORIENTED_EDGE('',*,*,#183280,.F.); #232487=ORIENTED_EDGE('',*,*,#183270,.F.); #232488=ORIENTED_EDGE('',*,*,#183280,.T.); #232489=ORIENTED_EDGE('',*,*,#183258,.F.); #232490=ORIENTED_EDGE('',*,*,#183281,.T.); #232491=ORIENTED_EDGE('',*,*,#183282,.F.); #232492=ORIENTED_EDGE('',*,*,#183271,.F.); #232493=ORIENTED_EDGE('',*,*,#183281,.F.); #232494=ORIENTED_EDGE('',*,*,#183257,.F.); #232495=ORIENTED_EDGE('',*,*,#183272,.F.); #232496=ORIENTED_EDGE('',*,*,#183282,.T.); #232497=ORIENTED_EDGE('',*,*,#183256,.F.); #232498=ORIENTED_EDGE('',*,*,#182565,.T.); #232499=ORIENTED_EDGE('',*,*,#183278,.F.); #232500=ORIENTED_EDGE('',*,*,#183277,.F.); #232501=ORIENTED_EDGE('',*,*,#183283,.F.); #232502=ORIENTED_EDGE('',*,*,#183261,.T.); #232503=ORIENTED_EDGE('',*,*,#183276,.F.); #232504=ORIENTED_EDGE('',*,*,#183284,.T.); #232505=ORIENTED_EDGE('',*,*,#183262,.F.); #232506=ORIENTED_EDGE('',*,*,#183283,.T.); #232507=ORIENTED_EDGE('',*,*,#183275,.F.); #232508=ORIENTED_EDGE('',*,*,#183285,.F.); #232509=ORIENTED_EDGE('',*,*,#183263,.T.); #232510=ORIENTED_EDGE('',*,*,#183284,.F.); #232511=ORIENTED_EDGE('',*,*,#183274,.F.); #232512=ORIENTED_EDGE('',*,*,#183267,.T.); #232513=ORIENTED_EDGE('',*,*,#183264,.F.); #232514=ORIENTED_EDGE('',*,*,#183285,.T.); #232515=ORIENTED_EDGE('',*,*,#182563,.T.); #232516=ORIENTED_EDGE('',*,*,#183286,.F.); #232517=ORIENTED_EDGE('',*,*,#182434,.T.); #232518=ORIENTED_EDGE('',*,*,#183273,.F.); #232519=ORIENTED_EDGE('',*,*,#183287,.T.); #232520=ORIENTED_EDGE('',*,*,#183288,.T.); #232521=ORIENTED_EDGE('',*,*,#183289,.T.); #232522=ORIENTED_EDGE('',*,*,#183290,.T.); #232523=ORIENTED_EDGE('',*,*,#183291,.T.); #232524=ORIENTED_EDGE('',*,*,#183292,.T.); #232525=ORIENTED_EDGE('',*,*,#183293,.T.); #232526=ORIENTED_EDGE('',*,*,#183294,.T.); #232527=ORIENTED_EDGE('',*,*,#182435,.T.); #232528=ORIENTED_EDGE('',*,*,#183286,.T.); #232529=ORIENTED_EDGE('',*,*,#182562,.T.); #232530=ORIENTED_EDGE('',*,*,#183295,.T.); #232531=ORIENTED_EDGE('',*,*,#183296,.T.); #232532=ORIENTED_EDGE('',*,*,#183297,.F.); #232533=ORIENTED_EDGE('',*,*,#183298,.T.); #232534=ORIENTED_EDGE('',*,*,#183287,.F.); #232535=ORIENTED_EDGE('',*,*,#183299,.T.); #232536=ORIENTED_EDGE('',*,*,#183300,.T.); #232537=ORIENTED_EDGE('',*,*,#183301,.T.); #232538=ORIENTED_EDGE('',*,*,#183297,.T.); #232539=ORIENTED_EDGE('',*,*,#183302,.T.); #232540=ORIENTED_EDGE('',*,*,#183303,.T.); #232541=ORIENTED_EDGE('',*,*,#182560,.T.); #232542=ORIENTED_EDGE('',*,*,#183304,.T.); #232543=ORIENTED_EDGE('',*,*,#182439,.T.); #232544=ORIENTED_EDGE('',*,*,#183305,.T.); #232545=ORIENTED_EDGE('',*,*,#183306,.T.); #232546=ORIENTED_EDGE('',*,*,#183307,.T.); #232547=ORIENTED_EDGE('',*,*,#183308,.T.); #232548=ORIENTED_EDGE('',*,*,#183309,.T.); #232549=ORIENTED_EDGE('',*,*,#183300,.F.); #232550=ORIENTED_EDGE('',*,*,#183310,.T.); #232551=ORIENTED_EDGE('',*,*,#183289,.F.); #232552=ORIENTED_EDGE('',*,*,#183311,.T.); #232553=ORIENTED_EDGE('',*,*,#183301,.F.); #232554=ORIENTED_EDGE('',*,*,#183311,.F.); #232555=ORIENTED_EDGE('',*,*,#183288,.F.); #232556=ORIENTED_EDGE('',*,*,#183298,.F.); #232557=ORIENTED_EDGE('',*,*,#183310,.F.); #232558=ORIENTED_EDGE('',*,*,#183309,.F.); #232559=ORIENTED_EDGE('',*,*,#183312,.F.); #232560=ORIENTED_EDGE('',*,*,#183290,.F.); #232561=ORIENTED_EDGE('',*,*,#183308,.F.); #232562=ORIENTED_EDGE('',*,*,#183313,.T.); #232563=ORIENTED_EDGE('',*,*,#183291,.F.); #232564=ORIENTED_EDGE('',*,*,#183312,.T.); #232565=ORIENTED_EDGE('',*,*,#183307,.F.); #232566=ORIENTED_EDGE('',*,*,#183314,.F.); #232567=ORIENTED_EDGE('',*,*,#183292,.F.); #232568=ORIENTED_EDGE('',*,*,#183313,.F.); #232569=ORIENTED_EDGE('',*,*,#183306,.F.); #232570=ORIENTED_EDGE('',*,*,#183315,.T.); #232571=ORIENTED_EDGE('',*,*,#183293,.F.); #232572=ORIENTED_EDGE('',*,*,#183314,.T.); #232573=ORIENTED_EDGE('',*,*,#183316,.F.); #232574=ORIENTED_EDGE('',*,*,#182436,.T.); #232575=ORIENTED_EDGE('',*,*,#183294,.F.); #232576=ORIENTED_EDGE('',*,*,#183315,.F.); #232577=ORIENTED_EDGE('',*,*,#183305,.F.); #232578=ORIENTED_EDGE('',*,*,#182438,.T.); #232579=ORIENTED_EDGE('',*,*,#183316,.T.); #232580=ORIENTED_EDGE('',*,*,#182437,.T.); #232581=ORIENTED_EDGE('',*,*,#182559,.T.); #232582=ORIENTED_EDGE('',*,*,#183317,.F.); #232583=ORIENTED_EDGE('',*,*,#182440,.T.); #232584=ORIENTED_EDGE('',*,*,#183304,.F.); #232585=ORIENTED_EDGE('',*,*,#183318,.T.); #232586=ORIENTED_EDGE('',*,*,#183319,.T.); #232587=ORIENTED_EDGE('',*,*,#183320,.T.); #232588=ORIENTED_EDGE('',*,*,#183321,.T.); #232589=ORIENTED_EDGE('',*,*,#183322,.T.); #232590=ORIENTED_EDGE('',*,*,#183323,.T.); #232591=ORIENTED_EDGE('',*,*,#183324,.T.); #232592=ORIENTED_EDGE('',*,*,#183325,.T.); #232593=ORIENTED_EDGE('',*,*,#182441,.T.); #232594=ORIENTED_EDGE('',*,*,#183317,.T.); #232595=ORIENTED_EDGE('',*,*,#182558,.T.); #232596=ORIENTED_EDGE('',*,*,#183326,.T.); #232597=ORIENTED_EDGE('',*,*,#183327,.T.); #232598=ORIENTED_EDGE('',*,*,#183318,.F.); #232599=ORIENTED_EDGE('',*,*,#183328,.T.); #232600=ORIENTED_EDGE('',*,*,#183329,.F.); #232601=ORIENTED_EDGE('',*,*,#183330,.T.); #232602=ORIENTED_EDGE('',*,*,#183319,.F.); #232603=ORIENTED_EDGE('',*,*,#183330,.F.); #232604=ORIENTED_EDGE('',*,*,#183331,.T.); #232605=ORIENTED_EDGE('',*,*,#183332,.F.); #232606=ORIENTED_EDGE('',*,*,#183320,.F.); #232607=ORIENTED_EDGE('',*,*,#183332,.T.); #232608=ORIENTED_EDGE('',*,*,#183333,.F.); #232609=ORIENTED_EDGE('',*,*,#183334,.T.); #232610=ORIENTED_EDGE('',*,*,#183335,.F.); #232611=ORIENTED_EDGE('',*,*,#183321,.F.); #232612=ORIENTED_EDGE('',*,*,#183334,.F.); #232613=ORIENTED_EDGE('',*,*,#183336,.T.); #232614=ORIENTED_EDGE('',*,*,#183322,.F.); #232615=ORIENTED_EDGE('',*,*,#183335,.T.); #232616=ORIENTED_EDGE('',*,*,#183337,.F.); #232617=ORIENTED_EDGE('',*,*,#183338,.T.); #232618=ORIENTED_EDGE('',*,*,#183323,.F.); #232619=ORIENTED_EDGE('',*,*,#183338,.F.); #232620=ORIENTED_EDGE('',*,*,#183339,.T.); #232621=ORIENTED_EDGE('',*,*,#183340,.F.); #232622=ORIENTED_EDGE('',*,*,#183324,.F.); #232623=ORIENTED_EDGE('',*,*,#183340,.T.); #232624=ORIENTED_EDGE('',*,*,#183341,.F.); #232625=ORIENTED_EDGE('',*,*,#183342,.T.); #232626=ORIENTED_EDGE('',*,*,#183325,.F.); #232627=ORIENTED_EDGE('',*,*,#183342,.F.); #232628=ORIENTED_EDGE('',*,*,#183343,.F.); #232629=ORIENTED_EDGE('',*,*,#182442,.T.); #232630=ORIENTED_EDGE('',*,*,#183344,.T.); #232631=ORIENTED_EDGE('',*,*,#182443,.T.); #232632=ORIENTED_EDGE('',*,*,#183343,.T.); #232633=ORIENTED_EDGE('',*,*,#183341,.T.); #232634=ORIENTED_EDGE('',*,*,#183339,.F.); #232635=ORIENTED_EDGE('',*,*,#183337,.T.); #232636=ORIENTED_EDGE('',*,*,#183336,.F.); #232637=ORIENTED_EDGE('',*,*,#183333,.T.); #232638=ORIENTED_EDGE('',*,*,#183331,.F.); #232639=ORIENTED_EDGE('',*,*,#183329,.T.); #232640=ORIENTED_EDGE('',*,*,#183345,.F.); #232641=ORIENTED_EDGE('',*,*,#183346,.T.); #232642=ORIENTED_EDGE('',*,*,#182556,.T.); #232643=ORIENTED_EDGE('',*,*,#182532,.T.); #232644=ORIENTED_EDGE('',*,*,#182444,.T.); #232645=ORIENTED_EDGE('',*,*,#183344,.F.); #232646=ORIENTED_EDGE('',*,*,#182555,.T.); #232647=ORIENTED_EDGE('',*,*,#183326,.F.); #232648=ORIENTED_EDGE('',*,*,#182557,.T.); #232649=ORIENTED_EDGE('',*,*,#183346,.F.); #232650=ORIENTED_EDGE('',*,*,#183347,.T.); #232651=ORIENTED_EDGE('',*,*,#183328,.F.); #232652=ORIENTED_EDGE('',*,*,#183327,.F.); #232653=ORIENTED_EDGE('',*,*,#183347,.F.); #232654=ORIENTED_EDGE('',*,*,#183345,.T.); #232655=ORIENTED_EDGE('',*,*,#183303,.F.); #232656=ORIENTED_EDGE('',*,*,#183348,.T.); #232657=ORIENTED_EDGE('',*,*,#183295,.F.); #232658=ORIENTED_EDGE('',*,*,#182561,.T.); #232659=ORIENTED_EDGE('',*,*,#183348,.F.); #232660=ORIENTED_EDGE('',*,*,#183302,.F.); #232661=ORIENTED_EDGE('',*,*,#183299,.F.); #232662=ORIENTED_EDGE('',*,*,#183296,.F.); #232663=ORIENTED_EDGE('',*,*,#183234,.F.); #232664=ORIENTED_EDGE('',*,*,#182569,.T.); #232665=ORIENTED_EDGE('',*,*,#183254,.F.); #232666=ORIENTED_EDGE('',*,*,#183349,.T.); #232667=ORIENTED_EDGE('',*,*,#183236,.F.); #232668=ORIENTED_EDGE('',*,*,#183235,.F.); #232669=ORIENTED_EDGE('',*,*,#183349,.F.); #232670=ORIENTED_EDGE('',*,*,#183253,.T.); #232671=ORIENTED_EDGE('',*,*,#183211,.F.); #232672=ORIENTED_EDGE('',*,*,#183350,.T.); #232673=ORIENTED_EDGE('',*,*,#183203,.F.); #232674=ORIENTED_EDGE('',*,*,#182573,.T.); #232675=ORIENTED_EDGE('',*,*,#183350,.F.); #232676=ORIENTED_EDGE('',*,*,#183210,.F.); #232677=ORIENTED_EDGE('',*,*,#183207,.F.); #232678=ORIENTED_EDGE('',*,*,#183204,.F.); #232679=ORIENTED_EDGE('',*,*,#182450,.T.); #232680=ORIENTED_EDGE('',*,*,#182526,.F.); #232681=ORIENTED_EDGE('',*,*,#182462,.T.); #232682=ORIENTED_EDGE('',*,*,#183351,.T.); #232683=ORIENTED_EDGE('',*,*,#182451,.T.); #232684=ORIENTED_EDGE('',*,*,#183351,.F.); #232685=ORIENTED_EDGE('',*,*,#182461,.T.); #232686=ORIENTED_EDGE('',*,*,#182458,.F.); #232687=ORIENTED_EDGE('',*,*,#182381,.T.); #232688=ORIENTED_EDGE('',*,*,#182457,.F.); #232689=ORIENTED_EDGE('',*,*,#182460,.T.); #232690=ORIENTED_EDGE('',*,*,#182497,.F.); #232691=ORIENTED_EDGE('',*,*,#182493,.T.); #232692=ORIENTED_EDGE('',*,*,#183352,.T.); #232693=ORIENTED_EDGE('',*,*,#182455,.T.); #232694=ORIENTED_EDGE('',*,*,#183352,.F.); #232695=ORIENTED_EDGE('',*,*,#182494,.T.); #232696=ORIENTED_EDGE('',*,*,#183353,.T.); #232697=ORIENTED_EDGE('',*,*,#182452,.T.); #232698=ORIENTED_EDGE('',*,*,#183353,.F.); #232699=ORIENTED_EDGE('',*,*,#182495,.T.); #232700=ORIENTED_EDGE('',*,*,#183354,.T.); #232701=ORIENTED_EDGE('',*,*,#182454,.T.); #232702=ORIENTED_EDGE('',*,*,#183354,.F.); #232703=ORIENTED_EDGE('',*,*,#182496,.T.); #232704=ORIENTED_EDGE('',*,*,#183355,.T.); #232705=ORIENTED_EDGE('',*,*,#182453,.T.); #232706=ORIENTED_EDGE('',*,*,#183355,.F.); #232707=ORIENTED_EDGE('',*,*,#182346,.F.); #232708=ORIENTED_EDGE('',*,*,#183356,.F.); #232709=ORIENTED_EDGE('',*,*,#182356,.F.); #232710=ORIENTED_EDGE('',*,*,#182417,.T.); #232711=ORIENTED_EDGE('',*,*,#182345,.F.); #232712=ORIENTED_EDGE('',*,*,#183357,.T.); #232713=ORIENTED_EDGE('',*,*,#182357,.F.); #232714=ORIENTED_EDGE('',*,*,#183356,.T.); #232715=ORIENTED_EDGE('',*,*,#182344,.F.); #232716=ORIENTED_EDGE('',*,*,#183358,.F.); #232717=ORIENTED_EDGE('',*,*,#182358,.T.); #232718=ORIENTED_EDGE('',*,*,#183357,.F.); #232719=ORIENTED_EDGE('',*,*,#182343,.F.); #232720=ORIENTED_EDGE('',*,*,#183359,.T.); #232721=ORIENTED_EDGE('',*,*,#182359,.F.); #232722=ORIENTED_EDGE('',*,*,#183358,.T.); #232723=ORIENTED_EDGE('',*,*,#183359,.F.); #232724=ORIENTED_EDGE('',*,*,#182342,.F.); #232725=ORIENTED_EDGE('',*,*,#183360,.F.); #232726=ORIENTED_EDGE('',*,*,#182360,.T.); #232727=ORIENTED_EDGE('',*,*,#182341,.F.); #232728=ORIENTED_EDGE('',*,*,#183361,.T.); #232729=ORIENTED_EDGE('',*,*,#182361,.F.); #232730=ORIENTED_EDGE('',*,*,#183360,.T.); #232731=ORIENTED_EDGE('',*,*,#182340,.F.); #232732=ORIENTED_EDGE('',*,*,#182339,.F.); #232733=ORIENTED_EDGE('',*,*,#182362,.T.); #232734=ORIENTED_EDGE('',*,*,#183361,.F.); #232735=ORIENTED_EDGE('',*,*,#183362,.F.); #232736=ORIENTED_EDGE('',*,*,#183363,.T.); #232737=ORIENTED_EDGE('',*,*,#183364,.F.); #232738=ORIENTED_EDGE('',*,*,#183365,.F.); #232739=ORIENTED_EDGE('',*,*,#183366,.T.); #232740=ORIENTED_EDGE('',*,*,#183367,.T.); #232741=ORIENTED_EDGE('',*,*,#183368,.F.); #232742=ORIENTED_EDGE('',*,*,#183362,.T.); #232743=ORIENTED_EDGE('',*,*,#183369,.F.); #232744=ORIENTED_EDGE('',*,*,#183367,.F.); #232745=ORIENTED_EDGE('',*,*,#183370,.T.); #232746=ORIENTED_EDGE('',*,*,#183371,.F.); #232747=ORIENTED_EDGE('',*,*,#183372,.T.); #232748=ORIENTED_EDGE('',*,*,#183373,.T.); #232749=ORIENTED_EDGE('',*,*,#183368,.T.); #232750=ORIENTED_EDGE('',*,*,#183373,.F.); #232751=ORIENTED_EDGE('',*,*,#183374,.F.); #232752=ORIENTED_EDGE('',*,*,#183375,.T.); #232753=ORIENTED_EDGE('',*,*,#183376,.F.); #232754=ORIENTED_EDGE('',*,*,#183377,.F.); #232755=ORIENTED_EDGE('',*,*,#183363,.F.); #232756=ORIENTED_EDGE('',*,*,#183365,.T.); #232757=ORIENTED_EDGE('',*,*,#183378,.T.); #232758=ORIENTED_EDGE('',*,*,#183379,.T.); #232759=ORIENTED_EDGE('',*,*,#183378,.F.); #232760=ORIENTED_EDGE('',*,*,#183364,.T.); #232761=ORIENTED_EDGE('',*,*,#183377,.T.); #232762=ORIENTED_EDGE('',*,*,#183380,.T.); #232763=ORIENTED_EDGE('',*,*,#183381,.T.); #232764=ORIENTED_EDGE('',*,*,#183382,.T.); #232765=ORIENTED_EDGE('',*,*,#183383,.T.); #232766=ORIENTED_EDGE('',*,*,#183384,.T.); #232767=ORIENTED_EDGE('',*,*,#183385,.T.); #232768=ORIENTED_EDGE('',*,*,#183386,.T.); #232769=ORIENTED_EDGE('',*,*,#183387,.T.); #232770=ORIENTED_EDGE('',*,*,#183388,.T.); #232771=ORIENTED_EDGE('',*,*,#183389,.T.); #232772=ORIENTED_EDGE('',*,*,#183390,.T.); #232773=ORIENTED_EDGE('',*,*,#183391,.T.); #232774=ORIENTED_EDGE('',*,*,#183392,.T.); #232775=ORIENTED_EDGE('',*,*,#183393,.T.); #232776=ORIENTED_EDGE('',*,*,#183394,.F.); #232777=ORIENTED_EDGE('',*,*,#183395,.F.); #232778=ORIENTED_EDGE('',*,*,#183396,.T.); #232779=ORIENTED_EDGE('',*,*,#183397,.F.); #232780=ORIENTED_EDGE('',*,*,#183385,.F.); #232781=ORIENTED_EDGE('',*,*,#183398,.F.); #232782=ORIENTED_EDGE('',*,*,#183399,.T.); #232783=ORIENTED_EDGE('',*,*,#183400,.F.); #232784=ORIENTED_EDGE('',*,*,#183401,.T.); #232785=ORIENTED_EDGE('',*,*,#183393,.F.); #232786=ORIENTED_EDGE('',*,*,#183399,.F.); #232787=ORIENTED_EDGE('',*,*,#183398,.T.); #232788=ORIENTED_EDGE('',*,*,#183384,.F.); #232789=ORIENTED_EDGE('',*,*,#183402,.T.); #232790=ORIENTED_EDGE('',*,*,#183400,.T.); #232791=ORIENTED_EDGE('',*,*,#183402,.F.); #232792=ORIENTED_EDGE('',*,*,#183383,.F.); #232793=ORIENTED_EDGE('',*,*,#183403,.T.); #232794=ORIENTED_EDGE('',*,*,#183404,.T.); #232795=ORIENTED_EDGE('',*,*,#183405,.F.); #232796=ORIENTED_EDGE('',*,*,#183406,.F.); #232797=ORIENTED_EDGE('',*,*,#183407,.T.); #232798=ORIENTED_EDGE('',*,*,#183408,.F.); #232799=ORIENTED_EDGE('',*,*,#183401,.F.); #232800=ORIENTED_EDGE('',*,*,#183408,.T.); #232801=ORIENTED_EDGE('',*,*,#183409,.F.); #232802=ORIENTED_EDGE('',*,*,#183410,.T.); #232803=ORIENTED_EDGE('',*,*,#183394,.T.); #232804=ORIENTED_EDGE('',*,*,#183411,.T.); #232805=ORIENTED_EDGE('',*,*,#183412,.F.); #232806=ORIENTED_EDGE('',*,*,#183413,.T.); #232807=ORIENTED_EDGE('',*,*,#183395,.T.); #232808=ORIENTED_EDGE('',*,*,#183410,.F.); #232809=ORIENTED_EDGE('',*,*,#183411,.F.); #232810=ORIENTED_EDGE('',*,*,#183409,.T.); #232811=ORIENTED_EDGE('',*,*,#183407,.F.); #232812=ORIENTED_EDGE('',*,*,#183414,.T.); #232813=ORIENTED_EDGE('',*,*,#183415,.T.); #232814=ORIENTED_EDGE('',*,*,#183415,.F.); #232815=ORIENTED_EDGE('',*,*,#183416,.F.); #232816=ORIENTED_EDGE('',*,*,#183417,.T.); #232817=ORIENTED_EDGE('',*,*,#183418,.T.); #232818=ORIENTED_EDGE('',*,*,#183412,.T.); #232819=ORIENTED_EDGE('',*,*,#183396,.F.); #232820=ORIENTED_EDGE('',*,*,#183413,.F.); #232821=ORIENTED_EDGE('',*,*,#183418,.F.); #232822=ORIENTED_EDGE('',*,*,#183419,.F.); #232823=ORIENTED_EDGE('',*,*,#183420,.T.); #232824=ORIENTED_EDGE('',*,*,#183421,.F.); #232825=ORIENTED_EDGE('',*,*,#183386,.F.); #232826=ORIENTED_EDGE('',*,*,#183397,.T.); #232827=ORIENTED_EDGE('',*,*,#183419,.T.); #232828=ORIENTED_EDGE('',*,*,#183417,.F.); #232829=ORIENTED_EDGE('',*,*,#183422,.F.); #232830=ORIENTED_EDGE('',*,*,#183423,.T.); #232831=ORIENTED_EDGE('',*,*,#183424,.F.); #232832=ORIENTED_EDGE('',*,*,#183425,.T.); #232833=ORIENTED_EDGE('',*,*,#183420,.F.); #232834=ORIENTED_EDGE('',*,*,#183426,.T.); #232835=ORIENTED_EDGE('',*,*,#183427,.F.); #232836=ORIENTED_EDGE('',*,*,#183425,.F.); #232837=ORIENTED_EDGE('',*,*,#183428,.T.); #232838=ORIENTED_EDGE('',*,*,#183387,.F.); #232839=ORIENTED_EDGE('',*,*,#183421,.T.); #232840=ORIENTED_EDGE('',*,*,#183427,.T.); #232841=ORIENTED_EDGE('',*,*,#183429,.F.); #232842=ORIENTED_EDGE('',*,*,#183430,.F.); #232843=ORIENTED_EDGE('',*,*,#183431,.T.); #232844=ORIENTED_EDGE('',*,*,#183432,.F.); #232845=ORIENTED_EDGE('',*,*,#183388,.F.); #232846=ORIENTED_EDGE('',*,*,#183428,.F.); #232847=ORIENTED_EDGE('',*,*,#183433,.T.); #232848=ORIENTED_EDGE('',*,*,#183434,.F.); #232849=ORIENTED_EDGE('',*,*,#183389,.F.); #232850=ORIENTED_EDGE('',*,*,#183432,.T.); #232851=ORIENTED_EDGE('',*,*,#183435,.T.); #232852=ORIENTED_EDGE('',*,*,#183436,.F.); #232853=ORIENTED_EDGE('',*,*,#183437,.F.); #232854=ORIENTED_EDGE('',*,*,#183438,.T.); #232855=ORIENTED_EDGE('',*,*,#183439,.F.); #232856=ORIENTED_EDGE('',*,*,#183440,.T.); #232857=ORIENTED_EDGE('',*,*,#183433,.F.); #232858=ORIENTED_EDGE('',*,*,#183441,.T.); #232859=ORIENTED_EDGE('',*,*,#183442,.F.); #232860=ORIENTED_EDGE('',*,*,#183440,.F.); #232861=ORIENTED_EDGE('',*,*,#183443,.T.); #232862=ORIENTED_EDGE('',*,*,#183390,.F.); #232863=ORIENTED_EDGE('',*,*,#183434,.T.); #232864=ORIENTED_EDGE('',*,*,#183442,.T.); #232865=ORIENTED_EDGE('',*,*,#183444,.F.); #232866=ORIENTED_EDGE('',*,*,#183445,.F.); #232867=ORIENTED_EDGE('',*,*,#183446,.T.); #232868=ORIENTED_EDGE('',*,*,#183447,.F.); #232869=ORIENTED_EDGE('',*,*,#183391,.F.); #232870=ORIENTED_EDGE('',*,*,#183443,.F.); #232871=ORIENTED_EDGE('',*,*,#183369,.T.); #232872=ORIENTED_EDGE('',*,*,#183366,.F.); #232873=ORIENTED_EDGE('',*,*,#183392,.F.); #232874=ORIENTED_EDGE('',*,*,#183447,.T.); #232875=ORIENTED_EDGE('',*,*,#183448,.T.); #232876=ORIENTED_EDGE('',*,*,#183449,.F.); #232877=ORIENTED_EDGE('',*,*,#183450,.F.); #232878=ORIENTED_EDGE('',*,*,#183451,.T.); #232879=ORIENTED_EDGE('',*,*,#183370,.F.); #232880=ORIENTED_EDGE('',*,*,#183452,.F.); #232881=ORIENTED_EDGE('',*,*,#183371,.T.); #232882=ORIENTED_EDGE('',*,*,#183451,.F.); #232883=ORIENTED_EDGE('',*,*,#183453,.T.); #232884=ORIENTED_EDGE('',*,*,#183454,.T.); #232885=ORIENTED_EDGE('',*,*,#183452,.T.); #232886=ORIENTED_EDGE('',*,*,#183455,.F.); #232887=ORIENTED_EDGE('',*,*,#183456,.T.); #232888=ORIENTED_EDGE('',*,*,#183374,.T.); #232889=ORIENTED_EDGE('',*,*,#183372,.F.); #232890=ORIENTED_EDGE('',*,*,#183375,.F.); #232891=ORIENTED_EDGE('',*,*,#183456,.F.); #232892=ORIENTED_EDGE('',*,*,#183457,.F.); #232893=ORIENTED_EDGE('',*,*,#183458,.F.); #232894=ORIENTED_EDGE('',*,*,#183459,.T.); #232895=ORIENTED_EDGE('',*,*,#183460,.F.); #232896=ORIENTED_EDGE('',*,*,#183380,.F.); #232897=ORIENTED_EDGE('',*,*,#183376,.T.); #232898=ORIENTED_EDGE('',*,*,#183458,.T.); #232899=ORIENTED_EDGE('',*,*,#183461,.F.); #232900=ORIENTED_EDGE('',*,*,#183462,.F.); #232901=ORIENTED_EDGE('',*,*,#183463,.T.); #232902=ORIENTED_EDGE('',*,*,#183464,.F.); #232903=ORIENTED_EDGE('',*,*,#183465,.T.); #232904=ORIENTED_EDGE('',*,*,#183459,.F.); #232905=ORIENTED_EDGE('',*,*,#183466,.T.); #232906=ORIENTED_EDGE('',*,*,#183467,.F.); #232907=ORIENTED_EDGE('',*,*,#183465,.F.); #232908=ORIENTED_EDGE('',*,*,#183468,.T.); #232909=ORIENTED_EDGE('',*,*,#183381,.F.); #232910=ORIENTED_EDGE('',*,*,#183460,.T.); #232911=ORIENTED_EDGE('',*,*,#183467,.T.); #232912=ORIENTED_EDGE('',*,*,#183469,.F.); #232913=ORIENTED_EDGE('',*,*,#183470,.F.); #232914=ORIENTED_EDGE('',*,*,#183471,.T.); #232915=ORIENTED_EDGE('',*,*,#183403,.F.); #232916=ORIENTED_EDGE('',*,*,#183382,.F.); #232917=ORIENTED_EDGE('',*,*,#183468,.F.); #232918=ORIENTED_EDGE('',*,*,#183471,.F.); #232919=ORIENTED_EDGE('',*,*,#183472,.F.); #232920=ORIENTED_EDGE('',*,*,#183473,.F.); #232921=ORIENTED_EDGE('',*,*,#183404,.F.); #232922=ORIENTED_EDGE('',*,*,#183474,.F.); #232923=ORIENTED_EDGE('',*,*,#183475,.F.); #232924=ORIENTED_EDGE('',*,*,#183405,.T.); #232925=ORIENTED_EDGE('',*,*,#183473,.T.); #232926=ORIENTED_EDGE('',*,*,#183476,.T.); #232927=ORIENTED_EDGE('',*,*,#183477,.F.); #232928=ORIENTED_EDGE('',*,*,#183478,.T.); #232929=ORIENTED_EDGE('',*,*,#183463,.F.); #232930=ORIENTED_EDGE('',*,*,#183479,.T.); #232931=ORIENTED_EDGE('',*,*,#183474,.T.); #232932=ORIENTED_EDGE('',*,*,#183477,.T.); #232933=ORIENTED_EDGE('',*,*,#183476,.F.); #232934=ORIENTED_EDGE('',*,*,#183472,.T.); #232935=ORIENTED_EDGE('',*,*,#183470,.T.); #232936=ORIENTED_EDGE('',*,*,#183480,.F.); #232937=ORIENTED_EDGE('',*,*,#183466,.F.); #232938=ORIENTED_EDGE('',*,*,#183464,.T.); #232939=ORIENTED_EDGE('',*,*,#183478,.F.); #232940=ORIENTED_EDGE('',*,*,#183480,.T.); #232941=ORIENTED_EDGE('',*,*,#183469,.T.); #232942=ORIENTED_EDGE('',*,*,#183481,.F.); #232943=ORIENTED_EDGE('',*,*,#183482,.F.); #232944=ORIENTED_EDGE('',*,*,#183483,.F.); #232945=ORIENTED_EDGE('',*,*,#183484,.F.); #232946=ORIENTED_EDGE('',*,*,#183414,.F.); #232947=ORIENTED_EDGE('',*,*,#183485,.F.); #232948=ORIENTED_EDGE('',*,*,#183479,.F.); #232949=ORIENTED_EDGE('',*,*,#183486,.F.); #232950=ORIENTED_EDGE('',*,*,#183453,.F.); #232951=ORIENTED_EDGE('',*,*,#183487,.F.); #232952=ORIENTED_EDGE('',*,*,#183438,.F.); #232953=ORIENTED_EDGE('',*,*,#183481,.T.); #232954=ORIENTED_EDGE('',*,*,#183488,.T.); #232955=ORIENTED_EDGE('',*,*,#183489,.F.); #232956=ORIENTED_EDGE('',*,*,#183490,.T.); #232957=ORIENTED_EDGE('',*,*,#183441,.F.); #232958=ORIENTED_EDGE('',*,*,#183439,.T.); #232959=ORIENTED_EDGE('',*,*,#183490,.F.); #232960=ORIENTED_EDGE('',*,*,#183491,.T.); #232961=ORIENTED_EDGE('',*,*,#183444,.T.); #232962=ORIENTED_EDGE('',*,*,#183489,.T.); #232963=ORIENTED_EDGE('',*,*,#183492,.F.); #232964=ORIENTED_EDGE('',*,*,#183493,.T.); #232965=ORIENTED_EDGE('',*,*,#183445,.T.); #232966=ORIENTED_EDGE('',*,*,#183491,.F.); #232967=ORIENTED_EDGE('',*,*,#183488,.F.); #232968=ORIENTED_EDGE('',*,*,#183494,.F.); #232969=ORIENTED_EDGE('',*,*,#183449,.T.); #232970=ORIENTED_EDGE('',*,*,#183495,.T.); #232971=ORIENTED_EDGE('',*,*,#183492,.T.); #232972=ORIENTED_EDGE('',*,*,#183446,.F.); #232973=ORIENTED_EDGE('',*,*,#183493,.F.); #232974=ORIENTED_EDGE('',*,*,#183495,.F.); #232975=ORIENTED_EDGE('',*,*,#183448,.F.); #232976=ORIENTED_EDGE('',*,*,#183487,.T.); #232977=ORIENTED_EDGE('',*,*,#183450,.T.); #232978=ORIENTED_EDGE('',*,*,#183494,.T.); #232979=ORIENTED_EDGE('',*,*,#183486,.T.); #232980=ORIENTED_EDGE('',*,*,#183462,.T.); #232981=ORIENTED_EDGE('',*,*,#183496,.T.); #232982=ORIENTED_EDGE('',*,*,#183454,.F.); #232983=ORIENTED_EDGE('',*,*,#183496,.F.); #232984=ORIENTED_EDGE('',*,*,#183461,.T.); #232985=ORIENTED_EDGE('',*,*,#183457,.T.); #232986=ORIENTED_EDGE('',*,*,#183455,.T.); #232987=ORIENTED_EDGE('',*,*,#183485,.T.); #232988=ORIENTED_EDGE('',*,*,#183406,.T.); #232989=ORIENTED_EDGE('',*,*,#183475,.T.); #232990=ORIENTED_EDGE('',*,*,#183484,.T.); #232991=ORIENTED_EDGE('',*,*,#183422,.T.); #232992=ORIENTED_EDGE('',*,*,#183416,.T.); #232993=ORIENTED_EDGE('',*,*,#183497,.F.); #232994=ORIENTED_EDGE('',*,*,#183498,.T.); #232995=ORIENTED_EDGE('',*,*,#183423,.F.); #232996=ORIENTED_EDGE('',*,*,#183483,.T.); #232997=ORIENTED_EDGE('',*,*,#183499,.T.); #232998=ORIENTED_EDGE('',*,*,#183497,.T.); #232999=ORIENTED_EDGE('',*,*,#183500,.F.); #233000=ORIENTED_EDGE('',*,*,#183501,.T.); #233001=ORIENTED_EDGE('',*,*,#183430,.T.); #233002=ORIENTED_EDGE('',*,*,#183502,.F.); #233003=ORIENTED_EDGE('',*,*,#183426,.F.); #233004=ORIENTED_EDGE('',*,*,#183424,.T.); #233005=ORIENTED_EDGE('',*,*,#183498,.F.); #233006=ORIENTED_EDGE('',*,*,#183502,.T.); #233007=ORIENTED_EDGE('',*,*,#183429,.T.); #233008=ORIENTED_EDGE('',*,*,#183431,.F.); #233009=ORIENTED_EDGE('',*,*,#183501,.F.); #233010=ORIENTED_EDGE('',*,*,#183503,.F.); #233011=ORIENTED_EDGE('',*,*,#183435,.F.); #233012=ORIENTED_EDGE('',*,*,#183499,.F.); #233013=ORIENTED_EDGE('',*,*,#183504,.F.); #233014=ORIENTED_EDGE('',*,*,#183436,.T.); #233015=ORIENTED_EDGE('',*,*,#183503,.T.); #233016=ORIENTED_EDGE('',*,*,#183500,.T.); #233017=ORIENTED_EDGE('',*,*,#183482,.T.); #233018=ORIENTED_EDGE('',*,*,#183437,.T.); #233019=ORIENTED_EDGE('',*,*,#183504,.T.); #233020=ORIENTED_EDGE('',*,*,#183379,.F.); #233021=ORIENTED_EDGE('',*,*,#183505,.T.); #233022=ORIENTED_EDGE('',*,*,#183506,.T.); #233023=ORIENTED_EDGE('',*,*,#183505,.F.); #233024=ORIENTED_EDGE('',*,*,#183506,.F.); #233025=ORIENTED_EDGE('',*,*,#183507,.F.); #233026=ORIENTED_EDGE('',*,*,#183508,.F.); #233027=ORIENTED_EDGE('',*,*,#183509,.F.); #233028=ORIENTED_EDGE('',*,*,#183510,.F.); #233029=ORIENTED_EDGE('',*,*,#183511,.F.); #233030=ORIENTED_EDGE('',*,*,#183512,.F.); #233031=ORIENTED_EDGE('',*,*,#183513,.F.); #233032=ORIENTED_EDGE('',*,*,#183514,.F.); #233033=ORIENTED_EDGE('',*,*,#183515,.F.); #233034=ORIENTED_EDGE('',*,*,#183516,.F.); #233035=ORIENTED_EDGE('',*,*,#183517,.F.); #233036=ORIENTED_EDGE('',*,*,#183518,.F.); #233037=ORIENTED_EDGE('',*,*,#183519,.F.); #233038=ORIENTED_EDGE('',*,*,#183520,.F.); #233039=ORIENTED_EDGE('',*,*,#183521,.T.); #233040=ORIENTED_EDGE('',*,*,#183522,.T.); #233041=ORIENTED_EDGE('',*,*,#183523,.T.); #233042=ORIENTED_EDGE('',*,*,#183524,.T.); #233043=ORIENTED_EDGE('',*,*,#183525,.T.); #233044=ORIENTED_EDGE('',*,*,#183508,.T.); #233045=ORIENTED_EDGE('',*,*,#183526,.F.); #233046=ORIENTED_EDGE('',*,*,#183527,.F.); #233047=ORIENTED_EDGE('',*,*,#183528,.T.); #233048=ORIENTED_EDGE('',*,*,#183529,.T.); #233049=ORIENTED_EDGE('',*,*,#183530,.T.); #233050=ORIENTED_EDGE('',*,*,#183531,.T.); #233051=ORIENTED_EDGE('',*,*,#183512,.T.); #233052=ORIENTED_EDGE('',*,*,#183532,.F.); #233053=ORIENTED_EDGE('',*,*,#183533,.F.); #233054=ORIENTED_EDGE('',*,*,#183534,.T.); #233055=ORIENTED_EDGE('',*,*,#183520,.T.); #233056=ORIENTED_EDGE('',*,*,#183535,.T.); #233057=ORIENTED_EDGE('',*,*,#183536,.F.); #233058=ORIENTED_EDGE('',*,*,#183537,.F.); #233059=ORIENTED_EDGE('',*,*,#183538,.F.); #233060=ORIENTED_EDGE('',*,*,#183539,.T.); #233061=ORIENTED_EDGE('',*,*,#183540,.F.); #233062=ORIENTED_EDGE('',*,*,#183541,.F.); #233063=ORIENTED_EDGE('',*,*,#183542,.F.); #233064=ORIENTED_EDGE('',*,*,#183543,.T.); #233065=ORIENTED_EDGE('',*,*,#183544,.F.); #233066=ORIENTED_EDGE('',*,*,#183545,.F.); #233067=ORIENTED_EDGE('',*,*,#183539,.F.); #233068=ORIENTED_EDGE('',*,*,#183546,.F.); #233069=ORIENTED_EDGE('',*,*,#183543,.F.); #233070=ORIENTED_EDGE('',*,*,#183541,.T.); #233071=ORIENTED_EDGE('',*,*,#183547,.F.); #233072=ORIENTED_EDGE('',*,*,#183548,.T.); #233073=ORIENTED_EDGE('',*,*,#183549,.T.); #233074=ORIENTED_EDGE('',*,*,#183550,.T.); #233075=ORIENTED_EDGE('',*,*,#183551,.T.); #233076=ORIENTED_EDGE('',*,*,#183537,.T.); #233077=ORIENTED_EDGE('',*,*,#183552,.T.); #233078=ORIENTED_EDGE('',*,*,#183553,.T.); #233079=ORIENTED_EDGE('',*,*,#183554,.T.); #233080=ORIENTED_EDGE('',*,*,#183555,.F.); #233081=ORIENTED_EDGE('',*,*,#183556,.F.); #233082=ORIENTED_EDGE('',*,*,#183540,.T.); #233083=ORIENTED_EDGE('',*,*,#183545,.T.); #233084=ORIENTED_EDGE('',*,*,#183542,.T.); #233085=ORIENTED_EDGE('',*,*,#183551,.F.); #233086=ORIENTED_EDGE('',*,*,#183557,.T.); #233087=ORIENTED_EDGE('',*,*,#183558,.T.); #233088=ORIENTED_EDGE('',*,*,#183559,.F.); #233089=ORIENTED_EDGE('',*,*,#183552,.F.); #233090=ORIENTED_EDGE('',*,*,#183560,.F.); #233091=ORIENTED_EDGE('',*,*,#183561,.T.); #233092=ORIENTED_EDGE('',*,*,#183562,.T.); #233093=ORIENTED_EDGE('',*,*,#183563,.T.); #233094=ORIENTED_EDGE('',*,*,#183560,.T.); #233095=ORIENTED_EDGE('',*,*,#183564,.T.); #233096=ORIENTED_EDGE('',*,*,#183565,.T.); #233097=ORIENTED_EDGE('',*,*,#183566,.F.); #233098=ORIENTED_EDGE('',*,*,#183567,.T.); #233099=ORIENTED_EDGE('',*,*,#183568,.F.); #233100=ORIENTED_EDGE('',*,*,#183569,.F.); #233101=ORIENTED_EDGE('',*,*,#183563,.F.); #233102=ORIENTED_EDGE('',*,*,#183570,.F.); #233103=ORIENTED_EDGE('',*,*,#183571,.F.); #233104=ORIENTED_EDGE('',*,*,#183572,.T.); #233105=ORIENTED_EDGE('',*,*,#183573,.F.); #233106=ORIENTED_EDGE('',*,*,#183564,.F.); #233107=ORIENTED_EDGE('',*,*,#183574,.F.); #233108=ORIENTED_EDGE('',*,*,#183575,.T.); #233109=ORIENTED_EDGE('',*,*,#183576,.T.); #233110=ORIENTED_EDGE('',*,*,#183577,.T.); #233111=ORIENTED_EDGE('',*,*,#183575,.F.); #233112=ORIENTED_EDGE('',*,*,#183578,.T.); #233113=ORIENTED_EDGE('',*,*,#183579,.F.); #233114=ORIENTED_EDGE('',*,*,#183523,.F.); #233115=ORIENTED_EDGE('',*,*,#183580,.T.); #233116=ORIENTED_EDGE('',*,*,#183509,.T.); #233117=ORIENTED_EDGE('',*,*,#183528,.F.); #233118=ORIENTED_EDGE('',*,*,#183581,.F.); #233119=ORIENTED_EDGE('',*,*,#183582,.F.); #233120=ORIENTED_EDGE('',*,*,#183583,.F.); #233121=ORIENTED_EDGE('',*,*,#183584,.T.); #233122=ORIENTED_EDGE('',*,*,#183585,.T.); #233123=ORIENTED_EDGE('',*,*,#183586,.T.); #233124=ORIENTED_EDGE('',*,*,#183586,.F.); #233125=ORIENTED_EDGE('',*,*,#183587,.F.); #233126=ORIENTED_EDGE('',*,*,#183588,.F.); #233127=ORIENTED_EDGE('',*,*,#183554,.F.); #233128=ORIENTED_EDGE('',*,*,#183589,.F.); #233129=ORIENTED_EDGE('',*,*,#183590,.T.); #233130=ORIENTED_EDGE('',*,*,#183591,.T.); #233131=ORIENTED_EDGE('',*,*,#183592,.T.); #233132=ORIENTED_EDGE('',*,*,#183593,.F.); #233133=ORIENTED_EDGE('',*,*,#183594,.T.); #233134=ORIENTED_EDGE('',*,*,#183595,.T.); #233135=ORIENTED_EDGE('',*,*,#183596,.T.); #233136=ORIENTED_EDGE('',*,*,#183596,.F.); #233137=ORIENTED_EDGE('',*,*,#183597,.F.); #233138=ORIENTED_EDGE('',*,*,#183598,.F.); #233139=ORIENTED_EDGE('',*,*,#183599,.T.); #233140=ORIENTED_EDGE('',*,*,#183600,.T.); #233141=ORIENTED_EDGE('',*,*,#183601,.T.); #233142=ORIENTED_EDGE('',*,*,#183602,.F.); #233143=ORIENTED_EDGE('',*,*,#183603,.T.); #233144=ORIENTED_EDGE('',*,*,#183604,.T.); #233145=ORIENTED_EDGE('',*,*,#183605,.T.); #233146=ORIENTED_EDGE('',*,*,#183603,.F.); #233147=ORIENTED_EDGE('',*,*,#183606,.T.); #233148=ORIENTED_EDGE('',*,*,#183607,.F.); #233149=ORIENTED_EDGE('',*,*,#183608,.F.); #233150=ORIENTED_EDGE('',*,*,#183609,.F.); #233151=ORIENTED_EDGE('',*,*,#183610,.F.); #233152=ORIENTED_EDGE('',*,*,#183611,.F.); #233153=ORIENTED_EDGE('',*,*,#183612,.F.); #233154=ORIENTED_EDGE('',*,*,#183613,.F.); #233155=ORIENTED_EDGE('',*,*,#183614,.T.); #233156=ORIENTED_EDGE('',*,*,#183615,.T.); #233157=ORIENTED_EDGE('',*,*,#183616,.T.); #233158=ORIENTED_EDGE('',*,*,#183616,.F.); #233159=ORIENTED_EDGE('',*,*,#183617,.F.); #233160=ORIENTED_EDGE('',*,*,#183618,.F.); #233161=ORIENTED_EDGE('',*,*,#183619,.T.); #233162=ORIENTED_EDGE('',*,*,#183566,.T.); #233163=ORIENTED_EDGE('',*,*,#183620,.T.); #233164=ORIENTED_EDGE('',*,*,#183621,.F.); #233165=ORIENTED_EDGE('',*,*,#183622,.T.); #233166=ORIENTED_EDGE('',*,*,#183623,.T.); #233167=ORIENTED_EDGE('',*,*,#183624,.T.); #233168=ORIENTED_EDGE('',*,*,#183622,.F.); #233169=ORIENTED_EDGE('',*,*,#183625,.T.); #233170=ORIENTED_EDGE('',*,*,#183626,.F.); #233171=ORIENTED_EDGE('',*,*,#183627,.F.); #233172=ORIENTED_EDGE('',*,*,#183628,.F.); #233173=ORIENTED_EDGE('',*,*,#183629,.F.); #233174=ORIENTED_EDGE('',*,*,#183630,.F.); #233175=ORIENTED_EDGE('',*,*,#183631,.F.); #233176=ORIENTED_EDGE('',*,*,#183632,.T.); #233177=ORIENTED_EDGE('',*,*,#183633,.T.); #233178=ORIENTED_EDGE('',*,*,#183634,.T.); #233179=ORIENTED_EDGE('',*,*,#183634,.F.); #233180=ORIENTED_EDGE('',*,*,#183635,.F.); #233181=ORIENTED_EDGE('',*,*,#183636,.F.); #233182=ORIENTED_EDGE('',*,*,#183637,.F.); #233183=ORIENTED_EDGE('',*,*,#183638,.F.); #233184=ORIENTED_EDGE('',*,*,#183639,.T.); #233185=ORIENTED_EDGE('',*,*,#183640,.T.); #233186=ORIENTED_EDGE('',*,*,#183641,.T.); #233187=ORIENTED_EDGE('',*,*,#183568,.T.); #233188=ORIENTED_EDGE('',*,*,#183642,.T.); #233189=ORIENTED_EDGE('',*,*,#183643,.T.); #233190=ORIENTED_EDGE('',*,*,#183644,.T.); #233191=ORIENTED_EDGE('',*,*,#183645,.T.); #233192=ORIENTED_EDGE('',*,*,#183618,.T.); #233193=ORIENTED_EDGE('',*,*,#183646,.F.); #233194=ORIENTED_EDGE('',*,*,#183647,.F.); #233195=ORIENTED_EDGE('',*,*,#183570,.T.); #233196=ORIENTED_EDGE('',*,*,#183562,.F.); #233197=ORIENTED_EDGE('',*,*,#183648,.T.); #233198=ORIENTED_EDGE('',*,*,#183649,.F.); #233199=ORIENTED_EDGE('',*,*,#183650,.T.); #233200=ORIENTED_EDGE('',*,*,#183651,.T.); #233201=ORIENTED_EDGE('',*,*,#183604,.F.); #233202=ORIENTED_EDGE('',*,*,#183612,.T.); #233203=ORIENTED_EDGE('',*,*,#183652,.F.); #233204=ORIENTED_EDGE('',*,*,#183653,.F.); #233205=ORIENTED_EDGE('',*,*,#183632,.F.); #233206=ORIENTED_EDGE('',*,*,#183654,.F.); #233207=ORIENTED_EDGE('',*,*,#183572,.F.); #233208=ORIENTED_EDGE('',*,*,#183655,.F.); #233209=ORIENTED_EDGE('',*,*,#183614,.F.); #233210=ORIENTED_EDGE('',*,*,#183656,.T.); #233211=ORIENTED_EDGE('',*,*,#183657,.F.); #233212=ORIENTED_EDGE('',*,*,#183658,.F.); #233213=ORIENTED_EDGE('',*,*,#183635,.T.); #233214=ORIENTED_EDGE('',*,*,#183633,.F.); #233215=ORIENTED_EDGE('',*,*,#183655,.T.); #233216=ORIENTED_EDGE('',*,*,#183571,.T.); #233217=ORIENTED_EDGE('',*,*,#183653,.T.); #233218=ORIENTED_EDGE('',*,*,#183659,.F.); #233219=ORIENTED_EDGE('',*,*,#183660,.T.); #233220=ORIENTED_EDGE('',*,*,#183658,.T.); #233221=ORIENTED_EDGE('',*,*,#183661,.T.); #233222=ORIENTED_EDGE('',*,*,#183662,.T.); #233223=ORIENTED_EDGE('',*,*,#183663,.F.); #233224=ORIENTED_EDGE('',*,*,#183646,.T.); #233225=ORIENTED_EDGE('',*,*,#183617,.T.); #233226=ORIENTED_EDGE('',*,*,#183615,.F.); #233227=ORIENTED_EDGE('',*,*,#183657,.T.); #233228=ORIENTED_EDGE('',*,*,#183664,.F.); #233229=ORIENTED_EDGE('',*,*,#183665,.F.); #233230=ORIENTED_EDGE('',*,*,#183666,.F.); #233231=ORIENTED_EDGE('',*,*,#183667,.F.); #233232=ORIENTED_EDGE('',*,*,#183668,.F.); #233233=ORIENTED_EDGE('',*,*,#183669,.F.); #233234=ORIENTED_EDGE('',*,*,#183661,.F.); #233235=ORIENTED_EDGE('',*,*,#183613,.T.); #233236=ORIENTED_EDGE('',*,*,#183620,.F.); #233237=ORIENTED_EDGE('',*,*,#183565,.F.); #233238=ORIENTED_EDGE('',*,*,#183573,.T.); #233239=ORIENTED_EDGE('',*,*,#183654,.T.); #233240=ORIENTED_EDGE('',*,*,#183631,.T.); #233241=ORIENTED_EDGE('',*,*,#183641,.F.); #233242=ORIENTED_EDGE('',*,*,#183670,.F.); #233243=ORIENTED_EDGE('',*,*,#183664,.T.); #233244=ORIENTED_EDGE('',*,*,#183656,.F.); #233245=ORIENTED_EDGE('',*,*,#183671,.F.); #233246=ORIENTED_EDGE('',*,*,#183665,.T.); #233247=ORIENTED_EDGE('',*,*,#183670,.T.); #233248=ORIENTED_EDGE('',*,*,#183640,.F.); #233249=ORIENTED_EDGE('',*,*,#183672,.F.); #233250=ORIENTED_EDGE('',*,*,#183666,.T.); #233251=ORIENTED_EDGE('',*,*,#183671,.T.); #233252=ORIENTED_EDGE('',*,*,#183639,.F.); #233253=ORIENTED_EDGE('',*,*,#183669,.T.); #233254=ORIENTED_EDGE('',*,*,#183673,.F.); #233255=ORIENTED_EDGE('',*,*,#183674,.T.); #233256=ORIENTED_EDGE('',*,*,#183623,.F.); #233257=ORIENTED_EDGE('',*,*,#183630,.T.); #233258=ORIENTED_EDGE('',*,*,#183675,.F.); #233259=ORIENTED_EDGE('',*,*,#183662,.F.); #233260=ORIENTED_EDGE('',*,*,#183668,.T.); #233261=ORIENTED_EDGE('',*,*,#183676,.T.); #233262=ORIENTED_EDGE('',*,*,#183677,.T.); #233263=ORIENTED_EDGE('',*,*,#183673,.T.); #233264=ORIENTED_EDGE('',*,*,#183678,.T.); #233265=ORIENTED_EDGE('',*,*,#183679,.T.); #233266=ORIENTED_EDGE('',*,*,#183680,.T.); #233267=ORIENTED_EDGE('',*,*,#183607,.T.); #233268=ORIENTED_EDGE('',*,*,#183681,.T.); #233269=ORIENTED_EDGE('',*,*,#183598,.T.); #233270=ORIENTED_EDGE('',*,*,#183682,.T.); #233271=ORIENTED_EDGE('',*,*,#183683,.F.); #233272=ORIENTED_EDGE('',*,*,#183594,.F.); #233273=ORIENTED_EDGE('',*,*,#183684,.T.); #233274=ORIENTED_EDGE('',*,*,#183685,.F.); #233275=ORIENTED_EDGE('',*,*,#183686,.F.); #233276=ORIENTED_EDGE('',*,*,#183584,.F.); #233277=ORIENTED_EDGE('',*,*,#183687,.F.); #233278=ORIENTED_EDGE('',*,*,#183688,.F.); #233279=ORIENTED_EDGE('',*,*,#183689,.F.); #233280=ORIENTED_EDGE('',*,*,#183583,.T.); #233281=ORIENTED_EDGE('',*,*,#183592,.F.); #233282=ORIENTED_EDGE('',*,*,#183690,.F.); #233283=ORIENTED_EDGE('',*,*,#183691,.T.); #233284=ORIENTED_EDGE('',*,*,#183684,.F.); #233285=ORIENTED_EDGE('',*,*,#183593,.T.); #233286=ORIENTED_EDGE('',*,*,#183601,.F.); #233287=ORIENTED_EDGE('',*,*,#183692,.F.); #233288=ORIENTED_EDGE('',*,*,#183693,.T.); #233289=ORIENTED_EDGE('',*,*,#183687,.T.); #233290=ORIENTED_EDGE('',*,*,#183597,.T.); #233291=ORIENTED_EDGE('',*,*,#183595,.F.); #233292=ORIENTED_EDGE('',*,*,#183686,.T.); #233293=ORIENTED_EDGE('',*,*,#183694,.T.); #233294=ORIENTED_EDGE('',*,*,#183695,.T.); #233295=ORIENTED_EDGE('',*,*,#183696,.F.); #233296=ORIENTED_EDGE('',*,*,#183682,.F.); #233297=ORIENTED_EDGE('',*,*,#183685,.T.); #233298=ORIENTED_EDGE('',*,*,#183691,.F.); #233299=ORIENTED_EDGE('',*,*,#183697,.F.); #233300=ORIENTED_EDGE('',*,*,#183698,.F.); #233301=ORIENTED_EDGE('',*,*,#183699,.F.); #233302=ORIENTED_EDGE('',*,*,#183700,.F.); #233303=ORIENTED_EDGE('',*,*,#183701,.F.); #233304=ORIENTED_EDGE('',*,*,#183694,.F.); #233305=ORIENTED_EDGE('',*,*,#183602,.T.); #233306=ORIENTED_EDGE('',*,*,#183702,.T.); #233307=ORIENTED_EDGE('',*,*,#183692,.T.); #233308=ORIENTED_EDGE('',*,*,#183600,.F.); #233309=ORIENTED_EDGE('',*,*,#183703,.T.); #233310=ORIENTED_EDGE('',*,*,#183678,.F.); #233311=ORIENTED_EDGE('',*,*,#183606,.F.); #233312=ORIENTED_EDGE('',*,*,#183605,.F.); #233313=ORIENTED_EDGE('',*,*,#183651,.F.); #233314=ORIENTED_EDGE('',*,*,#183704,.F.); #233315=ORIENTED_EDGE('',*,*,#183688,.T.); #233316=ORIENTED_EDGE('',*,*,#183693,.F.); #233317=ORIENTED_EDGE('',*,*,#183702,.F.); #233318=ORIENTED_EDGE('',*,*,#183705,.F.); #233319=ORIENTED_EDGE('',*,*,#183697,.T.); #233320=ORIENTED_EDGE('',*,*,#183690,.T.); #233321=ORIENTED_EDGE('',*,*,#183591,.F.); #233322=ORIENTED_EDGE('',*,*,#183706,.F.); #233323=ORIENTED_EDGE('',*,*,#183698,.T.); #233324=ORIENTED_EDGE('',*,*,#183705,.T.); #233325=ORIENTED_EDGE('',*,*,#183590,.F.); #233326=ORIENTED_EDGE('',*,*,#183689,.T.); #233327=ORIENTED_EDGE('',*,*,#183704,.T.); #233328=ORIENTED_EDGE('',*,*,#183650,.F.); #233329=ORIENTED_EDGE('',*,*,#183707,.F.); #233330=ORIENTED_EDGE('',*,*,#183708,.T.); #233331=ORIENTED_EDGE('',*,*,#183587,.T.); #233332=ORIENTED_EDGE('',*,*,#183585,.F.); #233333=ORIENTED_EDGE('',*,*,#183701,.T.); #233334=ORIENTED_EDGE('',*,*,#183709,.T.); #233335=ORIENTED_EDGE('',*,*,#183710,.T.); #233336=ORIENTED_EDGE('',*,*,#183576,.F.); #233337=ORIENTED_EDGE('',*,*,#183582,.T.); #233338=ORIENTED_EDGE('',*,*,#183711,.F.); #233339=ORIENTED_EDGE('',*,*,#183695,.F.); #233340=ORIENTED_EDGE('',*,*,#183700,.T.); #233341=ORIENTED_EDGE('',*,*,#183712,.T.); #233342=ORIENTED_EDGE('',*,*,#183713,.T.); #233343=ORIENTED_EDGE('',*,*,#183709,.F.); #233344=ORIENTED_EDGE('',*,*,#183714,.T.); #233345=ORIENTED_EDGE('',*,*,#183715,.T.); #233346=ORIENTED_EDGE('',*,*,#183716,.T.); #233347=ORIENTED_EDGE('',*,*,#183579,.T.); #233348=ORIENTED_EDGE('',*,*,#183717,.T.); #233349=ORIENTED_EDGE('',*,*,#183718,.T.); #233350=ORIENTED_EDGE('',*,*,#183719,.T.); #233351=ORIENTED_EDGE('',*,*,#183720,.F.); #233352=ORIENTED_EDGE('',*,*,#183721,.T.); #233353=ORIENTED_EDGE('',*,*,#183722,.F.); #233354=ORIENTED_EDGE('',*,*,#183723,.F.); #233355=ORIENTED_EDGE('',*,*,#183724,.F.); #233356=ORIENTED_EDGE('',*,*,#183725,.F.); #233357=ORIENTED_EDGE('',*,*,#183718,.F.); #233358=ORIENTED_EDGE('',*,*,#183574,.T.); #233359=ORIENTED_EDGE('',*,*,#183726,.F.); #233360=ORIENTED_EDGE('',*,*,#183727,.F.); #233361=ORIENTED_EDGE('',*,*,#183722,.T.); #233362=ORIENTED_EDGE('',*,*,#183728,.T.); #233363=ORIENTED_EDGE('',*,*,#183714,.F.); #233364=ORIENTED_EDGE('',*,*,#183578,.F.); #233365=ORIENTED_EDGE('',*,*,#183577,.F.); #233366=ORIENTED_EDGE('',*,*,#183710,.F.); #233367=ORIENTED_EDGE('',*,*,#183713,.F.); #233368=ORIENTED_EDGE('',*,*,#183729,.F.); #233369=ORIENTED_EDGE('',*,*,#183730,.T.); #233370=ORIENTED_EDGE('',*,*,#183726,.T.); #233371=ORIENTED_EDGE('',*,*,#183731,.F.); #233372=ORIENTED_EDGE('',*,*,#183723,.T.); #233373=ORIENTED_EDGE('',*,*,#183727,.T.); #233374=ORIENTED_EDGE('',*,*,#183730,.F.); #233375=ORIENTED_EDGE('',*,*,#183588,.T.); #233376=ORIENTED_EDGE('',*,*,#183708,.F.); #233377=ORIENTED_EDGE('',*,*,#183732,.F.); #233378=ORIENTED_EDGE('',*,*,#183555,.T.); #233379=ORIENTED_EDGE('',*,*,#183637,.T.); #233380=ORIENTED_EDGE('',*,*,#183733,.T.); #233381=ORIENTED_EDGE('',*,*,#183734,.F.); #233382=ORIENTED_EDGE('',*,*,#183719,.F.); #233383=ORIENTED_EDGE('',*,*,#183725,.T.); #233384=ORIENTED_EDGE('',*,*,#183735,.T.); #233385=ORIENTED_EDGE('',*,*,#183736,.T.); #233386=ORIENTED_EDGE('',*,*,#183737,.T.); #233387=ORIENTED_EDGE('',*,*,#183738,.T.); #233388=ORIENTED_EDGE('',*,*,#183739,.T.); #233389=ORIENTED_EDGE('',*,*,#183740,.T.); #233390=ORIENTED_EDGE('',*,*,#183626,.T.); #233391=ORIENTED_EDGE('',*,*,#183741,.T.); #233392=ORIENTED_EDGE('',*,*,#183742,.T.); #233393=ORIENTED_EDGE('',*,*,#183548,.F.); #233394=ORIENTED_EDGE('',*,*,#183743,.F.); #233395=ORIENTED_EDGE('',*,*,#183744,.T.); #233396=ORIENTED_EDGE('',*,*,#183745,.F.); #233397=ORIENTED_EDGE('',*,*,#183746,.F.); #233398=ORIENTED_EDGE('',*,*,#183747,.F.); #233399=ORIENTED_EDGE('',*,*,#183549,.F.); #233400=ORIENTED_EDGE('',*,*,#183742,.F.); #233401=ORIENTED_EDGE('',*,*,#183621,.T.); #233402=ORIENTED_EDGE('',*,*,#183748,.F.); #233403=ORIENTED_EDGE('',*,*,#183749,.F.); #233404=ORIENTED_EDGE('',*,*,#183745,.T.); #233405=ORIENTED_EDGE('',*,*,#183750,.T.); #233406=ORIENTED_EDGE('',*,*,#183738,.F.); #233407=ORIENTED_EDGE('',*,*,#183625,.F.); #233408=ORIENTED_EDGE('',*,*,#183624,.F.); #233409=ORIENTED_EDGE('',*,*,#183674,.F.); #233410=ORIENTED_EDGE('',*,*,#183677,.F.); #233411=ORIENTED_EDGE('',*,*,#183751,.F.); #233412=ORIENTED_EDGE('',*,*,#183752,.T.); #233413=ORIENTED_EDGE('',*,*,#183748,.T.); #233414=ORIENTED_EDGE('',*,*,#183753,.F.); #233415=ORIENTED_EDGE('',*,*,#183746,.T.); #233416=ORIENTED_EDGE('',*,*,#183749,.T.); #233417=ORIENTED_EDGE('',*,*,#183752,.F.); #233418=ORIENTED_EDGE('',*,*,#183636,.T.); #233419=ORIENTED_EDGE('',*,*,#183660,.F.); #233420=ORIENTED_EDGE('',*,*,#183754,.F.); #233421=ORIENTED_EDGE('',*,*,#183733,.F.); #233422=ORIENTED_EDGE('',*,*,#183589,.T.); #233423=ORIENTED_EDGE('',*,*,#183553,.F.); #233424=ORIENTED_EDGE('',*,*,#183559,.T.); #233425=ORIENTED_EDGE('',*,*,#183755,.T.); #233426=ORIENTED_EDGE('',*,*,#183756,.F.); #233427=ORIENTED_EDGE('',*,*,#183735,.F.); #233428=ORIENTED_EDGE('',*,*,#183724,.T.); #233429=ORIENTED_EDGE('',*,*,#183731,.T.); #233430=ORIENTED_EDGE('',*,*,#183729,.T.); #233431=ORIENTED_EDGE('',*,*,#183712,.F.); #233432=ORIENTED_EDGE('',*,*,#183699,.T.); #233433=ORIENTED_EDGE('',*,*,#183706,.T.); #233434=ORIENTED_EDGE('',*,*,#183638,.T.); #233435=ORIENTED_EDGE('',*,*,#183737,.F.); #233436=ORIENTED_EDGE('',*,*,#183757,.T.); #233437=ORIENTED_EDGE('',*,*,#183758,.T.); #233438=ORIENTED_EDGE('',*,*,#183557,.F.); #233439=ORIENTED_EDGE('',*,*,#183550,.F.); #233440=ORIENTED_EDGE('',*,*,#183747,.T.); #233441=ORIENTED_EDGE('',*,*,#183753,.T.); #233442=ORIENTED_EDGE('',*,*,#183751,.T.); #233443=ORIENTED_EDGE('',*,*,#183676,.F.); #233444=ORIENTED_EDGE('',*,*,#183667,.T.); #233445=ORIENTED_EDGE('',*,*,#183672,.T.); #233446=ORIENTED_EDGE('',*,*,#183736,.F.); #233447=ORIENTED_EDGE('',*,*,#183756,.T.); #233448=ORIENTED_EDGE('',*,*,#183759,.T.); #233449=ORIENTED_EDGE('',*,*,#183757,.F.); #233450=ORIENTED_EDGE('',*,*,#183759,.F.); #233451=ORIENTED_EDGE('',*,*,#183755,.F.); #233452=ORIENTED_EDGE('',*,*,#183558,.F.); #233453=ORIENTED_EDGE('',*,*,#183758,.F.); #233454=ORIENTED_EDGE('',*,*,#183760,.T.); #233455=ORIENTED_EDGE('',*,*,#183761,.T.); #233456=ORIENTED_EDGE('',*,*,#183609,.T.); #233457=ORIENTED_EDGE('',*,*,#183762,.T.); #233458=ORIENTED_EDGE('',*,*,#183536,.T.); #233459=ORIENTED_EDGE('',*,*,#183681,.F.); #233460=ORIENTED_EDGE('',*,*,#183763,.F.); #233461=ORIENTED_EDGE('',*,*,#183532,.T.); #233462=ORIENTED_EDGE('',*,*,#183511,.T.); #233463=ORIENTED_EDGE('',*,*,#183764,.F.); #233464=ORIENTED_EDGE('',*,*,#183765,.F.); #233465=ORIENTED_EDGE('',*,*,#183679,.F.); #233466=ORIENTED_EDGE('',*,*,#183703,.F.); #233467=ORIENTED_EDGE('',*,*,#183599,.F.); #233468=ORIENTED_EDGE('',*,*,#183766,.F.); #233469=ORIENTED_EDGE('',*,*,#183767,.T.); #233470=ORIENTED_EDGE('',*,*,#183518,.T.); #233471=ORIENTED_EDGE('',*,*,#183534,.F.); #233472=ORIENTED_EDGE('',*,*,#183768,.F.); #233473=ORIENTED_EDGE('',*,*,#183769,.T.); #233474=ORIENTED_EDGE('',*,*,#183514,.T.); #233475=ORIENTED_EDGE('',*,*,#183770,.F.); #233476=ORIENTED_EDGE('',*,*,#183515,.T.); #233477=ORIENTED_EDGE('',*,*,#183769,.F.); #233478=ORIENTED_EDGE('',*,*,#183771,.F.); #233479=ORIENTED_EDGE('',*,*,#183772,.T.); #233480=ORIENTED_EDGE('',*,*,#183529,.F.); #233481=ORIENTED_EDGE('',*,*,#183773,.T.); #233482=ORIENTED_EDGE('',*,*,#183516,.T.); #233483=ORIENTED_EDGE('',*,*,#183772,.F.); #233484=ORIENTED_EDGE('',*,*,#183774,.F.); #233485=ORIENTED_EDGE('',*,*,#183610,.T.); #233486=ORIENTED_EDGE('',*,*,#183761,.F.); #233487=ORIENTED_EDGE('',*,*,#183717,.F.); #233488=ORIENTED_EDGE('',*,*,#183775,.F.); #233489=ORIENTED_EDGE('',*,*,#183526,.T.); #233490=ORIENTED_EDGE('',*,*,#183507,.T.); #233491=ORIENTED_EDGE('',*,*,#183776,.F.); #233492=ORIENTED_EDGE('',*,*,#183521,.F.); #233493=ORIENTED_EDGE('',*,*,#183715,.F.); #233494=ORIENTED_EDGE('',*,*,#183728,.F.); #233495=ORIENTED_EDGE('',*,*,#183721,.F.); #233496=ORIENTED_EDGE('',*,*,#183608,.T.); #233497=ORIENTED_EDGE('',*,*,#183777,.T.); #233498=ORIENTED_EDGE('',*,*,#183765,.T.); #233499=ORIENTED_EDGE('',*,*,#183778,.T.); #233500=ORIENTED_EDGE('',*,*,#183779,.T.); #233501=ORIENTED_EDGE('',*,*,#183780,.T.); #233502=ORIENTED_EDGE('',*,*,#183781,.T.); #233503=ORIENTED_EDGE('',*,*,#183782,.T.); #233504=ORIENTED_EDGE('',*,*,#183783,.T.); #233505=ORIENTED_EDGE('',*,*,#183784,.T.); #233506=ORIENTED_EDGE('',*,*,#183785,.F.); #233507=ORIENTED_EDGE('',*,*,#183786,.F.); #233508=ORIENTED_EDGE('',*,*,#183787,.F.); #233509=ORIENTED_EDGE('',*,*,#183788,.T.); #233510=ORIENTED_EDGE('',*,*,#183789,.F.); #233511=ORIENTED_EDGE('',*,*,#183788,.F.); #233512=ORIENTED_EDGE('',*,*,#183790,.F.); #233513=ORIENTED_EDGE('',*,*,#183791,.T.); #233514=ORIENTED_EDGE('',*,*,#183781,.F.); #233515=ORIENTED_EDGE('',*,*,#183561,.F.); #233516=ORIENTED_EDGE('',*,*,#183569,.T.); #233517=ORIENTED_EDGE('',*,*,#183644,.F.); #233518=ORIENTED_EDGE('',*,*,#183792,.F.); #233519=ORIENTED_EDGE('',*,*,#183782,.F.); #233520=ORIENTED_EDGE('',*,*,#183791,.F.); #233521=ORIENTED_EDGE('',*,*,#183793,.F.); #233522=ORIENTED_EDGE('',*,*,#183648,.F.); #233523=ORIENTED_EDGE('',*,*,#183645,.F.); #233524=ORIENTED_EDGE('',*,*,#183794,.F.); #233525=ORIENTED_EDGE('',*,*,#183795,.T.); #233526=ORIENTED_EDGE('',*,*,#183796,.F.); #233527=ORIENTED_EDGE('',*,*,#183642,.F.); #233528=ORIENTED_EDGE('',*,*,#183567,.F.); #233529=ORIENTED_EDGE('',*,*,#183619,.F.); #233530=ORIENTED_EDGE('',*,*,#183797,.F.); #233531=ORIENTED_EDGE('',*,*,#183795,.F.); #233532=ORIENTED_EDGE('',*,*,#183798,.F.); #233533=ORIENTED_EDGE('',*,*,#183799,.T.); #233534=ORIENTED_EDGE('',*,*,#183800,.F.); #233535=ORIENTED_EDGE('',*,*,#183784,.F.); #233536=ORIENTED_EDGE('',*,*,#183801,.F.); #233537=ORIENTED_EDGE('',*,*,#183799,.F.); #233538=ORIENTED_EDGE('',*,*,#183802,.F.); #233539=ORIENTED_EDGE('',*,*,#183786,.T.); #233540=ORIENTED_EDGE('',*,*,#183803,.F.); #233541=ORIENTED_EDGE('',*,*,#183804,.F.); #233542=ORIENTED_EDGE('',*,*,#183805,.F.); #233543=ORIENTED_EDGE('',*,*,#183628,.T.); #233544=ORIENTED_EDGE('',*,*,#183741,.F.); #233545=ORIENTED_EDGE('',*,*,#183806,.F.); #233546=ORIENTED_EDGE('',*,*,#183804,.T.); #233547=ORIENTED_EDGE('',*,*,#183807,.F.); #233548=ORIENTED_EDGE('',*,*,#183739,.F.); #233549=ORIENTED_EDGE('',*,*,#183750,.F.); #233550=ORIENTED_EDGE('',*,*,#183744,.F.); #233551=ORIENTED_EDGE('',*,*,#183538,.T.); #233552=ORIENTED_EDGE('',*,*,#183556,.T.); #233553=ORIENTED_EDGE('',*,*,#183732,.T.); #233554=ORIENTED_EDGE('',*,*,#183707,.T.); #233555=ORIENTED_EDGE('',*,*,#183649,.T.); #233556=ORIENTED_EDGE('',*,*,#183793,.T.); #233557=ORIENTED_EDGE('',*,*,#183790,.T.); #233558=ORIENTED_EDGE('',*,*,#183787,.T.); #233559=ORIENTED_EDGE('',*,*,#183802,.T.); #233560=ORIENTED_EDGE('',*,*,#183798,.T.); #233561=ORIENTED_EDGE('',*,*,#183794,.T.); #233562=ORIENTED_EDGE('',*,*,#183647,.T.); #233563=ORIENTED_EDGE('',*,*,#183663,.T.); #233564=ORIENTED_EDGE('',*,*,#183675,.T.); #233565=ORIENTED_EDGE('',*,*,#183629,.T.); #233566=ORIENTED_EDGE('',*,*,#183805,.T.); #233567=ORIENTED_EDGE('',*,*,#183806,.T.); #233568=ORIENTED_EDGE('',*,*,#183743,.T.); #233569=ORIENTED_EDGE('',*,*,#183547,.T.); #233570=ORIENTED_EDGE('',*,*,#183544,.T.); #233571=ORIENTED_EDGE('',*,*,#183546,.T.); #233572=ORIENTED_EDGE('',*,*,#183763,.T.); #233573=ORIENTED_EDGE('',*,*,#183683,.T.); #233574=ORIENTED_EDGE('',*,*,#183696,.T.); #233575=ORIENTED_EDGE('',*,*,#183711,.T.); #233576=ORIENTED_EDGE('',*,*,#183581,.T.); #233577=ORIENTED_EDGE('',*,*,#183527,.T.); #233578=ORIENTED_EDGE('',*,*,#183775,.T.); #233579=ORIENTED_EDGE('',*,*,#183720,.T.); #233580=ORIENTED_EDGE('',*,*,#183734,.T.); #233581=ORIENTED_EDGE('',*,*,#183754,.T.); #233582=ORIENTED_EDGE('',*,*,#183659,.T.); #233583=ORIENTED_EDGE('',*,*,#183652,.T.); #233584=ORIENTED_EDGE('',*,*,#183611,.T.); #233585=ORIENTED_EDGE('',*,*,#183774,.T.); #233586=ORIENTED_EDGE('',*,*,#183771,.T.); #233587=ORIENTED_EDGE('',*,*,#183768,.T.); #233588=ORIENTED_EDGE('',*,*,#183533,.T.); #233589=ORIENTED_EDGE('',*,*,#183766,.T.); #233590=ORIENTED_EDGE('',*,*,#183808,.T.); #233591=ORIENTED_EDGE('',*,*,#183809,.T.); #233592=ORIENTED_EDGE('',*,*,#183530,.F.); #233593=ORIENTED_EDGE('',*,*,#183760,.F.); #233594=ORIENTED_EDGE('',*,*,#183535,.F.); #233595=ORIENTED_EDGE('',*,*,#183519,.T.); #233596=ORIENTED_EDGE('',*,*,#183767,.F.); #233597=ORIENTED_EDGE('',*,*,#183809,.F.); #233598=ORIENTED_EDGE('',*,*,#183810,.T.); #233599=ORIENTED_EDGE('',*,*,#183811,.F.); #233600=ORIENTED_EDGE('',*,*,#183740,.F.); #233601=ORIENTED_EDGE('',*,*,#183812,.F.); #233602=ORIENTED_EDGE('',*,*,#183810,.F.); #233603=ORIENTED_EDGE('',*,*,#183808,.F.); #233604=ORIENTED_EDGE('',*,*,#183770,.T.); #233605=ORIENTED_EDGE('',*,*,#183517,.T.); #233606=ORIENTED_EDGE('',*,*,#183773,.F.); #233607=ORIENTED_EDGE('',*,*,#183627,.T.); #233608=ORIENTED_EDGE('',*,*,#183811,.T.); #233609=ORIENTED_EDGE('',*,*,#183812,.T.); #233610=ORIENTED_EDGE('',*,*,#183807,.T.); #233611=ORIENTED_EDGE('',*,*,#183803,.T.); #233612=ORIENTED_EDGE('',*,*,#183797,.T.); #233613=ORIENTED_EDGE('',*,*,#183813,.T.); #233614=ORIENTED_EDGE('',*,*,#183796,.T.); #233615=ORIENTED_EDGE('',*,*,#183792,.T.); #233616=ORIENTED_EDGE('',*,*,#183814,.T.); #233617=ORIENTED_EDGE('',*,*,#183815,.T.); #233618=ORIENTED_EDGE('',*,*,#183762,.F.); #233619=ORIENTED_EDGE('',*,*,#183777,.F.); #233620=ORIENTED_EDGE('',*,*,#183680,.F.); #233621=ORIENTED_EDGE('',*,*,#183779,.F.); #233622=ORIENTED_EDGE('',*,*,#183816,.T.); #233623=ORIENTED_EDGE('',*,*,#183814,.F.); #233624=ORIENTED_EDGE('',*,*,#183643,.F.); #233625=ORIENTED_EDGE('',*,*,#183813,.F.); #233626=ORIENTED_EDGE('',*,*,#183783,.F.); #233627=ORIENTED_EDGE('',*,*,#183815,.F.); #233628=ORIENTED_EDGE('',*,*,#183816,.F.); #233629=ORIENTED_EDGE('',*,*,#183778,.F.); #233630=ORIENTED_EDGE('',*,*,#183764,.T.); #233631=ORIENTED_EDGE('',*,*,#183513,.T.); #233632=ORIENTED_EDGE('',*,*,#183531,.F.); #233633=ORIENTED_EDGE('',*,*,#183524,.F.); #233634=ORIENTED_EDGE('',*,*,#183716,.F.); #233635=ORIENTED_EDGE('',*,*,#183522,.F.); #233636=ORIENTED_EDGE('',*,*,#183776,.T.); #233637=ORIENTED_EDGE('',*,*,#183510,.T.); #233638=ORIENTED_EDGE('',*,*,#183580,.F.); #233639=ORIENTED_EDGE('',*,*,#183525,.F.); #233640=ORIENTED_EDGE('',*,*,#183817,.T.); #233641=ORIENTED_EDGE('',*,*,#183818,.F.); #233642=ORIENTED_EDGE('',*,*,#183780,.F.); #233643=ORIENTED_EDGE('',*,*,#183819,.F.); #233644=ORIENTED_EDGE('',*,*,#183817,.F.); #233645=ORIENTED_EDGE('',*,*,#183789,.T.); #233646=ORIENTED_EDGE('',*,*,#183818,.T.); #233647=ORIENTED_EDGE('',*,*,#183819,.T.); #233648=ORIENTED_EDGE('',*,*,#183800,.T.); #233649=ORIENTED_EDGE('',*,*,#183801,.T.); #233650=ORIENTED_EDGE('',*,*,#183785,.T.); #233651=ORIENTED_EDGE('',*,*,#183820,.F.); #233652=ORIENTED_EDGE('',*,*,#183821,.T.); #233653=ORIENTED_EDGE('',*,*,#183822,.T.); #233654=ORIENTED_EDGE('',*,*,#183823,.T.); #233655=ORIENTED_EDGE('',*,*,#183824,.F.); #233656=ORIENTED_EDGE('',*,*,#183823,.F.); #233657=ORIENTED_EDGE('',*,*,#183825,.T.); #233658=ORIENTED_EDGE('',*,*,#183826,.T.); #233659=ORIENTED_EDGE('',*,*,#183827,.T.); #233660=ORIENTED_EDGE('',*,*,#183828,.T.); #233661=ORIENTED_EDGE('',*,*,#183829,.F.); #233662=ORIENTED_EDGE('',*,*,#183826,.F.); #233663=ORIENTED_EDGE('',*,*,#183829,.T.); #233664=ORIENTED_EDGE('',*,*,#183830,.F.); #233665=ORIENTED_EDGE('',*,*,#183831,.F.); #233666=ORIENTED_EDGE('',*,*,#183832,.T.); #233667=ORIENTED_EDGE('',*,*,#183833,.T.); #233668=ORIENTED_EDGE('',*,*,#183834,.F.); #233669=ORIENTED_EDGE('',*,*,#183820,.T.); #233670=ORIENTED_EDGE('',*,*,#183824,.T.); #233671=ORIENTED_EDGE('',*,*,#183835,.T.); #233672=ORIENTED_EDGE('',*,*,#183836,.F.); #233673=ORIENTED_EDGE('',*,*,#183837,.T.); #233674=ORIENTED_EDGE('',*,*,#183838,.F.); #233675=ORIENTED_EDGE('',*,*,#183839,.T.); #233676=ORIENTED_EDGE('',*,*,#183840,.T.); #233677=ORIENTED_EDGE('',*,*,#183841,.T.); #233678=ORIENTED_EDGE('',*,*,#183842,.T.); #233679=ORIENTED_EDGE('',*,*,#183843,.T.); #233680=ORIENTED_EDGE('',*,*,#183844,.T.); #233681=ORIENTED_EDGE('',*,*,#183845,.T.); #233682=ORIENTED_EDGE('',*,*,#183821,.F.); #233683=ORIENTED_EDGE('',*,*,#183834,.T.); #233684=ORIENTED_EDGE('',*,*,#183846,.T.); #233685=ORIENTED_EDGE('',*,*,#183847,.T.); #233686=ORIENTED_EDGE('',*,*,#183848,.T.); #233687=ORIENTED_EDGE('',*,*,#183849,.T.); #233688=ORIENTED_EDGE('',*,*,#183850,.T.); #233689=ORIENTED_EDGE('',*,*,#183830,.T.); #233690=ORIENTED_EDGE('',*,*,#183828,.F.); #233691=ORIENTED_EDGE('',*,*,#183822,.F.); #233692=ORIENTED_EDGE('',*,*,#183845,.F.); #233693=ORIENTED_EDGE('',*,*,#183827,.F.); #233694=ORIENTED_EDGE('',*,*,#183825,.F.); #233695=ORIENTED_EDGE('',*,*,#183851,.T.); #233696=ORIENTED_EDGE('',*,*,#183852,.T.); #233697=ORIENTED_EDGE('',*,*,#183838,.T.); #233698=ORIENTED_EDGE('',*,*,#183853,.F.); #233699=ORIENTED_EDGE('',*,*,#183852,.F.); #233700=ORIENTED_EDGE('',*,*,#183854,.F.); #233701=ORIENTED_EDGE('',*,*,#183855,.T.); #233702=ORIENTED_EDGE('',*,*,#183839,.F.); #233703=ORIENTED_EDGE('',*,*,#183836,.T.); #233704=ORIENTED_EDGE('',*,*,#183856,.T.); #233705=ORIENTED_EDGE('',*,*,#183857,.T.); #233706=ORIENTED_EDGE('',*,*,#183858,.T.); #233707=ORIENTED_EDGE('',*,*,#183856,.F.); #233708=ORIENTED_EDGE('',*,*,#183835,.F.); #233709=ORIENTED_EDGE('',*,*,#183859,.T.); #233710=ORIENTED_EDGE('',*,*,#183860,.F.); #233711=ORIENTED_EDGE('',*,*,#183861,.F.); #233712=ORIENTED_EDGE('',*,*,#183862,.F.); #233713=ORIENTED_EDGE('',*,*,#183863,.F.); #233714=ORIENTED_EDGE('',*,*,#183832,.F.); #233715=ORIENTED_EDGE('',*,*,#183864,.F.); #233716=ORIENTED_EDGE('',*,*,#183865,.F.); #233717=ORIENTED_EDGE('',*,*,#183854,.T.); #233718=ORIENTED_EDGE('',*,*,#183851,.F.); #233719=ORIENTED_EDGE('',*,*,#183866,.T.); #233720=ORIENTED_EDGE('',*,*,#183857,.F.); #233721=ORIENTED_EDGE('',*,*,#183860,.T.); #233722=ORIENTED_EDGE('',*,*,#183867,.T.); #233723=ORIENTED_EDGE('',*,*,#183868,.T.); #233724=ORIENTED_EDGE('',*,*,#183869,.T.); #233725=ORIENTED_EDGE('',*,*,#183870,.T.); #233726=ORIENTED_EDGE('',*,*,#183871,.T.); #233727=ORIENTED_EDGE('',*,*,#183861,.T.); #233728=ORIENTED_EDGE('',*,*,#183872,.T.); #233729=ORIENTED_EDGE('',*,*,#183848,.F.); #233730=ORIENTED_EDGE('',*,*,#183873,.F.); #233731=ORIENTED_EDGE('',*,*,#183865,.T.); #233732=ORIENTED_EDGE('',*,*,#183874,.F.); #233733=ORIENTED_EDGE('',*,*,#183849,.F.); #233734=ORIENTED_EDGE('',*,*,#183872,.F.); #233735=ORIENTED_EDGE('',*,*,#183864,.T.); #233736=ORIENTED_EDGE('',*,*,#183831,.T.); #233737=ORIENTED_EDGE('',*,*,#183850,.F.); #233738=ORIENTED_EDGE('',*,*,#183874,.T.); #233739=ORIENTED_EDGE('',*,*,#183875,.T.); #233740=ORIENTED_EDGE('',*,*,#183841,.F.); #233741=ORIENTED_EDGE('',*,*,#183876,.F.); #233742=ORIENTED_EDGE('',*,*,#183870,.F.); #233743=ORIENTED_EDGE('',*,*,#183877,.T.); #233744=ORIENTED_EDGE('',*,*,#183842,.F.); #233745=ORIENTED_EDGE('',*,*,#183875,.F.); #233746=ORIENTED_EDGE('',*,*,#183869,.F.); #233747=ORIENTED_EDGE('',*,*,#183878,.T.); #233748=ORIENTED_EDGE('',*,*,#183843,.F.); #233749=ORIENTED_EDGE('',*,*,#183877,.F.); #233750=ORIENTED_EDGE('',*,*,#183868,.F.); #233751=ORIENTED_EDGE('',*,*,#183859,.F.); #233752=ORIENTED_EDGE('',*,*,#183844,.F.); #233753=ORIENTED_EDGE('',*,*,#183878,.F.); #233754=ORIENTED_EDGE('',*,*,#183867,.F.); #233755=ORIENTED_EDGE('',*,*,#183863,.T.); #233756=ORIENTED_EDGE('',*,*,#183879,.T.); #233757=ORIENTED_EDGE('',*,*,#183846,.F.); #233758=ORIENTED_EDGE('',*,*,#183833,.F.); #233759=ORIENTED_EDGE('',*,*,#183862,.T.); #233760=ORIENTED_EDGE('',*,*,#183873,.T.); #233761=ORIENTED_EDGE('',*,*,#183847,.F.); #233762=ORIENTED_EDGE('',*,*,#183879,.F.); #233763=ORIENTED_EDGE('',*,*,#183853,.T.); #233764=ORIENTED_EDGE('',*,*,#183837,.F.); #233765=ORIENTED_EDGE('',*,*,#183858,.F.); #233766=ORIENTED_EDGE('',*,*,#183866,.F.); #233767=ORIENTED_EDGE('',*,*,#183876,.T.); #233768=ORIENTED_EDGE('',*,*,#183840,.F.); #233769=ORIENTED_EDGE('',*,*,#183855,.F.); #233770=ORIENTED_EDGE('',*,*,#183871,.F.); #233771=ORIENTED_EDGE('',*,*,#183880,.T.); #233772=ORIENTED_EDGE('',*,*,#183881,.F.); #233773=ORIENTED_EDGE('',*,*,#183882,.T.); #233774=ORIENTED_EDGE('',*,*,#183883,.T.); #233775=ORIENTED_EDGE('',*,*,#183883,.F.); #233776=ORIENTED_EDGE('',*,*,#183884,.F.); #233777=ORIENTED_EDGE('',*,*,#183885,.T.); #233778=ORIENTED_EDGE('',*,*,#183886,.F.); #233779=ORIENTED_EDGE('',*,*,#183882,.F.); #233780=ORIENTED_EDGE('',*,*,#183887,.T.); #233781=ORIENTED_EDGE('',*,*,#183888,.T.); #233782=ORIENTED_EDGE('',*,*,#183889,.T.); #233783=ORIENTED_EDGE('',*,*,#183890,.T.); #233784=ORIENTED_EDGE('',*,*,#183891,.T.); #233785=ORIENTED_EDGE('',*,*,#183892,.T.); #233786=ORIENTED_EDGE('',*,*,#183893,.T.); #233787=ORIENTED_EDGE('',*,*,#183894,.F.); #233788=ORIENTED_EDGE('',*,*,#183895,.T.); #233789=ORIENTED_EDGE('',*,*,#183896,.T.); #233790=ORIENTED_EDGE('',*,*,#183897,.T.); #233791=ORIENTED_EDGE('',*,*,#183898,.T.); #233792=ORIENTED_EDGE('',*,*,#183884,.T.); #233793=ORIENTED_EDGE('',*,*,#183899,.T.); #233794=ORIENTED_EDGE('',*,*,#183900,.T.); #233795=ORIENTED_EDGE('',*,*,#183894,.T.); #233796=ORIENTED_EDGE('',*,*,#183901,.T.); #233797=ORIENTED_EDGE('',*,*,#183900,.F.); #233798=ORIENTED_EDGE('',*,*,#183902,.F.); #233799=ORIENTED_EDGE('',*,*,#183903,.T.); #233800=ORIENTED_EDGE('',*,*,#183895,.F.); #233801=ORIENTED_EDGE('',*,*,#183897,.F.); #233802=ORIENTED_EDGE('',*,*,#183904,.T.); #233803=ORIENTED_EDGE('',*,*,#183905,.F.); #233804=ORIENTED_EDGE('',*,*,#183906,.F.); #233805=ORIENTED_EDGE('',*,*,#183898,.F.); #233806=ORIENTED_EDGE('',*,*,#183906,.T.); #233807=ORIENTED_EDGE('',*,*,#183907,.F.); #233808=ORIENTED_EDGE('',*,*,#183885,.F.); #233809=ORIENTED_EDGE('',*,*,#183896,.F.); #233810=ORIENTED_EDGE('',*,*,#183903,.F.); #233811=ORIENTED_EDGE('',*,*,#183908,.F.); #233812=ORIENTED_EDGE('',*,*,#183904,.F.); #233813=ORIENTED_EDGE('',*,*,#183887,.F.); #233814=ORIENTED_EDGE('',*,*,#183881,.T.); #233815=ORIENTED_EDGE('',*,*,#183909,.F.); #233816=ORIENTED_EDGE('',*,*,#183910,.F.); #233817=ORIENTED_EDGE('',*,*,#183888,.F.); #233818=ORIENTED_EDGE('',*,*,#183910,.T.); #233819=ORIENTED_EDGE('',*,*,#183911,.F.); #233820=ORIENTED_EDGE('',*,*,#183912,.F.); #233821=ORIENTED_EDGE('',*,*,#183889,.F.); #233822=ORIENTED_EDGE('',*,*,#183912,.T.); #233823=ORIENTED_EDGE('',*,*,#183913,.F.); #233824=ORIENTED_EDGE('',*,*,#183914,.F.); #233825=ORIENTED_EDGE('',*,*,#183890,.F.); #233826=ORIENTED_EDGE('',*,*,#183914,.T.); #233827=ORIENTED_EDGE('',*,*,#183915,.F.); #233828=ORIENTED_EDGE('',*,*,#183916,.F.); #233829=ORIENTED_EDGE('',*,*,#183891,.F.); #233830=ORIENTED_EDGE('',*,*,#183916,.T.); #233831=ORIENTED_EDGE('',*,*,#183917,.F.); #233832=ORIENTED_EDGE('',*,*,#183918,.F.); #233833=ORIENTED_EDGE('',*,*,#183892,.F.); #233834=ORIENTED_EDGE('',*,*,#183918,.T.); #233835=ORIENTED_EDGE('',*,*,#183919,.F.); #233836=ORIENTED_EDGE('',*,*,#183920,.F.); #233837=ORIENTED_EDGE('',*,*,#183893,.F.); #233838=ORIENTED_EDGE('',*,*,#183920,.T.); #233839=ORIENTED_EDGE('',*,*,#183921,.F.); #233840=ORIENTED_EDGE('',*,*,#183901,.F.); #233841=ORIENTED_EDGE('',*,*,#183880,.F.); #233842=ORIENTED_EDGE('',*,*,#183886,.T.); #233843=ORIENTED_EDGE('',*,*,#183907,.T.); #233844=ORIENTED_EDGE('',*,*,#183905,.T.); #233845=ORIENTED_EDGE('',*,*,#183908,.T.); #233846=ORIENTED_EDGE('',*,*,#183902,.T.); #233847=ORIENTED_EDGE('',*,*,#183899,.F.); #233848=ORIENTED_EDGE('',*,*,#183921,.T.); #233849=ORIENTED_EDGE('',*,*,#183919,.T.); #233850=ORIENTED_EDGE('',*,*,#183917,.T.); #233851=ORIENTED_EDGE('',*,*,#183915,.T.); #233852=ORIENTED_EDGE('',*,*,#183913,.T.); #233853=ORIENTED_EDGE('',*,*,#183911,.T.); #233854=ORIENTED_EDGE('',*,*,#183909,.T.); #233855=ORIENTED_EDGE('',*,*,#183922,.T.); #233856=ORIENTED_EDGE('',*,*,#183923,.F.); #233857=ORIENTED_EDGE('',*,*,#183924,.F.); #233858=ORIENTED_EDGE('',*,*,#183925,.T.); #233859=ORIENTED_EDGE('',*,*,#183926,.T.); #233860=ORIENTED_EDGE('',*,*,#183927,.T.); #233861=ORIENTED_EDGE('',*,*,#183928,.T.); #233862=ORIENTED_EDGE('',*,*,#183929,.F.); #233863=ORIENTED_EDGE('',*,*,#183930,.F.); #233864=ORIENTED_EDGE('',*,*,#183931,.F.); #233865=ORIENTED_EDGE('',*,*,#183932,.F.); #233866=ORIENTED_EDGE('',*,*,#183933,.F.); #233867=ORIENTED_EDGE('',*,*,#183934,.F.); #233868=ORIENTED_EDGE('',*,*,#183935,.F.); #233869=ORIENTED_EDGE('',*,*,#183936,.F.); #233870=ORIENTED_EDGE('',*,*,#183937,.F.); #233871=ORIENTED_EDGE('',*,*,#183938,.F.); #233872=ORIENTED_EDGE('',*,*,#183939,.F.); #233873=ORIENTED_EDGE('',*,*,#183940,.F.); #233874=ORIENTED_EDGE('',*,*,#183941,.F.); #233875=ORIENTED_EDGE('',*,*,#183928,.F.); #233876=ORIENTED_EDGE('',*,*,#183940,.T.); #233877=ORIENTED_EDGE('',*,*,#183942,.T.); #233878=ORIENTED_EDGE('',*,*,#183943,.F.); #233879=ORIENTED_EDGE('',*,*,#183944,.F.); #233880=ORIENTED_EDGE('',*,*,#183945,.F.); #233881=ORIENTED_EDGE('',*,*,#183939,.T.); #233882=ORIENTED_EDGE('',*,*,#183946,.T.); #233883=ORIENTED_EDGE('',*,*,#183947,.F.); #233884=ORIENTED_EDGE('',*,*,#183948,.F.); #233885=ORIENTED_EDGE('',*,*,#183942,.F.); #233886=ORIENTED_EDGE('',*,*,#183938,.T.); #233887=ORIENTED_EDGE('',*,*,#183949,.T.); #233888=ORIENTED_EDGE('',*,*,#183950,.F.); #233889=ORIENTED_EDGE('',*,*,#183951,.F.); #233890=ORIENTED_EDGE('',*,*,#183946,.F.); #233891=ORIENTED_EDGE('',*,*,#183937,.T.); #233892=ORIENTED_EDGE('',*,*,#183952,.T.); #233893=ORIENTED_EDGE('',*,*,#183953,.F.); #233894=ORIENTED_EDGE('',*,*,#183954,.F.); #233895=ORIENTED_EDGE('',*,*,#183949,.F.); #233896=ORIENTED_EDGE('',*,*,#183936,.T.); #233897=ORIENTED_EDGE('',*,*,#183955,.T.); #233898=ORIENTED_EDGE('',*,*,#183956,.F.); #233899=ORIENTED_EDGE('',*,*,#183957,.F.); #233900=ORIENTED_EDGE('',*,*,#183952,.F.); #233901=ORIENTED_EDGE('',*,*,#183935,.T.); #233902=ORIENTED_EDGE('',*,*,#183958,.T.); #233903=ORIENTED_EDGE('',*,*,#183959,.F.); #233904=ORIENTED_EDGE('',*,*,#183960,.F.); #233905=ORIENTED_EDGE('',*,*,#183955,.F.); #233906=ORIENTED_EDGE('',*,*,#183934,.T.); #233907=ORIENTED_EDGE('',*,*,#183961,.T.); #233908=ORIENTED_EDGE('',*,*,#183962,.F.); #233909=ORIENTED_EDGE('',*,*,#183963,.F.); #233910=ORIENTED_EDGE('',*,*,#183958,.F.); #233911=ORIENTED_EDGE('',*,*,#183933,.T.); #233912=ORIENTED_EDGE('',*,*,#183964,.T.); #233913=ORIENTED_EDGE('',*,*,#183965,.F.); #233914=ORIENTED_EDGE('',*,*,#183966,.F.); #233915=ORIENTED_EDGE('',*,*,#183961,.F.); #233916=ORIENTED_EDGE('',*,*,#183932,.T.); #233917=ORIENTED_EDGE('',*,*,#183967,.T.); #233918=ORIENTED_EDGE('',*,*,#183968,.F.); #233919=ORIENTED_EDGE('',*,*,#183969,.F.); #233920=ORIENTED_EDGE('',*,*,#183964,.F.); #233921=ORIENTED_EDGE('',*,*,#183931,.T.); #233922=ORIENTED_EDGE('',*,*,#183970,.T.); #233923=ORIENTED_EDGE('',*,*,#183971,.F.); #233924=ORIENTED_EDGE('',*,*,#183972,.F.); #233925=ORIENTED_EDGE('',*,*,#183967,.F.); #233926=ORIENTED_EDGE('',*,*,#183930,.T.); #233927=ORIENTED_EDGE('',*,*,#183973,.T.); #233928=ORIENTED_EDGE('',*,*,#183974,.F.); #233929=ORIENTED_EDGE('',*,*,#183975,.F.); #233930=ORIENTED_EDGE('',*,*,#183970,.F.); #233931=ORIENTED_EDGE('',*,*,#183976,.F.); #233932=ORIENTED_EDGE('',*,*,#183977,.T.); #233933=ORIENTED_EDGE('',*,*,#183927,.F.); #233934=ORIENTED_EDGE('',*,*,#183977,.F.); #233935=ORIENTED_EDGE('',*,*,#183951,.T.); #233936=ORIENTED_EDGE('',*,*,#183978,.T.); #233937=ORIENTED_EDGE('',*,*,#183979,.T.); #233938=ORIENTED_EDGE('',*,*,#183980,.T.); #233939=ORIENTED_EDGE('',*,*,#183947,.T.); #233940=ORIENTED_EDGE('',*,*,#183979,.F.); #233941=ORIENTED_EDGE('',*,*,#183948,.T.); #233942=ORIENTED_EDGE('',*,*,#183981,.T.); #233943=ORIENTED_EDGE('',*,*,#183982,.T.); #233944=ORIENTED_EDGE('',*,*,#183983,.T.); #233945=ORIENTED_EDGE('',*,*,#183943,.T.); #233946=ORIENTED_EDGE('',*,*,#183982,.F.); #233947=ORIENTED_EDGE('',*,*,#183944,.T.); #233948=ORIENTED_EDGE('',*,*,#183984,.T.); #233949=ORIENTED_EDGE('',*,*,#183985,.T.); #233950=ORIENTED_EDGE('',*,*,#183986,.T.); #233951=ORIENTED_EDGE('',*,*,#183987,.T.); #233952=ORIENTED_EDGE('',*,*,#183985,.F.); #233953=ORIENTED_EDGE('',*,*,#183929,.T.); #233954=ORIENTED_EDGE('',*,*,#183941,.T.); #233955=ORIENTED_EDGE('',*,*,#183945,.T.); #233956=ORIENTED_EDGE('',*,*,#183987,.F.); #233957=ORIENTED_EDGE('',*,*,#183988,.F.); #233958=ORIENTED_EDGE('',*,*,#183973,.F.); #233959=ORIENTED_EDGE('',*,*,#183988,.T.); #233960=ORIENTED_EDGE('',*,*,#183989,.T.); #233961=ORIENTED_EDGE('',*,*,#183990,.T.); #233962=ORIENTED_EDGE('',*,*,#183991,.T.); #233963=ORIENTED_EDGE('',*,*,#183974,.T.); #233964=ORIENTED_EDGE('',*,*,#183990,.F.); #233965=ORIENTED_EDGE('',*,*,#183975,.T.); #233966=ORIENTED_EDGE('',*,*,#183992,.T.); #233967=ORIENTED_EDGE('',*,*,#183993,.T.); #233968=ORIENTED_EDGE('',*,*,#183994,.T.); #233969=ORIENTED_EDGE('',*,*,#183971,.T.); #233970=ORIENTED_EDGE('',*,*,#183993,.F.); #233971=ORIENTED_EDGE('',*,*,#183972,.T.); #233972=ORIENTED_EDGE('',*,*,#183995,.T.); #233973=ORIENTED_EDGE('',*,*,#183996,.T.); #233974=ORIENTED_EDGE('',*,*,#183997,.T.); #233975=ORIENTED_EDGE('',*,*,#183968,.T.); #233976=ORIENTED_EDGE('',*,*,#183996,.F.); #233977=ORIENTED_EDGE('',*,*,#183969,.T.); #233978=ORIENTED_EDGE('',*,*,#183998,.T.); #233979=ORIENTED_EDGE('',*,*,#183999,.T.); #233980=ORIENTED_EDGE('',*,*,#184000,.T.); #233981=ORIENTED_EDGE('',*,*,#183965,.T.); #233982=ORIENTED_EDGE('',*,*,#183999,.F.); #233983=ORIENTED_EDGE('',*,*,#183966,.T.); #233984=ORIENTED_EDGE('',*,*,#184001,.T.); #233985=ORIENTED_EDGE('',*,*,#184002,.T.); #233986=ORIENTED_EDGE('',*,*,#184003,.T.); #233987=ORIENTED_EDGE('',*,*,#183962,.T.); #233988=ORIENTED_EDGE('',*,*,#184002,.F.); #233989=ORIENTED_EDGE('',*,*,#183963,.T.); #233990=ORIENTED_EDGE('',*,*,#184004,.T.); #233991=ORIENTED_EDGE('',*,*,#184005,.T.); #233992=ORIENTED_EDGE('',*,*,#184006,.T.); #233993=ORIENTED_EDGE('',*,*,#183959,.T.); #233994=ORIENTED_EDGE('',*,*,#184005,.F.); #233995=ORIENTED_EDGE('',*,*,#183960,.T.); #233996=ORIENTED_EDGE('',*,*,#184007,.T.); #233997=ORIENTED_EDGE('',*,*,#184008,.T.); #233998=ORIENTED_EDGE('',*,*,#184009,.T.); #233999=ORIENTED_EDGE('',*,*,#183956,.T.); #234000=ORIENTED_EDGE('',*,*,#184008,.F.); #234001=ORIENTED_EDGE('',*,*,#183957,.T.); #234002=ORIENTED_EDGE('',*,*,#184010,.T.); #234003=ORIENTED_EDGE('',*,*,#184011,.T.); #234004=ORIENTED_EDGE('',*,*,#184012,.T.); #234005=ORIENTED_EDGE('',*,*,#183953,.T.); #234006=ORIENTED_EDGE('',*,*,#184011,.F.); #234007=ORIENTED_EDGE('',*,*,#183954,.T.); #234008=ORIENTED_EDGE('',*,*,#184013,.T.); #234009=ORIENTED_EDGE('',*,*,#184014,.T.); #234010=ORIENTED_EDGE('',*,*,#184015,.F.); #234011=ORIENTED_EDGE('',*,*,#183950,.T.); #234012=ORIENTED_EDGE('',*,*,#184014,.F.); #234013=ORIENTED_EDGE('',*,*,#183981,.F.); #234014=ORIENTED_EDGE('',*,*,#183980,.F.); #234015=ORIENTED_EDGE('',*,*,#183978,.F.); #234016=ORIENTED_EDGE('',*,*,#184015,.T.); #234017=ORIENTED_EDGE('',*,*,#184013,.F.); #234018=ORIENTED_EDGE('',*,*,#184012,.F.); #234019=ORIENTED_EDGE('',*,*,#184010,.F.); #234020=ORIENTED_EDGE('',*,*,#184009,.F.); #234021=ORIENTED_EDGE('',*,*,#184007,.F.); #234022=ORIENTED_EDGE('',*,*,#184006,.F.); #234023=ORIENTED_EDGE('',*,*,#184004,.F.); #234024=ORIENTED_EDGE('',*,*,#184003,.F.); #234025=ORIENTED_EDGE('',*,*,#184001,.F.); #234026=ORIENTED_EDGE('',*,*,#184000,.F.); #234027=ORIENTED_EDGE('',*,*,#183998,.F.); #234028=ORIENTED_EDGE('',*,*,#183997,.F.); #234029=ORIENTED_EDGE('',*,*,#183995,.F.); #234030=ORIENTED_EDGE('',*,*,#183994,.F.); #234031=ORIENTED_EDGE('',*,*,#183992,.F.); #234032=ORIENTED_EDGE('',*,*,#183991,.F.); #234033=ORIENTED_EDGE('',*,*,#183989,.F.); #234034=ORIENTED_EDGE('',*,*,#184016,.T.); #234035=ORIENTED_EDGE('',*,*,#184017,.F.); #234036=ORIENTED_EDGE('',*,*,#184016,.F.); #234037=ORIENTED_EDGE('',*,*,#183986,.F.); #234038=ORIENTED_EDGE('',*,*,#183984,.F.); #234039=ORIENTED_EDGE('',*,*,#183983,.F.); #234040=ORIENTED_EDGE('',*,*,#184018,.T.); #234041=ORIENTED_EDGE('',*,*,#184019,.T.); #234042=ORIENTED_EDGE('',*,*,#184020,.T.); #234043=ORIENTED_EDGE('',*,*,#184021,.T.); #234044=ORIENTED_EDGE('',*,*,#184020,.F.); #234045=ORIENTED_EDGE('',*,*,#184022,.T.); #234046=ORIENTED_EDGE('',*,*,#184023,.T.); #234047=ORIENTED_EDGE('',*,*,#184024,.T.); #234048=ORIENTED_EDGE('',*,*,#184025,.T.); #234049=ORIENTED_EDGE('',*,*,#184026,.T.); #234050=ORIENTED_EDGE('',*,*,#184023,.F.); #234051=ORIENTED_EDGE('',*,*,#184027,.T.); #234052=ORIENTED_EDGE('',*,*,#184025,.F.); #234053=ORIENTED_EDGE('',*,*,#184028,.T.); #234054=ORIENTED_EDGE('',*,*,#184029,.T.); #234055=ORIENTED_EDGE('',*,*,#184030,.T.); #234056=ORIENTED_EDGE('',*,*,#184031,.T.); #234057=ORIENTED_EDGE('',*,*,#184032,.T.); #234058=ORIENTED_EDGE('',*,*,#184029,.F.); #234059=ORIENTED_EDGE('',*,*,#184033,.T.); #234060=ORIENTED_EDGE('',*,*,#184031,.F.); #234061=ORIENTED_EDGE('',*,*,#184034,.T.); #234062=ORIENTED_EDGE('',*,*,#184035,.T.); #234063=ORIENTED_EDGE('',*,*,#184036,.T.); #234064=ORIENTED_EDGE('',*,*,#184037,.T.); #234065=ORIENTED_EDGE('',*,*,#184038,.T.); #234066=ORIENTED_EDGE('',*,*,#184035,.F.); #234067=ORIENTED_EDGE('',*,*,#184039,.T.); #234068=ORIENTED_EDGE('',*,*,#184039,.F.); #234069=ORIENTED_EDGE('',*,*,#184034,.F.); #234070=ORIENTED_EDGE('',*,*,#184033,.F.); #234071=ORIENTED_EDGE('',*,*,#184028,.F.); #234072=ORIENTED_EDGE('',*,*,#184027,.F.); #234073=ORIENTED_EDGE('',*,*,#184022,.F.); #234074=ORIENTED_EDGE('',*,*,#184019,.F.); #234075=ORIENTED_EDGE('',*,*,#184040,.F.); #234076=ORIENTED_EDGE('',*,*,#184041,.F.); #234077=ORIENTED_EDGE('',*,*,#184042,.F.); #234078=ORIENTED_EDGE('',*,*,#184043,.F.); #234079=ORIENTED_EDGE('',*,*,#184044,.F.); #234080=ORIENTED_EDGE('',*,*,#184045,.F.); #234081=ORIENTED_EDGE('',*,*,#184046,.F.); #234082=ORIENTED_EDGE('',*,*,#184047,.F.); #234083=ORIENTED_EDGE('',*,*,#184048,.F.); #234084=ORIENTED_EDGE('',*,*,#184049,.T.); #234085=ORIENTED_EDGE('',*,*,#184047,.T.); #234086=ORIENTED_EDGE('',*,*,#184050,.T.); #234087=ORIENTED_EDGE('',*,*,#184051,.T.); #234088=ORIENTED_EDGE('',*,*,#184050,.F.); #234089=ORIENTED_EDGE('',*,*,#184046,.T.); #234090=ORIENTED_EDGE('',*,*,#184052,.T.); #234091=ORIENTED_EDGE('',*,*,#184053,.T.); #234092=ORIENTED_EDGE('',*,*,#184054,.T.); #234093=ORIENTED_EDGE('',*,*,#184055,.T.); #234094=ORIENTED_EDGE('',*,*,#184052,.F.); #234095=ORIENTED_EDGE('',*,*,#184045,.T.); #234096=ORIENTED_EDGE('',*,*,#184054,.F.); #234097=ORIENTED_EDGE('',*,*,#184044,.T.); #234098=ORIENTED_EDGE('',*,*,#184056,.T.); #234099=ORIENTED_EDGE('',*,*,#184057,.T.); #234100=ORIENTED_EDGE('',*,*,#184058,.T.); #234101=ORIENTED_EDGE('',*,*,#184059,.T.); #234102=ORIENTED_EDGE('',*,*,#184056,.F.); #234103=ORIENTED_EDGE('',*,*,#184043,.T.); #234104=ORIENTED_EDGE('',*,*,#184058,.F.); #234105=ORIENTED_EDGE('',*,*,#184042,.T.); #234106=ORIENTED_EDGE('',*,*,#184060,.T.); #234107=ORIENTED_EDGE('',*,*,#184061,.T.); #234108=ORIENTED_EDGE('',*,*,#184062,.T.); #234109=ORIENTED_EDGE('',*,*,#184063,.T.); #234110=ORIENTED_EDGE('',*,*,#184060,.F.); #234111=ORIENTED_EDGE('',*,*,#184041,.T.); #234112=ORIENTED_EDGE('',*,*,#184064,.T.); #234113=ORIENTED_EDGE('',*,*,#184065,.T.); #234114=ORIENTED_EDGE('',*,*,#184066,.T.); #234115=ORIENTED_EDGE('',*,*,#184067,.T.); #234116=ORIENTED_EDGE('',*,*,#184066,.F.); #234117=ORIENTED_EDGE('',*,*,#184068,.T.); #234118=ORIENTED_EDGE('',*,*,#184069,.T.); #234119=ORIENTED_EDGE('',*,*,#184070,.T.); #234120=ORIENTED_EDGE('',*,*,#184071,.T.); #234121=ORIENTED_EDGE('',*,*,#184072,.T.); #234122=ORIENTED_EDGE('',*,*,#184069,.F.); #234123=ORIENTED_EDGE('',*,*,#184073,.T.); #234124=ORIENTED_EDGE('',*,*,#184071,.F.); #234125=ORIENTED_EDGE('',*,*,#184074,.T.); #234126=ORIENTED_EDGE('',*,*,#184075,.T.); #234127=ORIENTED_EDGE('',*,*,#184076,.T.); #234128=ORIENTED_EDGE('',*,*,#184077,.T.); #234129=ORIENTED_EDGE('',*,*,#184078,.T.); #234130=ORIENTED_EDGE('',*,*,#184075,.F.); #234131=ORIENTED_EDGE('',*,*,#184079,.T.); #234132=ORIENTED_EDGE('',*,*,#184077,.F.); #234133=ORIENTED_EDGE('',*,*,#184080,.T.); #234134=ORIENTED_EDGE('',*,*,#184081,.T.); #234135=ORIENTED_EDGE('',*,*,#184082,.T.); #234136=ORIENTED_EDGE('',*,*,#184083,.T.); #234137=ORIENTED_EDGE('',*,*,#184084,.T.); #234138=ORIENTED_EDGE('',*,*,#184081,.F.); #234139=ORIENTED_EDGE('',*,*,#184085,.T.); #234140=ORIENTED_EDGE('',*,*,#184085,.F.); #234141=ORIENTED_EDGE('',*,*,#184080,.F.); #234142=ORIENTED_EDGE('',*,*,#184079,.F.); #234143=ORIENTED_EDGE('',*,*,#184074,.F.); #234144=ORIENTED_EDGE('',*,*,#184073,.F.); #234145=ORIENTED_EDGE('',*,*,#184068,.F.); #234146=ORIENTED_EDGE('',*,*,#184065,.F.); #234147=ORIENTED_EDGE('',*,*,#184086,.F.); #234148=ORIENTED_EDGE('',*,*,#184087,.F.); #234149=ORIENTED_EDGE('',*,*,#184088,.F.); #234150=ORIENTED_EDGE('',*,*,#184089,.F.); #234151=ORIENTED_EDGE('',*,*,#184090,.F.); #234152=ORIENTED_EDGE('',*,*,#184091,.F.); #234153=ORIENTED_EDGE('',*,*,#184092,.F.); #234154=ORIENTED_EDGE('',*,*,#184093,.F.); #234155=ORIENTED_EDGE('',*,*,#184094,.F.); #234156=ORIENTED_EDGE('',*,*,#184095,.T.); #234157=ORIENTED_EDGE('',*,*,#184093,.T.); #234158=ORIENTED_EDGE('',*,*,#184096,.T.); #234159=ORIENTED_EDGE('',*,*,#184097,.T.); #234160=ORIENTED_EDGE('',*,*,#184096,.F.); #234161=ORIENTED_EDGE('',*,*,#184092,.T.); #234162=ORIENTED_EDGE('',*,*,#184098,.T.); #234163=ORIENTED_EDGE('',*,*,#184099,.T.); #234164=ORIENTED_EDGE('',*,*,#184100,.T.); #234165=ORIENTED_EDGE('',*,*,#184101,.T.); #234166=ORIENTED_EDGE('',*,*,#184098,.F.); #234167=ORIENTED_EDGE('',*,*,#184091,.T.); #234168=ORIENTED_EDGE('',*,*,#184100,.F.); #234169=ORIENTED_EDGE('',*,*,#184090,.T.); #234170=ORIENTED_EDGE('',*,*,#184102,.T.); #234171=ORIENTED_EDGE('',*,*,#184103,.T.); #234172=ORIENTED_EDGE('',*,*,#184104,.T.); #234173=ORIENTED_EDGE('',*,*,#184105,.T.); #234174=ORIENTED_EDGE('',*,*,#184102,.F.); #234175=ORIENTED_EDGE('',*,*,#184089,.T.); #234176=ORIENTED_EDGE('',*,*,#184104,.F.); #234177=ORIENTED_EDGE('',*,*,#184088,.T.); #234178=ORIENTED_EDGE('',*,*,#184106,.T.); #234179=ORIENTED_EDGE('',*,*,#184107,.T.); #234180=ORIENTED_EDGE('',*,*,#184108,.T.); #234181=ORIENTED_EDGE('',*,*,#184109,.T.); #234182=ORIENTED_EDGE('',*,*,#184106,.F.); #234183=ORIENTED_EDGE('',*,*,#184087,.T.); #234184=ORIENTED_EDGE('',*,*,#184110,.T.); #234185=ORIENTED_EDGE('',*,*,#184111,.T.); #234186=ORIENTED_EDGE('',*,*,#184112,.T.); #234187=ORIENTED_EDGE('',*,*,#184113,.T.); #234188=ORIENTED_EDGE('',*,*,#184112,.F.); #234189=ORIENTED_EDGE('',*,*,#184114,.T.); #234190=ORIENTED_EDGE('',*,*,#184115,.T.); #234191=ORIENTED_EDGE('',*,*,#184116,.T.); #234192=ORIENTED_EDGE('',*,*,#184117,.T.); #234193=ORIENTED_EDGE('',*,*,#184118,.T.); #234194=ORIENTED_EDGE('',*,*,#184115,.F.); #234195=ORIENTED_EDGE('',*,*,#184119,.T.); #234196=ORIENTED_EDGE('',*,*,#184117,.F.); #234197=ORIENTED_EDGE('',*,*,#184120,.T.); #234198=ORIENTED_EDGE('',*,*,#184121,.T.); #234199=ORIENTED_EDGE('',*,*,#184122,.T.); #234200=ORIENTED_EDGE('',*,*,#184123,.T.); #234201=ORIENTED_EDGE('',*,*,#184124,.T.); #234202=ORIENTED_EDGE('',*,*,#184121,.F.); #234203=ORIENTED_EDGE('',*,*,#184125,.T.); #234204=ORIENTED_EDGE('',*,*,#184123,.F.); #234205=ORIENTED_EDGE('',*,*,#184126,.T.); #234206=ORIENTED_EDGE('',*,*,#184127,.T.); #234207=ORIENTED_EDGE('',*,*,#184128,.T.); #234208=ORIENTED_EDGE('',*,*,#184129,.T.); #234209=ORIENTED_EDGE('',*,*,#184130,.T.); #234210=ORIENTED_EDGE('',*,*,#184127,.F.); #234211=ORIENTED_EDGE('',*,*,#184131,.T.); #234212=ORIENTED_EDGE('',*,*,#184131,.F.); #234213=ORIENTED_EDGE('',*,*,#184126,.F.); #234214=ORIENTED_EDGE('',*,*,#184125,.F.); #234215=ORIENTED_EDGE('',*,*,#184120,.F.); #234216=ORIENTED_EDGE('',*,*,#184119,.F.); #234217=ORIENTED_EDGE('',*,*,#184114,.F.); #234218=ORIENTED_EDGE('',*,*,#184111,.F.); #234219=ORIENTED_EDGE('',*,*,#184132,.F.); #234220=ORIENTED_EDGE('',*,*,#184133,.F.); #234221=ORIENTED_EDGE('',*,*,#184134,.F.); #234222=ORIENTED_EDGE('',*,*,#184135,.F.); #234223=ORIENTED_EDGE('',*,*,#184136,.F.); #234224=ORIENTED_EDGE('',*,*,#184137,.F.); #234225=ORIENTED_EDGE('',*,*,#184138,.F.); #234226=ORIENTED_EDGE('',*,*,#184139,.F.); #234227=ORIENTED_EDGE('',*,*,#184140,.F.); #234228=ORIENTED_EDGE('',*,*,#184141,.T.); #234229=ORIENTED_EDGE('',*,*,#184139,.T.); #234230=ORIENTED_EDGE('',*,*,#184142,.T.); #234231=ORIENTED_EDGE('',*,*,#184143,.T.); #234232=ORIENTED_EDGE('',*,*,#184142,.F.); #234233=ORIENTED_EDGE('',*,*,#184138,.T.); #234234=ORIENTED_EDGE('',*,*,#184144,.T.); #234235=ORIENTED_EDGE('',*,*,#184145,.T.); #234236=ORIENTED_EDGE('',*,*,#184146,.T.); #234237=ORIENTED_EDGE('',*,*,#184147,.T.); #234238=ORIENTED_EDGE('',*,*,#184144,.F.); #234239=ORIENTED_EDGE('',*,*,#184137,.T.); #234240=ORIENTED_EDGE('',*,*,#184146,.F.); #234241=ORIENTED_EDGE('',*,*,#184136,.T.); #234242=ORIENTED_EDGE('',*,*,#184148,.T.); #234243=ORIENTED_EDGE('',*,*,#184149,.T.); #234244=ORIENTED_EDGE('',*,*,#184150,.T.); #234245=ORIENTED_EDGE('',*,*,#184151,.T.); #234246=ORIENTED_EDGE('',*,*,#184148,.F.); #234247=ORIENTED_EDGE('',*,*,#184135,.T.); #234248=ORIENTED_EDGE('',*,*,#184150,.F.); #234249=ORIENTED_EDGE('',*,*,#184134,.T.); #234250=ORIENTED_EDGE('',*,*,#184152,.T.); #234251=ORIENTED_EDGE('',*,*,#184153,.T.); #234252=ORIENTED_EDGE('',*,*,#184154,.T.); #234253=ORIENTED_EDGE('',*,*,#184155,.T.); #234254=ORIENTED_EDGE('',*,*,#184152,.F.); #234255=ORIENTED_EDGE('',*,*,#184133,.T.); #234256=ORIENTED_EDGE('',*,*,#184156,.T.); #234257=ORIENTED_EDGE('',*,*,#184157,.T.); #234258=ORIENTED_EDGE('',*,*,#184158,.T.); #234259=ORIENTED_EDGE('',*,*,#184159,.T.); #234260=ORIENTED_EDGE('',*,*,#184158,.F.); #234261=ORIENTED_EDGE('',*,*,#184160,.T.); #234262=ORIENTED_EDGE('',*,*,#184161,.T.); #234263=ORIENTED_EDGE('',*,*,#184162,.T.); #234264=ORIENTED_EDGE('',*,*,#184163,.T.); #234265=ORIENTED_EDGE('',*,*,#184164,.T.); #234266=ORIENTED_EDGE('',*,*,#184161,.F.); #234267=ORIENTED_EDGE('',*,*,#184165,.T.); #234268=ORIENTED_EDGE('',*,*,#184163,.F.); #234269=ORIENTED_EDGE('',*,*,#184166,.T.); #234270=ORIENTED_EDGE('',*,*,#184167,.T.); #234271=ORIENTED_EDGE('',*,*,#184168,.T.); #234272=ORIENTED_EDGE('',*,*,#184169,.T.); #234273=ORIENTED_EDGE('',*,*,#184170,.T.); #234274=ORIENTED_EDGE('',*,*,#184167,.F.); #234275=ORIENTED_EDGE('',*,*,#184171,.T.); #234276=ORIENTED_EDGE('',*,*,#184169,.F.); #234277=ORIENTED_EDGE('',*,*,#184172,.T.); #234278=ORIENTED_EDGE('',*,*,#184173,.T.); #234279=ORIENTED_EDGE('',*,*,#184174,.T.); #234280=ORIENTED_EDGE('',*,*,#184175,.T.); #234281=ORIENTED_EDGE('',*,*,#184176,.T.); #234282=ORIENTED_EDGE('',*,*,#184173,.F.); #234283=ORIENTED_EDGE('',*,*,#184177,.T.); #234284=ORIENTED_EDGE('',*,*,#184177,.F.); #234285=ORIENTED_EDGE('',*,*,#184172,.F.); #234286=ORIENTED_EDGE('',*,*,#184171,.F.); #234287=ORIENTED_EDGE('',*,*,#184166,.F.); #234288=ORIENTED_EDGE('',*,*,#184165,.F.); #234289=ORIENTED_EDGE('',*,*,#184160,.F.); #234290=ORIENTED_EDGE('',*,*,#184157,.F.); #234291=ORIENTED_EDGE('',*,*,#184178,.F.); #234292=ORIENTED_EDGE('',*,*,#184179,.F.); #234293=ORIENTED_EDGE('',*,*,#184180,.F.); #234294=ORIENTED_EDGE('',*,*,#184181,.F.); #234295=ORIENTED_EDGE('',*,*,#184182,.F.); #234296=ORIENTED_EDGE('',*,*,#184183,.F.); #234297=ORIENTED_EDGE('',*,*,#184184,.F.); #234298=ORIENTED_EDGE('',*,*,#184185,.F.); #234299=ORIENTED_EDGE('',*,*,#184186,.F.); #234300=ORIENTED_EDGE('',*,*,#184187,.T.); #234301=ORIENTED_EDGE('',*,*,#184185,.T.); #234302=ORIENTED_EDGE('',*,*,#184188,.T.); #234303=ORIENTED_EDGE('',*,*,#184189,.T.); #234304=ORIENTED_EDGE('',*,*,#184188,.F.); #234305=ORIENTED_EDGE('',*,*,#184184,.T.); #234306=ORIENTED_EDGE('',*,*,#184190,.T.); #234307=ORIENTED_EDGE('',*,*,#184191,.T.); #234308=ORIENTED_EDGE('',*,*,#184192,.T.); #234309=ORIENTED_EDGE('',*,*,#184193,.T.); #234310=ORIENTED_EDGE('',*,*,#184190,.F.); #234311=ORIENTED_EDGE('',*,*,#184183,.T.); #234312=ORIENTED_EDGE('',*,*,#184192,.F.); #234313=ORIENTED_EDGE('',*,*,#184182,.T.); #234314=ORIENTED_EDGE('',*,*,#184194,.T.); #234315=ORIENTED_EDGE('',*,*,#184195,.T.); #234316=ORIENTED_EDGE('',*,*,#184196,.T.); #234317=ORIENTED_EDGE('',*,*,#184197,.T.); #234318=ORIENTED_EDGE('',*,*,#184194,.F.); #234319=ORIENTED_EDGE('',*,*,#184181,.T.); #234320=ORIENTED_EDGE('',*,*,#184196,.F.); #234321=ORIENTED_EDGE('',*,*,#184180,.T.); #234322=ORIENTED_EDGE('',*,*,#184198,.T.); #234323=ORIENTED_EDGE('',*,*,#184199,.T.); #234324=ORIENTED_EDGE('',*,*,#184200,.T.); #234325=ORIENTED_EDGE('',*,*,#184201,.T.); #234326=ORIENTED_EDGE('',*,*,#184198,.F.); #234327=ORIENTED_EDGE('',*,*,#184179,.T.); #234328=ORIENTED_EDGE('',*,*,#184032,.F.); #234329=ORIENTED_EDGE('',*,*,#184202,.T.); #234330=ORIENTED_EDGE('',*,*,#184164,.F.); #234331=ORIENTED_EDGE('',*,*,#184203,.T.); #234332=ORIENTED_EDGE('',*,*,#184036,.F.); #234333=ORIENTED_EDGE('',*,*,#184204,.F.); #234334=ORIENTED_EDGE('',*,*,#184162,.F.); #234335=ORIENTED_EDGE('',*,*,#184202,.F.); #234336=ORIENTED_EDGE('',*,*,#184026,.F.); #234337=ORIENTED_EDGE('',*,*,#184205,.T.); #234338=ORIENTED_EDGE('',*,*,#184170,.F.); #234339=ORIENTED_EDGE('',*,*,#184206,.T.); #234340=ORIENTED_EDGE('',*,*,#184024,.F.); #234341=ORIENTED_EDGE('',*,*,#184206,.F.); #234342=ORIENTED_EDGE('',*,*,#184174,.F.); #234343=ORIENTED_EDGE('',*,*,#184207,.F.); #234344=ORIENTED_EDGE('',*,*,#184105,.F.); #234345=ORIENTED_EDGE('',*,*,#184208,.T.); #234346=ORIENTED_EDGE('',*,*,#184147,.F.); #234347=ORIENTED_EDGE('',*,*,#184209,.T.); #234348=ORIENTED_EDGE('',*,*,#184107,.F.); #234349=ORIENTED_EDGE('',*,*,#184210,.F.); #234350=ORIENTED_EDGE('',*,*,#184145,.F.); #234351=ORIENTED_EDGE('',*,*,#184208,.F.); #234352=ORIENTED_EDGE('',*,*,#184101,.F.); #234353=ORIENTED_EDGE('',*,*,#184211,.T.); #234354=ORIENTED_EDGE('',*,*,#184151,.F.); #234355=ORIENTED_EDGE('',*,*,#184212,.T.); #234356=ORIENTED_EDGE('',*,*,#184099,.F.); #234357=ORIENTED_EDGE('',*,*,#184212,.F.); #234358=ORIENTED_EDGE('',*,*,#184153,.F.); #234359=ORIENTED_EDGE('',*,*,#184213,.F.); #234360=ORIENTED_EDGE('',*,*,#184072,.F.); #234361=ORIENTED_EDGE('',*,*,#184214,.T.); #234362=ORIENTED_EDGE('',*,*,#184124,.F.); #234363=ORIENTED_EDGE('',*,*,#184215,.T.); #234364=ORIENTED_EDGE('',*,*,#184076,.F.); #234365=ORIENTED_EDGE('',*,*,#184216,.F.); #234366=ORIENTED_EDGE('',*,*,#184122,.F.); #234367=ORIENTED_EDGE('',*,*,#184214,.F.); #234368=ORIENTED_EDGE('',*,*,#184078,.F.); #234369=ORIENTED_EDGE('',*,*,#184217,.T.); #234370=ORIENTED_EDGE('',*,*,#184118,.F.); #234371=ORIENTED_EDGE('',*,*,#184216,.T.); #234372=ORIENTED_EDGE('',*,*,#184082,.F.); #234373=ORIENTED_EDGE('',*,*,#184218,.F.); #234374=ORIENTED_EDGE('',*,*,#184116,.F.); #234375=ORIENTED_EDGE('',*,*,#184217,.F.); #234376=ORIENTED_EDGE('',*,*,#184109,.F.); #234377=ORIENTED_EDGE('',*,*,#184219,.T.); #234378=ORIENTED_EDGE('',*,*,#184143,.F.); #234379=ORIENTED_EDGE('',*,*,#184210,.T.); #234380=ORIENTED_EDGE('',*,*,#184084,.F.); #234381=ORIENTED_EDGE('',*,*,#184220,.T.); #234382=ORIENTED_EDGE('',*,*,#184113,.F.); #234383=ORIENTED_EDGE('',*,*,#184218,.T.); #234384=ORIENTED_EDGE('',*,*,#184067,.F.); #234385=ORIENTED_EDGE('',*,*,#184221,.T.); #234386=ORIENTED_EDGE('',*,*,#184130,.F.); #234387=ORIENTED_EDGE('',*,*,#184222,.T.); #234388=ORIENTED_EDGE('',*,*,#184070,.F.); #234389=ORIENTED_EDGE('',*,*,#184215,.F.); #234390=ORIENTED_EDGE('',*,*,#184128,.F.); #234391=ORIENTED_EDGE('',*,*,#184221,.F.); #234392=ORIENTED_EDGE('',*,*,#184097,.F.); #234393=ORIENTED_EDGE('',*,*,#184213,.T.); #234394=ORIENTED_EDGE('',*,*,#184155,.F.); #234395=ORIENTED_EDGE('',*,*,#184223,.T.); #234396=ORIENTED_EDGE('',*,*,#184059,.F.); #234397=ORIENTED_EDGE('',*,*,#184224,.T.); #234398=ORIENTED_EDGE('',*,*,#184193,.F.); #234399=ORIENTED_EDGE('',*,*,#184225,.T.); #234400=ORIENTED_EDGE('',*,*,#184061,.F.); #234401=ORIENTED_EDGE('',*,*,#184226,.F.); #234402=ORIENTED_EDGE('',*,*,#184191,.F.); #234403=ORIENTED_EDGE('',*,*,#184224,.F.); #234404=ORIENTED_EDGE('',*,*,#184055,.F.); #234405=ORIENTED_EDGE('',*,*,#184227,.T.); #234406=ORIENTED_EDGE('',*,*,#184197,.F.); #234407=ORIENTED_EDGE('',*,*,#184228,.T.); #234408=ORIENTED_EDGE('',*,*,#184057,.F.); #234409=ORIENTED_EDGE('',*,*,#184225,.F.); #234410=ORIENTED_EDGE('',*,*,#184195,.F.); #234411=ORIENTED_EDGE('',*,*,#184227,.F.); #234412=ORIENTED_EDGE('',*,*,#184038,.F.); #234413=ORIENTED_EDGE('',*,*,#184229,.T.); #234414=ORIENTED_EDGE('',*,*,#184159,.F.); #234415=ORIENTED_EDGE('',*,*,#184204,.T.); #234416=ORIENTED_EDGE('',*,*,#184063,.F.); #234417=ORIENTED_EDGE('',*,*,#184230,.T.); #234418=ORIENTED_EDGE('',*,*,#184189,.F.); #234419=ORIENTED_EDGE('',*,*,#184226,.T.); #234420=ORIENTED_EDGE('',*,*,#184051,.F.); #234421=ORIENTED_EDGE('',*,*,#184231,.T.); #234422=ORIENTED_EDGE('',*,*,#184201,.F.); #234423=ORIENTED_EDGE('',*,*,#184232,.T.); #234424=ORIENTED_EDGE('',*,*,#184053,.F.); #234425=ORIENTED_EDGE('',*,*,#184228,.F.); #234426=ORIENTED_EDGE('',*,*,#184199,.F.); #234427=ORIENTED_EDGE('',*,*,#184231,.F.); #234428=ORIENTED_EDGE('',*,*,#184021,.F.); #234429=ORIENTED_EDGE('',*,*,#184207,.T.); #234430=ORIENTED_EDGE('',*,*,#184176,.F.); #234431=ORIENTED_EDGE('',*,*,#184233,.T.); #234432=ORIENTED_EDGE('',*,*,#184103,.F.); #234433=ORIENTED_EDGE('',*,*,#184209,.F.); #234434=ORIENTED_EDGE('',*,*,#184149,.F.); #234435=ORIENTED_EDGE('',*,*,#184211,.F.); #234436=ORIENTED_EDGE('',*,*,#184030,.F.); #234437=ORIENTED_EDGE('',*,*,#184203,.F.); #234438=ORIENTED_EDGE('',*,*,#184168,.F.); #234439=ORIENTED_EDGE('',*,*,#184205,.F.); #234440=ORIENTED_EDGE('',*,*,#184234,.F.); #234441=ORIENTED_EDGE('',*,*,#184235,.T.); #234442=ORIENTED_EDGE('',*,*,#184236,.F.); #234443=ORIENTED_EDGE('',*,*,#184237,.F.); #234444=ORIENTED_EDGE('',*,*,#184238,.T.); #234445=ORIENTED_EDGE('',*,*,#184239,.T.); #234446=ORIENTED_EDGE('',*,*,#184234,.T.); #234447=ORIENTED_EDGE('',*,*,#184240,.T.); #234448=ORIENTED_EDGE('',*,*,#184241,.T.); #234449=ORIENTED_EDGE('',*,*,#184242,.F.); #234450=ORIENTED_EDGE('',*,*,#184243,.F.); #234451=ORIENTED_EDGE('',*,*,#184244,.F.); #234452=ORIENTED_EDGE('',*,*,#184245,.F.); #234453=ORIENTED_EDGE('',*,*,#184246,.F.); #234454=ORIENTED_EDGE('',*,*,#184247,.F.); #234455=ORIENTED_EDGE('',*,*,#184248,.F.); #234456=ORIENTED_EDGE('',*,*,#184249,.F.); #234457=ORIENTED_EDGE('',*,*,#184250,.F.); #234458=ORIENTED_EDGE('',*,*,#184251,.F.); #234459=ORIENTED_EDGE('',*,*,#184252,.F.); #234460=ORIENTED_EDGE('',*,*,#184253,.F.); #234461=ORIENTED_EDGE('',*,*,#184254,.F.); #234462=ORIENTED_EDGE('',*,*,#184255,.F.); #234463=ORIENTED_EDGE('',*,*,#184256,.F.); #234464=ORIENTED_EDGE('',*,*,#184257,.F.); #234465=ORIENTED_EDGE('',*,*,#184258,.F.); #234466=ORIENTED_EDGE('',*,*,#184259,.F.); #234467=ORIENTED_EDGE('',*,*,#184260,.F.); #234468=ORIENTED_EDGE('',*,*,#184261,.F.); #234469=ORIENTED_EDGE('',*,*,#184262,.F.); #234470=ORIENTED_EDGE('',*,*,#184263,.F.); #234471=ORIENTED_EDGE('',*,*,#184264,.F.); #234472=ORIENTED_EDGE('',*,*,#184265,.F.); #234473=ORIENTED_EDGE('',*,*,#184266,.F.); #234474=ORIENTED_EDGE('',*,*,#184267,.F.); #234475=ORIENTED_EDGE('',*,*,#184268,.F.); #234476=ORIENTED_EDGE('',*,*,#184269,.F.); #234477=ORIENTED_EDGE('',*,*,#184270,.F.); #234478=ORIENTED_EDGE('',*,*,#184271,.F.); #234479=ORIENTED_EDGE('',*,*,#184272,.F.); #234480=ORIENTED_EDGE('',*,*,#184273,.F.); #234481=ORIENTED_EDGE('',*,*,#184274,.F.); #234482=ORIENTED_EDGE('',*,*,#184275,.F.); #234483=ORIENTED_EDGE('',*,*,#184276,.F.); #234484=ORIENTED_EDGE('',*,*,#184277,.F.); #234485=ORIENTED_EDGE('',*,*,#184278,.F.); #234486=ORIENTED_EDGE('',*,*,#184279,.F.); #234487=ORIENTED_EDGE('',*,*,#184280,.F.); #234488=ORIENTED_EDGE('',*,*,#184281,.F.); #234489=ORIENTED_EDGE('',*,*,#184282,.F.); #234490=ORIENTED_EDGE('',*,*,#184283,.F.); #234491=ORIENTED_EDGE('',*,*,#184284,.F.); #234492=ORIENTED_EDGE('',*,*,#184285,.F.); #234493=ORIENTED_EDGE('',*,*,#184286,.F.); #234494=ORIENTED_EDGE('',*,*,#184287,.F.); #234495=ORIENTED_EDGE('',*,*,#184288,.F.); #234496=ORIENTED_EDGE('',*,*,#184289,.F.); #234497=ORIENTED_EDGE('',*,*,#184290,.F.); #234498=ORIENTED_EDGE('',*,*,#184291,.F.); #234499=ORIENTED_EDGE('',*,*,#184292,.F.); #234500=ORIENTED_EDGE('',*,*,#184293,.F.); #234501=ORIENTED_EDGE('',*,*,#184294,.F.); #234502=ORIENTED_EDGE('',*,*,#184295,.F.); #234503=ORIENTED_EDGE('',*,*,#184296,.F.); #234504=ORIENTED_EDGE('',*,*,#184297,.F.); #234505=ORIENTED_EDGE('',*,*,#184298,.F.); #234506=ORIENTED_EDGE('',*,*,#184299,.T.); #234507=ORIENTED_EDGE('',*,*,#184300,.T.); #234508=ORIENTED_EDGE('',*,*,#184301,.F.); #234509=ORIENTED_EDGE('',*,*,#184241,.F.); #234510=ORIENTED_EDGE('',*,*,#184302,.T.); #234511=ORIENTED_EDGE('',*,*,#184303,.T.); #234512=ORIENTED_EDGE('',*,*,#184299,.F.); #234513=ORIENTED_EDGE('',*,*,#184304,.F.); #234514=ORIENTED_EDGE('',*,*,#184305,.F.); #234515=ORIENTED_EDGE('',*,*,#184306,.F.); #234516=ORIENTED_EDGE('',*,*,#184307,.F.); #234517=ORIENTED_EDGE('',*,*,#184308,.F.); #234518=ORIENTED_EDGE('',*,*,#184309,.F.); #234519=ORIENTED_EDGE('',*,*,#184310,.F.); #234520=ORIENTED_EDGE('',*,*,#184311,.F.); #234521=ORIENTED_EDGE('',*,*,#184312,.F.); #234522=ORIENTED_EDGE('',*,*,#184313,.F.); #234523=ORIENTED_EDGE('',*,*,#184314,.F.); #234524=ORIENTED_EDGE('',*,*,#184315,.F.); #234525=ORIENTED_EDGE('',*,*,#184316,.F.); #234526=ORIENTED_EDGE('',*,*,#184317,.F.); #234527=ORIENTED_EDGE('',*,*,#184318,.F.); #234528=ORIENTED_EDGE('',*,*,#184319,.F.); #234529=ORIENTED_EDGE('',*,*,#184320,.F.); #234530=ORIENTED_EDGE('',*,*,#184321,.F.); #234531=ORIENTED_EDGE('',*,*,#184322,.F.); #234532=ORIENTED_EDGE('',*,*,#184323,.F.); #234533=ORIENTED_EDGE('',*,*,#184324,.F.); #234534=ORIENTED_EDGE('',*,*,#184325,.F.); #234535=ORIENTED_EDGE('',*,*,#184326,.F.); #234536=ORIENTED_EDGE('',*,*,#184327,.F.); #234537=ORIENTED_EDGE('',*,*,#184328,.F.); #234538=ORIENTED_EDGE('',*,*,#184329,.F.); #234539=ORIENTED_EDGE('',*,*,#184330,.F.); #234540=ORIENTED_EDGE('',*,*,#184331,.F.); #234541=ORIENTED_EDGE('',*,*,#184332,.F.); #234542=ORIENTED_EDGE('',*,*,#184333,.F.); #234543=ORIENTED_EDGE('',*,*,#184334,.F.); #234544=ORIENTED_EDGE('',*,*,#184335,.F.); #234545=ORIENTED_EDGE('',*,*,#184336,.F.); #234546=ORIENTED_EDGE('',*,*,#184337,.F.); #234547=ORIENTED_EDGE('',*,*,#184338,.F.); #234548=ORIENTED_EDGE('',*,*,#184339,.F.); #234549=ORIENTED_EDGE('',*,*,#184340,.F.); #234550=ORIENTED_EDGE('',*,*,#184341,.F.); #234551=ORIENTED_EDGE('',*,*,#184342,.F.); #234552=ORIENTED_EDGE('',*,*,#184343,.F.); #234553=ORIENTED_EDGE('',*,*,#184344,.F.); #234554=ORIENTED_EDGE('',*,*,#184345,.F.); #234555=ORIENTED_EDGE('',*,*,#184346,.F.); #234556=ORIENTED_EDGE('',*,*,#184347,.T.); #234557=ORIENTED_EDGE('',*,*,#183924,.T.); #234558=ORIENTED_EDGE('',*,*,#184235,.F.); #234559=ORIENTED_EDGE('',*,*,#184242,.T.); #234560=ORIENTED_EDGE('',*,*,#183922,.F.); #234561=ORIENTED_EDGE('',*,*,#184348,.F.); #234562=ORIENTED_EDGE('',*,*,#184349,.F.); #234563=ORIENTED_EDGE('',*,*,#184350,.T.); #234564=ORIENTED_EDGE('',*,*,#184351,.T.); #234565=ORIENTED_EDGE('',*,*,#184352,.T.); #234566=ORIENTED_EDGE('',*,*,#184353,.T.); #234567=ORIENTED_EDGE('',*,*,#184354,.T.); #234568=ORIENTED_EDGE('',*,*,#184355,.T.); #234569=ORIENTED_EDGE('',*,*,#184352,.F.); #234570=ORIENTED_EDGE('',*,*,#184356,.T.); #234571=ORIENTED_EDGE('',*,*,#184240,.F.); #234572=ORIENTED_EDGE('',*,*,#184239,.F.); #234573=ORIENTED_EDGE('',*,*,#184355,.F.); #234574=ORIENTED_EDGE('',*,*,#184357,.F.); #234575=ORIENTED_EDGE('',*,*,#184358,.F.); #234576=ORIENTED_EDGE('',*,*,#184359,.F.); #234577=ORIENTED_EDGE('',*,*,#184360,.F.); #234578=ORIENTED_EDGE('',*,*,#184361,.F.); #234579=ORIENTED_EDGE('',*,*,#184353,.F.); #234580=ORIENTED_EDGE('',*,*,#184356,.F.); #234581=ORIENTED_EDGE('',*,*,#184362,.T.); #234582=ORIENTED_EDGE('',*,*,#184363,.F.); #234583=ORIENTED_EDGE('',*,*,#184302,.F.); #234584=ORIENTED_EDGE('',*,*,#184351,.F.); #234585=ORIENTED_EDGE('',*,*,#184364,.F.); #234586=ORIENTED_EDGE('',*,*,#184362,.F.); #234587=ORIENTED_EDGE('',*,*,#184347,.F.); #234588=ORIENTED_EDGE('',*,*,#184301,.T.); #234589=ORIENTED_EDGE('',*,*,#184365,.F.); #234590=ORIENTED_EDGE('',*,*,#184366,.F.); #234591=ORIENTED_EDGE('',*,*,#183925,.F.); #234592=ORIENTED_EDGE('',*,*,#184366,.T.); #234593=ORIENTED_EDGE('',*,*,#184367,.F.); #234594=ORIENTED_EDGE('',*,*,#183926,.F.); #234595=ORIENTED_EDGE('',*,*,#184367,.T.); #234596=ORIENTED_EDGE('',*,*,#184365,.T.); #234597=ORIENTED_EDGE('',*,*,#184300,.F.); #234598=ORIENTED_EDGE('',*,*,#184303,.F.); #234599=ORIENTED_EDGE('',*,*,#184363,.T.); #234600=ORIENTED_EDGE('',*,*,#184364,.T.); #234601=ORIENTED_EDGE('',*,*,#184350,.F.); #234602=ORIENTED_EDGE('',*,*,#184361,.T.); #234603=ORIENTED_EDGE('',*,*,#184368,.F.); #234604=ORIENTED_EDGE('',*,*,#184369,.T.); #234605=ORIENTED_EDGE('',*,*,#184370,.F.); #234606=ORIENTED_EDGE('',*,*,#184371,.T.); #234607=ORIENTED_EDGE('',*,*,#184372,.F.); #234608=ORIENTED_EDGE('',*,*,#184373,.T.); #234609=ORIENTED_EDGE('',*,*,#184374,.F.); #234610=ORIENTED_EDGE('',*,*,#184348,.T.); #234611=ORIENTED_EDGE('',*,*,#184354,.F.); #234612=ORIENTED_EDGE('',*,*,#184375,.F.); #234613=ORIENTED_EDGE('',*,*,#184376,.F.); #234614=ORIENTED_EDGE('',*,*,#184357,.T.); #234615=ORIENTED_EDGE('',*,*,#184376,.T.); #234616=ORIENTED_EDGE('',*,*,#184377,.F.); #234617=ORIENTED_EDGE('',*,*,#184378,.F.); #234618=ORIENTED_EDGE('',*,*,#184379,.F.); #234619=ORIENTED_EDGE('',*,*,#184380,.F.); #234620=ORIENTED_EDGE('',*,*,#184381,.F.); #234621=ORIENTED_EDGE('',*,*,#184236,.T.); #234622=ORIENTED_EDGE('',*,*,#183923,.T.); #234623=ORIENTED_EDGE('',*,*,#184375,.T.); #234624=ORIENTED_EDGE('',*,*,#184238,.F.); #234625=ORIENTED_EDGE('',*,*,#184382,.F.); #234626=ORIENTED_EDGE('',*,*,#184377,.T.); #234627=ORIENTED_EDGE('',*,*,#184379,.T.); #234628=ORIENTED_EDGE('',*,*,#184383,.F.); #234629=ORIENTED_EDGE('',*,*,#184384,.T.); #234630=ORIENTED_EDGE('',*,*,#184358,.T.); #234631=ORIENTED_EDGE('',*,*,#184349,.T.); #234632=ORIENTED_EDGE('',*,*,#184385,.T.); #234633=ORIENTED_EDGE('',*,*,#184386,.T.); #234634=ORIENTED_EDGE('',*,*,#184387,.F.); #234635=ORIENTED_EDGE('',*,*,#184388,.F.); #234636=ORIENTED_EDGE('',*,*,#184380,.T.); #234637=ORIENTED_EDGE('',*,*,#184389,.F.); #234638=ORIENTED_EDGE('',*,*,#184390,.T.); #234639=ORIENTED_EDGE('',*,*,#184391,.T.); #234640=ORIENTED_EDGE('',*,*,#184390,.F.); #234641=ORIENTED_EDGE('',*,*,#184391,.F.); #234642=ORIENTED_EDGE('',*,*,#184392,.F.); #234643=ORIENTED_EDGE('',*,*,#184393,.F.); #234644=ORIENTED_EDGE('',*,*,#184394,.T.); #234645=ORIENTED_EDGE('',*,*,#184395,.T.); #234646=ORIENTED_EDGE('',*,*,#184394,.F.); #234647=ORIENTED_EDGE('',*,*,#184395,.F.); #234648=ORIENTED_EDGE('',*,*,#184396,.F.); #234649=ORIENTED_EDGE('',*,*,#184397,.F.); #234650=ORIENTED_EDGE('',*,*,#184398,.T.); #234651=ORIENTED_EDGE('',*,*,#184399,.T.); #234652=ORIENTED_EDGE('',*,*,#184398,.F.); #234653=ORIENTED_EDGE('',*,*,#184399,.F.); #234654=ORIENTED_EDGE('',*,*,#184400,.F.); #234655=ORIENTED_EDGE('',*,*,#184401,.F.); #234656=ORIENTED_EDGE('',*,*,#184402,.T.); #234657=ORIENTED_EDGE('',*,*,#184403,.T.); #234658=ORIENTED_EDGE('',*,*,#184402,.F.); #234659=ORIENTED_EDGE('',*,*,#184403,.F.); #234660=ORIENTED_EDGE('',*,*,#184404,.F.); #234661=ORIENTED_EDGE('',*,*,#184392,.T.); #234662=ORIENTED_EDGE('',*,*,#184405,.T.); #234663=ORIENTED_EDGE('',*,*,#184406,.T.); #234664=ORIENTED_EDGE('',*,*,#184405,.F.); #234665=ORIENTED_EDGE('',*,*,#184406,.F.); #234666=ORIENTED_EDGE('',*,*,#184404,.T.); #234667=ORIENTED_EDGE('',*,*,#184407,.T.); #234668=ORIENTED_EDGE('',*,*,#184408,.T.); #234669=ORIENTED_EDGE('',*,*,#184407,.F.); #234670=ORIENTED_EDGE('',*,*,#184408,.F.); #234671=ORIENTED_EDGE('',*,*,#184400,.T.); #234672=ORIENTED_EDGE('',*,*,#184409,.T.); #234673=ORIENTED_EDGE('',*,*,#184410,.T.); #234674=ORIENTED_EDGE('',*,*,#184409,.F.); #234675=ORIENTED_EDGE('',*,*,#184410,.F.); #234676=ORIENTED_EDGE('',*,*,#184396,.T.); #234677=ORIENTED_EDGE('',*,*,#184411,.T.); #234678=ORIENTED_EDGE('',*,*,#184412,.T.); #234679=ORIENTED_EDGE('',*,*,#184411,.F.); #234680=ORIENTED_EDGE('',*,*,#184412,.F.); #234681=ORIENTED_EDGE('',*,*,#184413,.T.); #234682=ORIENTED_EDGE('',*,*,#183976,.T.); #234683=ORIENTED_EDGE('',*,*,#184307,.T.); #234684=ORIENTED_EDGE('',*,*,#184414,.T.); #234685=ORIENTED_EDGE('',*,*,#184017,.T.); #234686=ORIENTED_EDGE('',*,*,#184414,.F.); #234687=ORIENTED_EDGE('',*,*,#184295,.T.); #234688=ORIENTED_EDGE('',*,*,#184289,.T.); #234689=ORIENTED_EDGE('',*,*,#184283,.T.); #234690=ORIENTED_EDGE('',*,*,#184277,.T.); #234691=ORIENTED_EDGE('',*,*,#184271,.T.); #234692=ORIENTED_EDGE('',*,*,#184265,.T.); #234693=ORIENTED_EDGE('',*,*,#184259,.T.); #234694=ORIENTED_EDGE('',*,*,#184253,.T.); #234695=ORIENTED_EDGE('',*,*,#184247,.T.); #234696=ORIENTED_EDGE('',*,*,#184343,.T.); #234697=ORIENTED_EDGE('',*,*,#184337,.T.); #234698=ORIENTED_EDGE('',*,*,#184331,.T.); #234699=ORIENTED_EDGE('',*,*,#184325,.T.); #234700=ORIENTED_EDGE('',*,*,#184319,.T.); #234701=ORIENTED_EDGE('',*,*,#184313,.T.); #234702=ORIENTED_EDGE('',*,*,#184415,.T.); #234703=ORIENTED_EDGE('',*,*,#184333,.T.); #234704=ORIENTED_EDGE('',*,*,#184416,.T.); #234705=ORIENTED_EDGE('',*,*,#184417,.T.); #234706=ORIENTED_EDGE('',*,*,#184418,.T.); #234707=ORIENTED_EDGE('',*,*,#184334,.T.); #234708=ORIENTED_EDGE('',*,*,#184415,.F.); #234709=ORIENTED_EDGE('',*,*,#184419,.T.); #234710=ORIENTED_EDGE('',*,*,#184420,.T.); #234711=ORIENTED_EDGE('',*,*,#184335,.T.); #234712=ORIENTED_EDGE('',*,*,#184418,.F.); #234713=ORIENTED_EDGE('',*,*,#184421,.T.); #234714=ORIENTED_EDGE('',*,*,#184416,.F.); #234715=ORIENTED_EDGE('',*,*,#184332,.T.); #234716=ORIENTED_EDGE('',*,*,#184336,.T.); #234717=ORIENTED_EDGE('',*,*,#184420,.F.); #234718=ORIENTED_EDGE('',*,*,#184422,.T.); #234719=ORIENTED_EDGE('',*,*,#184419,.F.); #234720=ORIENTED_EDGE('',*,*,#184423,.T.); #234721=ORIENTED_EDGE('',*,*,#184424,.F.); #234722=ORIENTED_EDGE('',*,*,#184425,.F.); #234723=ORIENTED_EDGE('',*,*,#184417,.F.); #234724=ORIENTED_EDGE('',*,*,#184426,.T.); #234725=ORIENTED_EDGE('',*,*,#184427,.F.); #234726=ORIENTED_EDGE('',*,*,#184423,.F.); #234727=ORIENTED_EDGE('',*,*,#184428,.T.); #234728=ORIENTED_EDGE('',*,*,#184429,.T.); #234729=ORIENTED_EDGE('',*,*,#184430,.T.); #234730=ORIENTED_EDGE('',*,*,#184427,.T.); #234731=ORIENTED_EDGE('',*,*,#184431,.T.); #234732=ORIENTED_EDGE('',*,*,#184432,.T.); #234733=ORIENTED_EDGE('',*,*,#184428,.F.); #234734=ORIENTED_EDGE('',*,*,#184433,.T.); #234735=ORIENTED_EDGE('',*,*,#184434,.T.); #234736=ORIENTED_EDGE('',*,*,#184435,.T.); #234737=ORIENTED_EDGE('',*,*,#184431,.F.); #234738=ORIENTED_EDGE('',*,*,#184436,.T.); #234739=ORIENTED_EDGE('',*,*,#184430,.F.); #234740=ORIENTED_EDGE('',*,*,#184437,.T.); #234741=ORIENTED_EDGE('',*,*,#184434,.F.); #234742=ORIENTED_EDGE('',*,*,#184424,.T.); #234743=ORIENTED_EDGE('',*,*,#184422,.F.); #234744=ORIENTED_EDGE('',*,*,#184438,.T.); #234745=ORIENTED_EDGE('',*,*,#184433,.F.); #234746=ORIENTED_EDGE('',*,*,#184426,.F.); #234747=ORIENTED_EDGE('',*,*,#184413,.F.); #234748=ORIENTED_EDGE('',*,*,#184439,.T.); #234749=ORIENTED_EDGE('',*,*,#184440,.F.); #234750=ORIENTED_EDGE('',*,*,#184441,.F.); #234751=ORIENTED_EDGE('',*,*,#184442,.F.); #234752=ORIENTED_EDGE('',*,*,#184443,.T.); #234753=ORIENTED_EDGE('',*,*,#184444,.F.); #234754=ORIENTED_EDGE('',*,*,#184443,.F.); #234755=ORIENTED_EDGE('',*,*,#184445,.F.); #234756=ORIENTED_EDGE('',*,*,#184446,.F.); #234757=ORIENTED_EDGE('',*,*,#184447,.F.); #234758=ORIENTED_EDGE('',*,*,#184439,.F.); #234759=ORIENTED_EDGE('',*,*,#184086,.T.); #234760=ORIENTED_EDGE('',*,*,#184064,.F.); #234761=ORIENTED_EDGE('',*,*,#184222,.F.); #234762=ORIENTED_EDGE('',*,*,#184129,.F.); #234763=ORIENTED_EDGE('',*,*,#184140,.T.); #234764=ORIENTED_EDGE('',*,*,#184141,.F.); #234765=ORIENTED_EDGE('',*,*,#184219,.F.); #234766=ORIENTED_EDGE('',*,*,#184108,.F.); #234767=ORIENTED_EDGE('',*,*,#184094,.T.); #234768=ORIENTED_EDGE('',*,*,#184095,.F.); #234769=ORIENTED_EDGE('',*,*,#184223,.F.); #234770=ORIENTED_EDGE('',*,*,#184154,.F.); #234771=ORIENTED_EDGE('',*,*,#184132,.T.); #234772=ORIENTED_EDGE('',*,*,#184110,.F.); #234773=ORIENTED_EDGE('',*,*,#184220,.F.); #234774=ORIENTED_EDGE('',*,*,#184083,.F.); #234775=ORIENTED_EDGE('',*,*,#184048,.T.); #234776=ORIENTED_EDGE('',*,*,#184049,.F.); #234777=ORIENTED_EDGE('',*,*,#184232,.F.); #234778=ORIENTED_EDGE('',*,*,#184200,.F.); #234779=ORIENTED_EDGE('',*,*,#184178,.T.); #234780=ORIENTED_EDGE('',*,*,#184156,.F.); #234781=ORIENTED_EDGE('',*,*,#184229,.F.); #234782=ORIENTED_EDGE('',*,*,#184037,.F.); #234783=ORIENTED_EDGE('',*,*,#184040,.T.); #234784=ORIENTED_EDGE('',*,*,#184018,.F.); #234785=ORIENTED_EDGE('',*,*,#184233,.F.); #234786=ORIENTED_EDGE('',*,*,#184175,.F.); #234787=ORIENTED_EDGE('',*,*,#184186,.T.); #234788=ORIENTED_EDGE('',*,*,#184187,.F.); #234789=ORIENTED_EDGE('',*,*,#184230,.F.); #234790=ORIENTED_EDGE('',*,*,#184062,.F.); #234791=ORIENTED_EDGE('',*,*,#184448,.F.); #234792=ORIENTED_EDGE('',*,*,#184449,.F.); #234793=ORIENTED_EDGE('',*,*,#184450,.F.); #234794=ORIENTED_EDGE('',*,*,#184451,.F.); #234795=ORIENTED_EDGE('',*,*,#184452,.F.); #234796=ORIENTED_EDGE('',*,*,#184453,.F.); #234797=ORIENTED_EDGE('',*,*,#184454,.F.); #234798=ORIENTED_EDGE('',*,*,#184455,.F.); #234799=ORIENTED_EDGE('',*,*,#184456,.F.); #234800=ORIENTED_EDGE('',*,*,#184457,.F.); #234801=ORIENTED_EDGE('',*,*,#184458,.F.); #234802=ORIENTED_EDGE('',*,*,#184459,.F.); #234803=ORIENTED_EDGE('',*,*,#184460,.F.); #234804=ORIENTED_EDGE('',*,*,#184461,.F.); #234805=ORIENTED_EDGE('',*,*,#184462,.F.); #234806=ORIENTED_EDGE('',*,*,#184463,.F.); #234807=ORIENTED_EDGE('',*,*,#184464,.F.); #234808=ORIENTED_EDGE('',*,*,#184465,.F.); #234809=ORIENTED_EDGE('',*,*,#184466,.F.); #234810=ORIENTED_EDGE('',*,*,#184467,.F.); #234811=ORIENTED_EDGE('',*,*,#184468,.F.); #234812=ORIENTED_EDGE('',*,*,#184469,.F.); #234813=ORIENTED_EDGE('',*,*,#184470,.F.); #234814=ORIENTED_EDGE('',*,*,#184471,.F.); #234815=ORIENTED_EDGE('',*,*,#184472,.F.); #234816=ORIENTED_EDGE('',*,*,#184473,.F.); #234817=ORIENTED_EDGE('',*,*,#184474,.F.); #234818=ORIENTED_EDGE('',*,*,#184475,.F.); #234819=ORIENTED_EDGE('',*,*,#184476,.F.); #234820=ORIENTED_EDGE('',*,*,#184477,.F.); #234821=ORIENTED_EDGE('',*,*,#184478,.F.); #234822=ORIENTED_EDGE('',*,*,#184479,.F.); #234823=ORIENTED_EDGE('',*,*,#184480,.F.); #234824=ORIENTED_EDGE('',*,*,#184481,.F.); #234825=ORIENTED_EDGE('',*,*,#184482,.F.); #234826=ORIENTED_EDGE('',*,*,#184483,.F.); #234827=ORIENTED_EDGE('',*,*,#184484,.F.); #234828=ORIENTED_EDGE('',*,*,#184485,.F.); #234829=ORIENTED_EDGE('',*,*,#184486,.F.); #234830=ORIENTED_EDGE('',*,*,#184487,.F.); #234831=ORIENTED_EDGE('',*,*,#184488,.F.); #234832=ORIENTED_EDGE('',*,*,#184489,.F.); #234833=ORIENTED_EDGE('',*,*,#184490,.F.); #234834=ORIENTED_EDGE('',*,*,#184491,.F.); #234835=ORIENTED_EDGE('',*,*,#184492,.F.); #234836=ORIENTED_EDGE('',*,*,#184493,.F.); #234837=ORIENTED_EDGE('',*,*,#184494,.F.); #234838=ORIENTED_EDGE('',*,*,#184495,.F.); #234839=ORIENTED_EDGE('',*,*,#184496,.F.); #234840=ORIENTED_EDGE('',*,*,#184497,.F.); #234841=ORIENTED_EDGE('',*,*,#184498,.F.); #234842=ORIENTED_EDGE('',*,*,#184499,.F.); #234843=ORIENTED_EDGE('',*,*,#184500,.F.); #234844=ORIENTED_EDGE('',*,*,#184501,.F.); #234845=ORIENTED_EDGE('',*,*,#184502,.F.); #234846=ORIENTED_EDGE('',*,*,#184503,.F.); #234847=ORIENTED_EDGE('',*,*,#184429,.F.); #234848=ORIENTED_EDGE('',*,*,#184432,.F.); #234849=ORIENTED_EDGE('',*,*,#184435,.F.); #234850=ORIENTED_EDGE('',*,*,#184437,.F.); #234851=ORIENTED_EDGE('',*,*,#184421,.F.); #234852=ORIENTED_EDGE('',*,*,#184425,.T.); #234853=ORIENTED_EDGE('',*,*,#184436,.F.); #234854=ORIENTED_EDGE('',*,*,#184438,.F.); #234855=ORIENTED_EDGE('',*,*,#184504,.T.); #234856=ORIENTED_EDGE('',*,*,#184339,.T.); #234857=ORIENTED_EDGE('',*,*,#184505,.T.); #234858=ORIENTED_EDGE('',*,*,#184506,.T.); #234859=ORIENTED_EDGE('',*,*,#184507,.T.); #234860=ORIENTED_EDGE('',*,*,#184340,.T.); #234861=ORIENTED_EDGE('',*,*,#184504,.F.); #234862=ORIENTED_EDGE('',*,*,#184508,.T.); #234863=ORIENTED_EDGE('',*,*,#184509,.T.); #234864=ORIENTED_EDGE('',*,*,#184341,.T.); #234865=ORIENTED_EDGE('',*,*,#184507,.F.); #234866=ORIENTED_EDGE('',*,*,#184510,.T.); #234867=ORIENTED_EDGE('',*,*,#184505,.F.); #234868=ORIENTED_EDGE('',*,*,#184338,.T.); #234869=ORIENTED_EDGE('',*,*,#184342,.T.); #234870=ORIENTED_EDGE('',*,*,#184509,.F.); #234871=ORIENTED_EDGE('',*,*,#184511,.T.); #234872=ORIENTED_EDGE('',*,*,#184508,.F.); #234873=ORIENTED_EDGE('',*,*,#184512,.T.); #234874=ORIENTED_EDGE('',*,*,#184513,.F.); #234875=ORIENTED_EDGE('',*,*,#184514,.F.); #234876=ORIENTED_EDGE('',*,*,#184506,.F.); #234877=ORIENTED_EDGE('',*,*,#184515,.T.); #234878=ORIENTED_EDGE('',*,*,#184516,.F.); #234879=ORIENTED_EDGE('',*,*,#184512,.F.); #234880=ORIENTED_EDGE('',*,*,#184517,.T.); #234881=ORIENTED_EDGE('',*,*,#184448,.T.); #234882=ORIENTED_EDGE('',*,*,#184518,.T.); #234883=ORIENTED_EDGE('',*,*,#184516,.T.); #234884=ORIENTED_EDGE('',*,*,#184519,.T.); #234885=ORIENTED_EDGE('',*,*,#184449,.T.); #234886=ORIENTED_EDGE('',*,*,#184517,.F.); #234887=ORIENTED_EDGE('',*,*,#184520,.T.); #234888=ORIENTED_EDGE('',*,*,#184521,.T.); #234889=ORIENTED_EDGE('',*,*,#184450,.T.); #234890=ORIENTED_EDGE('',*,*,#184519,.F.); #234891=ORIENTED_EDGE('',*,*,#184522,.T.); #234892=ORIENTED_EDGE('',*,*,#184518,.F.); #234893=ORIENTED_EDGE('',*,*,#184451,.T.); #234894=ORIENTED_EDGE('',*,*,#184521,.F.); #234895=ORIENTED_EDGE('',*,*,#184513,.T.); #234896=ORIENTED_EDGE('',*,*,#184511,.F.); #234897=ORIENTED_EDGE('',*,*,#184523,.T.); #234898=ORIENTED_EDGE('',*,*,#184520,.F.); #234899=ORIENTED_EDGE('',*,*,#184515,.F.); #234900=ORIENTED_EDGE('',*,*,#184510,.F.); #234901=ORIENTED_EDGE('',*,*,#184514,.T.); #234902=ORIENTED_EDGE('',*,*,#184522,.F.); #234903=ORIENTED_EDGE('',*,*,#184523,.F.); #234904=ORIENTED_EDGE('',*,*,#184524,.T.); #234905=ORIENTED_EDGE('',*,*,#184345,.T.); #234906=ORIENTED_EDGE('',*,*,#184525,.T.); #234907=ORIENTED_EDGE('',*,*,#184526,.T.); #234908=ORIENTED_EDGE('',*,*,#184527,.T.); #234909=ORIENTED_EDGE('',*,*,#184244,.T.); #234910=ORIENTED_EDGE('',*,*,#184243,.T.); #234911=ORIENTED_EDGE('',*,*,#184346,.T.); #234912=ORIENTED_EDGE('',*,*,#184524,.F.); #234913=ORIENTED_EDGE('',*,*,#184528,.T.); #234914=ORIENTED_EDGE('',*,*,#184529,.T.); #234915=ORIENTED_EDGE('',*,*,#184245,.T.); #234916=ORIENTED_EDGE('',*,*,#184527,.F.); #234917=ORIENTED_EDGE('',*,*,#184530,.T.); #234918=ORIENTED_EDGE('',*,*,#184525,.F.); #234919=ORIENTED_EDGE('',*,*,#184344,.T.); #234920=ORIENTED_EDGE('',*,*,#184246,.T.); #234921=ORIENTED_EDGE('',*,*,#184529,.F.); #234922=ORIENTED_EDGE('',*,*,#184531,.T.); #234923=ORIENTED_EDGE('',*,*,#184528,.F.); #234924=ORIENTED_EDGE('',*,*,#184532,.T.); #234925=ORIENTED_EDGE('',*,*,#184533,.F.); #234926=ORIENTED_EDGE('',*,*,#184534,.F.); #234927=ORIENTED_EDGE('',*,*,#184526,.F.); #234928=ORIENTED_EDGE('',*,*,#184535,.T.); #234929=ORIENTED_EDGE('',*,*,#184536,.F.); #234930=ORIENTED_EDGE('',*,*,#184532,.F.); #234931=ORIENTED_EDGE('',*,*,#184537,.T.); #234932=ORIENTED_EDGE('',*,*,#184500,.T.); #234933=ORIENTED_EDGE('',*,*,#184538,.T.); #234934=ORIENTED_EDGE('',*,*,#184536,.T.); #234935=ORIENTED_EDGE('',*,*,#184539,.T.); #234936=ORIENTED_EDGE('',*,*,#184501,.T.); #234937=ORIENTED_EDGE('',*,*,#184537,.F.); #234938=ORIENTED_EDGE('',*,*,#184540,.T.); #234939=ORIENTED_EDGE('',*,*,#184541,.T.); #234940=ORIENTED_EDGE('',*,*,#184502,.T.); #234941=ORIENTED_EDGE('',*,*,#184539,.F.); #234942=ORIENTED_EDGE('',*,*,#184542,.T.); #234943=ORIENTED_EDGE('',*,*,#184538,.F.); #234944=ORIENTED_EDGE('',*,*,#184503,.T.); #234945=ORIENTED_EDGE('',*,*,#184541,.F.); #234946=ORIENTED_EDGE('',*,*,#184533,.T.); #234947=ORIENTED_EDGE('',*,*,#184531,.F.); #234948=ORIENTED_EDGE('',*,*,#184543,.T.); #234949=ORIENTED_EDGE('',*,*,#184540,.F.); #234950=ORIENTED_EDGE('',*,*,#184535,.F.); #234951=ORIENTED_EDGE('',*,*,#184530,.F.); #234952=ORIENTED_EDGE('',*,*,#184534,.T.); #234953=ORIENTED_EDGE('',*,*,#184542,.F.); #234954=ORIENTED_EDGE('',*,*,#184543,.F.); #234955=ORIENTED_EDGE('',*,*,#184544,.T.); #234956=ORIENTED_EDGE('',*,*,#184249,.T.); #234957=ORIENTED_EDGE('',*,*,#184545,.T.); #234958=ORIENTED_EDGE('',*,*,#184546,.T.); #234959=ORIENTED_EDGE('',*,*,#184547,.T.); #234960=ORIENTED_EDGE('',*,*,#184250,.T.); #234961=ORIENTED_EDGE('',*,*,#184544,.F.); #234962=ORIENTED_EDGE('',*,*,#184548,.T.); #234963=ORIENTED_EDGE('',*,*,#184549,.T.); #234964=ORIENTED_EDGE('',*,*,#184251,.T.); #234965=ORIENTED_EDGE('',*,*,#184547,.F.); #234966=ORIENTED_EDGE('',*,*,#184550,.T.); #234967=ORIENTED_EDGE('',*,*,#184545,.F.); #234968=ORIENTED_EDGE('',*,*,#184248,.T.); #234969=ORIENTED_EDGE('',*,*,#184252,.T.); #234970=ORIENTED_EDGE('',*,*,#184549,.F.); #234971=ORIENTED_EDGE('',*,*,#184551,.T.); #234972=ORIENTED_EDGE('',*,*,#184548,.F.); #234973=ORIENTED_EDGE('',*,*,#184552,.T.); #234974=ORIENTED_EDGE('',*,*,#184553,.F.); #234975=ORIENTED_EDGE('',*,*,#184554,.F.); #234976=ORIENTED_EDGE('',*,*,#184546,.F.); #234977=ORIENTED_EDGE('',*,*,#184555,.T.); #234978=ORIENTED_EDGE('',*,*,#184556,.F.); #234979=ORIENTED_EDGE('',*,*,#184552,.F.); #234980=ORIENTED_EDGE('',*,*,#184557,.T.); #234981=ORIENTED_EDGE('',*,*,#184452,.T.); #234982=ORIENTED_EDGE('',*,*,#184558,.T.); #234983=ORIENTED_EDGE('',*,*,#184556,.T.); #234984=ORIENTED_EDGE('',*,*,#184559,.T.); #234985=ORIENTED_EDGE('',*,*,#184453,.T.); #234986=ORIENTED_EDGE('',*,*,#184557,.F.); #234987=ORIENTED_EDGE('',*,*,#184560,.T.); #234988=ORIENTED_EDGE('',*,*,#184561,.T.); #234989=ORIENTED_EDGE('',*,*,#184454,.T.); #234990=ORIENTED_EDGE('',*,*,#184559,.F.); #234991=ORIENTED_EDGE('',*,*,#184562,.T.); #234992=ORIENTED_EDGE('',*,*,#184558,.F.); #234993=ORIENTED_EDGE('',*,*,#184455,.T.); #234994=ORIENTED_EDGE('',*,*,#184561,.F.); #234995=ORIENTED_EDGE('',*,*,#184553,.T.); #234996=ORIENTED_EDGE('',*,*,#184551,.F.); #234997=ORIENTED_EDGE('',*,*,#184563,.T.); #234998=ORIENTED_EDGE('',*,*,#184560,.F.); #234999=ORIENTED_EDGE('',*,*,#184555,.F.); #235000=ORIENTED_EDGE('',*,*,#184550,.F.); #235001=ORIENTED_EDGE('',*,*,#184554,.T.); #235002=ORIENTED_EDGE('',*,*,#184562,.F.); #235003=ORIENTED_EDGE('',*,*,#184563,.F.); #235004=ORIENTED_EDGE('',*,*,#184564,.T.); #235005=ORIENTED_EDGE('',*,*,#184255,.T.); #235006=ORIENTED_EDGE('',*,*,#184565,.T.); #235007=ORIENTED_EDGE('',*,*,#184566,.T.); #235008=ORIENTED_EDGE('',*,*,#184567,.T.); #235009=ORIENTED_EDGE('',*,*,#184256,.T.); #235010=ORIENTED_EDGE('',*,*,#184564,.F.); #235011=ORIENTED_EDGE('',*,*,#184568,.T.); #235012=ORIENTED_EDGE('',*,*,#184569,.T.); #235013=ORIENTED_EDGE('',*,*,#184257,.T.); #235014=ORIENTED_EDGE('',*,*,#184567,.F.); #235015=ORIENTED_EDGE('',*,*,#184570,.T.); #235016=ORIENTED_EDGE('',*,*,#184565,.F.); #235017=ORIENTED_EDGE('',*,*,#184254,.T.); #235018=ORIENTED_EDGE('',*,*,#184258,.T.); #235019=ORIENTED_EDGE('',*,*,#184569,.F.); #235020=ORIENTED_EDGE('',*,*,#184571,.T.); #235021=ORIENTED_EDGE('',*,*,#184568,.F.); #235022=ORIENTED_EDGE('',*,*,#184572,.T.); #235023=ORIENTED_EDGE('',*,*,#184573,.F.); #235024=ORIENTED_EDGE('',*,*,#184574,.F.); #235025=ORIENTED_EDGE('',*,*,#184566,.F.); #235026=ORIENTED_EDGE('',*,*,#184575,.T.); #235027=ORIENTED_EDGE('',*,*,#184576,.F.); #235028=ORIENTED_EDGE('',*,*,#184572,.F.); #235029=ORIENTED_EDGE('',*,*,#184577,.T.); #235030=ORIENTED_EDGE('',*,*,#184496,.T.); #235031=ORIENTED_EDGE('',*,*,#184578,.T.); #235032=ORIENTED_EDGE('',*,*,#184576,.T.); #235033=ORIENTED_EDGE('',*,*,#184579,.T.); #235034=ORIENTED_EDGE('',*,*,#184497,.T.); #235035=ORIENTED_EDGE('',*,*,#184577,.F.); #235036=ORIENTED_EDGE('',*,*,#184580,.T.); #235037=ORIENTED_EDGE('',*,*,#184581,.T.); #235038=ORIENTED_EDGE('',*,*,#184498,.T.); #235039=ORIENTED_EDGE('',*,*,#184579,.F.); #235040=ORIENTED_EDGE('',*,*,#184582,.T.); #235041=ORIENTED_EDGE('',*,*,#184578,.F.); #235042=ORIENTED_EDGE('',*,*,#184499,.T.); #235043=ORIENTED_EDGE('',*,*,#184581,.F.); #235044=ORIENTED_EDGE('',*,*,#184573,.T.); #235045=ORIENTED_EDGE('',*,*,#184571,.F.); #235046=ORIENTED_EDGE('',*,*,#184583,.T.); #235047=ORIENTED_EDGE('',*,*,#184580,.F.); #235048=ORIENTED_EDGE('',*,*,#184575,.F.); #235049=ORIENTED_EDGE('',*,*,#184570,.F.); #235050=ORIENTED_EDGE('',*,*,#184574,.T.); #235051=ORIENTED_EDGE('',*,*,#184582,.F.); #235052=ORIENTED_EDGE('',*,*,#184583,.F.); #235053=ORIENTED_EDGE('',*,*,#184584,.T.); #235054=ORIENTED_EDGE('',*,*,#184261,.T.); #235055=ORIENTED_EDGE('',*,*,#184585,.T.); #235056=ORIENTED_EDGE('',*,*,#184586,.T.); #235057=ORIENTED_EDGE('',*,*,#184587,.T.); #235058=ORIENTED_EDGE('',*,*,#184262,.T.); #235059=ORIENTED_EDGE('',*,*,#184584,.F.); #235060=ORIENTED_EDGE('',*,*,#184588,.T.); #235061=ORIENTED_EDGE('',*,*,#184589,.T.); #235062=ORIENTED_EDGE('',*,*,#184263,.T.); #235063=ORIENTED_EDGE('',*,*,#184587,.F.); #235064=ORIENTED_EDGE('',*,*,#184590,.T.); #235065=ORIENTED_EDGE('',*,*,#184585,.F.); #235066=ORIENTED_EDGE('',*,*,#184260,.T.); #235067=ORIENTED_EDGE('',*,*,#184264,.T.); #235068=ORIENTED_EDGE('',*,*,#184589,.F.); #235069=ORIENTED_EDGE('',*,*,#184591,.T.); #235070=ORIENTED_EDGE('',*,*,#184588,.F.); #235071=ORIENTED_EDGE('',*,*,#184592,.T.); #235072=ORIENTED_EDGE('',*,*,#184593,.F.); #235073=ORIENTED_EDGE('',*,*,#184594,.F.); #235074=ORIENTED_EDGE('',*,*,#184586,.F.); #235075=ORIENTED_EDGE('',*,*,#184595,.T.); #235076=ORIENTED_EDGE('',*,*,#184596,.F.); #235077=ORIENTED_EDGE('',*,*,#184592,.F.); #235078=ORIENTED_EDGE('',*,*,#184597,.T.); #235079=ORIENTED_EDGE('',*,*,#184456,.T.); #235080=ORIENTED_EDGE('',*,*,#184598,.T.); #235081=ORIENTED_EDGE('',*,*,#184596,.T.); #235082=ORIENTED_EDGE('',*,*,#184599,.T.); #235083=ORIENTED_EDGE('',*,*,#184457,.T.); #235084=ORIENTED_EDGE('',*,*,#184597,.F.); #235085=ORIENTED_EDGE('',*,*,#184600,.T.); #235086=ORIENTED_EDGE('',*,*,#184601,.T.); #235087=ORIENTED_EDGE('',*,*,#184458,.T.); #235088=ORIENTED_EDGE('',*,*,#184599,.F.); #235089=ORIENTED_EDGE('',*,*,#184602,.T.); #235090=ORIENTED_EDGE('',*,*,#184598,.F.); #235091=ORIENTED_EDGE('',*,*,#184459,.T.); #235092=ORIENTED_EDGE('',*,*,#184601,.F.); #235093=ORIENTED_EDGE('',*,*,#184593,.T.); #235094=ORIENTED_EDGE('',*,*,#184591,.F.); #235095=ORIENTED_EDGE('',*,*,#184603,.T.); #235096=ORIENTED_EDGE('',*,*,#184600,.F.); #235097=ORIENTED_EDGE('',*,*,#184595,.F.); #235098=ORIENTED_EDGE('',*,*,#184590,.F.); #235099=ORIENTED_EDGE('',*,*,#184594,.T.); #235100=ORIENTED_EDGE('',*,*,#184602,.F.); #235101=ORIENTED_EDGE('',*,*,#184603,.F.); #235102=ORIENTED_EDGE('',*,*,#184604,.T.); #235103=ORIENTED_EDGE('',*,*,#184267,.T.); #235104=ORIENTED_EDGE('',*,*,#184605,.T.); #235105=ORIENTED_EDGE('',*,*,#184606,.T.); #235106=ORIENTED_EDGE('',*,*,#184607,.T.); #235107=ORIENTED_EDGE('',*,*,#184268,.T.); #235108=ORIENTED_EDGE('',*,*,#184604,.F.); #235109=ORIENTED_EDGE('',*,*,#184608,.T.); #235110=ORIENTED_EDGE('',*,*,#184609,.T.); #235111=ORIENTED_EDGE('',*,*,#184269,.T.); #235112=ORIENTED_EDGE('',*,*,#184607,.F.); #235113=ORIENTED_EDGE('',*,*,#184610,.T.); #235114=ORIENTED_EDGE('',*,*,#184605,.F.); #235115=ORIENTED_EDGE('',*,*,#184266,.T.); #235116=ORIENTED_EDGE('',*,*,#184270,.T.); #235117=ORIENTED_EDGE('',*,*,#184609,.F.); #235118=ORIENTED_EDGE('',*,*,#184611,.T.); #235119=ORIENTED_EDGE('',*,*,#184608,.F.); #235120=ORIENTED_EDGE('',*,*,#184612,.T.); #235121=ORIENTED_EDGE('',*,*,#184613,.F.); #235122=ORIENTED_EDGE('',*,*,#184614,.F.); #235123=ORIENTED_EDGE('',*,*,#184606,.F.); #235124=ORIENTED_EDGE('',*,*,#184615,.T.); #235125=ORIENTED_EDGE('',*,*,#184616,.F.); #235126=ORIENTED_EDGE('',*,*,#184612,.F.); #235127=ORIENTED_EDGE('',*,*,#184617,.T.); #235128=ORIENTED_EDGE('',*,*,#184492,.T.); #235129=ORIENTED_EDGE('',*,*,#184618,.T.); #235130=ORIENTED_EDGE('',*,*,#184616,.T.); #235131=ORIENTED_EDGE('',*,*,#184619,.T.); #235132=ORIENTED_EDGE('',*,*,#184493,.T.); #235133=ORIENTED_EDGE('',*,*,#184617,.F.); #235134=ORIENTED_EDGE('',*,*,#184620,.T.); #235135=ORIENTED_EDGE('',*,*,#184621,.T.); #235136=ORIENTED_EDGE('',*,*,#184494,.T.); #235137=ORIENTED_EDGE('',*,*,#184619,.F.); #235138=ORIENTED_EDGE('',*,*,#184622,.T.); #235139=ORIENTED_EDGE('',*,*,#184618,.F.); #235140=ORIENTED_EDGE('',*,*,#184495,.T.); #235141=ORIENTED_EDGE('',*,*,#184621,.F.); #235142=ORIENTED_EDGE('',*,*,#184613,.T.); #235143=ORIENTED_EDGE('',*,*,#184611,.F.); #235144=ORIENTED_EDGE('',*,*,#184623,.T.); #235145=ORIENTED_EDGE('',*,*,#184620,.F.); #235146=ORIENTED_EDGE('',*,*,#184615,.F.); #235147=ORIENTED_EDGE('',*,*,#184610,.F.); #235148=ORIENTED_EDGE('',*,*,#184614,.T.); #235149=ORIENTED_EDGE('',*,*,#184622,.F.); #235150=ORIENTED_EDGE('',*,*,#184623,.F.); #235151=ORIENTED_EDGE('',*,*,#184624,.T.); #235152=ORIENTED_EDGE('',*,*,#184273,.T.); #235153=ORIENTED_EDGE('',*,*,#184625,.T.); #235154=ORIENTED_EDGE('',*,*,#184626,.T.); #235155=ORIENTED_EDGE('',*,*,#184627,.T.); #235156=ORIENTED_EDGE('',*,*,#184274,.T.); #235157=ORIENTED_EDGE('',*,*,#184624,.F.); #235158=ORIENTED_EDGE('',*,*,#184628,.T.); #235159=ORIENTED_EDGE('',*,*,#184629,.T.); #235160=ORIENTED_EDGE('',*,*,#184275,.T.); #235161=ORIENTED_EDGE('',*,*,#184627,.F.); #235162=ORIENTED_EDGE('',*,*,#184630,.T.); #235163=ORIENTED_EDGE('',*,*,#184625,.F.); #235164=ORIENTED_EDGE('',*,*,#184272,.T.); #235165=ORIENTED_EDGE('',*,*,#184276,.T.); #235166=ORIENTED_EDGE('',*,*,#184629,.F.); #235167=ORIENTED_EDGE('',*,*,#184631,.T.); #235168=ORIENTED_EDGE('',*,*,#184628,.F.); #235169=ORIENTED_EDGE('',*,*,#184632,.T.); #235170=ORIENTED_EDGE('',*,*,#184633,.F.); #235171=ORIENTED_EDGE('',*,*,#184634,.F.); #235172=ORIENTED_EDGE('',*,*,#184626,.F.); #235173=ORIENTED_EDGE('',*,*,#184635,.T.); #235174=ORIENTED_EDGE('',*,*,#184636,.F.); #235175=ORIENTED_EDGE('',*,*,#184632,.F.); #235176=ORIENTED_EDGE('',*,*,#184637,.T.); #235177=ORIENTED_EDGE('',*,*,#184460,.T.); #235178=ORIENTED_EDGE('',*,*,#184638,.T.); #235179=ORIENTED_EDGE('',*,*,#184636,.T.); #235180=ORIENTED_EDGE('',*,*,#184639,.T.); #235181=ORIENTED_EDGE('',*,*,#184461,.T.); #235182=ORIENTED_EDGE('',*,*,#184637,.F.); #235183=ORIENTED_EDGE('',*,*,#184640,.T.); #235184=ORIENTED_EDGE('',*,*,#184641,.T.); #235185=ORIENTED_EDGE('',*,*,#184462,.T.); #235186=ORIENTED_EDGE('',*,*,#184639,.F.); #235187=ORIENTED_EDGE('',*,*,#184642,.T.); #235188=ORIENTED_EDGE('',*,*,#184638,.F.); #235189=ORIENTED_EDGE('',*,*,#184463,.T.); #235190=ORIENTED_EDGE('',*,*,#184641,.F.); #235191=ORIENTED_EDGE('',*,*,#184633,.T.); #235192=ORIENTED_EDGE('',*,*,#184631,.F.); #235193=ORIENTED_EDGE('',*,*,#184643,.T.); #235194=ORIENTED_EDGE('',*,*,#184640,.F.); #235195=ORIENTED_EDGE('',*,*,#184635,.F.); #235196=ORIENTED_EDGE('',*,*,#184630,.F.); #235197=ORIENTED_EDGE('',*,*,#184634,.T.); #235198=ORIENTED_EDGE('',*,*,#184642,.F.); #235199=ORIENTED_EDGE('',*,*,#184643,.F.); #235200=ORIENTED_EDGE('',*,*,#184644,.T.); #235201=ORIENTED_EDGE('',*,*,#184279,.T.); #235202=ORIENTED_EDGE('',*,*,#184645,.T.); #235203=ORIENTED_EDGE('',*,*,#184646,.T.); #235204=ORIENTED_EDGE('',*,*,#184647,.T.); #235205=ORIENTED_EDGE('',*,*,#184280,.T.); #235206=ORIENTED_EDGE('',*,*,#184644,.F.); #235207=ORIENTED_EDGE('',*,*,#184648,.T.); #235208=ORIENTED_EDGE('',*,*,#184649,.T.); #235209=ORIENTED_EDGE('',*,*,#184281,.T.); #235210=ORIENTED_EDGE('',*,*,#184647,.F.); #235211=ORIENTED_EDGE('',*,*,#184650,.T.); #235212=ORIENTED_EDGE('',*,*,#184645,.F.); #235213=ORIENTED_EDGE('',*,*,#184278,.T.); #235214=ORIENTED_EDGE('',*,*,#184282,.T.); #235215=ORIENTED_EDGE('',*,*,#184649,.F.); #235216=ORIENTED_EDGE('',*,*,#184651,.T.); #235217=ORIENTED_EDGE('',*,*,#184648,.F.); #235218=ORIENTED_EDGE('',*,*,#184652,.T.); #235219=ORIENTED_EDGE('',*,*,#184653,.F.); #235220=ORIENTED_EDGE('',*,*,#184654,.F.); #235221=ORIENTED_EDGE('',*,*,#184646,.F.); #235222=ORIENTED_EDGE('',*,*,#184655,.T.); #235223=ORIENTED_EDGE('',*,*,#184656,.F.); #235224=ORIENTED_EDGE('',*,*,#184652,.F.); #235225=ORIENTED_EDGE('',*,*,#184657,.T.); #235226=ORIENTED_EDGE('',*,*,#184488,.T.); #235227=ORIENTED_EDGE('',*,*,#184658,.T.); #235228=ORIENTED_EDGE('',*,*,#184656,.T.); #235229=ORIENTED_EDGE('',*,*,#184659,.T.); #235230=ORIENTED_EDGE('',*,*,#184489,.T.); #235231=ORIENTED_EDGE('',*,*,#184657,.F.); #235232=ORIENTED_EDGE('',*,*,#184660,.T.); #235233=ORIENTED_EDGE('',*,*,#184661,.T.); #235234=ORIENTED_EDGE('',*,*,#184490,.T.); #235235=ORIENTED_EDGE('',*,*,#184659,.F.); #235236=ORIENTED_EDGE('',*,*,#184662,.T.); #235237=ORIENTED_EDGE('',*,*,#184658,.F.); #235238=ORIENTED_EDGE('',*,*,#184491,.T.); #235239=ORIENTED_EDGE('',*,*,#184661,.F.); #235240=ORIENTED_EDGE('',*,*,#184653,.T.); #235241=ORIENTED_EDGE('',*,*,#184651,.F.); #235242=ORIENTED_EDGE('',*,*,#184663,.T.); #235243=ORIENTED_EDGE('',*,*,#184660,.F.); #235244=ORIENTED_EDGE('',*,*,#184655,.F.); #235245=ORIENTED_EDGE('',*,*,#184650,.F.); #235246=ORIENTED_EDGE('',*,*,#184654,.T.); #235247=ORIENTED_EDGE('',*,*,#184662,.F.); #235248=ORIENTED_EDGE('',*,*,#184663,.F.); #235249=ORIENTED_EDGE('',*,*,#184664,.T.); #235250=ORIENTED_EDGE('',*,*,#184285,.T.); #235251=ORIENTED_EDGE('',*,*,#184665,.T.); #235252=ORIENTED_EDGE('',*,*,#184666,.T.); #235253=ORIENTED_EDGE('',*,*,#184667,.T.); #235254=ORIENTED_EDGE('',*,*,#184286,.T.); #235255=ORIENTED_EDGE('',*,*,#184664,.F.); #235256=ORIENTED_EDGE('',*,*,#184668,.T.); #235257=ORIENTED_EDGE('',*,*,#184669,.T.); #235258=ORIENTED_EDGE('',*,*,#184287,.T.); #235259=ORIENTED_EDGE('',*,*,#184667,.F.); #235260=ORIENTED_EDGE('',*,*,#184670,.T.); #235261=ORIENTED_EDGE('',*,*,#184665,.F.); #235262=ORIENTED_EDGE('',*,*,#184284,.T.); #235263=ORIENTED_EDGE('',*,*,#184288,.T.); #235264=ORIENTED_EDGE('',*,*,#184669,.F.); #235265=ORIENTED_EDGE('',*,*,#184671,.T.); #235266=ORIENTED_EDGE('',*,*,#184668,.F.); #235267=ORIENTED_EDGE('',*,*,#184672,.T.); #235268=ORIENTED_EDGE('',*,*,#184673,.F.); #235269=ORIENTED_EDGE('',*,*,#184674,.F.); #235270=ORIENTED_EDGE('',*,*,#184666,.F.); #235271=ORIENTED_EDGE('',*,*,#184675,.T.); #235272=ORIENTED_EDGE('',*,*,#184676,.F.); #235273=ORIENTED_EDGE('',*,*,#184672,.F.); #235274=ORIENTED_EDGE('',*,*,#184677,.T.); #235275=ORIENTED_EDGE('',*,*,#184464,.T.); #235276=ORIENTED_EDGE('',*,*,#184678,.T.); #235277=ORIENTED_EDGE('',*,*,#184676,.T.); #235278=ORIENTED_EDGE('',*,*,#184679,.T.); #235279=ORIENTED_EDGE('',*,*,#184465,.T.); #235280=ORIENTED_EDGE('',*,*,#184677,.F.); #235281=ORIENTED_EDGE('',*,*,#184680,.T.); #235282=ORIENTED_EDGE('',*,*,#184681,.T.); #235283=ORIENTED_EDGE('',*,*,#184466,.T.); #235284=ORIENTED_EDGE('',*,*,#184679,.F.); #235285=ORIENTED_EDGE('',*,*,#184682,.T.); #235286=ORIENTED_EDGE('',*,*,#184678,.F.); #235287=ORIENTED_EDGE('',*,*,#184467,.T.); #235288=ORIENTED_EDGE('',*,*,#184681,.F.); #235289=ORIENTED_EDGE('',*,*,#184673,.T.); #235290=ORIENTED_EDGE('',*,*,#184671,.F.); #235291=ORIENTED_EDGE('',*,*,#184683,.T.); #235292=ORIENTED_EDGE('',*,*,#184680,.F.); #235293=ORIENTED_EDGE('',*,*,#184675,.F.); #235294=ORIENTED_EDGE('',*,*,#184670,.F.); #235295=ORIENTED_EDGE('',*,*,#184674,.T.); #235296=ORIENTED_EDGE('',*,*,#184682,.F.); #235297=ORIENTED_EDGE('',*,*,#184683,.F.); #235298=ORIENTED_EDGE('',*,*,#184684,.T.); #235299=ORIENTED_EDGE('',*,*,#184291,.T.); #235300=ORIENTED_EDGE('',*,*,#184685,.T.); #235301=ORIENTED_EDGE('',*,*,#184686,.T.); #235302=ORIENTED_EDGE('',*,*,#184687,.T.); #235303=ORIENTED_EDGE('',*,*,#184292,.T.); #235304=ORIENTED_EDGE('',*,*,#184684,.F.); #235305=ORIENTED_EDGE('',*,*,#184688,.T.); #235306=ORIENTED_EDGE('',*,*,#184689,.T.); #235307=ORIENTED_EDGE('',*,*,#184293,.T.); #235308=ORIENTED_EDGE('',*,*,#184687,.F.); #235309=ORIENTED_EDGE('',*,*,#184690,.T.); #235310=ORIENTED_EDGE('',*,*,#184685,.F.); #235311=ORIENTED_EDGE('',*,*,#184290,.T.); #235312=ORIENTED_EDGE('',*,*,#184294,.T.); #235313=ORIENTED_EDGE('',*,*,#184689,.F.); #235314=ORIENTED_EDGE('',*,*,#184691,.T.); #235315=ORIENTED_EDGE('',*,*,#184688,.F.); #235316=ORIENTED_EDGE('',*,*,#184692,.T.); #235317=ORIENTED_EDGE('',*,*,#184693,.F.); #235318=ORIENTED_EDGE('',*,*,#184694,.F.); #235319=ORIENTED_EDGE('',*,*,#184686,.F.); #235320=ORIENTED_EDGE('',*,*,#184695,.T.); #235321=ORIENTED_EDGE('',*,*,#184696,.F.); #235322=ORIENTED_EDGE('',*,*,#184692,.F.); #235323=ORIENTED_EDGE('',*,*,#184697,.T.); #235324=ORIENTED_EDGE('',*,*,#184484,.T.); #235325=ORIENTED_EDGE('',*,*,#184698,.T.); #235326=ORIENTED_EDGE('',*,*,#184696,.T.); #235327=ORIENTED_EDGE('',*,*,#184699,.T.); #235328=ORIENTED_EDGE('',*,*,#184485,.T.); #235329=ORIENTED_EDGE('',*,*,#184697,.F.); #235330=ORIENTED_EDGE('',*,*,#184700,.T.); #235331=ORIENTED_EDGE('',*,*,#184701,.T.); #235332=ORIENTED_EDGE('',*,*,#184486,.T.); #235333=ORIENTED_EDGE('',*,*,#184699,.F.); #235334=ORIENTED_EDGE('',*,*,#184702,.T.); #235335=ORIENTED_EDGE('',*,*,#184698,.F.); #235336=ORIENTED_EDGE('',*,*,#184487,.T.); #235337=ORIENTED_EDGE('',*,*,#184701,.F.); #235338=ORIENTED_EDGE('',*,*,#184693,.T.); #235339=ORIENTED_EDGE('',*,*,#184691,.F.); #235340=ORIENTED_EDGE('',*,*,#184703,.T.); #235341=ORIENTED_EDGE('',*,*,#184700,.F.); #235342=ORIENTED_EDGE('',*,*,#184695,.F.); #235343=ORIENTED_EDGE('',*,*,#184690,.F.); #235344=ORIENTED_EDGE('',*,*,#184694,.T.); #235345=ORIENTED_EDGE('',*,*,#184702,.F.); #235346=ORIENTED_EDGE('',*,*,#184703,.F.); #235347=ORIENTED_EDGE('',*,*,#184704,.T.); #235348=ORIENTED_EDGE('',*,*,#184297,.T.); #235349=ORIENTED_EDGE('',*,*,#184705,.T.); #235350=ORIENTED_EDGE('',*,*,#184706,.T.); #235351=ORIENTED_EDGE('',*,*,#184707,.T.); #235352=ORIENTED_EDGE('',*,*,#184304,.T.); #235353=ORIENTED_EDGE('',*,*,#184298,.T.); #235354=ORIENTED_EDGE('',*,*,#184704,.F.); #235355=ORIENTED_EDGE('',*,*,#184708,.T.); #235356=ORIENTED_EDGE('',*,*,#184709,.T.); #235357=ORIENTED_EDGE('',*,*,#184305,.T.); #235358=ORIENTED_EDGE('',*,*,#184707,.F.); #235359=ORIENTED_EDGE('',*,*,#184710,.T.); #235360=ORIENTED_EDGE('',*,*,#184705,.F.); #235361=ORIENTED_EDGE('',*,*,#184296,.T.); #235362=ORIENTED_EDGE('',*,*,#184306,.T.); #235363=ORIENTED_EDGE('',*,*,#184709,.F.); #235364=ORIENTED_EDGE('',*,*,#184711,.T.); #235365=ORIENTED_EDGE('',*,*,#184708,.F.); #235366=ORIENTED_EDGE('',*,*,#184712,.T.); #235367=ORIENTED_EDGE('',*,*,#184713,.F.); #235368=ORIENTED_EDGE('',*,*,#184714,.F.); #235369=ORIENTED_EDGE('',*,*,#184706,.F.); #235370=ORIENTED_EDGE('',*,*,#184715,.T.); #235371=ORIENTED_EDGE('',*,*,#184716,.F.); #235372=ORIENTED_EDGE('',*,*,#184712,.F.); #235373=ORIENTED_EDGE('',*,*,#184717,.T.); #235374=ORIENTED_EDGE('',*,*,#184446,.T.); #235375=ORIENTED_EDGE('',*,*,#184718,.T.); #235376=ORIENTED_EDGE('',*,*,#184716,.T.); #235377=ORIENTED_EDGE('',*,*,#184719,.T.); #235378=ORIENTED_EDGE('',*,*,#184440,.T.); #235379=ORIENTED_EDGE('',*,*,#184447,.T.); #235380=ORIENTED_EDGE('',*,*,#184717,.F.); #235381=ORIENTED_EDGE('',*,*,#184720,.T.); #235382=ORIENTED_EDGE('',*,*,#184721,.T.); #235383=ORIENTED_EDGE('',*,*,#184441,.T.); #235384=ORIENTED_EDGE('',*,*,#184719,.F.); #235385=ORIENTED_EDGE('',*,*,#184722,.T.); #235386=ORIENTED_EDGE('',*,*,#184718,.F.); #235387=ORIENTED_EDGE('',*,*,#184445,.T.); #235388=ORIENTED_EDGE('',*,*,#184442,.T.); #235389=ORIENTED_EDGE('',*,*,#184721,.F.); #235390=ORIENTED_EDGE('',*,*,#184713,.T.); #235391=ORIENTED_EDGE('',*,*,#184711,.F.); #235392=ORIENTED_EDGE('',*,*,#184723,.T.); #235393=ORIENTED_EDGE('',*,*,#184720,.F.); #235394=ORIENTED_EDGE('',*,*,#184715,.F.); #235395=ORIENTED_EDGE('',*,*,#184710,.F.); #235396=ORIENTED_EDGE('',*,*,#184714,.T.); #235397=ORIENTED_EDGE('',*,*,#184722,.F.); #235398=ORIENTED_EDGE('',*,*,#184723,.F.); #235399=ORIENTED_EDGE('',*,*,#184724,.T.); #235400=ORIENTED_EDGE('',*,*,#184309,.T.); #235401=ORIENTED_EDGE('',*,*,#184725,.T.); #235402=ORIENTED_EDGE('',*,*,#184726,.T.); #235403=ORIENTED_EDGE('',*,*,#184727,.T.); #235404=ORIENTED_EDGE('',*,*,#184310,.T.); #235405=ORIENTED_EDGE('',*,*,#184724,.F.); #235406=ORIENTED_EDGE('',*,*,#184728,.T.); #235407=ORIENTED_EDGE('',*,*,#184729,.T.); #235408=ORIENTED_EDGE('',*,*,#184311,.T.); #235409=ORIENTED_EDGE('',*,*,#184727,.F.); #235410=ORIENTED_EDGE('',*,*,#184730,.T.); #235411=ORIENTED_EDGE('',*,*,#184725,.F.); #235412=ORIENTED_EDGE('',*,*,#184308,.T.); #235413=ORIENTED_EDGE('',*,*,#184312,.T.); #235414=ORIENTED_EDGE('',*,*,#184729,.F.); #235415=ORIENTED_EDGE('',*,*,#184731,.T.); #235416=ORIENTED_EDGE('',*,*,#184728,.F.); #235417=ORIENTED_EDGE('',*,*,#184732,.T.); #235418=ORIENTED_EDGE('',*,*,#184733,.F.); #235419=ORIENTED_EDGE('',*,*,#184734,.F.); #235420=ORIENTED_EDGE('',*,*,#184726,.F.); #235421=ORIENTED_EDGE('',*,*,#184735,.T.); #235422=ORIENTED_EDGE('',*,*,#184736,.F.); #235423=ORIENTED_EDGE('',*,*,#184732,.F.); #235424=ORIENTED_EDGE('',*,*,#184737,.T.); #235425=ORIENTED_EDGE('',*,*,#184480,.T.); #235426=ORIENTED_EDGE('',*,*,#184738,.T.); #235427=ORIENTED_EDGE('',*,*,#184736,.T.); #235428=ORIENTED_EDGE('',*,*,#184739,.T.); #235429=ORIENTED_EDGE('',*,*,#184481,.T.); #235430=ORIENTED_EDGE('',*,*,#184737,.F.); #235431=ORIENTED_EDGE('',*,*,#184740,.T.); #235432=ORIENTED_EDGE('',*,*,#184741,.T.); #235433=ORIENTED_EDGE('',*,*,#184482,.T.); #235434=ORIENTED_EDGE('',*,*,#184739,.F.); #235435=ORIENTED_EDGE('',*,*,#184742,.T.); #235436=ORIENTED_EDGE('',*,*,#184738,.F.); #235437=ORIENTED_EDGE('',*,*,#184483,.T.); #235438=ORIENTED_EDGE('',*,*,#184741,.F.); #235439=ORIENTED_EDGE('',*,*,#184733,.T.); #235440=ORIENTED_EDGE('',*,*,#184731,.F.); #235441=ORIENTED_EDGE('',*,*,#184743,.T.); #235442=ORIENTED_EDGE('',*,*,#184740,.F.); #235443=ORIENTED_EDGE('',*,*,#184735,.F.); #235444=ORIENTED_EDGE('',*,*,#184730,.F.); #235445=ORIENTED_EDGE('',*,*,#184734,.T.); #235446=ORIENTED_EDGE('',*,*,#184742,.F.); #235447=ORIENTED_EDGE('',*,*,#184743,.F.); #235448=ORIENTED_EDGE('',*,*,#184744,.T.); #235449=ORIENTED_EDGE('',*,*,#184315,.T.); #235450=ORIENTED_EDGE('',*,*,#184745,.T.); #235451=ORIENTED_EDGE('',*,*,#184746,.T.); #235452=ORIENTED_EDGE('',*,*,#184747,.T.); #235453=ORIENTED_EDGE('',*,*,#184316,.T.); #235454=ORIENTED_EDGE('',*,*,#184744,.F.); #235455=ORIENTED_EDGE('',*,*,#184748,.T.); #235456=ORIENTED_EDGE('',*,*,#184749,.T.); #235457=ORIENTED_EDGE('',*,*,#184317,.T.); #235458=ORIENTED_EDGE('',*,*,#184747,.F.); #235459=ORIENTED_EDGE('',*,*,#184750,.T.); #235460=ORIENTED_EDGE('',*,*,#184745,.F.); #235461=ORIENTED_EDGE('',*,*,#184314,.T.); #235462=ORIENTED_EDGE('',*,*,#184318,.T.); #235463=ORIENTED_EDGE('',*,*,#184749,.F.); #235464=ORIENTED_EDGE('',*,*,#184751,.T.); #235465=ORIENTED_EDGE('',*,*,#184748,.F.); #235466=ORIENTED_EDGE('',*,*,#184752,.T.); #235467=ORIENTED_EDGE('',*,*,#184753,.F.); #235468=ORIENTED_EDGE('',*,*,#184754,.F.); #235469=ORIENTED_EDGE('',*,*,#184746,.F.); #235470=ORIENTED_EDGE('',*,*,#184755,.T.); #235471=ORIENTED_EDGE('',*,*,#184756,.F.); #235472=ORIENTED_EDGE('',*,*,#184752,.F.); #235473=ORIENTED_EDGE('',*,*,#184757,.T.); #235474=ORIENTED_EDGE('',*,*,#184468,.T.); #235475=ORIENTED_EDGE('',*,*,#184758,.T.); #235476=ORIENTED_EDGE('',*,*,#184756,.T.); #235477=ORIENTED_EDGE('',*,*,#184759,.T.); #235478=ORIENTED_EDGE('',*,*,#184469,.T.); #235479=ORIENTED_EDGE('',*,*,#184757,.F.); #235480=ORIENTED_EDGE('',*,*,#184760,.T.); #235481=ORIENTED_EDGE('',*,*,#184761,.T.); #235482=ORIENTED_EDGE('',*,*,#184470,.T.); #235483=ORIENTED_EDGE('',*,*,#184759,.F.); #235484=ORIENTED_EDGE('',*,*,#184762,.T.); #235485=ORIENTED_EDGE('',*,*,#184758,.F.); #235486=ORIENTED_EDGE('',*,*,#184471,.T.); #235487=ORIENTED_EDGE('',*,*,#184761,.F.); #235488=ORIENTED_EDGE('',*,*,#184753,.T.); #235489=ORIENTED_EDGE('',*,*,#184751,.F.); #235490=ORIENTED_EDGE('',*,*,#184763,.T.); #235491=ORIENTED_EDGE('',*,*,#184760,.F.); #235492=ORIENTED_EDGE('',*,*,#184755,.F.); #235493=ORIENTED_EDGE('',*,*,#184750,.F.); #235494=ORIENTED_EDGE('',*,*,#184754,.T.); #235495=ORIENTED_EDGE('',*,*,#184762,.F.); #235496=ORIENTED_EDGE('',*,*,#184763,.F.); #235497=ORIENTED_EDGE('',*,*,#184764,.T.); #235498=ORIENTED_EDGE('',*,*,#184321,.T.); #235499=ORIENTED_EDGE('',*,*,#184765,.T.); #235500=ORIENTED_EDGE('',*,*,#184766,.T.); #235501=ORIENTED_EDGE('',*,*,#184767,.T.); #235502=ORIENTED_EDGE('',*,*,#184322,.T.); #235503=ORIENTED_EDGE('',*,*,#184764,.F.); #235504=ORIENTED_EDGE('',*,*,#184768,.T.); #235505=ORIENTED_EDGE('',*,*,#184769,.T.); #235506=ORIENTED_EDGE('',*,*,#184323,.T.); #235507=ORIENTED_EDGE('',*,*,#184767,.F.); #235508=ORIENTED_EDGE('',*,*,#184770,.T.); #235509=ORIENTED_EDGE('',*,*,#184765,.F.); #235510=ORIENTED_EDGE('',*,*,#184320,.T.); #235511=ORIENTED_EDGE('',*,*,#184324,.T.); #235512=ORIENTED_EDGE('',*,*,#184769,.F.); #235513=ORIENTED_EDGE('',*,*,#184771,.T.); #235514=ORIENTED_EDGE('',*,*,#184768,.F.); #235515=ORIENTED_EDGE('',*,*,#184772,.T.); #235516=ORIENTED_EDGE('',*,*,#184773,.F.); #235517=ORIENTED_EDGE('',*,*,#184774,.F.); #235518=ORIENTED_EDGE('',*,*,#184766,.F.); #235519=ORIENTED_EDGE('',*,*,#184775,.T.); #235520=ORIENTED_EDGE('',*,*,#184776,.F.); #235521=ORIENTED_EDGE('',*,*,#184772,.F.); #235522=ORIENTED_EDGE('',*,*,#184777,.T.); #235523=ORIENTED_EDGE('',*,*,#184476,.T.); #235524=ORIENTED_EDGE('',*,*,#184778,.T.); #235525=ORIENTED_EDGE('',*,*,#184776,.T.); #235526=ORIENTED_EDGE('',*,*,#184779,.T.); #235527=ORIENTED_EDGE('',*,*,#184477,.T.); #235528=ORIENTED_EDGE('',*,*,#184777,.F.); #235529=ORIENTED_EDGE('',*,*,#184780,.T.); #235530=ORIENTED_EDGE('',*,*,#184781,.T.); #235531=ORIENTED_EDGE('',*,*,#184478,.T.); #235532=ORIENTED_EDGE('',*,*,#184779,.F.); #235533=ORIENTED_EDGE('',*,*,#184782,.T.); #235534=ORIENTED_EDGE('',*,*,#184778,.F.); #235535=ORIENTED_EDGE('',*,*,#184479,.T.); #235536=ORIENTED_EDGE('',*,*,#184781,.F.); #235537=ORIENTED_EDGE('',*,*,#184773,.T.); #235538=ORIENTED_EDGE('',*,*,#184771,.F.); #235539=ORIENTED_EDGE('',*,*,#184783,.T.); #235540=ORIENTED_EDGE('',*,*,#184780,.F.); #235541=ORIENTED_EDGE('',*,*,#184775,.F.); #235542=ORIENTED_EDGE('',*,*,#184770,.F.); #235543=ORIENTED_EDGE('',*,*,#184774,.T.); #235544=ORIENTED_EDGE('',*,*,#184782,.F.); #235545=ORIENTED_EDGE('',*,*,#184783,.F.); #235546=ORIENTED_EDGE('',*,*,#184784,.T.); #235547=ORIENTED_EDGE('',*,*,#184327,.T.); #235548=ORIENTED_EDGE('',*,*,#184785,.T.); #235549=ORIENTED_EDGE('',*,*,#184786,.T.); #235550=ORIENTED_EDGE('',*,*,#184787,.T.); #235551=ORIENTED_EDGE('',*,*,#184328,.T.); #235552=ORIENTED_EDGE('',*,*,#184784,.F.); #235553=ORIENTED_EDGE('',*,*,#184788,.T.); #235554=ORIENTED_EDGE('',*,*,#184789,.T.); #235555=ORIENTED_EDGE('',*,*,#184329,.T.); #235556=ORIENTED_EDGE('',*,*,#184787,.F.); #235557=ORIENTED_EDGE('',*,*,#184790,.T.); #235558=ORIENTED_EDGE('',*,*,#184785,.F.); #235559=ORIENTED_EDGE('',*,*,#184326,.T.); #235560=ORIENTED_EDGE('',*,*,#184330,.T.); #235561=ORIENTED_EDGE('',*,*,#184789,.F.); #235562=ORIENTED_EDGE('',*,*,#184791,.T.); #235563=ORIENTED_EDGE('',*,*,#184788,.F.); #235564=ORIENTED_EDGE('',*,*,#184792,.T.); #235565=ORIENTED_EDGE('',*,*,#184793,.F.); #235566=ORIENTED_EDGE('',*,*,#184794,.F.); #235567=ORIENTED_EDGE('',*,*,#184786,.F.); #235568=ORIENTED_EDGE('',*,*,#184795,.T.); #235569=ORIENTED_EDGE('',*,*,#184796,.F.); #235570=ORIENTED_EDGE('',*,*,#184792,.F.); #235571=ORIENTED_EDGE('',*,*,#184797,.T.); #235572=ORIENTED_EDGE('',*,*,#184472,.T.); #235573=ORIENTED_EDGE('',*,*,#184798,.T.); #235574=ORIENTED_EDGE('',*,*,#184796,.T.); #235575=ORIENTED_EDGE('',*,*,#184799,.T.); #235576=ORIENTED_EDGE('',*,*,#184473,.T.); #235577=ORIENTED_EDGE('',*,*,#184797,.F.); #235578=ORIENTED_EDGE('',*,*,#184800,.T.); #235579=ORIENTED_EDGE('',*,*,#184801,.T.); #235580=ORIENTED_EDGE('',*,*,#184474,.T.); #235581=ORIENTED_EDGE('',*,*,#184799,.F.); #235582=ORIENTED_EDGE('',*,*,#184802,.T.); #235583=ORIENTED_EDGE('',*,*,#184798,.F.); #235584=ORIENTED_EDGE('',*,*,#184475,.T.); #235585=ORIENTED_EDGE('',*,*,#184801,.F.); #235586=ORIENTED_EDGE('',*,*,#184793,.T.); #235587=ORIENTED_EDGE('',*,*,#184791,.F.); #235588=ORIENTED_EDGE('',*,*,#184803,.T.); #235589=ORIENTED_EDGE('',*,*,#184800,.F.); #235590=ORIENTED_EDGE('',*,*,#184795,.F.); #235591=ORIENTED_EDGE('',*,*,#184790,.F.); #235592=ORIENTED_EDGE('',*,*,#184794,.T.); #235593=ORIENTED_EDGE('',*,*,#184802,.F.); #235594=ORIENTED_EDGE('',*,*,#184803,.F.); #235595=ORIENTED_EDGE('',*,*,#184368,.T.); #235596=ORIENTED_EDGE('',*,*,#184804,.F.); #235597=ORIENTED_EDGE('',*,*,#184374,.T.); #235598=ORIENTED_EDGE('',*,*,#184385,.F.); #235599=ORIENTED_EDGE('',*,*,#184805,.F.); #235600=ORIENTED_EDGE('',*,*,#184806,.F.); #235601=ORIENTED_EDGE('',*,*,#184371,.F.); #235602=ORIENTED_EDGE('',*,*,#184807,.F.); #235603=ORIENTED_EDGE('',*,*,#184808,.F.); #235604=ORIENTED_EDGE('',*,*,#184809,.F.); #235605=ORIENTED_EDGE('',*,*,#184369,.F.); #235606=ORIENTED_EDGE('',*,*,#184810,.F.); #235607=ORIENTED_EDGE('',*,*,#184386,.F.); #235608=ORIENTED_EDGE('',*,*,#184373,.F.); #235609=ORIENTED_EDGE('',*,*,#184811,.F.); #235610=ORIENTED_EDGE('',*,*,#184812,.F.); #235611=ORIENTED_EDGE('',*,*,#184372,.T.); #235612=ORIENTED_EDGE('',*,*,#184813,.F.); #235613=ORIENTED_EDGE('',*,*,#184370,.T.); #235614=ORIENTED_EDGE('',*,*,#184814,.F.); #235615=ORIENTED_EDGE('',*,*,#184359,.T.); #235616=ORIENTED_EDGE('',*,*,#184384,.F.); #235617=ORIENTED_EDGE('',*,*,#184815,.T.); #235618=ORIENTED_EDGE('',*,*,#184816,.T.); #235619=ORIENTED_EDGE('',*,*,#184808,.T.); #235620=ORIENTED_EDGE('',*,*,#184817,.F.); #235621=ORIENTED_EDGE('',*,*,#184818,.T.); #235622=ORIENTED_EDGE('',*,*,#184819,.T.); #235623=ORIENTED_EDGE('',*,*,#184810,.T.); #235624=ORIENTED_EDGE('',*,*,#184804,.T.); #235625=ORIENTED_EDGE('',*,*,#184360,.T.); #235626=ORIENTED_EDGE('',*,*,#184816,.F.); #235627=ORIENTED_EDGE('',*,*,#184820,.F.); #235628=ORIENTED_EDGE('',*,*,#184817,.T.); #235629=ORIENTED_EDGE('',*,*,#184805,.T.); #235630=ORIENTED_EDGE('',*,*,#184821,.F.); #235631=ORIENTED_EDGE('',*,*,#184822,.T.); #235632=ORIENTED_EDGE('',*,*,#184823,.T.); #235633=ORIENTED_EDGE('',*,*,#184807,.T.); #235634=ORIENTED_EDGE('',*,*,#184814,.T.); #235635=ORIENTED_EDGE('',*,*,#184809,.T.); #235636=ORIENTED_EDGE('',*,*,#184819,.F.); #235637=ORIENTED_EDGE('',*,*,#184824,.F.); #235638=ORIENTED_EDGE('',*,*,#184821,.T.); #235639=ORIENTED_EDGE('',*,*,#184812,.T.); #235640=ORIENTED_EDGE('',*,*,#184825,.F.); #235641=ORIENTED_EDGE('',*,*,#184826,.T.); #235642=ORIENTED_EDGE('',*,*,#184387,.T.); #235643=ORIENTED_EDGE('',*,*,#184811,.T.); #235644=ORIENTED_EDGE('',*,*,#184813,.T.); #235645=ORIENTED_EDGE('',*,*,#184806,.T.); #235646=ORIENTED_EDGE('',*,*,#184823,.F.); #235647=ORIENTED_EDGE('',*,*,#184827,.F.); #235648=ORIENTED_EDGE('',*,*,#184825,.T.); #235649=ORIENTED_EDGE('',*,*,#184444,.T.); #235650=ORIENTED_EDGE('',*,*,#184381,.T.); #235651=ORIENTED_EDGE('',*,*,#184388,.T.); #235652=ORIENTED_EDGE('',*,*,#184826,.F.); #235653=ORIENTED_EDGE('',*,*,#184827,.T.); #235654=ORIENTED_EDGE('',*,*,#184822,.F.); #235655=ORIENTED_EDGE('',*,*,#184824,.T.); #235656=ORIENTED_EDGE('',*,*,#184818,.F.); #235657=ORIENTED_EDGE('',*,*,#184820,.T.); #235658=ORIENTED_EDGE('',*,*,#184815,.F.); #235659=ORIENTED_EDGE('',*,*,#184383,.T.); #235660=ORIENTED_EDGE('',*,*,#184378,.T.); #235661=ORIENTED_EDGE('',*,*,#184382,.T.); #235662=ORIENTED_EDGE('',*,*,#184237,.T.); #235663=ORIENTED_EDGE('',*,*,#184389,.T.); #235664=ORIENTED_EDGE('',*,*,#184393,.T.); #235665=ORIENTED_EDGE('',*,*,#184397,.T.); #235666=ORIENTED_EDGE('',*,*,#184401,.T.); #235667=ORIENTED_EDGE('',*,*,#184828,.T.); #235668=ORIENTED_EDGE('',*,*,#184829,.T.); #235669=ORIENTED_EDGE('',*,*,#184830,.T.); #235670=ORIENTED_EDGE('',*,*,#184829,.F.); #235671=ORIENTED_EDGE('',*,*,#184831,.F.); #235672=ORIENTED_EDGE('',*,*,#184832,.T.); #235673=ORIENTED_EDGE('',*,*,#184830,.F.); #235674=ORIENTED_EDGE('',*,*,#184832,.F.); #235675=ORIENTED_EDGE('',*,*,#184828,.F.); #235676=ORIENTED_EDGE('',*,*,#184833,.T.); #235677=ORIENTED_EDGE('',*,*,#184834,.T.); #235678=ORIENTED_EDGE('',*,*,#184835,.T.); #235679=ORIENTED_EDGE('',*,*,#184836,.T.); #235680=ORIENTED_EDGE('',*,*,#184837,.T.); #235681=ORIENTED_EDGE('',*,*,#184838,.T.); #235682=ORIENTED_EDGE('',*,*,#184831,.T.); #235683=ORIENTED_EDGE('',*,*,#184839,.F.); #235684=ORIENTED_EDGE('',*,*,#184840,.T.); #235685=ORIENTED_EDGE('',*,*,#184841,.F.); #235686=ORIENTED_EDGE('',*,*,#184840,.F.); #235687=ORIENTED_EDGE('',*,*,#184842,.F.); #235688=ORIENTED_EDGE('',*,*,#184843,.T.); #235689=ORIENTED_EDGE('',*,*,#184844,.F.); #235690=ORIENTED_EDGE('',*,*,#184843,.F.); #235691=ORIENTED_EDGE('',*,*,#184845,.F.); #235692=ORIENTED_EDGE('',*,*,#184846,.T.); #235693=ORIENTED_EDGE('',*,*,#184847,.F.); #235694=ORIENTED_EDGE('',*,*,#184846,.F.); #235695=ORIENTED_EDGE('',*,*,#184848,.F.); #235696=ORIENTED_EDGE('',*,*,#184849,.T.); #235697=ORIENTED_EDGE('',*,*,#184850,.F.); #235698=ORIENTED_EDGE('',*,*,#184849,.F.); #235699=ORIENTED_EDGE('',*,*,#184833,.F.); #235700=ORIENTED_EDGE('',*,*,#184851,.T.); #235701=ORIENTED_EDGE('',*,*,#184852,.T.); #235702=ORIENTED_EDGE('',*,*,#184851,.F.); #235703=ORIENTED_EDGE('',*,*,#184852,.F.); #235704=ORIENTED_EDGE('',*,*,#184845,.T.); #235705=ORIENTED_EDGE('',*,*,#184834,.F.); #235706=ORIENTED_EDGE('',*,*,#184853,.T.); #235707=ORIENTED_EDGE('',*,*,#184854,.T.); #235708=ORIENTED_EDGE('',*,*,#184853,.F.); #235709=ORIENTED_EDGE('',*,*,#184854,.F.); #235710=ORIENTED_EDGE('',*,*,#184848,.T.); #235711=ORIENTED_EDGE('',*,*,#184835,.F.); #235712=ORIENTED_EDGE('',*,*,#184855,.T.); #235713=ORIENTED_EDGE('',*,*,#184856,.T.); #235714=ORIENTED_EDGE('',*,*,#184855,.F.); #235715=ORIENTED_EDGE('',*,*,#184856,.F.); #235716=ORIENTED_EDGE('',*,*,#184839,.T.); #235717=ORIENTED_EDGE('',*,*,#184836,.F.); #235718=ORIENTED_EDGE('',*,*,#184857,.T.); #235719=ORIENTED_EDGE('',*,*,#184858,.T.); #235720=ORIENTED_EDGE('',*,*,#184857,.F.); #235721=ORIENTED_EDGE('',*,*,#184858,.F.); #235722=ORIENTED_EDGE('',*,*,#184842,.T.); #235723=ORIENTED_EDGE('',*,*,#184837,.F.); #235724=ORIENTED_EDGE('',*,*,#184859,.T.); #235725=ORIENTED_EDGE('',*,*,#184860,.T.); #235726=ORIENTED_EDGE('',*,*,#184859,.F.); #235727=ORIENTED_EDGE('',*,*,#184838,.F.); #235728=ORIENTED_EDGE('',*,*,#184861,.T.); #235729=ORIENTED_EDGE('',*,*,#184862,.T.); #235730=ORIENTED_EDGE('',*,*,#184861,.F.); #235731=ORIENTED_EDGE('',*,*,#184862,.F.); #235732=ORIENTED_EDGE('',*,*,#184841,.T.); #235733=ORIENTED_EDGE('',*,*,#184844,.T.); #235734=ORIENTED_EDGE('',*,*,#184847,.T.); #235735=ORIENTED_EDGE('',*,*,#184850,.T.); #235736=ORIENTED_EDGE('',*,*,#184860,.F.); #235737=ORIENTED_EDGE('',*,*,#184863,.F.); #235738=ORIENTED_EDGE('',*,*,#184864,.T.); #235739=ORIENTED_EDGE('',*,*,#184865,.T.); #235740=ORIENTED_EDGE('',*,*,#184866,.F.); #235741=ORIENTED_EDGE('',*,*,#184867,.T.); #235742=ORIENTED_EDGE('',*,*,#184868,.T.); #235743=ORIENTED_EDGE('',*,*,#184869,.F.); #235744=ORIENTED_EDGE('',*,*,#184870,.F.); #235745=ORIENTED_EDGE('',*,*,#184871,.F.); #235746=ORIENTED_EDGE('',*,*,#184872,.F.); #235747=ORIENTED_EDGE('',*,*,#184873,.F.); #235748=ORIENTED_EDGE('',*,*,#184874,.F.); #235749=ORIENTED_EDGE('',*,*,#184875,.F.); #235750=ORIENTED_EDGE('',*,*,#184876,.F.); #235751=ORIENTED_EDGE('',*,*,#184877,.F.); #235752=ORIENTED_EDGE('',*,*,#184878,.T.); #235753=ORIENTED_EDGE('',*,*,#184879,.T.); #235754=ORIENTED_EDGE('',*,*,#184880,.F.); #235755=ORIENTED_EDGE('',*,*,#184881,.F.); #235756=ORIENTED_EDGE('',*,*,#184882,.F.); #235757=ORIENTED_EDGE('',*,*,#184883,.F.); #235758=ORIENTED_EDGE('',*,*,#184884,.F.); #235759=ORIENTED_EDGE('',*,*,#184885,.F.); #235760=ORIENTED_EDGE('',*,*,#184886,.F.); #235761=ORIENTED_EDGE('',*,*,#184887,.F.); #235762=ORIENTED_EDGE('',*,*,#184888,.F.); #235763=ORIENTED_EDGE('',*,*,#184889,.F.); #235764=ORIENTED_EDGE('',*,*,#184890,.F.); #235765=ORIENTED_EDGE('',*,*,#184891,.F.); #235766=ORIENTED_EDGE('',*,*,#184892,.F.); #235767=ORIENTED_EDGE('',*,*,#184868,.F.); #235768=ORIENTED_EDGE('',*,*,#184893,.T.); #235769=ORIENTED_EDGE('',*,*,#184894,.F.); #235770=ORIENTED_EDGE('',*,*,#184895,.T.); #235771=ORIENTED_EDGE('',*,*,#184896,.T.); #235772=ORIENTED_EDGE('',*,*,#184897,.T.); #235773=ORIENTED_EDGE('',*,*,#184898,.T.); #235774=ORIENTED_EDGE('',*,*,#184899,.F.); #235775=ORIENTED_EDGE('',*,*,#184900,.T.); #235776=ORIENTED_EDGE('',*,*,#184901,.T.); #235777=ORIENTED_EDGE('',*,*,#184902,.T.); #235778=ORIENTED_EDGE('',*,*,#184903,.T.); #235779=ORIENTED_EDGE('',*,*,#184901,.F.); #235780=ORIENTED_EDGE('',*,*,#184904,.T.); #235781=ORIENTED_EDGE('',*,*,#184894,.T.); #235782=ORIENTED_EDGE('',*,*,#184905,.T.); #235783=ORIENTED_EDGE('',*,*,#184906,.F.); #235784=ORIENTED_EDGE('',*,*,#184907,.F.); #235785=ORIENTED_EDGE('',*,*,#184908,.F.); #235786=ORIENTED_EDGE('',*,*,#184909,.T.); #235787=ORIENTED_EDGE('',*,*,#184910,.F.); #235788=ORIENTED_EDGE('',*,*,#184909,.F.); #235789=ORIENTED_EDGE('',*,*,#184911,.F.); #235790=ORIENTED_EDGE('',*,*,#184912,.F.); #235791=ORIENTED_EDGE('',*,*,#184913,.F.); #235792=ORIENTED_EDGE('',*,*,#184914,.F.); #235793=ORIENTED_EDGE('',*,*,#184915,.F.); #235794=ORIENTED_EDGE('',*,*,#184916,.F.); #235795=ORIENTED_EDGE('',*,*,#184895,.F.); #235796=ORIENTED_EDGE('',*,*,#184904,.F.); #235797=ORIENTED_EDGE('',*,*,#184900,.F.); #235798=ORIENTED_EDGE('',*,*,#184917,.F.); #235799=ORIENTED_EDGE('',*,*,#184918,.T.); #235800=ORIENTED_EDGE('',*,*,#184919,.F.); #235801=ORIENTED_EDGE('',*,*,#184888,.T.); #235802=ORIENTED_EDGE('',*,*,#184920,.T.); #235803=ORIENTED_EDGE('',*,*,#184921,.F.); #235804=ORIENTED_EDGE('',*,*,#184890,.T.); #235805=ORIENTED_EDGE('',*,*,#184922,.T.); #235806=ORIENTED_EDGE('',*,*,#184923,.F.); #235807=ORIENTED_EDGE('',*,*,#184892,.T.); #235808=ORIENTED_EDGE('',*,*,#184924,.T.); #235809=ORIENTED_EDGE('',*,*,#184925,.F.); #235810=ORIENTED_EDGE('',*,*,#184870,.T.); #235811=ORIENTED_EDGE('',*,*,#184926,.T.); #235812=ORIENTED_EDGE('',*,*,#184927,.F.); #235813=ORIENTED_EDGE('',*,*,#184872,.T.); #235814=ORIENTED_EDGE('',*,*,#184928,.T.); #235815=ORIENTED_EDGE('',*,*,#184929,.F.); #235816=ORIENTED_EDGE('',*,*,#184874,.T.); #235817=ORIENTED_EDGE('',*,*,#184930,.T.); #235818=ORIENTED_EDGE('',*,*,#184898,.F.); #235819=ORIENTED_EDGE('',*,*,#184876,.T.); #235820=ORIENTED_EDGE('',*,*,#184931,.T.); #235821=ORIENTED_EDGE('',*,*,#184903,.F.); #235822=ORIENTED_EDGE('',*,*,#184878,.F.); #235823=ORIENTED_EDGE('',*,*,#184932,.F.); #235824=ORIENTED_EDGE('',*,*,#184893,.F.); #235825=ORIENTED_EDGE('',*,*,#184880,.T.); #235826=ORIENTED_EDGE('',*,*,#184933,.T.); #235827=ORIENTED_EDGE('',*,*,#184934,.F.); #235828=ORIENTED_EDGE('',*,*,#184882,.T.); #235829=ORIENTED_EDGE('',*,*,#184935,.T.); #235830=ORIENTED_EDGE('',*,*,#184936,.F.); #235831=ORIENTED_EDGE('',*,*,#184884,.T.); #235832=ORIENTED_EDGE('',*,*,#184937,.T.); #235833=ORIENTED_EDGE('',*,*,#184938,.F.); #235834=ORIENTED_EDGE('',*,*,#184886,.T.); #235835=ORIENTED_EDGE('',*,*,#184939,.F.); #235836=ORIENTED_EDGE('',*,*,#184918,.F.); #235837=ORIENTED_EDGE('',*,*,#184887,.T.); #235838=ORIENTED_EDGE('',*,*,#184940,.F.); #235839=ORIENTED_EDGE('',*,*,#184920,.F.); #235840=ORIENTED_EDGE('',*,*,#184889,.T.); #235841=ORIENTED_EDGE('',*,*,#184941,.F.); #235842=ORIENTED_EDGE('',*,*,#184922,.F.); #235843=ORIENTED_EDGE('',*,*,#184891,.T.); #235844=ORIENTED_EDGE('',*,*,#184942,.F.); #235845=ORIENTED_EDGE('',*,*,#184924,.F.); #235846=ORIENTED_EDGE('',*,*,#184869,.T.); #235847=ORIENTED_EDGE('',*,*,#184943,.F.); #235848=ORIENTED_EDGE('',*,*,#184926,.F.); #235849=ORIENTED_EDGE('',*,*,#184871,.T.); #235850=ORIENTED_EDGE('',*,*,#184944,.F.); #235851=ORIENTED_EDGE('',*,*,#184928,.F.); #235852=ORIENTED_EDGE('',*,*,#184873,.T.); #235853=ORIENTED_EDGE('',*,*,#184945,.F.); #235854=ORIENTED_EDGE('',*,*,#184930,.F.); #235855=ORIENTED_EDGE('',*,*,#184875,.T.); #235856=ORIENTED_EDGE('',*,*,#184902,.F.); #235857=ORIENTED_EDGE('',*,*,#184931,.F.); #235858=ORIENTED_EDGE('',*,*,#184877,.T.); #235859=ORIENTED_EDGE('',*,*,#184905,.F.); #235860=ORIENTED_EDGE('',*,*,#184932,.T.); #235861=ORIENTED_EDGE('',*,*,#184879,.F.); #235862=ORIENTED_EDGE('',*,*,#184897,.F.); #235863=ORIENTED_EDGE('',*,*,#184933,.F.); #235864=ORIENTED_EDGE('',*,*,#184881,.T.); #235865=ORIENTED_EDGE('',*,*,#184946,.F.); #235866=ORIENTED_EDGE('',*,*,#184935,.F.); #235867=ORIENTED_EDGE('',*,*,#184883,.T.); #235868=ORIENTED_EDGE('',*,*,#184947,.F.); #235869=ORIENTED_EDGE('',*,*,#184937,.F.); #235870=ORIENTED_EDGE('',*,*,#184885,.T.); #235871=ORIENTED_EDGE('',*,*,#184919,.T.); #235872=ORIENTED_EDGE('',*,*,#184948,.F.); #235873=ORIENTED_EDGE('',*,*,#184913,.T.); #235874=ORIENTED_EDGE('',*,*,#184949,.T.); #235875=ORIENTED_EDGE('',*,*,#184940,.T.); #235876=ORIENTED_EDGE('',*,*,#184921,.T.); #235877=ORIENTED_EDGE('',*,*,#184949,.F.); #235878=ORIENTED_EDGE('',*,*,#184912,.T.); #235879=ORIENTED_EDGE('',*,*,#184950,.T.); #235880=ORIENTED_EDGE('',*,*,#184941,.T.); #235881=ORIENTED_EDGE('',*,*,#184923,.T.); #235882=ORIENTED_EDGE('',*,*,#184950,.F.); #235883=ORIENTED_EDGE('',*,*,#184911,.T.); #235884=ORIENTED_EDGE('',*,*,#184908,.T.); #235885=ORIENTED_EDGE('',*,*,#184951,.T.); #235886=ORIENTED_EDGE('',*,*,#184942,.T.); #235887=ORIENTED_EDGE('',*,*,#184925,.T.); #235888=ORIENTED_EDGE('',*,*,#184951,.F.); #235889=ORIENTED_EDGE('',*,*,#184907,.T.); #235890=ORIENTED_EDGE('',*,*,#184952,.T.); #235891=ORIENTED_EDGE('',*,*,#184943,.T.); #235892=ORIENTED_EDGE('',*,*,#184927,.T.); #235893=ORIENTED_EDGE('',*,*,#184952,.F.); #235894=ORIENTED_EDGE('',*,*,#184906,.T.); #235895=ORIENTED_EDGE('',*,*,#184953,.T.); #235896=ORIENTED_EDGE('',*,*,#184944,.T.); #235897=ORIENTED_EDGE('',*,*,#184929,.T.); #235898=ORIENTED_EDGE('',*,*,#184953,.F.); #235899=ORIENTED_EDGE('',*,*,#184917,.T.); #235900=ORIENTED_EDGE('',*,*,#184899,.T.); #235901=ORIENTED_EDGE('',*,*,#184945,.T.); #235902=ORIENTED_EDGE('',*,*,#184934,.T.); #235903=ORIENTED_EDGE('',*,*,#184896,.F.); #235904=ORIENTED_EDGE('',*,*,#184916,.T.); #235905=ORIENTED_EDGE('',*,*,#184954,.T.); #235906=ORIENTED_EDGE('',*,*,#184946,.T.); #235907=ORIENTED_EDGE('',*,*,#184936,.T.); #235908=ORIENTED_EDGE('',*,*,#184954,.F.); #235909=ORIENTED_EDGE('',*,*,#184915,.T.); #235910=ORIENTED_EDGE('',*,*,#184955,.T.); #235911=ORIENTED_EDGE('',*,*,#184947,.T.); #235912=ORIENTED_EDGE('',*,*,#184938,.T.); #235913=ORIENTED_EDGE('',*,*,#184955,.F.); #235914=ORIENTED_EDGE('',*,*,#184914,.T.); #235915=ORIENTED_EDGE('',*,*,#184948,.T.); #235916=ORIENTED_EDGE('',*,*,#184939,.T.); #235917=ORIENTED_EDGE('',*,*,#184910,.T.); #235918=ORIENTED_EDGE('',*,*,#184956,.T.); #235919=ORIENTED_EDGE('',*,*,#184957,.F.); #235920=ORIENTED_EDGE('',*,*,#184956,.F.); #235921=ORIENTED_EDGE('',*,*,#184958,.T.); #235922=ORIENTED_EDGE('',*,*,#184959,.F.); #235923=ORIENTED_EDGE('',*,*,#184960,.T.); #235924=ORIENTED_EDGE('',*,*,#184961,.T.); #235925=ORIENTED_EDGE('',*,*,#184962,.T.); #235926=ORIENTED_EDGE('',*,*,#184963,.T.); #235927=ORIENTED_EDGE('',*,*,#184964,.T.); #235928=ORIENTED_EDGE('',*,*,#184965,.T.); #235929=ORIENTED_EDGE('',*,*,#184963,.F.); #235930=ORIENTED_EDGE('',*,*,#184966,.T.); #235931=ORIENTED_EDGE('',*,*,#184967,.F.); #235932=ORIENTED_EDGE('',*,*,#184968,.F.); #235933=ORIENTED_EDGE('',*,*,#184969,.F.); #235934=ORIENTED_EDGE('',*,*,#184970,.F.); #235935=ORIENTED_EDGE('',*,*,#184971,.F.); #235936=ORIENTED_EDGE('',*,*,#184972,.F.); #235937=ORIENTED_EDGE('',*,*,#184973,.T.); #235938=ORIENTED_EDGE('',*,*,#184974,.T.); #235939=ORIENTED_EDGE('',*,*,#184975,.T.); #235940=ORIENTED_EDGE('',*,*,#184967,.T.); #235941=ORIENTED_EDGE('',*,*,#184976,.T.); #235942=ORIENTED_EDGE('',*,*,#184977,.T.); #235943=ORIENTED_EDGE('',*,*,#184978,.T.); #235944=ORIENTED_EDGE('',*,*,#184979,.T.); #235945=ORIENTED_EDGE('',*,*,#184961,.F.); #235946=ORIENTED_EDGE('',*,*,#184980,.F.); #235947=ORIENTED_EDGE('',*,*,#184981,.F.); #235948=ORIENTED_EDGE('',*,*,#184982,.T.); #235949=ORIENTED_EDGE('',*,*,#184983,.F.); #235950=ORIENTED_EDGE('',*,*,#184984,.F.); #235951=ORIENTED_EDGE('',*,*,#184977,.F.); #235952=ORIENTED_EDGE('',*,*,#184985,.T.); #235953=ORIENTED_EDGE('',*,*,#184986,.T.); #235954=ORIENTED_EDGE('',*,*,#184987,.F.); #235955=ORIENTED_EDGE('',*,*,#184988,.F.); #235956=ORIENTED_EDGE('',*,*,#184989,.T.); #235957=ORIENTED_EDGE('',*,*,#184971,.T.); #235958=ORIENTED_EDGE('',*,*,#184986,.F.); #235959=ORIENTED_EDGE('',*,*,#184970,.T.); #235960=ORIENTED_EDGE('',*,*,#184990,.F.); #235961=ORIENTED_EDGE('',*,*,#184982,.F.); #235962=ORIENTED_EDGE('',*,*,#184991,.F.); #235963=ORIENTED_EDGE('',*,*,#184981,.T.); #235964=ORIENTED_EDGE('',*,*,#184992,.T.); #235965=ORIENTED_EDGE('',*,*,#184993,.T.); #235966=ORIENTED_EDGE('',*,*,#184994,.F.); #235967=ORIENTED_EDGE('',*,*,#184991,.T.); #235968=ORIENTED_EDGE('',*,*,#184995,.F.); #235969=ORIENTED_EDGE('',*,*,#184992,.F.); #235970=ORIENTED_EDGE('',*,*,#184980,.T.); #235971=ORIENTED_EDGE('',*,*,#184960,.F.); #235972=ORIENTED_EDGE('',*,*,#184996,.T.); #235973=ORIENTED_EDGE('',*,*,#184978,.F.); #235974=ORIENTED_EDGE('',*,*,#184984,.T.); #235975=ORIENTED_EDGE('',*,*,#184997,.T.); #235976=ORIENTED_EDGE('',*,*,#184998,.F.); #235977=ORIENTED_EDGE('',*,*,#184999,.F.); #235978=ORIENTED_EDGE('',*,*,#185000,.F.); #235979=ORIENTED_EDGE('',*,*,#185001,.F.); #235980=ORIENTED_EDGE('',*,*,#184993,.F.); #235981=ORIENTED_EDGE('',*,*,#184995,.T.); #235982=ORIENTED_EDGE('',*,*,#185002,.F.); #235983=ORIENTED_EDGE('',*,*,#185003,.T.); #235984=ORIENTED_EDGE('',*,*,#185004,.F.); #235985=ORIENTED_EDGE('',*,*,#185003,.F.); #235986=ORIENTED_EDGE('',*,*,#185005,.F.); #235987=ORIENTED_EDGE('',*,*,#185006,.T.); #235988=ORIENTED_EDGE('',*,*,#185007,.F.); #235989=ORIENTED_EDGE('',*,*,#185006,.F.); #235990=ORIENTED_EDGE('',*,*,#185008,.F.); #235991=ORIENTED_EDGE('',*,*,#185009,.T.); #235992=ORIENTED_EDGE('',*,*,#184988,.T.); #235993=ORIENTED_EDGE('',*,*,#185010,.T.); #235994=ORIENTED_EDGE('',*,*,#185011,.F.); #235995=ORIENTED_EDGE('',*,*,#185010,.F.); #235996=ORIENTED_EDGE('',*,*,#184987,.T.); #235997=ORIENTED_EDGE('',*,*,#184994,.T.); #235998=ORIENTED_EDGE('',*,*,#185001,.T.); #235999=ORIENTED_EDGE('',*,*,#184985,.F.); #236000=ORIENTED_EDGE('',*,*,#184976,.F.); #236001=ORIENTED_EDGE('',*,*,#185012,.F.); #236002=ORIENTED_EDGE('',*,*,#184958,.F.); #236003=ORIENTED_EDGE('',*,*,#184959,.T.); #236004=ORIENTED_EDGE('',*,*,#185012,.T.); #236005=ORIENTED_EDGE('',*,*,#184979,.F.); #236006=ORIENTED_EDGE('',*,*,#184996,.F.); #236007=ORIENTED_EDGE('',*,*,#185013,.T.); #236008=ORIENTED_EDGE('',*,*,#184973,.F.); #236009=ORIENTED_EDGE('',*,*,#184966,.F.); #236010=ORIENTED_EDGE('',*,*,#184962,.F.); #236011=ORIENTED_EDGE('',*,*,#184965,.F.); #236012=ORIENTED_EDGE('',*,*,#185014,.T.); #236013=ORIENTED_EDGE('',*,*,#184974,.F.); #236014=ORIENTED_EDGE('',*,*,#185013,.F.); #236015=ORIENTED_EDGE('',*,*,#185015,.T.); #236016=ORIENTED_EDGE('',*,*,#184983,.T.); #236017=ORIENTED_EDGE('',*,*,#184990,.T.); #236018=ORIENTED_EDGE('',*,*,#184969,.T.); #236019=ORIENTED_EDGE('',*,*,#185016,.F.); #236020=ORIENTED_EDGE('',*,*,#185017,.F.); #236021=ORIENTED_EDGE('',*,*,#185018,.F.); #236022=ORIENTED_EDGE('',*,*,#185019,.F.); #236023=ORIENTED_EDGE('',*,*,#185020,.F.); #236024=ORIENTED_EDGE('',*,*,#185021,.F.); #236025=ORIENTED_EDGE('',*,*,#185022,.F.); #236026=ORIENTED_EDGE('',*,*,#185023,.F.); #236027=ORIENTED_EDGE('',*,*,#185024,.F.); #236028=ORIENTED_EDGE('',*,*,#185025,.F.); #236029=ORIENTED_EDGE('',*,*,#184997,.F.); #236030=ORIENTED_EDGE('',*,*,#185009,.F.); #236031=ORIENTED_EDGE('',*,*,#185026,.F.); #236032=ORIENTED_EDGE('',*,*,#185027,.T.); #236033=ORIENTED_EDGE('',*,*,#185016,.T.); #236034=ORIENTED_EDGE('',*,*,#184968,.T.); #236035=ORIENTED_EDGE('',*,*,#184975,.F.); #236036=ORIENTED_EDGE('',*,*,#185014,.F.); #236037=ORIENTED_EDGE('',*,*,#184964,.F.); #236038=ORIENTED_EDGE('',*,*,#184972,.T.); #236039=ORIENTED_EDGE('',*,*,#184989,.F.); #236040=ORIENTED_EDGE('',*,*,#185028,.F.); #236041=ORIENTED_EDGE('',*,*,#185029,.T.); #236042=ORIENTED_EDGE('',*,*,#185030,.F.); #236043=ORIENTED_EDGE('',*,*,#185031,.T.); #236044=ORIENTED_EDGE('',*,*,#185032,.T.); #236045=ORIENTED_EDGE('',*,*,#185033,.T.); #236046=ORIENTED_EDGE('',*,*,#185034,.F.); #236047=ORIENTED_EDGE('',*,*,#185035,.T.); #236048=ORIENTED_EDGE('',*,*,#185036,.F.); #236049=ORIENTED_EDGE('',*,*,#185037,.T.); #236050=ORIENTED_EDGE('',*,*,#185038,.T.); #236051=ORIENTED_EDGE('',*,*,#185039,.T.); #236052=ORIENTED_EDGE('',*,*,#185040,.F.); #236053=ORIENTED_EDGE('',*,*,#185041,.T.); #236054=ORIENTED_EDGE('',*,*,#185042,.F.); #236055=ORIENTED_EDGE('',*,*,#185043,.T.); #236056=ORIENTED_EDGE('',*,*,#185044,.T.); #236057=ORIENTED_EDGE('',*,*,#185045,.T.); #236058=ORIENTED_EDGE('',*,*,#185044,.F.); #236059=ORIENTED_EDGE('',*,*,#185046,.F.); #236060=ORIENTED_EDGE('',*,*,#185047,.F.); #236061=ORIENTED_EDGE('',*,*,#185048,.F.); #236062=ORIENTED_EDGE('',*,*,#185049,.F.); #236063=ORIENTED_EDGE('',*,*,#185050,.T.); #236064=ORIENTED_EDGE('',*,*,#185051,.F.); #236065=ORIENTED_EDGE('',*,*,#185052,.T.); #236066=ORIENTED_EDGE('',*,*,#185049,.T.); #236067=ORIENTED_EDGE('',*,*,#185053,.T.); #236068=ORIENTED_EDGE('',*,*,#185054,.T.); #236069=ORIENTED_EDGE('',*,*,#185055,.T.); #236070=ORIENTED_EDGE('',*,*,#185030,.T.); #236071=ORIENTED_EDGE('',*,*,#185056,.F.); #236072=ORIENTED_EDGE('',*,*,#185052,.F.); #236073=ORIENTED_EDGE('',*,*,#185057,.F.); #236074=ORIENTED_EDGE('',*,*,#185058,.T.); #236075=ORIENTED_EDGE('',*,*,#185053,.F.); #236076=ORIENTED_EDGE('',*,*,#185051,.T.); #236077=ORIENTED_EDGE('',*,*,#185059,.F.); #236078=ORIENTED_EDGE('',*,*,#185028,.T.); #236079=ORIENTED_EDGE('',*,*,#185060,.T.); #236080=ORIENTED_EDGE('',*,*,#185061,.T.); #236081=ORIENTED_EDGE('',*,*,#185057,.T.); #236082=ORIENTED_EDGE('',*,*,#185062,.F.); #236083=ORIENTED_EDGE('',*,*,#185063,.T.); #236084=ORIENTED_EDGE('',*,*,#185064,.F.); #236085=ORIENTED_EDGE('',*,*,#185065,.T.); #236086=ORIENTED_EDGE('',*,*,#185062,.T.); #236087=ORIENTED_EDGE('',*,*,#185066,.T.); #236088=ORIENTED_EDGE('',*,*,#185067,.T.); #236089=ORIENTED_EDGE('',*,*,#185068,.T.); #236090=ORIENTED_EDGE('',*,*,#185036,.T.); #236091=ORIENTED_EDGE('',*,*,#185069,.F.); #236092=ORIENTED_EDGE('',*,*,#185065,.F.); #236093=ORIENTED_EDGE('',*,*,#185070,.F.); #236094=ORIENTED_EDGE('',*,*,#185071,.T.); #236095=ORIENTED_EDGE('',*,*,#185066,.F.); #236096=ORIENTED_EDGE('',*,*,#185064,.T.); #236097=ORIENTED_EDGE('',*,*,#185072,.F.); #236098=ORIENTED_EDGE('',*,*,#185034,.T.); #236099=ORIENTED_EDGE('',*,*,#185073,.T.); #236100=ORIENTED_EDGE('',*,*,#185074,.T.); #236101=ORIENTED_EDGE('',*,*,#185070,.T.); #236102=ORIENTED_EDGE('',*,*,#185042,.T.); #236103=ORIENTED_EDGE('',*,*,#185075,.F.); #236104=ORIENTED_EDGE('',*,*,#185076,.T.); #236105=ORIENTED_EDGE('',*,*,#185077,.T.); #236106=ORIENTED_EDGE('',*,*,#185078,.T.); #236107=ORIENTED_EDGE('',*,*,#185079,.T.); #236108=ORIENTED_EDGE('',*,*,#185041,.F.); #236109=ORIENTED_EDGE('',*,*,#185080,.T.); #236110=ORIENTED_EDGE('',*,*,#185081,.F.); #236111=ORIENTED_EDGE('',*,*,#185075,.T.); #236112=ORIENTED_EDGE('',*,*,#185076,.F.); #236113=ORIENTED_EDGE('',*,*,#185081,.T.); #236114=ORIENTED_EDGE('',*,*,#185082,.F.); #236115=ORIENTED_EDGE('',*,*,#185083,.T.); #236116=ORIENTED_EDGE('',*,*,#185040,.T.); #236117=ORIENTED_EDGE('',*,*,#185084,.T.); #236118=ORIENTED_EDGE('',*,*,#185085,.T.); #236119=ORIENTED_EDGE('',*,*,#185086,.T.); #236120=ORIENTED_EDGE('',*,*,#185082,.T.); #236121=ORIENTED_EDGE('',*,*,#185080,.F.); #236122=ORIENTED_EDGE('',*,*,#185087,.F.); #236123=ORIENTED_EDGE('',*,*,#185088,.F.); #236124=ORIENTED_EDGE('',*,*,#185089,.F.); #236125=ORIENTED_EDGE('',*,*,#185090,.T.); #236126=ORIENTED_EDGE('',*,*,#185091,.F.); #236127=ORIENTED_EDGE('',*,*,#185090,.F.); #236128=ORIENTED_EDGE('',*,*,#185092,.F.); #236129=ORIENTED_EDGE('',*,*,#185093,.F.); #236130=ORIENTED_EDGE('',*,*,#185054,.F.); #236131=ORIENTED_EDGE('',*,*,#185058,.F.); #236132=ORIENTED_EDGE('',*,*,#185061,.F.); #236133=ORIENTED_EDGE('',*,*,#185094,.F.); #236134=ORIENTED_EDGE('',*,*,#185095,.F.); #236135=ORIENTED_EDGE('',*,*,#185096,.T.); #236136=ORIENTED_EDGE('',*,*,#185097,.F.); #236137=ORIENTED_EDGE('',*,*,#185098,.F.); #236138=ORIENTED_EDGE('',*,*,#185099,.F.); #236139=ORIENTED_EDGE('',*,*,#185100,.F.); #236140=ORIENTED_EDGE('',*,*,#185078,.F.); #236141=ORIENTED_EDGE('',*,*,#185101,.F.); #236142=ORIENTED_EDGE('',*,*,#185085,.F.); #236143=ORIENTED_EDGE('',*,*,#185102,.F.); #236144=ORIENTED_EDGE('',*,*,#185103,.F.); #236145=ORIENTED_EDGE('',*,*,#185096,.F.); #236146=ORIENTED_EDGE('',*,*,#185083,.F.); #236147=ORIENTED_EDGE('',*,*,#185086,.F.); #236148=ORIENTED_EDGE('',*,*,#185101,.T.); #236149=ORIENTED_EDGE('',*,*,#185077,.F.); #236150=ORIENTED_EDGE('',*,*,#185104,.F.); #236151=ORIENTED_EDGE('',*,*,#185105,.F.); #236152=ORIENTED_EDGE('',*,*,#185106,.F.); #236153=ORIENTED_EDGE('',*,*,#185107,.T.); #236154=ORIENTED_EDGE('',*,*,#185108,.F.); #236155=ORIENTED_EDGE('',*,*,#185107,.F.); #236156=ORIENTED_EDGE('',*,*,#185109,.F.); #236157=ORIENTED_EDGE('',*,*,#185110,.F.); #236158=ORIENTED_EDGE('',*,*,#185067,.F.); #236159=ORIENTED_EDGE('',*,*,#185071,.F.); #236160=ORIENTED_EDGE('',*,*,#185074,.F.); #236161=ORIENTED_EDGE('',*,*,#185111,.F.); #236162=ORIENTED_EDGE('',*,*,#185094,.T.); #236163=ORIENTED_EDGE('',*,*,#185060,.F.); #236164=ORIENTED_EDGE('',*,*,#185033,.F.); #236165=ORIENTED_EDGE('',*,*,#185112,.F.); #236166=ORIENTED_EDGE('',*,*,#185113,.F.); #236167=ORIENTED_EDGE('',*,*,#185114,.F.); #236168=ORIENTED_EDGE('',*,*,#185115,.F.); #236169=ORIENTED_EDGE('',*,*,#185116,.F.); #236170=ORIENTED_EDGE('',*,*,#185117,.T.); #236171=ORIENTED_EDGE('',*,*,#185097,.T.); #236172=ORIENTED_EDGE('',*,*,#185103,.T.); #236173=ORIENTED_EDGE('',*,*,#185118,.T.); #236174=ORIENTED_EDGE('',*,*,#185048,.T.); #236175=ORIENTED_EDGE('',*,*,#185119,.F.); #236176=ORIENTED_EDGE('',*,*,#185120,.F.); #236177=ORIENTED_EDGE('',*,*,#185121,.T.); #236178=ORIENTED_EDGE('',*,*,#185114,.T.); #236179=ORIENTED_EDGE('',*,*,#185102,.T.); #236180=ORIENTED_EDGE('',*,*,#185084,.F.); #236181=ORIENTED_EDGE('',*,*,#185045,.F.); #236182=ORIENTED_EDGE('',*,*,#185118,.F.); #236183=ORIENTED_EDGE('',*,*,#185122,.T.); #236184=ORIENTED_EDGE('',*,*,#185104,.T.); #236185=ORIENTED_EDGE('',*,*,#185108,.T.); #236186=ORIENTED_EDGE('',*,*,#185123,.T.); #236187=ORIENTED_EDGE('',*,*,#185124,.T.); #236188=ORIENTED_EDGE('',*,*,#185125,.F.); #236189=ORIENTED_EDGE('',*,*,#185126,.F.); #236190=ORIENTED_EDGE('',*,*,#185127,.T.); #236191=ORIENTED_EDGE('',*,*,#185128,.T.); #236192=ORIENTED_EDGE('',*,*,#185111,.T.); #236193=ORIENTED_EDGE('',*,*,#185073,.F.); #236194=ORIENTED_EDGE('',*,*,#185039,.F.); #236195=ORIENTED_EDGE('',*,*,#185123,.F.); #236196=ORIENTED_EDGE('',*,*,#185035,.F.); #236197=ORIENTED_EDGE('',*,*,#185072,.T.); #236198=ORIENTED_EDGE('',*,*,#185063,.F.); #236199=ORIENTED_EDGE('',*,*,#185069,.T.); #236200=ORIENTED_EDGE('',*,*,#185110,.T.); #236201=ORIENTED_EDGE('',*,*,#185129,.F.); #236202=ORIENTED_EDGE('',*,*,#185037,.F.); #236203=ORIENTED_EDGE('',*,*,#185068,.F.); #236204=ORIENTED_EDGE('',*,*,#185100,.T.); #236205=ORIENTED_EDGE('',*,*,#185130,.F.); #236206=ORIENTED_EDGE('',*,*,#185043,.F.); #236207=ORIENTED_EDGE('',*,*,#185079,.F.); #236208=ORIENTED_EDGE('',*,*,#185029,.F.); #236209=ORIENTED_EDGE('',*,*,#185059,.T.); #236210=ORIENTED_EDGE('',*,*,#185050,.F.); #236211=ORIENTED_EDGE('',*,*,#185056,.T.); #236212=ORIENTED_EDGE('',*,*,#185093,.T.); #236213=ORIENTED_EDGE('',*,*,#185131,.F.); #236214=ORIENTED_EDGE('',*,*,#185031,.F.); #236215=ORIENTED_EDGE('',*,*,#185055,.F.); #236216=ORIENTED_EDGE('',*,*,#185038,.F.); #236217=ORIENTED_EDGE('',*,*,#185132,.F.); #236218=ORIENTED_EDGE('',*,*,#185133,.F.); #236219=ORIENTED_EDGE('',*,*,#185124,.F.); #236220=ORIENTED_EDGE('',*,*,#185134,.F.); #236221=ORIENTED_EDGE('',*,*,#185128,.F.); #236222=ORIENTED_EDGE('',*,*,#185135,.F.); #236223=ORIENTED_EDGE('',*,*,#185136,.F.); #236224=ORIENTED_EDGE('',*,*,#185032,.F.); #236225=ORIENTED_EDGE('',*,*,#185137,.F.); #236226=ORIENTED_EDGE('',*,*,#185138,.F.); #236227=ORIENTED_EDGE('',*,*,#185139,.F.); #236228=ORIENTED_EDGE('',*,*,#185140,.F.); #236229=ORIENTED_EDGE('',*,*,#185141,.F.); #236230=ORIENTED_EDGE('',*,*,#185142,.F.); #236231=ORIENTED_EDGE('',*,*,#185143,.F.); #236232=ORIENTED_EDGE('',*,*,#184863,.T.); #236233=ORIENTED_EDGE('',*,*,#185144,.F.); #236234=ORIENTED_EDGE('',*,*,#185145,.T.); #236235=ORIENTED_EDGE('',*,*,#185120,.T.); #236236=ORIENTED_EDGE('',*,*,#185146,.T.); #236237=ORIENTED_EDGE('',*,*,#185147,.T.); #236238=ORIENTED_EDGE('',*,*,#185148,.T.); #236239=ORIENTED_EDGE('',*,*,#185149,.F.); #236240=ORIENTED_EDGE('',*,*,#185150,.T.); #236241=ORIENTED_EDGE('',*,*,#185126,.T.); #236242=ORIENTED_EDGE('',*,*,#185151,.T.); #236243=ORIENTED_EDGE('',*,*,#185152,.T.); #236244=ORIENTED_EDGE('',*,*,#185153,.T.); #236245=ORIENTED_EDGE('',*,*,#185154,.F.); #236246=ORIENTED_EDGE('',*,*,#185155,.T.); #236247=ORIENTED_EDGE('',*,*,#185156,.T.); #236248=ORIENTED_EDGE('',*,*,#185157,.F.); #236249=ORIENTED_EDGE('',*,*,#185015,.F.); #236250=ORIENTED_EDGE('',*,*,#185157,.T.); #236251=ORIENTED_EDGE('',*,*,#185158,.T.); #236252=ORIENTED_EDGE('',*,*,#185134,.T.); #236253=ORIENTED_EDGE('',*,*,#185159,.T.); #236254=ORIENTED_EDGE('',*,*,#185160,.T.); #236255=ORIENTED_EDGE('',*,*,#185153,.F.); #236256=ORIENTED_EDGE('',*,*,#185161,.T.); #236257=ORIENTED_EDGE('',*,*,#185105,.T.); #236258=ORIENTED_EDGE('',*,*,#185122,.F.); #236259=ORIENTED_EDGE('',*,*,#185127,.F.); #236260=ORIENTED_EDGE('',*,*,#185150,.F.); #236261=ORIENTED_EDGE('',*,*,#185162,.T.); #236262=ORIENTED_EDGE('',*,*,#185135,.T.); #236263=ORIENTED_EDGE('',*,*,#185113,.T.); #236264=ORIENTED_EDGE('',*,*,#185163,.T.); #236265=ORIENTED_EDGE('',*,*,#185148,.F.); #236266=ORIENTED_EDGE('',*,*,#185164,.T.); #236267=ORIENTED_EDGE('',*,*,#185098,.T.); #236268=ORIENTED_EDGE('',*,*,#185117,.F.); #236269=ORIENTED_EDGE('',*,*,#185121,.F.); #236270=ORIENTED_EDGE('',*,*,#185145,.F.); #236271=ORIENTED_EDGE('',*,*,#185165,.T.); #236272=ORIENTED_EDGE('',*,*,#185115,.T.); #236273=ORIENTED_EDGE('',*,*,#185140,.T.); #236274=ORIENTED_EDGE('',*,*,#185166,.T.); #236275=ORIENTED_EDGE('',*,*,#184865,.F.); #236276=ORIENTED_EDGE('',*,*,#185167,.T.); #236277=ORIENTED_EDGE('',*,*,#185088,.T.); #236278=ORIENTED_EDGE('',*,*,#185168,.F.); #236279=ORIENTED_EDGE('',*,*,#185169,.F.); #236280=ORIENTED_EDGE('',*,*,#185155,.F.); #236281=ORIENTED_EDGE('',*,*,#185170,.T.); #236282=ORIENTED_EDGE('',*,*,#185142,.T.); #236283=ORIENTED_EDGE('',*,*,#185091,.T.); #236284=ORIENTED_EDGE('',*,*,#185112,.T.); #236285=ORIENTED_EDGE('',*,*,#185139,.T.); #236286=ORIENTED_EDGE('',*,*,#185171,.F.); #236287=ORIENTED_EDGE('',*,*,#185156,.F.); #236288=ORIENTED_EDGE('',*,*,#185169,.T.); #236289=ORIENTED_EDGE('',*,*,#185141,.T.); #236290=ORIENTED_EDGE('',*,*,#185168,.T.); #236291=ORIENTED_EDGE('',*,*,#185087,.T.); #236292=ORIENTED_EDGE('',*,*,#185106,.T.); #236293=ORIENTED_EDGE('',*,*,#185161,.F.); #236294=ORIENTED_EDGE('',*,*,#185152,.F.); #236295=ORIENTED_EDGE('',*,*,#185172,.T.); #236296=ORIENTED_EDGE('',*,*,#185132,.T.); #236297=ORIENTED_EDGE('',*,*,#185129,.T.); #236298=ORIENTED_EDGE('',*,*,#185109,.T.); #236299=ORIENTED_EDGE('',*,*,#185133,.T.); #236300=ORIENTED_EDGE('',*,*,#185172,.F.); #236301=ORIENTED_EDGE('',*,*,#185151,.F.); #236302=ORIENTED_EDGE('',*,*,#185125,.T.); #236303=ORIENTED_EDGE('',*,*,#185173,.T.); #236304=ORIENTED_EDGE('',*,*,#185174,.T.); #236305=ORIENTED_EDGE('',*,*,#185159,.F.); #236306=ORIENTED_EDGE('',*,*,#185136,.T.); #236307=ORIENTED_EDGE('',*,*,#185162,.F.); #236308=ORIENTED_EDGE('',*,*,#185149,.T.); #236309=ORIENTED_EDGE('',*,*,#185163,.F.); #236310=ORIENTED_EDGE('',*,*,#185116,.T.); #236311=ORIENTED_EDGE('',*,*,#185165,.F.); #236312=ORIENTED_EDGE('',*,*,#185144,.T.); #236313=ORIENTED_EDGE('',*,*,#184866,.T.); #236314=ORIENTED_EDGE('',*,*,#185166,.F.); #236315=ORIENTED_EDGE('',*,*,#185143,.T.); #236316=ORIENTED_EDGE('',*,*,#185170,.F.); #236317=ORIENTED_EDGE('',*,*,#185154,.T.); #236318=ORIENTED_EDGE('',*,*,#185160,.F.); #236319=ORIENTED_EDGE('',*,*,#185174,.F.); #236320=ORIENTED_EDGE('',*,*,#185095,.T.); #236321=ORIENTED_EDGE('',*,*,#185164,.F.); #236322=ORIENTED_EDGE('',*,*,#185147,.F.); #236323=ORIENTED_EDGE('',*,*,#185175,.T.); #236324=ORIENTED_EDGE('',*,*,#185046,.T.); #236325=ORIENTED_EDGE('',*,*,#185130,.T.); #236326=ORIENTED_EDGE('',*,*,#185099,.T.); #236327=ORIENTED_EDGE('',*,*,#185047,.T.); #236328=ORIENTED_EDGE('',*,*,#185175,.F.); #236329=ORIENTED_EDGE('',*,*,#185146,.F.); #236330=ORIENTED_EDGE('',*,*,#185119,.T.); #236331=ORIENTED_EDGE('',*,*,#185089,.T.); #236332=ORIENTED_EDGE('',*,*,#185167,.F.); #236333=ORIENTED_EDGE('',*,*,#184864,.F.); #236334=ORIENTED_EDGE('',*,*,#185176,.T.); #236335=ORIENTED_EDGE('',*,*,#185137,.T.); #236336=ORIENTED_EDGE('',*,*,#185131,.T.); #236337=ORIENTED_EDGE('',*,*,#185092,.T.); #236338=ORIENTED_EDGE('',*,*,#185138,.T.); #236339=ORIENTED_EDGE('',*,*,#185176,.F.); #236340=ORIENTED_EDGE('',*,*,#185158,.F.); #236341=ORIENTED_EDGE('',*,*,#185171,.T.); #236342=ORIENTED_EDGE('',*,*,#184957,.T.); #236343=ORIENTED_EDGE('',*,*,#185173,.F.); #236344=ORIENTED_EDGE('',*,*,#185023,.T.); #236345=ORIENTED_EDGE('',*,*,#185177,.F.); #236346=ORIENTED_EDGE('',*,*,#185178,.F.); #236347=ORIENTED_EDGE('',*,*,#185179,.T.); #236348=ORIENTED_EDGE('',*,*,#185022,.T.); #236349=ORIENTED_EDGE('',*,*,#185180,.F.); #236350=ORIENTED_EDGE('',*,*,#185181,.F.); #236351=ORIENTED_EDGE('',*,*,#185177,.T.); #236352=ORIENTED_EDGE('',*,*,#185021,.T.); #236353=ORIENTED_EDGE('',*,*,#185182,.F.); #236354=ORIENTED_EDGE('',*,*,#185183,.F.); #236355=ORIENTED_EDGE('',*,*,#185180,.T.); #236356=ORIENTED_EDGE('',*,*,#185020,.T.); #236357=ORIENTED_EDGE('',*,*,#185184,.F.); #236358=ORIENTED_EDGE('',*,*,#185185,.F.); #236359=ORIENTED_EDGE('',*,*,#185182,.T.); #236360=ORIENTED_EDGE('',*,*,#185019,.T.); #236361=ORIENTED_EDGE('',*,*,#185186,.F.); #236362=ORIENTED_EDGE('',*,*,#185187,.F.); #236363=ORIENTED_EDGE('',*,*,#185184,.T.); #236364=ORIENTED_EDGE('',*,*,#185018,.T.); #236365=ORIENTED_EDGE('',*,*,#185188,.F.); #236366=ORIENTED_EDGE('',*,*,#185189,.F.); #236367=ORIENTED_EDGE('',*,*,#185186,.T.); #236368=ORIENTED_EDGE('',*,*,#185017,.T.); #236369=ORIENTED_EDGE('',*,*,#185027,.F.); #236370=ORIENTED_EDGE('',*,*,#185190,.F.); #236371=ORIENTED_EDGE('',*,*,#185188,.T.); #236372=ORIENTED_EDGE('',*,*,#185025,.T.); #236373=ORIENTED_EDGE('',*,*,#185191,.F.); #236374=ORIENTED_EDGE('',*,*,#185192,.F.); #236375=ORIENTED_EDGE('',*,*,#184998,.T.); #236376=ORIENTED_EDGE('',*,*,#185024,.T.); #236377=ORIENTED_EDGE('',*,*,#185179,.F.); #236378=ORIENTED_EDGE('',*,*,#185193,.F.); #236379=ORIENTED_EDGE('',*,*,#185191,.T.); #236380=ORIENTED_EDGE('',*,*,#184867,.F.); #236381=ORIENTED_EDGE('',*,*,#185000,.T.); #236382=ORIENTED_EDGE('',*,*,#185004,.T.); #236383=ORIENTED_EDGE('',*,*,#185007,.T.); #236384=ORIENTED_EDGE('',*,*,#185011,.T.); #236385=ORIENTED_EDGE('',*,*,#185002,.T.); #236386=ORIENTED_EDGE('',*,*,#184999,.T.); #236387=ORIENTED_EDGE('',*,*,#185192,.T.); #236388=ORIENTED_EDGE('',*,*,#185193,.T.); #236389=ORIENTED_EDGE('',*,*,#185178,.T.); #236390=ORIENTED_EDGE('',*,*,#185181,.T.); #236391=ORIENTED_EDGE('',*,*,#185183,.T.); #236392=ORIENTED_EDGE('',*,*,#185185,.T.); #236393=ORIENTED_EDGE('',*,*,#185187,.T.); #236394=ORIENTED_EDGE('',*,*,#185189,.T.); #236395=ORIENTED_EDGE('',*,*,#185190,.T.); #236396=ORIENTED_EDGE('',*,*,#185026,.T.); #236397=ORIENTED_EDGE('',*,*,#185008,.T.); #236398=ORIENTED_EDGE('',*,*,#185005,.T.); #236399=ORIENTED_EDGE('',*,*,#185194,.F.); #236400=ORIENTED_EDGE('',*,*,#185195,.T.); #236401=ORIENTED_EDGE('',*,*,#185196,.F.); #236402=ORIENTED_EDGE('',*,*,#185195,.F.); #236403=ORIENTED_EDGE('',*,*,#185197,.F.); #236404=ORIENTED_EDGE('',*,*,#185198,.T.); #236405=ORIENTED_EDGE('',*,*,#185199,.F.); #236406=ORIENTED_EDGE('',*,*,#185198,.F.); #236407=ORIENTED_EDGE('',*,*,#185200,.F.); #236408=ORIENTED_EDGE('',*,*,#185201,.T.); #236409=ORIENTED_EDGE('',*,*,#185202,.F.); #236410=ORIENTED_EDGE('',*,*,#185201,.F.); #236411=ORIENTED_EDGE('',*,*,#185203,.F.); #236412=ORIENTED_EDGE('',*,*,#185204,.T.); #236413=ORIENTED_EDGE('',*,*,#185205,.F.); #236414=ORIENTED_EDGE('',*,*,#185204,.F.); #236415=ORIENTED_EDGE('',*,*,#185206,.F.); #236416=ORIENTED_EDGE('',*,*,#185207,.T.); #236417=ORIENTED_EDGE('',*,*,#185208,.F.); #236418=ORIENTED_EDGE('',*,*,#185207,.F.); #236419=ORIENTED_EDGE('',*,*,#185209,.F.); #236420=ORIENTED_EDGE('',*,*,#185210,.T.); #236421=ORIENTED_EDGE('',*,*,#185211,.F.); #236422=ORIENTED_EDGE('',*,*,#185210,.F.); #236423=ORIENTED_EDGE('',*,*,#185212,.F.); #236424=ORIENTED_EDGE('',*,*,#185213,.T.); #236425=ORIENTED_EDGE('',*,*,#185214,.F.); #236426=ORIENTED_EDGE('',*,*,#185213,.F.); #236427=ORIENTED_EDGE('',*,*,#185215,.F.); #236428=ORIENTED_EDGE('',*,*,#185216,.T.); #236429=ORIENTED_EDGE('',*,*,#185217,.F.); #236430=ORIENTED_EDGE('',*,*,#185216,.F.); #236431=ORIENTED_EDGE('',*,*,#185218,.F.); #236432=ORIENTED_EDGE('',*,*,#185219,.T.); #236433=ORIENTED_EDGE('',*,*,#185220,.F.); #236434=ORIENTED_EDGE('',*,*,#185219,.F.); #236435=ORIENTED_EDGE('',*,*,#185221,.F.); #236436=ORIENTED_EDGE('',*,*,#185222,.T.); #236437=ORIENTED_EDGE('',*,*,#185223,.F.); #236438=ORIENTED_EDGE('',*,*,#185222,.F.); #236439=ORIENTED_EDGE('',*,*,#185224,.F.); #236440=ORIENTED_EDGE('',*,*,#185225,.T.); #236441=ORIENTED_EDGE('',*,*,#185226,.F.); #236442=ORIENTED_EDGE('',*,*,#185225,.F.); #236443=ORIENTED_EDGE('',*,*,#185227,.F.); #236444=ORIENTED_EDGE('',*,*,#185228,.T.); #236445=ORIENTED_EDGE('',*,*,#185229,.F.); #236446=ORIENTED_EDGE('',*,*,#185228,.F.); #236447=ORIENTED_EDGE('',*,*,#185230,.F.); #236448=ORIENTED_EDGE('',*,*,#185231,.T.); #236449=ORIENTED_EDGE('',*,*,#185232,.F.); #236450=ORIENTED_EDGE('',*,*,#185231,.F.); #236451=ORIENTED_EDGE('',*,*,#185233,.F.); #236452=ORIENTED_EDGE('',*,*,#185234,.T.); #236453=ORIENTED_EDGE('',*,*,#185235,.F.); #236454=ORIENTED_EDGE('',*,*,#185234,.F.); #236455=ORIENTED_EDGE('',*,*,#185236,.F.); #236456=ORIENTED_EDGE('',*,*,#185237,.T.); #236457=ORIENTED_EDGE('',*,*,#185238,.F.); #236458=ORIENTED_EDGE('',*,*,#185237,.F.); #236459=ORIENTED_EDGE('',*,*,#185239,.F.); #236460=ORIENTED_EDGE('',*,*,#185240,.T.); #236461=ORIENTED_EDGE('',*,*,#185241,.F.); #236462=ORIENTED_EDGE('',*,*,#185240,.F.); #236463=ORIENTED_EDGE('',*,*,#185242,.F.); #236464=ORIENTED_EDGE('',*,*,#185243,.T.); #236465=ORIENTED_EDGE('',*,*,#185244,.F.); #236466=ORIENTED_EDGE('',*,*,#185243,.F.); #236467=ORIENTED_EDGE('',*,*,#185245,.F.); #236468=ORIENTED_EDGE('',*,*,#185246,.T.); #236469=ORIENTED_EDGE('',*,*,#185247,.F.); #236470=ORIENTED_EDGE('',*,*,#185246,.F.); #236471=ORIENTED_EDGE('',*,*,#185248,.F.); #236472=ORIENTED_EDGE('',*,*,#185249,.T.); #236473=ORIENTED_EDGE('',*,*,#185250,.F.); #236474=ORIENTED_EDGE('',*,*,#185249,.F.); #236475=ORIENTED_EDGE('',*,*,#185251,.F.); #236476=ORIENTED_EDGE('',*,*,#185252,.T.); #236477=ORIENTED_EDGE('',*,*,#185253,.F.); #236478=ORIENTED_EDGE('',*,*,#185252,.F.); #236479=ORIENTED_EDGE('',*,*,#185254,.F.); #236480=ORIENTED_EDGE('',*,*,#185255,.T.); #236481=ORIENTED_EDGE('',*,*,#185256,.F.); #236482=ORIENTED_EDGE('',*,*,#185255,.F.); #236483=ORIENTED_EDGE('',*,*,#185257,.F.); #236484=ORIENTED_EDGE('',*,*,#185258,.T.); #236485=ORIENTED_EDGE('',*,*,#185259,.F.); #236486=ORIENTED_EDGE('',*,*,#185258,.F.); #236487=ORIENTED_EDGE('',*,*,#185260,.F.); #236488=ORIENTED_EDGE('',*,*,#185261,.T.); #236489=ORIENTED_EDGE('',*,*,#185262,.F.); #236490=ORIENTED_EDGE('',*,*,#185261,.F.); #236491=ORIENTED_EDGE('',*,*,#185263,.F.); #236492=ORIENTED_EDGE('',*,*,#185264,.T.); #236493=ORIENTED_EDGE('',*,*,#185265,.F.); #236494=ORIENTED_EDGE('',*,*,#185264,.F.); #236495=ORIENTED_EDGE('',*,*,#185266,.F.); #236496=ORIENTED_EDGE('',*,*,#185267,.T.); #236497=ORIENTED_EDGE('',*,*,#185268,.F.); #236498=ORIENTED_EDGE('',*,*,#185267,.F.); #236499=ORIENTED_EDGE('',*,*,#185269,.F.); #236500=ORIENTED_EDGE('',*,*,#185270,.T.); #236501=ORIENTED_EDGE('',*,*,#185271,.F.); #236502=ORIENTED_EDGE('',*,*,#185270,.F.); #236503=ORIENTED_EDGE('',*,*,#185272,.F.); #236504=ORIENTED_EDGE('',*,*,#185273,.T.); #236505=ORIENTED_EDGE('',*,*,#185274,.F.); #236506=ORIENTED_EDGE('',*,*,#185273,.F.); #236507=ORIENTED_EDGE('',*,*,#185275,.F.); #236508=ORIENTED_EDGE('',*,*,#185276,.T.); #236509=ORIENTED_EDGE('',*,*,#185277,.F.); #236510=ORIENTED_EDGE('',*,*,#185276,.F.); #236511=ORIENTED_EDGE('',*,*,#185278,.F.); #236512=ORIENTED_EDGE('',*,*,#185279,.T.); #236513=ORIENTED_EDGE('',*,*,#185280,.F.); #236514=ORIENTED_EDGE('',*,*,#185279,.F.); #236515=ORIENTED_EDGE('',*,*,#185281,.F.); #236516=ORIENTED_EDGE('',*,*,#185282,.T.); #236517=ORIENTED_EDGE('',*,*,#185283,.F.); #236518=ORIENTED_EDGE('',*,*,#185282,.F.); #236519=ORIENTED_EDGE('',*,*,#185284,.F.); #236520=ORIENTED_EDGE('',*,*,#185285,.T.); #236521=ORIENTED_EDGE('',*,*,#185286,.F.); #236522=ORIENTED_EDGE('',*,*,#185285,.F.); #236523=ORIENTED_EDGE('',*,*,#185287,.F.); #236524=ORIENTED_EDGE('',*,*,#185288,.T.); #236525=ORIENTED_EDGE('',*,*,#185289,.F.); #236526=ORIENTED_EDGE('',*,*,#185288,.F.); #236527=ORIENTED_EDGE('',*,*,#185290,.F.); #236528=ORIENTED_EDGE('',*,*,#185291,.T.); #236529=ORIENTED_EDGE('',*,*,#185292,.F.); #236530=ORIENTED_EDGE('',*,*,#185291,.F.); #236531=ORIENTED_EDGE('',*,*,#185293,.F.); #236532=ORIENTED_EDGE('',*,*,#185294,.T.); #236533=ORIENTED_EDGE('',*,*,#185295,.F.); #236534=ORIENTED_EDGE('',*,*,#185294,.F.); #236535=ORIENTED_EDGE('',*,*,#185296,.F.); #236536=ORIENTED_EDGE('',*,*,#185297,.T.); #236537=ORIENTED_EDGE('',*,*,#185298,.F.); #236538=ORIENTED_EDGE('',*,*,#185297,.F.); #236539=ORIENTED_EDGE('',*,*,#185299,.F.); #236540=ORIENTED_EDGE('',*,*,#185300,.T.); #236541=ORIENTED_EDGE('',*,*,#185301,.F.); #236542=ORIENTED_EDGE('',*,*,#185300,.F.); #236543=ORIENTED_EDGE('',*,*,#185302,.F.); #236544=ORIENTED_EDGE('',*,*,#185303,.T.); #236545=ORIENTED_EDGE('',*,*,#185304,.F.); #236546=ORIENTED_EDGE('',*,*,#185303,.F.); #236547=ORIENTED_EDGE('',*,*,#185305,.F.); #236548=ORIENTED_EDGE('',*,*,#185306,.T.); #236549=ORIENTED_EDGE('',*,*,#185307,.F.); #236550=ORIENTED_EDGE('',*,*,#185306,.F.); #236551=ORIENTED_EDGE('',*,*,#185308,.F.); #236552=ORIENTED_EDGE('',*,*,#185309,.T.); #236553=ORIENTED_EDGE('',*,*,#185310,.F.); #236554=ORIENTED_EDGE('',*,*,#185309,.F.); #236555=ORIENTED_EDGE('',*,*,#185311,.F.); #236556=ORIENTED_EDGE('',*,*,#185312,.T.); #236557=ORIENTED_EDGE('',*,*,#185313,.F.); #236558=ORIENTED_EDGE('',*,*,#185312,.F.); #236559=ORIENTED_EDGE('',*,*,#185314,.F.); #236560=ORIENTED_EDGE('',*,*,#185315,.T.); #236561=ORIENTED_EDGE('',*,*,#185316,.F.); #236562=ORIENTED_EDGE('',*,*,#185315,.F.); #236563=ORIENTED_EDGE('',*,*,#185317,.F.); #236564=ORIENTED_EDGE('',*,*,#185318,.T.); #236565=ORIENTED_EDGE('',*,*,#185319,.F.); #236566=ORIENTED_EDGE('',*,*,#185318,.F.); #236567=ORIENTED_EDGE('',*,*,#185320,.F.); #236568=ORIENTED_EDGE('',*,*,#185321,.T.); #236569=ORIENTED_EDGE('',*,*,#185322,.F.); #236570=ORIENTED_EDGE('',*,*,#185321,.F.); #236571=ORIENTED_EDGE('',*,*,#185323,.F.); #236572=ORIENTED_EDGE('',*,*,#185324,.T.); #236573=ORIENTED_EDGE('',*,*,#185325,.F.); #236574=ORIENTED_EDGE('',*,*,#185324,.F.); #236575=ORIENTED_EDGE('',*,*,#185326,.F.); #236576=ORIENTED_EDGE('',*,*,#185327,.T.); #236577=ORIENTED_EDGE('',*,*,#185328,.F.); #236578=ORIENTED_EDGE('',*,*,#185327,.F.); #236579=ORIENTED_EDGE('',*,*,#185329,.F.); #236580=ORIENTED_EDGE('',*,*,#185330,.T.); #236581=ORIENTED_EDGE('',*,*,#185331,.F.); #236582=ORIENTED_EDGE('',*,*,#185330,.F.); #236583=ORIENTED_EDGE('',*,*,#185332,.F.); #236584=ORIENTED_EDGE('',*,*,#185333,.T.); #236585=ORIENTED_EDGE('',*,*,#185334,.F.); #236586=ORIENTED_EDGE('',*,*,#185333,.F.); #236587=ORIENTED_EDGE('',*,*,#185335,.F.); #236588=ORIENTED_EDGE('',*,*,#185336,.T.); #236589=ORIENTED_EDGE('',*,*,#185337,.F.); #236590=ORIENTED_EDGE('',*,*,#185336,.F.); #236591=ORIENTED_EDGE('',*,*,#185338,.F.); #236592=ORIENTED_EDGE('',*,*,#185339,.T.); #236593=ORIENTED_EDGE('',*,*,#185340,.F.); #236594=ORIENTED_EDGE('',*,*,#185339,.F.); #236595=ORIENTED_EDGE('',*,*,#185341,.F.); #236596=ORIENTED_EDGE('',*,*,#185342,.T.); #236597=ORIENTED_EDGE('',*,*,#185343,.F.); #236598=ORIENTED_EDGE('',*,*,#185342,.F.); #236599=ORIENTED_EDGE('',*,*,#185344,.F.); #236600=ORIENTED_EDGE('',*,*,#185345,.T.); #236601=ORIENTED_EDGE('',*,*,#185346,.F.); #236602=ORIENTED_EDGE('',*,*,#185345,.F.); #236603=ORIENTED_EDGE('',*,*,#185347,.F.); #236604=ORIENTED_EDGE('',*,*,#185348,.T.); #236605=ORIENTED_EDGE('',*,*,#185349,.F.); #236606=ORIENTED_EDGE('',*,*,#185348,.F.); #236607=ORIENTED_EDGE('',*,*,#185350,.F.); #236608=ORIENTED_EDGE('',*,*,#185351,.T.); #236609=ORIENTED_EDGE('',*,*,#185352,.F.); #236610=ORIENTED_EDGE('',*,*,#185351,.F.); #236611=ORIENTED_EDGE('',*,*,#185353,.F.); #236612=ORIENTED_EDGE('',*,*,#185354,.T.); #236613=ORIENTED_EDGE('',*,*,#185355,.F.); #236614=ORIENTED_EDGE('',*,*,#185354,.F.); #236615=ORIENTED_EDGE('',*,*,#185356,.F.); #236616=ORIENTED_EDGE('',*,*,#185357,.T.); #236617=ORIENTED_EDGE('',*,*,#185358,.F.); #236618=ORIENTED_EDGE('',*,*,#185357,.F.); #236619=ORIENTED_EDGE('',*,*,#185359,.F.); #236620=ORIENTED_EDGE('',*,*,#185360,.T.); #236621=ORIENTED_EDGE('',*,*,#185361,.F.); #236622=ORIENTED_EDGE('',*,*,#185360,.F.); #236623=ORIENTED_EDGE('',*,*,#185362,.F.); #236624=ORIENTED_EDGE('',*,*,#185363,.T.); #236625=ORIENTED_EDGE('',*,*,#185364,.F.); #236626=ORIENTED_EDGE('',*,*,#185363,.F.); #236627=ORIENTED_EDGE('',*,*,#185365,.F.); #236628=ORIENTED_EDGE('',*,*,#185366,.T.); #236629=ORIENTED_EDGE('',*,*,#185367,.F.); #236630=ORIENTED_EDGE('',*,*,#185366,.F.); #236631=ORIENTED_EDGE('',*,*,#185368,.F.); #236632=ORIENTED_EDGE('',*,*,#185369,.T.); #236633=ORIENTED_EDGE('',*,*,#185370,.F.); #236634=ORIENTED_EDGE('',*,*,#185369,.F.); #236635=ORIENTED_EDGE('',*,*,#185371,.F.); #236636=ORIENTED_EDGE('',*,*,#185372,.T.); #236637=ORIENTED_EDGE('',*,*,#185373,.F.); #236638=ORIENTED_EDGE('',*,*,#185372,.F.); #236639=ORIENTED_EDGE('',*,*,#185374,.F.); #236640=ORIENTED_EDGE('',*,*,#185375,.T.); #236641=ORIENTED_EDGE('',*,*,#185376,.F.); #236642=ORIENTED_EDGE('',*,*,#185375,.F.); #236643=ORIENTED_EDGE('',*,*,#185377,.F.); #236644=ORIENTED_EDGE('',*,*,#185378,.T.); #236645=ORIENTED_EDGE('',*,*,#185379,.F.); #236646=ORIENTED_EDGE('',*,*,#185378,.F.); #236647=ORIENTED_EDGE('',*,*,#185380,.F.); #236648=ORIENTED_EDGE('',*,*,#185381,.T.); #236649=ORIENTED_EDGE('',*,*,#185382,.F.); #236650=ORIENTED_EDGE('',*,*,#185381,.F.); #236651=ORIENTED_EDGE('',*,*,#185383,.F.); #236652=ORIENTED_EDGE('',*,*,#185384,.T.); #236653=ORIENTED_EDGE('',*,*,#185385,.F.); #236654=ORIENTED_EDGE('',*,*,#185384,.F.); #236655=ORIENTED_EDGE('',*,*,#185386,.F.); #236656=ORIENTED_EDGE('',*,*,#185387,.T.); #236657=ORIENTED_EDGE('',*,*,#185388,.F.); #236658=ORIENTED_EDGE('',*,*,#185387,.F.); #236659=ORIENTED_EDGE('',*,*,#185389,.F.); #236660=ORIENTED_EDGE('',*,*,#185390,.T.); #236661=ORIENTED_EDGE('',*,*,#185391,.F.); #236662=ORIENTED_EDGE('',*,*,#185390,.F.); #236663=ORIENTED_EDGE('',*,*,#185392,.F.); #236664=ORIENTED_EDGE('',*,*,#185393,.T.); #236665=ORIENTED_EDGE('',*,*,#185394,.F.); #236666=ORIENTED_EDGE('',*,*,#185393,.F.); #236667=ORIENTED_EDGE('',*,*,#185395,.F.); #236668=ORIENTED_EDGE('',*,*,#185396,.T.); #236669=ORIENTED_EDGE('',*,*,#185397,.F.); #236670=ORIENTED_EDGE('',*,*,#185396,.F.); #236671=ORIENTED_EDGE('',*,*,#185398,.F.); #236672=ORIENTED_EDGE('',*,*,#185399,.T.); #236673=ORIENTED_EDGE('',*,*,#185400,.F.); #236674=ORIENTED_EDGE('',*,*,#185399,.F.); #236675=ORIENTED_EDGE('',*,*,#185401,.F.); #236676=ORIENTED_EDGE('',*,*,#185402,.T.); #236677=ORIENTED_EDGE('',*,*,#185403,.F.); #236678=ORIENTED_EDGE('',*,*,#185402,.F.); #236679=ORIENTED_EDGE('',*,*,#185404,.F.); #236680=ORIENTED_EDGE('',*,*,#185405,.T.); #236681=ORIENTED_EDGE('',*,*,#185406,.F.); #236682=ORIENTED_EDGE('',*,*,#185405,.F.); #236683=ORIENTED_EDGE('',*,*,#185407,.F.); #236684=ORIENTED_EDGE('',*,*,#185408,.T.); #236685=ORIENTED_EDGE('',*,*,#185409,.F.); #236686=ORIENTED_EDGE('',*,*,#185408,.F.); #236687=ORIENTED_EDGE('',*,*,#185410,.F.); #236688=ORIENTED_EDGE('',*,*,#185411,.T.); #236689=ORIENTED_EDGE('',*,*,#185412,.F.); #236690=ORIENTED_EDGE('',*,*,#185411,.F.); #236691=ORIENTED_EDGE('',*,*,#185413,.F.); #236692=ORIENTED_EDGE('',*,*,#185414,.T.); #236693=ORIENTED_EDGE('',*,*,#185415,.F.); #236694=ORIENTED_EDGE('',*,*,#185414,.F.); #236695=ORIENTED_EDGE('',*,*,#185416,.F.); #236696=ORIENTED_EDGE('',*,*,#185417,.T.); #236697=ORIENTED_EDGE('',*,*,#185418,.F.); #236698=ORIENTED_EDGE('',*,*,#185417,.F.); #236699=ORIENTED_EDGE('',*,*,#185419,.F.); #236700=ORIENTED_EDGE('',*,*,#185420,.T.); #236701=ORIENTED_EDGE('',*,*,#185421,.F.); #236702=ORIENTED_EDGE('',*,*,#185420,.F.); #236703=ORIENTED_EDGE('',*,*,#185422,.F.); #236704=ORIENTED_EDGE('',*,*,#185423,.T.); #236705=ORIENTED_EDGE('',*,*,#185424,.F.); #236706=ORIENTED_EDGE('',*,*,#185423,.F.); #236707=ORIENTED_EDGE('',*,*,#185425,.F.); #236708=ORIENTED_EDGE('',*,*,#185426,.T.); #236709=ORIENTED_EDGE('',*,*,#185427,.F.); #236710=ORIENTED_EDGE('',*,*,#185426,.F.); #236711=ORIENTED_EDGE('',*,*,#185428,.F.); #236712=ORIENTED_EDGE('',*,*,#185429,.T.); #236713=ORIENTED_EDGE('',*,*,#185430,.F.); #236714=ORIENTED_EDGE('',*,*,#185429,.F.); #236715=ORIENTED_EDGE('',*,*,#185431,.F.); #236716=ORIENTED_EDGE('',*,*,#185432,.T.); #236717=ORIENTED_EDGE('',*,*,#185433,.F.); #236718=ORIENTED_EDGE('',*,*,#185432,.F.); #236719=ORIENTED_EDGE('',*,*,#185434,.F.); #236720=ORIENTED_EDGE('',*,*,#185435,.T.); #236721=ORIENTED_EDGE('',*,*,#185436,.F.); #236722=ORIENTED_EDGE('',*,*,#185435,.F.); #236723=ORIENTED_EDGE('',*,*,#185437,.F.); #236724=ORIENTED_EDGE('',*,*,#185438,.T.); #236725=ORIENTED_EDGE('',*,*,#185439,.F.); #236726=ORIENTED_EDGE('',*,*,#185438,.F.); #236727=ORIENTED_EDGE('',*,*,#185440,.F.); #236728=ORIENTED_EDGE('',*,*,#185441,.T.); #236729=ORIENTED_EDGE('',*,*,#185442,.F.); #236730=ORIENTED_EDGE('',*,*,#185441,.F.); #236731=ORIENTED_EDGE('',*,*,#185443,.F.); #236732=ORIENTED_EDGE('',*,*,#185444,.T.); #236733=ORIENTED_EDGE('',*,*,#185445,.F.); #236734=ORIENTED_EDGE('',*,*,#185444,.F.); #236735=ORIENTED_EDGE('',*,*,#185446,.F.); #236736=ORIENTED_EDGE('',*,*,#185447,.T.); #236737=ORIENTED_EDGE('',*,*,#185448,.F.); #236738=ORIENTED_EDGE('',*,*,#185447,.F.); #236739=ORIENTED_EDGE('',*,*,#185449,.F.); #236740=ORIENTED_EDGE('',*,*,#185450,.T.); #236741=ORIENTED_EDGE('',*,*,#185451,.F.); #236742=ORIENTED_EDGE('',*,*,#185450,.F.); #236743=ORIENTED_EDGE('',*,*,#185452,.F.); #236744=ORIENTED_EDGE('',*,*,#185453,.T.); #236745=ORIENTED_EDGE('',*,*,#185454,.F.); #236746=ORIENTED_EDGE('',*,*,#185453,.F.); #236747=ORIENTED_EDGE('',*,*,#185455,.F.); #236748=ORIENTED_EDGE('',*,*,#185456,.T.); #236749=ORIENTED_EDGE('',*,*,#185457,.F.); #236750=ORIENTED_EDGE('',*,*,#185456,.F.); #236751=ORIENTED_EDGE('',*,*,#185458,.F.); #236752=ORIENTED_EDGE('',*,*,#185459,.T.); #236753=ORIENTED_EDGE('',*,*,#185460,.F.); #236754=ORIENTED_EDGE('',*,*,#185459,.F.); #236755=ORIENTED_EDGE('',*,*,#185461,.F.); #236756=ORIENTED_EDGE('',*,*,#185462,.T.); #236757=ORIENTED_EDGE('',*,*,#185463,.F.); #236758=ORIENTED_EDGE('',*,*,#185462,.F.); #236759=ORIENTED_EDGE('',*,*,#185464,.F.); #236760=ORIENTED_EDGE('',*,*,#185465,.T.); #236761=ORIENTED_EDGE('',*,*,#185466,.F.); #236762=ORIENTED_EDGE('',*,*,#185465,.F.); #236763=ORIENTED_EDGE('',*,*,#185467,.F.); #236764=ORIENTED_EDGE('',*,*,#185468,.T.); #236765=ORIENTED_EDGE('',*,*,#185469,.F.); #236766=ORIENTED_EDGE('',*,*,#185468,.F.); #236767=ORIENTED_EDGE('',*,*,#185470,.F.); #236768=ORIENTED_EDGE('',*,*,#185471,.T.); #236769=ORIENTED_EDGE('',*,*,#185472,.F.); #236770=ORIENTED_EDGE('',*,*,#185471,.F.); #236771=ORIENTED_EDGE('',*,*,#185473,.F.); #236772=ORIENTED_EDGE('',*,*,#185474,.T.); #236773=ORIENTED_EDGE('',*,*,#185475,.F.); #236774=ORIENTED_EDGE('',*,*,#185474,.F.); #236775=ORIENTED_EDGE('',*,*,#185476,.F.); #236776=ORIENTED_EDGE('',*,*,#185477,.T.); #236777=ORIENTED_EDGE('',*,*,#185478,.F.); #236778=ORIENTED_EDGE('',*,*,#185477,.F.); #236779=ORIENTED_EDGE('',*,*,#185479,.F.); #236780=ORIENTED_EDGE('',*,*,#185480,.T.); #236781=ORIENTED_EDGE('',*,*,#185481,.F.); #236782=ORIENTED_EDGE('',*,*,#185480,.F.); #236783=ORIENTED_EDGE('',*,*,#185482,.F.); #236784=ORIENTED_EDGE('',*,*,#185483,.T.); #236785=ORIENTED_EDGE('',*,*,#185484,.F.); #236786=ORIENTED_EDGE('',*,*,#185483,.F.); #236787=ORIENTED_EDGE('',*,*,#185485,.F.); #236788=ORIENTED_EDGE('',*,*,#185486,.T.); #236789=ORIENTED_EDGE('',*,*,#185487,.F.); #236790=ORIENTED_EDGE('',*,*,#185486,.F.); #236791=ORIENTED_EDGE('',*,*,#185488,.F.); #236792=ORIENTED_EDGE('',*,*,#185489,.T.); #236793=ORIENTED_EDGE('',*,*,#185490,.F.); #236794=ORIENTED_EDGE('',*,*,#185489,.F.); #236795=ORIENTED_EDGE('',*,*,#185491,.F.); #236796=ORIENTED_EDGE('',*,*,#185492,.T.); #236797=ORIENTED_EDGE('',*,*,#185493,.F.); #236798=ORIENTED_EDGE('',*,*,#185492,.F.); #236799=ORIENTED_EDGE('',*,*,#185494,.F.); #236800=ORIENTED_EDGE('',*,*,#185495,.T.); #236801=ORIENTED_EDGE('',*,*,#185496,.F.); #236802=ORIENTED_EDGE('',*,*,#185495,.F.); #236803=ORIENTED_EDGE('',*,*,#185497,.F.); #236804=ORIENTED_EDGE('',*,*,#185498,.T.); #236805=ORIENTED_EDGE('',*,*,#185499,.F.); #236806=ORIENTED_EDGE('',*,*,#185498,.F.); #236807=ORIENTED_EDGE('',*,*,#185500,.F.); #236808=ORIENTED_EDGE('',*,*,#185501,.T.); #236809=ORIENTED_EDGE('',*,*,#185502,.F.); #236810=ORIENTED_EDGE('',*,*,#185501,.F.); #236811=ORIENTED_EDGE('',*,*,#185503,.F.); #236812=ORIENTED_EDGE('',*,*,#185504,.T.); #236813=ORIENTED_EDGE('',*,*,#185505,.F.); #236814=ORIENTED_EDGE('',*,*,#185504,.F.); #236815=ORIENTED_EDGE('',*,*,#185506,.F.); #236816=ORIENTED_EDGE('',*,*,#185507,.T.); #236817=ORIENTED_EDGE('',*,*,#185508,.F.); #236818=ORIENTED_EDGE('',*,*,#185507,.F.); #236819=ORIENTED_EDGE('',*,*,#185509,.F.); #236820=ORIENTED_EDGE('',*,*,#185510,.T.); #236821=ORIENTED_EDGE('',*,*,#185511,.F.); #236822=ORIENTED_EDGE('',*,*,#185510,.F.); #236823=ORIENTED_EDGE('',*,*,#185512,.F.); #236824=ORIENTED_EDGE('',*,*,#185513,.T.); #236825=ORIENTED_EDGE('',*,*,#185514,.F.); #236826=ORIENTED_EDGE('',*,*,#185513,.F.); #236827=ORIENTED_EDGE('',*,*,#185515,.F.); #236828=ORIENTED_EDGE('',*,*,#185516,.T.); #236829=ORIENTED_EDGE('',*,*,#185517,.F.); #236830=ORIENTED_EDGE('',*,*,#185516,.F.); #236831=ORIENTED_EDGE('',*,*,#185518,.F.); #236832=ORIENTED_EDGE('',*,*,#185519,.T.); #236833=ORIENTED_EDGE('',*,*,#185520,.F.); #236834=ORIENTED_EDGE('',*,*,#185519,.F.); #236835=ORIENTED_EDGE('',*,*,#185521,.F.); #236836=ORIENTED_EDGE('',*,*,#185522,.T.); #236837=ORIENTED_EDGE('',*,*,#185523,.F.); #236838=ORIENTED_EDGE('',*,*,#185522,.F.); #236839=ORIENTED_EDGE('',*,*,#185524,.F.); #236840=ORIENTED_EDGE('',*,*,#185525,.T.); #236841=ORIENTED_EDGE('',*,*,#185526,.F.); #236842=ORIENTED_EDGE('',*,*,#185525,.F.); #236843=ORIENTED_EDGE('',*,*,#185527,.F.); #236844=ORIENTED_EDGE('',*,*,#185528,.T.); #236845=ORIENTED_EDGE('',*,*,#185529,.F.); #236846=ORIENTED_EDGE('',*,*,#185528,.F.); #236847=ORIENTED_EDGE('',*,*,#185530,.F.); #236848=ORIENTED_EDGE('',*,*,#185531,.T.); #236849=ORIENTED_EDGE('',*,*,#185532,.F.); #236850=ORIENTED_EDGE('',*,*,#185531,.F.); #236851=ORIENTED_EDGE('',*,*,#185533,.F.); #236852=ORIENTED_EDGE('',*,*,#185534,.T.); #236853=ORIENTED_EDGE('',*,*,#185535,.F.); #236854=ORIENTED_EDGE('',*,*,#185534,.F.); #236855=ORIENTED_EDGE('',*,*,#185536,.F.); #236856=ORIENTED_EDGE('',*,*,#185537,.T.); #236857=ORIENTED_EDGE('',*,*,#185538,.F.); #236858=ORIENTED_EDGE('',*,*,#185537,.F.); #236859=ORIENTED_EDGE('',*,*,#185539,.F.); #236860=ORIENTED_EDGE('',*,*,#185540,.T.); #236861=ORIENTED_EDGE('',*,*,#185541,.F.); #236862=ORIENTED_EDGE('',*,*,#185540,.F.); #236863=ORIENTED_EDGE('',*,*,#185542,.F.); #236864=ORIENTED_EDGE('',*,*,#185543,.T.); #236865=ORIENTED_EDGE('',*,*,#185544,.F.); #236866=ORIENTED_EDGE('',*,*,#185543,.F.); #236867=ORIENTED_EDGE('',*,*,#185545,.F.); #236868=ORIENTED_EDGE('',*,*,#185546,.T.); #236869=ORIENTED_EDGE('',*,*,#185547,.F.); #236870=ORIENTED_EDGE('',*,*,#185546,.F.); #236871=ORIENTED_EDGE('',*,*,#185548,.F.); #236872=ORIENTED_EDGE('',*,*,#185549,.T.); #236873=ORIENTED_EDGE('',*,*,#185550,.F.); #236874=ORIENTED_EDGE('',*,*,#185549,.F.); #236875=ORIENTED_EDGE('',*,*,#185551,.F.); #236876=ORIENTED_EDGE('',*,*,#185552,.T.); #236877=ORIENTED_EDGE('',*,*,#185553,.F.); #236878=ORIENTED_EDGE('',*,*,#185552,.F.); #236879=ORIENTED_EDGE('',*,*,#185554,.F.); #236880=ORIENTED_EDGE('',*,*,#185555,.T.); #236881=ORIENTED_EDGE('',*,*,#185556,.F.); #236882=ORIENTED_EDGE('',*,*,#185555,.F.); #236883=ORIENTED_EDGE('',*,*,#185557,.F.); #236884=ORIENTED_EDGE('',*,*,#185558,.T.); #236885=ORIENTED_EDGE('',*,*,#185559,.F.); #236886=ORIENTED_EDGE('',*,*,#185558,.F.); #236887=ORIENTED_EDGE('',*,*,#185560,.F.); #236888=ORIENTED_EDGE('',*,*,#185561,.T.); #236889=ORIENTED_EDGE('',*,*,#185562,.F.); #236890=ORIENTED_EDGE('',*,*,#185561,.F.); #236891=ORIENTED_EDGE('',*,*,#185563,.F.); #236892=ORIENTED_EDGE('',*,*,#185564,.T.); #236893=ORIENTED_EDGE('',*,*,#185565,.F.); #236894=ORIENTED_EDGE('',*,*,#185564,.F.); #236895=ORIENTED_EDGE('',*,*,#185566,.F.); #236896=ORIENTED_EDGE('',*,*,#185567,.T.); #236897=ORIENTED_EDGE('',*,*,#185568,.F.); #236898=ORIENTED_EDGE('',*,*,#185567,.F.); #236899=ORIENTED_EDGE('',*,*,#185569,.F.); #236900=ORIENTED_EDGE('',*,*,#185570,.T.); #236901=ORIENTED_EDGE('',*,*,#185571,.F.); #236902=ORIENTED_EDGE('',*,*,#185570,.F.); #236903=ORIENTED_EDGE('',*,*,#185572,.F.); #236904=ORIENTED_EDGE('',*,*,#185573,.T.); #236905=ORIENTED_EDGE('',*,*,#185574,.F.); #236906=ORIENTED_EDGE('',*,*,#185573,.F.); #236907=ORIENTED_EDGE('',*,*,#185575,.F.); #236908=ORIENTED_EDGE('',*,*,#185576,.T.); #236909=ORIENTED_EDGE('',*,*,#185577,.F.); #236910=ORIENTED_EDGE('',*,*,#185576,.F.); #236911=ORIENTED_EDGE('',*,*,#185578,.F.); #236912=ORIENTED_EDGE('',*,*,#185579,.T.); #236913=ORIENTED_EDGE('',*,*,#185580,.F.); #236914=ORIENTED_EDGE('',*,*,#185579,.F.); #236915=ORIENTED_EDGE('',*,*,#185581,.F.); #236916=ORIENTED_EDGE('',*,*,#185582,.T.); #236917=ORIENTED_EDGE('',*,*,#185583,.F.); #236918=ORIENTED_EDGE('',*,*,#185582,.F.); #236919=ORIENTED_EDGE('',*,*,#185584,.F.); #236920=ORIENTED_EDGE('',*,*,#185585,.T.); #236921=ORIENTED_EDGE('',*,*,#185586,.F.); #236922=ORIENTED_EDGE('',*,*,#185585,.F.); #236923=ORIENTED_EDGE('',*,*,#185587,.F.); #236924=ORIENTED_EDGE('',*,*,#185588,.T.); #236925=ORIENTED_EDGE('',*,*,#185589,.F.); #236926=ORIENTED_EDGE('',*,*,#185588,.F.); #236927=ORIENTED_EDGE('',*,*,#185590,.F.); #236928=ORIENTED_EDGE('',*,*,#185591,.T.); #236929=ORIENTED_EDGE('',*,*,#185592,.F.); #236930=ORIENTED_EDGE('',*,*,#185591,.F.); #236931=ORIENTED_EDGE('',*,*,#185593,.F.); #236932=ORIENTED_EDGE('',*,*,#185594,.T.); #236933=ORIENTED_EDGE('',*,*,#185595,.F.); #236934=ORIENTED_EDGE('',*,*,#185594,.F.); #236935=ORIENTED_EDGE('',*,*,#185596,.F.); #236936=ORIENTED_EDGE('',*,*,#185597,.T.); #236937=ORIENTED_EDGE('',*,*,#185598,.F.); #236938=ORIENTED_EDGE('',*,*,#185597,.F.); #236939=ORIENTED_EDGE('',*,*,#185599,.F.); #236940=ORIENTED_EDGE('',*,*,#185600,.T.); #236941=ORIENTED_EDGE('',*,*,#185601,.F.); #236942=ORIENTED_EDGE('',*,*,#185600,.F.); #236943=ORIENTED_EDGE('',*,*,#185602,.F.); #236944=ORIENTED_EDGE('',*,*,#185603,.T.); #236945=ORIENTED_EDGE('',*,*,#185604,.F.); #236946=ORIENTED_EDGE('',*,*,#185603,.F.); #236947=ORIENTED_EDGE('',*,*,#185605,.F.); #236948=ORIENTED_EDGE('',*,*,#185606,.T.); #236949=ORIENTED_EDGE('',*,*,#185607,.F.); #236950=ORIENTED_EDGE('',*,*,#185606,.F.); #236951=ORIENTED_EDGE('',*,*,#185608,.F.); #236952=ORIENTED_EDGE('',*,*,#185609,.T.); #236953=ORIENTED_EDGE('',*,*,#185610,.F.); #236954=ORIENTED_EDGE('',*,*,#185609,.F.); #236955=ORIENTED_EDGE('',*,*,#185611,.F.); #236956=ORIENTED_EDGE('',*,*,#185612,.T.); #236957=ORIENTED_EDGE('',*,*,#185613,.F.); #236958=ORIENTED_EDGE('',*,*,#185612,.F.); #236959=ORIENTED_EDGE('',*,*,#185614,.F.); #236960=ORIENTED_EDGE('',*,*,#185615,.T.); #236961=ORIENTED_EDGE('',*,*,#185616,.F.); #236962=ORIENTED_EDGE('',*,*,#185615,.F.); #236963=ORIENTED_EDGE('',*,*,#185617,.F.); #236964=ORIENTED_EDGE('',*,*,#185618,.T.); #236965=ORIENTED_EDGE('',*,*,#185619,.F.); #236966=ORIENTED_EDGE('',*,*,#185618,.F.); #236967=ORIENTED_EDGE('',*,*,#185620,.F.); #236968=ORIENTED_EDGE('',*,*,#185621,.T.); #236969=ORIENTED_EDGE('',*,*,#185622,.F.); #236970=ORIENTED_EDGE('',*,*,#185621,.F.); #236971=ORIENTED_EDGE('',*,*,#185623,.F.); #236972=ORIENTED_EDGE('',*,*,#185624,.T.); #236973=ORIENTED_EDGE('',*,*,#185625,.F.); #236974=ORIENTED_EDGE('',*,*,#185624,.F.); #236975=ORIENTED_EDGE('',*,*,#185626,.F.); #236976=ORIENTED_EDGE('',*,*,#185627,.T.); #236977=ORIENTED_EDGE('',*,*,#185628,.F.); #236978=ORIENTED_EDGE('',*,*,#185627,.F.); #236979=ORIENTED_EDGE('',*,*,#185629,.F.); #236980=ORIENTED_EDGE('',*,*,#185630,.T.); #236981=ORIENTED_EDGE('',*,*,#185631,.F.); #236982=ORIENTED_EDGE('',*,*,#185630,.F.); #236983=ORIENTED_EDGE('',*,*,#185632,.F.); #236984=ORIENTED_EDGE('',*,*,#185633,.T.); #236985=ORIENTED_EDGE('',*,*,#185634,.F.); #236986=ORIENTED_EDGE('',*,*,#185633,.F.); #236987=ORIENTED_EDGE('',*,*,#185635,.F.); #236988=ORIENTED_EDGE('',*,*,#185636,.T.); #236989=ORIENTED_EDGE('',*,*,#185637,.F.); #236990=ORIENTED_EDGE('',*,*,#185636,.F.); #236991=ORIENTED_EDGE('',*,*,#185638,.F.); #236992=ORIENTED_EDGE('',*,*,#185639,.T.); #236993=ORIENTED_EDGE('',*,*,#185640,.F.); #236994=ORIENTED_EDGE('',*,*,#185639,.F.); #236995=ORIENTED_EDGE('',*,*,#185641,.F.); #236996=ORIENTED_EDGE('',*,*,#185642,.T.); #236997=ORIENTED_EDGE('',*,*,#185643,.F.); #236998=ORIENTED_EDGE('',*,*,#185642,.F.); #236999=ORIENTED_EDGE('',*,*,#185644,.F.); #237000=ORIENTED_EDGE('',*,*,#185645,.T.); #237001=ORIENTED_EDGE('',*,*,#185646,.F.); #237002=ORIENTED_EDGE('',*,*,#185645,.F.); #237003=ORIENTED_EDGE('',*,*,#185647,.F.); #237004=ORIENTED_EDGE('',*,*,#185648,.T.); #237005=ORIENTED_EDGE('',*,*,#185649,.F.); #237006=ORIENTED_EDGE('',*,*,#185648,.F.); #237007=ORIENTED_EDGE('',*,*,#185650,.F.); #237008=ORIENTED_EDGE('',*,*,#185651,.T.); #237009=ORIENTED_EDGE('',*,*,#185652,.F.); #237010=ORIENTED_EDGE('',*,*,#185651,.F.); #237011=ORIENTED_EDGE('',*,*,#185653,.F.); #237012=ORIENTED_EDGE('',*,*,#185654,.T.); #237013=ORIENTED_EDGE('',*,*,#185655,.F.); #237014=ORIENTED_EDGE('',*,*,#185654,.F.); #237015=ORIENTED_EDGE('',*,*,#185656,.F.); #237016=ORIENTED_EDGE('',*,*,#185657,.T.); #237017=ORIENTED_EDGE('',*,*,#185658,.F.); #237018=ORIENTED_EDGE('',*,*,#185657,.F.); #237019=ORIENTED_EDGE('',*,*,#185659,.F.); #237020=ORIENTED_EDGE('',*,*,#185660,.T.); #237021=ORIENTED_EDGE('',*,*,#185661,.F.); #237022=ORIENTED_EDGE('',*,*,#185660,.F.); #237023=ORIENTED_EDGE('',*,*,#185662,.F.); #237024=ORIENTED_EDGE('',*,*,#185663,.T.); #237025=ORIENTED_EDGE('',*,*,#185664,.F.); #237026=ORIENTED_EDGE('',*,*,#185663,.F.); #237027=ORIENTED_EDGE('',*,*,#185665,.F.); #237028=ORIENTED_EDGE('',*,*,#185666,.T.); #237029=ORIENTED_EDGE('',*,*,#185667,.F.); #237030=ORIENTED_EDGE('',*,*,#185666,.F.); #237031=ORIENTED_EDGE('',*,*,#185668,.F.); #237032=ORIENTED_EDGE('',*,*,#185669,.T.); #237033=ORIENTED_EDGE('',*,*,#185670,.F.); #237034=ORIENTED_EDGE('',*,*,#185669,.F.); #237035=ORIENTED_EDGE('',*,*,#185671,.F.); #237036=ORIENTED_EDGE('',*,*,#185672,.T.); #237037=ORIENTED_EDGE('',*,*,#185673,.F.); #237038=ORIENTED_EDGE('',*,*,#185672,.F.); #237039=ORIENTED_EDGE('',*,*,#185674,.F.); #237040=ORIENTED_EDGE('',*,*,#185675,.T.); #237041=ORIENTED_EDGE('',*,*,#185676,.F.); #237042=ORIENTED_EDGE('',*,*,#185675,.F.); #237043=ORIENTED_EDGE('',*,*,#185677,.F.); #237044=ORIENTED_EDGE('',*,*,#185678,.T.); #237045=ORIENTED_EDGE('',*,*,#185679,.F.); #237046=ORIENTED_EDGE('',*,*,#185678,.F.); #237047=ORIENTED_EDGE('',*,*,#185680,.F.); #237048=ORIENTED_EDGE('',*,*,#185681,.T.); #237049=ORIENTED_EDGE('',*,*,#185682,.F.); #237050=ORIENTED_EDGE('',*,*,#185681,.F.); #237051=ORIENTED_EDGE('',*,*,#185683,.F.); #237052=ORIENTED_EDGE('',*,*,#185684,.F.); #237053=ORIENTED_EDGE('',*,*,#185685,.F.); #237054=ORIENTED_EDGE('',*,*,#185686,.T.); #237055=ORIENTED_EDGE('',*,*,#185687,.F.); #237056=ORIENTED_EDGE('',*,*,#185686,.F.); #237057=ORIENTED_EDGE('',*,*,#185688,.F.); #237058=ORIENTED_EDGE('',*,*,#185689,.T.); #237059=ORIENTED_EDGE('',*,*,#185690,.F.); #237060=ORIENTED_EDGE('',*,*,#185689,.F.); #237061=ORIENTED_EDGE('',*,*,#185691,.F.); #237062=ORIENTED_EDGE('',*,*,#185692,.T.); #237063=ORIENTED_EDGE('',*,*,#185693,.F.); #237064=ORIENTED_EDGE('',*,*,#185692,.F.); #237065=ORIENTED_EDGE('',*,*,#185694,.F.); #237066=ORIENTED_EDGE('',*,*,#185684,.T.); #237067=ORIENTED_EDGE('',*,*,#185695,.F.); #237068=ORIENTED_EDGE('',*,*,#185696,.T.); #237069=ORIENTED_EDGE('',*,*,#185697,.F.); #237070=ORIENTED_EDGE('',*,*,#185696,.F.); #237071=ORIENTED_EDGE('',*,*,#185698,.F.); #237072=ORIENTED_EDGE('',*,*,#185699,.F.); #237073=ORIENTED_EDGE('',*,*,#185700,.F.); #237074=ORIENTED_EDGE('',*,*,#185701,.T.); #237075=ORIENTED_EDGE('',*,*,#185702,.F.); #237076=ORIENTED_EDGE('',*,*,#185701,.F.); #237077=ORIENTED_EDGE('',*,*,#185703,.F.); #237078=ORIENTED_EDGE('',*,*,#185704,.T.); #237079=ORIENTED_EDGE('',*,*,#185705,.F.); #237080=ORIENTED_EDGE('',*,*,#185704,.F.); #237081=ORIENTED_EDGE('',*,*,#185706,.F.); #237082=ORIENTED_EDGE('',*,*,#185707,.T.); #237083=ORIENTED_EDGE('',*,*,#185708,.F.); #237084=ORIENTED_EDGE('',*,*,#185707,.F.); #237085=ORIENTED_EDGE('',*,*,#185709,.F.); #237086=ORIENTED_EDGE('',*,*,#185699,.T.); #237087=ORIENTED_EDGE('',*,*,#185710,.F.); #237088=ORIENTED_EDGE('',*,*,#185711,.T.); #237089=ORIENTED_EDGE('',*,*,#185712,.F.); #237090=ORIENTED_EDGE('',*,*,#185711,.F.); #237091=ORIENTED_EDGE('',*,*,#185713,.F.); #237092=ORIENTED_EDGE('',*,*,#185714,.T.); #237093=ORIENTED_EDGE('',*,*,#185715,.F.); #237094=ORIENTED_EDGE('',*,*,#185714,.F.); #237095=ORIENTED_EDGE('',*,*,#185716,.F.); #237096=ORIENTED_EDGE('',*,*,#185717,.T.); #237097=ORIENTED_EDGE('',*,*,#185718,.F.); #237098=ORIENTED_EDGE('',*,*,#185717,.F.); #237099=ORIENTED_EDGE('',*,*,#185719,.F.); #237100=ORIENTED_EDGE('',*,*,#185720,.F.); #237101=ORIENTED_EDGE('',*,*,#185721,.F.); #237102=ORIENTED_EDGE('',*,*,#185722,.T.); #237103=ORIENTED_EDGE('',*,*,#185723,.F.); #237104=ORIENTED_EDGE('',*,*,#185722,.F.); #237105=ORIENTED_EDGE('',*,*,#185724,.F.); #237106=ORIENTED_EDGE('',*,*,#185725,.T.); #237107=ORIENTED_EDGE('',*,*,#185726,.F.); #237108=ORIENTED_EDGE('',*,*,#185725,.F.); #237109=ORIENTED_EDGE('',*,*,#185727,.F.); #237110=ORIENTED_EDGE('',*,*,#185728,.T.); #237111=ORIENTED_EDGE('',*,*,#185729,.F.); #237112=ORIENTED_EDGE('',*,*,#185728,.F.); #237113=ORIENTED_EDGE('',*,*,#185730,.F.); #237114=ORIENTED_EDGE('',*,*,#185720,.T.); #237115=ORIENTED_EDGE('',*,*,#185731,.F.); #237116=ORIENTED_EDGE('',*,*,#185732,.T.); #237117=ORIENTED_EDGE('',*,*,#185733,.F.); #237118=ORIENTED_EDGE('',*,*,#185732,.F.); #237119=ORIENTED_EDGE('',*,*,#185734,.F.); #237120=ORIENTED_EDGE('',*,*,#185735,.T.); #237121=ORIENTED_EDGE('',*,*,#185736,.F.); #237122=ORIENTED_EDGE('',*,*,#185735,.F.); #237123=ORIENTED_EDGE('',*,*,#185737,.F.); #237124=ORIENTED_EDGE('',*,*,#185738,.T.); #237125=ORIENTED_EDGE('',*,*,#185739,.F.); #237126=ORIENTED_EDGE('',*,*,#185738,.F.); #237127=ORIENTED_EDGE('',*,*,#185740,.F.); #237128=ORIENTED_EDGE('',*,*,#185741,.T.); #237129=ORIENTED_EDGE('',*,*,#185742,.F.); #237130=ORIENTED_EDGE('',*,*,#185741,.F.); #237131=ORIENTED_EDGE('',*,*,#185743,.F.); #237132=ORIENTED_EDGE('',*,*,#185744,.T.); #237133=ORIENTED_EDGE('',*,*,#185745,.F.); #237134=ORIENTED_EDGE('',*,*,#185744,.F.); #237135=ORIENTED_EDGE('',*,*,#185746,.F.); #237136=ORIENTED_EDGE('',*,*,#185747,.T.); #237137=ORIENTED_EDGE('',*,*,#185748,.F.); #237138=ORIENTED_EDGE('',*,*,#185747,.F.); #237139=ORIENTED_EDGE('',*,*,#185749,.F.); #237140=ORIENTED_EDGE('',*,*,#185750,.T.); #237141=ORIENTED_EDGE('',*,*,#185751,.F.); #237142=ORIENTED_EDGE('',*,*,#185750,.F.); #237143=ORIENTED_EDGE('',*,*,#185752,.F.); #237144=ORIENTED_EDGE('',*,*,#185753,.T.); #237145=ORIENTED_EDGE('',*,*,#185754,.F.); #237146=ORIENTED_EDGE('',*,*,#185753,.F.); #237147=ORIENTED_EDGE('',*,*,#185755,.F.); #237148=ORIENTED_EDGE('',*,*,#185756,.T.); #237149=ORIENTED_EDGE('',*,*,#185757,.F.); #237150=ORIENTED_EDGE('',*,*,#185756,.F.); #237151=ORIENTED_EDGE('',*,*,#185758,.F.); #237152=ORIENTED_EDGE('',*,*,#185759,.T.); #237153=ORIENTED_EDGE('',*,*,#185760,.F.); #237154=ORIENTED_EDGE('',*,*,#185759,.F.); #237155=ORIENTED_EDGE('',*,*,#185761,.F.); #237156=ORIENTED_EDGE('',*,*,#185762,.F.); #237157=ORIENTED_EDGE('',*,*,#185763,.F.); #237158=ORIENTED_EDGE('',*,*,#185764,.T.); #237159=ORIENTED_EDGE('',*,*,#185765,.F.); #237160=ORIENTED_EDGE('',*,*,#185764,.F.); #237161=ORIENTED_EDGE('',*,*,#185766,.F.); #237162=ORIENTED_EDGE('',*,*,#185767,.T.); #237163=ORIENTED_EDGE('',*,*,#185768,.F.); #237164=ORIENTED_EDGE('',*,*,#185767,.F.); #237165=ORIENTED_EDGE('',*,*,#185769,.F.); #237166=ORIENTED_EDGE('',*,*,#185770,.T.); #237167=ORIENTED_EDGE('',*,*,#185771,.F.); #237168=ORIENTED_EDGE('',*,*,#185770,.F.); #237169=ORIENTED_EDGE('',*,*,#185772,.F.); #237170=ORIENTED_EDGE('',*,*,#185762,.T.); #237171=ORIENTED_EDGE('',*,*,#185773,.F.); #237172=ORIENTED_EDGE('',*,*,#185774,.T.); #237173=ORIENTED_EDGE('',*,*,#185775,.F.); #237174=ORIENTED_EDGE('',*,*,#185774,.F.); #237175=ORIENTED_EDGE('',*,*,#185776,.F.); #237176=ORIENTED_EDGE('',*,*,#185777,.F.); #237177=ORIENTED_EDGE('',*,*,#185778,.F.); #237178=ORIENTED_EDGE('',*,*,#185779,.T.); #237179=ORIENTED_EDGE('',*,*,#185780,.F.); #237180=ORIENTED_EDGE('',*,*,#185779,.F.); #237181=ORIENTED_EDGE('',*,*,#185781,.F.); #237182=ORIENTED_EDGE('',*,*,#185782,.T.); #237183=ORIENTED_EDGE('',*,*,#185783,.F.); #237184=ORIENTED_EDGE('',*,*,#185782,.F.); #237185=ORIENTED_EDGE('',*,*,#185784,.F.); #237186=ORIENTED_EDGE('',*,*,#185785,.T.); #237187=ORIENTED_EDGE('',*,*,#185786,.F.); #237188=ORIENTED_EDGE('',*,*,#185785,.F.); #237189=ORIENTED_EDGE('',*,*,#185787,.F.); #237190=ORIENTED_EDGE('',*,*,#185777,.T.); #237191=ORIENTED_EDGE('',*,*,#185788,.F.); #237192=ORIENTED_EDGE('',*,*,#185789,.T.); #237193=ORIENTED_EDGE('',*,*,#185790,.F.); #237194=ORIENTED_EDGE('',*,*,#185789,.F.); #237195=ORIENTED_EDGE('',*,*,#185791,.F.); #237196=ORIENTED_EDGE('',*,*,#185792,.F.); #237197=ORIENTED_EDGE('',*,*,#185793,.F.); #237198=ORIENTED_EDGE('',*,*,#185794,.T.); #237199=ORIENTED_EDGE('',*,*,#185795,.F.); #237200=ORIENTED_EDGE('',*,*,#185794,.F.); #237201=ORIENTED_EDGE('',*,*,#185796,.F.); #237202=ORIENTED_EDGE('',*,*,#185797,.T.); #237203=ORIENTED_EDGE('',*,*,#185798,.F.); #237204=ORIENTED_EDGE('',*,*,#185797,.F.); #237205=ORIENTED_EDGE('',*,*,#185799,.F.); #237206=ORIENTED_EDGE('',*,*,#185800,.T.); #237207=ORIENTED_EDGE('',*,*,#185801,.F.); #237208=ORIENTED_EDGE('',*,*,#185800,.F.); #237209=ORIENTED_EDGE('',*,*,#185802,.F.); #237210=ORIENTED_EDGE('',*,*,#185792,.T.); #237211=ORIENTED_EDGE('',*,*,#185803,.F.); #237212=ORIENTED_EDGE('',*,*,#185804,.T.); #237213=ORIENTED_EDGE('',*,*,#185805,.F.); #237214=ORIENTED_EDGE('',*,*,#185804,.F.); #237215=ORIENTED_EDGE('',*,*,#185806,.F.); #237216=ORIENTED_EDGE('',*,*,#185807,.T.); #237217=ORIENTED_EDGE('',*,*,#185808,.F.); #237218=ORIENTED_EDGE('',*,*,#185807,.F.); #237219=ORIENTED_EDGE('',*,*,#185809,.F.); #237220=ORIENTED_EDGE('',*,*,#185810,.T.); #237221=ORIENTED_EDGE('',*,*,#185811,.F.); #237222=ORIENTED_EDGE('',*,*,#185810,.F.); #237223=ORIENTED_EDGE('',*,*,#185812,.F.); #237224=ORIENTED_EDGE('',*,*,#185813,.T.); #237225=ORIENTED_EDGE('',*,*,#185814,.F.); #237226=ORIENTED_EDGE('',*,*,#185813,.F.); #237227=ORIENTED_EDGE('',*,*,#185815,.F.); #237228=ORIENTED_EDGE('',*,*,#185816,.T.); #237229=ORIENTED_EDGE('',*,*,#185817,.F.); #237230=ORIENTED_EDGE('',*,*,#185816,.F.); #237231=ORIENTED_EDGE('',*,*,#185818,.F.); #237232=ORIENTED_EDGE('',*,*,#185819,.T.); #237233=ORIENTED_EDGE('',*,*,#185820,.F.); #237234=ORIENTED_EDGE('',*,*,#185819,.F.); #237235=ORIENTED_EDGE('',*,*,#185821,.F.); #237236=ORIENTED_EDGE('',*,*,#185822,.T.); #237237=ORIENTED_EDGE('',*,*,#185823,.F.); #237238=ORIENTED_EDGE('',*,*,#185822,.F.); #237239=ORIENTED_EDGE('',*,*,#185824,.F.); #237240=ORIENTED_EDGE('',*,*,#185825,.T.); #237241=ORIENTED_EDGE('',*,*,#185826,.F.); #237242=ORIENTED_EDGE('',*,*,#185825,.F.); #237243=ORIENTED_EDGE('',*,*,#185827,.F.); #237244=ORIENTED_EDGE('',*,*,#185828,.T.); #237245=ORIENTED_EDGE('',*,*,#185829,.F.); #237246=ORIENTED_EDGE('',*,*,#185828,.F.); #237247=ORIENTED_EDGE('',*,*,#185830,.F.); #237248=ORIENTED_EDGE('',*,*,#185831,.T.); #237249=ORIENTED_EDGE('',*,*,#185832,.F.); #237250=ORIENTED_EDGE('',*,*,#185831,.F.); #237251=ORIENTED_EDGE('',*,*,#185833,.F.); #237252=ORIENTED_EDGE('',*,*,#185834,.T.); #237253=ORIENTED_EDGE('',*,*,#185835,.F.); #237254=ORIENTED_EDGE('',*,*,#185834,.F.); #237255=ORIENTED_EDGE('',*,*,#185836,.F.); #237256=ORIENTED_EDGE('',*,*,#185837,.T.); #237257=ORIENTED_EDGE('',*,*,#185838,.F.); #237258=ORIENTED_EDGE('',*,*,#185837,.F.); #237259=ORIENTED_EDGE('',*,*,#185839,.F.); #237260=ORIENTED_EDGE('',*,*,#185840,.T.); #237261=ORIENTED_EDGE('',*,*,#185841,.F.); #237262=ORIENTED_EDGE('',*,*,#185840,.F.); #237263=ORIENTED_EDGE('',*,*,#185842,.F.); #237264=ORIENTED_EDGE('',*,*,#185843,.T.); #237265=ORIENTED_EDGE('',*,*,#185844,.F.); #237266=ORIENTED_EDGE('',*,*,#185843,.F.); #237267=ORIENTED_EDGE('',*,*,#185845,.F.); #237268=ORIENTED_EDGE('',*,*,#185846,.T.); #237269=ORIENTED_EDGE('',*,*,#185847,.F.); #237270=ORIENTED_EDGE('',*,*,#185846,.F.); #237271=ORIENTED_EDGE('',*,*,#185848,.F.); #237272=ORIENTED_EDGE('',*,*,#185849,.T.); #237273=ORIENTED_EDGE('',*,*,#185850,.F.); #237274=ORIENTED_EDGE('',*,*,#185849,.F.); #237275=ORIENTED_EDGE('',*,*,#185851,.F.); #237276=ORIENTED_EDGE('',*,*,#185852,.T.); #237277=ORIENTED_EDGE('',*,*,#185853,.F.); #237278=ORIENTED_EDGE('',*,*,#185852,.F.); #237279=ORIENTED_EDGE('',*,*,#185854,.F.); #237280=ORIENTED_EDGE('',*,*,#185855,.T.); #237281=ORIENTED_EDGE('',*,*,#185856,.F.); #237282=ORIENTED_EDGE('',*,*,#185855,.F.); #237283=ORIENTED_EDGE('',*,*,#185857,.F.); #237284=ORIENTED_EDGE('',*,*,#185858,.T.); #237285=ORIENTED_EDGE('',*,*,#185859,.F.); #237286=ORIENTED_EDGE('',*,*,#185858,.F.); #237287=ORIENTED_EDGE('',*,*,#185860,.F.); #237288=ORIENTED_EDGE('',*,*,#185861,.T.); #237289=ORIENTED_EDGE('',*,*,#185862,.F.); #237290=ORIENTED_EDGE('',*,*,#185861,.F.); #237291=ORIENTED_EDGE('',*,*,#185863,.F.); #237292=ORIENTED_EDGE('',*,*,#185864,.T.); #237293=ORIENTED_EDGE('',*,*,#185865,.F.); #237294=ORIENTED_EDGE('',*,*,#185864,.F.); #237295=ORIENTED_EDGE('',*,*,#185866,.F.); #237296=ORIENTED_EDGE('',*,*,#185867,.T.); #237297=ORIENTED_EDGE('',*,*,#185868,.F.); #237298=ORIENTED_EDGE('',*,*,#185867,.F.); #237299=ORIENTED_EDGE('',*,*,#185869,.F.); #237300=ORIENTED_EDGE('',*,*,#185870,.T.); #237301=ORIENTED_EDGE('',*,*,#185871,.F.); #237302=ORIENTED_EDGE('',*,*,#185870,.F.); #237303=ORIENTED_EDGE('',*,*,#185872,.F.); #237304=ORIENTED_EDGE('',*,*,#185873,.T.); #237305=ORIENTED_EDGE('',*,*,#185874,.F.); #237306=ORIENTED_EDGE('',*,*,#185873,.F.); #237307=ORIENTED_EDGE('',*,*,#185875,.F.); #237308=ORIENTED_EDGE('',*,*,#185876,.T.); #237309=ORIENTED_EDGE('',*,*,#185877,.F.); #237310=ORIENTED_EDGE('',*,*,#185876,.F.); #237311=ORIENTED_EDGE('',*,*,#185878,.F.); #237312=ORIENTED_EDGE('',*,*,#185879,.T.); #237313=ORIENTED_EDGE('',*,*,#185880,.F.); #237314=ORIENTED_EDGE('',*,*,#185879,.F.); #237315=ORIENTED_EDGE('',*,*,#185881,.F.); #237316=ORIENTED_EDGE('',*,*,#185882,.T.); #237317=ORIENTED_EDGE('',*,*,#185883,.F.); #237318=ORIENTED_EDGE('',*,*,#185882,.F.); #237319=ORIENTED_EDGE('',*,*,#185884,.F.); #237320=ORIENTED_EDGE('',*,*,#185885,.T.); #237321=ORIENTED_EDGE('',*,*,#185886,.F.); #237322=ORIENTED_EDGE('',*,*,#185885,.F.); #237323=ORIENTED_EDGE('',*,*,#185887,.F.); #237324=ORIENTED_EDGE('',*,*,#185888,.T.); #237325=ORIENTED_EDGE('',*,*,#185889,.F.); #237326=ORIENTED_EDGE('',*,*,#185888,.F.); #237327=ORIENTED_EDGE('',*,*,#185890,.F.); #237328=ORIENTED_EDGE('',*,*,#185891,.T.); #237329=ORIENTED_EDGE('',*,*,#185892,.F.); #237330=ORIENTED_EDGE('',*,*,#185891,.F.); #237331=ORIENTED_EDGE('',*,*,#185893,.F.); #237332=ORIENTED_EDGE('',*,*,#185894,.T.); #237333=ORIENTED_EDGE('',*,*,#185895,.F.); #237334=ORIENTED_EDGE('',*,*,#185894,.F.); #237335=ORIENTED_EDGE('',*,*,#185896,.F.); #237336=ORIENTED_EDGE('',*,*,#185897,.T.); #237337=ORIENTED_EDGE('',*,*,#185898,.F.); #237338=ORIENTED_EDGE('',*,*,#185897,.F.); #237339=ORIENTED_EDGE('',*,*,#185899,.F.); #237340=ORIENTED_EDGE('',*,*,#185900,.T.); #237341=ORIENTED_EDGE('',*,*,#185901,.F.); #237342=ORIENTED_EDGE('',*,*,#185900,.F.); #237343=ORIENTED_EDGE('',*,*,#185902,.F.); #237344=ORIENTED_EDGE('',*,*,#185903,.T.); #237345=ORIENTED_EDGE('',*,*,#185904,.F.); #237346=ORIENTED_EDGE('',*,*,#185903,.F.); #237347=ORIENTED_EDGE('',*,*,#185905,.F.); #237348=ORIENTED_EDGE('',*,*,#185906,.T.); #237349=ORIENTED_EDGE('',*,*,#185907,.F.); #237350=ORIENTED_EDGE('',*,*,#185906,.F.); #237351=ORIENTED_EDGE('',*,*,#185908,.F.); #237352=ORIENTED_EDGE('',*,*,#185909,.T.); #237353=ORIENTED_EDGE('',*,*,#185910,.F.); #237354=ORIENTED_EDGE('',*,*,#185909,.F.); #237355=ORIENTED_EDGE('',*,*,#185911,.F.); #237356=ORIENTED_EDGE('',*,*,#185912,.T.); #237357=ORIENTED_EDGE('',*,*,#185913,.F.); #237358=ORIENTED_EDGE('',*,*,#185912,.F.); #237359=ORIENTED_EDGE('',*,*,#185914,.F.); #237360=ORIENTED_EDGE('',*,*,#185915,.T.); #237361=ORIENTED_EDGE('',*,*,#185916,.F.); #237362=ORIENTED_EDGE('',*,*,#185915,.F.); #237363=ORIENTED_EDGE('',*,*,#185917,.F.); #237364=ORIENTED_EDGE('',*,*,#185918,.T.); #237365=ORIENTED_EDGE('',*,*,#185919,.F.); #237366=ORIENTED_EDGE('',*,*,#185918,.F.); #237367=ORIENTED_EDGE('',*,*,#185920,.F.); #237368=ORIENTED_EDGE('',*,*,#185921,.T.); #237369=ORIENTED_EDGE('',*,*,#185922,.F.); #237370=ORIENTED_EDGE('',*,*,#185921,.F.); #237371=ORIENTED_EDGE('',*,*,#185923,.F.); #237372=ORIENTED_EDGE('',*,*,#185924,.T.); #237373=ORIENTED_EDGE('',*,*,#185925,.F.); #237374=ORIENTED_EDGE('',*,*,#185924,.F.); #237375=ORIENTED_EDGE('',*,*,#185926,.F.); #237376=ORIENTED_EDGE('',*,*,#185927,.T.); #237377=ORIENTED_EDGE('',*,*,#185928,.F.); #237378=ORIENTED_EDGE('',*,*,#185927,.F.); #237379=ORIENTED_EDGE('',*,*,#185929,.F.); #237380=ORIENTED_EDGE('',*,*,#185930,.T.); #237381=ORIENTED_EDGE('',*,*,#185931,.F.); #237382=ORIENTED_EDGE('',*,*,#185930,.F.); #237383=ORIENTED_EDGE('',*,*,#185932,.F.); #237384=ORIENTED_EDGE('',*,*,#185933,.T.); #237385=ORIENTED_EDGE('',*,*,#185934,.F.); #237386=ORIENTED_EDGE('',*,*,#185933,.F.); #237387=ORIENTED_EDGE('',*,*,#185935,.F.); #237388=ORIENTED_EDGE('',*,*,#185936,.T.); #237389=ORIENTED_EDGE('',*,*,#185937,.F.); #237390=ORIENTED_EDGE('',*,*,#185936,.F.); #237391=ORIENTED_EDGE('',*,*,#185938,.F.); #237392=ORIENTED_EDGE('',*,*,#185939,.T.); #237393=ORIENTED_EDGE('',*,*,#185940,.F.); #237394=ORIENTED_EDGE('',*,*,#185939,.F.); #237395=ORIENTED_EDGE('',*,*,#185941,.F.); #237396=ORIENTED_EDGE('',*,*,#185942,.T.); #237397=ORIENTED_EDGE('',*,*,#185943,.F.); #237398=ORIENTED_EDGE('',*,*,#185942,.F.); #237399=ORIENTED_EDGE('',*,*,#185944,.F.); #237400=ORIENTED_EDGE('',*,*,#185945,.T.); #237401=ORIENTED_EDGE('',*,*,#185946,.F.); #237402=ORIENTED_EDGE('',*,*,#185945,.F.); #237403=ORIENTED_EDGE('',*,*,#185947,.F.); #237404=ORIENTED_EDGE('',*,*,#185948,.T.); #237405=ORIENTED_EDGE('',*,*,#185949,.F.); #237406=ORIENTED_EDGE('',*,*,#185948,.F.); #237407=ORIENTED_EDGE('',*,*,#185950,.F.); #237408=ORIENTED_EDGE('',*,*,#185951,.T.); #237409=ORIENTED_EDGE('',*,*,#185952,.F.); #237410=ORIENTED_EDGE('',*,*,#185951,.F.); #237411=ORIENTED_EDGE('',*,*,#185953,.F.); #237412=ORIENTED_EDGE('',*,*,#185954,.T.); #237413=ORIENTED_EDGE('',*,*,#185955,.F.); #237414=ORIENTED_EDGE('',*,*,#185954,.F.); #237415=ORIENTED_EDGE('',*,*,#185956,.F.); #237416=ORIENTED_EDGE('',*,*,#185957,.T.); #237417=ORIENTED_EDGE('',*,*,#185958,.F.); #237418=ORIENTED_EDGE('',*,*,#185957,.F.); #237419=ORIENTED_EDGE('',*,*,#185959,.F.); #237420=ORIENTED_EDGE('',*,*,#185960,.T.); #237421=ORIENTED_EDGE('',*,*,#185961,.F.); #237422=ORIENTED_EDGE('',*,*,#185960,.F.); #237423=ORIENTED_EDGE('',*,*,#185962,.F.); #237424=ORIENTED_EDGE('',*,*,#185963,.T.); #237425=ORIENTED_EDGE('',*,*,#185964,.F.); #237426=ORIENTED_EDGE('',*,*,#185963,.F.); #237427=ORIENTED_EDGE('',*,*,#185965,.F.); #237428=ORIENTED_EDGE('',*,*,#185966,.T.); #237429=ORIENTED_EDGE('',*,*,#185967,.F.); #237430=ORIENTED_EDGE('',*,*,#185966,.F.); #237431=ORIENTED_EDGE('',*,*,#185968,.F.); #237432=ORIENTED_EDGE('',*,*,#185969,.T.); #237433=ORIENTED_EDGE('',*,*,#185970,.F.); #237434=ORIENTED_EDGE('',*,*,#185969,.F.); #237435=ORIENTED_EDGE('',*,*,#185971,.F.); #237436=ORIENTED_EDGE('',*,*,#185972,.T.); #237437=ORIENTED_EDGE('',*,*,#185973,.F.); #237438=ORIENTED_EDGE('',*,*,#185972,.F.); #237439=ORIENTED_EDGE('',*,*,#185974,.F.); #237440=ORIENTED_EDGE('',*,*,#185975,.T.); #237441=ORIENTED_EDGE('',*,*,#185976,.F.); #237442=ORIENTED_EDGE('',*,*,#185975,.F.); #237443=ORIENTED_EDGE('',*,*,#185977,.F.); #237444=ORIENTED_EDGE('',*,*,#185978,.T.); #237445=ORIENTED_EDGE('',*,*,#185979,.F.); #237446=ORIENTED_EDGE('',*,*,#185978,.F.); #237447=ORIENTED_EDGE('',*,*,#185980,.F.); #237448=ORIENTED_EDGE('',*,*,#185981,.T.); #237449=ORIENTED_EDGE('',*,*,#185982,.F.); #237450=ORIENTED_EDGE('',*,*,#185981,.F.); #237451=ORIENTED_EDGE('',*,*,#185983,.F.); #237452=ORIENTED_EDGE('',*,*,#185984,.T.); #237453=ORIENTED_EDGE('',*,*,#185985,.F.); #237454=ORIENTED_EDGE('',*,*,#185984,.F.); #237455=ORIENTED_EDGE('',*,*,#185986,.F.); #237456=ORIENTED_EDGE('',*,*,#185987,.T.); #237457=ORIENTED_EDGE('',*,*,#185988,.F.); #237458=ORIENTED_EDGE('',*,*,#185987,.F.); #237459=ORIENTED_EDGE('',*,*,#185989,.F.); #237460=ORIENTED_EDGE('',*,*,#185990,.T.); #237461=ORIENTED_EDGE('',*,*,#185991,.F.); #237462=ORIENTED_EDGE('',*,*,#185990,.F.); #237463=ORIENTED_EDGE('',*,*,#185992,.F.); #237464=ORIENTED_EDGE('',*,*,#185993,.T.); #237465=ORIENTED_EDGE('',*,*,#185994,.F.); #237466=ORIENTED_EDGE('',*,*,#185993,.F.); #237467=ORIENTED_EDGE('',*,*,#185995,.F.); #237468=ORIENTED_EDGE('',*,*,#185996,.T.); #237469=ORIENTED_EDGE('',*,*,#185997,.F.); #237470=ORIENTED_EDGE('',*,*,#185996,.F.); #237471=ORIENTED_EDGE('',*,*,#185998,.F.); #237472=ORIENTED_EDGE('',*,*,#185999,.T.); #237473=ORIENTED_EDGE('',*,*,#186000,.F.); #237474=ORIENTED_EDGE('',*,*,#185999,.F.); #237475=ORIENTED_EDGE('',*,*,#186001,.F.); #237476=ORIENTED_EDGE('',*,*,#186002,.T.); #237477=ORIENTED_EDGE('',*,*,#186003,.F.); #237478=ORIENTED_EDGE('',*,*,#186002,.F.); #237479=ORIENTED_EDGE('',*,*,#186004,.F.); #237480=ORIENTED_EDGE('',*,*,#186005,.T.); #237481=ORIENTED_EDGE('',*,*,#186006,.F.); #237482=ORIENTED_EDGE('',*,*,#186005,.F.); #237483=ORIENTED_EDGE('',*,*,#186007,.F.); #237484=ORIENTED_EDGE('',*,*,#186008,.T.); #237485=ORIENTED_EDGE('',*,*,#186009,.F.); #237486=ORIENTED_EDGE('',*,*,#186008,.F.); #237487=ORIENTED_EDGE('',*,*,#186010,.F.); #237488=ORIENTED_EDGE('',*,*,#186011,.T.); #237489=ORIENTED_EDGE('',*,*,#186012,.F.); #237490=ORIENTED_EDGE('',*,*,#186011,.F.); #237491=ORIENTED_EDGE('',*,*,#186013,.F.); #237492=ORIENTED_EDGE('',*,*,#186014,.T.); #237493=ORIENTED_EDGE('',*,*,#186015,.F.); #237494=ORIENTED_EDGE('',*,*,#186014,.F.); #237495=ORIENTED_EDGE('',*,*,#186016,.F.); #237496=ORIENTED_EDGE('',*,*,#186017,.T.); #237497=ORIENTED_EDGE('',*,*,#186018,.F.); #237498=ORIENTED_EDGE('',*,*,#186017,.F.); #237499=ORIENTED_EDGE('',*,*,#186019,.F.); #237500=ORIENTED_EDGE('',*,*,#186020,.T.); #237501=ORIENTED_EDGE('',*,*,#186021,.F.); #237502=ORIENTED_EDGE('',*,*,#186020,.F.); #237503=ORIENTED_EDGE('',*,*,#186022,.F.); #237504=ORIENTED_EDGE('',*,*,#186023,.T.); #237505=ORIENTED_EDGE('',*,*,#186024,.F.); #237506=ORIENTED_EDGE('',*,*,#186023,.F.); #237507=ORIENTED_EDGE('',*,*,#186025,.F.); #237508=ORIENTED_EDGE('',*,*,#186026,.T.); #237509=ORIENTED_EDGE('',*,*,#186027,.F.); #237510=ORIENTED_EDGE('',*,*,#186026,.F.); #237511=ORIENTED_EDGE('',*,*,#186028,.F.); #237512=ORIENTED_EDGE('',*,*,#186029,.T.); #237513=ORIENTED_EDGE('',*,*,#186030,.F.); #237514=ORIENTED_EDGE('',*,*,#186029,.F.); #237515=ORIENTED_EDGE('',*,*,#186031,.F.); #237516=ORIENTED_EDGE('',*,*,#186032,.T.); #237517=ORIENTED_EDGE('',*,*,#186033,.F.); #237518=ORIENTED_EDGE('',*,*,#186032,.F.); #237519=ORIENTED_EDGE('',*,*,#186034,.F.); #237520=ORIENTED_EDGE('',*,*,#186035,.T.); #237521=ORIENTED_EDGE('',*,*,#186036,.F.); #237522=ORIENTED_EDGE('',*,*,#186035,.F.); #237523=ORIENTED_EDGE('',*,*,#186037,.F.); #237524=ORIENTED_EDGE('',*,*,#186038,.T.); #237525=ORIENTED_EDGE('',*,*,#186039,.F.); #237526=ORIENTED_EDGE('',*,*,#186038,.F.); #237527=ORIENTED_EDGE('',*,*,#186040,.F.); #237528=ORIENTED_EDGE('',*,*,#186041,.T.); #237529=ORIENTED_EDGE('',*,*,#186042,.F.); #237530=ORIENTED_EDGE('',*,*,#186041,.F.); #237531=ORIENTED_EDGE('',*,*,#186043,.F.); #237532=ORIENTED_EDGE('',*,*,#186044,.T.); #237533=ORIENTED_EDGE('',*,*,#186045,.F.); #237534=ORIENTED_EDGE('',*,*,#186044,.F.); #237535=ORIENTED_EDGE('',*,*,#186046,.F.); #237536=ORIENTED_EDGE('',*,*,#186047,.T.); #237537=ORIENTED_EDGE('',*,*,#186048,.F.); #237538=ORIENTED_EDGE('',*,*,#186047,.F.); #237539=ORIENTED_EDGE('',*,*,#186049,.F.); #237540=ORIENTED_EDGE('',*,*,#186050,.T.); #237541=ORIENTED_EDGE('',*,*,#186051,.F.); #237542=ORIENTED_EDGE('',*,*,#186050,.F.); #237543=ORIENTED_EDGE('',*,*,#186052,.F.); #237544=ORIENTED_EDGE('',*,*,#186053,.T.); #237545=ORIENTED_EDGE('',*,*,#186054,.F.); #237546=ORIENTED_EDGE('',*,*,#186053,.F.); #237547=ORIENTED_EDGE('',*,*,#186055,.F.); #237548=ORIENTED_EDGE('',*,*,#186056,.T.); #237549=ORIENTED_EDGE('',*,*,#186057,.F.); #237550=ORIENTED_EDGE('',*,*,#186056,.F.); #237551=ORIENTED_EDGE('',*,*,#186058,.F.); #237552=ORIENTED_EDGE('',*,*,#186059,.T.); #237553=ORIENTED_EDGE('',*,*,#186060,.F.); #237554=ORIENTED_EDGE('',*,*,#186059,.F.); #237555=ORIENTED_EDGE('',*,*,#186061,.F.); #237556=ORIENTED_EDGE('',*,*,#186062,.T.); #237557=ORIENTED_EDGE('',*,*,#186063,.F.); #237558=ORIENTED_EDGE('',*,*,#186062,.F.); #237559=ORIENTED_EDGE('',*,*,#186064,.F.); #237560=ORIENTED_EDGE('',*,*,#186065,.T.); #237561=ORIENTED_EDGE('',*,*,#186066,.F.); #237562=ORIENTED_EDGE('',*,*,#186065,.F.); #237563=ORIENTED_EDGE('',*,*,#186067,.F.); #237564=ORIENTED_EDGE('',*,*,#186068,.T.); #237565=ORIENTED_EDGE('',*,*,#186069,.F.); #237566=ORIENTED_EDGE('',*,*,#186068,.F.); #237567=ORIENTED_EDGE('',*,*,#186070,.F.); #237568=ORIENTED_EDGE('',*,*,#186071,.T.); #237569=ORIENTED_EDGE('',*,*,#186072,.F.); #237570=ORIENTED_EDGE('',*,*,#186071,.F.); #237571=ORIENTED_EDGE('',*,*,#186073,.F.); #237572=ORIENTED_EDGE('',*,*,#186074,.T.); #237573=ORIENTED_EDGE('',*,*,#186075,.F.); #237574=ORIENTED_EDGE('',*,*,#186074,.F.); #237575=ORIENTED_EDGE('',*,*,#186076,.F.); #237576=ORIENTED_EDGE('',*,*,#186077,.T.); #237577=ORIENTED_EDGE('',*,*,#186078,.F.); #237578=ORIENTED_EDGE('',*,*,#186077,.F.); #237579=ORIENTED_EDGE('',*,*,#186079,.F.); #237580=ORIENTED_EDGE('',*,*,#186080,.T.); #237581=ORIENTED_EDGE('',*,*,#186081,.F.); #237582=ORIENTED_EDGE('',*,*,#186080,.F.); #237583=ORIENTED_EDGE('',*,*,#186082,.F.); #237584=ORIENTED_EDGE('',*,*,#186083,.T.); #237585=ORIENTED_EDGE('',*,*,#186084,.F.); #237586=ORIENTED_EDGE('',*,*,#186083,.F.); #237587=ORIENTED_EDGE('',*,*,#186085,.F.); #237588=ORIENTED_EDGE('',*,*,#186086,.T.); #237589=ORIENTED_EDGE('',*,*,#186087,.F.); #237590=ORIENTED_EDGE('',*,*,#186086,.F.); #237591=ORIENTED_EDGE('',*,*,#186088,.F.); #237592=ORIENTED_EDGE('',*,*,#186089,.T.); #237593=ORIENTED_EDGE('',*,*,#186090,.F.); #237594=ORIENTED_EDGE('',*,*,#186089,.F.); #237595=ORIENTED_EDGE('',*,*,#186091,.F.); #237596=ORIENTED_EDGE('',*,*,#186092,.T.); #237597=ORIENTED_EDGE('',*,*,#186093,.F.); #237598=ORIENTED_EDGE('',*,*,#186092,.F.); #237599=ORIENTED_EDGE('',*,*,#186094,.F.); #237600=ORIENTED_EDGE('',*,*,#186095,.T.); #237601=ORIENTED_EDGE('',*,*,#186096,.F.); #237602=ORIENTED_EDGE('',*,*,#186095,.F.); #237603=ORIENTED_EDGE('',*,*,#186097,.F.); #237604=ORIENTED_EDGE('',*,*,#186098,.T.); #237605=ORIENTED_EDGE('',*,*,#186099,.F.); #237606=ORIENTED_EDGE('',*,*,#186098,.F.); #237607=ORIENTED_EDGE('',*,*,#186100,.F.); #237608=ORIENTED_EDGE('',*,*,#186101,.T.); #237609=ORIENTED_EDGE('',*,*,#186102,.F.); #237610=ORIENTED_EDGE('',*,*,#186101,.F.); #237611=ORIENTED_EDGE('',*,*,#186103,.F.); #237612=ORIENTED_EDGE('',*,*,#186104,.T.); #237613=ORIENTED_EDGE('',*,*,#186105,.F.); #237614=ORIENTED_EDGE('',*,*,#186104,.F.); #237615=ORIENTED_EDGE('',*,*,#186106,.F.); #237616=ORIENTED_EDGE('',*,*,#186107,.T.); #237617=ORIENTED_EDGE('',*,*,#186108,.F.); #237618=ORIENTED_EDGE('',*,*,#186107,.F.); #237619=ORIENTED_EDGE('',*,*,#186109,.F.); #237620=ORIENTED_EDGE('',*,*,#186110,.T.); #237621=ORIENTED_EDGE('',*,*,#186111,.F.); #237622=ORIENTED_EDGE('',*,*,#186110,.F.); #237623=ORIENTED_EDGE('',*,*,#186112,.F.); #237624=ORIENTED_EDGE('',*,*,#186113,.T.); #237625=ORIENTED_EDGE('',*,*,#186114,.F.); #237626=ORIENTED_EDGE('',*,*,#186113,.F.); #237627=ORIENTED_EDGE('',*,*,#186115,.F.); #237628=ORIENTED_EDGE('',*,*,#186116,.T.); #237629=ORIENTED_EDGE('',*,*,#186117,.F.); #237630=ORIENTED_EDGE('',*,*,#186116,.F.); #237631=ORIENTED_EDGE('',*,*,#186118,.F.); #237632=ORIENTED_EDGE('',*,*,#186119,.T.); #237633=ORIENTED_EDGE('',*,*,#186120,.F.); #237634=ORIENTED_EDGE('',*,*,#186119,.F.); #237635=ORIENTED_EDGE('',*,*,#186121,.F.); #237636=ORIENTED_EDGE('',*,*,#186122,.T.); #237637=ORIENTED_EDGE('',*,*,#186123,.F.); #237638=ORIENTED_EDGE('',*,*,#186122,.F.); #237639=ORIENTED_EDGE('',*,*,#186124,.F.); #237640=ORIENTED_EDGE('',*,*,#186125,.T.); #237641=ORIENTED_EDGE('',*,*,#186126,.F.); #237642=ORIENTED_EDGE('',*,*,#186125,.F.); #237643=ORIENTED_EDGE('',*,*,#186127,.F.); #237644=ORIENTED_EDGE('',*,*,#186128,.T.); #237645=ORIENTED_EDGE('',*,*,#186129,.F.); #237646=ORIENTED_EDGE('',*,*,#186128,.F.); #237647=ORIENTED_EDGE('',*,*,#186130,.F.); #237648=ORIENTED_EDGE('',*,*,#186131,.T.); #237649=ORIENTED_EDGE('',*,*,#186132,.F.); #237650=ORIENTED_EDGE('',*,*,#186131,.F.); #237651=ORIENTED_EDGE('',*,*,#186133,.F.); #237652=ORIENTED_EDGE('',*,*,#186134,.T.); #237653=ORIENTED_EDGE('',*,*,#186135,.F.); #237654=ORIENTED_EDGE('',*,*,#186134,.F.); #237655=ORIENTED_EDGE('',*,*,#186136,.F.); #237656=ORIENTED_EDGE('',*,*,#186137,.T.); #237657=ORIENTED_EDGE('',*,*,#186138,.F.); #237658=ORIENTED_EDGE('',*,*,#186137,.F.); #237659=ORIENTED_EDGE('',*,*,#186139,.F.); #237660=ORIENTED_EDGE('',*,*,#186140,.T.); #237661=ORIENTED_EDGE('',*,*,#186141,.F.); #237662=ORIENTED_EDGE('',*,*,#186140,.F.); #237663=ORIENTED_EDGE('',*,*,#186142,.F.); #237664=ORIENTED_EDGE('',*,*,#186143,.T.); #237665=ORIENTED_EDGE('',*,*,#186144,.F.); #237666=ORIENTED_EDGE('',*,*,#186143,.F.); #237667=ORIENTED_EDGE('',*,*,#186145,.F.); #237668=ORIENTED_EDGE('',*,*,#186146,.T.); #237669=ORIENTED_EDGE('',*,*,#186147,.F.); #237670=ORIENTED_EDGE('',*,*,#186146,.F.); #237671=ORIENTED_EDGE('',*,*,#186148,.F.); #237672=ORIENTED_EDGE('',*,*,#186149,.T.); #237673=ORIENTED_EDGE('',*,*,#186150,.F.); #237674=ORIENTED_EDGE('',*,*,#186149,.F.); #237675=ORIENTED_EDGE('',*,*,#186151,.F.); #237676=ORIENTED_EDGE('',*,*,#186152,.T.); #237677=ORIENTED_EDGE('',*,*,#186153,.F.); #237678=ORIENTED_EDGE('',*,*,#186152,.F.); #237679=ORIENTED_EDGE('',*,*,#186154,.F.); #237680=ORIENTED_EDGE('',*,*,#186155,.T.); #237681=ORIENTED_EDGE('',*,*,#186156,.F.); #237682=ORIENTED_EDGE('',*,*,#186155,.F.); #237683=ORIENTED_EDGE('',*,*,#186157,.F.); #237684=ORIENTED_EDGE('',*,*,#186158,.T.); #237685=ORIENTED_EDGE('',*,*,#186159,.F.); #237686=ORIENTED_EDGE('',*,*,#186158,.F.); #237687=ORIENTED_EDGE('',*,*,#186160,.F.); #237688=ORIENTED_EDGE('',*,*,#186161,.T.); #237689=ORIENTED_EDGE('',*,*,#186162,.F.); #237690=ORIENTED_EDGE('',*,*,#186161,.F.); #237691=ORIENTED_EDGE('',*,*,#186163,.F.); #237692=ORIENTED_EDGE('',*,*,#186164,.T.); #237693=ORIENTED_EDGE('',*,*,#186165,.F.); #237694=ORIENTED_EDGE('',*,*,#186164,.F.); #237695=ORIENTED_EDGE('',*,*,#186166,.F.); #237696=ORIENTED_EDGE('',*,*,#186167,.T.); #237697=ORIENTED_EDGE('',*,*,#186168,.F.); #237698=ORIENTED_EDGE('',*,*,#186167,.F.); #237699=ORIENTED_EDGE('',*,*,#186169,.F.); #237700=ORIENTED_EDGE('',*,*,#186170,.T.); #237701=ORIENTED_EDGE('',*,*,#186171,.F.); #237702=ORIENTED_EDGE('',*,*,#186170,.F.); #237703=ORIENTED_EDGE('',*,*,#186172,.F.); #237704=ORIENTED_EDGE('',*,*,#186173,.T.); #237705=ORIENTED_EDGE('',*,*,#186174,.F.); #237706=ORIENTED_EDGE('',*,*,#186173,.F.); #237707=ORIENTED_EDGE('',*,*,#186175,.F.); #237708=ORIENTED_EDGE('',*,*,#186176,.T.); #237709=ORIENTED_EDGE('',*,*,#186177,.F.); #237710=ORIENTED_EDGE('',*,*,#186176,.F.); #237711=ORIENTED_EDGE('',*,*,#186178,.F.); #237712=ORIENTED_EDGE('',*,*,#186179,.T.); #237713=ORIENTED_EDGE('',*,*,#186180,.F.); #237714=ORIENTED_EDGE('',*,*,#186179,.F.); #237715=ORIENTED_EDGE('',*,*,#186181,.F.); #237716=ORIENTED_EDGE('',*,*,#186182,.T.); #237717=ORIENTED_EDGE('',*,*,#186183,.F.); #237718=ORIENTED_EDGE('',*,*,#186182,.F.); #237719=ORIENTED_EDGE('',*,*,#186184,.F.); #237720=ORIENTED_EDGE('',*,*,#186185,.T.); #237721=ORIENTED_EDGE('',*,*,#186186,.F.); #237722=ORIENTED_EDGE('',*,*,#186185,.F.); #237723=ORIENTED_EDGE('',*,*,#186187,.F.); #237724=ORIENTED_EDGE('',*,*,#186188,.T.); #237725=ORIENTED_EDGE('',*,*,#186189,.F.); #237726=ORIENTED_EDGE('',*,*,#186188,.F.); #237727=ORIENTED_EDGE('',*,*,#186190,.F.); #237728=ORIENTED_EDGE('',*,*,#186191,.T.); #237729=ORIENTED_EDGE('',*,*,#186192,.F.); #237730=ORIENTED_EDGE('',*,*,#186191,.F.); #237731=ORIENTED_EDGE('',*,*,#186193,.F.); #237732=ORIENTED_EDGE('',*,*,#186194,.T.); #237733=ORIENTED_EDGE('',*,*,#186195,.F.); #237734=ORIENTED_EDGE('',*,*,#186194,.F.); #237735=ORIENTED_EDGE('',*,*,#186196,.F.); #237736=ORIENTED_EDGE('',*,*,#186197,.T.); #237737=ORIENTED_EDGE('',*,*,#186198,.F.); #237738=ORIENTED_EDGE('',*,*,#186197,.F.); #237739=ORIENTED_EDGE('',*,*,#186199,.F.); #237740=ORIENTED_EDGE('',*,*,#186200,.T.); #237741=ORIENTED_EDGE('',*,*,#186201,.T.); #237742=ORIENTED_EDGE('',*,*,#186200,.F.); #237743=ORIENTED_EDGE('',*,*,#186199,.T.); #237744=ORIENTED_EDGE('',*,*,#185194,.T.); #237745=ORIENTED_EDGE('',*,*,#185197,.T.); #237746=ORIENTED_EDGE('',*,*,#185202,.T.); #237747=ORIENTED_EDGE('',*,*,#185205,.T.); #237748=ORIENTED_EDGE('',*,*,#185208,.T.); #237749=ORIENTED_EDGE('',*,*,#185211,.T.); #237750=ORIENTED_EDGE('',*,*,#185214,.T.); #237751=ORIENTED_EDGE('',*,*,#185217,.T.); #237752=ORIENTED_EDGE('',*,*,#185220,.T.); #237753=ORIENTED_EDGE('',*,*,#185223,.T.); #237754=ORIENTED_EDGE('',*,*,#185226,.T.); #237755=ORIENTED_EDGE('',*,*,#185229,.T.); #237756=ORIENTED_EDGE('',*,*,#185232,.T.); #237757=ORIENTED_EDGE('',*,*,#185235,.T.); #237758=ORIENTED_EDGE('',*,*,#185238,.T.); #237759=ORIENTED_EDGE('',*,*,#185241,.T.); #237760=ORIENTED_EDGE('',*,*,#185244,.T.); #237761=ORIENTED_EDGE('',*,*,#185247,.T.); #237762=ORIENTED_EDGE('',*,*,#185250,.T.); #237763=ORIENTED_EDGE('',*,*,#185253,.T.); #237764=ORIENTED_EDGE('',*,*,#185256,.T.); #237765=ORIENTED_EDGE('',*,*,#185259,.T.); #237766=ORIENTED_EDGE('',*,*,#185262,.T.); #237767=ORIENTED_EDGE('',*,*,#185265,.T.); #237768=ORIENTED_EDGE('',*,*,#185268,.T.); #237769=ORIENTED_EDGE('',*,*,#185271,.T.); #237770=ORIENTED_EDGE('',*,*,#185274,.T.); #237771=ORIENTED_EDGE('',*,*,#185277,.T.); #237772=ORIENTED_EDGE('',*,*,#185280,.T.); #237773=ORIENTED_EDGE('',*,*,#185283,.T.); #237774=ORIENTED_EDGE('',*,*,#185286,.T.); #237775=ORIENTED_EDGE('',*,*,#185289,.T.); #237776=ORIENTED_EDGE('',*,*,#185292,.T.); #237777=ORIENTED_EDGE('',*,*,#185295,.T.); #237778=ORIENTED_EDGE('',*,*,#185298,.T.); #237779=ORIENTED_EDGE('',*,*,#185301,.T.); #237780=ORIENTED_EDGE('',*,*,#185304,.T.); #237781=ORIENTED_EDGE('',*,*,#185307,.T.); #237782=ORIENTED_EDGE('',*,*,#185310,.T.); #237783=ORIENTED_EDGE('',*,*,#185313,.T.); #237784=ORIENTED_EDGE('',*,*,#185316,.T.); #237785=ORIENTED_EDGE('',*,*,#185319,.T.); #237786=ORIENTED_EDGE('',*,*,#185322,.T.); #237787=ORIENTED_EDGE('',*,*,#185325,.T.); #237788=ORIENTED_EDGE('',*,*,#185328,.T.); #237789=ORIENTED_EDGE('',*,*,#185331,.T.); #237790=ORIENTED_EDGE('',*,*,#185334,.T.); #237791=ORIENTED_EDGE('',*,*,#185337,.T.); #237792=ORIENTED_EDGE('',*,*,#185340,.T.); #237793=ORIENTED_EDGE('',*,*,#185343,.T.); #237794=ORIENTED_EDGE('',*,*,#185346,.T.); #237795=ORIENTED_EDGE('',*,*,#185349,.T.); #237796=ORIENTED_EDGE('',*,*,#185352,.T.); #237797=ORIENTED_EDGE('',*,*,#185355,.T.); #237798=ORIENTED_EDGE('',*,*,#185358,.T.); #237799=ORIENTED_EDGE('',*,*,#185361,.T.); #237800=ORIENTED_EDGE('',*,*,#185364,.T.); #237801=ORIENTED_EDGE('',*,*,#185367,.T.); #237802=ORIENTED_EDGE('',*,*,#185370,.T.); #237803=ORIENTED_EDGE('',*,*,#185373,.T.); #237804=ORIENTED_EDGE('',*,*,#185376,.T.); #237805=ORIENTED_EDGE('',*,*,#185379,.T.); #237806=ORIENTED_EDGE('',*,*,#185382,.T.); #237807=ORIENTED_EDGE('',*,*,#185385,.T.); #237808=ORIENTED_EDGE('',*,*,#185388,.T.); #237809=ORIENTED_EDGE('',*,*,#185391,.T.); #237810=ORIENTED_EDGE('',*,*,#185394,.T.); #237811=ORIENTED_EDGE('',*,*,#185397,.T.); #237812=ORIENTED_EDGE('',*,*,#185400,.T.); #237813=ORIENTED_EDGE('',*,*,#185403,.T.); #237814=ORIENTED_EDGE('',*,*,#185406,.T.); #237815=ORIENTED_EDGE('',*,*,#185409,.T.); #237816=ORIENTED_EDGE('',*,*,#185412,.T.); #237817=ORIENTED_EDGE('',*,*,#185415,.T.); #237818=ORIENTED_EDGE('',*,*,#185418,.T.); #237819=ORIENTED_EDGE('',*,*,#185421,.T.); #237820=ORIENTED_EDGE('',*,*,#185424,.T.); #237821=ORIENTED_EDGE('',*,*,#185427,.T.); #237822=ORIENTED_EDGE('',*,*,#185430,.T.); #237823=ORIENTED_EDGE('',*,*,#185433,.T.); #237824=ORIENTED_EDGE('',*,*,#185436,.T.); #237825=ORIENTED_EDGE('',*,*,#185439,.T.); #237826=ORIENTED_EDGE('',*,*,#185442,.T.); #237827=ORIENTED_EDGE('',*,*,#185445,.T.); #237828=ORIENTED_EDGE('',*,*,#185448,.T.); #237829=ORIENTED_EDGE('',*,*,#185451,.T.); #237830=ORIENTED_EDGE('',*,*,#185454,.T.); #237831=ORIENTED_EDGE('',*,*,#185457,.T.); #237832=ORIENTED_EDGE('',*,*,#185460,.T.); #237833=ORIENTED_EDGE('',*,*,#185463,.T.); #237834=ORIENTED_EDGE('',*,*,#185466,.T.); #237835=ORIENTED_EDGE('',*,*,#185469,.T.); #237836=ORIENTED_EDGE('',*,*,#185472,.T.); #237837=ORIENTED_EDGE('',*,*,#185475,.T.); #237838=ORIENTED_EDGE('',*,*,#185478,.T.); #237839=ORIENTED_EDGE('',*,*,#185481,.T.); #237840=ORIENTED_EDGE('',*,*,#185484,.T.); #237841=ORIENTED_EDGE('',*,*,#185487,.T.); #237842=ORIENTED_EDGE('',*,*,#185490,.T.); #237843=ORIENTED_EDGE('',*,*,#185493,.T.); #237844=ORIENTED_EDGE('',*,*,#185496,.T.); #237845=ORIENTED_EDGE('',*,*,#185499,.T.); #237846=ORIENTED_EDGE('',*,*,#185502,.T.); #237847=ORIENTED_EDGE('',*,*,#185505,.T.); #237848=ORIENTED_EDGE('',*,*,#185508,.T.); #237849=ORIENTED_EDGE('',*,*,#185511,.T.); #237850=ORIENTED_EDGE('',*,*,#185514,.T.); #237851=ORIENTED_EDGE('',*,*,#185517,.T.); #237852=ORIENTED_EDGE('',*,*,#185520,.T.); #237853=ORIENTED_EDGE('',*,*,#185523,.T.); #237854=ORIENTED_EDGE('',*,*,#185526,.T.); #237855=ORIENTED_EDGE('',*,*,#185529,.T.); #237856=ORIENTED_EDGE('',*,*,#185532,.T.); #237857=ORIENTED_EDGE('',*,*,#185535,.T.); #237858=ORIENTED_EDGE('',*,*,#185538,.T.); #237859=ORIENTED_EDGE('',*,*,#185541,.T.); #237860=ORIENTED_EDGE('',*,*,#185544,.T.); #237861=ORIENTED_EDGE('',*,*,#185547,.T.); #237862=ORIENTED_EDGE('',*,*,#185550,.T.); #237863=ORIENTED_EDGE('',*,*,#185553,.T.); #237864=ORIENTED_EDGE('',*,*,#185556,.T.); #237865=ORIENTED_EDGE('',*,*,#185559,.T.); #237866=ORIENTED_EDGE('',*,*,#185562,.T.); #237867=ORIENTED_EDGE('',*,*,#185565,.T.); #237868=ORIENTED_EDGE('',*,*,#185568,.T.); #237869=ORIENTED_EDGE('',*,*,#185571,.T.); #237870=ORIENTED_EDGE('',*,*,#185574,.T.); #237871=ORIENTED_EDGE('',*,*,#185577,.T.); #237872=ORIENTED_EDGE('',*,*,#185580,.T.); #237873=ORIENTED_EDGE('',*,*,#185583,.T.); #237874=ORIENTED_EDGE('',*,*,#185586,.T.); #237875=ORIENTED_EDGE('',*,*,#185589,.T.); #237876=ORIENTED_EDGE('',*,*,#185592,.T.); #237877=ORIENTED_EDGE('',*,*,#185595,.T.); #237878=ORIENTED_EDGE('',*,*,#185598,.T.); #237879=ORIENTED_EDGE('',*,*,#185601,.T.); #237880=ORIENTED_EDGE('',*,*,#185604,.T.); #237881=ORIENTED_EDGE('',*,*,#185607,.T.); #237882=ORIENTED_EDGE('',*,*,#185610,.T.); #237883=ORIENTED_EDGE('',*,*,#185613,.T.); #237884=ORIENTED_EDGE('',*,*,#185616,.T.); #237885=ORIENTED_EDGE('',*,*,#185619,.T.); #237886=ORIENTED_EDGE('',*,*,#185622,.T.); #237887=ORIENTED_EDGE('',*,*,#185625,.T.); #237888=ORIENTED_EDGE('',*,*,#185628,.T.); #237889=ORIENTED_EDGE('',*,*,#185631,.T.); #237890=ORIENTED_EDGE('',*,*,#185634,.T.); #237891=ORIENTED_EDGE('',*,*,#185637,.T.); #237892=ORIENTED_EDGE('',*,*,#185640,.T.); #237893=ORIENTED_EDGE('',*,*,#185643,.T.); #237894=ORIENTED_EDGE('',*,*,#185646,.T.); #237895=ORIENTED_EDGE('',*,*,#185649,.T.); #237896=ORIENTED_EDGE('',*,*,#185652,.T.); #237897=ORIENTED_EDGE('',*,*,#185655,.T.); #237898=ORIENTED_EDGE('',*,*,#185658,.T.); #237899=ORIENTED_EDGE('',*,*,#185661,.T.); #237900=ORIENTED_EDGE('',*,*,#185664,.T.); #237901=ORIENTED_EDGE('',*,*,#185667,.T.); #237902=ORIENTED_EDGE('',*,*,#185670,.T.); #237903=ORIENTED_EDGE('',*,*,#185673,.T.); #237904=ORIENTED_EDGE('',*,*,#185676,.T.); #237905=ORIENTED_EDGE('',*,*,#185679,.T.); #237906=ORIENTED_EDGE('',*,*,#185682,.T.); #237907=ORIENTED_EDGE('',*,*,#185690,.T.); #237908=ORIENTED_EDGE('',*,*,#185693,.T.); #237909=ORIENTED_EDGE('',*,*,#185683,.T.); #237910=ORIENTED_EDGE('',*,*,#185687,.T.); #237911=ORIENTED_EDGE('',*,*,#185697,.T.); #237912=ORIENTED_EDGE('',*,*,#185705,.T.); #237913=ORIENTED_EDGE('',*,*,#185708,.T.); #237914=ORIENTED_EDGE('',*,*,#185698,.T.); #237915=ORIENTED_EDGE('',*,*,#185702,.T.); #237916=ORIENTED_EDGE('',*,*,#185712,.T.); #237917=ORIENTED_EDGE('',*,*,#185715,.T.); #237918=ORIENTED_EDGE('',*,*,#185718,.T.); #237919=ORIENTED_EDGE('',*,*,#185726,.T.); #237920=ORIENTED_EDGE('',*,*,#185729,.T.); #237921=ORIENTED_EDGE('',*,*,#185719,.T.); #237922=ORIENTED_EDGE('',*,*,#185723,.T.); #237923=ORIENTED_EDGE('',*,*,#185733,.T.); #237924=ORIENTED_EDGE('',*,*,#185736,.T.); #237925=ORIENTED_EDGE('',*,*,#185739,.T.); #237926=ORIENTED_EDGE('',*,*,#185742,.T.); #237927=ORIENTED_EDGE('',*,*,#185745,.T.); #237928=ORIENTED_EDGE('',*,*,#185748,.T.); #237929=ORIENTED_EDGE('',*,*,#185751,.T.); #237930=ORIENTED_EDGE('',*,*,#185754,.T.); #237931=ORIENTED_EDGE('',*,*,#185757,.T.); #237932=ORIENTED_EDGE('',*,*,#185760,.T.); #237933=ORIENTED_EDGE('',*,*,#185768,.T.); #237934=ORIENTED_EDGE('',*,*,#185771,.T.); #237935=ORIENTED_EDGE('',*,*,#185761,.T.); #237936=ORIENTED_EDGE('',*,*,#185765,.T.); #237937=ORIENTED_EDGE('',*,*,#185775,.T.); #237938=ORIENTED_EDGE('',*,*,#185783,.T.); #237939=ORIENTED_EDGE('',*,*,#185786,.T.); #237940=ORIENTED_EDGE('',*,*,#185776,.T.); #237941=ORIENTED_EDGE('',*,*,#185780,.T.); #237942=ORIENTED_EDGE('',*,*,#185790,.T.); #237943=ORIENTED_EDGE('',*,*,#185798,.T.); #237944=ORIENTED_EDGE('',*,*,#185801,.T.); #237945=ORIENTED_EDGE('',*,*,#185791,.T.); #237946=ORIENTED_EDGE('',*,*,#185795,.T.); #237947=ORIENTED_EDGE('',*,*,#185805,.T.); #237948=ORIENTED_EDGE('',*,*,#185808,.T.); #237949=ORIENTED_EDGE('',*,*,#185811,.T.); #237950=ORIENTED_EDGE('',*,*,#185814,.T.); #237951=ORIENTED_EDGE('',*,*,#185817,.T.); #237952=ORIENTED_EDGE('',*,*,#185820,.T.); #237953=ORIENTED_EDGE('',*,*,#185823,.T.); #237954=ORIENTED_EDGE('',*,*,#185826,.T.); #237955=ORIENTED_EDGE('',*,*,#185829,.T.); #237956=ORIENTED_EDGE('',*,*,#185832,.T.); #237957=ORIENTED_EDGE('',*,*,#185835,.T.); #237958=ORIENTED_EDGE('',*,*,#185838,.T.); #237959=ORIENTED_EDGE('',*,*,#185841,.T.); #237960=ORIENTED_EDGE('',*,*,#185844,.T.); #237961=ORIENTED_EDGE('',*,*,#185847,.T.); #237962=ORIENTED_EDGE('',*,*,#185850,.T.); #237963=ORIENTED_EDGE('',*,*,#185853,.T.); #237964=ORIENTED_EDGE('',*,*,#185856,.T.); #237965=ORIENTED_EDGE('',*,*,#185859,.T.); #237966=ORIENTED_EDGE('',*,*,#185862,.T.); #237967=ORIENTED_EDGE('',*,*,#185865,.T.); #237968=ORIENTED_EDGE('',*,*,#185868,.T.); #237969=ORIENTED_EDGE('',*,*,#185871,.T.); #237970=ORIENTED_EDGE('',*,*,#185874,.T.); #237971=ORIENTED_EDGE('',*,*,#185877,.T.); #237972=ORIENTED_EDGE('',*,*,#185880,.T.); #237973=ORIENTED_EDGE('',*,*,#185883,.T.); #237974=ORIENTED_EDGE('',*,*,#185886,.T.); #237975=ORIENTED_EDGE('',*,*,#185889,.T.); #237976=ORIENTED_EDGE('',*,*,#185892,.T.); #237977=ORIENTED_EDGE('',*,*,#185895,.T.); #237978=ORIENTED_EDGE('',*,*,#185898,.T.); #237979=ORIENTED_EDGE('',*,*,#185901,.T.); #237980=ORIENTED_EDGE('',*,*,#185904,.T.); #237981=ORIENTED_EDGE('',*,*,#185907,.T.); #237982=ORIENTED_EDGE('',*,*,#185910,.T.); #237983=ORIENTED_EDGE('',*,*,#185913,.T.); #237984=ORIENTED_EDGE('',*,*,#185916,.T.); #237985=ORIENTED_EDGE('',*,*,#185919,.T.); #237986=ORIENTED_EDGE('',*,*,#185922,.T.); #237987=ORIENTED_EDGE('',*,*,#185925,.T.); #237988=ORIENTED_EDGE('',*,*,#185928,.T.); #237989=ORIENTED_EDGE('',*,*,#185931,.T.); #237990=ORIENTED_EDGE('',*,*,#185934,.T.); #237991=ORIENTED_EDGE('',*,*,#185937,.T.); #237992=ORIENTED_EDGE('',*,*,#185940,.T.); #237993=ORIENTED_EDGE('',*,*,#185943,.T.); #237994=ORIENTED_EDGE('',*,*,#185946,.T.); #237995=ORIENTED_EDGE('',*,*,#185949,.T.); #237996=ORIENTED_EDGE('',*,*,#185952,.T.); #237997=ORIENTED_EDGE('',*,*,#185955,.T.); #237998=ORIENTED_EDGE('',*,*,#185958,.T.); #237999=ORIENTED_EDGE('',*,*,#185961,.T.); #238000=ORIENTED_EDGE('',*,*,#185964,.T.); #238001=ORIENTED_EDGE('',*,*,#185967,.T.); #238002=ORIENTED_EDGE('',*,*,#185970,.T.); #238003=ORIENTED_EDGE('',*,*,#185973,.T.); #238004=ORIENTED_EDGE('',*,*,#185976,.T.); #238005=ORIENTED_EDGE('',*,*,#185979,.T.); #238006=ORIENTED_EDGE('',*,*,#185982,.T.); #238007=ORIENTED_EDGE('',*,*,#185985,.T.); #238008=ORIENTED_EDGE('',*,*,#185988,.T.); #238009=ORIENTED_EDGE('',*,*,#185991,.T.); #238010=ORIENTED_EDGE('',*,*,#185994,.T.); #238011=ORIENTED_EDGE('',*,*,#185997,.T.); #238012=ORIENTED_EDGE('',*,*,#186000,.T.); #238013=ORIENTED_EDGE('',*,*,#186003,.T.); #238014=ORIENTED_EDGE('',*,*,#186006,.T.); #238015=ORIENTED_EDGE('',*,*,#186009,.T.); #238016=ORIENTED_EDGE('',*,*,#186012,.T.); #238017=ORIENTED_EDGE('',*,*,#186015,.T.); #238018=ORIENTED_EDGE('',*,*,#186018,.T.); #238019=ORIENTED_EDGE('',*,*,#186021,.T.); #238020=ORIENTED_EDGE('',*,*,#186024,.T.); #238021=ORIENTED_EDGE('',*,*,#186027,.T.); #238022=ORIENTED_EDGE('',*,*,#186030,.T.); #238023=ORIENTED_EDGE('',*,*,#186033,.T.); #238024=ORIENTED_EDGE('',*,*,#186036,.T.); #238025=ORIENTED_EDGE('',*,*,#186039,.T.); #238026=ORIENTED_EDGE('',*,*,#186042,.T.); #238027=ORIENTED_EDGE('',*,*,#186045,.T.); #238028=ORIENTED_EDGE('',*,*,#186048,.T.); #238029=ORIENTED_EDGE('',*,*,#186051,.T.); #238030=ORIENTED_EDGE('',*,*,#186054,.T.); #238031=ORIENTED_EDGE('',*,*,#186057,.T.); #238032=ORIENTED_EDGE('',*,*,#186060,.T.); #238033=ORIENTED_EDGE('',*,*,#186063,.T.); #238034=ORIENTED_EDGE('',*,*,#186066,.T.); #238035=ORIENTED_EDGE('',*,*,#186069,.T.); #238036=ORIENTED_EDGE('',*,*,#186072,.T.); #238037=ORIENTED_EDGE('',*,*,#186075,.T.); #238038=ORIENTED_EDGE('',*,*,#186078,.T.); #238039=ORIENTED_EDGE('',*,*,#186081,.T.); #238040=ORIENTED_EDGE('',*,*,#186084,.T.); #238041=ORIENTED_EDGE('',*,*,#186087,.T.); #238042=ORIENTED_EDGE('',*,*,#186090,.T.); #238043=ORIENTED_EDGE('',*,*,#186093,.T.); #238044=ORIENTED_EDGE('',*,*,#186096,.T.); #238045=ORIENTED_EDGE('',*,*,#186099,.T.); #238046=ORIENTED_EDGE('',*,*,#186102,.T.); #238047=ORIENTED_EDGE('',*,*,#186105,.T.); #238048=ORIENTED_EDGE('',*,*,#186108,.T.); #238049=ORIENTED_EDGE('',*,*,#186111,.T.); #238050=ORIENTED_EDGE('',*,*,#186114,.T.); #238051=ORIENTED_EDGE('',*,*,#186117,.T.); #238052=ORIENTED_EDGE('',*,*,#186120,.T.); #238053=ORIENTED_EDGE('',*,*,#186123,.T.); #238054=ORIENTED_EDGE('',*,*,#186126,.T.); #238055=ORIENTED_EDGE('',*,*,#186129,.T.); #238056=ORIENTED_EDGE('',*,*,#186132,.T.); #238057=ORIENTED_EDGE('',*,*,#186135,.T.); #238058=ORIENTED_EDGE('',*,*,#186138,.T.); #238059=ORIENTED_EDGE('',*,*,#186141,.T.); #238060=ORIENTED_EDGE('',*,*,#186144,.T.); #238061=ORIENTED_EDGE('',*,*,#186147,.T.); #238062=ORIENTED_EDGE('',*,*,#186150,.T.); #238063=ORIENTED_EDGE('',*,*,#186153,.T.); #238064=ORIENTED_EDGE('',*,*,#186156,.T.); #238065=ORIENTED_EDGE('',*,*,#186159,.T.); #238066=ORIENTED_EDGE('',*,*,#186162,.T.); #238067=ORIENTED_EDGE('',*,*,#186165,.T.); #238068=ORIENTED_EDGE('',*,*,#186168,.T.); #238069=ORIENTED_EDGE('',*,*,#186171,.T.); #238070=ORIENTED_EDGE('',*,*,#186174,.T.); #238071=ORIENTED_EDGE('',*,*,#186177,.T.); #238072=ORIENTED_EDGE('',*,*,#186180,.T.); #238073=ORIENTED_EDGE('',*,*,#186183,.T.); #238074=ORIENTED_EDGE('',*,*,#186186,.T.); #238075=ORIENTED_EDGE('',*,*,#186189,.T.); #238076=ORIENTED_EDGE('',*,*,#186192,.T.); #238077=ORIENTED_EDGE('',*,*,#186195,.T.); #238078=ORIENTED_EDGE('',*,*,#186198,.T.); #238079=ORIENTED_EDGE('',*,*,#186201,.F.); #238080=ORIENTED_EDGE('',*,*,#185196,.T.); #238081=ORIENTED_EDGE('',*,*,#185199,.T.); #238082=ORIENTED_EDGE('',*,*,#185200,.T.); #238083=ORIENTED_EDGE('',*,*,#185203,.T.); #238084=ORIENTED_EDGE('',*,*,#185206,.T.); #238085=ORIENTED_EDGE('',*,*,#185209,.T.); #238086=ORIENTED_EDGE('',*,*,#185212,.T.); #238087=ORIENTED_EDGE('',*,*,#185215,.T.); #238088=ORIENTED_EDGE('',*,*,#185218,.T.); #238089=ORIENTED_EDGE('',*,*,#185221,.T.); #238090=ORIENTED_EDGE('',*,*,#185224,.T.); #238091=ORIENTED_EDGE('',*,*,#185227,.T.); #238092=ORIENTED_EDGE('',*,*,#185230,.T.); #238093=ORIENTED_EDGE('',*,*,#185233,.T.); #238094=ORIENTED_EDGE('',*,*,#185236,.T.); #238095=ORIENTED_EDGE('',*,*,#185239,.T.); #238096=ORIENTED_EDGE('',*,*,#185242,.T.); #238097=ORIENTED_EDGE('',*,*,#185245,.T.); #238098=ORIENTED_EDGE('',*,*,#185248,.T.); #238099=ORIENTED_EDGE('',*,*,#185251,.T.); #238100=ORIENTED_EDGE('',*,*,#185254,.T.); #238101=ORIENTED_EDGE('',*,*,#185257,.T.); #238102=ORIENTED_EDGE('',*,*,#185260,.T.); #238103=ORIENTED_EDGE('',*,*,#185263,.T.); #238104=ORIENTED_EDGE('',*,*,#185266,.T.); #238105=ORIENTED_EDGE('',*,*,#185269,.T.); #238106=ORIENTED_EDGE('',*,*,#185272,.T.); #238107=ORIENTED_EDGE('',*,*,#185275,.T.); #238108=ORIENTED_EDGE('',*,*,#185278,.T.); #238109=ORIENTED_EDGE('',*,*,#185281,.T.); #238110=ORIENTED_EDGE('',*,*,#185284,.T.); #238111=ORIENTED_EDGE('',*,*,#185287,.T.); #238112=ORIENTED_EDGE('',*,*,#185290,.T.); #238113=ORIENTED_EDGE('',*,*,#185293,.T.); #238114=ORIENTED_EDGE('',*,*,#185296,.T.); #238115=ORIENTED_EDGE('',*,*,#185299,.T.); #238116=ORIENTED_EDGE('',*,*,#185302,.T.); #238117=ORIENTED_EDGE('',*,*,#185305,.T.); #238118=ORIENTED_EDGE('',*,*,#185308,.T.); #238119=ORIENTED_EDGE('',*,*,#185311,.T.); #238120=ORIENTED_EDGE('',*,*,#185314,.T.); #238121=ORIENTED_EDGE('',*,*,#185317,.T.); #238122=ORIENTED_EDGE('',*,*,#185320,.T.); #238123=ORIENTED_EDGE('',*,*,#185323,.T.); #238124=ORIENTED_EDGE('',*,*,#185326,.T.); #238125=ORIENTED_EDGE('',*,*,#185329,.T.); #238126=ORIENTED_EDGE('',*,*,#185332,.T.); #238127=ORIENTED_EDGE('',*,*,#185335,.T.); #238128=ORIENTED_EDGE('',*,*,#185338,.T.); #238129=ORIENTED_EDGE('',*,*,#185341,.T.); #238130=ORIENTED_EDGE('',*,*,#185344,.T.); #238131=ORIENTED_EDGE('',*,*,#185347,.T.); #238132=ORIENTED_EDGE('',*,*,#185350,.T.); #238133=ORIENTED_EDGE('',*,*,#185353,.T.); #238134=ORIENTED_EDGE('',*,*,#185356,.T.); #238135=ORIENTED_EDGE('',*,*,#185359,.T.); #238136=ORIENTED_EDGE('',*,*,#185362,.T.); #238137=ORIENTED_EDGE('',*,*,#185365,.T.); #238138=ORIENTED_EDGE('',*,*,#185368,.T.); #238139=ORIENTED_EDGE('',*,*,#185371,.T.); #238140=ORIENTED_EDGE('',*,*,#185374,.T.); #238141=ORIENTED_EDGE('',*,*,#185377,.T.); #238142=ORIENTED_EDGE('',*,*,#185380,.T.); #238143=ORIENTED_EDGE('',*,*,#185383,.T.); #238144=ORIENTED_EDGE('',*,*,#185386,.T.); #238145=ORIENTED_EDGE('',*,*,#185389,.T.); #238146=ORIENTED_EDGE('',*,*,#185392,.T.); #238147=ORIENTED_EDGE('',*,*,#185395,.T.); #238148=ORIENTED_EDGE('',*,*,#185398,.T.); #238149=ORIENTED_EDGE('',*,*,#185401,.T.); #238150=ORIENTED_EDGE('',*,*,#185404,.T.); #238151=ORIENTED_EDGE('',*,*,#185407,.T.); #238152=ORIENTED_EDGE('',*,*,#185410,.T.); #238153=ORIENTED_EDGE('',*,*,#185413,.T.); #238154=ORIENTED_EDGE('',*,*,#185416,.T.); #238155=ORIENTED_EDGE('',*,*,#185419,.T.); #238156=ORIENTED_EDGE('',*,*,#185422,.T.); #238157=ORIENTED_EDGE('',*,*,#185425,.T.); #238158=ORIENTED_EDGE('',*,*,#185428,.T.); #238159=ORIENTED_EDGE('',*,*,#185431,.T.); #238160=ORIENTED_EDGE('',*,*,#185434,.T.); #238161=ORIENTED_EDGE('',*,*,#185437,.T.); #238162=ORIENTED_EDGE('',*,*,#185440,.T.); #238163=ORIENTED_EDGE('',*,*,#185443,.T.); #238164=ORIENTED_EDGE('',*,*,#185446,.T.); #238165=ORIENTED_EDGE('',*,*,#185449,.T.); #238166=ORIENTED_EDGE('',*,*,#185452,.T.); #238167=ORIENTED_EDGE('',*,*,#185455,.T.); #238168=ORIENTED_EDGE('',*,*,#185458,.T.); #238169=ORIENTED_EDGE('',*,*,#185461,.T.); #238170=ORIENTED_EDGE('',*,*,#185464,.T.); #238171=ORIENTED_EDGE('',*,*,#185467,.T.); #238172=ORIENTED_EDGE('',*,*,#185470,.T.); #238173=ORIENTED_EDGE('',*,*,#185473,.T.); #238174=ORIENTED_EDGE('',*,*,#185476,.T.); #238175=ORIENTED_EDGE('',*,*,#185479,.T.); #238176=ORIENTED_EDGE('',*,*,#185482,.T.); #238177=ORIENTED_EDGE('',*,*,#185485,.T.); #238178=ORIENTED_EDGE('',*,*,#185488,.T.); #238179=ORIENTED_EDGE('',*,*,#185491,.T.); #238180=ORIENTED_EDGE('',*,*,#185494,.T.); #238181=ORIENTED_EDGE('',*,*,#185497,.T.); #238182=ORIENTED_EDGE('',*,*,#185500,.T.); #238183=ORIENTED_EDGE('',*,*,#185503,.T.); #238184=ORIENTED_EDGE('',*,*,#185506,.T.); #238185=ORIENTED_EDGE('',*,*,#185509,.T.); #238186=ORIENTED_EDGE('',*,*,#185512,.T.); #238187=ORIENTED_EDGE('',*,*,#185515,.T.); #238188=ORIENTED_EDGE('',*,*,#185518,.T.); #238189=ORIENTED_EDGE('',*,*,#185521,.T.); #238190=ORIENTED_EDGE('',*,*,#185524,.T.); #238191=ORIENTED_EDGE('',*,*,#185527,.T.); #238192=ORIENTED_EDGE('',*,*,#185530,.T.); #238193=ORIENTED_EDGE('',*,*,#185533,.T.); #238194=ORIENTED_EDGE('',*,*,#185536,.T.); #238195=ORIENTED_EDGE('',*,*,#185539,.T.); #238196=ORIENTED_EDGE('',*,*,#185542,.T.); #238197=ORIENTED_EDGE('',*,*,#185545,.T.); #238198=ORIENTED_EDGE('',*,*,#185548,.T.); #238199=ORIENTED_EDGE('',*,*,#185551,.T.); #238200=ORIENTED_EDGE('',*,*,#185554,.T.); #238201=ORIENTED_EDGE('',*,*,#185557,.T.); #238202=ORIENTED_EDGE('',*,*,#185560,.T.); #238203=ORIENTED_EDGE('',*,*,#185563,.T.); #238204=ORIENTED_EDGE('',*,*,#185566,.T.); #238205=ORIENTED_EDGE('',*,*,#185569,.T.); #238206=ORIENTED_EDGE('',*,*,#185572,.T.); #238207=ORIENTED_EDGE('',*,*,#185575,.T.); #238208=ORIENTED_EDGE('',*,*,#185578,.T.); #238209=ORIENTED_EDGE('',*,*,#185581,.T.); #238210=ORIENTED_EDGE('',*,*,#185584,.T.); #238211=ORIENTED_EDGE('',*,*,#185587,.T.); #238212=ORIENTED_EDGE('',*,*,#185590,.T.); #238213=ORIENTED_EDGE('',*,*,#185593,.T.); #238214=ORIENTED_EDGE('',*,*,#185596,.T.); #238215=ORIENTED_EDGE('',*,*,#185599,.T.); #238216=ORIENTED_EDGE('',*,*,#185602,.T.); #238217=ORIENTED_EDGE('',*,*,#185605,.T.); #238218=ORIENTED_EDGE('',*,*,#185608,.T.); #238219=ORIENTED_EDGE('',*,*,#185611,.T.); #238220=ORIENTED_EDGE('',*,*,#185614,.T.); #238221=ORIENTED_EDGE('',*,*,#185617,.T.); #238222=ORIENTED_EDGE('',*,*,#185620,.T.); #238223=ORIENTED_EDGE('',*,*,#185623,.T.); #238224=ORIENTED_EDGE('',*,*,#185626,.T.); #238225=ORIENTED_EDGE('',*,*,#185629,.T.); #238226=ORIENTED_EDGE('',*,*,#185632,.T.); #238227=ORIENTED_EDGE('',*,*,#185635,.T.); #238228=ORIENTED_EDGE('',*,*,#185638,.T.); #238229=ORIENTED_EDGE('',*,*,#185641,.T.); #238230=ORIENTED_EDGE('',*,*,#185644,.T.); #238231=ORIENTED_EDGE('',*,*,#185647,.T.); #238232=ORIENTED_EDGE('',*,*,#185650,.T.); #238233=ORIENTED_EDGE('',*,*,#185653,.T.); #238234=ORIENTED_EDGE('',*,*,#185656,.T.); #238235=ORIENTED_EDGE('',*,*,#185659,.T.); #238236=ORIENTED_EDGE('',*,*,#185662,.T.); #238237=ORIENTED_EDGE('',*,*,#185665,.T.); #238238=ORIENTED_EDGE('',*,*,#185668,.T.); #238239=ORIENTED_EDGE('',*,*,#185671,.T.); #238240=ORIENTED_EDGE('',*,*,#185674,.T.); #238241=ORIENTED_EDGE('',*,*,#185677,.T.); #238242=ORIENTED_EDGE('',*,*,#185680,.T.); #238243=ORIENTED_EDGE('',*,*,#185691,.T.); #238244=ORIENTED_EDGE('',*,*,#185688,.T.); #238245=ORIENTED_EDGE('',*,*,#185685,.T.); #238246=ORIENTED_EDGE('',*,*,#185694,.T.); #238247=ORIENTED_EDGE('',*,*,#185695,.T.); #238248=ORIENTED_EDGE('',*,*,#185706,.T.); #238249=ORIENTED_EDGE('',*,*,#185703,.T.); #238250=ORIENTED_EDGE('',*,*,#185700,.T.); #238251=ORIENTED_EDGE('',*,*,#185709,.T.); #238252=ORIENTED_EDGE('',*,*,#185710,.T.); #238253=ORIENTED_EDGE('',*,*,#185713,.T.); #238254=ORIENTED_EDGE('',*,*,#185716,.T.); #238255=ORIENTED_EDGE('',*,*,#185727,.T.); #238256=ORIENTED_EDGE('',*,*,#185724,.T.); #238257=ORIENTED_EDGE('',*,*,#185721,.T.); #238258=ORIENTED_EDGE('',*,*,#185730,.T.); #238259=ORIENTED_EDGE('',*,*,#185731,.T.); #238260=ORIENTED_EDGE('',*,*,#185734,.T.); #238261=ORIENTED_EDGE('',*,*,#185737,.T.); #238262=ORIENTED_EDGE('',*,*,#185740,.T.); #238263=ORIENTED_EDGE('',*,*,#185743,.T.); #238264=ORIENTED_EDGE('',*,*,#185746,.T.); #238265=ORIENTED_EDGE('',*,*,#185749,.T.); #238266=ORIENTED_EDGE('',*,*,#185752,.T.); #238267=ORIENTED_EDGE('',*,*,#185755,.T.); #238268=ORIENTED_EDGE('',*,*,#185758,.T.); #238269=ORIENTED_EDGE('',*,*,#185769,.T.); #238270=ORIENTED_EDGE('',*,*,#185766,.T.); #238271=ORIENTED_EDGE('',*,*,#185763,.T.); #238272=ORIENTED_EDGE('',*,*,#185772,.T.); #238273=ORIENTED_EDGE('',*,*,#185773,.T.); #238274=ORIENTED_EDGE('',*,*,#185784,.T.); #238275=ORIENTED_EDGE('',*,*,#185781,.T.); #238276=ORIENTED_EDGE('',*,*,#185778,.T.); #238277=ORIENTED_EDGE('',*,*,#185787,.T.); #238278=ORIENTED_EDGE('',*,*,#185788,.T.); #238279=ORIENTED_EDGE('',*,*,#185799,.T.); #238280=ORIENTED_EDGE('',*,*,#185796,.T.); #238281=ORIENTED_EDGE('',*,*,#185793,.T.); #238282=ORIENTED_EDGE('',*,*,#185802,.T.); #238283=ORIENTED_EDGE('',*,*,#185803,.T.); #238284=ORIENTED_EDGE('',*,*,#185806,.T.); #238285=ORIENTED_EDGE('',*,*,#185809,.T.); #238286=ORIENTED_EDGE('',*,*,#185812,.T.); #238287=ORIENTED_EDGE('',*,*,#185815,.T.); #238288=ORIENTED_EDGE('',*,*,#185818,.T.); #238289=ORIENTED_EDGE('',*,*,#185821,.T.); #238290=ORIENTED_EDGE('',*,*,#185824,.T.); #238291=ORIENTED_EDGE('',*,*,#185827,.T.); #238292=ORIENTED_EDGE('',*,*,#185830,.T.); #238293=ORIENTED_EDGE('',*,*,#185833,.T.); #238294=ORIENTED_EDGE('',*,*,#185836,.T.); #238295=ORIENTED_EDGE('',*,*,#185839,.T.); #238296=ORIENTED_EDGE('',*,*,#185842,.T.); #238297=ORIENTED_EDGE('',*,*,#185845,.T.); #238298=ORIENTED_EDGE('',*,*,#185848,.T.); #238299=ORIENTED_EDGE('',*,*,#185851,.T.); #238300=ORIENTED_EDGE('',*,*,#185854,.T.); #238301=ORIENTED_EDGE('',*,*,#185857,.T.); #238302=ORIENTED_EDGE('',*,*,#185860,.T.); #238303=ORIENTED_EDGE('',*,*,#185863,.T.); #238304=ORIENTED_EDGE('',*,*,#185866,.T.); #238305=ORIENTED_EDGE('',*,*,#185869,.T.); #238306=ORIENTED_EDGE('',*,*,#185872,.T.); #238307=ORIENTED_EDGE('',*,*,#185875,.T.); #238308=ORIENTED_EDGE('',*,*,#185878,.T.); #238309=ORIENTED_EDGE('',*,*,#185881,.T.); #238310=ORIENTED_EDGE('',*,*,#185884,.T.); #238311=ORIENTED_EDGE('',*,*,#185887,.T.); #238312=ORIENTED_EDGE('',*,*,#185890,.T.); #238313=ORIENTED_EDGE('',*,*,#185893,.T.); #238314=ORIENTED_EDGE('',*,*,#185896,.T.); #238315=ORIENTED_EDGE('',*,*,#185899,.T.); #238316=ORIENTED_EDGE('',*,*,#185902,.T.); #238317=ORIENTED_EDGE('',*,*,#185905,.T.); #238318=ORIENTED_EDGE('',*,*,#185908,.T.); #238319=ORIENTED_EDGE('',*,*,#185911,.T.); #238320=ORIENTED_EDGE('',*,*,#185914,.T.); #238321=ORIENTED_EDGE('',*,*,#185917,.T.); #238322=ORIENTED_EDGE('',*,*,#185920,.T.); #238323=ORIENTED_EDGE('',*,*,#185923,.T.); #238324=ORIENTED_EDGE('',*,*,#185926,.T.); #238325=ORIENTED_EDGE('',*,*,#185929,.T.); #238326=ORIENTED_EDGE('',*,*,#185932,.T.); #238327=ORIENTED_EDGE('',*,*,#185935,.T.); #238328=ORIENTED_EDGE('',*,*,#185938,.T.); #238329=ORIENTED_EDGE('',*,*,#185941,.T.); #238330=ORIENTED_EDGE('',*,*,#185944,.T.); #238331=ORIENTED_EDGE('',*,*,#185947,.T.); #238332=ORIENTED_EDGE('',*,*,#185950,.T.); #238333=ORIENTED_EDGE('',*,*,#185953,.T.); #238334=ORIENTED_EDGE('',*,*,#185956,.T.); #238335=ORIENTED_EDGE('',*,*,#185959,.T.); #238336=ORIENTED_EDGE('',*,*,#185962,.T.); #238337=ORIENTED_EDGE('',*,*,#185965,.T.); #238338=ORIENTED_EDGE('',*,*,#185968,.T.); #238339=ORIENTED_EDGE('',*,*,#185971,.T.); #238340=ORIENTED_EDGE('',*,*,#185974,.T.); #238341=ORIENTED_EDGE('',*,*,#185977,.T.); #238342=ORIENTED_EDGE('',*,*,#185980,.T.); #238343=ORIENTED_EDGE('',*,*,#185983,.T.); #238344=ORIENTED_EDGE('',*,*,#185986,.T.); #238345=ORIENTED_EDGE('',*,*,#185989,.T.); #238346=ORIENTED_EDGE('',*,*,#185992,.T.); #238347=ORIENTED_EDGE('',*,*,#185995,.T.); #238348=ORIENTED_EDGE('',*,*,#185998,.T.); #238349=ORIENTED_EDGE('',*,*,#186001,.T.); #238350=ORIENTED_EDGE('',*,*,#186004,.T.); #238351=ORIENTED_EDGE('',*,*,#186007,.T.); #238352=ORIENTED_EDGE('',*,*,#186010,.T.); #238353=ORIENTED_EDGE('',*,*,#186013,.T.); #238354=ORIENTED_EDGE('',*,*,#186016,.T.); #238355=ORIENTED_EDGE('',*,*,#186019,.T.); #238356=ORIENTED_EDGE('',*,*,#186022,.T.); #238357=ORIENTED_EDGE('',*,*,#186025,.T.); #238358=ORIENTED_EDGE('',*,*,#186028,.T.); #238359=ORIENTED_EDGE('',*,*,#186031,.T.); #238360=ORIENTED_EDGE('',*,*,#186034,.T.); #238361=ORIENTED_EDGE('',*,*,#186037,.T.); #238362=ORIENTED_EDGE('',*,*,#186040,.T.); #238363=ORIENTED_EDGE('',*,*,#186043,.T.); #238364=ORIENTED_EDGE('',*,*,#186046,.T.); #238365=ORIENTED_EDGE('',*,*,#186049,.T.); #238366=ORIENTED_EDGE('',*,*,#186052,.T.); #238367=ORIENTED_EDGE('',*,*,#186055,.T.); #238368=ORIENTED_EDGE('',*,*,#186058,.T.); #238369=ORIENTED_EDGE('',*,*,#186061,.T.); #238370=ORIENTED_EDGE('',*,*,#186064,.T.); #238371=ORIENTED_EDGE('',*,*,#186067,.T.); #238372=ORIENTED_EDGE('',*,*,#186070,.T.); #238373=ORIENTED_EDGE('',*,*,#186073,.T.); #238374=ORIENTED_EDGE('',*,*,#186076,.T.); #238375=ORIENTED_EDGE('',*,*,#186079,.T.); #238376=ORIENTED_EDGE('',*,*,#186082,.T.); #238377=ORIENTED_EDGE('',*,*,#186085,.T.); #238378=ORIENTED_EDGE('',*,*,#186088,.T.); #238379=ORIENTED_EDGE('',*,*,#186091,.T.); #238380=ORIENTED_EDGE('',*,*,#186094,.T.); #238381=ORIENTED_EDGE('',*,*,#186097,.T.); #238382=ORIENTED_EDGE('',*,*,#186100,.T.); #238383=ORIENTED_EDGE('',*,*,#186103,.T.); #238384=ORIENTED_EDGE('',*,*,#186106,.T.); #238385=ORIENTED_EDGE('',*,*,#186109,.T.); #238386=ORIENTED_EDGE('',*,*,#186112,.T.); #238387=ORIENTED_EDGE('',*,*,#186115,.T.); #238388=ORIENTED_EDGE('',*,*,#186118,.T.); #238389=ORIENTED_EDGE('',*,*,#186121,.T.); #238390=ORIENTED_EDGE('',*,*,#186124,.T.); #238391=ORIENTED_EDGE('',*,*,#186127,.T.); #238392=ORIENTED_EDGE('',*,*,#186130,.T.); #238393=ORIENTED_EDGE('',*,*,#186133,.T.); #238394=ORIENTED_EDGE('',*,*,#186136,.T.); #238395=ORIENTED_EDGE('',*,*,#186139,.T.); #238396=ORIENTED_EDGE('',*,*,#186142,.T.); #238397=ORIENTED_EDGE('',*,*,#186145,.T.); #238398=ORIENTED_EDGE('',*,*,#186148,.T.); #238399=ORIENTED_EDGE('',*,*,#186151,.T.); #238400=ORIENTED_EDGE('',*,*,#186154,.T.); #238401=ORIENTED_EDGE('',*,*,#186157,.T.); #238402=ORIENTED_EDGE('',*,*,#186160,.T.); #238403=ORIENTED_EDGE('',*,*,#186163,.T.); #238404=ORIENTED_EDGE('',*,*,#186166,.T.); #238405=ORIENTED_EDGE('',*,*,#186169,.T.); #238406=ORIENTED_EDGE('',*,*,#186172,.T.); #238407=ORIENTED_EDGE('',*,*,#186175,.T.); #238408=ORIENTED_EDGE('',*,*,#186178,.T.); #238409=ORIENTED_EDGE('',*,*,#186181,.T.); #238410=ORIENTED_EDGE('',*,*,#186184,.T.); #238411=ORIENTED_EDGE('',*,*,#186187,.T.); #238412=ORIENTED_EDGE('',*,*,#186190,.T.); #238413=ORIENTED_EDGE('',*,*,#186193,.T.); #238414=ORIENTED_EDGE('',*,*,#186196,.T.); #238415=ORIENTED_EDGE('',*,*,#186202,.F.); #238416=ORIENTED_EDGE('',*,*,#186203,.T.); #238417=ORIENTED_EDGE('',*,*,#186204,.F.); #238418=ORIENTED_EDGE('',*,*,#186203,.F.); #238419=ORIENTED_EDGE('',*,*,#186205,.F.); #238420=ORIENTED_EDGE('',*,*,#186206,.T.); #238421=ORIENTED_EDGE('',*,*,#186207,.T.); #238422=ORIENTED_EDGE('',*,*,#186206,.F.); #238423=ORIENTED_EDGE('',*,*,#186205,.T.); #238424=ORIENTED_EDGE('',*,*,#186202,.T.); #238425=ORIENTED_EDGE('',*,*,#186207,.F.); #238426=ORIENTED_EDGE('',*,*,#186204,.T.); #238427=ORIENTED_EDGE('',*,*,#186208,.F.); #238428=ORIENTED_EDGE('',*,*,#186209,.T.); #238429=ORIENTED_EDGE('',*,*,#186210,.F.); #238430=ORIENTED_EDGE('',*,*,#186209,.F.); #238431=ORIENTED_EDGE('',*,*,#186211,.F.); #238432=ORIENTED_EDGE('',*,*,#186212,.T.); #238433=ORIENTED_EDGE('',*,*,#186213,.T.); #238434=ORIENTED_EDGE('',*,*,#186212,.F.); #238435=ORIENTED_EDGE('',*,*,#186211,.T.); #238436=ORIENTED_EDGE('',*,*,#186208,.T.); #238437=ORIENTED_EDGE('',*,*,#186213,.F.); #238438=ORIENTED_EDGE('',*,*,#186210,.T.); #238439=ORIENTED_EDGE('',*,*,#186214,.F.); #238440=ORIENTED_EDGE('',*,*,#186215,.T.); #238441=ORIENTED_EDGE('',*,*,#186216,.F.); #238442=ORIENTED_EDGE('',*,*,#186215,.F.); #238443=ORIENTED_EDGE('',*,*,#186217,.F.); #238444=ORIENTED_EDGE('',*,*,#186218,.T.); #238445=ORIENTED_EDGE('',*,*,#186219,.T.); #238446=ORIENTED_EDGE('',*,*,#186218,.F.); #238447=ORIENTED_EDGE('',*,*,#186217,.T.); #238448=ORIENTED_EDGE('',*,*,#186214,.T.); #238449=ORIENTED_EDGE('',*,*,#186219,.F.); #238450=ORIENTED_EDGE('',*,*,#186216,.T.); #238451=ORIENTED_EDGE('',*,*,#186220,.F.); #238452=ORIENTED_EDGE('',*,*,#186221,.T.); #238453=ORIENTED_EDGE('',*,*,#186222,.F.); #238454=ORIENTED_EDGE('',*,*,#186221,.F.); #238455=ORIENTED_EDGE('',*,*,#186223,.F.); #238456=ORIENTED_EDGE('',*,*,#186224,.T.); #238457=ORIENTED_EDGE('',*,*,#186225,.T.); #238458=ORIENTED_EDGE('',*,*,#186224,.F.); #238459=ORIENTED_EDGE('',*,*,#186223,.T.); #238460=ORIENTED_EDGE('',*,*,#186220,.T.); #238461=ORIENTED_EDGE('',*,*,#186225,.F.); #238462=ORIENTED_EDGE('',*,*,#186222,.T.); #238463=ORIENTED_EDGE('',*,*,#186226,.F.); #238464=ORIENTED_EDGE('',*,*,#186227,.T.); #238465=ORIENTED_EDGE('',*,*,#186228,.F.); #238466=ORIENTED_EDGE('',*,*,#186227,.F.); #238467=ORIENTED_EDGE('',*,*,#186229,.F.); #238468=ORIENTED_EDGE('',*,*,#186230,.T.); #238469=ORIENTED_EDGE('',*,*,#186231,.T.); #238470=ORIENTED_EDGE('',*,*,#186230,.F.); #238471=ORIENTED_EDGE('',*,*,#186229,.T.); #238472=ORIENTED_EDGE('',*,*,#186226,.T.); #238473=ORIENTED_EDGE('',*,*,#186231,.F.); #238474=ORIENTED_EDGE('',*,*,#186228,.T.); #238475=ORIENTED_EDGE('',*,*,#186232,.F.); #238476=ORIENTED_EDGE('',*,*,#186233,.T.); #238477=ORIENTED_EDGE('',*,*,#186234,.F.); #238478=ORIENTED_EDGE('',*,*,#186233,.F.); #238479=ORIENTED_EDGE('',*,*,#186235,.F.); #238480=ORIENTED_EDGE('',*,*,#186236,.T.); #238481=ORIENTED_EDGE('',*,*,#186237,.T.); #238482=ORIENTED_EDGE('',*,*,#186236,.F.); #238483=ORIENTED_EDGE('',*,*,#186235,.T.); #238484=ORIENTED_EDGE('',*,*,#186232,.T.); #238485=ORIENTED_EDGE('',*,*,#186237,.F.); #238486=ORIENTED_EDGE('',*,*,#186234,.T.); #238487=ORIENTED_EDGE('',*,*,#186238,.F.); #238488=ORIENTED_EDGE('',*,*,#186239,.T.); #238489=ORIENTED_EDGE('',*,*,#186240,.F.); #238490=ORIENTED_EDGE('',*,*,#186239,.F.); #238491=ORIENTED_EDGE('',*,*,#186241,.F.); #238492=ORIENTED_EDGE('',*,*,#186242,.T.); #238493=ORIENTED_EDGE('',*,*,#186243,.T.); #238494=ORIENTED_EDGE('',*,*,#186242,.F.); #238495=ORIENTED_EDGE('',*,*,#186241,.T.); #238496=ORIENTED_EDGE('',*,*,#186238,.T.); #238497=ORIENTED_EDGE('',*,*,#186243,.F.); #238498=ORIENTED_EDGE('',*,*,#186240,.T.); #238499=ORIENTED_EDGE('',*,*,#186244,.F.); #238500=ORIENTED_EDGE('',*,*,#186245,.T.); #238501=ORIENTED_EDGE('',*,*,#186246,.F.); #238502=ORIENTED_EDGE('',*,*,#186245,.F.); #238503=ORIENTED_EDGE('',*,*,#186247,.F.); #238504=ORIENTED_EDGE('',*,*,#186248,.T.); #238505=ORIENTED_EDGE('',*,*,#186249,.T.); #238506=ORIENTED_EDGE('',*,*,#186248,.F.); #238507=ORIENTED_EDGE('',*,*,#186247,.T.); #238508=ORIENTED_EDGE('',*,*,#186244,.T.); #238509=ORIENTED_EDGE('',*,*,#186249,.F.); #238510=ORIENTED_EDGE('',*,*,#186246,.T.); #238511=ORIENTED_EDGE('',*,*,#186250,.F.); #238512=ORIENTED_EDGE('',*,*,#186251,.T.); #238513=ORIENTED_EDGE('',*,*,#186252,.F.); #238514=ORIENTED_EDGE('',*,*,#186251,.F.); #238515=ORIENTED_EDGE('',*,*,#186253,.F.); #238516=ORIENTED_EDGE('',*,*,#186254,.T.); #238517=ORIENTED_EDGE('',*,*,#186255,.T.); #238518=ORIENTED_EDGE('',*,*,#186254,.F.); #238519=ORIENTED_EDGE('',*,*,#186253,.T.); #238520=ORIENTED_EDGE('',*,*,#186250,.T.); #238521=ORIENTED_EDGE('',*,*,#186255,.F.); #238522=ORIENTED_EDGE('',*,*,#186252,.T.); #238523=ORIENTED_EDGE('',*,*,#186256,.F.); #238524=ORIENTED_EDGE('',*,*,#186257,.T.); #238525=ORIENTED_EDGE('',*,*,#186258,.F.); #238526=ORIENTED_EDGE('',*,*,#186257,.F.); #238527=ORIENTED_EDGE('',*,*,#186259,.F.); #238528=ORIENTED_EDGE('',*,*,#186260,.T.); #238529=ORIENTED_EDGE('',*,*,#186261,.T.); #238530=ORIENTED_EDGE('',*,*,#186260,.F.); #238531=ORIENTED_EDGE('',*,*,#186259,.T.); #238532=ORIENTED_EDGE('',*,*,#186256,.T.); #238533=ORIENTED_EDGE('',*,*,#186261,.F.); #238534=ORIENTED_EDGE('',*,*,#186258,.T.); #238535=ORIENTED_EDGE('',*,*,#186262,.F.); #238536=ORIENTED_EDGE('',*,*,#186263,.T.); #238537=ORIENTED_EDGE('',*,*,#186264,.F.); #238538=ORIENTED_EDGE('',*,*,#186263,.F.); #238539=ORIENTED_EDGE('',*,*,#186265,.F.); #238540=ORIENTED_EDGE('',*,*,#186266,.T.); #238541=ORIENTED_EDGE('',*,*,#186267,.T.); #238542=ORIENTED_EDGE('',*,*,#186266,.F.); #238543=ORIENTED_EDGE('',*,*,#186265,.T.); #238544=ORIENTED_EDGE('',*,*,#186262,.T.); #238545=ORIENTED_EDGE('',*,*,#186267,.F.); #238546=ORIENTED_EDGE('',*,*,#186264,.T.); #238547=ORIENTED_EDGE('',*,*,#186268,.F.); #238548=ORIENTED_EDGE('',*,*,#186269,.T.); #238549=ORIENTED_EDGE('',*,*,#186270,.F.); #238550=ORIENTED_EDGE('',*,*,#186269,.F.); #238551=ORIENTED_EDGE('',*,*,#186271,.F.); #238552=ORIENTED_EDGE('',*,*,#186272,.T.); #238553=ORIENTED_EDGE('',*,*,#186273,.T.); #238554=ORIENTED_EDGE('',*,*,#186272,.F.); #238555=ORIENTED_EDGE('',*,*,#186271,.T.); #238556=ORIENTED_EDGE('',*,*,#186268,.T.); #238557=ORIENTED_EDGE('',*,*,#186273,.F.); #238558=ORIENTED_EDGE('',*,*,#186270,.T.); #238559=ORIENTED_EDGE('',*,*,#186274,.F.); #238560=ORIENTED_EDGE('',*,*,#186275,.T.); #238561=ORIENTED_EDGE('',*,*,#186276,.F.); #238562=ORIENTED_EDGE('',*,*,#186275,.F.); #238563=ORIENTED_EDGE('',*,*,#186277,.F.); #238564=ORIENTED_EDGE('',*,*,#186278,.T.); #238565=ORIENTED_EDGE('',*,*,#186279,.T.); #238566=ORIENTED_EDGE('',*,*,#186278,.F.); #238567=ORIENTED_EDGE('',*,*,#186277,.T.); #238568=ORIENTED_EDGE('',*,*,#186274,.T.); #238569=ORIENTED_EDGE('',*,*,#186279,.F.); #238570=ORIENTED_EDGE('',*,*,#186276,.T.); #238571=ORIENTED_EDGE('',*,*,#186280,.F.); #238572=ORIENTED_EDGE('',*,*,#186281,.T.); #238573=ORIENTED_EDGE('',*,*,#186282,.F.); #238574=ORIENTED_EDGE('',*,*,#186281,.F.); #238575=ORIENTED_EDGE('',*,*,#186283,.F.); #238576=ORIENTED_EDGE('',*,*,#186284,.T.); #238577=ORIENTED_EDGE('',*,*,#186285,.T.); #238578=ORIENTED_EDGE('',*,*,#186284,.F.); #238579=ORIENTED_EDGE('',*,*,#186283,.T.); #238580=ORIENTED_EDGE('',*,*,#186280,.T.); #238581=ORIENTED_EDGE('',*,*,#186285,.F.); #238582=ORIENTED_EDGE('',*,*,#186282,.T.); #238583=ORIENTED_EDGE('',*,*,#186286,.F.); #238584=ORIENTED_EDGE('',*,*,#186287,.T.); #238585=ORIENTED_EDGE('',*,*,#186288,.F.); #238586=ORIENTED_EDGE('',*,*,#186287,.F.); #238587=ORIENTED_EDGE('',*,*,#186289,.F.); #238588=ORIENTED_EDGE('',*,*,#186290,.T.); #238589=ORIENTED_EDGE('',*,*,#186291,.T.); #238590=ORIENTED_EDGE('',*,*,#186290,.F.); #238591=ORIENTED_EDGE('',*,*,#186289,.T.); #238592=ORIENTED_EDGE('',*,*,#186286,.T.); #238593=ORIENTED_EDGE('',*,*,#186291,.F.); #238594=ORIENTED_EDGE('',*,*,#186288,.T.); #238595=ORIENTED_EDGE('',*,*,#186292,.F.); #238596=ORIENTED_EDGE('',*,*,#186293,.T.); #238597=ORIENTED_EDGE('',*,*,#186294,.F.); #238598=ORIENTED_EDGE('',*,*,#186293,.F.); #238599=ORIENTED_EDGE('',*,*,#186295,.F.); #238600=ORIENTED_EDGE('',*,*,#186296,.T.); #238601=ORIENTED_EDGE('',*,*,#186297,.T.); #238602=ORIENTED_EDGE('',*,*,#186296,.F.); #238603=ORIENTED_EDGE('',*,*,#186295,.T.); #238604=ORIENTED_EDGE('',*,*,#186292,.T.); #238605=ORIENTED_EDGE('',*,*,#186297,.F.); #238606=ORIENTED_EDGE('',*,*,#186294,.T.); #238607=ORIENTED_EDGE('',*,*,#186298,.F.); #238608=ORIENTED_EDGE('',*,*,#186299,.T.); #238609=ORIENTED_EDGE('',*,*,#186300,.F.); #238610=ORIENTED_EDGE('',*,*,#186299,.F.); #238611=ORIENTED_EDGE('',*,*,#186301,.F.); #238612=ORIENTED_EDGE('',*,*,#186302,.T.); #238613=ORIENTED_EDGE('',*,*,#186303,.T.); #238614=ORIENTED_EDGE('',*,*,#186302,.F.); #238615=ORIENTED_EDGE('',*,*,#186301,.T.); #238616=ORIENTED_EDGE('',*,*,#186298,.T.); #238617=ORIENTED_EDGE('',*,*,#186303,.F.); #238618=ORIENTED_EDGE('',*,*,#186300,.T.); #238619=ORIENTED_EDGE('',*,*,#186304,.F.); #238620=ORIENTED_EDGE('',*,*,#186305,.T.); #238621=ORIENTED_EDGE('',*,*,#186306,.F.); #238622=ORIENTED_EDGE('',*,*,#186305,.F.); #238623=ORIENTED_EDGE('',*,*,#186307,.F.); #238624=ORIENTED_EDGE('',*,*,#186308,.T.); #238625=ORIENTED_EDGE('',*,*,#186309,.T.); #238626=ORIENTED_EDGE('',*,*,#186308,.F.); #238627=ORIENTED_EDGE('',*,*,#186307,.T.); #238628=ORIENTED_EDGE('',*,*,#186304,.T.); #238629=ORIENTED_EDGE('',*,*,#186309,.F.); #238630=ORIENTED_EDGE('',*,*,#186306,.T.); #238631=ORIENTED_EDGE('',*,*,#186310,.F.); #238632=ORIENTED_EDGE('',*,*,#186311,.T.); #238633=ORIENTED_EDGE('',*,*,#186312,.F.); #238634=ORIENTED_EDGE('',*,*,#186311,.F.); #238635=ORIENTED_EDGE('',*,*,#186313,.F.); #238636=ORIENTED_EDGE('',*,*,#186314,.T.); #238637=ORIENTED_EDGE('',*,*,#186315,.T.); #238638=ORIENTED_EDGE('',*,*,#186314,.F.); #238639=ORIENTED_EDGE('',*,*,#186313,.T.); #238640=ORIENTED_EDGE('',*,*,#186310,.T.); #238641=ORIENTED_EDGE('',*,*,#186315,.F.); #238642=ORIENTED_EDGE('',*,*,#186312,.T.); #238643=ORIENTED_EDGE('',*,*,#186316,.F.); #238644=ORIENTED_EDGE('',*,*,#186317,.T.); #238645=ORIENTED_EDGE('',*,*,#186318,.F.); #238646=ORIENTED_EDGE('',*,*,#186317,.F.); #238647=ORIENTED_EDGE('',*,*,#186319,.F.); #238648=ORIENTED_EDGE('',*,*,#186320,.T.); #238649=ORIENTED_EDGE('',*,*,#186321,.T.); #238650=ORIENTED_EDGE('',*,*,#186320,.F.); #238651=ORIENTED_EDGE('',*,*,#186319,.T.); #238652=ORIENTED_EDGE('',*,*,#186316,.T.); #238653=ORIENTED_EDGE('',*,*,#186321,.F.); #238654=ORIENTED_EDGE('',*,*,#186318,.T.); #238655=ORIENTED_EDGE('',*,*,#186322,.F.); #238656=ORIENTED_EDGE('',*,*,#186323,.T.); #238657=ORIENTED_EDGE('',*,*,#186324,.F.); #238658=ORIENTED_EDGE('',*,*,#186323,.F.); #238659=ORIENTED_EDGE('',*,*,#186325,.F.); #238660=ORIENTED_EDGE('',*,*,#186326,.T.); #238661=ORIENTED_EDGE('',*,*,#186327,.T.); #238662=ORIENTED_EDGE('',*,*,#186326,.F.); #238663=ORIENTED_EDGE('',*,*,#186325,.T.); #238664=ORIENTED_EDGE('',*,*,#186322,.T.); #238665=ORIENTED_EDGE('',*,*,#186327,.F.); #238666=ORIENTED_EDGE('',*,*,#186324,.T.); #238667=ORIENTED_EDGE('',*,*,#186328,.F.); #238668=ORIENTED_EDGE('',*,*,#186329,.T.); #238669=ORIENTED_EDGE('',*,*,#186330,.F.); #238670=ORIENTED_EDGE('',*,*,#186329,.F.); #238671=ORIENTED_EDGE('',*,*,#186331,.F.); #238672=ORIENTED_EDGE('',*,*,#186332,.T.); #238673=ORIENTED_EDGE('',*,*,#186333,.T.); #238674=ORIENTED_EDGE('',*,*,#186332,.F.); #238675=ORIENTED_EDGE('',*,*,#186331,.T.); #238676=ORIENTED_EDGE('',*,*,#186328,.T.); #238677=ORIENTED_EDGE('',*,*,#186333,.F.); #238678=ORIENTED_EDGE('',*,*,#186330,.T.); #238679=ORIENTED_EDGE('',*,*,#186334,.F.); #238680=ORIENTED_EDGE('',*,*,#186335,.T.); #238681=ORIENTED_EDGE('',*,*,#186336,.F.); #238682=ORIENTED_EDGE('',*,*,#186335,.F.); #238683=ORIENTED_EDGE('',*,*,#186337,.F.); #238684=ORIENTED_EDGE('',*,*,#186338,.T.); #238685=ORIENTED_EDGE('',*,*,#186339,.T.); #238686=ORIENTED_EDGE('',*,*,#186338,.F.); #238687=ORIENTED_EDGE('',*,*,#186337,.T.); #238688=ORIENTED_EDGE('',*,*,#186334,.T.); #238689=ORIENTED_EDGE('',*,*,#186339,.F.); #238690=ORIENTED_EDGE('',*,*,#186336,.T.); #238691=ORIENTED_EDGE('',*,*,#186340,.F.); #238692=ORIENTED_EDGE('',*,*,#186341,.T.); #238693=ORIENTED_EDGE('',*,*,#186342,.F.); #238694=ORIENTED_EDGE('',*,*,#186341,.F.); #238695=ORIENTED_EDGE('',*,*,#186343,.F.); #238696=ORIENTED_EDGE('',*,*,#186344,.T.); #238697=ORIENTED_EDGE('',*,*,#186345,.T.); #238698=ORIENTED_EDGE('',*,*,#186344,.F.); #238699=ORIENTED_EDGE('',*,*,#186343,.T.); #238700=ORIENTED_EDGE('',*,*,#186340,.T.); #238701=ORIENTED_EDGE('',*,*,#186345,.F.); #238702=ORIENTED_EDGE('',*,*,#186342,.T.); #238703=ORIENTED_EDGE('',*,*,#186346,.F.); #238704=ORIENTED_EDGE('',*,*,#186347,.T.); #238705=ORIENTED_EDGE('',*,*,#186348,.F.); #238706=ORIENTED_EDGE('',*,*,#186347,.F.); #238707=ORIENTED_EDGE('',*,*,#186349,.F.); #238708=ORIENTED_EDGE('',*,*,#186350,.T.); #238709=ORIENTED_EDGE('',*,*,#186351,.T.); #238710=ORIENTED_EDGE('',*,*,#186350,.F.); #238711=ORIENTED_EDGE('',*,*,#186349,.T.); #238712=ORIENTED_EDGE('',*,*,#186346,.T.); #238713=ORIENTED_EDGE('',*,*,#186351,.F.); #238714=ORIENTED_EDGE('',*,*,#186348,.T.); #238715=ORIENTED_EDGE('',*,*,#186352,.F.); #238716=ORIENTED_EDGE('',*,*,#186353,.T.); #238717=ORIENTED_EDGE('',*,*,#186354,.F.); #238718=ORIENTED_EDGE('',*,*,#186353,.F.); #238719=ORIENTED_EDGE('',*,*,#186355,.F.); #238720=ORIENTED_EDGE('',*,*,#186356,.T.); #238721=ORIENTED_EDGE('',*,*,#186357,.T.); #238722=ORIENTED_EDGE('',*,*,#186356,.F.); #238723=ORIENTED_EDGE('',*,*,#186355,.T.); #238724=ORIENTED_EDGE('',*,*,#186352,.T.); #238725=ORIENTED_EDGE('',*,*,#186357,.F.); #238726=ORIENTED_EDGE('',*,*,#186354,.T.); #238727=ORIENTED_EDGE('',*,*,#186358,.F.); #238728=ORIENTED_EDGE('',*,*,#186359,.T.); #238729=ORIENTED_EDGE('',*,*,#186360,.F.); #238730=ORIENTED_EDGE('',*,*,#186359,.F.); #238731=ORIENTED_EDGE('',*,*,#186361,.F.); #238732=ORIENTED_EDGE('',*,*,#186362,.T.); #238733=ORIENTED_EDGE('',*,*,#186363,.T.); #238734=ORIENTED_EDGE('',*,*,#186362,.F.); #238735=ORIENTED_EDGE('',*,*,#186361,.T.); #238736=ORIENTED_EDGE('',*,*,#186358,.T.); #238737=ORIENTED_EDGE('',*,*,#186363,.F.); #238738=ORIENTED_EDGE('',*,*,#186360,.T.); #238739=ORIENTED_EDGE('',*,*,#186364,.F.); #238740=ORIENTED_EDGE('',*,*,#186365,.T.); #238741=ORIENTED_EDGE('',*,*,#186366,.F.); #238742=ORIENTED_EDGE('',*,*,#186365,.F.); #238743=ORIENTED_EDGE('',*,*,#186367,.F.); #238744=ORIENTED_EDGE('',*,*,#186368,.T.); #238745=ORIENTED_EDGE('',*,*,#186369,.T.); #238746=ORIENTED_EDGE('',*,*,#186368,.F.); #238747=ORIENTED_EDGE('',*,*,#186367,.T.); #238748=ORIENTED_EDGE('',*,*,#186364,.T.); #238749=ORIENTED_EDGE('',*,*,#186369,.F.); #238750=ORIENTED_EDGE('',*,*,#186366,.T.); #238751=ORIENTED_EDGE('',*,*,#186370,.F.); #238752=ORIENTED_EDGE('',*,*,#186371,.T.); #238753=ORIENTED_EDGE('',*,*,#186372,.F.); #238754=ORIENTED_EDGE('',*,*,#186371,.F.); #238755=ORIENTED_EDGE('',*,*,#186373,.F.); #238756=ORIENTED_EDGE('',*,*,#186374,.T.); #238757=ORIENTED_EDGE('',*,*,#186375,.T.); #238758=ORIENTED_EDGE('',*,*,#186374,.F.); #238759=ORIENTED_EDGE('',*,*,#186373,.T.); #238760=ORIENTED_EDGE('',*,*,#186370,.T.); #238761=ORIENTED_EDGE('',*,*,#186375,.F.); #238762=ORIENTED_EDGE('',*,*,#186372,.T.); #238763=ORIENTED_EDGE('',*,*,#186376,.F.); #238764=ORIENTED_EDGE('',*,*,#186377,.T.); #238765=ORIENTED_EDGE('',*,*,#186378,.F.); #238766=ORIENTED_EDGE('',*,*,#186377,.F.); #238767=ORIENTED_EDGE('',*,*,#186379,.F.); #238768=ORIENTED_EDGE('',*,*,#186380,.T.); #238769=ORIENTED_EDGE('',*,*,#186381,.T.); #238770=ORIENTED_EDGE('',*,*,#186380,.F.); #238771=ORIENTED_EDGE('',*,*,#186379,.T.); #238772=ORIENTED_EDGE('',*,*,#186376,.T.); #238773=ORIENTED_EDGE('',*,*,#186381,.F.); #238774=ORIENTED_EDGE('',*,*,#186378,.T.); #238775=ORIENTED_EDGE('',*,*,#186382,.F.); #238776=ORIENTED_EDGE('',*,*,#186383,.T.); #238777=ORIENTED_EDGE('',*,*,#186384,.F.); #238778=ORIENTED_EDGE('',*,*,#186383,.F.); #238779=ORIENTED_EDGE('',*,*,#186385,.F.); #238780=ORIENTED_EDGE('',*,*,#186386,.T.); #238781=ORIENTED_EDGE('',*,*,#186387,.T.); #238782=ORIENTED_EDGE('',*,*,#186386,.F.); #238783=ORIENTED_EDGE('',*,*,#186385,.T.); #238784=ORIENTED_EDGE('',*,*,#186382,.T.); #238785=ORIENTED_EDGE('',*,*,#186387,.F.); #238786=ORIENTED_EDGE('',*,*,#186384,.T.); #238787=ORIENTED_EDGE('',*,*,#186388,.F.); #238788=ORIENTED_EDGE('',*,*,#186389,.T.); #238789=ORIENTED_EDGE('',*,*,#186390,.F.); #238790=ORIENTED_EDGE('',*,*,#186389,.F.); #238791=ORIENTED_EDGE('',*,*,#186391,.F.); #238792=ORIENTED_EDGE('',*,*,#186392,.T.); #238793=ORIENTED_EDGE('',*,*,#186393,.T.); #238794=ORIENTED_EDGE('',*,*,#186392,.F.); #238795=ORIENTED_EDGE('',*,*,#186391,.T.); #238796=ORIENTED_EDGE('',*,*,#186388,.T.); #238797=ORIENTED_EDGE('',*,*,#186393,.F.); #238798=ORIENTED_EDGE('',*,*,#186390,.T.); #238799=ORIENTED_EDGE('',*,*,#186394,.F.); #238800=ORIENTED_EDGE('',*,*,#186395,.T.); #238801=ORIENTED_EDGE('',*,*,#186396,.F.); #238802=ORIENTED_EDGE('',*,*,#186395,.F.); #238803=ORIENTED_EDGE('',*,*,#186397,.F.); #238804=ORIENTED_EDGE('',*,*,#186398,.T.); #238805=ORIENTED_EDGE('',*,*,#186399,.T.); #238806=ORIENTED_EDGE('',*,*,#186398,.F.); #238807=ORIENTED_EDGE('',*,*,#186397,.T.); #238808=ORIENTED_EDGE('',*,*,#186394,.T.); #238809=ORIENTED_EDGE('',*,*,#186399,.F.); #238810=ORIENTED_EDGE('',*,*,#186396,.T.); #238811=ORIENTED_EDGE('',*,*,#186400,.F.); #238812=ORIENTED_EDGE('',*,*,#186401,.T.); #238813=ORIENTED_EDGE('',*,*,#186402,.F.); #238814=ORIENTED_EDGE('',*,*,#186401,.F.); #238815=ORIENTED_EDGE('',*,*,#186403,.F.); #238816=ORIENTED_EDGE('',*,*,#186404,.T.); #238817=ORIENTED_EDGE('',*,*,#186405,.T.); #238818=ORIENTED_EDGE('',*,*,#186404,.F.); #238819=ORIENTED_EDGE('',*,*,#186403,.T.); #238820=ORIENTED_EDGE('',*,*,#186400,.T.); #238821=ORIENTED_EDGE('',*,*,#186405,.F.); #238822=ORIENTED_EDGE('',*,*,#186402,.T.); #238823=ORIENTED_EDGE('',*,*,#186406,.F.); #238824=ORIENTED_EDGE('',*,*,#186407,.T.); #238825=ORIENTED_EDGE('',*,*,#186408,.F.); #238826=ORIENTED_EDGE('',*,*,#186407,.F.); #238827=ORIENTED_EDGE('',*,*,#186409,.F.); #238828=ORIENTED_EDGE('',*,*,#186410,.T.); #238829=ORIENTED_EDGE('',*,*,#186411,.T.); #238830=ORIENTED_EDGE('',*,*,#186410,.F.); #238831=ORIENTED_EDGE('',*,*,#186409,.T.); #238832=ORIENTED_EDGE('',*,*,#186406,.T.); #238833=ORIENTED_EDGE('',*,*,#186411,.F.); #238834=ORIENTED_EDGE('',*,*,#186408,.T.); #238835=ORIENTED_EDGE('',*,*,#186412,.F.); #238836=ORIENTED_EDGE('',*,*,#186413,.T.); #238837=ORIENTED_EDGE('',*,*,#186414,.F.); #238838=ORIENTED_EDGE('',*,*,#186413,.F.); #238839=ORIENTED_EDGE('',*,*,#186415,.F.); #238840=ORIENTED_EDGE('',*,*,#186416,.T.); #238841=ORIENTED_EDGE('',*,*,#186417,.T.); #238842=ORIENTED_EDGE('',*,*,#186416,.F.); #238843=ORIENTED_EDGE('',*,*,#186415,.T.); #238844=ORIENTED_EDGE('',*,*,#186412,.T.); #238845=ORIENTED_EDGE('',*,*,#186417,.F.); #238846=ORIENTED_EDGE('',*,*,#186414,.T.); #238847=ORIENTED_EDGE('',*,*,#186418,.F.); #238848=ORIENTED_EDGE('',*,*,#186419,.T.); #238849=ORIENTED_EDGE('',*,*,#186420,.F.); #238850=ORIENTED_EDGE('',*,*,#186419,.F.); #238851=ORIENTED_EDGE('',*,*,#186421,.F.); #238852=ORIENTED_EDGE('',*,*,#186422,.T.); #238853=ORIENTED_EDGE('',*,*,#186423,.T.); #238854=ORIENTED_EDGE('',*,*,#186422,.F.); #238855=ORIENTED_EDGE('',*,*,#186421,.T.); #238856=ORIENTED_EDGE('',*,*,#186418,.T.); #238857=ORIENTED_EDGE('',*,*,#186423,.F.); #238858=ORIENTED_EDGE('',*,*,#186420,.T.); #238859=ORIENTED_EDGE('',*,*,#186424,.F.); #238860=ORIENTED_EDGE('',*,*,#186425,.T.); #238861=ORIENTED_EDGE('',*,*,#186426,.F.); #238862=ORIENTED_EDGE('',*,*,#186425,.F.); #238863=ORIENTED_EDGE('',*,*,#186427,.F.); #238864=ORIENTED_EDGE('',*,*,#186428,.T.); #238865=ORIENTED_EDGE('',*,*,#186429,.T.); #238866=ORIENTED_EDGE('',*,*,#186428,.F.); #238867=ORIENTED_EDGE('',*,*,#186427,.T.); #238868=ORIENTED_EDGE('',*,*,#186424,.T.); #238869=ORIENTED_EDGE('',*,*,#186429,.F.); #238870=ORIENTED_EDGE('',*,*,#186426,.T.); #238871=ORIENTED_EDGE('',*,*,#186430,.F.); #238872=ORIENTED_EDGE('',*,*,#186431,.T.); #238873=ORIENTED_EDGE('',*,*,#186432,.F.); #238874=ORIENTED_EDGE('',*,*,#186431,.F.); #238875=ORIENTED_EDGE('',*,*,#186433,.F.); #238876=ORIENTED_EDGE('',*,*,#186434,.T.); #238877=ORIENTED_EDGE('',*,*,#186435,.T.); #238878=ORIENTED_EDGE('',*,*,#186434,.F.); #238879=ORIENTED_EDGE('',*,*,#186433,.T.); #238880=ORIENTED_EDGE('',*,*,#186430,.T.); #238881=ORIENTED_EDGE('',*,*,#186435,.F.); #238882=ORIENTED_EDGE('',*,*,#186432,.T.); #238883=ORIENTED_EDGE('',*,*,#186436,.F.); #238884=ORIENTED_EDGE('',*,*,#186437,.T.); #238885=ORIENTED_EDGE('',*,*,#186438,.F.); #238886=ORIENTED_EDGE('',*,*,#186437,.F.); #238887=ORIENTED_EDGE('',*,*,#186439,.F.); #238888=ORIENTED_EDGE('',*,*,#186440,.T.); #238889=ORIENTED_EDGE('',*,*,#186441,.T.); #238890=ORIENTED_EDGE('',*,*,#186440,.F.); #238891=ORIENTED_EDGE('',*,*,#186439,.T.); #238892=ORIENTED_EDGE('',*,*,#186436,.T.); #238893=ORIENTED_EDGE('',*,*,#186441,.F.); #238894=ORIENTED_EDGE('',*,*,#186438,.T.); #238895=ORIENTED_EDGE('',*,*,#186442,.F.); #238896=ORIENTED_EDGE('',*,*,#186443,.T.); #238897=ORIENTED_EDGE('',*,*,#186444,.F.); #238898=ORIENTED_EDGE('',*,*,#186443,.F.); #238899=ORIENTED_EDGE('',*,*,#186445,.F.); #238900=ORIENTED_EDGE('',*,*,#186446,.T.); #238901=ORIENTED_EDGE('',*,*,#186447,.T.); #238902=ORIENTED_EDGE('',*,*,#186446,.F.); #238903=ORIENTED_EDGE('',*,*,#186445,.T.); #238904=ORIENTED_EDGE('',*,*,#186442,.T.); #238905=ORIENTED_EDGE('',*,*,#186447,.F.); #238906=ORIENTED_EDGE('',*,*,#186444,.T.); #238907=ORIENTED_EDGE('',*,*,#186448,.F.); #238908=ORIENTED_EDGE('',*,*,#186449,.T.); #238909=ORIENTED_EDGE('',*,*,#186450,.F.); #238910=ORIENTED_EDGE('',*,*,#186449,.F.); #238911=ORIENTED_EDGE('',*,*,#186451,.F.); #238912=ORIENTED_EDGE('',*,*,#186452,.T.); #238913=ORIENTED_EDGE('',*,*,#186453,.T.); #238914=ORIENTED_EDGE('',*,*,#186452,.F.); #238915=ORIENTED_EDGE('',*,*,#186451,.T.); #238916=ORIENTED_EDGE('',*,*,#186448,.T.); #238917=ORIENTED_EDGE('',*,*,#186453,.F.); #238918=ORIENTED_EDGE('',*,*,#186450,.T.); #238919=ORIENTED_EDGE('',*,*,#186454,.F.); #238920=ORIENTED_EDGE('',*,*,#186455,.T.); #238921=ORIENTED_EDGE('',*,*,#186456,.F.); #238922=ORIENTED_EDGE('',*,*,#186455,.F.); #238923=ORIENTED_EDGE('',*,*,#186457,.F.); #238924=ORIENTED_EDGE('',*,*,#186458,.T.); #238925=ORIENTED_EDGE('',*,*,#186459,.T.); #238926=ORIENTED_EDGE('',*,*,#186458,.F.); #238927=ORIENTED_EDGE('',*,*,#186457,.T.); #238928=ORIENTED_EDGE('',*,*,#186454,.T.); #238929=ORIENTED_EDGE('',*,*,#186459,.F.); #238930=ORIENTED_EDGE('',*,*,#186456,.T.); #238931=ORIENTED_EDGE('',*,*,#186460,.F.); #238932=ORIENTED_EDGE('',*,*,#186461,.T.); #238933=ORIENTED_EDGE('',*,*,#186462,.F.); #238934=ORIENTED_EDGE('',*,*,#186461,.F.); #238935=ORIENTED_EDGE('',*,*,#186463,.F.); #238936=ORIENTED_EDGE('',*,*,#186464,.T.); #238937=ORIENTED_EDGE('',*,*,#186465,.T.); #238938=ORIENTED_EDGE('',*,*,#186464,.F.); #238939=ORIENTED_EDGE('',*,*,#186463,.T.); #238940=ORIENTED_EDGE('',*,*,#186460,.T.); #238941=ORIENTED_EDGE('',*,*,#186465,.F.); #238942=ORIENTED_EDGE('',*,*,#186462,.T.); #238943=ORIENTED_EDGE('',*,*,#186466,.F.); #238944=ORIENTED_EDGE('',*,*,#186467,.T.); #238945=ORIENTED_EDGE('',*,*,#186468,.F.); #238946=ORIENTED_EDGE('',*,*,#186467,.F.); #238947=ORIENTED_EDGE('',*,*,#186469,.F.); #238948=ORIENTED_EDGE('',*,*,#186470,.T.); #238949=ORIENTED_EDGE('',*,*,#186471,.T.); #238950=ORIENTED_EDGE('',*,*,#186470,.F.); #238951=ORIENTED_EDGE('',*,*,#186469,.T.); #238952=ORIENTED_EDGE('',*,*,#186466,.T.); #238953=ORIENTED_EDGE('',*,*,#186471,.F.); #238954=ORIENTED_EDGE('',*,*,#186468,.T.); #238955=ORIENTED_EDGE('',*,*,#186472,.F.); #238956=ORIENTED_EDGE('',*,*,#186473,.T.); #238957=ORIENTED_EDGE('',*,*,#186474,.F.); #238958=ORIENTED_EDGE('',*,*,#186473,.F.); #238959=ORIENTED_EDGE('',*,*,#186475,.F.); #238960=ORIENTED_EDGE('',*,*,#186476,.T.); #238961=ORIENTED_EDGE('',*,*,#186477,.T.); #238962=ORIENTED_EDGE('',*,*,#186476,.F.); #238963=ORIENTED_EDGE('',*,*,#186475,.T.); #238964=ORIENTED_EDGE('',*,*,#186472,.T.); #238965=ORIENTED_EDGE('',*,*,#186477,.F.); #238966=ORIENTED_EDGE('',*,*,#186474,.T.); #238967=ORIENTED_EDGE('',*,*,#186478,.F.); #238968=ORIENTED_EDGE('',*,*,#186479,.T.); #238969=ORIENTED_EDGE('',*,*,#186480,.F.); #238970=ORIENTED_EDGE('',*,*,#186479,.F.); #238971=ORIENTED_EDGE('',*,*,#186481,.F.); #238972=ORIENTED_EDGE('',*,*,#186482,.T.); #238973=ORIENTED_EDGE('',*,*,#186483,.T.); #238974=ORIENTED_EDGE('',*,*,#186482,.F.); #238975=ORIENTED_EDGE('',*,*,#186481,.T.); #238976=ORIENTED_EDGE('',*,*,#186478,.T.); #238977=ORIENTED_EDGE('',*,*,#186483,.F.); #238978=ORIENTED_EDGE('',*,*,#186480,.T.); #238979=ORIENTED_EDGE('',*,*,#186484,.F.); #238980=ORIENTED_EDGE('',*,*,#186485,.T.); #238981=ORIENTED_EDGE('',*,*,#186486,.F.); #238982=ORIENTED_EDGE('',*,*,#186485,.F.); #238983=ORIENTED_EDGE('',*,*,#186487,.F.); #238984=ORIENTED_EDGE('',*,*,#186488,.T.); #238985=ORIENTED_EDGE('',*,*,#186489,.T.); #238986=ORIENTED_EDGE('',*,*,#186488,.F.); #238987=ORIENTED_EDGE('',*,*,#186487,.T.); #238988=ORIENTED_EDGE('',*,*,#186484,.T.); #238989=ORIENTED_EDGE('',*,*,#186489,.F.); #238990=ORIENTED_EDGE('',*,*,#186486,.T.); #238991=ORIENTED_EDGE('',*,*,#186490,.F.); #238992=ORIENTED_EDGE('',*,*,#186491,.T.); #238993=ORIENTED_EDGE('',*,*,#186492,.F.); #238994=ORIENTED_EDGE('',*,*,#186491,.F.); #238995=ORIENTED_EDGE('',*,*,#186493,.F.); #238996=ORIENTED_EDGE('',*,*,#186494,.T.); #238997=ORIENTED_EDGE('',*,*,#186495,.T.); #238998=ORIENTED_EDGE('',*,*,#186494,.F.); #238999=ORIENTED_EDGE('',*,*,#186493,.T.); #239000=ORIENTED_EDGE('',*,*,#186490,.T.); #239001=ORIENTED_EDGE('',*,*,#186495,.F.); #239002=ORIENTED_EDGE('',*,*,#186492,.T.); #239003=ORIENTED_EDGE('',*,*,#186496,.F.); #239004=ORIENTED_EDGE('',*,*,#186497,.T.); #239005=ORIENTED_EDGE('',*,*,#186498,.F.); #239006=ORIENTED_EDGE('',*,*,#186497,.F.); #239007=ORIENTED_EDGE('',*,*,#186499,.F.); #239008=ORIENTED_EDGE('',*,*,#186500,.T.); #239009=ORIENTED_EDGE('',*,*,#186501,.T.); #239010=ORIENTED_EDGE('',*,*,#186500,.F.); #239011=ORIENTED_EDGE('',*,*,#186499,.T.); #239012=ORIENTED_EDGE('',*,*,#186496,.T.); #239013=ORIENTED_EDGE('',*,*,#186501,.F.); #239014=ORIENTED_EDGE('',*,*,#186498,.T.); #239015=ORIENTED_EDGE('',*,*,#186502,.F.); #239016=ORIENTED_EDGE('',*,*,#186503,.T.); #239017=ORIENTED_EDGE('',*,*,#186504,.F.); #239018=ORIENTED_EDGE('',*,*,#186503,.F.); #239019=ORIENTED_EDGE('',*,*,#186505,.F.); #239020=ORIENTED_EDGE('',*,*,#186506,.T.); #239021=ORIENTED_EDGE('',*,*,#186507,.T.); #239022=ORIENTED_EDGE('',*,*,#186506,.F.); #239023=ORIENTED_EDGE('',*,*,#186505,.T.); #239024=ORIENTED_EDGE('',*,*,#186502,.T.); #239025=ORIENTED_EDGE('',*,*,#186507,.F.); #239026=ORIENTED_EDGE('',*,*,#186504,.T.); #239027=ORIENTED_EDGE('',*,*,#186508,.F.); #239028=ORIENTED_EDGE('',*,*,#186509,.T.); #239029=ORIENTED_EDGE('',*,*,#186510,.F.); #239030=ORIENTED_EDGE('',*,*,#186509,.F.); #239031=ORIENTED_EDGE('',*,*,#186511,.F.); #239032=ORIENTED_EDGE('',*,*,#186512,.T.); #239033=ORIENTED_EDGE('',*,*,#186513,.T.); #239034=ORIENTED_EDGE('',*,*,#186512,.F.); #239035=ORIENTED_EDGE('',*,*,#186511,.T.); #239036=ORIENTED_EDGE('',*,*,#186508,.T.); #239037=ORIENTED_EDGE('',*,*,#186513,.F.); #239038=ORIENTED_EDGE('',*,*,#186510,.T.); #239039=ORIENTED_EDGE('',*,*,#186514,.F.); #239040=ORIENTED_EDGE('',*,*,#186515,.T.); #239041=ORIENTED_EDGE('',*,*,#186516,.F.); #239042=ORIENTED_EDGE('',*,*,#186515,.F.); #239043=ORIENTED_EDGE('',*,*,#186517,.F.); #239044=ORIENTED_EDGE('',*,*,#186518,.T.); #239045=ORIENTED_EDGE('',*,*,#186519,.T.); #239046=ORIENTED_EDGE('',*,*,#186518,.F.); #239047=ORIENTED_EDGE('',*,*,#186517,.T.); #239048=ORIENTED_EDGE('',*,*,#186514,.T.); #239049=ORIENTED_EDGE('',*,*,#186519,.F.); #239050=ORIENTED_EDGE('',*,*,#186516,.T.); #239051=ORIENTED_EDGE('',*,*,#186520,.F.); #239052=ORIENTED_EDGE('',*,*,#186521,.T.); #239053=ORIENTED_EDGE('',*,*,#186522,.F.); #239054=ORIENTED_EDGE('',*,*,#186521,.F.); #239055=ORIENTED_EDGE('',*,*,#186523,.F.); #239056=ORIENTED_EDGE('',*,*,#186524,.T.); #239057=ORIENTED_EDGE('',*,*,#186525,.T.); #239058=ORIENTED_EDGE('',*,*,#186524,.F.); #239059=ORIENTED_EDGE('',*,*,#186523,.T.); #239060=ORIENTED_EDGE('',*,*,#186520,.T.); #239061=ORIENTED_EDGE('',*,*,#186525,.F.); #239062=ORIENTED_EDGE('',*,*,#186522,.T.); #239063=ORIENTED_EDGE('',*,*,#186526,.F.); #239064=ORIENTED_EDGE('',*,*,#186527,.T.); #239065=ORIENTED_EDGE('',*,*,#186528,.F.); #239066=ORIENTED_EDGE('',*,*,#186527,.F.); #239067=ORIENTED_EDGE('',*,*,#186529,.F.); #239068=ORIENTED_EDGE('',*,*,#186530,.T.); #239069=ORIENTED_EDGE('',*,*,#186531,.T.); #239070=ORIENTED_EDGE('',*,*,#186530,.F.); #239071=ORIENTED_EDGE('',*,*,#186529,.T.); #239072=ORIENTED_EDGE('',*,*,#186526,.T.); #239073=ORIENTED_EDGE('',*,*,#186531,.F.); #239074=ORIENTED_EDGE('',*,*,#186528,.T.); #239075=ORIENTED_EDGE('',*,*,#186532,.F.); #239076=ORIENTED_EDGE('',*,*,#186533,.T.); #239077=ORIENTED_EDGE('',*,*,#186534,.F.); #239078=ORIENTED_EDGE('',*,*,#186533,.F.); #239079=ORIENTED_EDGE('',*,*,#186535,.F.); #239080=ORIENTED_EDGE('',*,*,#186536,.T.); #239081=ORIENTED_EDGE('',*,*,#186537,.T.); #239082=ORIENTED_EDGE('',*,*,#186536,.F.); #239083=ORIENTED_EDGE('',*,*,#186535,.T.); #239084=ORIENTED_EDGE('',*,*,#186532,.T.); #239085=ORIENTED_EDGE('',*,*,#186537,.F.); #239086=ORIENTED_EDGE('',*,*,#186534,.T.); #239087=ORIENTED_EDGE('',*,*,#186538,.F.); #239088=ORIENTED_EDGE('',*,*,#186539,.T.); #239089=ORIENTED_EDGE('',*,*,#186540,.F.); #239090=ORIENTED_EDGE('',*,*,#186539,.F.); #239091=ORIENTED_EDGE('',*,*,#186541,.F.); #239092=ORIENTED_EDGE('',*,*,#186542,.T.); #239093=ORIENTED_EDGE('',*,*,#186543,.T.); #239094=ORIENTED_EDGE('',*,*,#186542,.F.); #239095=ORIENTED_EDGE('',*,*,#186541,.T.); #239096=ORIENTED_EDGE('',*,*,#186538,.T.); #239097=ORIENTED_EDGE('',*,*,#186543,.F.); #239098=ORIENTED_EDGE('',*,*,#186540,.T.); #239099=ORIENTED_EDGE('',*,*,#186544,.F.); #239100=ORIENTED_EDGE('',*,*,#186545,.T.); #239101=ORIENTED_EDGE('',*,*,#186546,.F.); #239102=ORIENTED_EDGE('',*,*,#186545,.F.); #239103=ORIENTED_EDGE('',*,*,#186547,.F.); #239104=ORIENTED_EDGE('',*,*,#186548,.T.); #239105=ORIENTED_EDGE('',*,*,#186549,.T.); #239106=ORIENTED_EDGE('',*,*,#186548,.F.); #239107=ORIENTED_EDGE('',*,*,#186547,.T.); #239108=ORIENTED_EDGE('',*,*,#186544,.T.); #239109=ORIENTED_EDGE('',*,*,#186549,.F.); #239110=ORIENTED_EDGE('',*,*,#186546,.T.); #239111=ORIENTED_EDGE('',*,*,#186550,.F.); #239112=ORIENTED_EDGE('',*,*,#186551,.T.); #239113=ORIENTED_EDGE('',*,*,#186552,.F.); #239114=ORIENTED_EDGE('',*,*,#186551,.F.); #239115=ORIENTED_EDGE('',*,*,#186553,.F.); #239116=ORIENTED_EDGE('',*,*,#186554,.T.); #239117=ORIENTED_EDGE('',*,*,#186555,.T.); #239118=ORIENTED_EDGE('',*,*,#186554,.F.); #239119=ORIENTED_EDGE('',*,*,#186553,.T.); #239120=ORIENTED_EDGE('',*,*,#186550,.T.); #239121=ORIENTED_EDGE('',*,*,#186555,.F.); #239122=ORIENTED_EDGE('',*,*,#186552,.T.); #239123=ORIENTED_EDGE('',*,*,#186556,.F.); #239124=ORIENTED_EDGE('',*,*,#186557,.T.); #239125=ORIENTED_EDGE('',*,*,#186558,.F.); #239126=ORIENTED_EDGE('',*,*,#186557,.F.); #239127=ORIENTED_EDGE('',*,*,#186559,.F.); #239128=ORIENTED_EDGE('',*,*,#186560,.T.); #239129=ORIENTED_EDGE('',*,*,#186561,.T.); #239130=ORIENTED_EDGE('',*,*,#186560,.F.); #239131=ORIENTED_EDGE('',*,*,#186559,.T.); #239132=ORIENTED_EDGE('',*,*,#186556,.T.); #239133=ORIENTED_EDGE('',*,*,#186561,.F.); #239134=ORIENTED_EDGE('',*,*,#186558,.T.); #239135=ORIENTED_EDGE('',*,*,#186562,.F.); #239136=ORIENTED_EDGE('',*,*,#186563,.T.); #239137=ORIENTED_EDGE('',*,*,#186564,.F.); #239138=ORIENTED_EDGE('',*,*,#186563,.F.); #239139=ORIENTED_EDGE('',*,*,#186565,.F.); #239140=ORIENTED_EDGE('',*,*,#186566,.T.); #239141=ORIENTED_EDGE('',*,*,#186567,.T.); #239142=ORIENTED_EDGE('',*,*,#186566,.F.); #239143=ORIENTED_EDGE('',*,*,#186565,.T.); #239144=ORIENTED_EDGE('',*,*,#186562,.T.); #239145=ORIENTED_EDGE('',*,*,#186567,.F.); #239146=ORIENTED_EDGE('',*,*,#186564,.T.); #239147=ORIENTED_EDGE('',*,*,#186568,.F.); #239148=ORIENTED_EDGE('',*,*,#186569,.T.); #239149=ORIENTED_EDGE('',*,*,#186570,.F.); #239150=ORIENTED_EDGE('',*,*,#186569,.F.); #239151=ORIENTED_EDGE('',*,*,#186571,.F.); #239152=ORIENTED_EDGE('',*,*,#186572,.T.); #239153=ORIENTED_EDGE('',*,*,#186573,.T.); #239154=ORIENTED_EDGE('',*,*,#186572,.F.); #239155=ORIENTED_EDGE('',*,*,#186571,.T.); #239156=ORIENTED_EDGE('',*,*,#186568,.T.); #239157=ORIENTED_EDGE('',*,*,#186573,.F.); #239158=ORIENTED_EDGE('',*,*,#186570,.T.); #239159=ORIENTED_EDGE('',*,*,#186574,.F.); #239160=ORIENTED_EDGE('',*,*,#186575,.T.); #239161=ORIENTED_EDGE('',*,*,#186576,.F.); #239162=ORIENTED_EDGE('',*,*,#186575,.F.); #239163=ORIENTED_EDGE('',*,*,#186577,.F.); #239164=ORIENTED_EDGE('',*,*,#186578,.T.); #239165=ORIENTED_EDGE('',*,*,#186579,.T.); #239166=ORIENTED_EDGE('',*,*,#186578,.F.); #239167=ORIENTED_EDGE('',*,*,#186577,.T.); #239168=ORIENTED_EDGE('',*,*,#186574,.T.); #239169=ORIENTED_EDGE('',*,*,#186579,.F.); #239170=ORIENTED_EDGE('',*,*,#186576,.T.); #239171=ORIENTED_EDGE('',*,*,#186580,.F.); #239172=ORIENTED_EDGE('',*,*,#186581,.T.); #239173=ORIENTED_EDGE('',*,*,#186582,.F.); #239174=ORIENTED_EDGE('',*,*,#186581,.F.); #239175=ORIENTED_EDGE('',*,*,#186583,.F.); #239176=ORIENTED_EDGE('',*,*,#186584,.T.); #239177=ORIENTED_EDGE('',*,*,#186585,.T.); #239178=ORIENTED_EDGE('',*,*,#186584,.F.); #239179=ORIENTED_EDGE('',*,*,#186583,.T.); #239180=ORIENTED_EDGE('',*,*,#186580,.T.); #239181=ORIENTED_EDGE('',*,*,#186585,.F.); #239182=ORIENTED_EDGE('',*,*,#186582,.T.); #239183=ORIENTED_EDGE('',*,*,#186586,.F.); #239184=ORIENTED_EDGE('',*,*,#186587,.T.); #239185=ORIENTED_EDGE('',*,*,#186588,.F.); #239186=ORIENTED_EDGE('',*,*,#186587,.F.); #239187=ORIENTED_EDGE('',*,*,#186589,.F.); #239188=ORIENTED_EDGE('',*,*,#186590,.T.); #239189=ORIENTED_EDGE('',*,*,#186591,.T.); #239190=ORIENTED_EDGE('',*,*,#186590,.F.); #239191=ORIENTED_EDGE('',*,*,#186589,.T.); #239192=ORIENTED_EDGE('',*,*,#186586,.T.); #239193=ORIENTED_EDGE('',*,*,#186591,.F.); #239194=ORIENTED_EDGE('',*,*,#186588,.T.); #239195=ORIENTED_EDGE('',*,*,#186592,.F.); #239196=ORIENTED_EDGE('',*,*,#186593,.T.); #239197=ORIENTED_EDGE('',*,*,#186594,.F.); #239198=ORIENTED_EDGE('',*,*,#186593,.F.); #239199=ORIENTED_EDGE('',*,*,#186595,.F.); #239200=ORIENTED_EDGE('',*,*,#186596,.T.); #239201=ORIENTED_EDGE('',*,*,#186597,.T.); #239202=ORIENTED_EDGE('',*,*,#186596,.F.); #239203=ORIENTED_EDGE('',*,*,#186595,.T.); #239204=ORIENTED_EDGE('',*,*,#186592,.T.); #239205=ORIENTED_EDGE('',*,*,#186597,.F.); #239206=ORIENTED_EDGE('',*,*,#186594,.T.); #239207=ORIENTED_EDGE('',*,*,#186598,.F.); #239208=ORIENTED_EDGE('',*,*,#186599,.T.); #239209=ORIENTED_EDGE('',*,*,#186600,.F.); #239210=ORIENTED_EDGE('',*,*,#186599,.F.); #239211=ORIENTED_EDGE('',*,*,#186601,.F.); #239212=ORIENTED_EDGE('',*,*,#186602,.T.); #239213=ORIENTED_EDGE('',*,*,#186603,.T.); #239214=ORIENTED_EDGE('',*,*,#186602,.F.); #239215=ORIENTED_EDGE('',*,*,#186601,.T.); #239216=ORIENTED_EDGE('',*,*,#186598,.T.); #239217=ORIENTED_EDGE('',*,*,#186603,.F.); #239218=ORIENTED_EDGE('',*,*,#186600,.T.); #239219=ORIENTED_EDGE('',*,*,#186604,.F.); #239220=ORIENTED_EDGE('',*,*,#186605,.T.); #239221=ORIENTED_EDGE('',*,*,#186606,.F.); #239222=ORIENTED_EDGE('',*,*,#186605,.F.); #239223=ORIENTED_EDGE('',*,*,#186607,.F.); #239224=ORIENTED_EDGE('',*,*,#186608,.T.); #239225=ORIENTED_EDGE('',*,*,#186609,.T.); #239226=ORIENTED_EDGE('',*,*,#186608,.F.); #239227=ORIENTED_EDGE('',*,*,#186607,.T.); #239228=ORIENTED_EDGE('',*,*,#186604,.T.); #239229=ORIENTED_EDGE('',*,*,#186609,.F.); #239230=ORIENTED_EDGE('',*,*,#186606,.T.); #239231=ORIENTED_EDGE('',*,*,#186610,.F.); #239232=ORIENTED_EDGE('',*,*,#186611,.T.); #239233=ORIENTED_EDGE('',*,*,#186612,.F.); #239234=ORIENTED_EDGE('',*,*,#186611,.F.); #239235=ORIENTED_EDGE('',*,*,#186613,.F.); #239236=ORIENTED_EDGE('',*,*,#186614,.T.); #239237=ORIENTED_EDGE('',*,*,#186615,.T.); #239238=ORIENTED_EDGE('',*,*,#186614,.F.); #239239=ORIENTED_EDGE('',*,*,#186613,.T.); #239240=ORIENTED_EDGE('',*,*,#186610,.T.); #239241=ORIENTED_EDGE('',*,*,#186615,.F.); #239242=ORIENTED_EDGE('',*,*,#186612,.T.); #239243=ORIENTED_EDGE('',*,*,#186616,.F.); #239244=ORIENTED_EDGE('',*,*,#186617,.T.); #239245=ORIENTED_EDGE('',*,*,#186618,.F.); #239246=ORIENTED_EDGE('',*,*,#186617,.F.); #239247=ORIENTED_EDGE('',*,*,#186619,.F.); #239248=ORIENTED_EDGE('',*,*,#186620,.T.); #239249=ORIENTED_EDGE('',*,*,#186621,.T.); #239250=ORIENTED_EDGE('',*,*,#186620,.F.); #239251=ORIENTED_EDGE('',*,*,#186619,.T.); #239252=ORIENTED_EDGE('',*,*,#186616,.T.); #239253=ORIENTED_EDGE('',*,*,#186621,.F.); #239254=ORIENTED_EDGE('',*,*,#186618,.T.); #239255=ORIENTED_EDGE('',*,*,#186622,.F.); #239256=ORIENTED_EDGE('',*,*,#186623,.T.); #239257=ORIENTED_EDGE('',*,*,#186624,.F.); #239258=ORIENTED_EDGE('',*,*,#186623,.F.); #239259=ORIENTED_EDGE('',*,*,#186625,.F.); #239260=ORIENTED_EDGE('',*,*,#186626,.T.); #239261=ORIENTED_EDGE('',*,*,#186627,.T.); #239262=ORIENTED_EDGE('',*,*,#186626,.F.); #239263=ORIENTED_EDGE('',*,*,#186625,.T.); #239264=ORIENTED_EDGE('',*,*,#186622,.T.); #239265=ORIENTED_EDGE('',*,*,#186627,.F.); #239266=ORIENTED_EDGE('',*,*,#186624,.T.); #239267=ORIENTED_EDGE('',*,*,#186628,.F.); #239268=ORIENTED_EDGE('',*,*,#186629,.T.); #239269=ORIENTED_EDGE('',*,*,#186630,.F.); #239270=ORIENTED_EDGE('',*,*,#186629,.F.); #239271=ORIENTED_EDGE('',*,*,#186631,.F.); #239272=ORIENTED_EDGE('',*,*,#186632,.T.); #239273=ORIENTED_EDGE('',*,*,#186633,.T.); #239274=ORIENTED_EDGE('',*,*,#186632,.F.); #239275=ORIENTED_EDGE('',*,*,#186631,.T.); #239276=ORIENTED_EDGE('',*,*,#186628,.T.); #239277=ORIENTED_EDGE('',*,*,#186633,.F.); #239278=ORIENTED_EDGE('',*,*,#186630,.T.); #239279=ORIENTED_EDGE('',*,*,#186634,.F.); #239280=ORIENTED_EDGE('',*,*,#186635,.T.); #239281=ORIENTED_EDGE('',*,*,#186636,.F.); #239282=ORIENTED_EDGE('',*,*,#186635,.F.); #239283=ORIENTED_EDGE('',*,*,#186637,.F.); #239284=ORIENTED_EDGE('',*,*,#186638,.T.); #239285=ORIENTED_EDGE('',*,*,#186639,.T.); #239286=ORIENTED_EDGE('',*,*,#186638,.F.); #239287=ORIENTED_EDGE('',*,*,#186637,.T.); #239288=ORIENTED_EDGE('',*,*,#186634,.T.); #239289=ORIENTED_EDGE('',*,*,#186639,.F.); #239290=ORIENTED_EDGE('',*,*,#186636,.T.); #239291=ORIENTED_EDGE('',*,*,#186640,.F.); #239292=ORIENTED_EDGE('',*,*,#186641,.T.); #239293=ORIENTED_EDGE('',*,*,#186642,.F.); #239294=ORIENTED_EDGE('',*,*,#186641,.F.); #239295=ORIENTED_EDGE('',*,*,#186643,.F.); #239296=ORIENTED_EDGE('',*,*,#186644,.T.); #239297=ORIENTED_EDGE('',*,*,#186645,.T.); #239298=ORIENTED_EDGE('',*,*,#186644,.F.); #239299=ORIENTED_EDGE('',*,*,#186643,.T.); #239300=ORIENTED_EDGE('',*,*,#186640,.T.); #239301=ORIENTED_EDGE('',*,*,#186645,.F.); #239302=ORIENTED_EDGE('',*,*,#186642,.T.); #239303=ORIENTED_EDGE('',*,*,#186646,.F.); #239304=ORIENTED_EDGE('',*,*,#186647,.T.); #239305=ORIENTED_EDGE('',*,*,#186648,.F.); #239306=ORIENTED_EDGE('',*,*,#186647,.F.); #239307=ORIENTED_EDGE('',*,*,#186649,.F.); #239308=ORIENTED_EDGE('',*,*,#186650,.T.); #239309=ORIENTED_EDGE('',*,*,#186651,.T.); #239310=ORIENTED_EDGE('',*,*,#186650,.F.); #239311=ORIENTED_EDGE('',*,*,#186649,.T.); #239312=ORIENTED_EDGE('',*,*,#186646,.T.); #239313=ORIENTED_EDGE('',*,*,#186651,.F.); #239314=ORIENTED_EDGE('',*,*,#186648,.T.); #239315=ORIENTED_EDGE('',*,*,#186652,.F.); #239316=ORIENTED_EDGE('',*,*,#186653,.T.); #239317=ORIENTED_EDGE('',*,*,#186654,.F.); #239318=ORIENTED_EDGE('',*,*,#186653,.F.); #239319=ORIENTED_EDGE('',*,*,#186655,.F.); #239320=ORIENTED_EDGE('',*,*,#186656,.T.); #239321=ORIENTED_EDGE('',*,*,#186657,.T.); #239322=ORIENTED_EDGE('',*,*,#186656,.F.); #239323=ORIENTED_EDGE('',*,*,#186655,.T.); #239324=ORIENTED_EDGE('',*,*,#186652,.T.); #239325=ORIENTED_EDGE('',*,*,#186657,.F.); #239326=ORIENTED_EDGE('',*,*,#186654,.T.); #239327=ORIENTED_EDGE('',*,*,#186658,.F.); #239328=ORIENTED_EDGE('',*,*,#186659,.T.); #239329=ORIENTED_EDGE('',*,*,#186660,.F.); #239330=ORIENTED_EDGE('',*,*,#186659,.F.); #239331=ORIENTED_EDGE('',*,*,#186661,.F.); #239332=ORIENTED_EDGE('',*,*,#186662,.T.); #239333=ORIENTED_EDGE('',*,*,#186663,.T.); #239334=ORIENTED_EDGE('',*,*,#186662,.F.); #239335=ORIENTED_EDGE('',*,*,#186661,.T.); #239336=ORIENTED_EDGE('',*,*,#186658,.T.); #239337=ORIENTED_EDGE('',*,*,#186663,.F.); #239338=ORIENTED_EDGE('',*,*,#186660,.T.); #239339=ORIENTED_EDGE('',*,*,#186664,.F.); #239340=ORIENTED_EDGE('',*,*,#186665,.T.); #239341=ORIENTED_EDGE('',*,*,#186666,.F.); #239342=ORIENTED_EDGE('',*,*,#186665,.F.); #239343=ORIENTED_EDGE('',*,*,#186667,.F.); #239344=ORIENTED_EDGE('',*,*,#186668,.T.); #239345=ORIENTED_EDGE('',*,*,#186669,.T.); #239346=ORIENTED_EDGE('',*,*,#186668,.F.); #239347=ORIENTED_EDGE('',*,*,#186667,.T.); #239348=ORIENTED_EDGE('',*,*,#186664,.T.); #239349=ORIENTED_EDGE('',*,*,#186669,.F.); #239350=ORIENTED_EDGE('',*,*,#186666,.T.); #239351=ORIENTED_EDGE('',*,*,#186670,.F.); #239352=ORIENTED_EDGE('',*,*,#186671,.T.); #239353=ORIENTED_EDGE('',*,*,#186672,.F.); #239354=ORIENTED_EDGE('',*,*,#186671,.F.); #239355=ORIENTED_EDGE('',*,*,#186673,.F.); #239356=ORIENTED_EDGE('',*,*,#186674,.T.); #239357=ORIENTED_EDGE('',*,*,#186675,.T.); #239358=ORIENTED_EDGE('',*,*,#186674,.F.); #239359=ORIENTED_EDGE('',*,*,#186673,.T.); #239360=ORIENTED_EDGE('',*,*,#186670,.T.); #239361=ORIENTED_EDGE('',*,*,#186675,.F.); #239362=ORIENTED_EDGE('',*,*,#186672,.T.); #239363=ORIENTED_EDGE('',*,*,#186676,.F.); #239364=ORIENTED_EDGE('',*,*,#186677,.T.); #239365=ORIENTED_EDGE('',*,*,#186678,.F.); #239366=ORIENTED_EDGE('',*,*,#186677,.F.); #239367=ORIENTED_EDGE('',*,*,#186679,.F.); #239368=ORIENTED_EDGE('',*,*,#186680,.T.); #239369=ORIENTED_EDGE('',*,*,#186681,.T.); #239370=ORIENTED_EDGE('',*,*,#186680,.F.); #239371=ORIENTED_EDGE('',*,*,#186679,.T.); #239372=ORIENTED_EDGE('',*,*,#186676,.T.); #239373=ORIENTED_EDGE('',*,*,#186681,.F.); #239374=ORIENTED_EDGE('',*,*,#186678,.T.); #239375=ORIENTED_EDGE('',*,*,#186682,.F.); #239376=ORIENTED_EDGE('',*,*,#186683,.T.); #239377=ORIENTED_EDGE('',*,*,#186684,.F.); #239378=ORIENTED_EDGE('',*,*,#186683,.F.); #239379=ORIENTED_EDGE('',*,*,#186685,.F.); #239380=ORIENTED_EDGE('',*,*,#186686,.T.); #239381=ORIENTED_EDGE('',*,*,#186687,.T.); #239382=ORIENTED_EDGE('',*,*,#186686,.F.); #239383=ORIENTED_EDGE('',*,*,#186685,.T.); #239384=ORIENTED_EDGE('',*,*,#186682,.T.); #239385=ORIENTED_EDGE('',*,*,#186687,.F.); #239386=ORIENTED_EDGE('',*,*,#186684,.T.); #239387=ORIENTED_EDGE('',*,*,#186688,.F.); #239388=ORIENTED_EDGE('',*,*,#186689,.T.); #239389=ORIENTED_EDGE('',*,*,#186690,.F.); #239390=ORIENTED_EDGE('',*,*,#186689,.F.); #239391=ORIENTED_EDGE('',*,*,#186691,.F.); #239392=ORIENTED_EDGE('',*,*,#186692,.T.); #239393=ORIENTED_EDGE('',*,*,#186693,.T.); #239394=ORIENTED_EDGE('',*,*,#186692,.F.); #239395=ORIENTED_EDGE('',*,*,#186691,.T.); #239396=ORIENTED_EDGE('',*,*,#186688,.T.); #239397=ORIENTED_EDGE('',*,*,#186693,.F.); #239398=ORIENTED_EDGE('',*,*,#186690,.T.); #239399=ORIENTED_EDGE('',*,*,#186694,.F.); #239400=ORIENTED_EDGE('',*,*,#186695,.T.); #239401=ORIENTED_EDGE('',*,*,#186696,.F.); #239402=ORIENTED_EDGE('',*,*,#186695,.F.); #239403=ORIENTED_EDGE('',*,*,#186697,.F.); #239404=ORIENTED_EDGE('',*,*,#186698,.T.); #239405=ORIENTED_EDGE('',*,*,#186699,.T.); #239406=ORIENTED_EDGE('',*,*,#186698,.F.); #239407=ORIENTED_EDGE('',*,*,#186697,.T.); #239408=ORIENTED_EDGE('',*,*,#186694,.T.); #239409=ORIENTED_EDGE('',*,*,#186699,.F.); #239410=ORIENTED_EDGE('',*,*,#186696,.T.); #239411=ORIENTED_EDGE('',*,*,#186700,.F.); #239412=ORIENTED_EDGE('',*,*,#186701,.T.); #239413=ORIENTED_EDGE('',*,*,#186702,.F.); #239414=ORIENTED_EDGE('',*,*,#186701,.F.); #239415=ORIENTED_EDGE('',*,*,#186703,.F.); #239416=ORIENTED_EDGE('',*,*,#186704,.T.); #239417=ORIENTED_EDGE('',*,*,#186705,.T.); #239418=ORIENTED_EDGE('',*,*,#186704,.F.); #239419=ORIENTED_EDGE('',*,*,#186703,.T.); #239420=ORIENTED_EDGE('',*,*,#186700,.T.); #239421=ORIENTED_EDGE('',*,*,#186705,.F.); #239422=ORIENTED_EDGE('',*,*,#186702,.T.); #239423=ORIENTED_EDGE('',*,*,#186706,.F.); #239424=ORIENTED_EDGE('',*,*,#186707,.T.); #239425=ORIENTED_EDGE('',*,*,#186708,.F.); #239426=ORIENTED_EDGE('',*,*,#186707,.F.); #239427=ORIENTED_EDGE('',*,*,#186709,.F.); #239428=ORIENTED_EDGE('',*,*,#186710,.T.); #239429=ORIENTED_EDGE('',*,*,#186711,.T.); #239430=ORIENTED_EDGE('',*,*,#186710,.F.); #239431=ORIENTED_EDGE('',*,*,#186709,.T.); #239432=ORIENTED_EDGE('',*,*,#186706,.T.); #239433=ORIENTED_EDGE('',*,*,#186711,.F.); #239434=ORIENTED_EDGE('',*,*,#186708,.T.); #239435=ORIENTED_EDGE('',*,*,#186712,.F.); #239436=ORIENTED_EDGE('',*,*,#186713,.T.); #239437=ORIENTED_EDGE('',*,*,#186714,.F.); #239438=ORIENTED_EDGE('',*,*,#186713,.F.); #239439=ORIENTED_EDGE('',*,*,#186715,.F.); #239440=ORIENTED_EDGE('',*,*,#186716,.T.); #239441=ORIENTED_EDGE('',*,*,#186717,.T.); #239442=ORIENTED_EDGE('',*,*,#186716,.F.); #239443=ORIENTED_EDGE('',*,*,#186715,.T.); #239444=ORIENTED_EDGE('',*,*,#186712,.T.); #239445=ORIENTED_EDGE('',*,*,#186717,.F.); #239446=ORIENTED_EDGE('',*,*,#186714,.T.); #239447=ORIENTED_EDGE('',*,*,#186718,.F.); #239448=ORIENTED_EDGE('',*,*,#186719,.T.); #239449=ORIENTED_EDGE('',*,*,#186720,.F.); #239450=ORIENTED_EDGE('',*,*,#186719,.F.); #239451=ORIENTED_EDGE('',*,*,#186721,.F.); #239452=ORIENTED_EDGE('',*,*,#186722,.T.); #239453=ORIENTED_EDGE('',*,*,#186723,.T.); #239454=ORIENTED_EDGE('',*,*,#186722,.F.); #239455=ORIENTED_EDGE('',*,*,#186721,.T.); #239456=ORIENTED_EDGE('',*,*,#186718,.T.); #239457=ORIENTED_EDGE('',*,*,#186723,.F.); #239458=ORIENTED_EDGE('',*,*,#186720,.T.); #239459=ORIENTED_EDGE('',*,*,#186724,.F.); #239460=ORIENTED_EDGE('',*,*,#186725,.T.); #239461=ORIENTED_EDGE('',*,*,#186726,.F.); #239462=ORIENTED_EDGE('',*,*,#186725,.F.); #239463=ORIENTED_EDGE('',*,*,#186727,.F.); #239464=ORIENTED_EDGE('',*,*,#186728,.T.); #239465=ORIENTED_EDGE('',*,*,#186729,.T.); #239466=ORIENTED_EDGE('',*,*,#186728,.F.); #239467=ORIENTED_EDGE('',*,*,#186727,.T.); #239468=ORIENTED_EDGE('',*,*,#186724,.T.); #239469=ORIENTED_EDGE('',*,*,#186729,.F.); #239470=ORIENTED_EDGE('',*,*,#186726,.T.); #239471=ORIENTED_EDGE('',*,*,#186730,.F.); #239472=ORIENTED_EDGE('',*,*,#186731,.T.); #239473=ORIENTED_EDGE('',*,*,#186732,.F.); #239474=ORIENTED_EDGE('',*,*,#186731,.F.); #239475=ORIENTED_EDGE('',*,*,#186733,.F.); #239476=ORIENTED_EDGE('',*,*,#186734,.T.); #239477=ORIENTED_EDGE('',*,*,#186735,.T.); #239478=ORIENTED_EDGE('',*,*,#186734,.F.); #239479=ORIENTED_EDGE('',*,*,#186733,.T.); #239480=ORIENTED_EDGE('',*,*,#186730,.T.); #239481=ORIENTED_EDGE('',*,*,#186735,.F.); #239482=ORIENTED_EDGE('',*,*,#186732,.T.); #239483=ORIENTED_EDGE('',*,*,#186736,.F.); #239484=ORIENTED_EDGE('',*,*,#186737,.T.); #239485=ORIENTED_EDGE('',*,*,#186738,.F.); #239486=ORIENTED_EDGE('',*,*,#186737,.F.); #239487=ORIENTED_EDGE('',*,*,#186739,.F.); #239488=ORIENTED_EDGE('',*,*,#186740,.T.); #239489=ORIENTED_EDGE('',*,*,#186741,.T.); #239490=ORIENTED_EDGE('',*,*,#186740,.F.); #239491=ORIENTED_EDGE('',*,*,#186739,.T.); #239492=ORIENTED_EDGE('',*,*,#186736,.T.); #239493=ORIENTED_EDGE('',*,*,#186741,.F.); #239494=ORIENTED_EDGE('',*,*,#186738,.T.); #239495=ORIENTED_EDGE('',*,*,#186742,.F.); #239496=ORIENTED_EDGE('',*,*,#186743,.T.); #239497=ORIENTED_EDGE('',*,*,#186744,.F.); #239498=ORIENTED_EDGE('',*,*,#186743,.F.); #239499=ORIENTED_EDGE('',*,*,#186745,.F.); #239500=ORIENTED_EDGE('',*,*,#186746,.T.); #239501=ORIENTED_EDGE('',*,*,#186747,.T.); #239502=ORIENTED_EDGE('',*,*,#186746,.F.); #239503=ORIENTED_EDGE('',*,*,#186745,.T.); #239504=ORIENTED_EDGE('',*,*,#186742,.T.); #239505=ORIENTED_EDGE('',*,*,#186747,.F.); #239506=ORIENTED_EDGE('',*,*,#186744,.T.); #239507=ORIENTED_EDGE('',*,*,#186748,.F.); #239508=ORIENTED_EDGE('',*,*,#186749,.T.); #239509=ORIENTED_EDGE('',*,*,#186750,.F.); #239510=ORIENTED_EDGE('',*,*,#186749,.F.); #239511=ORIENTED_EDGE('',*,*,#186751,.F.); #239512=ORIENTED_EDGE('',*,*,#186752,.T.); #239513=ORIENTED_EDGE('',*,*,#186753,.T.); #239514=ORIENTED_EDGE('',*,*,#186752,.F.); #239515=ORIENTED_EDGE('',*,*,#186751,.T.); #239516=ORIENTED_EDGE('',*,*,#186748,.T.); #239517=ORIENTED_EDGE('',*,*,#186753,.F.); #239518=ORIENTED_EDGE('',*,*,#186750,.T.); #239519=ORIENTED_EDGE('',*,*,#186754,.F.); #239520=ORIENTED_EDGE('',*,*,#186755,.T.); #239521=ORIENTED_EDGE('',*,*,#186756,.F.); #239522=ORIENTED_EDGE('',*,*,#186755,.F.); #239523=ORIENTED_EDGE('',*,*,#186757,.F.); #239524=ORIENTED_EDGE('',*,*,#186758,.T.); #239525=ORIENTED_EDGE('',*,*,#186759,.T.); #239526=ORIENTED_EDGE('',*,*,#186758,.F.); #239527=ORIENTED_EDGE('',*,*,#186757,.T.); #239528=ORIENTED_EDGE('',*,*,#186754,.T.); #239529=ORIENTED_EDGE('',*,*,#186759,.F.); #239530=ORIENTED_EDGE('',*,*,#186756,.T.); #239531=ORIENTED_EDGE('',*,*,#186760,.F.); #239532=ORIENTED_EDGE('',*,*,#186761,.T.); #239533=ORIENTED_EDGE('',*,*,#186762,.F.); #239534=ORIENTED_EDGE('',*,*,#186761,.F.); #239535=ORIENTED_EDGE('',*,*,#186763,.F.); #239536=ORIENTED_EDGE('',*,*,#186764,.T.); #239537=ORIENTED_EDGE('',*,*,#186765,.T.); #239538=ORIENTED_EDGE('',*,*,#186764,.F.); #239539=ORIENTED_EDGE('',*,*,#186763,.T.); #239540=ORIENTED_EDGE('',*,*,#186760,.T.); #239541=ORIENTED_EDGE('',*,*,#186765,.F.); #239542=ORIENTED_EDGE('',*,*,#186762,.T.); #239543=ORIENTED_EDGE('',*,*,#186766,.F.); #239544=ORIENTED_EDGE('',*,*,#186767,.T.); #239545=ORIENTED_EDGE('',*,*,#186768,.F.); #239546=ORIENTED_EDGE('',*,*,#186767,.F.); #239547=ORIENTED_EDGE('',*,*,#186769,.F.); #239548=ORIENTED_EDGE('',*,*,#186770,.T.); #239549=ORIENTED_EDGE('',*,*,#186771,.T.); #239550=ORIENTED_EDGE('',*,*,#186770,.F.); #239551=ORIENTED_EDGE('',*,*,#186769,.T.); #239552=ORIENTED_EDGE('',*,*,#186766,.T.); #239553=ORIENTED_EDGE('',*,*,#186771,.F.); #239554=ORIENTED_EDGE('',*,*,#186768,.T.); #239555=ORIENTED_EDGE('',*,*,#186772,.F.); #239556=ORIENTED_EDGE('',*,*,#186773,.T.); #239557=ORIENTED_EDGE('',*,*,#186774,.F.); #239558=ORIENTED_EDGE('',*,*,#186773,.F.); #239559=ORIENTED_EDGE('',*,*,#186775,.F.); #239560=ORIENTED_EDGE('',*,*,#186776,.T.); #239561=ORIENTED_EDGE('',*,*,#186777,.T.); #239562=ORIENTED_EDGE('',*,*,#186776,.F.); #239563=ORIENTED_EDGE('',*,*,#186775,.T.); #239564=ORIENTED_EDGE('',*,*,#186772,.T.); #239565=ORIENTED_EDGE('',*,*,#186777,.F.); #239566=ORIENTED_EDGE('',*,*,#186774,.T.); #239567=ORIENTED_EDGE('',*,*,#186778,.F.); #239568=ORIENTED_EDGE('',*,*,#186779,.T.); #239569=ORIENTED_EDGE('',*,*,#186780,.F.); #239570=ORIENTED_EDGE('',*,*,#186779,.F.); #239571=ORIENTED_EDGE('',*,*,#186781,.F.); #239572=ORIENTED_EDGE('',*,*,#186782,.T.); #239573=ORIENTED_EDGE('',*,*,#186783,.T.); #239574=ORIENTED_EDGE('',*,*,#186782,.F.); #239575=ORIENTED_EDGE('',*,*,#186781,.T.); #239576=ORIENTED_EDGE('',*,*,#186778,.T.); #239577=ORIENTED_EDGE('',*,*,#186783,.F.); #239578=ORIENTED_EDGE('',*,*,#186780,.T.); #239579=ORIENTED_EDGE('',*,*,#186784,.F.); #239580=ORIENTED_EDGE('',*,*,#186785,.T.); #239581=ORIENTED_EDGE('',*,*,#186786,.F.); #239582=ORIENTED_EDGE('',*,*,#186785,.F.); #239583=ORIENTED_EDGE('',*,*,#186787,.F.); #239584=ORIENTED_EDGE('',*,*,#186788,.T.); #239585=ORIENTED_EDGE('',*,*,#186789,.T.); #239586=ORIENTED_EDGE('',*,*,#186788,.F.); #239587=ORIENTED_EDGE('',*,*,#186787,.T.); #239588=ORIENTED_EDGE('',*,*,#186784,.T.); #239589=ORIENTED_EDGE('',*,*,#186789,.F.); #239590=ORIENTED_EDGE('',*,*,#186786,.T.); #239591=ORIENTED_EDGE('',*,*,#186790,.F.); #239592=ORIENTED_EDGE('',*,*,#186791,.T.); #239593=ORIENTED_EDGE('',*,*,#186792,.F.); #239594=ORIENTED_EDGE('',*,*,#186791,.F.); #239595=ORIENTED_EDGE('',*,*,#186793,.F.); #239596=ORIENTED_EDGE('',*,*,#186794,.T.); #239597=ORIENTED_EDGE('',*,*,#186795,.T.); #239598=ORIENTED_EDGE('',*,*,#186794,.F.); #239599=ORIENTED_EDGE('',*,*,#186793,.T.); #239600=ORIENTED_EDGE('',*,*,#186790,.T.); #239601=ORIENTED_EDGE('',*,*,#186795,.F.); #239602=ORIENTED_EDGE('',*,*,#186792,.T.); #239603=ORIENTED_EDGE('',*,*,#186796,.F.); #239604=ORIENTED_EDGE('',*,*,#186797,.T.); #239605=ORIENTED_EDGE('',*,*,#186798,.F.); #239606=ORIENTED_EDGE('',*,*,#186797,.F.); #239607=ORIENTED_EDGE('',*,*,#186799,.F.); #239608=ORIENTED_EDGE('',*,*,#186800,.T.); #239609=ORIENTED_EDGE('',*,*,#186801,.T.); #239610=ORIENTED_EDGE('',*,*,#186800,.F.); #239611=ORIENTED_EDGE('',*,*,#186799,.T.); #239612=ORIENTED_EDGE('',*,*,#186796,.T.); #239613=ORIENTED_EDGE('',*,*,#186801,.F.); #239614=ORIENTED_EDGE('',*,*,#186798,.T.); #239615=ORIENTED_EDGE('',*,*,#186802,.F.); #239616=ORIENTED_EDGE('',*,*,#186803,.T.); #239617=ORIENTED_EDGE('',*,*,#186804,.F.); #239618=ORIENTED_EDGE('',*,*,#186803,.F.); #239619=ORIENTED_EDGE('',*,*,#186805,.F.); #239620=ORIENTED_EDGE('',*,*,#186806,.T.); #239621=ORIENTED_EDGE('',*,*,#186807,.T.); #239622=ORIENTED_EDGE('',*,*,#186806,.F.); #239623=ORIENTED_EDGE('',*,*,#186805,.T.); #239624=ORIENTED_EDGE('',*,*,#186802,.T.); #239625=ORIENTED_EDGE('',*,*,#186807,.F.); #239626=ORIENTED_EDGE('',*,*,#186804,.T.); #239627=ORIENTED_EDGE('',*,*,#186808,.F.); #239628=ORIENTED_EDGE('',*,*,#186809,.T.); #239629=ORIENTED_EDGE('',*,*,#186810,.F.); #239630=ORIENTED_EDGE('',*,*,#186809,.F.); #239631=ORIENTED_EDGE('',*,*,#186811,.F.); #239632=ORIENTED_EDGE('',*,*,#186812,.T.); #239633=ORIENTED_EDGE('',*,*,#186813,.T.); #239634=ORIENTED_EDGE('',*,*,#186812,.F.); #239635=ORIENTED_EDGE('',*,*,#186811,.T.); #239636=ORIENTED_EDGE('',*,*,#186808,.T.); #239637=ORIENTED_EDGE('',*,*,#186813,.F.); #239638=ORIENTED_EDGE('',*,*,#186810,.T.); #239639=ORIENTED_EDGE('',*,*,#186814,.F.); #239640=ORIENTED_EDGE('',*,*,#186815,.T.); #239641=ORIENTED_EDGE('',*,*,#186816,.F.); #239642=ORIENTED_EDGE('',*,*,#186815,.F.); #239643=ORIENTED_EDGE('',*,*,#186817,.F.); #239644=ORIENTED_EDGE('',*,*,#186818,.T.); #239645=ORIENTED_EDGE('',*,*,#186819,.T.); #239646=ORIENTED_EDGE('',*,*,#186818,.F.); #239647=ORIENTED_EDGE('',*,*,#186817,.T.); #239648=ORIENTED_EDGE('',*,*,#186814,.T.); #239649=ORIENTED_EDGE('',*,*,#186819,.F.); #239650=ORIENTED_EDGE('',*,*,#186816,.T.); #239651=ORIENTED_EDGE('',*,*,#186820,.F.); #239652=ORIENTED_EDGE('',*,*,#186821,.T.); #239653=ORIENTED_EDGE('',*,*,#186822,.F.); #239654=ORIENTED_EDGE('',*,*,#186821,.F.); #239655=ORIENTED_EDGE('',*,*,#186823,.F.); #239656=ORIENTED_EDGE('',*,*,#186824,.T.); #239657=ORIENTED_EDGE('',*,*,#186825,.T.); #239658=ORIENTED_EDGE('',*,*,#186824,.F.); #239659=ORIENTED_EDGE('',*,*,#186823,.T.); #239660=ORIENTED_EDGE('',*,*,#186820,.T.); #239661=ORIENTED_EDGE('',*,*,#186825,.F.); #239662=ORIENTED_EDGE('',*,*,#186822,.T.); #239663=ORIENTED_EDGE('',*,*,#186826,.F.); #239664=ORIENTED_EDGE('',*,*,#186827,.T.); #239665=ORIENTED_EDGE('',*,*,#186828,.F.); #239666=ORIENTED_EDGE('',*,*,#186827,.F.); #239667=ORIENTED_EDGE('',*,*,#186829,.F.); #239668=ORIENTED_EDGE('',*,*,#186830,.T.); #239669=ORIENTED_EDGE('',*,*,#186831,.T.); #239670=ORIENTED_EDGE('',*,*,#186830,.F.); #239671=ORIENTED_EDGE('',*,*,#186829,.T.); #239672=ORIENTED_EDGE('',*,*,#186826,.T.); #239673=ORIENTED_EDGE('',*,*,#186831,.F.); #239674=ORIENTED_EDGE('',*,*,#186828,.T.); #239675=ORIENTED_EDGE('',*,*,#186832,.F.); #239676=ORIENTED_EDGE('',*,*,#186833,.T.); #239677=ORIENTED_EDGE('',*,*,#186834,.F.); #239678=ORIENTED_EDGE('',*,*,#186833,.F.); #239679=ORIENTED_EDGE('',*,*,#186835,.F.); #239680=ORIENTED_EDGE('',*,*,#186836,.T.); #239681=ORIENTED_EDGE('',*,*,#186837,.T.); #239682=ORIENTED_EDGE('',*,*,#186836,.F.); #239683=ORIENTED_EDGE('',*,*,#186835,.T.); #239684=ORIENTED_EDGE('',*,*,#186832,.T.); #239685=ORIENTED_EDGE('',*,*,#186837,.F.); #239686=ORIENTED_EDGE('',*,*,#186834,.T.); #239687=ORIENTED_EDGE('',*,*,#186838,.F.); #239688=ORIENTED_EDGE('',*,*,#186839,.T.); #239689=ORIENTED_EDGE('',*,*,#186840,.F.); #239690=ORIENTED_EDGE('',*,*,#186839,.F.); #239691=ORIENTED_EDGE('',*,*,#186841,.F.); #239692=ORIENTED_EDGE('',*,*,#186842,.T.); #239693=ORIENTED_EDGE('',*,*,#186843,.T.); #239694=ORIENTED_EDGE('',*,*,#186842,.F.); #239695=ORIENTED_EDGE('',*,*,#186841,.T.); #239696=ORIENTED_EDGE('',*,*,#186838,.T.); #239697=ORIENTED_EDGE('',*,*,#186843,.F.); #239698=ORIENTED_EDGE('',*,*,#186840,.T.); #239699=ORIENTED_EDGE('',*,*,#186844,.F.); #239700=ORIENTED_EDGE('',*,*,#186845,.T.); #239701=ORIENTED_EDGE('',*,*,#186846,.F.); #239702=ORIENTED_EDGE('',*,*,#186845,.F.); #239703=ORIENTED_EDGE('',*,*,#186847,.F.); #239704=ORIENTED_EDGE('',*,*,#186848,.T.); #239705=ORIENTED_EDGE('',*,*,#186849,.T.); #239706=ORIENTED_EDGE('',*,*,#186848,.F.); #239707=ORIENTED_EDGE('',*,*,#186847,.T.); #239708=ORIENTED_EDGE('',*,*,#186844,.T.); #239709=ORIENTED_EDGE('',*,*,#186849,.F.); #239710=ORIENTED_EDGE('',*,*,#186846,.T.); #239711=ORIENTED_EDGE('',*,*,#186850,.F.); #239712=ORIENTED_EDGE('',*,*,#186851,.T.); #239713=ORIENTED_EDGE('',*,*,#186852,.F.); #239714=ORIENTED_EDGE('',*,*,#186851,.F.); #239715=ORIENTED_EDGE('',*,*,#186853,.F.); #239716=ORIENTED_EDGE('',*,*,#186854,.T.); #239717=ORIENTED_EDGE('',*,*,#186855,.T.); #239718=ORIENTED_EDGE('',*,*,#186854,.F.); #239719=ORIENTED_EDGE('',*,*,#186853,.T.); #239720=ORIENTED_EDGE('',*,*,#186850,.T.); #239721=ORIENTED_EDGE('',*,*,#186855,.F.); #239722=ORIENTED_EDGE('',*,*,#186852,.T.); #239723=ORIENTED_EDGE('',*,*,#186856,.F.); #239724=ORIENTED_EDGE('',*,*,#186857,.T.); #239725=ORIENTED_EDGE('',*,*,#186858,.F.); #239726=ORIENTED_EDGE('',*,*,#186857,.F.); #239727=ORIENTED_EDGE('',*,*,#186859,.F.); #239728=ORIENTED_EDGE('',*,*,#186860,.T.); #239729=ORIENTED_EDGE('',*,*,#186861,.T.); #239730=ORIENTED_EDGE('',*,*,#186860,.F.); #239731=ORIENTED_EDGE('',*,*,#186859,.T.); #239732=ORIENTED_EDGE('',*,*,#186856,.T.); #239733=ORIENTED_EDGE('',*,*,#186861,.F.); #239734=ORIENTED_EDGE('',*,*,#186858,.T.); #239735=ORIENTED_EDGE('',*,*,#186862,.F.); #239736=ORIENTED_EDGE('',*,*,#186863,.T.); #239737=ORIENTED_EDGE('',*,*,#186864,.F.); #239738=ORIENTED_EDGE('',*,*,#186863,.F.); #239739=ORIENTED_EDGE('',*,*,#186865,.F.); #239740=ORIENTED_EDGE('',*,*,#186866,.T.); #239741=ORIENTED_EDGE('',*,*,#186867,.T.); #239742=ORIENTED_EDGE('',*,*,#186866,.F.); #239743=ORIENTED_EDGE('',*,*,#186865,.T.); #239744=ORIENTED_EDGE('',*,*,#186862,.T.); #239745=ORIENTED_EDGE('',*,*,#186867,.F.); #239746=ORIENTED_EDGE('',*,*,#186864,.T.); #239747=ORIENTED_EDGE('',*,*,#186868,.F.); #239748=ORIENTED_EDGE('',*,*,#186869,.T.); #239749=ORIENTED_EDGE('',*,*,#186870,.F.); #239750=ORIENTED_EDGE('',*,*,#186869,.F.); #239751=ORIENTED_EDGE('',*,*,#186871,.F.); #239752=ORIENTED_EDGE('',*,*,#186872,.T.); #239753=ORIENTED_EDGE('',*,*,#186873,.T.); #239754=ORIENTED_EDGE('',*,*,#186872,.F.); #239755=ORIENTED_EDGE('',*,*,#186871,.T.); #239756=ORIENTED_EDGE('',*,*,#186868,.T.); #239757=ORIENTED_EDGE('',*,*,#186873,.F.); #239758=ORIENTED_EDGE('',*,*,#186870,.T.); #239759=ORIENTED_EDGE('',*,*,#186874,.F.); #239760=ORIENTED_EDGE('',*,*,#186875,.T.); #239761=ORIENTED_EDGE('',*,*,#186876,.F.); #239762=ORIENTED_EDGE('',*,*,#186875,.F.); #239763=ORIENTED_EDGE('',*,*,#186877,.F.); #239764=ORIENTED_EDGE('',*,*,#186878,.T.); #239765=ORIENTED_EDGE('',*,*,#186879,.T.); #239766=ORIENTED_EDGE('',*,*,#186878,.F.); #239767=ORIENTED_EDGE('',*,*,#186877,.T.); #239768=ORIENTED_EDGE('',*,*,#186874,.T.); #239769=ORIENTED_EDGE('',*,*,#186879,.F.); #239770=ORIENTED_EDGE('',*,*,#186876,.T.); #239771=ORIENTED_EDGE('',*,*,#186880,.F.); #239772=ORIENTED_EDGE('',*,*,#186881,.T.); #239773=ORIENTED_EDGE('',*,*,#186882,.F.); #239774=ORIENTED_EDGE('',*,*,#186881,.F.); #239775=ORIENTED_EDGE('',*,*,#186883,.F.); #239776=ORIENTED_EDGE('',*,*,#186884,.T.); #239777=ORIENTED_EDGE('',*,*,#186885,.T.); #239778=ORIENTED_EDGE('',*,*,#186884,.F.); #239779=ORIENTED_EDGE('',*,*,#186883,.T.); #239780=ORIENTED_EDGE('',*,*,#186880,.T.); #239781=ORIENTED_EDGE('',*,*,#186885,.F.); #239782=ORIENTED_EDGE('',*,*,#186882,.T.); #239783=ORIENTED_EDGE('',*,*,#186886,.F.); #239784=ORIENTED_EDGE('',*,*,#186887,.T.); #239785=ORIENTED_EDGE('',*,*,#186888,.F.); #239786=ORIENTED_EDGE('',*,*,#186887,.F.); #239787=ORIENTED_EDGE('',*,*,#186889,.F.); #239788=ORIENTED_EDGE('',*,*,#186890,.T.); #239789=ORIENTED_EDGE('',*,*,#186891,.T.); #239790=ORIENTED_EDGE('',*,*,#186890,.F.); #239791=ORIENTED_EDGE('',*,*,#186889,.T.); #239792=ORIENTED_EDGE('',*,*,#186886,.T.); #239793=ORIENTED_EDGE('',*,*,#186891,.F.); #239794=ORIENTED_EDGE('',*,*,#186888,.T.); #239795=ORIENTED_EDGE('',*,*,#186892,.F.); #239796=ORIENTED_EDGE('',*,*,#186893,.T.); #239797=ORIENTED_EDGE('',*,*,#186894,.F.); #239798=ORIENTED_EDGE('',*,*,#186893,.F.); #239799=ORIENTED_EDGE('',*,*,#186895,.F.); #239800=ORIENTED_EDGE('',*,*,#186896,.T.); #239801=ORIENTED_EDGE('',*,*,#186897,.T.); #239802=ORIENTED_EDGE('',*,*,#186896,.F.); #239803=ORIENTED_EDGE('',*,*,#186895,.T.); #239804=ORIENTED_EDGE('',*,*,#186892,.T.); #239805=ORIENTED_EDGE('',*,*,#186897,.F.); #239806=ORIENTED_EDGE('',*,*,#186894,.T.); #239807=ORIENTED_EDGE('',*,*,#186898,.F.); #239808=ORIENTED_EDGE('',*,*,#186899,.T.); #239809=ORIENTED_EDGE('',*,*,#186900,.F.); #239810=ORIENTED_EDGE('',*,*,#186899,.F.); #239811=ORIENTED_EDGE('',*,*,#186901,.F.); #239812=ORIENTED_EDGE('',*,*,#186902,.T.); #239813=ORIENTED_EDGE('',*,*,#186903,.T.); #239814=ORIENTED_EDGE('',*,*,#186902,.F.); #239815=ORIENTED_EDGE('',*,*,#186901,.T.); #239816=ORIENTED_EDGE('',*,*,#186898,.T.); #239817=ORIENTED_EDGE('',*,*,#186903,.F.); #239818=ORIENTED_EDGE('',*,*,#186900,.T.); #239819=ORIENTED_EDGE('',*,*,#186904,.F.); #239820=ORIENTED_EDGE('',*,*,#186905,.T.); #239821=ORIENTED_EDGE('',*,*,#186906,.F.); #239822=ORIENTED_EDGE('',*,*,#186905,.F.); #239823=ORIENTED_EDGE('',*,*,#186907,.F.); #239824=ORIENTED_EDGE('',*,*,#186908,.T.); #239825=ORIENTED_EDGE('',*,*,#186909,.T.); #239826=ORIENTED_EDGE('',*,*,#186908,.F.); #239827=ORIENTED_EDGE('',*,*,#186907,.T.); #239828=ORIENTED_EDGE('',*,*,#186904,.T.); #239829=ORIENTED_EDGE('',*,*,#186909,.F.); #239830=ORIENTED_EDGE('',*,*,#186906,.T.); #239831=ORIENTED_EDGE('',*,*,#186910,.F.); #239832=ORIENTED_EDGE('',*,*,#186911,.T.); #239833=ORIENTED_EDGE('',*,*,#186912,.F.); #239834=ORIENTED_EDGE('',*,*,#186911,.F.); #239835=ORIENTED_EDGE('',*,*,#186913,.F.); #239836=ORIENTED_EDGE('',*,*,#186914,.T.); #239837=ORIENTED_EDGE('',*,*,#186915,.T.); #239838=ORIENTED_EDGE('',*,*,#186914,.F.); #239839=ORIENTED_EDGE('',*,*,#186913,.T.); #239840=ORIENTED_EDGE('',*,*,#186910,.T.); #239841=ORIENTED_EDGE('',*,*,#186915,.F.); #239842=ORIENTED_EDGE('',*,*,#186912,.T.); #239843=ORIENTED_EDGE('',*,*,#186916,.F.); #239844=ORIENTED_EDGE('',*,*,#186917,.T.); #239845=ORIENTED_EDGE('',*,*,#186918,.F.); #239846=ORIENTED_EDGE('',*,*,#186917,.F.); #239847=ORIENTED_EDGE('',*,*,#186919,.F.); #239848=ORIENTED_EDGE('',*,*,#186920,.T.); #239849=ORIENTED_EDGE('',*,*,#186921,.T.); #239850=ORIENTED_EDGE('',*,*,#186920,.F.); #239851=ORIENTED_EDGE('',*,*,#186919,.T.); #239852=ORIENTED_EDGE('',*,*,#186916,.T.); #239853=ORIENTED_EDGE('',*,*,#186921,.F.); #239854=ORIENTED_EDGE('',*,*,#186918,.T.); #239855=ORIENTED_EDGE('',*,*,#186922,.F.); #239856=ORIENTED_EDGE('',*,*,#186923,.T.); #239857=ORIENTED_EDGE('',*,*,#186924,.F.); #239858=ORIENTED_EDGE('',*,*,#186923,.F.); #239859=ORIENTED_EDGE('',*,*,#186925,.F.); #239860=ORIENTED_EDGE('',*,*,#186926,.T.); #239861=ORIENTED_EDGE('',*,*,#186927,.T.); #239862=ORIENTED_EDGE('',*,*,#186926,.F.); #239863=ORIENTED_EDGE('',*,*,#186925,.T.); #239864=ORIENTED_EDGE('',*,*,#186922,.T.); #239865=ORIENTED_EDGE('',*,*,#186927,.F.); #239866=ORIENTED_EDGE('',*,*,#186924,.T.); #239867=ORIENTED_EDGE('',*,*,#186928,.F.); #239868=ORIENTED_EDGE('',*,*,#186929,.T.); #239869=ORIENTED_EDGE('',*,*,#186930,.F.); #239870=ORIENTED_EDGE('',*,*,#186929,.F.); #239871=ORIENTED_EDGE('',*,*,#186931,.F.); #239872=ORIENTED_EDGE('',*,*,#186932,.T.); #239873=ORIENTED_EDGE('',*,*,#186933,.T.); #239874=ORIENTED_EDGE('',*,*,#186932,.F.); #239875=ORIENTED_EDGE('',*,*,#186931,.T.); #239876=ORIENTED_EDGE('',*,*,#186928,.T.); #239877=ORIENTED_EDGE('',*,*,#186933,.F.); #239878=ORIENTED_EDGE('',*,*,#186930,.T.); #239879=ORIENTED_EDGE('',*,*,#186934,.F.); #239880=ORIENTED_EDGE('',*,*,#186935,.T.); #239881=ORIENTED_EDGE('',*,*,#186936,.F.); #239882=ORIENTED_EDGE('',*,*,#186935,.F.); #239883=ORIENTED_EDGE('',*,*,#186937,.F.); #239884=ORIENTED_EDGE('',*,*,#186938,.T.); #239885=ORIENTED_EDGE('',*,*,#186939,.T.); #239886=ORIENTED_EDGE('',*,*,#186938,.F.); #239887=ORIENTED_EDGE('',*,*,#186937,.T.); #239888=ORIENTED_EDGE('',*,*,#186934,.T.); #239889=ORIENTED_EDGE('',*,*,#186939,.F.); #239890=ORIENTED_EDGE('',*,*,#186936,.T.); #239891=ORIENTED_EDGE('',*,*,#186940,.F.); #239892=ORIENTED_EDGE('',*,*,#186941,.T.); #239893=ORIENTED_EDGE('',*,*,#186942,.F.); #239894=ORIENTED_EDGE('',*,*,#186941,.F.); #239895=ORIENTED_EDGE('',*,*,#186943,.F.); #239896=ORIENTED_EDGE('',*,*,#186944,.T.); #239897=ORIENTED_EDGE('',*,*,#186945,.T.); #239898=ORIENTED_EDGE('',*,*,#186944,.F.); #239899=ORIENTED_EDGE('',*,*,#186943,.T.); #239900=ORIENTED_EDGE('',*,*,#186940,.T.); #239901=ORIENTED_EDGE('',*,*,#186945,.F.); #239902=ORIENTED_EDGE('',*,*,#186942,.T.); #239903=ORIENTED_EDGE('',*,*,#186946,.F.); #239904=ORIENTED_EDGE('',*,*,#186947,.T.); #239905=ORIENTED_EDGE('',*,*,#186948,.F.); #239906=ORIENTED_EDGE('',*,*,#186947,.F.); #239907=ORIENTED_EDGE('',*,*,#186949,.F.); #239908=ORIENTED_EDGE('',*,*,#186950,.T.); #239909=ORIENTED_EDGE('',*,*,#186951,.T.); #239910=ORIENTED_EDGE('',*,*,#186950,.F.); #239911=ORIENTED_EDGE('',*,*,#186949,.T.); #239912=ORIENTED_EDGE('',*,*,#186946,.T.); #239913=ORIENTED_EDGE('',*,*,#186951,.F.); #239914=ORIENTED_EDGE('',*,*,#186948,.T.); #239915=ORIENTED_EDGE('',*,*,#186952,.F.); #239916=ORIENTED_EDGE('',*,*,#186953,.T.); #239917=ORIENTED_EDGE('',*,*,#186954,.F.); #239918=ORIENTED_EDGE('',*,*,#186953,.F.); #239919=ORIENTED_EDGE('',*,*,#186955,.F.); #239920=ORIENTED_EDGE('',*,*,#186956,.T.); #239921=ORIENTED_EDGE('',*,*,#186957,.T.); #239922=ORIENTED_EDGE('',*,*,#186956,.F.); #239923=ORIENTED_EDGE('',*,*,#186955,.T.); #239924=ORIENTED_EDGE('',*,*,#186952,.T.); #239925=ORIENTED_EDGE('',*,*,#186957,.F.); #239926=ORIENTED_EDGE('',*,*,#186954,.T.); #239927=ORIENTED_EDGE('',*,*,#186958,.F.); #239928=ORIENTED_EDGE('',*,*,#186959,.T.); #239929=ORIENTED_EDGE('',*,*,#186960,.F.); #239930=ORIENTED_EDGE('',*,*,#186959,.F.); #239931=ORIENTED_EDGE('',*,*,#186961,.F.); #239932=ORIENTED_EDGE('',*,*,#186962,.T.); #239933=ORIENTED_EDGE('',*,*,#186963,.T.); #239934=ORIENTED_EDGE('',*,*,#186962,.F.); #239935=ORIENTED_EDGE('',*,*,#186961,.T.); #239936=ORIENTED_EDGE('',*,*,#186958,.T.); #239937=ORIENTED_EDGE('',*,*,#186963,.F.); #239938=ORIENTED_EDGE('',*,*,#186960,.T.); #239939=ORIENTED_EDGE('',*,*,#186964,.F.); #239940=ORIENTED_EDGE('',*,*,#186965,.T.); #239941=ORIENTED_EDGE('',*,*,#186966,.F.); #239942=ORIENTED_EDGE('',*,*,#186965,.F.); #239943=ORIENTED_EDGE('',*,*,#186967,.F.); #239944=ORIENTED_EDGE('',*,*,#186968,.T.); #239945=ORIENTED_EDGE('',*,*,#186969,.T.); #239946=ORIENTED_EDGE('',*,*,#186968,.F.); #239947=ORIENTED_EDGE('',*,*,#186967,.T.); #239948=ORIENTED_EDGE('',*,*,#186964,.T.); #239949=ORIENTED_EDGE('',*,*,#186969,.F.); #239950=ORIENTED_EDGE('',*,*,#186966,.T.); #239951=ORIENTED_EDGE('',*,*,#186970,.F.); #239952=ORIENTED_EDGE('',*,*,#186971,.T.); #239953=ORIENTED_EDGE('',*,*,#186972,.F.); #239954=ORIENTED_EDGE('',*,*,#186971,.F.); #239955=ORIENTED_EDGE('',*,*,#186973,.F.); #239956=ORIENTED_EDGE('',*,*,#186974,.T.); #239957=ORIENTED_EDGE('',*,*,#186975,.T.); #239958=ORIENTED_EDGE('',*,*,#186974,.F.); #239959=ORIENTED_EDGE('',*,*,#186973,.T.); #239960=ORIENTED_EDGE('',*,*,#186970,.T.); #239961=ORIENTED_EDGE('',*,*,#186975,.F.); #239962=ORIENTED_EDGE('',*,*,#186972,.T.); #239963=ORIENTED_EDGE('',*,*,#186976,.F.); #239964=ORIENTED_EDGE('',*,*,#186977,.T.); #239965=ORIENTED_EDGE('',*,*,#186978,.F.); #239966=ORIENTED_EDGE('',*,*,#186977,.F.); #239967=ORIENTED_EDGE('',*,*,#186979,.F.); #239968=ORIENTED_EDGE('',*,*,#186980,.T.); #239969=ORIENTED_EDGE('',*,*,#186981,.T.); #239970=ORIENTED_EDGE('',*,*,#186980,.F.); #239971=ORIENTED_EDGE('',*,*,#186979,.T.); #239972=ORIENTED_EDGE('',*,*,#186976,.T.); #239973=ORIENTED_EDGE('',*,*,#186981,.F.); #239974=ORIENTED_EDGE('',*,*,#186978,.T.); #239975=ORIENTED_EDGE('',*,*,#186982,.F.); #239976=ORIENTED_EDGE('',*,*,#186983,.T.); #239977=ORIENTED_EDGE('',*,*,#186984,.F.); #239978=ORIENTED_EDGE('',*,*,#186983,.F.); #239979=ORIENTED_EDGE('',*,*,#186985,.F.); #239980=ORIENTED_EDGE('',*,*,#186986,.T.); #239981=ORIENTED_EDGE('',*,*,#186987,.T.); #239982=ORIENTED_EDGE('',*,*,#186986,.F.); #239983=ORIENTED_EDGE('',*,*,#186985,.T.); #239984=ORIENTED_EDGE('',*,*,#186982,.T.); #239985=ORIENTED_EDGE('',*,*,#186987,.F.); #239986=ORIENTED_EDGE('',*,*,#186984,.T.); #239987=ORIENTED_EDGE('',*,*,#186988,.F.); #239988=ORIENTED_EDGE('',*,*,#186989,.T.); #239989=ORIENTED_EDGE('',*,*,#186990,.F.); #239990=ORIENTED_EDGE('',*,*,#186989,.F.); #239991=ORIENTED_EDGE('',*,*,#186991,.F.); #239992=ORIENTED_EDGE('',*,*,#186992,.T.); #239993=ORIENTED_EDGE('',*,*,#186993,.T.); #239994=ORIENTED_EDGE('',*,*,#186992,.F.); #239995=ORIENTED_EDGE('',*,*,#186991,.T.); #239996=ORIENTED_EDGE('',*,*,#186988,.T.); #239997=ORIENTED_EDGE('',*,*,#186993,.F.); #239998=ORIENTED_EDGE('',*,*,#186990,.T.); #239999=ORIENTED_EDGE('',*,*,#186994,.T.); #240000=ORIENTED_EDGE('',*,*,#186995,.T.); #240001=ORIENTED_EDGE('',*,*,#186996,.F.); #240002=ORIENTED_EDGE('',*,*,#186997,.F.); #240003=ORIENTED_EDGE('',*,*,#186998,.F.); #240004=ORIENTED_EDGE('',*,*,#186997,.T.); #240005=ORIENTED_EDGE('',*,*,#186999,.F.); #240006=ORIENTED_EDGE('',*,*,#187000,.F.); #240007=ORIENTED_EDGE('',*,*,#187001,.T.); #240008=ORIENTED_EDGE('',*,*,#187000,.T.); #240009=ORIENTED_EDGE('',*,*,#187002,.F.); #240010=ORIENTED_EDGE('',*,*,#187003,.F.); #240011=ORIENTED_EDGE('',*,*,#187004,.F.); #240012=ORIENTED_EDGE('',*,*,#187003,.T.); #240013=ORIENTED_EDGE('',*,*,#187005,.F.); #240014=ORIENTED_EDGE('',*,*,#186995,.F.); #240015=ORIENTED_EDGE('',*,*,#187006,.F.); #240016=ORIENTED_EDGE('',*,*,#187007,.T.); #240017=ORIENTED_EDGE('',*,*,#187008,.F.); #240018=ORIENTED_EDGE('',*,*,#187009,.F.); #240019=ORIENTED_EDGE('',*,*,#187010,.T.); #240020=ORIENTED_EDGE('',*,*,#187009,.T.); #240021=ORIENTED_EDGE('',*,*,#187011,.F.); #240022=ORIENTED_EDGE('',*,*,#187012,.F.); #240023=ORIENTED_EDGE('',*,*,#187013,.F.); #240024=ORIENTED_EDGE('',*,*,#187012,.T.); #240025=ORIENTED_EDGE('',*,*,#187014,.F.); #240026=ORIENTED_EDGE('',*,*,#187015,.F.); #240027=ORIENTED_EDGE('',*,*,#187016,.T.); #240028=ORIENTED_EDGE('',*,*,#187015,.T.); #240029=ORIENTED_EDGE('',*,*,#187017,.F.); #240030=ORIENTED_EDGE('',*,*,#187007,.F.); #240031=ORIENTED_EDGE('',*,*,#187017,.T.); #240032=ORIENTED_EDGE('',*,*,#187014,.T.); #240033=ORIENTED_EDGE('',*,*,#187011,.T.); #240034=ORIENTED_EDGE('',*,*,#187008,.T.); #240035=ORIENTED_EDGE('',*,*,#187005,.T.); #240036=ORIENTED_EDGE('',*,*,#187002,.T.); #240037=ORIENTED_EDGE('',*,*,#186999,.T.); #240038=ORIENTED_EDGE('',*,*,#186996,.T.); #240039=ORIENTED_EDGE('',*,*,#187016,.F.); #240040=ORIENTED_EDGE('',*,*,#187006,.T.); #240041=ORIENTED_EDGE('',*,*,#187010,.F.); #240042=ORIENTED_EDGE('',*,*,#187013,.T.); #240043=ORIENTED_EDGE('',*,*,#187004,.T.); #240044=ORIENTED_EDGE('',*,*,#186994,.F.); #240045=ORIENTED_EDGE('',*,*,#186998,.T.); #240046=ORIENTED_EDGE('',*,*,#187001,.F.); #240047=ORIENTED_EDGE('',*,*,#187018,.F.); #240048=ORIENTED_EDGE('',*,*,#187019,.T.); #240049=ORIENTED_EDGE('',*,*,#187020,.F.); #240050=ORIENTED_EDGE('',*,*,#187019,.F.); #240051=ORIENTED_EDGE('',*,*,#187021,.F.); #240052=ORIENTED_EDGE('',*,*,#187022,.T.); #240053=ORIENTED_EDGE('',*,*,#187023,.T.); #240054=ORIENTED_EDGE('',*,*,#187022,.F.); #240055=ORIENTED_EDGE('',*,*,#187021,.T.); #240056=ORIENTED_EDGE('',*,*,#187018,.T.); #240057=ORIENTED_EDGE('',*,*,#187023,.F.); #240058=ORIENTED_EDGE('',*,*,#187020,.T.); #240059=ORIENTED_EDGE('',*,*,#187024,.T.); #240060=ORIENTED_EDGE('',*,*,#187025,.T.); #240061=ORIENTED_EDGE('',*,*,#187026,.F.); #240062=ORIENTED_EDGE('',*,*,#187027,.F.); #240063=ORIENTED_EDGE('',*,*,#187028,.F.); #240064=ORIENTED_EDGE('',*,*,#187027,.T.); #240065=ORIENTED_EDGE('',*,*,#187029,.F.); #240066=ORIENTED_EDGE('',*,*,#187030,.F.); #240067=ORIENTED_EDGE('',*,*,#187031,.T.); #240068=ORIENTED_EDGE('',*,*,#187030,.T.); #240069=ORIENTED_EDGE('',*,*,#187032,.F.); #240070=ORIENTED_EDGE('',*,*,#187033,.F.); #240071=ORIENTED_EDGE('',*,*,#187034,.F.); #240072=ORIENTED_EDGE('',*,*,#187033,.T.); #240073=ORIENTED_EDGE('',*,*,#187035,.F.); #240074=ORIENTED_EDGE('',*,*,#187025,.F.); #240075=ORIENTED_EDGE('',*,*,#187036,.F.); #240076=ORIENTED_EDGE('',*,*,#187037,.T.); #240077=ORIENTED_EDGE('',*,*,#187038,.F.); #240078=ORIENTED_EDGE('',*,*,#187039,.F.); #240079=ORIENTED_EDGE('',*,*,#187040,.T.); #240080=ORIENTED_EDGE('',*,*,#187039,.T.); #240081=ORIENTED_EDGE('',*,*,#187041,.F.); #240082=ORIENTED_EDGE('',*,*,#187042,.F.); #240083=ORIENTED_EDGE('',*,*,#187043,.F.); #240084=ORIENTED_EDGE('',*,*,#187042,.T.); #240085=ORIENTED_EDGE('',*,*,#187044,.F.); #240086=ORIENTED_EDGE('',*,*,#187045,.F.); #240087=ORIENTED_EDGE('',*,*,#187046,.T.); #240088=ORIENTED_EDGE('',*,*,#187045,.T.); #240089=ORIENTED_EDGE('',*,*,#187047,.F.); #240090=ORIENTED_EDGE('',*,*,#187037,.F.); #240091=ORIENTED_EDGE('',*,*,#187047,.T.); #240092=ORIENTED_EDGE('',*,*,#187044,.T.); #240093=ORIENTED_EDGE('',*,*,#187041,.T.); #240094=ORIENTED_EDGE('',*,*,#187038,.T.); #240095=ORIENTED_EDGE('',*,*,#187035,.T.); #240096=ORIENTED_EDGE('',*,*,#187032,.T.); #240097=ORIENTED_EDGE('',*,*,#187029,.T.); #240098=ORIENTED_EDGE('',*,*,#187026,.T.); #240099=ORIENTED_EDGE('',*,*,#187046,.F.); #240100=ORIENTED_EDGE('',*,*,#187036,.T.); #240101=ORIENTED_EDGE('',*,*,#187040,.F.); #240102=ORIENTED_EDGE('',*,*,#187043,.T.); #240103=ORIENTED_EDGE('',*,*,#187034,.T.); #240104=ORIENTED_EDGE('',*,*,#187024,.F.); #240105=ORIENTED_EDGE('',*,*,#187028,.T.); #240106=ORIENTED_EDGE('',*,*,#187031,.F.); #240107=ORIENTED_EDGE('',*,*,#187048,.F.); #240108=ORIENTED_EDGE('',*,*,#187049,.T.); #240109=ORIENTED_EDGE('',*,*,#187050,.F.); #240110=ORIENTED_EDGE('',*,*,#187049,.F.); #240111=ORIENTED_EDGE('',*,*,#187051,.F.); #240112=ORIENTED_EDGE('',*,*,#187052,.T.); #240113=ORIENTED_EDGE('',*,*,#187053,.T.); #240114=ORIENTED_EDGE('',*,*,#187052,.F.); #240115=ORIENTED_EDGE('',*,*,#187051,.T.); #240116=ORIENTED_EDGE('',*,*,#187048,.T.); #240117=ORIENTED_EDGE('',*,*,#187053,.F.); #240118=ORIENTED_EDGE('',*,*,#187050,.T.); #240119=ORIENTED_EDGE('',*,*,#187054,.T.); #240120=ORIENTED_EDGE('',*,*,#187055,.T.); #240121=ORIENTED_EDGE('',*,*,#187056,.F.); #240122=ORIENTED_EDGE('',*,*,#187057,.F.); #240123=ORIENTED_EDGE('',*,*,#187058,.F.); #240124=ORIENTED_EDGE('',*,*,#187057,.T.); #240125=ORIENTED_EDGE('',*,*,#187059,.F.); #240126=ORIENTED_EDGE('',*,*,#187060,.F.); #240127=ORIENTED_EDGE('',*,*,#187061,.T.); #240128=ORIENTED_EDGE('',*,*,#187060,.T.); #240129=ORIENTED_EDGE('',*,*,#187062,.F.); #240130=ORIENTED_EDGE('',*,*,#187063,.F.); #240131=ORIENTED_EDGE('',*,*,#187064,.F.); #240132=ORIENTED_EDGE('',*,*,#187063,.T.); #240133=ORIENTED_EDGE('',*,*,#187065,.F.); #240134=ORIENTED_EDGE('',*,*,#187055,.F.); #240135=ORIENTED_EDGE('',*,*,#187066,.F.); #240136=ORIENTED_EDGE('',*,*,#187067,.T.); #240137=ORIENTED_EDGE('',*,*,#187068,.F.); #240138=ORIENTED_EDGE('',*,*,#187069,.F.); #240139=ORIENTED_EDGE('',*,*,#187070,.T.); #240140=ORIENTED_EDGE('',*,*,#187069,.T.); #240141=ORIENTED_EDGE('',*,*,#187071,.F.); #240142=ORIENTED_EDGE('',*,*,#187072,.F.); #240143=ORIENTED_EDGE('',*,*,#187073,.F.); #240144=ORIENTED_EDGE('',*,*,#187072,.T.); #240145=ORIENTED_EDGE('',*,*,#187074,.F.); #240146=ORIENTED_EDGE('',*,*,#187075,.F.); #240147=ORIENTED_EDGE('',*,*,#187076,.T.); #240148=ORIENTED_EDGE('',*,*,#187075,.T.); #240149=ORIENTED_EDGE('',*,*,#187077,.F.); #240150=ORIENTED_EDGE('',*,*,#187067,.F.); #240151=ORIENTED_EDGE('',*,*,#187077,.T.); #240152=ORIENTED_EDGE('',*,*,#187074,.T.); #240153=ORIENTED_EDGE('',*,*,#187071,.T.); #240154=ORIENTED_EDGE('',*,*,#187068,.T.); #240155=ORIENTED_EDGE('',*,*,#187065,.T.); #240156=ORIENTED_EDGE('',*,*,#187062,.T.); #240157=ORIENTED_EDGE('',*,*,#187059,.T.); #240158=ORIENTED_EDGE('',*,*,#187056,.T.); #240159=ORIENTED_EDGE('',*,*,#187076,.F.); #240160=ORIENTED_EDGE('',*,*,#187066,.T.); #240161=ORIENTED_EDGE('',*,*,#187070,.F.); #240162=ORIENTED_EDGE('',*,*,#187073,.T.); #240163=ORIENTED_EDGE('',*,*,#187064,.T.); #240164=ORIENTED_EDGE('',*,*,#187054,.F.); #240165=ORIENTED_EDGE('',*,*,#187058,.T.); #240166=ORIENTED_EDGE('',*,*,#187061,.F.); #240167=ORIENTED_EDGE('',*,*,#187078,.F.); #240168=ORIENTED_EDGE('',*,*,#187079,.T.); #240169=ORIENTED_EDGE('',*,*,#187080,.F.); #240170=ORIENTED_EDGE('',*,*,#187079,.F.); #240171=ORIENTED_EDGE('',*,*,#187081,.F.); #240172=ORIENTED_EDGE('',*,*,#187082,.T.); #240173=ORIENTED_EDGE('',*,*,#187083,.T.); #240174=ORIENTED_EDGE('',*,*,#187082,.F.); #240175=ORIENTED_EDGE('',*,*,#187081,.T.); #240176=ORIENTED_EDGE('',*,*,#187078,.T.); #240177=ORIENTED_EDGE('',*,*,#187083,.F.); #240178=ORIENTED_EDGE('',*,*,#187080,.T.); #240179=ORIENTED_EDGE('',*,*,#187084,.F.); #240180=ORIENTED_EDGE('',*,*,#187085,.T.); #240181=ORIENTED_EDGE('',*,*,#187086,.F.); #240182=ORIENTED_EDGE('',*,*,#187085,.F.); #240183=ORIENTED_EDGE('',*,*,#187087,.F.); #240184=ORIENTED_EDGE('',*,*,#187088,.T.); #240185=ORIENTED_EDGE('',*,*,#187089,.T.); #240186=ORIENTED_EDGE('',*,*,#187088,.F.); #240187=ORIENTED_EDGE('',*,*,#187087,.T.); #240188=ORIENTED_EDGE('',*,*,#187084,.T.); #240189=ORIENTED_EDGE('',*,*,#187089,.F.); #240190=ORIENTED_EDGE('',*,*,#187086,.T.); #240191=ORIENTED_EDGE('',*,*,#187090,.F.); #240192=ORIENTED_EDGE('',*,*,#187091,.T.); #240193=ORIENTED_EDGE('',*,*,#187092,.F.); #240194=ORIENTED_EDGE('',*,*,#187091,.F.); #240195=ORIENTED_EDGE('',*,*,#187093,.F.); #240196=ORIENTED_EDGE('',*,*,#187094,.T.); #240197=ORIENTED_EDGE('',*,*,#187095,.T.); #240198=ORIENTED_EDGE('',*,*,#187094,.F.); #240199=ORIENTED_EDGE('',*,*,#187093,.T.); #240200=ORIENTED_EDGE('',*,*,#187090,.T.); #240201=ORIENTED_EDGE('',*,*,#187095,.F.); #240202=ORIENTED_EDGE('',*,*,#187092,.T.); #240203=ORIENTED_EDGE('',*,*,#187096,.F.); #240204=ORIENTED_EDGE('',*,*,#187097,.T.); #240205=ORIENTED_EDGE('',*,*,#187098,.F.); #240206=ORIENTED_EDGE('',*,*,#187097,.F.); #240207=ORIENTED_EDGE('',*,*,#187099,.F.); #240208=ORIENTED_EDGE('',*,*,#187100,.T.); #240209=ORIENTED_EDGE('',*,*,#187101,.T.); #240210=ORIENTED_EDGE('',*,*,#187100,.F.); #240211=ORIENTED_EDGE('',*,*,#187099,.T.); #240212=ORIENTED_EDGE('',*,*,#187096,.T.); #240213=ORIENTED_EDGE('',*,*,#187101,.F.); #240214=ORIENTED_EDGE('',*,*,#187098,.T.); #240215=ORIENTED_EDGE('',*,*,#187102,.F.); #240216=ORIENTED_EDGE('',*,*,#187103,.T.); #240217=ORIENTED_EDGE('',*,*,#187104,.F.); #240218=ORIENTED_EDGE('',*,*,#187103,.F.); #240219=ORIENTED_EDGE('',*,*,#187105,.F.); #240220=ORIENTED_EDGE('',*,*,#187106,.T.); #240221=ORIENTED_EDGE('',*,*,#187107,.T.); #240222=ORIENTED_EDGE('',*,*,#187106,.F.); #240223=ORIENTED_EDGE('',*,*,#187105,.T.); #240224=ORIENTED_EDGE('',*,*,#187102,.T.); #240225=ORIENTED_EDGE('',*,*,#187107,.F.); #240226=ORIENTED_EDGE('',*,*,#187104,.T.); #240227=ORIENTED_EDGE('',*,*,#187108,.F.); #240228=ORIENTED_EDGE('',*,*,#187109,.T.); #240229=ORIENTED_EDGE('',*,*,#187110,.F.); #240230=ORIENTED_EDGE('',*,*,#187109,.F.); #240231=ORIENTED_EDGE('',*,*,#187111,.F.); #240232=ORIENTED_EDGE('',*,*,#187112,.T.); #240233=ORIENTED_EDGE('',*,*,#187113,.T.); #240234=ORIENTED_EDGE('',*,*,#187112,.F.); #240235=ORIENTED_EDGE('',*,*,#187111,.T.); #240236=ORIENTED_EDGE('',*,*,#187108,.T.); #240237=ORIENTED_EDGE('',*,*,#187113,.F.); #240238=ORIENTED_EDGE('',*,*,#187110,.T.); #240239=ORIENTED_EDGE('',*,*,#187114,.F.); #240240=ORIENTED_EDGE('',*,*,#187115,.T.); #240241=ORIENTED_EDGE('',*,*,#187116,.F.); #240242=ORIENTED_EDGE('',*,*,#187115,.F.); #240243=ORIENTED_EDGE('',*,*,#187117,.F.); #240244=ORIENTED_EDGE('',*,*,#187118,.T.); #240245=ORIENTED_EDGE('',*,*,#187119,.T.); #240246=ORIENTED_EDGE('',*,*,#187118,.F.); #240247=ORIENTED_EDGE('',*,*,#187117,.T.); #240248=ORIENTED_EDGE('',*,*,#187114,.T.); #240249=ORIENTED_EDGE('',*,*,#187119,.F.); #240250=ORIENTED_EDGE('',*,*,#187116,.T.); #240251=ORIENTED_EDGE('',*,*,#187120,.F.); #240252=ORIENTED_EDGE('',*,*,#187121,.T.); #240253=ORIENTED_EDGE('',*,*,#187122,.F.); #240254=ORIENTED_EDGE('',*,*,#187121,.F.); #240255=ORIENTED_EDGE('',*,*,#187123,.F.); #240256=ORIENTED_EDGE('',*,*,#187124,.T.); #240257=ORIENTED_EDGE('',*,*,#187125,.T.); #240258=ORIENTED_EDGE('',*,*,#187124,.F.); #240259=ORIENTED_EDGE('',*,*,#187123,.T.); #240260=ORIENTED_EDGE('',*,*,#187120,.T.); #240261=ORIENTED_EDGE('',*,*,#187125,.F.); #240262=ORIENTED_EDGE('',*,*,#187122,.T.); #240263=ORIENTED_EDGE('',*,*,#187126,.F.); #240264=ORIENTED_EDGE('',*,*,#187127,.T.); #240265=ORIENTED_EDGE('',*,*,#187128,.F.); #240266=ORIENTED_EDGE('',*,*,#187127,.F.); #240267=ORIENTED_EDGE('',*,*,#187129,.F.); #240268=ORIENTED_EDGE('',*,*,#187130,.T.); #240269=ORIENTED_EDGE('',*,*,#187131,.T.); #240270=ORIENTED_EDGE('',*,*,#187130,.F.); #240271=ORIENTED_EDGE('',*,*,#187129,.T.); #240272=ORIENTED_EDGE('',*,*,#187126,.T.); #240273=ORIENTED_EDGE('',*,*,#187131,.F.); #240274=ORIENTED_EDGE('',*,*,#187128,.T.); #240275=ORIENTED_EDGE('',*,*,#187132,.F.); #240276=ORIENTED_EDGE('',*,*,#187133,.T.); #240277=ORIENTED_EDGE('',*,*,#187134,.F.); #240278=ORIENTED_EDGE('',*,*,#187133,.F.); #240279=ORIENTED_EDGE('',*,*,#187135,.F.); #240280=ORIENTED_EDGE('',*,*,#187136,.T.); #240281=ORIENTED_EDGE('',*,*,#187137,.T.); #240282=ORIENTED_EDGE('',*,*,#187136,.F.); #240283=ORIENTED_EDGE('',*,*,#187135,.T.); #240284=ORIENTED_EDGE('',*,*,#187132,.T.); #240285=ORIENTED_EDGE('',*,*,#187137,.F.); #240286=ORIENTED_EDGE('',*,*,#187134,.T.); #240287=ORIENTED_EDGE('',*,*,#187138,.T.); #240288=ORIENTED_EDGE('',*,*,#187139,.T.); #240289=ORIENTED_EDGE('',*,*,#187140,.F.); #240290=ORIENTED_EDGE('',*,*,#187141,.F.); #240291=ORIENTED_EDGE('',*,*,#187142,.F.); #240292=ORIENTED_EDGE('',*,*,#187141,.T.); #240293=ORIENTED_EDGE('',*,*,#187143,.F.); #240294=ORIENTED_EDGE('',*,*,#187144,.F.); #240295=ORIENTED_EDGE('',*,*,#187145,.T.); #240296=ORIENTED_EDGE('',*,*,#187144,.T.); #240297=ORIENTED_EDGE('',*,*,#187146,.F.); #240298=ORIENTED_EDGE('',*,*,#187147,.F.); #240299=ORIENTED_EDGE('',*,*,#187148,.F.); #240300=ORIENTED_EDGE('',*,*,#187147,.T.); #240301=ORIENTED_EDGE('',*,*,#187149,.F.); #240302=ORIENTED_EDGE('',*,*,#187139,.F.); #240303=ORIENTED_EDGE('',*,*,#187150,.F.); #240304=ORIENTED_EDGE('',*,*,#187151,.T.); #240305=ORIENTED_EDGE('',*,*,#187152,.F.); #240306=ORIENTED_EDGE('',*,*,#187153,.F.); #240307=ORIENTED_EDGE('',*,*,#187154,.T.); #240308=ORIENTED_EDGE('',*,*,#187153,.T.); #240309=ORIENTED_EDGE('',*,*,#187155,.F.); #240310=ORIENTED_EDGE('',*,*,#187156,.F.); #240311=ORIENTED_EDGE('',*,*,#187157,.F.); #240312=ORIENTED_EDGE('',*,*,#187156,.T.); #240313=ORIENTED_EDGE('',*,*,#187158,.F.); #240314=ORIENTED_EDGE('',*,*,#187159,.F.); #240315=ORIENTED_EDGE('',*,*,#187160,.T.); #240316=ORIENTED_EDGE('',*,*,#187159,.T.); #240317=ORIENTED_EDGE('',*,*,#187161,.F.); #240318=ORIENTED_EDGE('',*,*,#187151,.F.); #240319=ORIENTED_EDGE('',*,*,#187161,.T.); #240320=ORIENTED_EDGE('',*,*,#187158,.T.); #240321=ORIENTED_EDGE('',*,*,#187155,.T.); #240322=ORIENTED_EDGE('',*,*,#187152,.T.); #240323=ORIENTED_EDGE('',*,*,#187149,.T.); #240324=ORIENTED_EDGE('',*,*,#187146,.T.); #240325=ORIENTED_EDGE('',*,*,#187143,.T.); #240326=ORIENTED_EDGE('',*,*,#187140,.T.); #240327=ORIENTED_EDGE('',*,*,#187160,.F.); #240328=ORIENTED_EDGE('',*,*,#187150,.T.); #240329=ORIENTED_EDGE('',*,*,#187154,.F.); #240330=ORIENTED_EDGE('',*,*,#187157,.T.); #240331=ORIENTED_EDGE('',*,*,#187148,.T.); #240332=ORIENTED_EDGE('',*,*,#187138,.F.); #240333=ORIENTED_EDGE('',*,*,#187142,.T.); #240334=ORIENTED_EDGE('',*,*,#187145,.F.); #240335=ORIENTED_EDGE('',*,*,#187162,.F.); #240336=ORIENTED_EDGE('',*,*,#187163,.T.); #240337=ORIENTED_EDGE('',*,*,#187164,.F.); #240338=ORIENTED_EDGE('',*,*,#187163,.F.); #240339=ORIENTED_EDGE('',*,*,#187165,.F.); #240340=ORIENTED_EDGE('',*,*,#187166,.T.); #240341=ORIENTED_EDGE('',*,*,#187167,.T.); #240342=ORIENTED_EDGE('',*,*,#187166,.F.); #240343=ORIENTED_EDGE('',*,*,#187165,.T.); #240344=ORIENTED_EDGE('',*,*,#187162,.T.); #240345=ORIENTED_EDGE('',*,*,#187167,.F.); #240346=ORIENTED_EDGE('',*,*,#187164,.T.); #240347=ORIENTED_EDGE('',*,*,#187168,.F.); #240348=ORIENTED_EDGE('',*,*,#187169,.T.); #240349=ORIENTED_EDGE('',*,*,#187170,.F.); #240350=ORIENTED_EDGE('',*,*,#187169,.F.); #240351=ORIENTED_EDGE('',*,*,#187171,.F.); #240352=ORIENTED_EDGE('',*,*,#187172,.T.); #240353=ORIENTED_EDGE('',*,*,#187173,.T.); #240354=ORIENTED_EDGE('',*,*,#187172,.F.); #240355=ORIENTED_EDGE('',*,*,#187171,.T.); #240356=ORIENTED_EDGE('',*,*,#187168,.T.); #240357=ORIENTED_EDGE('',*,*,#187173,.F.); #240358=ORIENTED_EDGE('',*,*,#187170,.T.); #240359=ORIENTED_EDGE('',*,*,#187174,.F.); #240360=ORIENTED_EDGE('',*,*,#187175,.T.); #240361=ORIENTED_EDGE('',*,*,#187176,.F.); #240362=ORIENTED_EDGE('',*,*,#187175,.F.); #240363=ORIENTED_EDGE('',*,*,#187177,.F.); #240364=ORIENTED_EDGE('',*,*,#187178,.T.); #240365=ORIENTED_EDGE('',*,*,#187179,.T.); #240366=ORIENTED_EDGE('',*,*,#187178,.F.); #240367=ORIENTED_EDGE('',*,*,#187177,.T.); #240368=ORIENTED_EDGE('',*,*,#187174,.T.); #240369=ORIENTED_EDGE('',*,*,#187179,.F.); #240370=ORIENTED_EDGE('',*,*,#187176,.T.); #240371=ORIENTED_EDGE('',*,*,#187180,.T.); #240372=ORIENTED_EDGE('',*,*,#187181,.T.); #240373=ORIENTED_EDGE('',*,*,#187182,.F.); #240374=ORIENTED_EDGE('',*,*,#187183,.F.); #240375=ORIENTED_EDGE('',*,*,#187184,.F.); #240376=ORIENTED_EDGE('',*,*,#187183,.T.); #240377=ORIENTED_EDGE('',*,*,#187185,.F.); #240378=ORIENTED_EDGE('',*,*,#187186,.F.); #240379=ORIENTED_EDGE('',*,*,#187187,.T.); #240380=ORIENTED_EDGE('',*,*,#187186,.T.); #240381=ORIENTED_EDGE('',*,*,#187188,.F.); #240382=ORIENTED_EDGE('',*,*,#187189,.F.); #240383=ORIENTED_EDGE('',*,*,#187190,.F.); #240384=ORIENTED_EDGE('',*,*,#187189,.T.); #240385=ORIENTED_EDGE('',*,*,#187191,.F.); #240386=ORIENTED_EDGE('',*,*,#187181,.F.); #240387=ORIENTED_EDGE('',*,*,#187192,.F.); #240388=ORIENTED_EDGE('',*,*,#187193,.T.); #240389=ORIENTED_EDGE('',*,*,#187194,.F.); #240390=ORIENTED_EDGE('',*,*,#187195,.F.); #240391=ORIENTED_EDGE('',*,*,#187196,.T.); #240392=ORIENTED_EDGE('',*,*,#187195,.T.); #240393=ORIENTED_EDGE('',*,*,#187197,.F.); #240394=ORIENTED_EDGE('',*,*,#187198,.F.); #240395=ORIENTED_EDGE('',*,*,#187199,.F.); #240396=ORIENTED_EDGE('',*,*,#187198,.T.); #240397=ORIENTED_EDGE('',*,*,#187200,.F.); #240398=ORIENTED_EDGE('',*,*,#187201,.F.); #240399=ORIENTED_EDGE('',*,*,#187202,.T.); #240400=ORIENTED_EDGE('',*,*,#187201,.T.); #240401=ORIENTED_EDGE('',*,*,#187203,.F.); #240402=ORIENTED_EDGE('',*,*,#187193,.F.); #240403=ORIENTED_EDGE('',*,*,#187203,.T.); #240404=ORIENTED_EDGE('',*,*,#187200,.T.); #240405=ORIENTED_EDGE('',*,*,#187197,.T.); #240406=ORIENTED_EDGE('',*,*,#187194,.T.); #240407=ORIENTED_EDGE('',*,*,#187191,.T.); #240408=ORIENTED_EDGE('',*,*,#187188,.T.); #240409=ORIENTED_EDGE('',*,*,#187185,.T.); #240410=ORIENTED_EDGE('',*,*,#187182,.T.); #240411=ORIENTED_EDGE('',*,*,#187202,.F.); #240412=ORIENTED_EDGE('',*,*,#187192,.T.); #240413=ORIENTED_EDGE('',*,*,#187196,.F.); #240414=ORIENTED_EDGE('',*,*,#187199,.T.); #240415=ORIENTED_EDGE('',*,*,#187190,.T.); #240416=ORIENTED_EDGE('',*,*,#187180,.F.); #240417=ORIENTED_EDGE('',*,*,#187184,.T.); #240418=ORIENTED_EDGE('',*,*,#187187,.F.); #240419=ORIENTED_EDGE('',*,*,#187204,.F.); #240420=ORIENTED_EDGE('',*,*,#187205,.T.); #240421=ORIENTED_EDGE('',*,*,#187206,.F.); #240422=ORIENTED_EDGE('',*,*,#187205,.F.); #240423=ORIENTED_EDGE('',*,*,#187207,.F.); #240424=ORIENTED_EDGE('',*,*,#187208,.T.); #240425=ORIENTED_EDGE('',*,*,#187209,.T.); #240426=ORIENTED_EDGE('',*,*,#187208,.F.); #240427=ORIENTED_EDGE('',*,*,#187207,.T.); #240428=ORIENTED_EDGE('',*,*,#187204,.T.); #240429=ORIENTED_EDGE('',*,*,#187209,.F.); #240430=ORIENTED_EDGE('',*,*,#187206,.T.); #240431=ORIENTED_EDGE('',*,*,#187210,.T.); #240432=ORIENTED_EDGE('',*,*,#187211,.T.); #240433=ORIENTED_EDGE('',*,*,#187212,.F.); #240434=ORIENTED_EDGE('',*,*,#187213,.F.); #240435=ORIENTED_EDGE('',*,*,#187214,.F.); #240436=ORIENTED_EDGE('',*,*,#187213,.T.); #240437=ORIENTED_EDGE('',*,*,#187215,.F.); #240438=ORIENTED_EDGE('',*,*,#187216,.F.); #240439=ORIENTED_EDGE('',*,*,#187217,.T.); #240440=ORIENTED_EDGE('',*,*,#187216,.T.); #240441=ORIENTED_EDGE('',*,*,#187218,.F.); #240442=ORIENTED_EDGE('',*,*,#187219,.F.); #240443=ORIENTED_EDGE('',*,*,#187220,.F.); #240444=ORIENTED_EDGE('',*,*,#187219,.T.); #240445=ORIENTED_EDGE('',*,*,#187221,.F.); #240446=ORIENTED_EDGE('',*,*,#187211,.F.); #240447=ORIENTED_EDGE('',*,*,#187222,.F.); #240448=ORIENTED_EDGE('',*,*,#187223,.T.); #240449=ORIENTED_EDGE('',*,*,#187224,.F.); #240450=ORIENTED_EDGE('',*,*,#187225,.F.); #240451=ORIENTED_EDGE('',*,*,#187226,.T.); #240452=ORIENTED_EDGE('',*,*,#187225,.T.); #240453=ORIENTED_EDGE('',*,*,#187227,.F.); #240454=ORIENTED_EDGE('',*,*,#187228,.F.); #240455=ORIENTED_EDGE('',*,*,#187229,.F.); #240456=ORIENTED_EDGE('',*,*,#187228,.T.); #240457=ORIENTED_EDGE('',*,*,#187230,.F.); #240458=ORIENTED_EDGE('',*,*,#187231,.F.); #240459=ORIENTED_EDGE('',*,*,#187232,.T.); #240460=ORIENTED_EDGE('',*,*,#187231,.T.); #240461=ORIENTED_EDGE('',*,*,#187233,.F.); #240462=ORIENTED_EDGE('',*,*,#187223,.F.); #240463=ORIENTED_EDGE('',*,*,#187233,.T.); #240464=ORIENTED_EDGE('',*,*,#187230,.T.); #240465=ORIENTED_EDGE('',*,*,#187227,.T.); #240466=ORIENTED_EDGE('',*,*,#187224,.T.); #240467=ORIENTED_EDGE('',*,*,#187221,.T.); #240468=ORIENTED_EDGE('',*,*,#187218,.T.); #240469=ORIENTED_EDGE('',*,*,#187215,.T.); #240470=ORIENTED_EDGE('',*,*,#187212,.T.); #240471=ORIENTED_EDGE('',*,*,#187232,.F.); #240472=ORIENTED_EDGE('',*,*,#187222,.T.); #240473=ORIENTED_EDGE('',*,*,#187226,.F.); #240474=ORIENTED_EDGE('',*,*,#187229,.T.); #240475=ORIENTED_EDGE('',*,*,#187220,.T.); #240476=ORIENTED_EDGE('',*,*,#187210,.F.); #240477=ORIENTED_EDGE('',*,*,#187214,.T.); #240478=ORIENTED_EDGE('',*,*,#187217,.F.); #240479=ORIENTED_EDGE('',*,*,#187234,.F.); #240480=ORIENTED_EDGE('',*,*,#187235,.T.); #240481=ORIENTED_EDGE('',*,*,#187236,.F.); #240482=ORIENTED_EDGE('',*,*,#187235,.F.); #240483=ORIENTED_EDGE('',*,*,#187237,.F.); #240484=ORIENTED_EDGE('',*,*,#187238,.T.); #240485=ORIENTED_EDGE('',*,*,#187239,.T.); #240486=ORIENTED_EDGE('',*,*,#187238,.F.); #240487=ORIENTED_EDGE('',*,*,#187237,.T.); #240488=ORIENTED_EDGE('',*,*,#187234,.T.); #240489=ORIENTED_EDGE('',*,*,#187239,.F.); #240490=ORIENTED_EDGE('',*,*,#187236,.T.); #240491=ORIENTED_EDGE('',*,*,#187240,.F.); #240492=ORIENTED_EDGE('',*,*,#187241,.T.); #240493=ORIENTED_EDGE('',*,*,#187242,.F.); #240494=ORIENTED_EDGE('',*,*,#187241,.F.); #240495=ORIENTED_EDGE('',*,*,#187243,.F.); #240496=ORIENTED_EDGE('',*,*,#187244,.T.); #240497=ORIENTED_EDGE('',*,*,#187245,.T.); #240498=ORIENTED_EDGE('',*,*,#187244,.F.); #240499=ORIENTED_EDGE('',*,*,#187243,.T.); #240500=ORIENTED_EDGE('',*,*,#187240,.T.); #240501=ORIENTED_EDGE('',*,*,#187245,.F.); #240502=ORIENTED_EDGE('',*,*,#187242,.T.); #240503=ORIENTED_EDGE('',*,*,#187246,.F.); #240504=ORIENTED_EDGE('',*,*,#187247,.T.); #240505=ORIENTED_EDGE('',*,*,#187248,.F.); #240506=ORIENTED_EDGE('',*,*,#187247,.F.); #240507=ORIENTED_EDGE('',*,*,#187249,.F.); #240508=ORIENTED_EDGE('',*,*,#187250,.T.); #240509=ORIENTED_EDGE('',*,*,#187251,.T.); #240510=ORIENTED_EDGE('',*,*,#187250,.F.); #240511=ORIENTED_EDGE('',*,*,#187249,.T.); #240512=ORIENTED_EDGE('',*,*,#187246,.T.); #240513=ORIENTED_EDGE('',*,*,#187251,.F.); #240514=ORIENTED_EDGE('',*,*,#187248,.T.); #240515=ORIENTED_EDGE('',*,*,#187252,.F.); #240516=ORIENTED_EDGE('',*,*,#187253,.T.); #240517=ORIENTED_EDGE('',*,*,#187254,.F.); #240518=ORIENTED_EDGE('',*,*,#187253,.F.); #240519=ORIENTED_EDGE('',*,*,#187255,.F.); #240520=ORIENTED_EDGE('',*,*,#187256,.T.); #240521=ORIENTED_EDGE('',*,*,#187257,.T.); #240522=ORIENTED_EDGE('',*,*,#187256,.F.); #240523=ORIENTED_EDGE('',*,*,#187255,.T.); #240524=ORIENTED_EDGE('',*,*,#187252,.T.); #240525=ORIENTED_EDGE('',*,*,#187257,.F.); #240526=ORIENTED_EDGE('',*,*,#187254,.T.); #240527=ORIENTED_EDGE('',*,*,#187258,.F.); #240528=ORIENTED_EDGE('',*,*,#187259,.T.); #240529=ORIENTED_EDGE('',*,*,#187260,.F.); #240530=ORIENTED_EDGE('',*,*,#187259,.F.); #240531=ORIENTED_EDGE('',*,*,#187261,.F.); #240532=ORIENTED_EDGE('',*,*,#187262,.T.); #240533=ORIENTED_EDGE('',*,*,#187263,.T.); #240534=ORIENTED_EDGE('',*,*,#187262,.F.); #240535=ORIENTED_EDGE('',*,*,#187261,.T.); #240536=ORIENTED_EDGE('',*,*,#187258,.T.); #240537=ORIENTED_EDGE('',*,*,#187263,.F.); #240538=ORIENTED_EDGE('',*,*,#187260,.T.); #240539=ORIENTED_EDGE('',*,*,#187264,.F.); #240540=ORIENTED_EDGE('',*,*,#187265,.T.); #240541=ORIENTED_EDGE('',*,*,#187266,.F.); #240542=ORIENTED_EDGE('',*,*,#187265,.F.); #240543=ORIENTED_EDGE('',*,*,#187267,.F.); #240544=ORIENTED_EDGE('',*,*,#187268,.T.); #240545=ORIENTED_EDGE('',*,*,#187269,.T.); #240546=ORIENTED_EDGE('',*,*,#187268,.F.); #240547=ORIENTED_EDGE('',*,*,#187267,.T.); #240548=ORIENTED_EDGE('',*,*,#187264,.T.); #240549=ORIENTED_EDGE('',*,*,#187269,.F.); #240550=ORIENTED_EDGE('',*,*,#187266,.T.); #240551=ORIENTED_EDGE('',*,*,#187270,.F.); #240552=ORIENTED_EDGE('',*,*,#187271,.T.); #240553=ORIENTED_EDGE('',*,*,#187272,.F.); #240554=ORIENTED_EDGE('',*,*,#187271,.F.); #240555=ORIENTED_EDGE('',*,*,#187273,.F.); #240556=ORIENTED_EDGE('',*,*,#187274,.T.); #240557=ORIENTED_EDGE('',*,*,#187275,.T.); #240558=ORIENTED_EDGE('',*,*,#187274,.F.); #240559=ORIENTED_EDGE('',*,*,#187273,.T.); #240560=ORIENTED_EDGE('',*,*,#187270,.T.); #240561=ORIENTED_EDGE('',*,*,#187275,.F.); #240562=ORIENTED_EDGE('',*,*,#187272,.T.); #240563=ORIENTED_EDGE('',*,*,#187276,.F.); #240564=ORIENTED_EDGE('',*,*,#187277,.T.); #240565=ORIENTED_EDGE('',*,*,#187278,.F.); #240566=ORIENTED_EDGE('',*,*,#187277,.F.); #240567=ORIENTED_EDGE('',*,*,#187279,.F.); #240568=ORIENTED_EDGE('',*,*,#187280,.T.); #240569=ORIENTED_EDGE('',*,*,#187281,.T.); #240570=ORIENTED_EDGE('',*,*,#187280,.F.); #240571=ORIENTED_EDGE('',*,*,#187279,.T.); #240572=ORIENTED_EDGE('',*,*,#187276,.T.); #240573=ORIENTED_EDGE('',*,*,#187281,.F.); #240574=ORIENTED_EDGE('',*,*,#187278,.T.); #240575=ORIENTED_EDGE('',*,*,#187282,.F.); #240576=ORIENTED_EDGE('',*,*,#187283,.T.); #240577=ORIENTED_EDGE('',*,*,#187284,.F.); #240578=ORIENTED_EDGE('',*,*,#187283,.F.); #240579=ORIENTED_EDGE('',*,*,#187285,.F.); #240580=ORIENTED_EDGE('',*,*,#187286,.T.); #240581=ORIENTED_EDGE('',*,*,#187287,.T.); #240582=ORIENTED_EDGE('',*,*,#187286,.F.); #240583=ORIENTED_EDGE('',*,*,#187285,.T.); #240584=ORIENTED_EDGE('',*,*,#187282,.T.); #240585=ORIENTED_EDGE('',*,*,#187287,.F.); #240586=ORIENTED_EDGE('',*,*,#187284,.T.); #240587=ORIENTED_EDGE('',*,*,#187288,.F.); #240588=ORIENTED_EDGE('',*,*,#187289,.T.); #240589=ORIENTED_EDGE('',*,*,#187290,.F.); #240590=ORIENTED_EDGE('',*,*,#187289,.F.); #240591=ORIENTED_EDGE('',*,*,#187291,.F.); #240592=ORIENTED_EDGE('',*,*,#187292,.T.); #240593=ORIENTED_EDGE('',*,*,#187293,.T.); #240594=ORIENTED_EDGE('',*,*,#187292,.F.); #240595=ORIENTED_EDGE('',*,*,#187291,.T.); #240596=ORIENTED_EDGE('',*,*,#187288,.T.); #240597=ORIENTED_EDGE('',*,*,#187293,.F.); #240598=ORIENTED_EDGE('',*,*,#187290,.T.); #240599=ORIENTED_EDGE('',*,*,#187294,.F.); #240600=ORIENTED_EDGE('',*,*,#187295,.T.); #240601=ORIENTED_EDGE('',*,*,#187296,.F.); #240602=ORIENTED_EDGE('',*,*,#187295,.F.); #240603=ORIENTED_EDGE('',*,*,#187297,.F.); #240604=ORIENTED_EDGE('',*,*,#187298,.T.); #240605=ORIENTED_EDGE('',*,*,#187299,.T.); #240606=ORIENTED_EDGE('',*,*,#187298,.F.); #240607=ORIENTED_EDGE('',*,*,#187297,.T.); #240608=ORIENTED_EDGE('',*,*,#187294,.T.); #240609=ORIENTED_EDGE('',*,*,#187299,.F.); #240610=ORIENTED_EDGE('',*,*,#187296,.T.); #240611=ORIENTED_EDGE('',*,*,#187300,.F.); #240612=ORIENTED_EDGE('',*,*,#187301,.T.); #240613=ORIENTED_EDGE('',*,*,#187302,.F.); #240614=ORIENTED_EDGE('',*,*,#187301,.F.); #240615=ORIENTED_EDGE('',*,*,#187303,.F.); #240616=ORIENTED_EDGE('',*,*,#187304,.T.); #240617=ORIENTED_EDGE('',*,*,#187305,.T.); #240618=ORIENTED_EDGE('',*,*,#187304,.F.); #240619=ORIENTED_EDGE('',*,*,#187303,.T.); #240620=ORIENTED_EDGE('',*,*,#187300,.T.); #240621=ORIENTED_EDGE('',*,*,#187305,.F.); #240622=ORIENTED_EDGE('',*,*,#187302,.T.); #240623=ORIENTED_EDGE('',*,*,#187306,.F.); #240624=ORIENTED_EDGE('',*,*,#187307,.T.); #240625=ORIENTED_EDGE('',*,*,#187308,.F.); #240626=ORIENTED_EDGE('',*,*,#187307,.F.); #240627=ORIENTED_EDGE('',*,*,#187309,.F.); #240628=ORIENTED_EDGE('',*,*,#187310,.T.); #240629=ORIENTED_EDGE('',*,*,#187311,.T.); #240630=ORIENTED_EDGE('',*,*,#187310,.F.); #240631=ORIENTED_EDGE('',*,*,#187309,.T.); #240632=ORIENTED_EDGE('',*,*,#187306,.T.); #240633=ORIENTED_EDGE('',*,*,#187311,.F.); #240634=ORIENTED_EDGE('',*,*,#187308,.T.); #240635=ORIENTED_EDGE('',*,*,#187312,.F.); #240636=ORIENTED_EDGE('',*,*,#187313,.T.); #240637=ORIENTED_EDGE('',*,*,#187314,.F.); #240638=ORIENTED_EDGE('',*,*,#187313,.F.); #240639=ORIENTED_EDGE('',*,*,#187315,.F.); #240640=ORIENTED_EDGE('',*,*,#187316,.T.); #240641=ORIENTED_EDGE('',*,*,#187317,.T.); #240642=ORIENTED_EDGE('',*,*,#187316,.F.); #240643=ORIENTED_EDGE('',*,*,#187315,.T.); #240644=ORIENTED_EDGE('',*,*,#187312,.T.); #240645=ORIENTED_EDGE('',*,*,#187317,.F.); #240646=ORIENTED_EDGE('',*,*,#187314,.T.); #240647=ORIENTED_EDGE('',*,*,#187318,.F.); #240648=ORIENTED_EDGE('',*,*,#187319,.T.); #240649=ORIENTED_EDGE('',*,*,#187320,.F.); #240650=ORIENTED_EDGE('',*,*,#187319,.F.); #240651=ORIENTED_EDGE('',*,*,#187321,.F.); #240652=ORIENTED_EDGE('',*,*,#187322,.T.); #240653=ORIENTED_EDGE('',*,*,#187323,.T.); #240654=ORIENTED_EDGE('',*,*,#187322,.F.); #240655=ORIENTED_EDGE('',*,*,#187321,.T.); #240656=ORIENTED_EDGE('',*,*,#187318,.T.); #240657=ORIENTED_EDGE('',*,*,#187323,.F.); #240658=ORIENTED_EDGE('',*,*,#187320,.T.); #240659=ORIENTED_EDGE('',*,*,#187324,.F.); #240660=ORIENTED_EDGE('',*,*,#187325,.T.); #240661=ORIENTED_EDGE('',*,*,#187326,.F.); #240662=ORIENTED_EDGE('',*,*,#187325,.F.); #240663=ORIENTED_EDGE('',*,*,#187327,.F.); #240664=ORIENTED_EDGE('',*,*,#187328,.T.); #240665=ORIENTED_EDGE('',*,*,#187329,.T.); #240666=ORIENTED_EDGE('',*,*,#187328,.F.); #240667=ORIENTED_EDGE('',*,*,#187327,.T.); #240668=ORIENTED_EDGE('',*,*,#187324,.T.); #240669=ORIENTED_EDGE('',*,*,#187329,.F.); #240670=ORIENTED_EDGE('',*,*,#187326,.T.); #240671=ORIENTED_EDGE('',*,*,#187330,.F.); #240672=ORIENTED_EDGE('',*,*,#187331,.T.); #240673=ORIENTED_EDGE('',*,*,#187332,.F.); #240674=ORIENTED_EDGE('',*,*,#187331,.F.); #240675=ORIENTED_EDGE('',*,*,#187333,.F.); #240676=ORIENTED_EDGE('',*,*,#187334,.T.); #240677=ORIENTED_EDGE('',*,*,#187335,.T.); #240678=ORIENTED_EDGE('',*,*,#187334,.F.); #240679=ORIENTED_EDGE('',*,*,#187333,.T.); #240680=ORIENTED_EDGE('',*,*,#187330,.T.); #240681=ORIENTED_EDGE('',*,*,#187335,.F.); #240682=ORIENTED_EDGE('',*,*,#187332,.T.); #240683=ORIENTED_EDGE('',*,*,#187336,.F.); #240684=ORIENTED_EDGE('',*,*,#187337,.T.); #240685=ORIENTED_EDGE('',*,*,#187338,.F.); #240686=ORIENTED_EDGE('',*,*,#187337,.F.); #240687=ORIENTED_EDGE('',*,*,#187339,.F.); #240688=ORIENTED_EDGE('',*,*,#187340,.T.); #240689=ORIENTED_EDGE('',*,*,#187341,.T.); #240690=ORIENTED_EDGE('',*,*,#187340,.F.); #240691=ORIENTED_EDGE('',*,*,#187339,.T.); #240692=ORIENTED_EDGE('',*,*,#187336,.T.); #240693=ORIENTED_EDGE('',*,*,#187341,.F.); #240694=ORIENTED_EDGE('',*,*,#187338,.T.); #240695=ORIENTED_EDGE('',*,*,#187342,.F.); #240696=ORIENTED_EDGE('',*,*,#187343,.T.); #240697=ORIENTED_EDGE('',*,*,#187344,.F.); #240698=ORIENTED_EDGE('',*,*,#187343,.F.); #240699=ORIENTED_EDGE('',*,*,#187345,.F.); #240700=ORIENTED_EDGE('',*,*,#187346,.T.); #240701=ORIENTED_EDGE('',*,*,#187347,.T.); #240702=ORIENTED_EDGE('',*,*,#187346,.F.); #240703=ORIENTED_EDGE('',*,*,#187345,.T.); #240704=ORIENTED_EDGE('',*,*,#187342,.T.); #240705=ORIENTED_EDGE('',*,*,#187347,.F.); #240706=ORIENTED_EDGE('',*,*,#187344,.T.); #240707=ORIENTED_EDGE('',*,*,#187348,.F.); #240708=ORIENTED_EDGE('',*,*,#187349,.T.); #240709=ORIENTED_EDGE('',*,*,#187350,.F.); #240710=ORIENTED_EDGE('',*,*,#187349,.F.); #240711=ORIENTED_EDGE('',*,*,#187351,.F.); #240712=ORIENTED_EDGE('',*,*,#187352,.T.); #240713=ORIENTED_EDGE('',*,*,#187353,.T.); #240714=ORIENTED_EDGE('',*,*,#187352,.F.); #240715=ORIENTED_EDGE('',*,*,#187351,.T.); #240716=ORIENTED_EDGE('',*,*,#187348,.T.); #240717=ORIENTED_EDGE('',*,*,#187353,.F.); #240718=ORIENTED_EDGE('',*,*,#187350,.T.); #240719=ORIENTED_EDGE('',*,*,#187354,.F.); #240720=ORIENTED_EDGE('',*,*,#187355,.T.); #240721=ORIENTED_EDGE('',*,*,#187356,.F.); #240722=ORIENTED_EDGE('',*,*,#187355,.F.); #240723=ORIENTED_EDGE('',*,*,#187357,.F.); #240724=ORIENTED_EDGE('',*,*,#187358,.T.); #240725=ORIENTED_EDGE('',*,*,#187359,.T.); #240726=ORIENTED_EDGE('',*,*,#187358,.F.); #240727=ORIENTED_EDGE('',*,*,#187357,.T.); #240728=ORIENTED_EDGE('',*,*,#187354,.T.); #240729=ORIENTED_EDGE('',*,*,#187359,.F.); #240730=ORIENTED_EDGE('',*,*,#187356,.T.); #240731=ORIENTED_EDGE('',*,*,#187360,.F.); #240732=ORIENTED_EDGE('',*,*,#187361,.T.); #240733=ORIENTED_EDGE('',*,*,#187362,.F.); #240734=ORIENTED_EDGE('',*,*,#187361,.F.); #240735=ORIENTED_EDGE('',*,*,#187363,.F.); #240736=ORIENTED_EDGE('',*,*,#187364,.T.); #240737=ORIENTED_EDGE('',*,*,#187365,.T.); #240738=ORIENTED_EDGE('',*,*,#187364,.F.); #240739=ORIENTED_EDGE('',*,*,#187363,.T.); #240740=ORIENTED_EDGE('',*,*,#187360,.T.); #240741=ORIENTED_EDGE('',*,*,#187365,.F.); #240742=ORIENTED_EDGE('',*,*,#187362,.T.); #240743=ORIENTED_EDGE('',*,*,#187366,.F.); #240744=ORIENTED_EDGE('',*,*,#187367,.T.); #240745=ORIENTED_EDGE('',*,*,#187368,.F.); #240746=ORIENTED_EDGE('',*,*,#187367,.F.); #240747=ORIENTED_EDGE('',*,*,#187369,.F.); #240748=ORIENTED_EDGE('',*,*,#187370,.T.); #240749=ORIENTED_EDGE('',*,*,#187371,.T.); #240750=ORIENTED_EDGE('',*,*,#187370,.F.); #240751=ORIENTED_EDGE('',*,*,#187369,.T.); #240752=ORIENTED_EDGE('',*,*,#187366,.T.); #240753=ORIENTED_EDGE('',*,*,#187371,.F.); #240754=ORIENTED_EDGE('',*,*,#187368,.T.); #240755=ORIENTED_EDGE('',*,*,#187372,.F.); #240756=ORIENTED_EDGE('',*,*,#187373,.T.); #240757=ORIENTED_EDGE('',*,*,#187374,.F.); #240758=ORIENTED_EDGE('',*,*,#187373,.F.); #240759=ORIENTED_EDGE('',*,*,#187375,.F.); #240760=ORIENTED_EDGE('',*,*,#187376,.T.); #240761=ORIENTED_EDGE('',*,*,#187377,.T.); #240762=ORIENTED_EDGE('',*,*,#187376,.F.); #240763=ORIENTED_EDGE('',*,*,#187375,.T.); #240764=ORIENTED_EDGE('',*,*,#187372,.T.); #240765=ORIENTED_EDGE('',*,*,#187377,.F.); #240766=ORIENTED_EDGE('',*,*,#187374,.T.); #240767=ORIENTED_EDGE('',*,*,#187378,.F.); #240768=ORIENTED_EDGE('',*,*,#187379,.T.); #240769=ORIENTED_EDGE('',*,*,#187380,.F.); #240770=ORIENTED_EDGE('',*,*,#187379,.F.); #240771=ORIENTED_EDGE('',*,*,#187381,.F.); #240772=ORIENTED_EDGE('',*,*,#187382,.T.); #240773=ORIENTED_EDGE('',*,*,#187383,.T.); #240774=ORIENTED_EDGE('',*,*,#187382,.F.); #240775=ORIENTED_EDGE('',*,*,#187381,.T.); #240776=ORIENTED_EDGE('',*,*,#187378,.T.); #240777=ORIENTED_EDGE('',*,*,#187383,.F.); #240778=ORIENTED_EDGE('',*,*,#187380,.T.); #240779=ORIENTED_EDGE('',*,*,#187384,.F.); #240780=ORIENTED_EDGE('',*,*,#187385,.T.); #240781=ORIENTED_EDGE('',*,*,#187386,.F.); #240782=ORIENTED_EDGE('',*,*,#187385,.F.); #240783=ORIENTED_EDGE('',*,*,#187387,.F.); #240784=ORIENTED_EDGE('',*,*,#187388,.T.); #240785=ORIENTED_EDGE('',*,*,#187389,.T.); #240786=ORIENTED_EDGE('',*,*,#187388,.F.); #240787=ORIENTED_EDGE('',*,*,#187387,.T.); #240788=ORIENTED_EDGE('',*,*,#187384,.T.); #240789=ORIENTED_EDGE('',*,*,#187389,.F.); #240790=ORIENTED_EDGE('',*,*,#187386,.T.); #240791=ORIENTED_EDGE('',*,*,#187390,.F.); #240792=ORIENTED_EDGE('',*,*,#187391,.T.); #240793=ORIENTED_EDGE('',*,*,#187392,.F.); #240794=ORIENTED_EDGE('',*,*,#187391,.F.); #240795=ORIENTED_EDGE('',*,*,#187393,.F.); #240796=ORIENTED_EDGE('',*,*,#187394,.T.); #240797=ORIENTED_EDGE('',*,*,#187395,.T.); #240798=ORIENTED_EDGE('',*,*,#187394,.F.); #240799=ORIENTED_EDGE('',*,*,#187393,.T.); #240800=ORIENTED_EDGE('',*,*,#187390,.T.); #240801=ORIENTED_EDGE('',*,*,#187395,.F.); #240802=ORIENTED_EDGE('',*,*,#187392,.T.); #240803=ORIENTED_EDGE('',*,*,#187396,.F.); #240804=ORIENTED_EDGE('',*,*,#187397,.T.); #240805=ORIENTED_EDGE('',*,*,#187398,.F.); #240806=ORIENTED_EDGE('',*,*,#187397,.F.); #240807=ORIENTED_EDGE('',*,*,#187399,.F.); #240808=ORIENTED_EDGE('',*,*,#187400,.T.); #240809=ORIENTED_EDGE('',*,*,#187401,.T.); #240810=ORIENTED_EDGE('',*,*,#187400,.F.); #240811=ORIENTED_EDGE('',*,*,#187399,.T.); #240812=ORIENTED_EDGE('',*,*,#187396,.T.); #240813=ORIENTED_EDGE('',*,*,#187401,.F.); #240814=ORIENTED_EDGE('',*,*,#187398,.T.); #240815=ORIENTED_EDGE('',*,*,#187402,.F.); #240816=ORIENTED_EDGE('',*,*,#187403,.T.); #240817=ORIENTED_EDGE('',*,*,#187404,.F.); #240818=ORIENTED_EDGE('',*,*,#187403,.F.); #240819=ORIENTED_EDGE('',*,*,#187405,.F.); #240820=ORIENTED_EDGE('',*,*,#187406,.T.); #240821=ORIENTED_EDGE('',*,*,#187407,.T.); #240822=ORIENTED_EDGE('',*,*,#187406,.F.); #240823=ORIENTED_EDGE('',*,*,#187405,.T.); #240824=ORIENTED_EDGE('',*,*,#187402,.T.); #240825=ORIENTED_EDGE('',*,*,#187407,.F.); #240826=ORIENTED_EDGE('',*,*,#187404,.T.); #240827=ORIENTED_EDGE('',*,*,#187408,.F.); #240828=ORIENTED_EDGE('',*,*,#187409,.T.); #240829=ORIENTED_EDGE('',*,*,#187410,.F.); #240830=ORIENTED_EDGE('',*,*,#187409,.F.); #240831=ORIENTED_EDGE('',*,*,#187411,.F.); #240832=ORIENTED_EDGE('',*,*,#187412,.T.); #240833=ORIENTED_EDGE('',*,*,#187413,.T.); #240834=ORIENTED_EDGE('',*,*,#187412,.F.); #240835=ORIENTED_EDGE('',*,*,#187411,.T.); #240836=ORIENTED_EDGE('',*,*,#187408,.T.); #240837=ORIENTED_EDGE('',*,*,#187413,.F.); #240838=ORIENTED_EDGE('',*,*,#187410,.T.); #240839=ORIENTED_EDGE('',*,*,#187414,.F.); #240840=ORIENTED_EDGE('',*,*,#187415,.T.); #240841=ORIENTED_EDGE('',*,*,#187416,.F.); #240842=ORIENTED_EDGE('',*,*,#187415,.F.); #240843=ORIENTED_EDGE('',*,*,#187417,.F.); #240844=ORIENTED_EDGE('',*,*,#187418,.T.); #240845=ORIENTED_EDGE('',*,*,#187419,.T.); #240846=ORIENTED_EDGE('',*,*,#187418,.F.); #240847=ORIENTED_EDGE('',*,*,#187417,.T.); #240848=ORIENTED_EDGE('',*,*,#187414,.T.); #240849=ORIENTED_EDGE('',*,*,#187419,.F.); #240850=ORIENTED_EDGE('',*,*,#187416,.T.); #240851=ORIENTED_EDGE('',*,*,#187420,.F.); #240852=ORIENTED_EDGE('',*,*,#187421,.T.); #240853=ORIENTED_EDGE('',*,*,#187422,.F.); #240854=ORIENTED_EDGE('',*,*,#187421,.F.); #240855=ORIENTED_EDGE('',*,*,#187423,.F.); #240856=ORIENTED_EDGE('',*,*,#187424,.T.); #240857=ORIENTED_EDGE('',*,*,#187425,.T.); #240858=ORIENTED_EDGE('',*,*,#187424,.F.); #240859=ORIENTED_EDGE('',*,*,#187423,.T.); #240860=ORIENTED_EDGE('',*,*,#187420,.T.); #240861=ORIENTED_EDGE('',*,*,#187425,.F.); #240862=ORIENTED_EDGE('',*,*,#187422,.T.); #240863=ORIENTED_EDGE('',*,*,#187426,.F.); #240864=ORIENTED_EDGE('',*,*,#187427,.T.); #240865=ORIENTED_EDGE('',*,*,#187428,.F.); #240866=ORIENTED_EDGE('',*,*,#187427,.F.); #240867=ORIENTED_EDGE('',*,*,#187429,.F.); #240868=ORIENTED_EDGE('',*,*,#187430,.T.); #240869=ORIENTED_EDGE('',*,*,#187431,.T.); #240870=ORIENTED_EDGE('',*,*,#187430,.F.); #240871=ORIENTED_EDGE('',*,*,#187429,.T.); #240872=ORIENTED_EDGE('',*,*,#187426,.T.); #240873=ORIENTED_EDGE('',*,*,#187431,.F.); #240874=ORIENTED_EDGE('',*,*,#187428,.T.); #240875=ORIENTED_EDGE('',*,*,#187432,.F.); #240876=ORIENTED_EDGE('',*,*,#187433,.T.); #240877=ORIENTED_EDGE('',*,*,#187434,.F.); #240878=ORIENTED_EDGE('',*,*,#187433,.F.); #240879=ORIENTED_EDGE('',*,*,#187435,.F.); #240880=ORIENTED_EDGE('',*,*,#187436,.T.); #240881=ORIENTED_EDGE('',*,*,#187437,.T.); #240882=ORIENTED_EDGE('',*,*,#187436,.F.); #240883=ORIENTED_EDGE('',*,*,#187435,.T.); #240884=ORIENTED_EDGE('',*,*,#187432,.T.); #240885=ORIENTED_EDGE('',*,*,#187437,.F.); #240886=ORIENTED_EDGE('',*,*,#187434,.T.); #240887=ORIENTED_EDGE('',*,*,#187438,.F.); #240888=ORIENTED_EDGE('',*,*,#187439,.T.); #240889=ORIENTED_EDGE('',*,*,#187440,.F.); #240890=ORIENTED_EDGE('',*,*,#187439,.F.); #240891=ORIENTED_EDGE('',*,*,#187441,.F.); #240892=ORIENTED_EDGE('',*,*,#187442,.T.); #240893=ORIENTED_EDGE('',*,*,#187443,.T.); #240894=ORIENTED_EDGE('',*,*,#187442,.F.); #240895=ORIENTED_EDGE('',*,*,#187441,.T.); #240896=ORIENTED_EDGE('',*,*,#187438,.T.); #240897=ORIENTED_EDGE('',*,*,#187443,.F.); #240898=ORIENTED_EDGE('',*,*,#187440,.T.); #240899=ORIENTED_EDGE('',*,*,#187444,.F.); #240900=ORIENTED_EDGE('',*,*,#187445,.T.); #240901=ORIENTED_EDGE('',*,*,#187446,.F.); #240902=ORIENTED_EDGE('',*,*,#187445,.F.); #240903=ORIENTED_EDGE('',*,*,#187447,.F.); #240904=ORIENTED_EDGE('',*,*,#187448,.T.); #240905=ORIENTED_EDGE('',*,*,#187449,.T.); #240906=ORIENTED_EDGE('',*,*,#187448,.F.); #240907=ORIENTED_EDGE('',*,*,#187447,.T.); #240908=ORIENTED_EDGE('',*,*,#187444,.T.); #240909=ORIENTED_EDGE('',*,*,#187449,.F.); #240910=ORIENTED_EDGE('',*,*,#187446,.T.); #240911=ORIENTED_EDGE('',*,*,#187450,.F.); #240912=ORIENTED_EDGE('',*,*,#187451,.T.); #240913=ORIENTED_EDGE('',*,*,#187452,.F.); #240914=ORIENTED_EDGE('',*,*,#187451,.F.); #240915=ORIENTED_EDGE('',*,*,#187453,.F.); #240916=ORIENTED_EDGE('',*,*,#187454,.T.); #240917=ORIENTED_EDGE('',*,*,#187455,.T.); #240918=ORIENTED_EDGE('',*,*,#187454,.F.); #240919=ORIENTED_EDGE('',*,*,#187453,.T.); #240920=ORIENTED_EDGE('',*,*,#187450,.T.); #240921=ORIENTED_EDGE('',*,*,#187455,.F.); #240922=ORIENTED_EDGE('',*,*,#187452,.T.); #240923=ORIENTED_EDGE('',*,*,#187456,.F.); #240924=ORIENTED_EDGE('',*,*,#187457,.T.); #240925=ORIENTED_EDGE('',*,*,#187458,.F.); #240926=ORIENTED_EDGE('',*,*,#187457,.F.); #240927=ORIENTED_EDGE('',*,*,#187459,.F.); #240928=ORIENTED_EDGE('',*,*,#187460,.T.); #240929=ORIENTED_EDGE('',*,*,#187461,.T.); #240930=ORIENTED_EDGE('',*,*,#187460,.F.); #240931=ORIENTED_EDGE('',*,*,#187459,.T.); #240932=ORIENTED_EDGE('',*,*,#187456,.T.); #240933=ORIENTED_EDGE('',*,*,#187461,.F.); #240934=ORIENTED_EDGE('',*,*,#187458,.T.); #240935=ORIENTED_EDGE('',*,*,#187462,.F.); #240936=ORIENTED_EDGE('',*,*,#187463,.T.); #240937=ORIENTED_EDGE('',*,*,#187464,.F.); #240938=ORIENTED_EDGE('',*,*,#187463,.F.); #240939=ORIENTED_EDGE('',*,*,#187465,.F.); #240940=ORIENTED_EDGE('',*,*,#187466,.T.); #240941=ORIENTED_EDGE('',*,*,#187467,.T.); #240942=ORIENTED_EDGE('',*,*,#187466,.F.); #240943=ORIENTED_EDGE('',*,*,#187465,.T.); #240944=ORIENTED_EDGE('',*,*,#187462,.T.); #240945=ORIENTED_EDGE('',*,*,#187467,.F.); #240946=ORIENTED_EDGE('',*,*,#187464,.T.); #240947=ORIENTED_EDGE('',*,*,#187468,.F.); #240948=ORIENTED_EDGE('',*,*,#187469,.T.); #240949=ORIENTED_EDGE('',*,*,#187470,.F.); #240950=ORIENTED_EDGE('',*,*,#187469,.F.); #240951=ORIENTED_EDGE('',*,*,#187471,.F.); #240952=ORIENTED_EDGE('',*,*,#187472,.T.); #240953=ORIENTED_EDGE('',*,*,#187473,.T.); #240954=ORIENTED_EDGE('',*,*,#187472,.F.); #240955=ORIENTED_EDGE('',*,*,#187471,.T.); #240956=ORIENTED_EDGE('',*,*,#187468,.T.); #240957=ORIENTED_EDGE('',*,*,#187473,.F.); #240958=ORIENTED_EDGE('',*,*,#187470,.T.); #240959=ORIENTED_EDGE('',*,*,#187474,.F.); #240960=ORIENTED_EDGE('',*,*,#187475,.T.); #240961=ORIENTED_EDGE('',*,*,#187476,.F.); #240962=ORIENTED_EDGE('',*,*,#187475,.F.); #240963=ORIENTED_EDGE('',*,*,#187477,.F.); #240964=ORIENTED_EDGE('',*,*,#187478,.T.); #240965=ORIENTED_EDGE('',*,*,#187479,.T.); #240966=ORIENTED_EDGE('',*,*,#187478,.F.); #240967=ORIENTED_EDGE('',*,*,#187477,.T.); #240968=ORIENTED_EDGE('',*,*,#187474,.T.); #240969=ORIENTED_EDGE('',*,*,#187479,.F.); #240970=ORIENTED_EDGE('',*,*,#187476,.T.); #240971=ORIENTED_EDGE('',*,*,#187480,.F.); #240972=ORIENTED_EDGE('',*,*,#187481,.T.); #240973=ORIENTED_EDGE('',*,*,#187482,.F.); #240974=ORIENTED_EDGE('',*,*,#187481,.F.); #240975=ORIENTED_EDGE('',*,*,#187483,.F.); #240976=ORIENTED_EDGE('',*,*,#187484,.T.); #240977=ORIENTED_EDGE('',*,*,#187485,.T.); #240978=ORIENTED_EDGE('',*,*,#187484,.F.); #240979=ORIENTED_EDGE('',*,*,#187483,.T.); #240980=ORIENTED_EDGE('',*,*,#187480,.T.); #240981=ORIENTED_EDGE('',*,*,#187485,.F.); #240982=ORIENTED_EDGE('',*,*,#187482,.T.); #240983=ORIENTED_EDGE('',*,*,#187486,.F.); #240984=ORIENTED_EDGE('',*,*,#187487,.T.); #240985=ORIENTED_EDGE('',*,*,#187488,.F.); #240986=ORIENTED_EDGE('',*,*,#187487,.F.); #240987=ORIENTED_EDGE('',*,*,#187489,.F.); #240988=ORIENTED_EDGE('',*,*,#187490,.T.); #240989=ORIENTED_EDGE('',*,*,#187491,.T.); #240990=ORIENTED_EDGE('',*,*,#187490,.F.); #240991=ORIENTED_EDGE('',*,*,#187489,.T.); #240992=ORIENTED_EDGE('',*,*,#187486,.T.); #240993=ORIENTED_EDGE('',*,*,#187491,.F.); #240994=ORIENTED_EDGE('',*,*,#187488,.T.); #240995=ORIENTED_EDGE('',*,*,#187492,.F.); #240996=ORIENTED_EDGE('',*,*,#187493,.T.); #240997=ORIENTED_EDGE('',*,*,#187494,.F.); #240998=ORIENTED_EDGE('',*,*,#187493,.F.); #240999=ORIENTED_EDGE('',*,*,#187495,.F.); #241000=ORIENTED_EDGE('',*,*,#187496,.T.); #241001=ORIENTED_EDGE('',*,*,#187497,.T.); #241002=ORIENTED_EDGE('',*,*,#187496,.F.); #241003=ORIENTED_EDGE('',*,*,#187495,.T.); #241004=ORIENTED_EDGE('',*,*,#187492,.T.); #241005=ORIENTED_EDGE('',*,*,#187497,.F.); #241006=ORIENTED_EDGE('',*,*,#187494,.T.); #241007=ORIENTED_EDGE('',*,*,#187498,.F.); #241008=ORIENTED_EDGE('',*,*,#187499,.T.); #241009=ORIENTED_EDGE('',*,*,#187500,.F.); #241010=ORIENTED_EDGE('',*,*,#187499,.F.); #241011=ORIENTED_EDGE('',*,*,#187501,.F.); #241012=ORIENTED_EDGE('',*,*,#187502,.T.); #241013=ORIENTED_EDGE('',*,*,#187503,.T.); #241014=ORIENTED_EDGE('',*,*,#187502,.F.); #241015=ORIENTED_EDGE('',*,*,#187501,.T.); #241016=ORIENTED_EDGE('',*,*,#187498,.T.); #241017=ORIENTED_EDGE('',*,*,#187503,.F.); #241018=ORIENTED_EDGE('',*,*,#187500,.T.); #241019=ORIENTED_EDGE('',*,*,#187504,.F.); #241020=ORIENTED_EDGE('',*,*,#187505,.T.); #241021=ORIENTED_EDGE('',*,*,#187506,.F.); #241022=ORIENTED_EDGE('',*,*,#187505,.F.); #241023=ORIENTED_EDGE('',*,*,#187507,.F.); #241024=ORIENTED_EDGE('',*,*,#187508,.T.); #241025=ORIENTED_EDGE('',*,*,#187509,.T.); #241026=ORIENTED_EDGE('',*,*,#187508,.F.); #241027=ORIENTED_EDGE('',*,*,#187507,.T.); #241028=ORIENTED_EDGE('',*,*,#187504,.T.); #241029=ORIENTED_EDGE('',*,*,#187509,.F.); #241030=ORIENTED_EDGE('',*,*,#187506,.T.); #241031=ORIENTED_EDGE('',*,*,#187510,.F.); #241032=ORIENTED_EDGE('',*,*,#187511,.T.); #241033=ORIENTED_EDGE('',*,*,#187512,.F.); #241034=ORIENTED_EDGE('',*,*,#187511,.F.); #241035=ORIENTED_EDGE('',*,*,#187513,.F.); #241036=ORIENTED_EDGE('',*,*,#187514,.T.); #241037=ORIENTED_EDGE('',*,*,#187515,.T.); #241038=ORIENTED_EDGE('',*,*,#187514,.F.); #241039=ORIENTED_EDGE('',*,*,#187513,.T.); #241040=ORIENTED_EDGE('',*,*,#187510,.T.); #241041=ORIENTED_EDGE('',*,*,#187515,.F.); #241042=ORIENTED_EDGE('',*,*,#187512,.T.); #241043=ORIENTED_EDGE('',*,*,#187516,.F.); #241044=ORIENTED_EDGE('',*,*,#187517,.T.); #241045=ORIENTED_EDGE('',*,*,#187518,.F.); #241046=ORIENTED_EDGE('',*,*,#187517,.F.); #241047=ORIENTED_EDGE('',*,*,#187519,.F.); #241048=ORIENTED_EDGE('',*,*,#187520,.T.); #241049=ORIENTED_EDGE('',*,*,#187521,.T.); #241050=ORIENTED_EDGE('',*,*,#187520,.F.); #241051=ORIENTED_EDGE('',*,*,#187519,.T.); #241052=ORIENTED_EDGE('',*,*,#187516,.T.); #241053=ORIENTED_EDGE('',*,*,#187521,.F.); #241054=ORIENTED_EDGE('',*,*,#187518,.T.); #241055=ORIENTED_EDGE('',*,*,#187522,.F.); #241056=ORIENTED_EDGE('',*,*,#187523,.T.); #241057=ORIENTED_EDGE('',*,*,#187524,.F.); #241058=ORIENTED_EDGE('',*,*,#187523,.F.); #241059=ORIENTED_EDGE('',*,*,#187525,.F.); #241060=ORIENTED_EDGE('',*,*,#187526,.T.); #241061=ORIENTED_EDGE('',*,*,#187527,.T.); #241062=ORIENTED_EDGE('',*,*,#187526,.F.); #241063=ORIENTED_EDGE('',*,*,#187525,.T.); #241064=ORIENTED_EDGE('',*,*,#187522,.T.); #241065=ORIENTED_EDGE('',*,*,#187527,.F.); #241066=ORIENTED_EDGE('',*,*,#187524,.T.); #241067=ORIENTED_EDGE('',*,*,#187528,.F.); #241068=ORIENTED_EDGE('',*,*,#187529,.T.); #241069=ORIENTED_EDGE('',*,*,#187530,.F.); #241070=ORIENTED_EDGE('',*,*,#187529,.F.); #241071=ORIENTED_EDGE('',*,*,#187531,.F.); #241072=ORIENTED_EDGE('',*,*,#187532,.T.); #241073=ORIENTED_EDGE('',*,*,#187533,.T.); #241074=ORIENTED_EDGE('',*,*,#187532,.F.); #241075=ORIENTED_EDGE('',*,*,#187531,.T.); #241076=ORIENTED_EDGE('',*,*,#187528,.T.); #241077=ORIENTED_EDGE('',*,*,#187533,.F.); #241078=ORIENTED_EDGE('',*,*,#187530,.T.); #241079=ORIENTED_EDGE('',*,*,#187534,.F.); #241080=ORIENTED_EDGE('',*,*,#187535,.T.); #241081=ORIENTED_EDGE('',*,*,#187536,.F.); #241082=ORIENTED_EDGE('',*,*,#187535,.F.); #241083=ORIENTED_EDGE('',*,*,#187537,.F.); #241084=ORIENTED_EDGE('',*,*,#187538,.T.); #241085=ORIENTED_EDGE('',*,*,#187539,.T.); #241086=ORIENTED_EDGE('',*,*,#187538,.F.); #241087=ORIENTED_EDGE('',*,*,#187537,.T.); #241088=ORIENTED_EDGE('',*,*,#187534,.T.); #241089=ORIENTED_EDGE('',*,*,#187539,.F.); #241090=ORIENTED_EDGE('',*,*,#187536,.T.); #241091=ORIENTED_EDGE('',*,*,#187540,.F.); #241092=ORIENTED_EDGE('',*,*,#187541,.T.); #241093=ORIENTED_EDGE('',*,*,#187542,.F.); #241094=ORIENTED_EDGE('',*,*,#187541,.F.); #241095=ORIENTED_EDGE('',*,*,#187543,.F.); #241096=ORIENTED_EDGE('',*,*,#187544,.T.); #241097=ORIENTED_EDGE('',*,*,#187545,.T.); #241098=ORIENTED_EDGE('',*,*,#187544,.F.); #241099=ORIENTED_EDGE('',*,*,#187543,.T.); #241100=ORIENTED_EDGE('',*,*,#187540,.T.); #241101=ORIENTED_EDGE('',*,*,#187545,.F.); #241102=ORIENTED_EDGE('',*,*,#187542,.T.); #241103=ORIENTED_EDGE('',*,*,#187546,.F.); #241104=ORIENTED_EDGE('',*,*,#187547,.T.); #241105=ORIENTED_EDGE('',*,*,#187548,.F.); #241106=ORIENTED_EDGE('',*,*,#187547,.F.); #241107=ORIENTED_EDGE('',*,*,#187549,.F.); #241108=ORIENTED_EDGE('',*,*,#187550,.T.); #241109=ORIENTED_EDGE('',*,*,#187551,.T.); #241110=ORIENTED_EDGE('',*,*,#187550,.F.); #241111=ORIENTED_EDGE('',*,*,#187549,.T.); #241112=ORIENTED_EDGE('',*,*,#187546,.T.); #241113=ORIENTED_EDGE('',*,*,#187551,.F.); #241114=ORIENTED_EDGE('',*,*,#187548,.T.); #241115=ORIENTED_EDGE('',*,*,#187552,.F.); #241116=ORIENTED_EDGE('',*,*,#187553,.T.); #241117=ORIENTED_EDGE('',*,*,#187554,.F.); #241118=ORIENTED_EDGE('',*,*,#187553,.F.); #241119=ORIENTED_EDGE('',*,*,#187555,.F.); #241120=ORIENTED_EDGE('',*,*,#187556,.T.); #241121=ORIENTED_EDGE('',*,*,#187557,.T.); #241122=ORIENTED_EDGE('',*,*,#187556,.F.); #241123=ORIENTED_EDGE('',*,*,#187555,.T.); #241124=ORIENTED_EDGE('',*,*,#187552,.T.); #241125=ORIENTED_EDGE('',*,*,#187557,.F.); #241126=ORIENTED_EDGE('',*,*,#187554,.T.); #241127=ORIENTED_EDGE('',*,*,#187558,.F.); #241128=ORIENTED_EDGE('',*,*,#187559,.T.); #241129=ORIENTED_EDGE('',*,*,#187560,.F.); #241130=ORIENTED_EDGE('',*,*,#187559,.F.); #241131=ORIENTED_EDGE('',*,*,#187561,.F.); #241132=ORIENTED_EDGE('',*,*,#187562,.T.); #241133=ORIENTED_EDGE('',*,*,#187563,.T.); #241134=ORIENTED_EDGE('',*,*,#187562,.F.); #241135=ORIENTED_EDGE('',*,*,#187561,.T.); #241136=ORIENTED_EDGE('',*,*,#187558,.T.); #241137=ORIENTED_EDGE('',*,*,#187563,.F.); #241138=ORIENTED_EDGE('',*,*,#187560,.T.); #241139=ORIENTED_EDGE('',*,*,#187564,.F.); #241140=ORIENTED_EDGE('',*,*,#187565,.T.); #241141=ORIENTED_EDGE('',*,*,#187566,.F.); #241142=ORIENTED_EDGE('',*,*,#187565,.F.); #241143=ORIENTED_EDGE('',*,*,#187567,.F.); #241144=ORIENTED_EDGE('',*,*,#187568,.T.); #241145=ORIENTED_EDGE('',*,*,#187569,.T.); #241146=ORIENTED_EDGE('',*,*,#187568,.F.); #241147=ORIENTED_EDGE('',*,*,#187567,.T.); #241148=ORIENTED_EDGE('',*,*,#187564,.T.); #241149=ORIENTED_EDGE('',*,*,#187569,.F.); #241150=ORIENTED_EDGE('',*,*,#187566,.T.); #241151=ORIENTED_EDGE('',*,*,#187570,.F.); #241152=ORIENTED_EDGE('',*,*,#187571,.T.); #241153=ORIENTED_EDGE('',*,*,#187572,.F.); #241154=ORIENTED_EDGE('',*,*,#187571,.F.); #241155=ORIENTED_EDGE('',*,*,#187573,.F.); #241156=ORIENTED_EDGE('',*,*,#187574,.T.); #241157=ORIENTED_EDGE('',*,*,#187575,.T.); #241158=ORIENTED_EDGE('',*,*,#187574,.F.); #241159=ORIENTED_EDGE('',*,*,#187573,.T.); #241160=ORIENTED_EDGE('',*,*,#187570,.T.); #241161=ORIENTED_EDGE('',*,*,#187575,.F.); #241162=ORIENTED_EDGE('',*,*,#187572,.T.); #241163=ORIENTED_EDGE('',*,*,#187576,.F.); #241164=ORIENTED_EDGE('',*,*,#187577,.T.); #241165=ORIENTED_EDGE('',*,*,#187578,.F.); #241166=ORIENTED_EDGE('',*,*,#187577,.F.); #241167=ORIENTED_EDGE('',*,*,#187579,.F.); #241168=ORIENTED_EDGE('',*,*,#187580,.T.); #241169=ORIENTED_EDGE('',*,*,#187581,.T.); #241170=ORIENTED_EDGE('',*,*,#187580,.F.); #241171=ORIENTED_EDGE('',*,*,#187579,.T.); #241172=ORIENTED_EDGE('',*,*,#187576,.T.); #241173=ORIENTED_EDGE('',*,*,#187581,.F.); #241174=ORIENTED_EDGE('',*,*,#187578,.T.); #241175=ORIENTED_EDGE('',*,*,#187582,.F.); #241176=ORIENTED_EDGE('',*,*,#187583,.T.); #241177=ORIENTED_EDGE('',*,*,#187584,.F.); #241178=ORIENTED_EDGE('',*,*,#187583,.F.); #241179=ORIENTED_EDGE('',*,*,#187585,.F.); #241180=ORIENTED_EDGE('',*,*,#187586,.T.); #241181=ORIENTED_EDGE('',*,*,#187587,.T.); #241182=ORIENTED_EDGE('',*,*,#187586,.F.); #241183=ORIENTED_EDGE('',*,*,#187585,.T.); #241184=ORIENTED_EDGE('',*,*,#187582,.T.); #241185=ORIENTED_EDGE('',*,*,#187587,.F.); #241186=ORIENTED_EDGE('',*,*,#187584,.T.); #241187=ORIENTED_EDGE('',*,*,#187588,.F.); #241188=ORIENTED_EDGE('',*,*,#187589,.T.); #241189=ORIENTED_EDGE('',*,*,#187590,.F.); #241190=ORIENTED_EDGE('',*,*,#187589,.F.); #241191=ORIENTED_EDGE('',*,*,#187591,.F.); #241192=ORIENTED_EDGE('',*,*,#187592,.T.); #241193=ORIENTED_EDGE('',*,*,#187593,.T.); #241194=ORIENTED_EDGE('',*,*,#187592,.F.); #241195=ORIENTED_EDGE('',*,*,#187591,.T.); #241196=ORIENTED_EDGE('',*,*,#187588,.T.); #241197=ORIENTED_EDGE('',*,*,#187593,.F.); #241198=ORIENTED_EDGE('',*,*,#187590,.T.); #241199=ORIENTED_EDGE('',*,*,#187594,.F.); #241200=ORIENTED_EDGE('',*,*,#187595,.T.); #241201=ORIENTED_EDGE('',*,*,#187596,.F.); #241202=ORIENTED_EDGE('',*,*,#187595,.F.); #241203=ORIENTED_EDGE('',*,*,#187597,.F.); #241204=ORIENTED_EDGE('',*,*,#187598,.T.); #241205=ORIENTED_EDGE('',*,*,#187599,.T.); #241206=ORIENTED_EDGE('',*,*,#187598,.F.); #241207=ORIENTED_EDGE('',*,*,#187597,.T.); #241208=ORIENTED_EDGE('',*,*,#187594,.T.); #241209=ORIENTED_EDGE('',*,*,#187599,.F.); #241210=ORIENTED_EDGE('',*,*,#187596,.T.); #241211=ORIENTED_EDGE('',*,*,#187600,.F.); #241212=ORIENTED_EDGE('',*,*,#187601,.T.); #241213=ORIENTED_EDGE('',*,*,#187602,.F.); #241214=ORIENTED_EDGE('',*,*,#187601,.F.); #241215=ORIENTED_EDGE('',*,*,#187603,.F.); #241216=ORIENTED_EDGE('',*,*,#187604,.T.); #241217=ORIENTED_EDGE('',*,*,#187605,.T.); #241218=ORIENTED_EDGE('',*,*,#187604,.F.); #241219=ORIENTED_EDGE('',*,*,#187603,.T.); #241220=ORIENTED_EDGE('',*,*,#187600,.T.); #241221=ORIENTED_EDGE('',*,*,#187605,.F.); #241222=ORIENTED_EDGE('',*,*,#187602,.T.); #241223=ORIENTED_EDGE('',*,*,#187606,.F.); #241224=ORIENTED_EDGE('',*,*,#187607,.T.); #241225=ORIENTED_EDGE('',*,*,#187608,.F.); #241226=ORIENTED_EDGE('',*,*,#187607,.F.); #241227=ORIENTED_EDGE('',*,*,#187609,.F.); #241228=ORIENTED_EDGE('',*,*,#187610,.T.); #241229=ORIENTED_EDGE('',*,*,#187611,.T.); #241230=ORIENTED_EDGE('',*,*,#187610,.F.); #241231=ORIENTED_EDGE('',*,*,#187609,.T.); #241232=ORIENTED_EDGE('',*,*,#187606,.T.); #241233=ORIENTED_EDGE('',*,*,#187611,.F.); #241234=ORIENTED_EDGE('',*,*,#187608,.T.); #241235=ORIENTED_EDGE('',*,*,#187612,.F.); #241236=ORIENTED_EDGE('',*,*,#187613,.T.); #241237=ORIENTED_EDGE('',*,*,#187614,.F.); #241238=ORIENTED_EDGE('',*,*,#187613,.F.); #241239=ORIENTED_EDGE('',*,*,#187615,.F.); #241240=ORIENTED_EDGE('',*,*,#187616,.T.); #241241=ORIENTED_EDGE('',*,*,#187617,.T.); #241242=ORIENTED_EDGE('',*,*,#187616,.F.); #241243=ORIENTED_EDGE('',*,*,#187615,.T.); #241244=ORIENTED_EDGE('',*,*,#187612,.T.); #241245=ORIENTED_EDGE('',*,*,#187617,.F.); #241246=ORIENTED_EDGE('',*,*,#187614,.T.); #241247=ORIENTED_EDGE('',*,*,#187618,.F.); #241248=ORIENTED_EDGE('',*,*,#187619,.T.); #241249=ORIENTED_EDGE('',*,*,#187620,.F.); #241250=ORIENTED_EDGE('',*,*,#187619,.F.); #241251=ORIENTED_EDGE('',*,*,#187621,.F.); #241252=ORIENTED_EDGE('',*,*,#187622,.T.); #241253=ORIENTED_EDGE('',*,*,#187623,.T.); #241254=ORIENTED_EDGE('',*,*,#187622,.F.); #241255=ORIENTED_EDGE('',*,*,#187621,.T.); #241256=ORIENTED_EDGE('',*,*,#187618,.T.); #241257=ORIENTED_EDGE('',*,*,#187623,.F.); #241258=ORIENTED_EDGE('',*,*,#187620,.T.); #241259=ORIENTED_EDGE('',*,*,#187624,.F.); #241260=ORIENTED_EDGE('',*,*,#187625,.T.); #241261=ORIENTED_EDGE('',*,*,#187626,.F.); #241262=ORIENTED_EDGE('',*,*,#187625,.F.); #241263=ORIENTED_EDGE('',*,*,#187627,.F.); #241264=ORIENTED_EDGE('',*,*,#187628,.T.); #241265=ORIENTED_EDGE('',*,*,#187629,.T.); #241266=ORIENTED_EDGE('',*,*,#187628,.F.); #241267=ORIENTED_EDGE('',*,*,#187627,.T.); #241268=ORIENTED_EDGE('',*,*,#187624,.T.); #241269=ORIENTED_EDGE('',*,*,#187629,.F.); #241270=ORIENTED_EDGE('',*,*,#187626,.T.); #241271=ORIENTED_EDGE('',*,*,#187630,.F.); #241272=ORIENTED_EDGE('',*,*,#187631,.T.); #241273=ORIENTED_EDGE('',*,*,#187632,.F.); #241274=ORIENTED_EDGE('',*,*,#187631,.F.); #241275=ORIENTED_EDGE('',*,*,#187633,.F.); #241276=ORIENTED_EDGE('',*,*,#187634,.T.); #241277=ORIENTED_EDGE('',*,*,#187635,.T.); #241278=ORIENTED_EDGE('',*,*,#187634,.F.); #241279=ORIENTED_EDGE('',*,*,#187633,.T.); #241280=ORIENTED_EDGE('',*,*,#187630,.T.); #241281=ORIENTED_EDGE('',*,*,#187635,.F.); #241282=ORIENTED_EDGE('',*,*,#187632,.T.); #241283=ORIENTED_EDGE('',*,*,#187636,.F.); #241284=ORIENTED_EDGE('',*,*,#187637,.T.); #241285=ORIENTED_EDGE('',*,*,#187638,.F.); #241286=ORIENTED_EDGE('',*,*,#187637,.F.); #241287=ORIENTED_EDGE('',*,*,#187639,.F.); #241288=ORIENTED_EDGE('',*,*,#187640,.T.); #241289=ORIENTED_EDGE('',*,*,#187641,.T.); #241290=ORIENTED_EDGE('',*,*,#187640,.F.); #241291=ORIENTED_EDGE('',*,*,#187639,.T.); #241292=ORIENTED_EDGE('',*,*,#187636,.T.); #241293=ORIENTED_EDGE('',*,*,#187641,.F.); #241294=ORIENTED_EDGE('',*,*,#187638,.T.); #241295=ORIENTED_EDGE('',*,*,#187642,.F.); #241296=ORIENTED_EDGE('',*,*,#187643,.T.); #241297=ORIENTED_EDGE('',*,*,#187644,.F.); #241298=ORIENTED_EDGE('',*,*,#187643,.F.); #241299=ORIENTED_EDGE('',*,*,#187645,.F.); #241300=ORIENTED_EDGE('',*,*,#187646,.T.); #241301=ORIENTED_EDGE('',*,*,#187647,.T.); #241302=ORIENTED_EDGE('',*,*,#187646,.F.); #241303=ORIENTED_EDGE('',*,*,#187645,.T.); #241304=ORIENTED_EDGE('',*,*,#187642,.T.); #241305=ORIENTED_EDGE('',*,*,#187647,.F.); #241306=ORIENTED_EDGE('',*,*,#187644,.T.); #241307=ORIENTED_EDGE('',*,*,#187648,.F.); #241308=ORIENTED_EDGE('',*,*,#187649,.T.); #241309=ORIENTED_EDGE('',*,*,#187650,.F.); #241310=ORIENTED_EDGE('',*,*,#187649,.F.); #241311=ORIENTED_EDGE('',*,*,#187651,.F.); #241312=ORIENTED_EDGE('',*,*,#187652,.T.); #241313=ORIENTED_EDGE('',*,*,#187653,.T.); #241314=ORIENTED_EDGE('',*,*,#187652,.F.); #241315=ORIENTED_EDGE('',*,*,#187651,.T.); #241316=ORIENTED_EDGE('',*,*,#187648,.T.); #241317=ORIENTED_EDGE('',*,*,#187653,.F.); #241318=ORIENTED_EDGE('',*,*,#187650,.T.); #241319=ORIENTED_EDGE('',*,*,#187654,.F.); #241320=ORIENTED_EDGE('',*,*,#187655,.T.); #241321=ORIENTED_EDGE('',*,*,#187656,.F.); #241322=ORIENTED_EDGE('',*,*,#187655,.F.); #241323=ORIENTED_EDGE('',*,*,#187657,.F.); #241324=ORIENTED_EDGE('',*,*,#187658,.T.); #241325=ORIENTED_EDGE('',*,*,#187659,.T.); #241326=ORIENTED_EDGE('',*,*,#187658,.F.); #241327=ORIENTED_EDGE('',*,*,#187657,.T.); #241328=ORIENTED_EDGE('',*,*,#187654,.T.); #241329=ORIENTED_EDGE('',*,*,#187659,.F.); #241330=ORIENTED_EDGE('',*,*,#187656,.T.); #241331=ORIENTED_EDGE('',*,*,#187660,.F.); #241332=ORIENTED_EDGE('',*,*,#187661,.T.); #241333=ORIENTED_EDGE('',*,*,#187662,.F.); #241334=ORIENTED_EDGE('',*,*,#187661,.F.); #241335=ORIENTED_EDGE('',*,*,#187663,.F.); #241336=ORIENTED_EDGE('',*,*,#187664,.T.); #241337=ORIENTED_EDGE('',*,*,#187665,.T.); #241338=ORIENTED_EDGE('',*,*,#187664,.F.); #241339=ORIENTED_EDGE('',*,*,#187663,.T.); #241340=ORIENTED_EDGE('',*,*,#187660,.T.); #241341=ORIENTED_EDGE('',*,*,#187665,.F.); #241342=ORIENTED_EDGE('',*,*,#187662,.T.); #241343=ORIENTED_EDGE('',*,*,#187666,.F.); #241344=ORIENTED_EDGE('',*,*,#187667,.T.); #241345=ORIENTED_EDGE('',*,*,#187668,.F.); #241346=ORIENTED_EDGE('',*,*,#187667,.F.); #241347=ORIENTED_EDGE('',*,*,#187669,.F.); #241348=ORIENTED_EDGE('',*,*,#187670,.T.); #241349=ORIENTED_EDGE('',*,*,#187671,.T.); #241350=ORIENTED_EDGE('',*,*,#187670,.F.); #241351=ORIENTED_EDGE('',*,*,#187669,.T.); #241352=ORIENTED_EDGE('',*,*,#187666,.T.); #241353=ORIENTED_EDGE('',*,*,#187671,.F.); #241354=ORIENTED_EDGE('',*,*,#187668,.T.); #241355=ORIENTED_EDGE('',*,*,#187672,.F.); #241356=ORIENTED_EDGE('',*,*,#187673,.T.); #241357=ORIENTED_EDGE('',*,*,#187674,.F.); #241358=ORIENTED_EDGE('',*,*,#187673,.F.); #241359=ORIENTED_EDGE('',*,*,#187675,.F.); #241360=ORIENTED_EDGE('',*,*,#187676,.T.); #241361=ORIENTED_EDGE('',*,*,#187677,.T.); #241362=ORIENTED_EDGE('',*,*,#187676,.F.); #241363=ORIENTED_EDGE('',*,*,#187675,.T.); #241364=ORIENTED_EDGE('',*,*,#187672,.T.); #241365=ORIENTED_EDGE('',*,*,#187677,.F.); #241366=ORIENTED_EDGE('',*,*,#187674,.T.); #241367=ORIENTED_EDGE('',*,*,#187678,.F.); #241368=ORIENTED_EDGE('',*,*,#187679,.T.); #241369=ORIENTED_EDGE('',*,*,#187680,.F.); #241370=ORIENTED_EDGE('',*,*,#187679,.F.); #241371=ORIENTED_EDGE('',*,*,#187681,.F.); #241372=ORIENTED_EDGE('',*,*,#187682,.T.); #241373=ORIENTED_EDGE('',*,*,#187683,.T.); #241374=ORIENTED_EDGE('',*,*,#187682,.F.); #241375=ORIENTED_EDGE('',*,*,#187681,.T.); #241376=ORIENTED_EDGE('',*,*,#187678,.T.); #241377=ORIENTED_EDGE('',*,*,#187683,.F.); #241378=ORIENTED_EDGE('',*,*,#187680,.T.); #241379=ORIENTED_EDGE('',*,*,#187684,.F.); #241380=ORIENTED_EDGE('',*,*,#187685,.T.); #241381=ORIENTED_EDGE('',*,*,#187686,.F.); #241382=ORIENTED_EDGE('',*,*,#187685,.F.); #241383=ORIENTED_EDGE('',*,*,#187687,.F.); #241384=ORIENTED_EDGE('',*,*,#187688,.T.); #241385=ORIENTED_EDGE('',*,*,#187689,.T.); #241386=ORIENTED_EDGE('',*,*,#187688,.F.); #241387=ORIENTED_EDGE('',*,*,#187687,.T.); #241388=ORIENTED_EDGE('',*,*,#187684,.T.); #241389=ORIENTED_EDGE('',*,*,#187689,.F.); #241390=ORIENTED_EDGE('',*,*,#187686,.T.); #241391=ORIENTED_EDGE('',*,*,#187690,.F.); #241392=ORIENTED_EDGE('',*,*,#187691,.T.); #241393=ORIENTED_EDGE('',*,*,#187692,.F.); #241394=ORIENTED_EDGE('',*,*,#187691,.F.); #241395=ORIENTED_EDGE('',*,*,#187693,.F.); #241396=ORIENTED_EDGE('',*,*,#187694,.T.); #241397=ORIENTED_EDGE('',*,*,#187695,.T.); #241398=ORIENTED_EDGE('',*,*,#187694,.F.); #241399=ORIENTED_EDGE('',*,*,#187693,.T.); #241400=ORIENTED_EDGE('',*,*,#187690,.T.); #241401=ORIENTED_EDGE('',*,*,#187695,.F.); #241402=ORIENTED_EDGE('',*,*,#187692,.T.); #241403=ORIENTED_EDGE('',*,*,#187696,.F.); #241404=ORIENTED_EDGE('',*,*,#187697,.T.); #241405=ORIENTED_EDGE('',*,*,#187698,.F.); #241406=ORIENTED_EDGE('',*,*,#187697,.F.); #241407=ORIENTED_EDGE('',*,*,#187699,.F.); #241408=ORIENTED_EDGE('',*,*,#187700,.T.); #241409=ORIENTED_EDGE('',*,*,#187701,.T.); #241410=ORIENTED_EDGE('',*,*,#187700,.F.); #241411=ORIENTED_EDGE('',*,*,#187699,.T.); #241412=ORIENTED_EDGE('',*,*,#187696,.T.); #241413=ORIENTED_EDGE('',*,*,#187701,.F.); #241414=ORIENTED_EDGE('',*,*,#187698,.T.); #241415=ORIENTED_EDGE('',*,*,#187702,.F.); #241416=ORIENTED_EDGE('',*,*,#187703,.T.); #241417=ORIENTED_EDGE('',*,*,#187704,.F.); #241418=ORIENTED_EDGE('',*,*,#187703,.F.); #241419=ORIENTED_EDGE('',*,*,#187705,.F.); #241420=ORIENTED_EDGE('',*,*,#187706,.T.); #241421=ORIENTED_EDGE('',*,*,#187707,.T.); #241422=ORIENTED_EDGE('',*,*,#187706,.F.); #241423=ORIENTED_EDGE('',*,*,#187705,.T.); #241424=ORIENTED_EDGE('',*,*,#187702,.T.); #241425=ORIENTED_EDGE('',*,*,#187707,.F.); #241426=ORIENTED_EDGE('',*,*,#187704,.T.); #241427=ORIENTED_EDGE('',*,*,#187708,.F.); #241428=ORIENTED_EDGE('',*,*,#187709,.T.); #241429=ORIENTED_EDGE('',*,*,#187710,.F.); #241430=ORIENTED_EDGE('',*,*,#187709,.F.); #241431=ORIENTED_EDGE('',*,*,#187711,.F.); #241432=ORIENTED_EDGE('',*,*,#187712,.T.); #241433=ORIENTED_EDGE('',*,*,#187713,.T.); #241434=ORIENTED_EDGE('',*,*,#187712,.F.); #241435=ORIENTED_EDGE('',*,*,#187711,.T.); #241436=ORIENTED_EDGE('',*,*,#187708,.T.); #241437=ORIENTED_EDGE('',*,*,#187713,.F.); #241438=ORIENTED_EDGE('',*,*,#187710,.T.); #241439=ORIENTED_EDGE('',*,*,#187714,.F.); #241440=ORIENTED_EDGE('',*,*,#187715,.T.); #241441=ORIENTED_EDGE('',*,*,#187716,.F.); #241442=ORIENTED_EDGE('',*,*,#187715,.F.); #241443=ORIENTED_EDGE('',*,*,#187717,.F.); #241444=ORIENTED_EDGE('',*,*,#187718,.T.); #241445=ORIENTED_EDGE('',*,*,#187719,.T.); #241446=ORIENTED_EDGE('',*,*,#187718,.F.); #241447=ORIENTED_EDGE('',*,*,#187717,.T.); #241448=ORIENTED_EDGE('',*,*,#187714,.T.); #241449=ORIENTED_EDGE('',*,*,#187719,.F.); #241450=ORIENTED_EDGE('',*,*,#187716,.T.); #241451=ORIENTED_EDGE('',*,*,#187720,.F.); #241452=ORIENTED_EDGE('',*,*,#187721,.T.); #241453=ORIENTED_EDGE('',*,*,#187722,.F.); #241454=ORIENTED_EDGE('',*,*,#187721,.F.); #241455=ORIENTED_EDGE('',*,*,#187723,.F.); #241456=ORIENTED_EDGE('',*,*,#187724,.T.); #241457=ORIENTED_EDGE('',*,*,#187725,.T.); #241458=ORIENTED_EDGE('',*,*,#187724,.F.); #241459=ORIENTED_EDGE('',*,*,#187723,.T.); #241460=ORIENTED_EDGE('',*,*,#187720,.T.); #241461=ORIENTED_EDGE('',*,*,#187725,.F.); #241462=ORIENTED_EDGE('',*,*,#187722,.T.); #241463=ORIENTED_EDGE('',*,*,#187726,.F.); #241464=ORIENTED_EDGE('',*,*,#187727,.T.); #241465=ORIENTED_EDGE('',*,*,#187728,.F.); #241466=ORIENTED_EDGE('',*,*,#187727,.F.); #241467=ORIENTED_EDGE('',*,*,#187729,.F.); #241468=ORIENTED_EDGE('',*,*,#187730,.T.); #241469=ORIENTED_EDGE('',*,*,#187731,.T.); #241470=ORIENTED_EDGE('',*,*,#187730,.F.); #241471=ORIENTED_EDGE('',*,*,#187729,.T.); #241472=ORIENTED_EDGE('',*,*,#187726,.T.); #241473=ORIENTED_EDGE('',*,*,#187731,.F.); #241474=ORIENTED_EDGE('',*,*,#187728,.T.); #241475=ORIENTED_EDGE('',*,*,#187732,.F.); #241476=ORIENTED_EDGE('',*,*,#187733,.T.); #241477=ORIENTED_EDGE('',*,*,#187734,.F.); #241478=ORIENTED_EDGE('',*,*,#187733,.F.); #241479=ORIENTED_EDGE('',*,*,#187735,.F.); #241480=ORIENTED_EDGE('',*,*,#187736,.T.); #241481=ORIENTED_EDGE('',*,*,#187737,.T.); #241482=ORIENTED_EDGE('',*,*,#187736,.F.); #241483=ORIENTED_EDGE('',*,*,#187735,.T.); #241484=ORIENTED_EDGE('',*,*,#187732,.T.); #241485=ORIENTED_EDGE('',*,*,#187737,.F.); #241486=ORIENTED_EDGE('',*,*,#187734,.T.); #241487=ORIENTED_EDGE('',*,*,#187738,.F.); #241488=ORIENTED_EDGE('',*,*,#187739,.T.); #241489=ORIENTED_EDGE('',*,*,#187740,.F.); #241490=ORIENTED_EDGE('',*,*,#187739,.F.); #241491=ORIENTED_EDGE('',*,*,#187741,.F.); #241492=ORIENTED_EDGE('',*,*,#187742,.T.); #241493=ORIENTED_EDGE('',*,*,#187743,.T.); #241494=ORIENTED_EDGE('',*,*,#187742,.F.); #241495=ORIENTED_EDGE('',*,*,#187741,.T.); #241496=ORIENTED_EDGE('',*,*,#187738,.T.); #241497=ORIENTED_EDGE('',*,*,#187743,.F.); #241498=ORIENTED_EDGE('',*,*,#187740,.T.); #241499=ORIENTED_EDGE('',*,*,#187744,.F.); #241500=ORIENTED_EDGE('',*,*,#187745,.T.); #241501=ORIENTED_EDGE('',*,*,#187746,.F.); #241502=ORIENTED_EDGE('',*,*,#187745,.F.); #241503=ORIENTED_EDGE('',*,*,#187747,.F.); #241504=ORIENTED_EDGE('',*,*,#187748,.T.); #241505=ORIENTED_EDGE('',*,*,#187749,.T.); #241506=ORIENTED_EDGE('',*,*,#187748,.F.); #241507=ORIENTED_EDGE('',*,*,#187747,.T.); #241508=ORIENTED_EDGE('',*,*,#187744,.T.); #241509=ORIENTED_EDGE('',*,*,#187749,.F.); #241510=ORIENTED_EDGE('',*,*,#187746,.T.); #241511=ORIENTED_EDGE('',*,*,#187750,.F.); #241512=ORIENTED_EDGE('',*,*,#187751,.T.); #241513=ORIENTED_EDGE('',*,*,#187752,.F.); #241514=ORIENTED_EDGE('',*,*,#187751,.F.); #241515=ORIENTED_EDGE('',*,*,#187753,.F.); #241516=ORIENTED_EDGE('',*,*,#187754,.T.); #241517=ORIENTED_EDGE('',*,*,#187755,.T.); #241518=ORIENTED_EDGE('',*,*,#187754,.F.); #241519=ORIENTED_EDGE('',*,*,#187753,.T.); #241520=ORIENTED_EDGE('',*,*,#187750,.T.); #241521=ORIENTED_EDGE('',*,*,#187755,.F.); #241522=ORIENTED_EDGE('',*,*,#187752,.T.); #241523=ORIENTED_EDGE('',*,*,#187756,.F.); #241524=ORIENTED_EDGE('',*,*,#187757,.T.); #241525=ORIENTED_EDGE('',*,*,#187758,.F.); #241526=ORIENTED_EDGE('',*,*,#187757,.F.); #241527=ORIENTED_EDGE('',*,*,#187759,.F.); #241528=ORIENTED_EDGE('',*,*,#187760,.T.); #241529=ORIENTED_EDGE('',*,*,#187761,.T.); #241530=ORIENTED_EDGE('',*,*,#187760,.F.); #241531=ORIENTED_EDGE('',*,*,#187759,.T.); #241532=ORIENTED_EDGE('',*,*,#187756,.T.); #241533=ORIENTED_EDGE('',*,*,#187761,.F.); #241534=ORIENTED_EDGE('',*,*,#187758,.T.); #241535=ORIENTED_EDGE('',*,*,#187762,.F.); #241536=ORIENTED_EDGE('',*,*,#187763,.T.); #241537=ORIENTED_EDGE('',*,*,#187764,.F.); #241538=ORIENTED_EDGE('',*,*,#187763,.F.); #241539=ORIENTED_EDGE('',*,*,#187765,.F.); #241540=ORIENTED_EDGE('',*,*,#187766,.T.); #241541=ORIENTED_EDGE('',*,*,#187767,.T.); #241542=ORIENTED_EDGE('',*,*,#187766,.F.); #241543=ORIENTED_EDGE('',*,*,#187765,.T.); #241544=ORIENTED_EDGE('',*,*,#187762,.T.); #241545=ORIENTED_EDGE('',*,*,#187767,.F.); #241546=ORIENTED_EDGE('',*,*,#187764,.T.); #241547=ORIENTED_EDGE('',*,*,#187768,.F.); #241548=ORIENTED_EDGE('',*,*,#187769,.T.); #241549=ORIENTED_EDGE('',*,*,#187770,.F.); #241550=ORIENTED_EDGE('',*,*,#187769,.F.); #241551=ORIENTED_EDGE('',*,*,#187771,.F.); #241552=ORIENTED_EDGE('',*,*,#187772,.T.); #241553=ORIENTED_EDGE('',*,*,#187773,.T.); #241554=ORIENTED_EDGE('',*,*,#187772,.F.); #241555=ORIENTED_EDGE('',*,*,#187771,.T.); #241556=ORIENTED_EDGE('',*,*,#187768,.T.); #241557=ORIENTED_EDGE('',*,*,#187773,.F.); #241558=ORIENTED_EDGE('',*,*,#187770,.T.); #241559=ORIENTED_EDGE('',*,*,#187774,.F.); #241560=ORIENTED_EDGE('',*,*,#187775,.T.); #241561=ORIENTED_EDGE('',*,*,#187776,.F.); #241562=ORIENTED_EDGE('',*,*,#187775,.F.); #241563=ORIENTED_EDGE('',*,*,#187777,.F.); #241564=ORIENTED_EDGE('',*,*,#187778,.T.); #241565=ORIENTED_EDGE('',*,*,#187779,.T.); #241566=ORIENTED_EDGE('',*,*,#187778,.F.); #241567=ORIENTED_EDGE('',*,*,#187777,.T.); #241568=ORIENTED_EDGE('',*,*,#187774,.T.); #241569=ORIENTED_EDGE('',*,*,#187779,.F.); #241570=ORIENTED_EDGE('',*,*,#187776,.T.); #241571=ORIENTED_EDGE('',*,*,#187780,.F.); #241572=ORIENTED_EDGE('',*,*,#187781,.T.); #241573=ORIENTED_EDGE('',*,*,#187782,.F.); #241574=ORIENTED_EDGE('',*,*,#187781,.F.); #241575=ORIENTED_EDGE('',*,*,#187783,.F.); #241576=ORIENTED_EDGE('',*,*,#187784,.T.); #241577=ORIENTED_EDGE('',*,*,#187785,.T.); #241578=ORIENTED_EDGE('',*,*,#187784,.F.); #241579=ORIENTED_EDGE('',*,*,#187783,.T.); #241580=ORIENTED_EDGE('',*,*,#187780,.T.); #241581=ORIENTED_EDGE('',*,*,#187785,.F.); #241582=ORIENTED_EDGE('',*,*,#187782,.T.); #241583=ORIENTED_EDGE('',*,*,#187786,.F.); #241584=ORIENTED_EDGE('',*,*,#187787,.T.); #241585=ORIENTED_EDGE('',*,*,#187788,.F.); #241586=ORIENTED_EDGE('',*,*,#187787,.F.); #241587=ORIENTED_EDGE('',*,*,#187789,.F.); #241588=ORIENTED_EDGE('',*,*,#187790,.T.); #241589=ORIENTED_EDGE('',*,*,#187791,.T.); #241590=ORIENTED_EDGE('',*,*,#187790,.F.); #241591=ORIENTED_EDGE('',*,*,#187789,.T.); #241592=ORIENTED_EDGE('',*,*,#187786,.T.); #241593=ORIENTED_EDGE('',*,*,#187791,.F.); #241594=ORIENTED_EDGE('',*,*,#187788,.T.); #241595=ORIENTED_EDGE('',*,*,#187792,.F.); #241596=ORIENTED_EDGE('',*,*,#187793,.T.); #241597=ORIENTED_EDGE('',*,*,#187794,.F.); #241598=ORIENTED_EDGE('',*,*,#187793,.F.); #241599=ORIENTED_EDGE('',*,*,#187795,.F.); #241600=ORIENTED_EDGE('',*,*,#187796,.T.); #241601=ORIENTED_EDGE('',*,*,#187797,.T.); #241602=ORIENTED_EDGE('',*,*,#187796,.F.); #241603=ORIENTED_EDGE('',*,*,#187795,.T.); #241604=ORIENTED_EDGE('',*,*,#187792,.T.); #241605=ORIENTED_EDGE('',*,*,#187797,.F.); #241606=ORIENTED_EDGE('',*,*,#187794,.T.); #241607=ORIENTED_EDGE('',*,*,#187798,.F.); #241608=ORIENTED_EDGE('',*,*,#187799,.T.); #241609=ORIENTED_EDGE('',*,*,#187800,.F.); #241610=ORIENTED_EDGE('',*,*,#187799,.F.); #241611=ORIENTED_EDGE('',*,*,#187801,.F.); #241612=ORIENTED_EDGE('',*,*,#187802,.T.); #241613=ORIENTED_EDGE('',*,*,#187803,.T.); #241614=ORIENTED_EDGE('',*,*,#187802,.F.); #241615=ORIENTED_EDGE('',*,*,#187801,.T.); #241616=ORIENTED_EDGE('',*,*,#187798,.T.); #241617=ORIENTED_EDGE('',*,*,#187803,.F.); #241618=ORIENTED_EDGE('',*,*,#187800,.T.); #241619=ORIENTED_EDGE('',*,*,#187804,.F.); #241620=ORIENTED_EDGE('',*,*,#187805,.T.); #241621=ORIENTED_EDGE('',*,*,#187806,.F.); #241622=ORIENTED_EDGE('',*,*,#187805,.F.); #241623=ORIENTED_EDGE('',*,*,#187807,.F.); #241624=ORIENTED_EDGE('',*,*,#187808,.T.); #241625=ORIENTED_EDGE('',*,*,#187809,.T.); #241626=ORIENTED_EDGE('',*,*,#187808,.F.); #241627=ORIENTED_EDGE('',*,*,#187807,.T.); #241628=ORIENTED_EDGE('',*,*,#187804,.T.); #241629=ORIENTED_EDGE('',*,*,#187809,.F.); #241630=ORIENTED_EDGE('',*,*,#187806,.T.); #241631=ORIENTED_EDGE('',*,*,#187810,.F.); #241632=ORIENTED_EDGE('',*,*,#187811,.T.); #241633=ORIENTED_EDGE('',*,*,#187812,.F.); #241634=ORIENTED_EDGE('',*,*,#187811,.F.); #241635=ORIENTED_EDGE('',*,*,#187813,.F.); #241636=ORIENTED_EDGE('',*,*,#187814,.T.); #241637=ORIENTED_EDGE('',*,*,#187815,.T.); #241638=ORIENTED_EDGE('',*,*,#187814,.F.); #241639=ORIENTED_EDGE('',*,*,#187813,.T.); #241640=ORIENTED_EDGE('',*,*,#187810,.T.); #241641=ORIENTED_EDGE('',*,*,#187815,.F.); #241642=ORIENTED_EDGE('',*,*,#187812,.T.); #241643=ORIENTED_EDGE('',*,*,#187816,.F.); #241644=ORIENTED_EDGE('',*,*,#187817,.T.); #241645=ORIENTED_EDGE('',*,*,#187818,.F.); #241646=ORIENTED_EDGE('',*,*,#187817,.F.); #241647=ORIENTED_EDGE('',*,*,#187819,.F.); #241648=ORIENTED_EDGE('',*,*,#187820,.T.); #241649=ORIENTED_EDGE('',*,*,#187821,.T.); #241650=ORIENTED_EDGE('',*,*,#187820,.F.); #241651=ORIENTED_EDGE('',*,*,#187819,.T.); #241652=ORIENTED_EDGE('',*,*,#187816,.T.); #241653=ORIENTED_EDGE('',*,*,#187821,.F.); #241654=ORIENTED_EDGE('',*,*,#187818,.T.); #241655=ORIENTED_EDGE('',*,*,#187822,.F.); #241656=ORIENTED_EDGE('',*,*,#187823,.T.); #241657=ORIENTED_EDGE('',*,*,#187824,.F.); #241658=ORIENTED_EDGE('',*,*,#187823,.F.); #241659=ORIENTED_EDGE('',*,*,#187825,.F.); #241660=ORIENTED_EDGE('',*,*,#187826,.T.); #241661=ORIENTED_EDGE('',*,*,#187827,.T.); #241662=ORIENTED_EDGE('',*,*,#187826,.F.); #241663=ORIENTED_EDGE('',*,*,#187825,.T.); #241664=ORIENTED_EDGE('',*,*,#187822,.T.); #241665=ORIENTED_EDGE('',*,*,#187827,.F.); #241666=ORIENTED_EDGE('',*,*,#187824,.T.); #241667=ORIENTED_EDGE('',*,*,#187828,.F.); #241668=ORIENTED_EDGE('',*,*,#187829,.T.); #241669=ORIENTED_EDGE('',*,*,#187830,.F.); #241670=ORIENTED_EDGE('',*,*,#187829,.F.); #241671=ORIENTED_EDGE('',*,*,#187831,.F.); #241672=ORIENTED_EDGE('',*,*,#187832,.T.); #241673=ORIENTED_EDGE('',*,*,#187833,.T.); #241674=ORIENTED_EDGE('',*,*,#187832,.F.); #241675=ORIENTED_EDGE('',*,*,#187831,.T.); #241676=ORIENTED_EDGE('',*,*,#187828,.T.); #241677=ORIENTED_EDGE('',*,*,#187833,.F.); #241678=ORIENTED_EDGE('',*,*,#187830,.T.); #241679=ORIENTED_EDGE('',*,*,#187834,.F.); #241680=ORIENTED_EDGE('',*,*,#187835,.T.); #241681=ORIENTED_EDGE('',*,*,#187836,.F.); #241682=ORIENTED_EDGE('',*,*,#187835,.F.); #241683=ORIENTED_EDGE('',*,*,#187837,.F.); #241684=ORIENTED_EDGE('',*,*,#187838,.T.); #241685=ORIENTED_EDGE('',*,*,#187839,.T.); #241686=ORIENTED_EDGE('',*,*,#187838,.F.); #241687=ORIENTED_EDGE('',*,*,#187837,.T.); #241688=ORIENTED_EDGE('',*,*,#187834,.T.); #241689=ORIENTED_EDGE('',*,*,#187839,.F.); #241690=ORIENTED_EDGE('',*,*,#187836,.T.); #241691=ORIENTED_EDGE('',*,*,#187840,.F.); #241692=ORIENTED_EDGE('',*,*,#187841,.T.); #241693=ORIENTED_EDGE('',*,*,#187842,.F.); #241694=ORIENTED_EDGE('',*,*,#187841,.F.); #241695=ORIENTED_EDGE('',*,*,#187843,.F.); #241696=ORIENTED_EDGE('',*,*,#187844,.T.); #241697=ORIENTED_EDGE('',*,*,#187845,.T.); #241698=ORIENTED_EDGE('',*,*,#187844,.F.); #241699=ORIENTED_EDGE('',*,*,#187843,.T.); #241700=ORIENTED_EDGE('',*,*,#187840,.T.); #241701=ORIENTED_EDGE('',*,*,#187845,.F.); #241702=ORIENTED_EDGE('',*,*,#187842,.T.); #241703=ORIENTED_EDGE('',*,*,#187846,.F.); #241704=ORIENTED_EDGE('',*,*,#187847,.T.); #241705=ORIENTED_EDGE('',*,*,#187848,.F.); #241706=ORIENTED_EDGE('',*,*,#187847,.F.); #241707=ORIENTED_EDGE('',*,*,#187849,.F.); #241708=ORIENTED_EDGE('',*,*,#187850,.T.); #241709=ORIENTED_EDGE('',*,*,#187851,.T.); #241710=ORIENTED_EDGE('',*,*,#187850,.F.); #241711=ORIENTED_EDGE('',*,*,#187849,.T.); #241712=ORIENTED_EDGE('',*,*,#187846,.T.); #241713=ORIENTED_EDGE('',*,*,#187851,.F.); #241714=ORIENTED_EDGE('',*,*,#187848,.T.); #241715=ORIENTED_EDGE('',*,*,#187852,.F.); #241716=ORIENTED_EDGE('',*,*,#187853,.T.); #241717=ORIENTED_EDGE('',*,*,#187854,.F.); #241718=ORIENTED_EDGE('',*,*,#187853,.F.); #241719=ORIENTED_EDGE('',*,*,#187855,.F.); #241720=ORIENTED_EDGE('',*,*,#187856,.T.); #241721=ORIENTED_EDGE('',*,*,#187857,.T.); #241722=ORIENTED_EDGE('',*,*,#187856,.F.); #241723=ORIENTED_EDGE('',*,*,#187855,.T.); #241724=ORIENTED_EDGE('',*,*,#187852,.T.); #241725=ORIENTED_EDGE('',*,*,#187857,.F.); #241726=ORIENTED_EDGE('',*,*,#187854,.T.); #241727=ORIENTED_EDGE('',*,*,#187858,.F.); #241728=ORIENTED_EDGE('',*,*,#187859,.T.); #241729=ORIENTED_EDGE('',*,*,#187860,.F.); #241730=ORIENTED_EDGE('',*,*,#187859,.F.); #241731=ORIENTED_EDGE('',*,*,#187861,.F.); #241732=ORIENTED_EDGE('',*,*,#187862,.T.); #241733=ORIENTED_EDGE('',*,*,#187863,.T.); #241734=ORIENTED_EDGE('',*,*,#187862,.F.); #241735=ORIENTED_EDGE('',*,*,#187861,.T.); #241736=ORIENTED_EDGE('',*,*,#187858,.T.); #241737=ORIENTED_EDGE('',*,*,#187863,.F.); #241738=ORIENTED_EDGE('',*,*,#187860,.T.); #241739=ORIENTED_EDGE('',*,*,#187864,.F.); #241740=ORIENTED_EDGE('',*,*,#187865,.T.); #241741=ORIENTED_EDGE('',*,*,#187866,.F.); #241742=ORIENTED_EDGE('',*,*,#187865,.F.); #241743=ORIENTED_EDGE('',*,*,#187867,.F.); #241744=ORIENTED_EDGE('',*,*,#187868,.T.); #241745=ORIENTED_EDGE('',*,*,#187869,.T.); #241746=ORIENTED_EDGE('',*,*,#187868,.F.); #241747=ORIENTED_EDGE('',*,*,#187867,.T.); #241748=ORIENTED_EDGE('',*,*,#187864,.T.); #241749=ORIENTED_EDGE('',*,*,#187869,.F.); #241750=ORIENTED_EDGE('',*,*,#187866,.T.); #241751=ORIENTED_EDGE('',*,*,#187870,.F.); #241752=ORIENTED_EDGE('',*,*,#187871,.T.); #241753=ORIENTED_EDGE('',*,*,#187872,.F.); #241754=ORIENTED_EDGE('',*,*,#187871,.F.); #241755=ORIENTED_EDGE('',*,*,#187873,.F.); #241756=ORIENTED_EDGE('',*,*,#187874,.T.); #241757=ORIENTED_EDGE('',*,*,#187875,.T.); #241758=ORIENTED_EDGE('',*,*,#187874,.F.); #241759=ORIENTED_EDGE('',*,*,#187873,.T.); #241760=ORIENTED_EDGE('',*,*,#187870,.T.); #241761=ORIENTED_EDGE('',*,*,#187875,.F.); #241762=ORIENTED_EDGE('',*,*,#187872,.T.); #241763=ORIENTED_EDGE('',*,*,#187876,.F.); #241764=ORIENTED_EDGE('',*,*,#187877,.T.); #241765=ORIENTED_EDGE('',*,*,#187878,.F.); #241766=ORIENTED_EDGE('',*,*,#187877,.F.); #241767=ORIENTED_EDGE('',*,*,#187879,.F.); #241768=ORIENTED_EDGE('',*,*,#187880,.T.); #241769=ORIENTED_EDGE('',*,*,#187881,.T.); #241770=ORIENTED_EDGE('',*,*,#187880,.F.); #241771=ORIENTED_EDGE('',*,*,#187879,.T.); #241772=ORIENTED_EDGE('',*,*,#187876,.T.); #241773=ORIENTED_EDGE('',*,*,#187881,.F.); #241774=ORIENTED_EDGE('',*,*,#187878,.T.); #241775=ORIENTED_EDGE('',*,*,#187882,.F.); #241776=ORIENTED_EDGE('',*,*,#187883,.T.); #241777=ORIENTED_EDGE('',*,*,#187884,.F.); #241778=ORIENTED_EDGE('',*,*,#187883,.F.); #241779=ORIENTED_EDGE('',*,*,#187885,.F.); #241780=ORIENTED_EDGE('',*,*,#187886,.T.); #241781=ORIENTED_EDGE('',*,*,#187887,.T.); #241782=ORIENTED_EDGE('',*,*,#187886,.F.); #241783=ORIENTED_EDGE('',*,*,#187885,.T.); #241784=ORIENTED_EDGE('',*,*,#187882,.T.); #241785=ORIENTED_EDGE('',*,*,#187887,.F.); #241786=ORIENTED_EDGE('',*,*,#187884,.T.); #241787=ORIENTED_EDGE('',*,*,#187888,.F.); #241788=ORIENTED_EDGE('',*,*,#187889,.T.); #241789=ORIENTED_EDGE('',*,*,#187890,.F.); #241790=ORIENTED_EDGE('',*,*,#187889,.F.); #241791=ORIENTED_EDGE('',*,*,#187891,.F.); #241792=ORIENTED_EDGE('',*,*,#187892,.T.); #241793=ORIENTED_EDGE('',*,*,#187893,.T.); #241794=ORIENTED_EDGE('',*,*,#187892,.F.); #241795=ORIENTED_EDGE('',*,*,#187891,.T.); #241796=ORIENTED_EDGE('',*,*,#187888,.T.); #241797=ORIENTED_EDGE('',*,*,#187893,.F.); #241798=ORIENTED_EDGE('',*,*,#187890,.T.); #241799=ORIENTED_EDGE('',*,*,#187894,.F.); #241800=ORIENTED_EDGE('',*,*,#187895,.T.); #241801=ORIENTED_EDGE('',*,*,#187896,.F.); #241802=ORIENTED_EDGE('',*,*,#187895,.F.); #241803=ORIENTED_EDGE('',*,*,#187897,.F.); #241804=ORIENTED_EDGE('',*,*,#187898,.T.); #241805=ORIENTED_EDGE('',*,*,#187899,.T.); #241806=ORIENTED_EDGE('',*,*,#187898,.F.); #241807=ORIENTED_EDGE('',*,*,#187897,.T.); #241808=ORIENTED_EDGE('',*,*,#187894,.T.); #241809=ORIENTED_EDGE('',*,*,#187899,.F.); #241810=ORIENTED_EDGE('',*,*,#187896,.T.); #241811=ORIENTED_EDGE('',*,*,#187900,.F.); #241812=ORIENTED_EDGE('',*,*,#187901,.T.); #241813=ORIENTED_EDGE('',*,*,#187902,.F.); #241814=ORIENTED_EDGE('',*,*,#187901,.F.); #241815=ORIENTED_EDGE('',*,*,#187903,.F.); #241816=ORIENTED_EDGE('',*,*,#187904,.T.); #241817=ORIENTED_EDGE('',*,*,#187905,.T.); #241818=ORIENTED_EDGE('',*,*,#187904,.F.); #241819=ORIENTED_EDGE('',*,*,#187903,.T.); #241820=ORIENTED_EDGE('',*,*,#187900,.T.); #241821=ORIENTED_EDGE('',*,*,#187905,.F.); #241822=ORIENTED_EDGE('',*,*,#187902,.T.); #241823=ORIENTED_EDGE('',*,*,#187906,.F.); #241824=ORIENTED_EDGE('',*,*,#187907,.T.); #241825=ORIENTED_EDGE('',*,*,#187908,.F.); #241826=ORIENTED_EDGE('',*,*,#187907,.F.); #241827=ORIENTED_EDGE('',*,*,#187909,.F.); #241828=ORIENTED_EDGE('',*,*,#187910,.T.); #241829=ORIENTED_EDGE('',*,*,#187911,.T.); #241830=ORIENTED_EDGE('',*,*,#187910,.F.); #241831=ORIENTED_EDGE('',*,*,#187909,.T.); #241832=ORIENTED_EDGE('',*,*,#187906,.T.); #241833=ORIENTED_EDGE('',*,*,#187911,.F.); #241834=ORIENTED_EDGE('',*,*,#187908,.T.); #241835=ORIENTED_EDGE('',*,*,#187912,.F.); #241836=ORIENTED_EDGE('',*,*,#187913,.T.); #241837=ORIENTED_EDGE('',*,*,#187914,.F.); #241838=ORIENTED_EDGE('',*,*,#187913,.F.); #241839=ORIENTED_EDGE('',*,*,#187915,.F.); #241840=ORIENTED_EDGE('',*,*,#187916,.T.); #241841=ORIENTED_EDGE('',*,*,#187917,.T.); #241842=ORIENTED_EDGE('',*,*,#187916,.F.); #241843=ORIENTED_EDGE('',*,*,#187915,.T.); #241844=ORIENTED_EDGE('',*,*,#187912,.T.); #241845=ORIENTED_EDGE('',*,*,#187917,.F.); #241846=ORIENTED_EDGE('',*,*,#187914,.T.); #241847=ORIENTED_EDGE('',*,*,#187918,.F.); #241848=ORIENTED_EDGE('',*,*,#187919,.T.); #241849=ORIENTED_EDGE('',*,*,#187920,.F.); #241850=ORIENTED_EDGE('',*,*,#187919,.F.); #241851=ORIENTED_EDGE('',*,*,#187921,.F.); #241852=ORIENTED_EDGE('',*,*,#187922,.T.); #241853=ORIENTED_EDGE('',*,*,#187923,.T.); #241854=ORIENTED_EDGE('',*,*,#187922,.F.); #241855=ORIENTED_EDGE('',*,*,#187921,.T.); #241856=ORIENTED_EDGE('',*,*,#187918,.T.); #241857=ORIENTED_EDGE('',*,*,#187923,.F.); #241858=ORIENTED_EDGE('',*,*,#187920,.T.); #241859=ORIENTED_EDGE('',*,*,#187924,.F.); #241860=ORIENTED_EDGE('',*,*,#187925,.T.); #241861=ORIENTED_EDGE('',*,*,#187926,.F.); #241862=ORIENTED_EDGE('',*,*,#187925,.F.); #241863=ORIENTED_EDGE('',*,*,#187927,.F.); #241864=ORIENTED_EDGE('',*,*,#187928,.T.); #241865=ORIENTED_EDGE('',*,*,#187929,.T.); #241866=ORIENTED_EDGE('',*,*,#187928,.F.); #241867=ORIENTED_EDGE('',*,*,#187927,.T.); #241868=ORIENTED_EDGE('',*,*,#187924,.T.); #241869=ORIENTED_EDGE('',*,*,#187929,.F.); #241870=ORIENTED_EDGE('',*,*,#187926,.T.); #241871=ORIENTED_EDGE('',*,*,#187930,.F.); #241872=ORIENTED_EDGE('',*,*,#187931,.T.); #241873=ORIENTED_EDGE('',*,*,#187932,.F.); #241874=ORIENTED_EDGE('',*,*,#187931,.F.); #241875=ORIENTED_EDGE('',*,*,#187933,.F.); #241876=ORIENTED_EDGE('',*,*,#187934,.T.); #241877=ORIENTED_EDGE('',*,*,#187935,.T.); #241878=ORIENTED_EDGE('',*,*,#187934,.F.); #241879=ORIENTED_EDGE('',*,*,#187933,.T.); #241880=ORIENTED_EDGE('',*,*,#187930,.T.); #241881=ORIENTED_EDGE('',*,*,#187935,.F.); #241882=ORIENTED_EDGE('',*,*,#187932,.T.); #241883=ORIENTED_EDGE('',*,*,#187936,.F.); #241884=ORIENTED_EDGE('',*,*,#187937,.T.); #241885=ORIENTED_EDGE('',*,*,#187938,.F.); #241886=ORIENTED_EDGE('',*,*,#187937,.F.); #241887=ORIENTED_EDGE('',*,*,#187939,.F.); #241888=ORIENTED_EDGE('',*,*,#187940,.T.); #241889=ORIENTED_EDGE('',*,*,#187941,.T.); #241890=ORIENTED_EDGE('',*,*,#187940,.F.); #241891=ORIENTED_EDGE('',*,*,#187939,.T.); #241892=ORIENTED_EDGE('',*,*,#187936,.T.); #241893=ORIENTED_EDGE('',*,*,#187941,.F.); #241894=ORIENTED_EDGE('',*,*,#187938,.T.); #241895=ORIENTED_EDGE('',*,*,#187942,.F.); #241896=ORIENTED_EDGE('',*,*,#187943,.T.); #241897=ORIENTED_EDGE('',*,*,#187944,.F.); #241898=ORIENTED_EDGE('',*,*,#187943,.F.); #241899=ORIENTED_EDGE('',*,*,#187945,.F.); #241900=ORIENTED_EDGE('',*,*,#187946,.T.); #241901=ORIENTED_EDGE('',*,*,#187947,.T.); #241902=ORIENTED_EDGE('',*,*,#187946,.F.); #241903=ORIENTED_EDGE('',*,*,#187945,.T.); #241904=ORIENTED_EDGE('',*,*,#187942,.T.); #241905=ORIENTED_EDGE('',*,*,#187947,.F.); #241906=ORIENTED_EDGE('',*,*,#187944,.T.); #241907=ORIENTED_EDGE('',*,*,#187948,.F.); #241908=ORIENTED_EDGE('',*,*,#187949,.T.); #241909=ORIENTED_EDGE('',*,*,#187950,.F.); #241910=ORIENTED_EDGE('',*,*,#187949,.F.); #241911=ORIENTED_EDGE('',*,*,#187951,.F.); #241912=ORIENTED_EDGE('',*,*,#187952,.T.); #241913=ORIENTED_EDGE('',*,*,#187953,.T.); #241914=ORIENTED_EDGE('',*,*,#187952,.F.); #241915=ORIENTED_EDGE('',*,*,#187951,.T.); #241916=ORIENTED_EDGE('',*,*,#187948,.T.); #241917=ORIENTED_EDGE('',*,*,#187953,.F.); #241918=ORIENTED_EDGE('',*,*,#187950,.T.); #241919=ORIENTED_EDGE('',*,*,#187954,.F.); #241920=ORIENTED_EDGE('',*,*,#187955,.T.); #241921=ORIENTED_EDGE('',*,*,#187956,.F.); #241922=ORIENTED_EDGE('',*,*,#187955,.F.); #241923=ORIENTED_EDGE('',*,*,#187957,.F.); #241924=ORIENTED_EDGE('',*,*,#187958,.T.); #241925=ORIENTED_EDGE('',*,*,#187959,.T.); #241926=ORIENTED_EDGE('',*,*,#187958,.F.); #241927=ORIENTED_EDGE('',*,*,#187957,.T.); #241928=ORIENTED_EDGE('',*,*,#187954,.T.); #241929=ORIENTED_EDGE('',*,*,#187959,.F.); #241930=ORIENTED_EDGE('',*,*,#187956,.T.); #241931=ORIENTED_EDGE('',*,*,#187960,.F.); #241932=ORIENTED_EDGE('',*,*,#187961,.T.); #241933=ORIENTED_EDGE('',*,*,#187962,.F.); #241934=ORIENTED_EDGE('',*,*,#187961,.F.); #241935=ORIENTED_EDGE('',*,*,#187963,.F.); #241936=ORIENTED_EDGE('',*,*,#187964,.T.); #241937=ORIENTED_EDGE('',*,*,#187965,.T.); #241938=ORIENTED_EDGE('',*,*,#187964,.F.); #241939=ORIENTED_EDGE('',*,*,#187963,.T.); #241940=ORIENTED_EDGE('',*,*,#187960,.T.); #241941=ORIENTED_EDGE('',*,*,#187965,.F.); #241942=ORIENTED_EDGE('',*,*,#187962,.T.); #241943=ORIENTED_EDGE('',*,*,#187966,.F.); #241944=ORIENTED_EDGE('',*,*,#187967,.T.); #241945=ORIENTED_EDGE('',*,*,#187968,.F.); #241946=ORIENTED_EDGE('',*,*,#187967,.F.); #241947=ORIENTED_EDGE('',*,*,#187969,.F.); #241948=ORIENTED_EDGE('',*,*,#187970,.T.); #241949=ORIENTED_EDGE('',*,*,#187971,.T.); #241950=ORIENTED_EDGE('',*,*,#187970,.F.); #241951=ORIENTED_EDGE('',*,*,#187969,.T.); #241952=ORIENTED_EDGE('',*,*,#187966,.T.); #241953=ORIENTED_EDGE('',*,*,#187971,.F.); #241954=ORIENTED_EDGE('',*,*,#187968,.T.); #241955=ORIENTED_EDGE('',*,*,#187972,.F.); #241956=ORIENTED_EDGE('',*,*,#187973,.T.); #241957=ORIENTED_EDGE('',*,*,#187974,.F.); #241958=ORIENTED_EDGE('',*,*,#187973,.F.); #241959=ORIENTED_EDGE('',*,*,#187975,.F.); #241960=ORIENTED_EDGE('',*,*,#187976,.T.); #241961=ORIENTED_EDGE('',*,*,#187977,.T.); #241962=ORIENTED_EDGE('',*,*,#187976,.F.); #241963=ORIENTED_EDGE('',*,*,#187975,.T.); #241964=ORIENTED_EDGE('',*,*,#187972,.T.); #241965=ORIENTED_EDGE('',*,*,#187977,.F.); #241966=ORIENTED_EDGE('',*,*,#187974,.T.); #241967=ORIENTED_EDGE('',*,*,#187978,.F.); #241968=ORIENTED_EDGE('',*,*,#187979,.T.); #241969=ORIENTED_EDGE('',*,*,#187980,.F.); #241970=ORIENTED_EDGE('',*,*,#187979,.F.); #241971=ORIENTED_EDGE('',*,*,#187981,.F.); #241972=ORIENTED_EDGE('',*,*,#187982,.T.); #241973=ORIENTED_EDGE('',*,*,#187983,.T.); #241974=ORIENTED_EDGE('',*,*,#187982,.F.); #241975=ORIENTED_EDGE('',*,*,#187981,.T.); #241976=ORIENTED_EDGE('',*,*,#187978,.T.); #241977=ORIENTED_EDGE('',*,*,#187983,.F.); #241978=ORIENTED_EDGE('',*,*,#187980,.T.); #241979=ORIENTED_EDGE('',*,*,#187984,.F.); #241980=ORIENTED_EDGE('',*,*,#187985,.T.); #241981=ORIENTED_EDGE('',*,*,#187986,.F.); #241982=ORIENTED_EDGE('',*,*,#187985,.F.); #241983=ORIENTED_EDGE('',*,*,#187987,.F.); #241984=ORIENTED_EDGE('',*,*,#187988,.T.); #241985=ORIENTED_EDGE('',*,*,#187989,.T.); #241986=ORIENTED_EDGE('',*,*,#187988,.F.); #241987=ORIENTED_EDGE('',*,*,#187987,.T.); #241988=ORIENTED_EDGE('',*,*,#187984,.T.); #241989=ORIENTED_EDGE('',*,*,#187989,.F.); #241990=ORIENTED_EDGE('',*,*,#187986,.T.); #241991=ORIENTED_EDGE('',*,*,#187990,.F.); #241992=ORIENTED_EDGE('',*,*,#187991,.T.); #241993=ORIENTED_EDGE('',*,*,#187992,.F.); #241994=ORIENTED_EDGE('',*,*,#187991,.F.); #241995=ORIENTED_EDGE('',*,*,#187993,.F.); #241996=ORIENTED_EDGE('',*,*,#187994,.T.); #241997=ORIENTED_EDGE('',*,*,#187995,.T.); #241998=ORIENTED_EDGE('',*,*,#187994,.F.); #241999=ORIENTED_EDGE('',*,*,#187993,.T.); #242000=ORIENTED_EDGE('',*,*,#187990,.T.); #242001=ORIENTED_EDGE('',*,*,#187995,.F.); #242002=ORIENTED_EDGE('',*,*,#187992,.T.); #242003=ORIENTED_EDGE('',*,*,#187996,.F.); #242004=ORIENTED_EDGE('',*,*,#187997,.T.); #242005=ORIENTED_EDGE('',*,*,#187998,.F.); #242006=ORIENTED_EDGE('',*,*,#187997,.F.); #242007=ORIENTED_EDGE('',*,*,#187999,.F.); #242008=ORIENTED_EDGE('',*,*,#188000,.T.); #242009=ORIENTED_EDGE('',*,*,#188001,.T.); #242010=ORIENTED_EDGE('',*,*,#188000,.F.); #242011=ORIENTED_EDGE('',*,*,#187999,.T.); #242012=ORIENTED_EDGE('',*,*,#187996,.T.); #242013=ORIENTED_EDGE('',*,*,#188001,.F.); #242014=ORIENTED_EDGE('',*,*,#187998,.T.); #242015=ORIENTED_EDGE('',*,*,#188002,.F.); #242016=ORIENTED_EDGE('',*,*,#188003,.T.); #242017=ORIENTED_EDGE('',*,*,#188004,.F.); #242018=ORIENTED_EDGE('',*,*,#188003,.F.); #242019=ORIENTED_EDGE('',*,*,#188005,.F.); #242020=ORIENTED_EDGE('',*,*,#188006,.T.); #242021=ORIENTED_EDGE('',*,*,#188007,.T.); #242022=ORIENTED_EDGE('',*,*,#188006,.F.); #242023=ORIENTED_EDGE('',*,*,#188005,.T.); #242024=ORIENTED_EDGE('',*,*,#188002,.T.); #242025=ORIENTED_EDGE('',*,*,#188007,.F.); #242026=ORIENTED_EDGE('',*,*,#188004,.T.); #242027=ORIENTED_EDGE('',*,*,#188008,.F.); #242028=ORIENTED_EDGE('',*,*,#188009,.T.); #242029=ORIENTED_EDGE('',*,*,#188010,.F.); #242030=ORIENTED_EDGE('',*,*,#188009,.F.); #242031=ORIENTED_EDGE('',*,*,#188011,.F.); #242032=ORIENTED_EDGE('',*,*,#188012,.T.); #242033=ORIENTED_EDGE('',*,*,#188013,.T.); #242034=ORIENTED_EDGE('',*,*,#188012,.F.); #242035=ORIENTED_EDGE('',*,*,#188011,.T.); #242036=ORIENTED_EDGE('',*,*,#188008,.T.); #242037=ORIENTED_EDGE('',*,*,#188013,.F.); #242038=ORIENTED_EDGE('',*,*,#188010,.T.); #242039=ORIENTED_EDGE('',*,*,#188014,.F.); #242040=ORIENTED_EDGE('',*,*,#188015,.T.); #242041=ORIENTED_EDGE('',*,*,#188016,.F.); #242042=ORIENTED_EDGE('',*,*,#188015,.F.); #242043=ORIENTED_EDGE('',*,*,#188017,.F.); #242044=ORIENTED_EDGE('',*,*,#188018,.T.); #242045=ORIENTED_EDGE('',*,*,#188019,.T.); #242046=ORIENTED_EDGE('',*,*,#188018,.F.); #242047=ORIENTED_EDGE('',*,*,#188017,.T.); #242048=ORIENTED_EDGE('',*,*,#188014,.T.); #242049=ORIENTED_EDGE('',*,*,#188019,.F.); #242050=ORIENTED_EDGE('',*,*,#188016,.T.); #242051=ORIENTED_EDGE('',*,*,#188020,.F.); #242052=ORIENTED_EDGE('',*,*,#188021,.T.); #242053=ORIENTED_EDGE('',*,*,#188022,.F.); #242054=ORIENTED_EDGE('',*,*,#188021,.F.); #242055=ORIENTED_EDGE('',*,*,#188023,.F.); #242056=ORIENTED_EDGE('',*,*,#188024,.T.); #242057=ORIENTED_EDGE('',*,*,#188025,.T.); #242058=ORIENTED_EDGE('',*,*,#188024,.F.); #242059=ORIENTED_EDGE('',*,*,#188023,.T.); #242060=ORIENTED_EDGE('',*,*,#188020,.T.); #242061=ORIENTED_EDGE('',*,*,#188025,.F.); #242062=ORIENTED_EDGE('',*,*,#188022,.T.); #242063=ORIENTED_EDGE('',*,*,#188026,.F.); #242064=ORIENTED_EDGE('',*,*,#188027,.T.); #242065=ORIENTED_EDGE('',*,*,#188028,.F.); #242066=ORIENTED_EDGE('',*,*,#188027,.F.); #242067=ORIENTED_EDGE('',*,*,#188029,.F.); #242068=ORIENTED_EDGE('',*,*,#188030,.T.); #242069=ORIENTED_EDGE('',*,*,#188031,.T.); #242070=ORIENTED_EDGE('',*,*,#188030,.F.); #242071=ORIENTED_EDGE('',*,*,#188029,.T.); #242072=ORIENTED_EDGE('',*,*,#188026,.T.); #242073=ORIENTED_EDGE('',*,*,#188031,.F.); #242074=ORIENTED_EDGE('',*,*,#188028,.T.); #242075=ORIENTED_EDGE('',*,*,#188032,.F.); #242076=ORIENTED_EDGE('',*,*,#188033,.T.); #242077=ORIENTED_EDGE('',*,*,#188034,.F.); #242078=ORIENTED_EDGE('',*,*,#188033,.F.); #242079=ORIENTED_EDGE('',*,*,#188035,.F.); #242080=ORIENTED_EDGE('',*,*,#188036,.T.); #242081=ORIENTED_EDGE('',*,*,#188037,.T.); #242082=ORIENTED_EDGE('',*,*,#188036,.F.); #242083=ORIENTED_EDGE('',*,*,#188035,.T.); #242084=ORIENTED_EDGE('',*,*,#188032,.T.); #242085=ORIENTED_EDGE('',*,*,#188037,.F.); #242086=ORIENTED_EDGE('',*,*,#188034,.T.); #242087=ORIENTED_EDGE('',*,*,#188038,.F.); #242088=ORIENTED_EDGE('',*,*,#188039,.T.); #242089=ORIENTED_EDGE('',*,*,#188040,.F.); #242090=ORIENTED_EDGE('',*,*,#188039,.F.); #242091=ORIENTED_EDGE('',*,*,#188041,.F.); #242092=ORIENTED_EDGE('',*,*,#188042,.T.); #242093=ORIENTED_EDGE('',*,*,#188043,.T.); #242094=ORIENTED_EDGE('',*,*,#188042,.F.); #242095=ORIENTED_EDGE('',*,*,#188041,.T.); #242096=ORIENTED_EDGE('',*,*,#188038,.T.); #242097=ORIENTED_EDGE('',*,*,#188043,.F.); #242098=ORIENTED_EDGE('',*,*,#188040,.T.); #242099=ORIENTED_EDGE('',*,*,#188044,.F.); #242100=ORIENTED_EDGE('',*,*,#188045,.T.); #242101=ORIENTED_EDGE('',*,*,#188046,.F.); #242102=ORIENTED_EDGE('',*,*,#188045,.F.); #242103=ORIENTED_EDGE('',*,*,#188047,.F.); #242104=ORIENTED_EDGE('',*,*,#188048,.T.); #242105=ORIENTED_EDGE('',*,*,#188049,.T.); #242106=ORIENTED_EDGE('',*,*,#188048,.F.); #242107=ORIENTED_EDGE('',*,*,#188047,.T.); #242108=ORIENTED_EDGE('',*,*,#188044,.T.); #242109=ORIENTED_EDGE('',*,*,#188049,.F.); #242110=ORIENTED_EDGE('',*,*,#188046,.T.); #242111=ORIENTED_EDGE('',*,*,#188050,.F.); #242112=ORIENTED_EDGE('',*,*,#188051,.T.); #242113=ORIENTED_EDGE('',*,*,#188052,.F.); #242114=ORIENTED_EDGE('',*,*,#188051,.F.); #242115=ORIENTED_EDGE('',*,*,#188053,.F.); #242116=ORIENTED_EDGE('',*,*,#188054,.T.); #242117=ORIENTED_EDGE('',*,*,#188055,.T.); #242118=ORIENTED_EDGE('',*,*,#188054,.F.); #242119=ORIENTED_EDGE('',*,*,#188053,.T.); #242120=ORIENTED_EDGE('',*,*,#188050,.T.); #242121=ORIENTED_EDGE('',*,*,#188055,.F.); #242122=ORIENTED_EDGE('',*,*,#188052,.T.); #242123=ORIENTED_EDGE('',*,*,#188056,.F.); #242124=ORIENTED_EDGE('',*,*,#188057,.T.); #242125=ORIENTED_EDGE('',*,*,#188058,.F.); #242126=ORIENTED_EDGE('',*,*,#188057,.F.); #242127=ORIENTED_EDGE('',*,*,#188059,.F.); #242128=ORIENTED_EDGE('',*,*,#188060,.T.); #242129=ORIENTED_EDGE('',*,*,#188061,.T.); #242130=ORIENTED_EDGE('',*,*,#188060,.F.); #242131=ORIENTED_EDGE('',*,*,#188059,.T.); #242132=ORIENTED_EDGE('',*,*,#188056,.T.); #242133=ORIENTED_EDGE('',*,*,#188061,.F.); #242134=ORIENTED_EDGE('',*,*,#188058,.T.); #242135=ORIENTED_EDGE('',*,*,#188062,.F.); #242136=ORIENTED_EDGE('',*,*,#188063,.T.); #242137=ORIENTED_EDGE('',*,*,#188064,.F.); #242138=ORIENTED_EDGE('',*,*,#188063,.F.); #242139=ORIENTED_EDGE('',*,*,#188065,.F.); #242140=ORIENTED_EDGE('',*,*,#188066,.T.); #242141=ORIENTED_EDGE('',*,*,#188067,.T.); #242142=ORIENTED_EDGE('',*,*,#188066,.F.); #242143=ORIENTED_EDGE('',*,*,#188065,.T.); #242144=ORIENTED_EDGE('',*,*,#188062,.T.); #242145=ORIENTED_EDGE('',*,*,#188067,.F.); #242146=ORIENTED_EDGE('',*,*,#188064,.T.); #242147=ORIENTED_EDGE('',*,*,#188068,.F.); #242148=ORIENTED_EDGE('',*,*,#188069,.T.); #242149=ORIENTED_EDGE('',*,*,#188070,.F.); #242150=ORIENTED_EDGE('',*,*,#188069,.F.); #242151=ORIENTED_EDGE('',*,*,#188071,.F.); #242152=ORIENTED_EDGE('',*,*,#188072,.T.); #242153=ORIENTED_EDGE('',*,*,#188073,.T.); #242154=ORIENTED_EDGE('',*,*,#188072,.F.); #242155=ORIENTED_EDGE('',*,*,#188071,.T.); #242156=ORIENTED_EDGE('',*,*,#188068,.T.); #242157=ORIENTED_EDGE('',*,*,#188073,.F.); #242158=ORIENTED_EDGE('',*,*,#188070,.T.); #242159=ORIENTED_EDGE('',*,*,#188074,.F.); #242160=ORIENTED_EDGE('',*,*,#188075,.T.); #242161=ORIENTED_EDGE('',*,*,#188076,.F.); #242162=ORIENTED_EDGE('',*,*,#188075,.F.); #242163=ORIENTED_EDGE('',*,*,#188077,.F.); #242164=ORIENTED_EDGE('',*,*,#188078,.T.); #242165=ORIENTED_EDGE('',*,*,#188079,.T.); #242166=ORIENTED_EDGE('',*,*,#188078,.F.); #242167=ORIENTED_EDGE('',*,*,#188077,.T.); #242168=ORIENTED_EDGE('',*,*,#188074,.T.); #242169=ORIENTED_EDGE('',*,*,#188079,.F.); #242170=ORIENTED_EDGE('',*,*,#188076,.T.); #242171=ORIENTED_EDGE('',*,*,#188080,.F.); #242172=ORIENTED_EDGE('',*,*,#188081,.T.); #242173=ORIENTED_EDGE('',*,*,#188082,.F.); #242174=ORIENTED_EDGE('',*,*,#188081,.F.); #242175=ORIENTED_EDGE('',*,*,#188083,.F.); #242176=ORIENTED_EDGE('',*,*,#188084,.T.); #242177=ORIENTED_EDGE('',*,*,#188085,.T.); #242178=ORIENTED_EDGE('',*,*,#188084,.F.); #242179=ORIENTED_EDGE('',*,*,#188083,.T.); #242180=ORIENTED_EDGE('',*,*,#188080,.T.); #242181=ORIENTED_EDGE('',*,*,#188085,.F.); #242182=ORIENTED_EDGE('',*,*,#188082,.T.); #242183=ORIENTED_EDGE('',*,*,#188086,.F.); #242184=ORIENTED_EDGE('',*,*,#188087,.T.); #242185=ORIENTED_EDGE('',*,*,#188088,.F.); #242186=ORIENTED_EDGE('',*,*,#188087,.F.); #242187=ORIENTED_EDGE('',*,*,#188089,.F.); #242188=ORIENTED_EDGE('',*,*,#188090,.T.); #242189=ORIENTED_EDGE('',*,*,#188091,.T.); #242190=ORIENTED_EDGE('',*,*,#188090,.F.); #242191=ORIENTED_EDGE('',*,*,#188089,.T.); #242192=ORIENTED_EDGE('',*,*,#188086,.T.); #242193=ORIENTED_EDGE('',*,*,#188091,.F.); #242194=ORIENTED_EDGE('',*,*,#188088,.T.); #242195=ORIENTED_EDGE('',*,*,#188092,.F.); #242196=ORIENTED_EDGE('',*,*,#188093,.T.); #242197=ORIENTED_EDGE('',*,*,#188094,.F.); #242198=ORIENTED_EDGE('',*,*,#188093,.F.); #242199=ORIENTED_EDGE('',*,*,#188095,.F.); #242200=ORIENTED_EDGE('',*,*,#188096,.T.); #242201=ORIENTED_EDGE('',*,*,#188097,.T.); #242202=ORIENTED_EDGE('',*,*,#188096,.F.); #242203=ORIENTED_EDGE('',*,*,#188095,.T.); #242204=ORIENTED_EDGE('',*,*,#188092,.T.); #242205=ORIENTED_EDGE('',*,*,#188097,.F.); #242206=ORIENTED_EDGE('',*,*,#188094,.T.); #242207=ORIENTED_EDGE('',*,*,#188098,.F.); #242208=ORIENTED_EDGE('',*,*,#188099,.T.); #242209=ORIENTED_EDGE('',*,*,#188100,.F.); #242210=ORIENTED_EDGE('',*,*,#188099,.F.); #242211=ORIENTED_EDGE('',*,*,#188101,.F.); #242212=ORIENTED_EDGE('',*,*,#188102,.T.); #242213=ORIENTED_EDGE('',*,*,#188103,.T.); #242214=ORIENTED_EDGE('',*,*,#188102,.F.); #242215=ORIENTED_EDGE('',*,*,#188101,.T.); #242216=ORIENTED_EDGE('',*,*,#188098,.T.); #242217=ORIENTED_EDGE('',*,*,#188103,.F.); #242218=ORIENTED_EDGE('',*,*,#188100,.T.); #242219=ORIENTED_EDGE('',*,*,#188104,.F.); #242220=ORIENTED_EDGE('',*,*,#188105,.T.); #242221=ORIENTED_EDGE('',*,*,#188106,.F.); #242222=ORIENTED_EDGE('',*,*,#188105,.F.); #242223=ORIENTED_EDGE('',*,*,#188107,.F.); #242224=ORIENTED_EDGE('',*,*,#188108,.T.); #242225=ORIENTED_EDGE('',*,*,#188109,.T.); #242226=ORIENTED_EDGE('',*,*,#188108,.F.); #242227=ORIENTED_EDGE('',*,*,#188107,.T.); #242228=ORIENTED_EDGE('',*,*,#188104,.T.); #242229=ORIENTED_EDGE('',*,*,#188109,.F.); #242230=ORIENTED_EDGE('',*,*,#188106,.T.); #242231=ORIENTED_EDGE('',*,*,#188110,.F.); #242232=ORIENTED_EDGE('',*,*,#188111,.T.); #242233=ORIENTED_EDGE('',*,*,#188112,.F.); #242234=ORIENTED_EDGE('',*,*,#188111,.F.); #242235=ORIENTED_EDGE('',*,*,#188113,.F.); #242236=ORIENTED_EDGE('',*,*,#188114,.T.); #242237=ORIENTED_EDGE('',*,*,#188115,.T.); #242238=ORIENTED_EDGE('',*,*,#188114,.F.); #242239=ORIENTED_EDGE('',*,*,#188113,.T.); #242240=ORIENTED_EDGE('',*,*,#188110,.T.); #242241=ORIENTED_EDGE('',*,*,#188115,.F.); #242242=ORIENTED_EDGE('',*,*,#188112,.T.); #242243=ORIENTED_EDGE('',*,*,#188116,.F.); #242244=ORIENTED_EDGE('',*,*,#188117,.T.); #242245=ORIENTED_EDGE('',*,*,#188118,.F.); #242246=ORIENTED_EDGE('',*,*,#188117,.F.); #242247=ORIENTED_EDGE('',*,*,#188119,.F.); #242248=ORIENTED_EDGE('',*,*,#188120,.T.); #242249=ORIENTED_EDGE('',*,*,#188121,.T.); #242250=ORIENTED_EDGE('',*,*,#188120,.F.); #242251=ORIENTED_EDGE('',*,*,#188119,.T.); #242252=ORIENTED_EDGE('',*,*,#188116,.T.); #242253=ORIENTED_EDGE('',*,*,#188121,.F.); #242254=ORIENTED_EDGE('',*,*,#188118,.T.); #242255=ORIENTED_EDGE('',*,*,#188122,.F.); #242256=ORIENTED_EDGE('',*,*,#188123,.T.); #242257=ORIENTED_EDGE('',*,*,#188124,.F.); #242258=ORIENTED_EDGE('',*,*,#188123,.F.); #242259=ORIENTED_EDGE('',*,*,#188125,.F.); #242260=ORIENTED_EDGE('',*,*,#188126,.T.); #242261=ORIENTED_EDGE('',*,*,#188127,.T.); #242262=ORIENTED_EDGE('',*,*,#188126,.F.); #242263=ORIENTED_EDGE('',*,*,#188125,.T.); #242264=ORIENTED_EDGE('',*,*,#188122,.T.); #242265=ORIENTED_EDGE('',*,*,#188127,.F.); #242266=ORIENTED_EDGE('',*,*,#188124,.T.); #242267=ORIENTED_EDGE('',*,*,#188128,.F.); #242268=ORIENTED_EDGE('',*,*,#188129,.T.); #242269=ORIENTED_EDGE('',*,*,#188130,.F.); #242270=ORIENTED_EDGE('',*,*,#188129,.F.); #242271=ORIENTED_EDGE('',*,*,#188131,.F.); #242272=ORIENTED_EDGE('',*,*,#188132,.T.); #242273=ORIENTED_EDGE('',*,*,#188133,.T.); #242274=ORIENTED_EDGE('',*,*,#188132,.F.); #242275=ORIENTED_EDGE('',*,*,#188131,.T.); #242276=ORIENTED_EDGE('',*,*,#188128,.T.); #242277=ORIENTED_EDGE('',*,*,#188133,.F.); #242278=ORIENTED_EDGE('',*,*,#188130,.T.); #242279=ORIENTED_EDGE('',*,*,#188134,.F.); #242280=ORIENTED_EDGE('',*,*,#188135,.T.); #242281=ORIENTED_EDGE('',*,*,#188136,.F.); #242282=ORIENTED_EDGE('',*,*,#188135,.F.); #242283=ORIENTED_EDGE('',*,*,#188137,.F.); #242284=ORIENTED_EDGE('',*,*,#188138,.T.); #242285=ORIENTED_EDGE('',*,*,#188139,.T.); #242286=ORIENTED_EDGE('',*,*,#188138,.F.); #242287=ORIENTED_EDGE('',*,*,#188137,.T.); #242288=ORIENTED_EDGE('',*,*,#188134,.T.); #242289=ORIENTED_EDGE('',*,*,#188139,.F.); #242290=ORIENTED_EDGE('',*,*,#188136,.T.); #242291=ORIENTED_EDGE('',*,*,#188140,.F.); #242292=ORIENTED_EDGE('',*,*,#188141,.T.); #242293=ORIENTED_EDGE('',*,*,#188142,.F.); #242294=ORIENTED_EDGE('',*,*,#188141,.F.); #242295=ORIENTED_EDGE('',*,*,#188143,.F.); #242296=ORIENTED_EDGE('',*,*,#188144,.T.); #242297=ORIENTED_EDGE('',*,*,#188145,.T.); #242298=ORIENTED_EDGE('',*,*,#188144,.F.); #242299=ORIENTED_EDGE('',*,*,#188143,.T.); #242300=ORIENTED_EDGE('',*,*,#188140,.T.); #242301=ORIENTED_EDGE('',*,*,#188145,.F.); #242302=ORIENTED_EDGE('',*,*,#188142,.T.); #242303=ORIENTED_EDGE('',*,*,#188146,.F.); #242304=ORIENTED_EDGE('',*,*,#188147,.T.); #242305=ORIENTED_EDGE('',*,*,#188148,.F.); #242306=ORIENTED_EDGE('',*,*,#188147,.F.); #242307=ORIENTED_EDGE('',*,*,#188149,.F.); #242308=ORIENTED_EDGE('',*,*,#188150,.T.); #242309=ORIENTED_EDGE('',*,*,#188151,.T.); #242310=ORIENTED_EDGE('',*,*,#188150,.F.); #242311=ORIENTED_EDGE('',*,*,#188149,.T.); #242312=ORIENTED_EDGE('',*,*,#188146,.T.); #242313=ORIENTED_EDGE('',*,*,#188151,.F.); #242314=ORIENTED_EDGE('',*,*,#188148,.T.); #242315=ORIENTED_EDGE('',*,*,#188152,.F.); #242316=ORIENTED_EDGE('',*,*,#188153,.T.); #242317=ORIENTED_EDGE('',*,*,#188154,.F.); #242318=ORIENTED_EDGE('',*,*,#188153,.F.); #242319=ORIENTED_EDGE('',*,*,#188155,.F.); #242320=ORIENTED_EDGE('',*,*,#188156,.T.); #242321=ORIENTED_EDGE('',*,*,#188157,.T.); #242322=ORIENTED_EDGE('',*,*,#188156,.F.); #242323=ORIENTED_EDGE('',*,*,#188155,.T.); #242324=ORIENTED_EDGE('',*,*,#188152,.T.); #242325=ORIENTED_EDGE('',*,*,#188157,.F.); #242326=ORIENTED_EDGE('',*,*,#188154,.T.); #242327=ORIENTED_EDGE('',*,*,#188158,.F.); #242328=ORIENTED_EDGE('',*,*,#188159,.T.); #242329=ORIENTED_EDGE('',*,*,#188160,.F.); #242330=ORIENTED_EDGE('',*,*,#188159,.F.); #242331=ORIENTED_EDGE('',*,*,#188161,.F.); #242332=ORIENTED_EDGE('',*,*,#188162,.T.); #242333=ORIENTED_EDGE('',*,*,#188163,.T.); #242334=ORIENTED_EDGE('',*,*,#188162,.F.); #242335=ORIENTED_EDGE('',*,*,#188161,.T.); #242336=ORIENTED_EDGE('',*,*,#188158,.T.); #242337=ORIENTED_EDGE('',*,*,#188163,.F.); #242338=ORIENTED_EDGE('',*,*,#188160,.T.); #242339=ORIENTED_EDGE('',*,*,#188164,.F.); #242340=ORIENTED_EDGE('',*,*,#188165,.T.); #242341=ORIENTED_EDGE('',*,*,#188166,.F.); #242342=ORIENTED_EDGE('',*,*,#188165,.F.); #242343=ORIENTED_EDGE('',*,*,#188167,.F.); #242344=ORIENTED_EDGE('',*,*,#188168,.T.); #242345=ORIENTED_EDGE('',*,*,#188169,.T.); #242346=ORIENTED_EDGE('',*,*,#188168,.F.); #242347=ORIENTED_EDGE('',*,*,#188167,.T.); #242348=ORIENTED_EDGE('',*,*,#188164,.T.); #242349=ORIENTED_EDGE('',*,*,#188169,.F.); #242350=ORIENTED_EDGE('',*,*,#188166,.T.); #242351=ORIENTED_EDGE('',*,*,#188170,.F.); #242352=ORIENTED_EDGE('',*,*,#188171,.T.); #242353=ORIENTED_EDGE('',*,*,#188172,.F.); #242354=ORIENTED_EDGE('',*,*,#188171,.F.); #242355=ORIENTED_EDGE('',*,*,#188173,.F.); #242356=ORIENTED_EDGE('',*,*,#188174,.T.); #242357=ORIENTED_EDGE('',*,*,#188175,.T.); #242358=ORIENTED_EDGE('',*,*,#188174,.F.); #242359=ORIENTED_EDGE('',*,*,#188173,.T.); #242360=ORIENTED_EDGE('',*,*,#188170,.T.); #242361=ORIENTED_EDGE('',*,*,#188175,.F.); #242362=ORIENTED_EDGE('',*,*,#188172,.T.); #242363=ORIENTED_EDGE('',*,*,#188176,.F.); #242364=ORIENTED_EDGE('',*,*,#188177,.T.); #242365=ORIENTED_EDGE('',*,*,#188178,.F.); #242366=ORIENTED_EDGE('',*,*,#188177,.F.); #242367=ORIENTED_EDGE('',*,*,#188179,.F.); #242368=ORIENTED_EDGE('',*,*,#188180,.T.); #242369=ORIENTED_EDGE('',*,*,#188181,.T.); #242370=ORIENTED_EDGE('',*,*,#188180,.F.); #242371=ORIENTED_EDGE('',*,*,#188179,.T.); #242372=ORIENTED_EDGE('',*,*,#188176,.T.); #242373=ORIENTED_EDGE('',*,*,#188181,.F.); #242374=ORIENTED_EDGE('',*,*,#188178,.T.); #242375=ORIENTED_EDGE('',*,*,#188182,.F.); #242376=ORIENTED_EDGE('',*,*,#188183,.T.); #242377=ORIENTED_EDGE('',*,*,#188184,.F.); #242378=ORIENTED_EDGE('',*,*,#188183,.F.); #242379=ORIENTED_EDGE('',*,*,#188185,.F.); #242380=ORIENTED_EDGE('',*,*,#188186,.T.); #242381=ORIENTED_EDGE('',*,*,#188187,.T.); #242382=ORIENTED_EDGE('',*,*,#188186,.F.); #242383=ORIENTED_EDGE('',*,*,#188185,.T.); #242384=ORIENTED_EDGE('',*,*,#188182,.T.); #242385=ORIENTED_EDGE('',*,*,#188187,.F.); #242386=ORIENTED_EDGE('',*,*,#188184,.T.); #242387=ORIENTED_EDGE('',*,*,#188188,.F.); #242388=ORIENTED_EDGE('',*,*,#188189,.T.); #242389=ORIENTED_EDGE('',*,*,#188190,.F.); #242390=ORIENTED_EDGE('',*,*,#188189,.F.); #242391=ORIENTED_EDGE('',*,*,#188191,.F.); #242392=ORIENTED_EDGE('',*,*,#188192,.T.); #242393=ORIENTED_EDGE('',*,*,#188193,.T.); #242394=ORIENTED_EDGE('',*,*,#188192,.F.); #242395=ORIENTED_EDGE('',*,*,#188191,.T.); #242396=ORIENTED_EDGE('',*,*,#188188,.T.); #242397=ORIENTED_EDGE('',*,*,#188193,.F.); #242398=ORIENTED_EDGE('',*,*,#188190,.T.); #242399=ORIENTED_EDGE('',*,*,#188194,.F.); #242400=ORIENTED_EDGE('',*,*,#188195,.T.); #242401=ORIENTED_EDGE('',*,*,#188196,.F.); #242402=ORIENTED_EDGE('',*,*,#188195,.F.); #242403=ORIENTED_EDGE('',*,*,#188197,.F.); #242404=ORIENTED_EDGE('',*,*,#188198,.T.); #242405=ORIENTED_EDGE('',*,*,#188199,.T.); #242406=ORIENTED_EDGE('',*,*,#188198,.F.); #242407=ORIENTED_EDGE('',*,*,#188197,.T.); #242408=ORIENTED_EDGE('',*,*,#188194,.T.); #242409=ORIENTED_EDGE('',*,*,#188199,.F.); #242410=ORIENTED_EDGE('',*,*,#188196,.T.); #242411=ORIENTED_EDGE('',*,*,#188200,.F.); #242412=ORIENTED_EDGE('',*,*,#188201,.T.); #242413=ORIENTED_EDGE('',*,*,#188202,.F.); #242414=ORIENTED_EDGE('',*,*,#188201,.F.); #242415=ORIENTED_EDGE('',*,*,#188203,.T.); #242416=ORIENTED_EDGE('',*,*,#188204,.T.); #242417=ORIENTED_EDGE('',*,*,#188205,.F.); #242418=ORIENTED_EDGE('',*,*,#188206,.F.); #242419=ORIENTED_EDGE('',*,*,#188207,.T.); #242420=ORIENTED_EDGE('',*,*,#188206,.T.); #242421=ORIENTED_EDGE('',*,*,#188208,.F.); #242422=ORIENTED_EDGE('',*,*,#188209,.F.); #242423=ORIENTED_EDGE('',*,*,#188210,.T.); #242424=ORIENTED_EDGE('',*,*,#188209,.T.); #242425=ORIENTED_EDGE('',*,*,#188211,.F.); #242426=ORIENTED_EDGE('',*,*,#188204,.F.); #242427=ORIENTED_EDGE('',*,*,#188211,.T.); #242428=ORIENTED_EDGE('',*,*,#188208,.T.); #242429=ORIENTED_EDGE('',*,*,#188205,.T.); #242430=ORIENTED_EDGE('',*,*,#188202,.T.); #242431=ORIENTED_EDGE('',*,*,#188210,.F.); #242432=ORIENTED_EDGE('',*,*,#188203,.F.); #242433=ORIENTED_EDGE('',*,*,#188207,.F.); #242434=ORIENTED_EDGE('',*,*,#188200,.T.); #242435=ORIENTED_EDGE('',*,*,#188212,.T.); #242436=ORIENTED_EDGE('',*,*,#188213,.T.); #242437=ORIENTED_EDGE('',*,*,#188214,.F.); #242438=ORIENTED_EDGE('',*,*,#188215,.F.); #242439=ORIENTED_EDGE('',*,*,#188216,.T.); #242440=ORIENTED_EDGE('',*,*,#188215,.T.); #242441=ORIENTED_EDGE('',*,*,#188217,.F.); #242442=ORIENTED_EDGE('',*,*,#188218,.F.); #242443=ORIENTED_EDGE('',*,*,#188219,.T.); #242444=ORIENTED_EDGE('',*,*,#188218,.T.); #242445=ORIENTED_EDGE('',*,*,#188220,.F.); #242446=ORIENTED_EDGE('',*,*,#188221,.F.); #242447=ORIENTED_EDGE('',*,*,#188222,.T.); #242448=ORIENTED_EDGE('',*,*,#188221,.T.); #242449=ORIENTED_EDGE('',*,*,#188223,.F.); #242450=ORIENTED_EDGE('',*,*,#188213,.F.); #242451=ORIENTED_EDGE('',*,*,#188223,.T.); #242452=ORIENTED_EDGE('',*,*,#188220,.T.); #242453=ORIENTED_EDGE('',*,*,#188217,.T.); #242454=ORIENTED_EDGE('',*,*,#188214,.T.); #242455=ORIENTED_EDGE('',*,*,#188222,.F.); #242456=ORIENTED_EDGE('',*,*,#188212,.F.); #242457=ORIENTED_EDGE('',*,*,#188216,.F.); #242458=ORIENTED_EDGE('',*,*,#188219,.F.); #242459=ORIENTED_EDGE('',*,*,#188224,.T.); #242460=ORIENTED_EDGE('',*,*,#188225,.T.); #242461=ORIENTED_EDGE('',*,*,#188226,.F.); #242462=ORIENTED_EDGE('',*,*,#188227,.F.); #242463=ORIENTED_EDGE('',*,*,#188228,.T.); #242464=ORIENTED_EDGE('',*,*,#188227,.T.); #242465=ORIENTED_EDGE('',*,*,#188229,.F.); #242466=ORIENTED_EDGE('',*,*,#188230,.F.); #242467=ORIENTED_EDGE('',*,*,#188231,.T.); #242468=ORIENTED_EDGE('',*,*,#188230,.T.); #242469=ORIENTED_EDGE('',*,*,#188232,.F.); #242470=ORIENTED_EDGE('',*,*,#188233,.F.); #242471=ORIENTED_EDGE('',*,*,#188234,.T.); #242472=ORIENTED_EDGE('',*,*,#188233,.T.); #242473=ORIENTED_EDGE('',*,*,#188235,.F.); #242474=ORIENTED_EDGE('',*,*,#188225,.F.); #242475=ORIENTED_EDGE('',*,*,#188235,.T.); #242476=ORIENTED_EDGE('',*,*,#188232,.T.); #242477=ORIENTED_EDGE('',*,*,#188229,.T.); #242478=ORIENTED_EDGE('',*,*,#188226,.T.); #242479=ORIENTED_EDGE('',*,*,#188234,.F.); #242480=ORIENTED_EDGE('',*,*,#188224,.F.); #242481=ORIENTED_EDGE('',*,*,#188228,.F.); #242482=ORIENTED_EDGE('',*,*,#188231,.F.); #242483=ORIENTED_EDGE('',*,*,#188236,.T.); #242484=ORIENTED_EDGE('',*,*,#188237,.T.); #242485=ORIENTED_EDGE('',*,*,#188238,.F.); #242486=ORIENTED_EDGE('',*,*,#188239,.F.); #242487=ORIENTED_EDGE('',*,*,#188240,.T.); #242488=ORIENTED_EDGE('',*,*,#188239,.T.); #242489=ORIENTED_EDGE('',*,*,#188241,.F.); #242490=ORIENTED_EDGE('',*,*,#188242,.F.); #242491=ORIENTED_EDGE('',*,*,#188243,.T.); #242492=ORIENTED_EDGE('',*,*,#188242,.T.); #242493=ORIENTED_EDGE('',*,*,#188244,.F.); #242494=ORIENTED_EDGE('',*,*,#188245,.F.); #242495=ORIENTED_EDGE('',*,*,#188246,.T.); #242496=ORIENTED_EDGE('',*,*,#188245,.T.); #242497=ORIENTED_EDGE('',*,*,#188247,.F.); #242498=ORIENTED_EDGE('',*,*,#188237,.F.); #242499=ORIENTED_EDGE('',*,*,#188247,.T.); #242500=ORIENTED_EDGE('',*,*,#188244,.T.); #242501=ORIENTED_EDGE('',*,*,#188241,.T.); #242502=ORIENTED_EDGE('',*,*,#188238,.T.); #242503=ORIENTED_EDGE('',*,*,#188246,.F.); #242504=ORIENTED_EDGE('',*,*,#188236,.F.); #242505=ORIENTED_EDGE('',*,*,#188240,.F.); #242506=ORIENTED_EDGE('',*,*,#188243,.F.); #242507=ORIENTED_EDGE('',*,*,#188248,.T.); #242508=ORIENTED_EDGE('',*,*,#188249,.T.); #242509=ORIENTED_EDGE('',*,*,#188250,.F.); #242510=ORIENTED_EDGE('',*,*,#188251,.F.); #242511=ORIENTED_EDGE('',*,*,#188252,.T.); #242512=ORIENTED_EDGE('',*,*,#188251,.T.); #242513=ORIENTED_EDGE('',*,*,#188253,.F.); #242514=ORIENTED_EDGE('',*,*,#188254,.F.); #242515=ORIENTED_EDGE('',*,*,#188255,.T.); #242516=ORIENTED_EDGE('',*,*,#188254,.T.); #242517=ORIENTED_EDGE('',*,*,#188256,.F.); #242518=ORIENTED_EDGE('',*,*,#188257,.F.); #242519=ORIENTED_EDGE('',*,*,#188258,.T.); #242520=ORIENTED_EDGE('',*,*,#188257,.T.); #242521=ORIENTED_EDGE('',*,*,#188259,.F.); #242522=ORIENTED_EDGE('',*,*,#188249,.F.); #242523=ORIENTED_EDGE('',*,*,#188259,.T.); #242524=ORIENTED_EDGE('',*,*,#188256,.T.); #242525=ORIENTED_EDGE('',*,*,#188253,.T.); #242526=ORIENTED_EDGE('',*,*,#188250,.T.); #242527=ORIENTED_EDGE('',*,*,#188258,.F.); #242528=ORIENTED_EDGE('',*,*,#188248,.F.); #242529=ORIENTED_EDGE('',*,*,#188252,.F.); #242530=ORIENTED_EDGE('',*,*,#188255,.F.); #242531=ORIENTED_EDGE('',*,*,#188260,.T.); #242532=ORIENTED_EDGE('',*,*,#188261,.T.); #242533=ORIENTED_EDGE('',*,*,#188262,.F.); #242534=ORIENTED_EDGE('',*,*,#188263,.F.); #242535=ORIENTED_EDGE('',*,*,#188264,.T.); #242536=ORIENTED_EDGE('',*,*,#188263,.T.); #242537=ORIENTED_EDGE('',*,*,#188265,.F.); #242538=ORIENTED_EDGE('',*,*,#188266,.F.); #242539=ORIENTED_EDGE('',*,*,#188267,.T.); #242540=ORIENTED_EDGE('',*,*,#188266,.T.); #242541=ORIENTED_EDGE('',*,*,#188268,.F.); #242542=ORIENTED_EDGE('',*,*,#188269,.F.); #242543=ORIENTED_EDGE('',*,*,#188270,.T.); #242544=ORIENTED_EDGE('',*,*,#188269,.T.); #242545=ORIENTED_EDGE('',*,*,#188271,.F.); #242546=ORIENTED_EDGE('',*,*,#188261,.F.); #242547=ORIENTED_EDGE('',*,*,#188271,.T.); #242548=ORIENTED_EDGE('',*,*,#188268,.T.); #242549=ORIENTED_EDGE('',*,*,#188265,.T.); #242550=ORIENTED_EDGE('',*,*,#188262,.T.); #242551=ORIENTED_EDGE('',*,*,#188270,.F.); #242552=ORIENTED_EDGE('',*,*,#188260,.F.); #242553=ORIENTED_EDGE('',*,*,#188264,.F.); #242554=ORIENTED_EDGE('',*,*,#188267,.F.); #242555=ORIENTED_EDGE('',*,*,#188272,.T.); #242556=ORIENTED_EDGE('',*,*,#188273,.T.); #242557=ORIENTED_EDGE('',*,*,#188274,.F.); #242558=ORIENTED_EDGE('',*,*,#188275,.F.); #242559=ORIENTED_EDGE('',*,*,#188276,.T.); #242560=ORIENTED_EDGE('',*,*,#188275,.T.); #242561=ORIENTED_EDGE('',*,*,#188277,.F.); #242562=ORIENTED_EDGE('',*,*,#188278,.F.); #242563=ORIENTED_EDGE('',*,*,#188279,.T.); #242564=ORIENTED_EDGE('',*,*,#188278,.T.); #242565=ORIENTED_EDGE('',*,*,#188280,.F.); #242566=ORIENTED_EDGE('',*,*,#188281,.F.); #242567=ORIENTED_EDGE('',*,*,#188282,.T.); #242568=ORIENTED_EDGE('',*,*,#188281,.T.); #242569=ORIENTED_EDGE('',*,*,#188283,.F.); #242570=ORIENTED_EDGE('',*,*,#188273,.F.); #242571=ORIENTED_EDGE('',*,*,#188283,.T.); #242572=ORIENTED_EDGE('',*,*,#188280,.T.); #242573=ORIENTED_EDGE('',*,*,#188277,.T.); #242574=ORIENTED_EDGE('',*,*,#188274,.T.); #242575=ORIENTED_EDGE('',*,*,#188282,.F.); #242576=ORIENTED_EDGE('',*,*,#188272,.F.); #242577=ORIENTED_EDGE('',*,*,#188276,.F.); #242578=ORIENTED_EDGE('',*,*,#188279,.F.); #242579=ORIENTED_EDGE('',*,*,#188284,.T.); #242580=ORIENTED_EDGE('',*,*,#188285,.T.); #242581=ORIENTED_EDGE('',*,*,#188286,.F.); #242582=ORIENTED_EDGE('',*,*,#188287,.F.); #242583=ORIENTED_EDGE('',*,*,#188288,.T.); #242584=ORIENTED_EDGE('',*,*,#188287,.T.); #242585=ORIENTED_EDGE('',*,*,#188289,.F.); #242586=ORIENTED_EDGE('',*,*,#188290,.F.); #242587=ORIENTED_EDGE('',*,*,#188291,.T.); #242588=ORIENTED_EDGE('',*,*,#188290,.T.); #242589=ORIENTED_EDGE('',*,*,#188292,.F.); #242590=ORIENTED_EDGE('',*,*,#188293,.F.); #242591=ORIENTED_EDGE('',*,*,#188294,.T.); #242592=ORIENTED_EDGE('',*,*,#188293,.T.); #242593=ORIENTED_EDGE('',*,*,#188295,.F.); #242594=ORIENTED_EDGE('',*,*,#188285,.F.); #242595=ORIENTED_EDGE('',*,*,#188295,.T.); #242596=ORIENTED_EDGE('',*,*,#188292,.T.); #242597=ORIENTED_EDGE('',*,*,#188289,.T.); #242598=ORIENTED_EDGE('',*,*,#188286,.T.); #242599=ORIENTED_EDGE('',*,*,#188294,.F.); #242600=ORIENTED_EDGE('',*,*,#188284,.F.); #242601=ORIENTED_EDGE('',*,*,#188288,.F.); #242602=ORIENTED_EDGE('',*,*,#188291,.F.); #242603=ORIENTED_EDGE('',*,*,#188296,.T.); #242604=ORIENTED_EDGE('',*,*,#188297,.T.); #242605=ORIENTED_EDGE('',*,*,#188298,.F.); #242606=ORIENTED_EDGE('',*,*,#188299,.F.); #242607=ORIENTED_EDGE('',*,*,#188300,.T.); #242608=ORIENTED_EDGE('',*,*,#188299,.T.); #242609=ORIENTED_EDGE('',*,*,#188301,.F.); #242610=ORIENTED_EDGE('',*,*,#188302,.F.); #242611=ORIENTED_EDGE('',*,*,#188303,.T.); #242612=ORIENTED_EDGE('',*,*,#188302,.T.); #242613=ORIENTED_EDGE('',*,*,#188304,.F.); #242614=ORIENTED_EDGE('',*,*,#188305,.F.); #242615=ORIENTED_EDGE('',*,*,#188306,.T.); #242616=ORIENTED_EDGE('',*,*,#188305,.T.); #242617=ORIENTED_EDGE('',*,*,#188307,.F.); #242618=ORIENTED_EDGE('',*,*,#188297,.F.); #242619=ORIENTED_EDGE('',*,*,#188307,.T.); #242620=ORIENTED_EDGE('',*,*,#188304,.T.); #242621=ORIENTED_EDGE('',*,*,#188301,.T.); #242622=ORIENTED_EDGE('',*,*,#188298,.T.); #242623=ORIENTED_EDGE('',*,*,#188306,.F.); #242624=ORIENTED_EDGE('',*,*,#188296,.F.); #242625=ORIENTED_EDGE('',*,*,#188300,.F.); #242626=ORIENTED_EDGE('',*,*,#188303,.F.); #242627=ORIENTED_EDGE('',*,*,#188308,.T.); #242628=ORIENTED_EDGE('',*,*,#188309,.T.); #242629=ORIENTED_EDGE('',*,*,#188310,.F.); #242630=ORIENTED_EDGE('',*,*,#188311,.F.); #242631=ORIENTED_EDGE('',*,*,#188312,.T.); #242632=ORIENTED_EDGE('',*,*,#188311,.T.); #242633=ORIENTED_EDGE('',*,*,#188313,.F.); #242634=ORIENTED_EDGE('',*,*,#188314,.F.); #242635=ORIENTED_EDGE('',*,*,#188315,.T.); #242636=ORIENTED_EDGE('',*,*,#188314,.T.); #242637=ORIENTED_EDGE('',*,*,#188316,.F.); #242638=ORIENTED_EDGE('',*,*,#188317,.F.); #242639=ORIENTED_EDGE('',*,*,#188318,.T.); #242640=ORIENTED_EDGE('',*,*,#188317,.T.); #242641=ORIENTED_EDGE('',*,*,#188319,.F.); #242642=ORIENTED_EDGE('',*,*,#188309,.F.); #242643=ORIENTED_EDGE('',*,*,#188319,.T.); #242644=ORIENTED_EDGE('',*,*,#188316,.T.); #242645=ORIENTED_EDGE('',*,*,#188313,.T.); #242646=ORIENTED_EDGE('',*,*,#188310,.T.); #242647=ORIENTED_EDGE('',*,*,#188318,.F.); #242648=ORIENTED_EDGE('',*,*,#188308,.F.); #242649=ORIENTED_EDGE('',*,*,#188312,.F.); #242650=ORIENTED_EDGE('',*,*,#188315,.F.); #242651=ORIENTED_EDGE('',*,*,#188320,.T.); #242652=ORIENTED_EDGE('',*,*,#188321,.T.); #242653=ORIENTED_EDGE('',*,*,#188322,.F.); #242654=ORIENTED_EDGE('',*,*,#188323,.F.); #242655=ORIENTED_EDGE('',*,*,#188324,.T.); #242656=ORIENTED_EDGE('',*,*,#188323,.T.); #242657=ORIENTED_EDGE('',*,*,#188325,.F.); #242658=ORIENTED_EDGE('',*,*,#188326,.F.); #242659=ORIENTED_EDGE('',*,*,#188327,.T.); #242660=ORIENTED_EDGE('',*,*,#188326,.T.); #242661=ORIENTED_EDGE('',*,*,#188328,.F.); #242662=ORIENTED_EDGE('',*,*,#188329,.F.); #242663=ORIENTED_EDGE('',*,*,#188330,.T.); #242664=ORIENTED_EDGE('',*,*,#188329,.T.); #242665=ORIENTED_EDGE('',*,*,#188331,.F.); #242666=ORIENTED_EDGE('',*,*,#188321,.F.); #242667=ORIENTED_EDGE('',*,*,#188331,.T.); #242668=ORIENTED_EDGE('',*,*,#188328,.T.); #242669=ORIENTED_EDGE('',*,*,#188325,.T.); #242670=ORIENTED_EDGE('',*,*,#188322,.T.); #242671=ORIENTED_EDGE('',*,*,#188330,.F.); #242672=ORIENTED_EDGE('',*,*,#188320,.F.); #242673=ORIENTED_EDGE('',*,*,#188324,.F.); #242674=ORIENTED_EDGE('',*,*,#188327,.F.); #242675=ORIENTED_EDGE('',*,*,#188332,.F.); #242676=ORIENTED_EDGE('',*,*,#188333,.T.); #242677=ORIENTED_EDGE('',*,*,#188334,.F.); #242678=ORIENTED_EDGE('',*,*,#188333,.F.); #242679=ORIENTED_EDGE('',*,*,#188335,.T.); #242680=ORIENTED_EDGE('',*,*,#188336,.T.); #242681=ORIENTED_EDGE('',*,*,#188337,.F.); #242682=ORIENTED_EDGE('',*,*,#188338,.F.); #242683=ORIENTED_EDGE('',*,*,#188339,.T.); #242684=ORIENTED_EDGE('',*,*,#188338,.T.); #242685=ORIENTED_EDGE('',*,*,#188340,.F.); #242686=ORIENTED_EDGE('',*,*,#188341,.F.); #242687=ORIENTED_EDGE('',*,*,#188342,.T.); #242688=ORIENTED_EDGE('',*,*,#188341,.T.); #242689=ORIENTED_EDGE('',*,*,#188343,.F.); #242690=ORIENTED_EDGE('',*,*,#188336,.F.); #242691=ORIENTED_EDGE('',*,*,#188343,.T.); #242692=ORIENTED_EDGE('',*,*,#188340,.T.); #242693=ORIENTED_EDGE('',*,*,#188337,.T.); #242694=ORIENTED_EDGE('',*,*,#188334,.T.); #242695=ORIENTED_EDGE('',*,*,#188342,.F.); #242696=ORIENTED_EDGE('',*,*,#188335,.F.); #242697=ORIENTED_EDGE('',*,*,#188339,.F.); #242698=ORIENTED_EDGE('',*,*,#188332,.T.); #242699=ORIENTED_EDGE('',*,*,#188344,.F.); #242700=ORIENTED_EDGE('',*,*,#188345,.T.); #242701=ORIENTED_EDGE('',*,*,#188346,.F.); #242702=ORIENTED_EDGE('',*,*,#188345,.F.); #242703=ORIENTED_EDGE('',*,*,#188347,.F.); #242704=ORIENTED_EDGE('',*,*,#188348,.T.); #242705=ORIENTED_EDGE('',*,*,#188349,.F.); #242706=ORIENTED_EDGE('',*,*,#188348,.F.); #242707=ORIENTED_EDGE('',*,*,#188350,.T.); #242708=ORIENTED_EDGE('',*,*,#188351,.T.); #242709=ORIENTED_EDGE('',*,*,#188352,.F.); #242710=ORIENTED_EDGE('',*,*,#188353,.F.); #242711=ORIENTED_EDGE('',*,*,#188354,.T.); #242712=ORIENTED_EDGE('',*,*,#188353,.T.); #242713=ORIENTED_EDGE('',*,*,#188355,.F.); #242714=ORIENTED_EDGE('',*,*,#188356,.F.); #242715=ORIENTED_EDGE('',*,*,#188357,.T.); #242716=ORIENTED_EDGE('',*,*,#188356,.T.); #242717=ORIENTED_EDGE('',*,*,#188358,.F.); #242718=ORIENTED_EDGE('',*,*,#188359,.F.); #242719=ORIENTED_EDGE('',*,*,#188360,.T.); #242720=ORIENTED_EDGE('',*,*,#188359,.T.); #242721=ORIENTED_EDGE('',*,*,#188361,.F.); #242722=ORIENTED_EDGE('',*,*,#188362,.F.); #242723=ORIENTED_EDGE('',*,*,#188363,.T.); #242724=ORIENTED_EDGE('',*,*,#188362,.T.); #242725=ORIENTED_EDGE('',*,*,#188364,.F.); #242726=ORIENTED_EDGE('',*,*,#188365,.F.); #242727=ORIENTED_EDGE('',*,*,#188366,.T.); #242728=ORIENTED_EDGE('',*,*,#188365,.T.); #242729=ORIENTED_EDGE('',*,*,#188367,.F.); #242730=ORIENTED_EDGE('',*,*,#188368,.F.); #242731=ORIENTED_EDGE('',*,*,#188369,.T.); #242732=ORIENTED_EDGE('',*,*,#188368,.T.); #242733=ORIENTED_EDGE('',*,*,#188370,.F.); #242734=ORIENTED_EDGE('',*,*,#188371,.F.); #242735=ORIENTED_EDGE('',*,*,#188372,.T.); #242736=ORIENTED_EDGE('',*,*,#188371,.T.); #242737=ORIENTED_EDGE('',*,*,#188373,.F.); #242738=ORIENTED_EDGE('',*,*,#188351,.F.); #242739=ORIENTED_EDGE('',*,*,#188373,.T.); #242740=ORIENTED_EDGE('',*,*,#188370,.T.); #242741=ORIENTED_EDGE('',*,*,#188367,.T.); #242742=ORIENTED_EDGE('',*,*,#188364,.T.); #242743=ORIENTED_EDGE('',*,*,#188361,.T.); #242744=ORIENTED_EDGE('',*,*,#188358,.T.); #242745=ORIENTED_EDGE('',*,*,#188355,.T.); #242746=ORIENTED_EDGE('',*,*,#188352,.T.); #242747=ORIENTED_EDGE('',*,*,#188346,.T.); #242748=ORIENTED_EDGE('',*,*,#188349,.T.); #242749=ORIENTED_EDGE('',*,*,#188372,.F.); #242750=ORIENTED_EDGE('',*,*,#188350,.F.); #242751=ORIENTED_EDGE('',*,*,#188354,.F.); #242752=ORIENTED_EDGE('',*,*,#188357,.F.); #242753=ORIENTED_EDGE('',*,*,#188360,.F.); #242754=ORIENTED_EDGE('',*,*,#188363,.F.); #242755=ORIENTED_EDGE('',*,*,#188366,.F.); #242756=ORIENTED_EDGE('',*,*,#188369,.F.); #242757=ORIENTED_EDGE('',*,*,#188344,.T.); #242758=ORIENTED_EDGE('',*,*,#188347,.T.); #242759=ORIENTED_EDGE('',*,*,#188374,.F.); #242760=ORIENTED_EDGE('',*,*,#188375,.T.); #242761=ORIENTED_EDGE('',*,*,#188376,.F.); #242762=ORIENTED_EDGE('',*,*,#188375,.F.); #242763=ORIENTED_EDGE('',*,*,#188377,.T.); #242764=ORIENTED_EDGE('',*,*,#188378,.T.); #242765=ORIENTED_EDGE('',*,*,#188379,.F.); #242766=ORIENTED_EDGE('',*,*,#188380,.F.); #242767=ORIENTED_EDGE('',*,*,#188381,.T.); #242768=ORIENTED_EDGE('',*,*,#188380,.T.); #242769=ORIENTED_EDGE('',*,*,#188382,.F.); #242770=ORIENTED_EDGE('',*,*,#188383,.F.); #242771=ORIENTED_EDGE('',*,*,#188384,.T.); #242772=ORIENTED_EDGE('',*,*,#188383,.T.); #242773=ORIENTED_EDGE('',*,*,#188385,.F.); #242774=ORIENTED_EDGE('',*,*,#188378,.F.); #242775=ORIENTED_EDGE('',*,*,#188385,.T.); #242776=ORIENTED_EDGE('',*,*,#188382,.T.); #242777=ORIENTED_EDGE('',*,*,#188379,.T.); #242778=ORIENTED_EDGE('',*,*,#188376,.T.); #242779=ORIENTED_EDGE('',*,*,#188384,.F.); #242780=ORIENTED_EDGE('',*,*,#188377,.F.); #242781=ORIENTED_EDGE('',*,*,#188381,.F.); #242782=ORIENTED_EDGE('',*,*,#188374,.T.); #242783=ORIENTED_EDGE('',*,*,#188386,.F.); #242784=ORIENTED_EDGE('',*,*,#188387,.T.); #242785=ORIENTED_EDGE('',*,*,#188388,.F.); #242786=ORIENTED_EDGE('',*,*,#188387,.F.); #242787=ORIENTED_EDGE('',*,*,#188389,.T.); #242788=ORIENTED_EDGE('',*,*,#188390,.T.); #242789=ORIENTED_EDGE('',*,*,#188391,.F.); #242790=ORIENTED_EDGE('',*,*,#188392,.F.); #242791=ORIENTED_EDGE('',*,*,#188393,.T.); #242792=ORIENTED_EDGE('',*,*,#188392,.T.); #242793=ORIENTED_EDGE('',*,*,#188394,.F.); #242794=ORIENTED_EDGE('',*,*,#188395,.F.); #242795=ORIENTED_EDGE('',*,*,#188396,.T.); #242796=ORIENTED_EDGE('',*,*,#188395,.T.); #242797=ORIENTED_EDGE('',*,*,#188397,.F.); #242798=ORIENTED_EDGE('',*,*,#188390,.F.); #242799=ORIENTED_EDGE('',*,*,#188397,.T.); #242800=ORIENTED_EDGE('',*,*,#188394,.T.); #242801=ORIENTED_EDGE('',*,*,#188391,.T.); #242802=ORIENTED_EDGE('',*,*,#188388,.T.); #242803=ORIENTED_EDGE('',*,*,#188396,.F.); #242804=ORIENTED_EDGE('',*,*,#188389,.F.); #242805=ORIENTED_EDGE('',*,*,#188393,.F.); #242806=ORIENTED_EDGE('',*,*,#188386,.T.); #242807=ORIENTED_EDGE('',*,*,#188398,.F.); #242808=ORIENTED_EDGE('',*,*,#188399,.T.); #242809=ORIENTED_EDGE('',*,*,#188400,.F.); #242810=ORIENTED_EDGE('',*,*,#188399,.F.); #242811=ORIENTED_EDGE('',*,*,#188401,.T.); #242812=ORIENTED_EDGE('',*,*,#188402,.T.); #242813=ORIENTED_EDGE('',*,*,#188403,.F.); #242814=ORIENTED_EDGE('',*,*,#188404,.F.); #242815=ORIENTED_EDGE('',*,*,#188405,.T.); #242816=ORIENTED_EDGE('',*,*,#188404,.T.); #242817=ORIENTED_EDGE('',*,*,#188406,.F.); #242818=ORIENTED_EDGE('',*,*,#188407,.F.); #242819=ORIENTED_EDGE('',*,*,#188408,.T.); #242820=ORIENTED_EDGE('',*,*,#188407,.T.); #242821=ORIENTED_EDGE('',*,*,#188409,.F.); #242822=ORIENTED_EDGE('',*,*,#188410,.F.); #242823=ORIENTED_EDGE('',*,*,#188411,.T.); #242824=ORIENTED_EDGE('',*,*,#188410,.T.); #242825=ORIENTED_EDGE('',*,*,#188412,.F.); #242826=ORIENTED_EDGE('',*,*,#188413,.F.); #242827=ORIENTED_EDGE('',*,*,#188414,.T.); #242828=ORIENTED_EDGE('',*,*,#188413,.T.); #242829=ORIENTED_EDGE('',*,*,#188415,.F.); #242830=ORIENTED_EDGE('',*,*,#188416,.F.); #242831=ORIENTED_EDGE('',*,*,#188417,.T.); #242832=ORIENTED_EDGE('',*,*,#188416,.T.); #242833=ORIENTED_EDGE('',*,*,#188418,.F.); #242834=ORIENTED_EDGE('',*,*,#188419,.F.); #242835=ORIENTED_EDGE('',*,*,#188420,.T.); #242836=ORIENTED_EDGE('',*,*,#188419,.T.); #242837=ORIENTED_EDGE('',*,*,#188421,.F.); #242838=ORIENTED_EDGE('',*,*,#188422,.F.); #242839=ORIENTED_EDGE('',*,*,#188423,.T.); #242840=ORIENTED_EDGE('',*,*,#188422,.T.); #242841=ORIENTED_EDGE('',*,*,#188424,.F.); #242842=ORIENTED_EDGE('',*,*,#188425,.F.); #242843=ORIENTED_EDGE('',*,*,#188426,.T.); #242844=ORIENTED_EDGE('',*,*,#188425,.T.); #242845=ORIENTED_EDGE('',*,*,#188427,.F.); #242846=ORIENTED_EDGE('',*,*,#188428,.F.); #242847=ORIENTED_EDGE('',*,*,#188429,.T.); #242848=ORIENTED_EDGE('',*,*,#188428,.T.); #242849=ORIENTED_EDGE('',*,*,#188430,.F.); #242850=ORIENTED_EDGE('',*,*,#188431,.F.); #242851=ORIENTED_EDGE('',*,*,#188432,.T.); #242852=ORIENTED_EDGE('',*,*,#188431,.T.); #242853=ORIENTED_EDGE('',*,*,#188433,.F.); #242854=ORIENTED_EDGE('',*,*,#188434,.F.); #242855=ORIENTED_EDGE('',*,*,#188435,.T.); #242856=ORIENTED_EDGE('',*,*,#188434,.T.); #242857=ORIENTED_EDGE('',*,*,#188436,.F.); #242858=ORIENTED_EDGE('',*,*,#188437,.F.); #242859=ORIENTED_EDGE('',*,*,#188438,.T.); #242860=ORIENTED_EDGE('',*,*,#188437,.T.); #242861=ORIENTED_EDGE('',*,*,#188439,.F.); #242862=ORIENTED_EDGE('',*,*,#188402,.F.); #242863=ORIENTED_EDGE('',*,*,#188439,.T.); #242864=ORIENTED_EDGE('',*,*,#188436,.T.); #242865=ORIENTED_EDGE('',*,*,#188433,.T.); #242866=ORIENTED_EDGE('',*,*,#188430,.T.); #242867=ORIENTED_EDGE('',*,*,#188427,.T.); #242868=ORIENTED_EDGE('',*,*,#188424,.T.); #242869=ORIENTED_EDGE('',*,*,#188421,.T.); #242870=ORIENTED_EDGE('',*,*,#188418,.T.); #242871=ORIENTED_EDGE('',*,*,#188415,.T.); #242872=ORIENTED_EDGE('',*,*,#188412,.T.); #242873=ORIENTED_EDGE('',*,*,#188409,.T.); #242874=ORIENTED_EDGE('',*,*,#188406,.T.); #242875=ORIENTED_EDGE('',*,*,#188403,.T.); #242876=ORIENTED_EDGE('',*,*,#188400,.T.); #242877=ORIENTED_EDGE('',*,*,#188438,.F.); #242878=ORIENTED_EDGE('',*,*,#188401,.F.); #242879=ORIENTED_EDGE('',*,*,#188405,.F.); #242880=ORIENTED_EDGE('',*,*,#188408,.F.); #242881=ORIENTED_EDGE('',*,*,#188411,.F.); #242882=ORIENTED_EDGE('',*,*,#188414,.F.); #242883=ORIENTED_EDGE('',*,*,#188417,.F.); #242884=ORIENTED_EDGE('',*,*,#188420,.F.); #242885=ORIENTED_EDGE('',*,*,#188423,.F.); #242886=ORIENTED_EDGE('',*,*,#188426,.F.); #242887=ORIENTED_EDGE('',*,*,#188429,.F.); #242888=ORIENTED_EDGE('',*,*,#188432,.F.); #242889=ORIENTED_EDGE('',*,*,#188435,.F.); #242890=ORIENTED_EDGE('',*,*,#188398,.T.); #242891=ORIENTED_EDGE('',*,*,#188440,.F.); #242892=ORIENTED_EDGE('',*,*,#188441,.T.); #242893=ORIENTED_EDGE('',*,*,#188442,.F.); #242894=ORIENTED_EDGE('',*,*,#188441,.F.); #242895=ORIENTED_EDGE('',*,*,#188443,.T.); #242896=ORIENTED_EDGE('',*,*,#188444,.T.); #242897=ORIENTED_EDGE('',*,*,#188445,.F.); #242898=ORIENTED_EDGE('',*,*,#188446,.F.); #242899=ORIENTED_EDGE('',*,*,#188447,.T.); #242900=ORIENTED_EDGE('',*,*,#188446,.T.); #242901=ORIENTED_EDGE('',*,*,#188448,.F.); #242902=ORIENTED_EDGE('',*,*,#188449,.F.); #242903=ORIENTED_EDGE('',*,*,#188450,.T.); #242904=ORIENTED_EDGE('',*,*,#188449,.T.); #242905=ORIENTED_EDGE('',*,*,#188451,.F.); #242906=ORIENTED_EDGE('',*,*,#188452,.F.); #242907=ORIENTED_EDGE('',*,*,#188453,.T.); #242908=ORIENTED_EDGE('',*,*,#188452,.T.); #242909=ORIENTED_EDGE('',*,*,#188454,.F.); #242910=ORIENTED_EDGE('',*,*,#188455,.F.); #242911=ORIENTED_EDGE('',*,*,#188456,.T.); #242912=ORIENTED_EDGE('',*,*,#188455,.T.); #242913=ORIENTED_EDGE('',*,*,#188457,.F.); #242914=ORIENTED_EDGE('',*,*,#188458,.F.); #242915=ORIENTED_EDGE('',*,*,#188459,.T.); #242916=ORIENTED_EDGE('',*,*,#188458,.T.); #242917=ORIENTED_EDGE('',*,*,#188460,.F.); #242918=ORIENTED_EDGE('',*,*,#188461,.F.); #242919=ORIENTED_EDGE('',*,*,#188462,.T.); #242920=ORIENTED_EDGE('',*,*,#188461,.T.); #242921=ORIENTED_EDGE('',*,*,#188463,.F.); #242922=ORIENTED_EDGE('',*,*,#188464,.F.); #242923=ORIENTED_EDGE('',*,*,#188465,.T.); #242924=ORIENTED_EDGE('',*,*,#188464,.T.); #242925=ORIENTED_EDGE('',*,*,#188466,.F.); #242926=ORIENTED_EDGE('',*,*,#188467,.F.); #242927=ORIENTED_EDGE('',*,*,#188468,.T.); #242928=ORIENTED_EDGE('',*,*,#188467,.T.); #242929=ORIENTED_EDGE('',*,*,#188469,.F.); #242930=ORIENTED_EDGE('',*,*,#188470,.F.); #242931=ORIENTED_EDGE('',*,*,#188471,.T.); #242932=ORIENTED_EDGE('',*,*,#188470,.T.); #242933=ORIENTED_EDGE('',*,*,#188472,.F.); #242934=ORIENTED_EDGE('',*,*,#188444,.F.); #242935=ORIENTED_EDGE('',*,*,#188472,.T.); #242936=ORIENTED_EDGE('',*,*,#188469,.T.); #242937=ORIENTED_EDGE('',*,*,#188466,.T.); #242938=ORIENTED_EDGE('',*,*,#188463,.T.); #242939=ORIENTED_EDGE('',*,*,#188460,.T.); #242940=ORIENTED_EDGE('',*,*,#188457,.T.); #242941=ORIENTED_EDGE('',*,*,#188454,.T.); #242942=ORIENTED_EDGE('',*,*,#188451,.T.); #242943=ORIENTED_EDGE('',*,*,#188448,.T.); #242944=ORIENTED_EDGE('',*,*,#188445,.T.); #242945=ORIENTED_EDGE('',*,*,#188442,.T.); #242946=ORIENTED_EDGE('',*,*,#188471,.F.); #242947=ORIENTED_EDGE('',*,*,#188443,.F.); #242948=ORIENTED_EDGE('',*,*,#188447,.F.); #242949=ORIENTED_EDGE('',*,*,#188450,.F.); #242950=ORIENTED_EDGE('',*,*,#188453,.F.); #242951=ORIENTED_EDGE('',*,*,#188456,.F.); #242952=ORIENTED_EDGE('',*,*,#188459,.F.); #242953=ORIENTED_EDGE('',*,*,#188462,.F.); #242954=ORIENTED_EDGE('',*,*,#188465,.F.); #242955=ORIENTED_EDGE('',*,*,#188468,.F.); #242956=ORIENTED_EDGE('',*,*,#188440,.T.); #242957=ORIENTED_EDGE('',*,*,#188473,.T.); #242958=ORIENTED_EDGE('',*,*,#188474,.T.); #242959=ORIENTED_EDGE('',*,*,#188475,.F.); #242960=ORIENTED_EDGE('',*,*,#188476,.F.); #242961=ORIENTED_EDGE('',*,*,#188477,.T.); #242962=ORIENTED_EDGE('',*,*,#188476,.T.); #242963=ORIENTED_EDGE('',*,*,#188478,.F.); #242964=ORIENTED_EDGE('',*,*,#188479,.F.); #242965=ORIENTED_EDGE('',*,*,#188480,.T.); #242966=ORIENTED_EDGE('',*,*,#188479,.T.); #242967=ORIENTED_EDGE('',*,*,#188481,.F.); #242968=ORIENTED_EDGE('',*,*,#188482,.F.); #242969=ORIENTED_EDGE('',*,*,#188483,.T.); #242970=ORIENTED_EDGE('',*,*,#188482,.T.); #242971=ORIENTED_EDGE('',*,*,#188484,.F.); #242972=ORIENTED_EDGE('',*,*,#188485,.F.); #242973=ORIENTED_EDGE('',*,*,#188486,.T.); #242974=ORIENTED_EDGE('',*,*,#188485,.T.); #242975=ORIENTED_EDGE('',*,*,#188487,.F.); #242976=ORIENTED_EDGE('',*,*,#188488,.F.); #242977=ORIENTED_EDGE('',*,*,#188489,.T.); #242978=ORIENTED_EDGE('',*,*,#188488,.T.); #242979=ORIENTED_EDGE('',*,*,#188490,.F.); #242980=ORIENTED_EDGE('',*,*,#188491,.F.); #242981=ORIENTED_EDGE('',*,*,#188492,.T.); #242982=ORIENTED_EDGE('',*,*,#188491,.T.); #242983=ORIENTED_EDGE('',*,*,#188493,.F.); #242984=ORIENTED_EDGE('',*,*,#188494,.F.); #242985=ORIENTED_EDGE('',*,*,#188495,.T.); #242986=ORIENTED_EDGE('',*,*,#188494,.T.); #242987=ORIENTED_EDGE('',*,*,#188496,.F.); #242988=ORIENTED_EDGE('',*,*,#188497,.F.); #242989=ORIENTED_EDGE('',*,*,#188498,.T.); #242990=ORIENTED_EDGE('',*,*,#188497,.T.); #242991=ORIENTED_EDGE('',*,*,#188499,.F.); #242992=ORIENTED_EDGE('',*,*,#188500,.F.); #242993=ORIENTED_EDGE('',*,*,#188501,.T.); #242994=ORIENTED_EDGE('',*,*,#188500,.T.); #242995=ORIENTED_EDGE('',*,*,#188502,.F.); #242996=ORIENTED_EDGE('',*,*,#188503,.F.); #242997=ORIENTED_EDGE('',*,*,#188504,.T.); #242998=ORIENTED_EDGE('',*,*,#188503,.T.); #242999=ORIENTED_EDGE('',*,*,#188505,.F.); #243000=ORIENTED_EDGE('',*,*,#188506,.F.); #243001=ORIENTED_EDGE('',*,*,#188507,.T.); #243002=ORIENTED_EDGE('',*,*,#188506,.T.); #243003=ORIENTED_EDGE('',*,*,#188508,.F.); #243004=ORIENTED_EDGE('',*,*,#188509,.F.); #243005=ORIENTED_EDGE('',*,*,#188510,.T.); #243006=ORIENTED_EDGE('',*,*,#188509,.T.); #243007=ORIENTED_EDGE('',*,*,#188511,.F.); #243008=ORIENTED_EDGE('',*,*,#188512,.F.); #243009=ORIENTED_EDGE('',*,*,#188513,.T.); #243010=ORIENTED_EDGE('',*,*,#188512,.T.); #243011=ORIENTED_EDGE('',*,*,#188514,.F.); #243012=ORIENTED_EDGE('',*,*,#188515,.F.); #243013=ORIENTED_EDGE('',*,*,#188516,.T.); #243014=ORIENTED_EDGE('',*,*,#188515,.T.); #243015=ORIENTED_EDGE('',*,*,#188517,.F.); #243016=ORIENTED_EDGE('',*,*,#188518,.F.); #243017=ORIENTED_EDGE('',*,*,#188519,.T.); #243018=ORIENTED_EDGE('',*,*,#188518,.T.); #243019=ORIENTED_EDGE('',*,*,#188520,.F.); #243020=ORIENTED_EDGE('',*,*,#188521,.F.); #243021=ORIENTED_EDGE('',*,*,#188522,.T.); #243022=ORIENTED_EDGE('',*,*,#188521,.T.); #243023=ORIENTED_EDGE('',*,*,#188523,.F.); #243024=ORIENTED_EDGE('',*,*,#188524,.F.); #243025=ORIENTED_EDGE('',*,*,#188525,.T.); #243026=ORIENTED_EDGE('',*,*,#188524,.T.); #243027=ORIENTED_EDGE('',*,*,#188526,.F.); #243028=ORIENTED_EDGE('',*,*,#188527,.F.); #243029=ORIENTED_EDGE('',*,*,#188528,.T.); #243030=ORIENTED_EDGE('',*,*,#188527,.T.); #243031=ORIENTED_EDGE('',*,*,#188529,.F.); #243032=ORIENTED_EDGE('',*,*,#188530,.F.); #243033=ORIENTED_EDGE('',*,*,#188531,.T.); #243034=ORIENTED_EDGE('',*,*,#188530,.T.); #243035=ORIENTED_EDGE('',*,*,#188532,.F.); #243036=ORIENTED_EDGE('',*,*,#188474,.F.); #243037=ORIENTED_EDGE('',*,*,#188532,.T.); #243038=ORIENTED_EDGE('',*,*,#188529,.T.); #243039=ORIENTED_EDGE('',*,*,#188526,.T.); #243040=ORIENTED_EDGE('',*,*,#188523,.T.); #243041=ORIENTED_EDGE('',*,*,#188520,.T.); #243042=ORIENTED_EDGE('',*,*,#188517,.T.); #243043=ORIENTED_EDGE('',*,*,#188514,.T.); #243044=ORIENTED_EDGE('',*,*,#188511,.T.); #243045=ORIENTED_EDGE('',*,*,#188508,.T.); #243046=ORIENTED_EDGE('',*,*,#188505,.T.); #243047=ORIENTED_EDGE('',*,*,#188502,.T.); #243048=ORIENTED_EDGE('',*,*,#188499,.T.); #243049=ORIENTED_EDGE('',*,*,#188496,.T.); #243050=ORIENTED_EDGE('',*,*,#188493,.T.); #243051=ORIENTED_EDGE('',*,*,#188490,.T.); #243052=ORIENTED_EDGE('',*,*,#188487,.T.); #243053=ORIENTED_EDGE('',*,*,#188484,.T.); #243054=ORIENTED_EDGE('',*,*,#188481,.T.); #243055=ORIENTED_EDGE('',*,*,#188478,.T.); #243056=ORIENTED_EDGE('',*,*,#188475,.T.); #243057=ORIENTED_EDGE('',*,*,#188531,.F.); #243058=ORIENTED_EDGE('',*,*,#188473,.F.); #243059=ORIENTED_EDGE('',*,*,#188477,.F.); #243060=ORIENTED_EDGE('',*,*,#188480,.F.); #243061=ORIENTED_EDGE('',*,*,#188483,.F.); #243062=ORIENTED_EDGE('',*,*,#188486,.F.); #243063=ORIENTED_EDGE('',*,*,#188489,.F.); #243064=ORIENTED_EDGE('',*,*,#188492,.F.); #243065=ORIENTED_EDGE('',*,*,#188495,.F.); #243066=ORIENTED_EDGE('',*,*,#188498,.F.); #243067=ORIENTED_EDGE('',*,*,#188501,.F.); #243068=ORIENTED_EDGE('',*,*,#188504,.F.); #243069=ORIENTED_EDGE('',*,*,#188507,.F.); #243070=ORIENTED_EDGE('',*,*,#188510,.F.); #243071=ORIENTED_EDGE('',*,*,#188513,.F.); #243072=ORIENTED_EDGE('',*,*,#188516,.F.); #243073=ORIENTED_EDGE('',*,*,#188519,.F.); #243074=ORIENTED_EDGE('',*,*,#188522,.F.); #243075=ORIENTED_EDGE('',*,*,#188525,.F.); #243076=ORIENTED_EDGE('',*,*,#188528,.F.); #243077=ORIENTED_EDGE('',*,*,#188533,.T.); #243078=ORIENTED_EDGE('',*,*,#188534,.T.); #243079=ORIENTED_EDGE('',*,*,#188535,.F.); #243080=ORIENTED_EDGE('',*,*,#188536,.F.); #243081=ORIENTED_EDGE('',*,*,#188537,.T.); #243082=ORIENTED_EDGE('',*,*,#188536,.T.); #243083=ORIENTED_EDGE('',*,*,#188538,.F.); #243084=ORIENTED_EDGE('',*,*,#188539,.F.); #243085=ORIENTED_EDGE('',*,*,#188540,.T.); #243086=ORIENTED_EDGE('',*,*,#188539,.T.); #243087=ORIENTED_EDGE('',*,*,#188541,.F.); #243088=ORIENTED_EDGE('',*,*,#188542,.F.); #243089=ORIENTED_EDGE('',*,*,#188543,.T.); #243090=ORIENTED_EDGE('',*,*,#188542,.T.); #243091=ORIENTED_EDGE('',*,*,#188544,.F.); #243092=ORIENTED_EDGE('',*,*,#188545,.F.); #243093=ORIENTED_EDGE('',*,*,#188546,.T.); #243094=ORIENTED_EDGE('',*,*,#188545,.T.); #243095=ORIENTED_EDGE('',*,*,#188547,.F.); #243096=ORIENTED_EDGE('',*,*,#188548,.F.); #243097=ORIENTED_EDGE('',*,*,#188549,.T.); #243098=ORIENTED_EDGE('',*,*,#188548,.T.); #243099=ORIENTED_EDGE('',*,*,#188550,.F.); #243100=ORIENTED_EDGE('',*,*,#188551,.F.); #243101=ORIENTED_EDGE('',*,*,#188552,.T.); #243102=ORIENTED_EDGE('',*,*,#188551,.T.); #243103=ORIENTED_EDGE('',*,*,#188553,.F.); #243104=ORIENTED_EDGE('',*,*,#188554,.F.); #243105=ORIENTED_EDGE('',*,*,#188555,.T.); #243106=ORIENTED_EDGE('',*,*,#188554,.T.); #243107=ORIENTED_EDGE('',*,*,#188556,.F.); #243108=ORIENTED_EDGE('',*,*,#188557,.F.); #243109=ORIENTED_EDGE('',*,*,#188558,.T.); #243110=ORIENTED_EDGE('',*,*,#188557,.T.); #243111=ORIENTED_EDGE('',*,*,#188559,.F.); #243112=ORIENTED_EDGE('',*,*,#188560,.F.); #243113=ORIENTED_EDGE('',*,*,#188561,.T.); #243114=ORIENTED_EDGE('',*,*,#188560,.T.); #243115=ORIENTED_EDGE('',*,*,#188562,.F.); #243116=ORIENTED_EDGE('',*,*,#188563,.F.); #243117=ORIENTED_EDGE('',*,*,#188564,.T.); #243118=ORIENTED_EDGE('',*,*,#188563,.T.); #243119=ORIENTED_EDGE('',*,*,#188565,.F.); #243120=ORIENTED_EDGE('',*,*,#188566,.F.); #243121=ORIENTED_EDGE('',*,*,#188567,.T.); #243122=ORIENTED_EDGE('',*,*,#188566,.T.); #243123=ORIENTED_EDGE('',*,*,#188568,.F.); #243124=ORIENTED_EDGE('',*,*,#188569,.F.); #243125=ORIENTED_EDGE('',*,*,#188570,.T.); #243126=ORIENTED_EDGE('',*,*,#188569,.T.); #243127=ORIENTED_EDGE('',*,*,#188571,.F.); #243128=ORIENTED_EDGE('',*,*,#188572,.F.); #243129=ORIENTED_EDGE('',*,*,#188573,.T.); #243130=ORIENTED_EDGE('',*,*,#188572,.T.); #243131=ORIENTED_EDGE('',*,*,#188574,.F.); #243132=ORIENTED_EDGE('',*,*,#188575,.F.); #243133=ORIENTED_EDGE('',*,*,#188576,.T.); #243134=ORIENTED_EDGE('',*,*,#188575,.T.); #243135=ORIENTED_EDGE('',*,*,#188577,.F.); #243136=ORIENTED_EDGE('',*,*,#188578,.F.); #243137=ORIENTED_EDGE('',*,*,#188579,.T.); #243138=ORIENTED_EDGE('',*,*,#188578,.T.); #243139=ORIENTED_EDGE('',*,*,#188580,.F.); #243140=ORIENTED_EDGE('',*,*,#188581,.F.); #243141=ORIENTED_EDGE('',*,*,#188582,.T.); #243142=ORIENTED_EDGE('',*,*,#188581,.T.); #243143=ORIENTED_EDGE('',*,*,#188583,.F.); #243144=ORIENTED_EDGE('',*,*,#188584,.F.); #243145=ORIENTED_EDGE('',*,*,#188585,.T.); #243146=ORIENTED_EDGE('',*,*,#188584,.T.); #243147=ORIENTED_EDGE('',*,*,#188586,.F.); #243148=ORIENTED_EDGE('',*,*,#188587,.F.); #243149=ORIENTED_EDGE('',*,*,#188588,.T.); #243150=ORIENTED_EDGE('',*,*,#188587,.T.); #243151=ORIENTED_EDGE('',*,*,#188589,.F.); #243152=ORIENTED_EDGE('',*,*,#188590,.F.); #243153=ORIENTED_EDGE('',*,*,#188591,.T.); #243154=ORIENTED_EDGE('',*,*,#188590,.T.); #243155=ORIENTED_EDGE('',*,*,#188592,.F.); #243156=ORIENTED_EDGE('',*,*,#188593,.F.); #243157=ORIENTED_EDGE('',*,*,#188594,.T.); #243158=ORIENTED_EDGE('',*,*,#188593,.T.); #243159=ORIENTED_EDGE('',*,*,#188595,.F.); #243160=ORIENTED_EDGE('',*,*,#188596,.F.); #243161=ORIENTED_EDGE('',*,*,#188597,.T.); #243162=ORIENTED_EDGE('',*,*,#188596,.T.); #243163=ORIENTED_EDGE('',*,*,#188598,.F.); #243164=ORIENTED_EDGE('',*,*,#188599,.F.); #243165=ORIENTED_EDGE('',*,*,#188600,.T.); #243166=ORIENTED_EDGE('',*,*,#188599,.T.); #243167=ORIENTED_EDGE('',*,*,#188601,.F.); #243168=ORIENTED_EDGE('',*,*,#188602,.F.); #243169=ORIENTED_EDGE('',*,*,#188603,.T.); #243170=ORIENTED_EDGE('',*,*,#188602,.T.); #243171=ORIENTED_EDGE('',*,*,#188604,.F.); #243172=ORIENTED_EDGE('',*,*,#188605,.F.); #243173=ORIENTED_EDGE('',*,*,#188606,.T.); #243174=ORIENTED_EDGE('',*,*,#188605,.T.); #243175=ORIENTED_EDGE('',*,*,#188607,.F.); #243176=ORIENTED_EDGE('',*,*,#188608,.F.); #243177=ORIENTED_EDGE('',*,*,#188609,.T.); #243178=ORIENTED_EDGE('',*,*,#188608,.T.); #243179=ORIENTED_EDGE('',*,*,#188610,.F.); #243180=ORIENTED_EDGE('',*,*,#188611,.F.); #243181=ORIENTED_EDGE('',*,*,#188612,.T.); #243182=ORIENTED_EDGE('',*,*,#188611,.T.); #243183=ORIENTED_EDGE('',*,*,#188613,.F.); #243184=ORIENTED_EDGE('',*,*,#188614,.F.); #243185=ORIENTED_EDGE('',*,*,#188615,.T.); #243186=ORIENTED_EDGE('',*,*,#188614,.T.); #243187=ORIENTED_EDGE('',*,*,#188616,.F.); #243188=ORIENTED_EDGE('',*,*,#188617,.F.); #243189=ORIENTED_EDGE('',*,*,#188618,.T.); #243190=ORIENTED_EDGE('',*,*,#188617,.T.); #243191=ORIENTED_EDGE('',*,*,#188619,.F.); #243192=ORIENTED_EDGE('',*,*,#188620,.F.); #243193=ORIENTED_EDGE('',*,*,#188621,.T.); #243194=ORIENTED_EDGE('',*,*,#188620,.T.); #243195=ORIENTED_EDGE('',*,*,#188622,.F.); #243196=ORIENTED_EDGE('',*,*,#188623,.F.); #243197=ORIENTED_EDGE('',*,*,#188624,.T.); #243198=ORIENTED_EDGE('',*,*,#188623,.T.); #243199=ORIENTED_EDGE('',*,*,#188625,.F.); #243200=ORIENTED_EDGE('',*,*,#188626,.F.); #243201=ORIENTED_EDGE('',*,*,#188627,.T.); #243202=ORIENTED_EDGE('',*,*,#188626,.T.); #243203=ORIENTED_EDGE('',*,*,#188628,.F.); #243204=ORIENTED_EDGE('',*,*,#188629,.F.); #243205=ORIENTED_EDGE('',*,*,#188630,.T.); #243206=ORIENTED_EDGE('',*,*,#188629,.T.); #243207=ORIENTED_EDGE('',*,*,#188631,.F.); #243208=ORIENTED_EDGE('',*,*,#188632,.F.); #243209=ORIENTED_EDGE('',*,*,#188633,.T.); #243210=ORIENTED_EDGE('',*,*,#188632,.T.); #243211=ORIENTED_EDGE('',*,*,#188634,.F.); #243212=ORIENTED_EDGE('',*,*,#188635,.F.); #243213=ORIENTED_EDGE('',*,*,#188636,.T.); #243214=ORIENTED_EDGE('',*,*,#188635,.T.); #243215=ORIENTED_EDGE('',*,*,#188637,.F.); #243216=ORIENTED_EDGE('',*,*,#188638,.F.); #243217=ORIENTED_EDGE('',*,*,#188639,.T.); #243218=ORIENTED_EDGE('',*,*,#188638,.T.); #243219=ORIENTED_EDGE('',*,*,#188640,.F.); #243220=ORIENTED_EDGE('',*,*,#188534,.F.); #243221=ORIENTED_EDGE('',*,*,#188640,.T.); #243222=ORIENTED_EDGE('',*,*,#188637,.T.); #243223=ORIENTED_EDGE('',*,*,#188634,.T.); #243224=ORIENTED_EDGE('',*,*,#188631,.T.); #243225=ORIENTED_EDGE('',*,*,#188628,.T.); #243226=ORIENTED_EDGE('',*,*,#188625,.T.); #243227=ORIENTED_EDGE('',*,*,#188622,.T.); #243228=ORIENTED_EDGE('',*,*,#188619,.T.); #243229=ORIENTED_EDGE('',*,*,#188616,.T.); #243230=ORIENTED_EDGE('',*,*,#188613,.T.); #243231=ORIENTED_EDGE('',*,*,#188610,.T.); #243232=ORIENTED_EDGE('',*,*,#188607,.T.); #243233=ORIENTED_EDGE('',*,*,#188604,.T.); #243234=ORIENTED_EDGE('',*,*,#188601,.T.); #243235=ORIENTED_EDGE('',*,*,#188598,.T.); #243236=ORIENTED_EDGE('',*,*,#188595,.T.); #243237=ORIENTED_EDGE('',*,*,#188592,.T.); #243238=ORIENTED_EDGE('',*,*,#188589,.T.); #243239=ORIENTED_EDGE('',*,*,#188586,.T.); #243240=ORIENTED_EDGE('',*,*,#188583,.T.); #243241=ORIENTED_EDGE('',*,*,#188580,.T.); #243242=ORIENTED_EDGE('',*,*,#188577,.T.); #243243=ORIENTED_EDGE('',*,*,#188574,.T.); #243244=ORIENTED_EDGE('',*,*,#188571,.T.); #243245=ORIENTED_EDGE('',*,*,#188568,.T.); #243246=ORIENTED_EDGE('',*,*,#188565,.T.); #243247=ORIENTED_EDGE('',*,*,#188562,.T.); #243248=ORIENTED_EDGE('',*,*,#188559,.T.); #243249=ORIENTED_EDGE('',*,*,#188556,.T.); #243250=ORIENTED_EDGE('',*,*,#188553,.T.); #243251=ORIENTED_EDGE('',*,*,#188550,.T.); #243252=ORIENTED_EDGE('',*,*,#188547,.T.); #243253=ORIENTED_EDGE('',*,*,#188544,.T.); #243254=ORIENTED_EDGE('',*,*,#188541,.T.); #243255=ORIENTED_EDGE('',*,*,#188538,.T.); #243256=ORIENTED_EDGE('',*,*,#188535,.T.); #243257=ORIENTED_EDGE('',*,*,#188639,.F.); #243258=ORIENTED_EDGE('',*,*,#188533,.F.); #243259=ORIENTED_EDGE('',*,*,#188537,.F.); #243260=ORIENTED_EDGE('',*,*,#188540,.F.); #243261=ORIENTED_EDGE('',*,*,#188543,.F.); #243262=ORIENTED_EDGE('',*,*,#188546,.F.); #243263=ORIENTED_EDGE('',*,*,#188549,.F.); #243264=ORIENTED_EDGE('',*,*,#188552,.F.); #243265=ORIENTED_EDGE('',*,*,#188555,.F.); #243266=ORIENTED_EDGE('',*,*,#188558,.F.); #243267=ORIENTED_EDGE('',*,*,#188561,.F.); #243268=ORIENTED_EDGE('',*,*,#188564,.F.); #243269=ORIENTED_EDGE('',*,*,#188567,.F.); #243270=ORIENTED_EDGE('',*,*,#188570,.F.); #243271=ORIENTED_EDGE('',*,*,#188573,.F.); #243272=ORIENTED_EDGE('',*,*,#188576,.F.); #243273=ORIENTED_EDGE('',*,*,#188579,.F.); #243274=ORIENTED_EDGE('',*,*,#188582,.F.); #243275=ORIENTED_EDGE('',*,*,#188585,.F.); #243276=ORIENTED_EDGE('',*,*,#188588,.F.); #243277=ORIENTED_EDGE('',*,*,#188591,.F.); #243278=ORIENTED_EDGE('',*,*,#188594,.F.); #243279=ORIENTED_EDGE('',*,*,#188597,.F.); #243280=ORIENTED_EDGE('',*,*,#188600,.F.); #243281=ORIENTED_EDGE('',*,*,#188603,.F.); #243282=ORIENTED_EDGE('',*,*,#188606,.F.); #243283=ORIENTED_EDGE('',*,*,#188609,.F.); #243284=ORIENTED_EDGE('',*,*,#188612,.F.); #243285=ORIENTED_EDGE('',*,*,#188615,.F.); #243286=ORIENTED_EDGE('',*,*,#188618,.F.); #243287=ORIENTED_EDGE('',*,*,#188621,.F.); #243288=ORIENTED_EDGE('',*,*,#188624,.F.); #243289=ORIENTED_EDGE('',*,*,#188627,.F.); #243290=ORIENTED_EDGE('',*,*,#188630,.F.); #243291=ORIENTED_EDGE('',*,*,#188633,.F.); #243292=ORIENTED_EDGE('',*,*,#188636,.F.); #243293=ORIENTED_EDGE('',*,*,#188641,.T.); #243294=ORIENTED_EDGE('',*,*,#188642,.T.); #243295=ORIENTED_EDGE('',*,*,#188643,.F.); #243296=ORIENTED_EDGE('',*,*,#188644,.F.); #243297=ORIENTED_EDGE('',*,*,#188645,.T.); #243298=ORIENTED_EDGE('',*,*,#188644,.T.); #243299=ORIENTED_EDGE('',*,*,#188646,.F.); #243300=ORIENTED_EDGE('',*,*,#188647,.F.); #243301=ORIENTED_EDGE('',*,*,#188648,.T.); #243302=ORIENTED_EDGE('',*,*,#188647,.T.); #243303=ORIENTED_EDGE('',*,*,#188649,.F.); #243304=ORIENTED_EDGE('',*,*,#188650,.F.); #243305=ORIENTED_EDGE('',*,*,#188651,.T.); #243306=ORIENTED_EDGE('',*,*,#188650,.T.); #243307=ORIENTED_EDGE('',*,*,#188652,.F.); #243308=ORIENTED_EDGE('',*,*,#188653,.F.); #243309=ORIENTED_EDGE('',*,*,#188654,.T.); #243310=ORIENTED_EDGE('',*,*,#188653,.T.); #243311=ORIENTED_EDGE('',*,*,#188655,.F.); #243312=ORIENTED_EDGE('',*,*,#188656,.F.); #243313=ORIENTED_EDGE('',*,*,#188657,.T.); #243314=ORIENTED_EDGE('',*,*,#188656,.T.); #243315=ORIENTED_EDGE('',*,*,#188658,.F.); #243316=ORIENTED_EDGE('',*,*,#188659,.F.); #243317=ORIENTED_EDGE('',*,*,#188660,.T.); #243318=ORIENTED_EDGE('',*,*,#188659,.T.); #243319=ORIENTED_EDGE('',*,*,#188661,.F.); #243320=ORIENTED_EDGE('',*,*,#188662,.F.); #243321=ORIENTED_EDGE('',*,*,#188663,.T.); #243322=ORIENTED_EDGE('',*,*,#188662,.T.); #243323=ORIENTED_EDGE('',*,*,#188664,.F.); #243324=ORIENTED_EDGE('',*,*,#188665,.F.); #243325=ORIENTED_EDGE('',*,*,#188666,.T.); #243326=ORIENTED_EDGE('',*,*,#188665,.T.); #243327=ORIENTED_EDGE('',*,*,#188667,.F.); #243328=ORIENTED_EDGE('',*,*,#188668,.F.); #243329=ORIENTED_EDGE('',*,*,#188669,.T.); #243330=ORIENTED_EDGE('',*,*,#188668,.T.); #243331=ORIENTED_EDGE('',*,*,#188670,.F.); #243332=ORIENTED_EDGE('',*,*,#188671,.F.); #243333=ORIENTED_EDGE('',*,*,#188672,.T.); #243334=ORIENTED_EDGE('',*,*,#188671,.T.); #243335=ORIENTED_EDGE('',*,*,#188673,.F.); #243336=ORIENTED_EDGE('',*,*,#188674,.F.); #243337=ORIENTED_EDGE('',*,*,#188675,.T.); #243338=ORIENTED_EDGE('',*,*,#188674,.T.); #243339=ORIENTED_EDGE('',*,*,#188676,.F.); #243340=ORIENTED_EDGE('',*,*,#188677,.F.); #243341=ORIENTED_EDGE('',*,*,#188678,.T.); #243342=ORIENTED_EDGE('',*,*,#188677,.T.); #243343=ORIENTED_EDGE('',*,*,#188679,.F.); #243344=ORIENTED_EDGE('',*,*,#188680,.F.); #243345=ORIENTED_EDGE('',*,*,#188681,.T.); #243346=ORIENTED_EDGE('',*,*,#188680,.T.); #243347=ORIENTED_EDGE('',*,*,#188682,.F.); #243348=ORIENTED_EDGE('',*,*,#188683,.F.); #243349=ORIENTED_EDGE('',*,*,#188684,.T.); #243350=ORIENTED_EDGE('',*,*,#188683,.T.); #243351=ORIENTED_EDGE('',*,*,#188685,.F.); #243352=ORIENTED_EDGE('',*,*,#188686,.F.); #243353=ORIENTED_EDGE('',*,*,#188687,.T.); #243354=ORIENTED_EDGE('',*,*,#188686,.T.); #243355=ORIENTED_EDGE('',*,*,#188688,.F.); #243356=ORIENTED_EDGE('',*,*,#188689,.F.); #243357=ORIENTED_EDGE('',*,*,#188690,.T.); #243358=ORIENTED_EDGE('',*,*,#188689,.T.); #243359=ORIENTED_EDGE('',*,*,#188691,.F.); #243360=ORIENTED_EDGE('',*,*,#188692,.F.); #243361=ORIENTED_EDGE('',*,*,#188693,.T.); #243362=ORIENTED_EDGE('',*,*,#188692,.T.); #243363=ORIENTED_EDGE('',*,*,#188694,.F.); #243364=ORIENTED_EDGE('',*,*,#188695,.F.); #243365=ORIENTED_EDGE('',*,*,#188696,.T.); #243366=ORIENTED_EDGE('',*,*,#188695,.T.); #243367=ORIENTED_EDGE('',*,*,#188697,.F.); #243368=ORIENTED_EDGE('',*,*,#188698,.F.); #243369=ORIENTED_EDGE('',*,*,#188699,.T.); #243370=ORIENTED_EDGE('',*,*,#188698,.T.); #243371=ORIENTED_EDGE('',*,*,#188700,.F.); #243372=ORIENTED_EDGE('',*,*,#188701,.F.); #243373=ORIENTED_EDGE('',*,*,#188702,.T.); #243374=ORIENTED_EDGE('',*,*,#188701,.T.); #243375=ORIENTED_EDGE('',*,*,#188703,.F.); #243376=ORIENTED_EDGE('',*,*,#188704,.F.); #243377=ORIENTED_EDGE('',*,*,#188705,.T.); #243378=ORIENTED_EDGE('',*,*,#188704,.T.); #243379=ORIENTED_EDGE('',*,*,#188706,.F.); #243380=ORIENTED_EDGE('',*,*,#188707,.F.); #243381=ORIENTED_EDGE('',*,*,#188708,.T.); #243382=ORIENTED_EDGE('',*,*,#188707,.T.); #243383=ORIENTED_EDGE('',*,*,#188709,.F.); #243384=ORIENTED_EDGE('',*,*,#188710,.F.); #243385=ORIENTED_EDGE('',*,*,#188711,.T.); #243386=ORIENTED_EDGE('',*,*,#188710,.T.); #243387=ORIENTED_EDGE('',*,*,#188712,.F.); #243388=ORIENTED_EDGE('',*,*,#188713,.F.); #243389=ORIENTED_EDGE('',*,*,#188714,.T.); #243390=ORIENTED_EDGE('',*,*,#188713,.T.); #243391=ORIENTED_EDGE('',*,*,#188715,.F.); #243392=ORIENTED_EDGE('',*,*,#188716,.F.); #243393=ORIENTED_EDGE('',*,*,#188717,.T.); #243394=ORIENTED_EDGE('',*,*,#188716,.T.); #243395=ORIENTED_EDGE('',*,*,#188718,.F.); #243396=ORIENTED_EDGE('',*,*,#188719,.F.); #243397=ORIENTED_EDGE('',*,*,#188720,.T.); #243398=ORIENTED_EDGE('',*,*,#188719,.T.); #243399=ORIENTED_EDGE('',*,*,#188721,.F.); #243400=ORIENTED_EDGE('',*,*,#188722,.F.); #243401=ORIENTED_EDGE('',*,*,#188723,.T.); #243402=ORIENTED_EDGE('',*,*,#188722,.T.); #243403=ORIENTED_EDGE('',*,*,#188724,.F.); #243404=ORIENTED_EDGE('',*,*,#188725,.F.); #243405=ORIENTED_EDGE('',*,*,#188726,.T.); #243406=ORIENTED_EDGE('',*,*,#188725,.T.); #243407=ORIENTED_EDGE('',*,*,#188727,.F.); #243408=ORIENTED_EDGE('',*,*,#188728,.F.); #243409=ORIENTED_EDGE('',*,*,#188729,.T.); #243410=ORIENTED_EDGE('',*,*,#188728,.T.); #243411=ORIENTED_EDGE('',*,*,#188730,.F.); #243412=ORIENTED_EDGE('',*,*,#188731,.F.); #243413=ORIENTED_EDGE('',*,*,#188732,.T.); #243414=ORIENTED_EDGE('',*,*,#188731,.T.); #243415=ORIENTED_EDGE('',*,*,#188733,.F.); #243416=ORIENTED_EDGE('',*,*,#188734,.F.); #243417=ORIENTED_EDGE('',*,*,#188735,.T.); #243418=ORIENTED_EDGE('',*,*,#188734,.T.); #243419=ORIENTED_EDGE('',*,*,#188736,.F.); #243420=ORIENTED_EDGE('',*,*,#188737,.F.); #243421=ORIENTED_EDGE('',*,*,#188738,.T.); #243422=ORIENTED_EDGE('',*,*,#188737,.T.); #243423=ORIENTED_EDGE('',*,*,#188739,.F.); #243424=ORIENTED_EDGE('',*,*,#188740,.F.); #243425=ORIENTED_EDGE('',*,*,#188741,.T.); #243426=ORIENTED_EDGE('',*,*,#188740,.T.); #243427=ORIENTED_EDGE('',*,*,#188742,.F.); #243428=ORIENTED_EDGE('',*,*,#188743,.F.); #243429=ORIENTED_EDGE('',*,*,#188744,.T.); #243430=ORIENTED_EDGE('',*,*,#188743,.T.); #243431=ORIENTED_EDGE('',*,*,#188745,.F.); #243432=ORIENTED_EDGE('',*,*,#188746,.F.); #243433=ORIENTED_EDGE('',*,*,#188747,.T.); #243434=ORIENTED_EDGE('',*,*,#188746,.T.); #243435=ORIENTED_EDGE('',*,*,#188748,.F.); #243436=ORIENTED_EDGE('',*,*,#188642,.F.); #243437=ORIENTED_EDGE('',*,*,#188748,.T.); #243438=ORIENTED_EDGE('',*,*,#188745,.T.); #243439=ORIENTED_EDGE('',*,*,#188742,.T.); #243440=ORIENTED_EDGE('',*,*,#188739,.T.); #243441=ORIENTED_EDGE('',*,*,#188736,.T.); #243442=ORIENTED_EDGE('',*,*,#188733,.T.); #243443=ORIENTED_EDGE('',*,*,#188730,.T.); #243444=ORIENTED_EDGE('',*,*,#188727,.T.); #243445=ORIENTED_EDGE('',*,*,#188724,.T.); #243446=ORIENTED_EDGE('',*,*,#188721,.T.); #243447=ORIENTED_EDGE('',*,*,#188718,.T.); #243448=ORIENTED_EDGE('',*,*,#188715,.T.); #243449=ORIENTED_EDGE('',*,*,#188712,.T.); #243450=ORIENTED_EDGE('',*,*,#188709,.T.); #243451=ORIENTED_EDGE('',*,*,#188706,.T.); #243452=ORIENTED_EDGE('',*,*,#188703,.T.); #243453=ORIENTED_EDGE('',*,*,#188700,.T.); #243454=ORIENTED_EDGE('',*,*,#188697,.T.); #243455=ORIENTED_EDGE('',*,*,#188694,.T.); #243456=ORIENTED_EDGE('',*,*,#188691,.T.); #243457=ORIENTED_EDGE('',*,*,#188688,.T.); #243458=ORIENTED_EDGE('',*,*,#188685,.T.); #243459=ORIENTED_EDGE('',*,*,#188682,.T.); #243460=ORIENTED_EDGE('',*,*,#188679,.T.); #243461=ORIENTED_EDGE('',*,*,#188676,.T.); #243462=ORIENTED_EDGE('',*,*,#188673,.T.); #243463=ORIENTED_EDGE('',*,*,#188670,.T.); #243464=ORIENTED_EDGE('',*,*,#188667,.T.); #243465=ORIENTED_EDGE('',*,*,#188664,.T.); #243466=ORIENTED_EDGE('',*,*,#188661,.T.); #243467=ORIENTED_EDGE('',*,*,#188658,.T.); #243468=ORIENTED_EDGE('',*,*,#188655,.T.); #243469=ORIENTED_EDGE('',*,*,#188652,.T.); #243470=ORIENTED_EDGE('',*,*,#188649,.T.); #243471=ORIENTED_EDGE('',*,*,#188646,.T.); #243472=ORIENTED_EDGE('',*,*,#188643,.T.); #243473=ORIENTED_EDGE('',*,*,#188747,.F.); #243474=ORIENTED_EDGE('',*,*,#188641,.F.); #243475=ORIENTED_EDGE('',*,*,#188645,.F.); #243476=ORIENTED_EDGE('',*,*,#188648,.F.); #243477=ORIENTED_EDGE('',*,*,#188651,.F.); #243478=ORIENTED_EDGE('',*,*,#188654,.F.); #243479=ORIENTED_EDGE('',*,*,#188657,.F.); #243480=ORIENTED_EDGE('',*,*,#188660,.F.); #243481=ORIENTED_EDGE('',*,*,#188663,.F.); #243482=ORIENTED_EDGE('',*,*,#188666,.F.); #243483=ORIENTED_EDGE('',*,*,#188669,.F.); #243484=ORIENTED_EDGE('',*,*,#188672,.F.); #243485=ORIENTED_EDGE('',*,*,#188675,.F.); #243486=ORIENTED_EDGE('',*,*,#188678,.F.); #243487=ORIENTED_EDGE('',*,*,#188681,.F.); #243488=ORIENTED_EDGE('',*,*,#188684,.F.); #243489=ORIENTED_EDGE('',*,*,#188687,.F.); #243490=ORIENTED_EDGE('',*,*,#188690,.F.); #243491=ORIENTED_EDGE('',*,*,#188693,.F.); #243492=ORIENTED_EDGE('',*,*,#188696,.F.); #243493=ORIENTED_EDGE('',*,*,#188699,.F.); #243494=ORIENTED_EDGE('',*,*,#188702,.F.); #243495=ORIENTED_EDGE('',*,*,#188705,.F.); #243496=ORIENTED_EDGE('',*,*,#188708,.F.); #243497=ORIENTED_EDGE('',*,*,#188711,.F.); #243498=ORIENTED_EDGE('',*,*,#188714,.F.); #243499=ORIENTED_EDGE('',*,*,#188717,.F.); #243500=ORIENTED_EDGE('',*,*,#188720,.F.); #243501=ORIENTED_EDGE('',*,*,#188723,.F.); #243502=ORIENTED_EDGE('',*,*,#188726,.F.); #243503=ORIENTED_EDGE('',*,*,#188729,.F.); #243504=ORIENTED_EDGE('',*,*,#188732,.F.); #243505=ORIENTED_EDGE('',*,*,#188735,.F.); #243506=ORIENTED_EDGE('',*,*,#188738,.F.); #243507=ORIENTED_EDGE('',*,*,#188741,.F.); #243508=ORIENTED_EDGE('',*,*,#188744,.F.); #243509=ORIENTED_EDGE('',*,*,#188749,.F.); #243510=ORIENTED_EDGE('',*,*,#188750,.T.); #243511=ORIENTED_EDGE('',*,*,#188751,.F.); #243512=ORIENTED_EDGE('',*,*,#188750,.F.); #243513=ORIENTED_EDGE('',*,*,#188752,.T.); #243514=ORIENTED_EDGE('',*,*,#188753,.T.); #243515=ORIENTED_EDGE('',*,*,#188754,.F.); #243516=ORIENTED_EDGE('',*,*,#188755,.F.); #243517=ORIENTED_EDGE('',*,*,#188756,.T.); #243518=ORIENTED_EDGE('',*,*,#188755,.T.); #243519=ORIENTED_EDGE('',*,*,#188757,.F.); #243520=ORIENTED_EDGE('',*,*,#188758,.F.); #243521=ORIENTED_EDGE('',*,*,#188759,.T.); #243522=ORIENTED_EDGE('',*,*,#188758,.T.); #243523=ORIENTED_EDGE('',*,*,#188760,.F.); #243524=ORIENTED_EDGE('',*,*,#188761,.F.); #243525=ORIENTED_EDGE('',*,*,#188762,.T.); #243526=ORIENTED_EDGE('',*,*,#188761,.T.); #243527=ORIENTED_EDGE('',*,*,#188763,.F.); #243528=ORIENTED_EDGE('',*,*,#188764,.F.); #243529=ORIENTED_EDGE('',*,*,#188765,.T.); #243530=ORIENTED_EDGE('',*,*,#188764,.T.); #243531=ORIENTED_EDGE('',*,*,#188766,.F.); #243532=ORIENTED_EDGE('',*,*,#188767,.F.); #243533=ORIENTED_EDGE('',*,*,#188768,.T.); #243534=ORIENTED_EDGE('',*,*,#188767,.T.); #243535=ORIENTED_EDGE('',*,*,#188769,.F.); #243536=ORIENTED_EDGE('',*,*,#188770,.F.); #243537=ORIENTED_EDGE('',*,*,#188771,.T.); #243538=ORIENTED_EDGE('',*,*,#188770,.T.); #243539=ORIENTED_EDGE('',*,*,#188772,.F.); #243540=ORIENTED_EDGE('',*,*,#188773,.F.); #243541=ORIENTED_EDGE('',*,*,#188774,.T.); #243542=ORIENTED_EDGE('',*,*,#188773,.T.); #243543=ORIENTED_EDGE('',*,*,#188775,.F.); #243544=ORIENTED_EDGE('',*,*,#188776,.F.); #243545=ORIENTED_EDGE('',*,*,#188777,.T.); #243546=ORIENTED_EDGE('',*,*,#188776,.T.); #243547=ORIENTED_EDGE('',*,*,#188778,.F.); #243548=ORIENTED_EDGE('',*,*,#188779,.F.); #243549=ORIENTED_EDGE('',*,*,#188780,.T.); #243550=ORIENTED_EDGE('',*,*,#188779,.T.); #243551=ORIENTED_EDGE('',*,*,#188781,.F.); #243552=ORIENTED_EDGE('',*,*,#188782,.F.); #243553=ORIENTED_EDGE('',*,*,#188783,.T.); #243554=ORIENTED_EDGE('',*,*,#188782,.T.); #243555=ORIENTED_EDGE('',*,*,#188784,.F.); #243556=ORIENTED_EDGE('',*,*,#188785,.F.); #243557=ORIENTED_EDGE('',*,*,#188786,.T.); #243558=ORIENTED_EDGE('',*,*,#188785,.T.); #243559=ORIENTED_EDGE('',*,*,#188787,.F.); #243560=ORIENTED_EDGE('',*,*,#188788,.F.); #243561=ORIENTED_EDGE('',*,*,#188789,.T.); #243562=ORIENTED_EDGE('',*,*,#188788,.T.); #243563=ORIENTED_EDGE('',*,*,#188790,.F.); #243564=ORIENTED_EDGE('',*,*,#188791,.F.); #243565=ORIENTED_EDGE('',*,*,#188792,.T.); #243566=ORIENTED_EDGE('',*,*,#188791,.T.); #243567=ORIENTED_EDGE('',*,*,#188793,.F.); #243568=ORIENTED_EDGE('',*,*,#188794,.F.); #243569=ORIENTED_EDGE('',*,*,#188795,.T.); #243570=ORIENTED_EDGE('',*,*,#188794,.T.); #243571=ORIENTED_EDGE('',*,*,#188796,.F.); #243572=ORIENTED_EDGE('',*,*,#188797,.F.); #243573=ORIENTED_EDGE('',*,*,#188798,.T.); #243574=ORIENTED_EDGE('',*,*,#188797,.T.); #243575=ORIENTED_EDGE('',*,*,#188799,.F.); #243576=ORIENTED_EDGE('',*,*,#188800,.F.); #243577=ORIENTED_EDGE('',*,*,#188801,.T.); #243578=ORIENTED_EDGE('',*,*,#188800,.T.); #243579=ORIENTED_EDGE('',*,*,#188802,.F.); #243580=ORIENTED_EDGE('',*,*,#188803,.F.); #243581=ORIENTED_EDGE('',*,*,#188804,.T.); #243582=ORIENTED_EDGE('',*,*,#188803,.T.); #243583=ORIENTED_EDGE('',*,*,#188805,.F.); #243584=ORIENTED_EDGE('',*,*,#188806,.F.); #243585=ORIENTED_EDGE('',*,*,#188807,.T.); #243586=ORIENTED_EDGE('',*,*,#188806,.T.); #243587=ORIENTED_EDGE('',*,*,#188808,.F.); #243588=ORIENTED_EDGE('',*,*,#188809,.F.); #243589=ORIENTED_EDGE('',*,*,#188810,.T.); #243590=ORIENTED_EDGE('',*,*,#188809,.T.); #243591=ORIENTED_EDGE('',*,*,#188811,.F.); #243592=ORIENTED_EDGE('',*,*,#188812,.F.); #243593=ORIENTED_EDGE('',*,*,#188813,.T.); #243594=ORIENTED_EDGE('',*,*,#188812,.T.); #243595=ORIENTED_EDGE('',*,*,#188814,.F.); #243596=ORIENTED_EDGE('',*,*,#188815,.F.); #243597=ORIENTED_EDGE('',*,*,#188816,.T.); #243598=ORIENTED_EDGE('',*,*,#188815,.T.); #243599=ORIENTED_EDGE('',*,*,#188817,.F.); #243600=ORIENTED_EDGE('',*,*,#188753,.F.); #243601=ORIENTED_EDGE('',*,*,#188817,.T.); #243602=ORIENTED_EDGE('',*,*,#188814,.T.); #243603=ORIENTED_EDGE('',*,*,#188811,.T.); #243604=ORIENTED_EDGE('',*,*,#188808,.T.); #243605=ORIENTED_EDGE('',*,*,#188805,.T.); #243606=ORIENTED_EDGE('',*,*,#188802,.T.); #243607=ORIENTED_EDGE('',*,*,#188799,.T.); #243608=ORIENTED_EDGE('',*,*,#188796,.T.); #243609=ORIENTED_EDGE('',*,*,#188793,.T.); #243610=ORIENTED_EDGE('',*,*,#188790,.T.); #243611=ORIENTED_EDGE('',*,*,#188787,.T.); #243612=ORIENTED_EDGE('',*,*,#188784,.T.); #243613=ORIENTED_EDGE('',*,*,#188781,.T.); #243614=ORIENTED_EDGE('',*,*,#188778,.T.); #243615=ORIENTED_EDGE('',*,*,#188775,.T.); #243616=ORIENTED_EDGE('',*,*,#188772,.T.); #243617=ORIENTED_EDGE('',*,*,#188769,.T.); #243618=ORIENTED_EDGE('',*,*,#188766,.T.); #243619=ORIENTED_EDGE('',*,*,#188763,.T.); #243620=ORIENTED_EDGE('',*,*,#188760,.T.); #243621=ORIENTED_EDGE('',*,*,#188757,.T.); #243622=ORIENTED_EDGE('',*,*,#188754,.T.); #243623=ORIENTED_EDGE('',*,*,#188751,.T.); #243624=ORIENTED_EDGE('',*,*,#188816,.F.); #243625=ORIENTED_EDGE('',*,*,#188752,.F.); #243626=ORIENTED_EDGE('',*,*,#188756,.F.); #243627=ORIENTED_EDGE('',*,*,#188759,.F.); #243628=ORIENTED_EDGE('',*,*,#188762,.F.); #243629=ORIENTED_EDGE('',*,*,#188765,.F.); #243630=ORIENTED_EDGE('',*,*,#188768,.F.); #243631=ORIENTED_EDGE('',*,*,#188771,.F.); #243632=ORIENTED_EDGE('',*,*,#188774,.F.); #243633=ORIENTED_EDGE('',*,*,#188777,.F.); #243634=ORIENTED_EDGE('',*,*,#188780,.F.); #243635=ORIENTED_EDGE('',*,*,#188783,.F.); #243636=ORIENTED_EDGE('',*,*,#188786,.F.); #243637=ORIENTED_EDGE('',*,*,#188789,.F.); #243638=ORIENTED_EDGE('',*,*,#188792,.F.); #243639=ORIENTED_EDGE('',*,*,#188795,.F.); #243640=ORIENTED_EDGE('',*,*,#188798,.F.); #243641=ORIENTED_EDGE('',*,*,#188801,.F.); #243642=ORIENTED_EDGE('',*,*,#188804,.F.); #243643=ORIENTED_EDGE('',*,*,#188807,.F.); #243644=ORIENTED_EDGE('',*,*,#188810,.F.); #243645=ORIENTED_EDGE('',*,*,#188813,.F.); #243646=ORIENTED_EDGE('',*,*,#188749,.T.); #243647=ORIENTED_EDGE('',*,*,#188818,.F.); #243648=ORIENTED_EDGE('',*,*,#188819,.T.); #243649=ORIENTED_EDGE('',*,*,#188820,.F.); #243650=ORIENTED_EDGE('',*,*,#188819,.F.); #243651=ORIENTED_EDGE('',*,*,#188821,.T.); #243652=ORIENTED_EDGE('',*,*,#188822,.T.); #243653=ORIENTED_EDGE('',*,*,#188823,.F.); #243654=ORIENTED_EDGE('',*,*,#188824,.F.); #243655=ORIENTED_EDGE('',*,*,#188825,.T.); #243656=ORIENTED_EDGE('',*,*,#188824,.T.); #243657=ORIENTED_EDGE('',*,*,#188826,.F.); #243658=ORIENTED_EDGE('',*,*,#188827,.F.); #243659=ORIENTED_EDGE('',*,*,#188828,.T.); #243660=ORIENTED_EDGE('',*,*,#188827,.T.); #243661=ORIENTED_EDGE('',*,*,#188829,.F.); #243662=ORIENTED_EDGE('',*,*,#188830,.F.); #243663=ORIENTED_EDGE('',*,*,#188831,.T.); #243664=ORIENTED_EDGE('',*,*,#188830,.T.); #243665=ORIENTED_EDGE('',*,*,#188832,.F.); #243666=ORIENTED_EDGE('',*,*,#188833,.F.); #243667=ORIENTED_EDGE('',*,*,#188834,.T.); #243668=ORIENTED_EDGE('',*,*,#188833,.T.); #243669=ORIENTED_EDGE('',*,*,#188835,.F.); #243670=ORIENTED_EDGE('',*,*,#188836,.F.); #243671=ORIENTED_EDGE('',*,*,#188837,.T.); #243672=ORIENTED_EDGE('',*,*,#188836,.T.); #243673=ORIENTED_EDGE('',*,*,#188838,.F.); #243674=ORIENTED_EDGE('',*,*,#188839,.F.); #243675=ORIENTED_EDGE('',*,*,#188840,.T.); #243676=ORIENTED_EDGE('',*,*,#188839,.T.); #243677=ORIENTED_EDGE('',*,*,#188841,.F.); #243678=ORIENTED_EDGE('',*,*,#188842,.F.); #243679=ORIENTED_EDGE('',*,*,#188843,.T.); #243680=ORIENTED_EDGE('',*,*,#188842,.T.); #243681=ORIENTED_EDGE('',*,*,#188844,.F.); #243682=ORIENTED_EDGE('',*,*,#188845,.F.); #243683=ORIENTED_EDGE('',*,*,#188846,.T.); #243684=ORIENTED_EDGE('',*,*,#188845,.T.); #243685=ORIENTED_EDGE('',*,*,#188847,.F.); #243686=ORIENTED_EDGE('',*,*,#188848,.F.); #243687=ORIENTED_EDGE('',*,*,#188849,.T.); #243688=ORIENTED_EDGE('',*,*,#188848,.T.); #243689=ORIENTED_EDGE('',*,*,#188850,.F.); #243690=ORIENTED_EDGE('',*,*,#188851,.F.); #243691=ORIENTED_EDGE('',*,*,#188852,.T.); #243692=ORIENTED_EDGE('',*,*,#188851,.T.); #243693=ORIENTED_EDGE('',*,*,#188853,.F.); #243694=ORIENTED_EDGE('',*,*,#188854,.F.); #243695=ORIENTED_EDGE('',*,*,#188855,.T.); #243696=ORIENTED_EDGE('',*,*,#188854,.T.); #243697=ORIENTED_EDGE('',*,*,#188856,.F.); #243698=ORIENTED_EDGE('',*,*,#188857,.F.); #243699=ORIENTED_EDGE('',*,*,#188858,.T.); #243700=ORIENTED_EDGE('',*,*,#188857,.T.); #243701=ORIENTED_EDGE('',*,*,#188859,.F.); #243702=ORIENTED_EDGE('',*,*,#188860,.F.); #243703=ORIENTED_EDGE('',*,*,#188861,.T.); #243704=ORIENTED_EDGE('',*,*,#188860,.T.); #243705=ORIENTED_EDGE('',*,*,#188862,.F.); #243706=ORIENTED_EDGE('',*,*,#188863,.F.); #243707=ORIENTED_EDGE('',*,*,#188864,.T.); #243708=ORIENTED_EDGE('',*,*,#188863,.T.); #243709=ORIENTED_EDGE('',*,*,#188865,.F.); #243710=ORIENTED_EDGE('',*,*,#188866,.F.); #243711=ORIENTED_EDGE('',*,*,#188867,.T.); #243712=ORIENTED_EDGE('',*,*,#188866,.T.); #243713=ORIENTED_EDGE('',*,*,#188868,.F.); #243714=ORIENTED_EDGE('',*,*,#188822,.F.); #243715=ORIENTED_EDGE('',*,*,#188868,.T.); #243716=ORIENTED_EDGE('',*,*,#188865,.T.); #243717=ORIENTED_EDGE('',*,*,#188862,.T.); #243718=ORIENTED_EDGE('',*,*,#188859,.T.); #243719=ORIENTED_EDGE('',*,*,#188856,.T.); #243720=ORIENTED_EDGE('',*,*,#188853,.T.); #243721=ORIENTED_EDGE('',*,*,#188850,.T.); #243722=ORIENTED_EDGE('',*,*,#188847,.T.); #243723=ORIENTED_EDGE('',*,*,#188844,.T.); #243724=ORIENTED_EDGE('',*,*,#188841,.T.); #243725=ORIENTED_EDGE('',*,*,#188838,.T.); #243726=ORIENTED_EDGE('',*,*,#188835,.T.); #243727=ORIENTED_EDGE('',*,*,#188832,.T.); #243728=ORIENTED_EDGE('',*,*,#188829,.T.); #243729=ORIENTED_EDGE('',*,*,#188826,.T.); #243730=ORIENTED_EDGE('',*,*,#188823,.T.); #243731=ORIENTED_EDGE('',*,*,#188820,.T.); #243732=ORIENTED_EDGE('',*,*,#188867,.F.); #243733=ORIENTED_EDGE('',*,*,#188821,.F.); #243734=ORIENTED_EDGE('',*,*,#188825,.F.); #243735=ORIENTED_EDGE('',*,*,#188828,.F.); #243736=ORIENTED_EDGE('',*,*,#188831,.F.); #243737=ORIENTED_EDGE('',*,*,#188834,.F.); #243738=ORIENTED_EDGE('',*,*,#188837,.F.); #243739=ORIENTED_EDGE('',*,*,#188840,.F.); #243740=ORIENTED_EDGE('',*,*,#188843,.F.); #243741=ORIENTED_EDGE('',*,*,#188846,.F.); #243742=ORIENTED_EDGE('',*,*,#188849,.F.); #243743=ORIENTED_EDGE('',*,*,#188852,.F.); #243744=ORIENTED_EDGE('',*,*,#188855,.F.); #243745=ORIENTED_EDGE('',*,*,#188858,.F.); #243746=ORIENTED_EDGE('',*,*,#188861,.F.); #243747=ORIENTED_EDGE('',*,*,#188864,.F.); #243748=ORIENTED_EDGE('',*,*,#188818,.T.); #243749=ORIENTED_EDGE('',*,*,#188869,.F.); #243750=ORIENTED_EDGE('',*,*,#188870,.T.); #243751=ORIENTED_EDGE('',*,*,#188871,.F.); #243752=ORIENTED_EDGE('',*,*,#188870,.F.); #243753=ORIENTED_EDGE('',*,*,#188872,.T.); #243754=ORIENTED_EDGE('',*,*,#188873,.T.); #243755=ORIENTED_EDGE('',*,*,#188874,.F.); #243756=ORIENTED_EDGE('',*,*,#188875,.F.); #243757=ORIENTED_EDGE('',*,*,#188876,.T.); #243758=ORIENTED_EDGE('',*,*,#188875,.T.); #243759=ORIENTED_EDGE('',*,*,#188877,.F.); #243760=ORIENTED_EDGE('',*,*,#188878,.F.); #243761=ORIENTED_EDGE('',*,*,#188879,.T.); #243762=ORIENTED_EDGE('',*,*,#188878,.T.); #243763=ORIENTED_EDGE('',*,*,#188880,.F.); #243764=ORIENTED_EDGE('',*,*,#188881,.F.); #243765=ORIENTED_EDGE('',*,*,#188882,.T.); #243766=ORIENTED_EDGE('',*,*,#188881,.T.); #243767=ORIENTED_EDGE('',*,*,#188883,.F.); #243768=ORIENTED_EDGE('',*,*,#188884,.F.); #243769=ORIENTED_EDGE('',*,*,#188885,.T.); #243770=ORIENTED_EDGE('',*,*,#188884,.T.); #243771=ORIENTED_EDGE('',*,*,#188886,.F.); #243772=ORIENTED_EDGE('',*,*,#188887,.F.); #243773=ORIENTED_EDGE('',*,*,#188888,.T.); #243774=ORIENTED_EDGE('',*,*,#188887,.T.); #243775=ORIENTED_EDGE('',*,*,#188889,.F.); #243776=ORIENTED_EDGE('',*,*,#188890,.F.); #243777=ORIENTED_EDGE('',*,*,#188891,.T.); #243778=ORIENTED_EDGE('',*,*,#188890,.T.); #243779=ORIENTED_EDGE('',*,*,#188892,.F.); #243780=ORIENTED_EDGE('',*,*,#188893,.F.); #243781=ORIENTED_EDGE('',*,*,#188894,.T.); #243782=ORIENTED_EDGE('',*,*,#188893,.T.); #243783=ORIENTED_EDGE('',*,*,#188895,.F.); #243784=ORIENTED_EDGE('',*,*,#188896,.F.); #243785=ORIENTED_EDGE('',*,*,#188897,.T.); #243786=ORIENTED_EDGE('',*,*,#188896,.T.); #243787=ORIENTED_EDGE('',*,*,#188898,.F.); #243788=ORIENTED_EDGE('',*,*,#188899,.F.); #243789=ORIENTED_EDGE('',*,*,#188900,.T.); #243790=ORIENTED_EDGE('',*,*,#188899,.T.); #243791=ORIENTED_EDGE('',*,*,#188901,.F.); #243792=ORIENTED_EDGE('',*,*,#188902,.F.); #243793=ORIENTED_EDGE('',*,*,#188903,.T.); #243794=ORIENTED_EDGE('',*,*,#188902,.T.); #243795=ORIENTED_EDGE('',*,*,#188904,.F.); #243796=ORIENTED_EDGE('',*,*,#188905,.F.); #243797=ORIENTED_EDGE('',*,*,#188906,.T.); #243798=ORIENTED_EDGE('',*,*,#188905,.T.); #243799=ORIENTED_EDGE('',*,*,#188907,.F.); #243800=ORIENTED_EDGE('',*,*,#188873,.F.); #243801=ORIENTED_EDGE('',*,*,#188907,.T.); #243802=ORIENTED_EDGE('',*,*,#188904,.T.); #243803=ORIENTED_EDGE('',*,*,#188901,.T.); #243804=ORIENTED_EDGE('',*,*,#188898,.T.); #243805=ORIENTED_EDGE('',*,*,#188895,.T.); #243806=ORIENTED_EDGE('',*,*,#188892,.T.); #243807=ORIENTED_EDGE('',*,*,#188889,.T.); #243808=ORIENTED_EDGE('',*,*,#188886,.T.); #243809=ORIENTED_EDGE('',*,*,#188883,.T.); #243810=ORIENTED_EDGE('',*,*,#188880,.T.); #243811=ORIENTED_EDGE('',*,*,#188877,.T.); #243812=ORIENTED_EDGE('',*,*,#188874,.T.); #243813=ORIENTED_EDGE('',*,*,#188871,.T.); #243814=ORIENTED_EDGE('',*,*,#188906,.F.); #243815=ORIENTED_EDGE('',*,*,#188872,.F.); #243816=ORIENTED_EDGE('',*,*,#188876,.F.); #243817=ORIENTED_EDGE('',*,*,#188879,.F.); #243818=ORIENTED_EDGE('',*,*,#188882,.F.); #243819=ORIENTED_EDGE('',*,*,#188885,.F.); #243820=ORIENTED_EDGE('',*,*,#188888,.F.); #243821=ORIENTED_EDGE('',*,*,#188891,.F.); #243822=ORIENTED_EDGE('',*,*,#188894,.F.); #243823=ORIENTED_EDGE('',*,*,#188897,.F.); #243824=ORIENTED_EDGE('',*,*,#188900,.F.); #243825=ORIENTED_EDGE('',*,*,#188903,.F.); #243826=ORIENTED_EDGE('',*,*,#188869,.T.); #243827=ORIENTED_EDGE('',*,*,#188908,.F.); #243828=ORIENTED_EDGE('',*,*,#188909,.T.); #243829=ORIENTED_EDGE('',*,*,#188910,.F.); #243830=ORIENTED_EDGE('',*,*,#188909,.F.); #243831=ORIENTED_EDGE('',*,*,#188911,.T.); #243832=ORIENTED_EDGE('',*,*,#188912,.T.); #243833=ORIENTED_EDGE('',*,*,#188913,.F.); #243834=ORIENTED_EDGE('',*,*,#188914,.F.); #243835=ORIENTED_EDGE('',*,*,#188915,.T.); #243836=ORIENTED_EDGE('',*,*,#188914,.T.); #243837=ORIENTED_EDGE('',*,*,#188916,.F.); #243838=ORIENTED_EDGE('',*,*,#188917,.F.); #243839=ORIENTED_EDGE('',*,*,#188918,.T.); #243840=ORIENTED_EDGE('',*,*,#188917,.T.); #243841=ORIENTED_EDGE('',*,*,#188919,.F.); #243842=ORIENTED_EDGE('',*,*,#188920,.F.); #243843=ORIENTED_EDGE('',*,*,#188921,.T.); #243844=ORIENTED_EDGE('',*,*,#188920,.T.); #243845=ORIENTED_EDGE('',*,*,#188922,.F.); #243846=ORIENTED_EDGE('',*,*,#188923,.F.); #243847=ORIENTED_EDGE('',*,*,#188924,.T.); #243848=ORIENTED_EDGE('',*,*,#188923,.T.); #243849=ORIENTED_EDGE('',*,*,#188925,.F.); #243850=ORIENTED_EDGE('',*,*,#188926,.F.); #243851=ORIENTED_EDGE('',*,*,#188927,.T.); #243852=ORIENTED_EDGE('',*,*,#188926,.T.); #243853=ORIENTED_EDGE('',*,*,#188928,.F.); #243854=ORIENTED_EDGE('',*,*,#188929,.F.); #243855=ORIENTED_EDGE('',*,*,#188930,.T.); #243856=ORIENTED_EDGE('',*,*,#188929,.T.); #243857=ORIENTED_EDGE('',*,*,#188931,.F.); #243858=ORIENTED_EDGE('',*,*,#188932,.F.); #243859=ORIENTED_EDGE('',*,*,#188933,.T.); #243860=ORIENTED_EDGE('',*,*,#188932,.T.); #243861=ORIENTED_EDGE('',*,*,#188934,.F.); #243862=ORIENTED_EDGE('',*,*,#188935,.F.); #243863=ORIENTED_EDGE('',*,*,#188936,.T.); #243864=ORIENTED_EDGE('',*,*,#188935,.T.); #243865=ORIENTED_EDGE('',*,*,#188937,.F.); #243866=ORIENTED_EDGE('',*,*,#188938,.F.); #243867=ORIENTED_EDGE('',*,*,#188939,.T.); #243868=ORIENTED_EDGE('',*,*,#188938,.T.); #243869=ORIENTED_EDGE('',*,*,#188940,.F.); #243870=ORIENTED_EDGE('',*,*,#188941,.F.); #243871=ORIENTED_EDGE('',*,*,#188942,.T.); #243872=ORIENTED_EDGE('',*,*,#188941,.T.); #243873=ORIENTED_EDGE('',*,*,#188943,.F.); #243874=ORIENTED_EDGE('',*,*,#188944,.F.); #243875=ORIENTED_EDGE('',*,*,#188945,.T.); #243876=ORIENTED_EDGE('',*,*,#188944,.T.); #243877=ORIENTED_EDGE('',*,*,#188946,.F.); #243878=ORIENTED_EDGE('',*,*,#188947,.F.); #243879=ORIENTED_EDGE('',*,*,#188948,.T.); #243880=ORIENTED_EDGE('',*,*,#188947,.T.); #243881=ORIENTED_EDGE('',*,*,#188949,.F.); #243882=ORIENTED_EDGE('',*,*,#188950,.F.); #243883=ORIENTED_EDGE('',*,*,#188951,.T.); #243884=ORIENTED_EDGE('',*,*,#188950,.T.); #243885=ORIENTED_EDGE('',*,*,#188952,.F.); #243886=ORIENTED_EDGE('',*,*,#188912,.F.); #243887=ORIENTED_EDGE('',*,*,#188952,.T.); #243888=ORIENTED_EDGE('',*,*,#188949,.T.); #243889=ORIENTED_EDGE('',*,*,#188946,.T.); #243890=ORIENTED_EDGE('',*,*,#188943,.T.); #243891=ORIENTED_EDGE('',*,*,#188940,.T.); #243892=ORIENTED_EDGE('',*,*,#188937,.T.); #243893=ORIENTED_EDGE('',*,*,#188934,.T.); #243894=ORIENTED_EDGE('',*,*,#188931,.T.); #243895=ORIENTED_EDGE('',*,*,#188928,.T.); #243896=ORIENTED_EDGE('',*,*,#188925,.T.); #243897=ORIENTED_EDGE('',*,*,#188922,.T.); #243898=ORIENTED_EDGE('',*,*,#188919,.T.); #243899=ORIENTED_EDGE('',*,*,#188916,.T.); #243900=ORIENTED_EDGE('',*,*,#188913,.T.); #243901=ORIENTED_EDGE('',*,*,#188910,.T.); #243902=ORIENTED_EDGE('',*,*,#188951,.F.); #243903=ORIENTED_EDGE('',*,*,#188911,.F.); #243904=ORIENTED_EDGE('',*,*,#188915,.F.); #243905=ORIENTED_EDGE('',*,*,#188918,.F.); #243906=ORIENTED_EDGE('',*,*,#188921,.F.); #243907=ORIENTED_EDGE('',*,*,#188924,.F.); #243908=ORIENTED_EDGE('',*,*,#188927,.F.); #243909=ORIENTED_EDGE('',*,*,#188930,.F.); #243910=ORIENTED_EDGE('',*,*,#188933,.F.); #243911=ORIENTED_EDGE('',*,*,#188936,.F.); #243912=ORIENTED_EDGE('',*,*,#188939,.F.); #243913=ORIENTED_EDGE('',*,*,#188942,.F.); #243914=ORIENTED_EDGE('',*,*,#188945,.F.); #243915=ORIENTED_EDGE('',*,*,#188948,.F.); #243916=ORIENTED_EDGE('',*,*,#188908,.T.); #243917=ORIENTED_EDGE('',*,*,#188953,.F.); #243918=ORIENTED_EDGE('',*,*,#188954,.T.); #243919=ORIENTED_EDGE('',*,*,#188955,.F.); #243920=ORIENTED_EDGE('',*,*,#188954,.F.); #243921=ORIENTED_EDGE('',*,*,#188956,.F.); #243922=ORIENTED_EDGE('',*,*,#188957,.T.); #243923=ORIENTED_EDGE('',*,*,#188958,.F.); #243924=ORIENTED_EDGE('',*,*,#188957,.F.); #243925=ORIENTED_EDGE('',*,*,#188959,.T.); #243926=ORIENTED_EDGE('',*,*,#188960,.T.); #243927=ORIENTED_EDGE('',*,*,#188961,.F.); #243928=ORIENTED_EDGE('',*,*,#188962,.F.); #243929=ORIENTED_EDGE('',*,*,#188963,.T.); #243930=ORIENTED_EDGE('',*,*,#188962,.T.); #243931=ORIENTED_EDGE('',*,*,#188964,.F.); #243932=ORIENTED_EDGE('',*,*,#188965,.F.); #243933=ORIENTED_EDGE('',*,*,#188966,.T.); #243934=ORIENTED_EDGE('',*,*,#188965,.T.); #243935=ORIENTED_EDGE('',*,*,#188967,.F.); #243936=ORIENTED_EDGE('',*,*,#188968,.F.); #243937=ORIENTED_EDGE('',*,*,#188969,.T.); #243938=ORIENTED_EDGE('',*,*,#188968,.T.); #243939=ORIENTED_EDGE('',*,*,#188970,.F.); #243940=ORIENTED_EDGE('',*,*,#188971,.F.); #243941=ORIENTED_EDGE('',*,*,#188972,.T.); #243942=ORIENTED_EDGE('',*,*,#188971,.T.); #243943=ORIENTED_EDGE('',*,*,#188973,.F.); #243944=ORIENTED_EDGE('',*,*,#188974,.F.); #243945=ORIENTED_EDGE('',*,*,#188975,.T.); #243946=ORIENTED_EDGE('',*,*,#188974,.T.); #243947=ORIENTED_EDGE('',*,*,#188976,.F.); #243948=ORIENTED_EDGE('',*,*,#188977,.F.); #243949=ORIENTED_EDGE('',*,*,#188978,.T.); #243950=ORIENTED_EDGE('',*,*,#188977,.T.); #243951=ORIENTED_EDGE('',*,*,#188979,.F.); #243952=ORIENTED_EDGE('',*,*,#188980,.F.); #243953=ORIENTED_EDGE('',*,*,#188981,.T.); #243954=ORIENTED_EDGE('',*,*,#188980,.T.); #243955=ORIENTED_EDGE('',*,*,#188982,.F.); #243956=ORIENTED_EDGE('',*,*,#188960,.F.); #243957=ORIENTED_EDGE('',*,*,#188982,.T.); #243958=ORIENTED_EDGE('',*,*,#188979,.T.); #243959=ORIENTED_EDGE('',*,*,#188976,.T.); #243960=ORIENTED_EDGE('',*,*,#188973,.T.); #243961=ORIENTED_EDGE('',*,*,#188970,.T.); #243962=ORIENTED_EDGE('',*,*,#188967,.T.); #243963=ORIENTED_EDGE('',*,*,#188964,.T.); #243964=ORIENTED_EDGE('',*,*,#188961,.T.); #243965=ORIENTED_EDGE('',*,*,#188955,.T.); #243966=ORIENTED_EDGE('',*,*,#188958,.T.); #243967=ORIENTED_EDGE('',*,*,#188981,.F.); #243968=ORIENTED_EDGE('',*,*,#188959,.F.); #243969=ORIENTED_EDGE('',*,*,#188963,.F.); #243970=ORIENTED_EDGE('',*,*,#188966,.F.); #243971=ORIENTED_EDGE('',*,*,#188969,.F.); #243972=ORIENTED_EDGE('',*,*,#188972,.F.); #243973=ORIENTED_EDGE('',*,*,#188975,.F.); #243974=ORIENTED_EDGE('',*,*,#188978,.F.); #243975=ORIENTED_EDGE('',*,*,#188953,.T.); #243976=ORIENTED_EDGE('',*,*,#188956,.T.); #243977=ORIENTED_EDGE('',*,*,#188983,.F.); #243978=ORIENTED_EDGE('',*,*,#188984,.T.); #243979=ORIENTED_EDGE('',*,*,#188985,.F.); #243980=ORIENTED_EDGE('',*,*,#188984,.F.); #243981=ORIENTED_EDGE('',*,*,#188986,.T.); #243982=ORIENTED_EDGE('',*,*,#188987,.T.); #243983=ORIENTED_EDGE('',*,*,#188988,.F.); #243984=ORIENTED_EDGE('',*,*,#188989,.F.); #243985=ORIENTED_EDGE('',*,*,#188990,.T.); #243986=ORIENTED_EDGE('',*,*,#188989,.T.); #243987=ORIENTED_EDGE('',*,*,#188991,.F.); #243988=ORIENTED_EDGE('',*,*,#188992,.F.); #243989=ORIENTED_EDGE('',*,*,#188993,.T.); #243990=ORIENTED_EDGE('',*,*,#188992,.T.); #243991=ORIENTED_EDGE('',*,*,#188994,.F.); #243992=ORIENTED_EDGE('',*,*,#188995,.F.); #243993=ORIENTED_EDGE('',*,*,#188996,.T.); #243994=ORIENTED_EDGE('',*,*,#188995,.T.); #243995=ORIENTED_EDGE('',*,*,#188997,.F.); #243996=ORIENTED_EDGE('',*,*,#188998,.F.); #243997=ORIENTED_EDGE('',*,*,#188999,.T.); #243998=ORIENTED_EDGE('',*,*,#188998,.T.); #243999=ORIENTED_EDGE('',*,*,#189000,.F.); #244000=ORIENTED_EDGE('',*,*,#189001,.F.); #244001=ORIENTED_EDGE('',*,*,#189002,.T.); #244002=ORIENTED_EDGE('',*,*,#189001,.T.); #244003=ORIENTED_EDGE('',*,*,#189003,.F.); #244004=ORIENTED_EDGE('',*,*,#189004,.F.); #244005=ORIENTED_EDGE('',*,*,#189005,.T.); #244006=ORIENTED_EDGE('',*,*,#189004,.T.); #244007=ORIENTED_EDGE('',*,*,#189006,.F.); #244008=ORIENTED_EDGE('',*,*,#189007,.F.); #244009=ORIENTED_EDGE('',*,*,#189008,.T.); #244010=ORIENTED_EDGE('',*,*,#189007,.T.); #244011=ORIENTED_EDGE('',*,*,#189009,.F.); #244012=ORIENTED_EDGE('',*,*,#189010,.F.); #244013=ORIENTED_EDGE('',*,*,#189011,.T.); #244014=ORIENTED_EDGE('',*,*,#189010,.T.); #244015=ORIENTED_EDGE('',*,*,#189012,.F.); #244016=ORIENTED_EDGE('',*,*,#189013,.F.); #244017=ORIENTED_EDGE('',*,*,#189014,.T.); #244018=ORIENTED_EDGE('',*,*,#189013,.T.); #244019=ORIENTED_EDGE('',*,*,#189015,.F.); #244020=ORIENTED_EDGE('',*,*,#189016,.F.); #244021=ORIENTED_EDGE('',*,*,#189017,.T.); #244022=ORIENTED_EDGE('',*,*,#189016,.T.); #244023=ORIENTED_EDGE('',*,*,#189018,.F.); #244024=ORIENTED_EDGE('',*,*,#189019,.F.); #244025=ORIENTED_EDGE('',*,*,#189020,.T.); #244026=ORIENTED_EDGE('',*,*,#189019,.T.); #244027=ORIENTED_EDGE('',*,*,#189021,.F.); #244028=ORIENTED_EDGE('',*,*,#189022,.F.); #244029=ORIENTED_EDGE('',*,*,#189023,.T.); #244030=ORIENTED_EDGE('',*,*,#189022,.T.); #244031=ORIENTED_EDGE('',*,*,#189024,.F.); #244032=ORIENTED_EDGE('',*,*,#189025,.F.); #244033=ORIENTED_EDGE('',*,*,#189026,.T.); #244034=ORIENTED_EDGE('',*,*,#189025,.T.); #244035=ORIENTED_EDGE('',*,*,#189027,.F.); #244036=ORIENTED_EDGE('',*,*,#189028,.F.); #244037=ORIENTED_EDGE('',*,*,#189029,.T.); #244038=ORIENTED_EDGE('',*,*,#189028,.T.); #244039=ORIENTED_EDGE('',*,*,#189030,.F.); #244040=ORIENTED_EDGE('',*,*,#189031,.F.); #244041=ORIENTED_EDGE('',*,*,#189032,.T.); #244042=ORIENTED_EDGE('',*,*,#189031,.T.); #244043=ORIENTED_EDGE('',*,*,#189033,.F.); #244044=ORIENTED_EDGE('',*,*,#188987,.F.); #244045=ORIENTED_EDGE('',*,*,#189033,.T.); #244046=ORIENTED_EDGE('',*,*,#189030,.T.); #244047=ORIENTED_EDGE('',*,*,#189027,.T.); #244048=ORIENTED_EDGE('',*,*,#189024,.T.); #244049=ORIENTED_EDGE('',*,*,#189021,.T.); #244050=ORIENTED_EDGE('',*,*,#189018,.T.); #244051=ORIENTED_EDGE('',*,*,#189015,.T.); #244052=ORIENTED_EDGE('',*,*,#189012,.T.); #244053=ORIENTED_EDGE('',*,*,#189009,.T.); #244054=ORIENTED_EDGE('',*,*,#189006,.T.); #244055=ORIENTED_EDGE('',*,*,#189003,.T.); #244056=ORIENTED_EDGE('',*,*,#189000,.T.); #244057=ORIENTED_EDGE('',*,*,#188997,.T.); #244058=ORIENTED_EDGE('',*,*,#188994,.T.); #244059=ORIENTED_EDGE('',*,*,#188991,.T.); #244060=ORIENTED_EDGE('',*,*,#188988,.T.); #244061=ORIENTED_EDGE('',*,*,#188985,.T.); #244062=ORIENTED_EDGE('',*,*,#189032,.F.); #244063=ORIENTED_EDGE('',*,*,#188986,.F.); #244064=ORIENTED_EDGE('',*,*,#188990,.F.); #244065=ORIENTED_EDGE('',*,*,#188993,.F.); #244066=ORIENTED_EDGE('',*,*,#188996,.F.); #244067=ORIENTED_EDGE('',*,*,#188999,.F.); #244068=ORIENTED_EDGE('',*,*,#189002,.F.); #244069=ORIENTED_EDGE('',*,*,#189005,.F.); #244070=ORIENTED_EDGE('',*,*,#189008,.F.); #244071=ORIENTED_EDGE('',*,*,#189011,.F.); #244072=ORIENTED_EDGE('',*,*,#189014,.F.); #244073=ORIENTED_EDGE('',*,*,#189017,.F.); #244074=ORIENTED_EDGE('',*,*,#189020,.F.); #244075=ORIENTED_EDGE('',*,*,#189023,.F.); #244076=ORIENTED_EDGE('',*,*,#189026,.F.); #244077=ORIENTED_EDGE('',*,*,#189029,.F.); #244078=ORIENTED_EDGE('',*,*,#188983,.T.); #244079=ORIENTED_EDGE('',*,*,#189034,.F.); #244080=ORIENTED_EDGE('',*,*,#189035,.T.); #244081=ORIENTED_EDGE('',*,*,#189036,.F.); #244082=ORIENTED_EDGE('',*,*,#189035,.F.); #244083=ORIENTED_EDGE('',*,*,#189037,.T.); #244084=ORIENTED_EDGE('',*,*,#189038,.T.); #244085=ORIENTED_EDGE('',*,*,#189039,.F.); #244086=ORIENTED_EDGE('',*,*,#189040,.F.); #244087=ORIENTED_EDGE('',*,*,#189041,.T.); #244088=ORIENTED_EDGE('',*,*,#189040,.T.); #244089=ORIENTED_EDGE('',*,*,#189042,.F.); #244090=ORIENTED_EDGE('',*,*,#189043,.F.); #244091=ORIENTED_EDGE('',*,*,#189044,.T.); #244092=ORIENTED_EDGE('',*,*,#189043,.T.); #244093=ORIENTED_EDGE('',*,*,#189045,.F.); #244094=ORIENTED_EDGE('',*,*,#189046,.F.); #244095=ORIENTED_EDGE('',*,*,#189047,.T.); #244096=ORIENTED_EDGE('',*,*,#189046,.T.); #244097=ORIENTED_EDGE('',*,*,#189048,.F.); #244098=ORIENTED_EDGE('',*,*,#189049,.F.); #244099=ORIENTED_EDGE('',*,*,#189050,.T.); #244100=ORIENTED_EDGE('',*,*,#189049,.T.); #244101=ORIENTED_EDGE('',*,*,#189051,.F.); #244102=ORIENTED_EDGE('',*,*,#189052,.F.); #244103=ORIENTED_EDGE('',*,*,#189053,.T.); #244104=ORIENTED_EDGE('',*,*,#189052,.T.); #244105=ORIENTED_EDGE('',*,*,#189054,.F.); #244106=ORIENTED_EDGE('',*,*,#189055,.F.); #244107=ORIENTED_EDGE('',*,*,#189056,.T.); #244108=ORIENTED_EDGE('',*,*,#189055,.T.); #244109=ORIENTED_EDGE('',*,*,#189057,.F.); #244110=ORIENTED_EDGE('',*,*,#189058,.F.); #244111=ORIENTED_EDGE('',*,*,#189059,.T.); #244112=ORIENTED_EDGE('',*,*,#189058,.T.); #244113=ORIENTED_EDGE('',*,*,#189060,.F.); #244114=ORIENTED_EDGE('',*,*,#189061,.F.); #244115=ORIENTED_EDGE('',*,*,#189062,.T.); #244116=ORIENTED_EDGE('',*,*,#189061,.T.); #244117=ORIENTED_EDGE('',*,*,#189063,.F.); #244118=ORIENTED_EDGE('',*,*,#189064,.F.); #244119=ORIENTED_EDGE('',*,*,#189065,.T.); #244120=ORIENTED_EDGE('',*,*,#189064,.T.); #244121=ORIENTED_EDGE('',*,*,#189066,.F.); #244122=ORIENTED_EDGE('',*,*,#189067,.F.); #244123=ORIENTED_EDGE('',*,*,#189068,.T.); #244124=ORIENTED_EDGE('',*,*,#189067,.T.); #244125=ORIENTED_EDGE('',*,*,#189069,.F.); #244126=ORIENTED_EDGE('',*,*,#189070,.F.); #244127=ORIENTED_EDGE('',*,*,#189071,.T.); #244128=ORIENTED_EDGE('',*,*,#189070,.T.); #244129=ORIENTED_EDGE('',*,*,#189072,.F.); #244130=ORIENTED_EDGE('',*,*,#189073,.F.); #244131=ORIENTED_EDGE('',*,*,#189074,.T.); #244132=ORIENTED_EDGE('',*,*,#189073,.T.); #244133=ORIENTED_EDGE('',*,*,#189075,.F.); #244134=ORIENTED_EDGE('',*,*,#189076,.F.); #244135=ORIENTED_EDGE('',*,*,#189077,.T.); #244136=ORIENTED_EDGE('',*,*,#189076,.T.); #244137=ORIENTED_EDGE('',*,*,#189078,.F.); #244138=ORIENTED_EDGE('',*,*,#189079,.F.); #244139=ORIENTED_EDGE('',*,*,#189080,.T.); #244140=ORIENTED_EDGE('',*,*,#189079,.T.); #244141=ORIENTED_EDGE('',*,*,#189081,.F.); #244142=ORIENTED_EDGE('',*,*,#189082,.F.); #244143=ORIENTED_EDGE('',*,*,#189083,.T.); #244144=ORIENTED_EDGE('',*,*,#189082,.T.); #244145=ORIENTED_EDGE('',*,*,#189084,.F.); #244146=ORIENTED_EDGE('',*,*,#189085,.F.); #244147=ORIENTED_EDGE('',*,*,#189086,.T.); #244148=ORIENTED_EDGE('',*,*,#189085,.T.); #244149=ORIENTED_EDGE('',*,*,#189087,.F.); #244150=ORIENTED_EDGE('',*,*,#189088,.F.); #244151=ORIENTED_EDGE('',*,*,#189089,.T.); #244152=ORIENTED_EDGE('',*,*,#189088,.T.); #244153=ORIENTED_EDGE('',*,*,#189090,.F.); #244154=ORIENTED_EDGE('',*,*,#189091,.F.); #244155=ORIENTED_EDGE('',*,*,#189092,.T.); #244156=ORIENTED_EDGE('',*,*,#189091,.T.); #244157=ORIENTED_EDGE('',*,*,#189093,.F.); #244158=ORIENTED_EDGE('',*,*,#189094,.F.); #244159=ORIENTED_EDGE('',*,*,#189095,.T.); #244160=ORIENTED_EDGE('',*,*,#189094,.T.); #244161=ORIENTED_EDGE('',*,*,#189096,.F.); #244162=ORIENTED_EDGE('',*,*,#189097,.F.); #244163=ORIENTED_EDGE('',*,*,#189098,.T.); #244164=ORIENTED_EDGE('',*,*,#189097,.T.); #244165=ORIENTED_EDGE('',*,*,#189099,.F.); #244166=ORIENTED_EDGE('',*,*,#189100,.F.); #244167=ORIENTED_EDGE('',*,*,#189101,.T.); #244168=ORIENTED_EDGE('',*,*,#189100,.T.); #244169=ORIENTED_EDGE('',*,*,#189102,.F.); #244170=ORIENTED_EDGE('',*,*,#189038,.F.); #244171=ORIENTED_EDGE('',*,*,#189102,.T.); #244172=ORIENTED_EDGE('',*,*,#189099,.T.); #244173=ORIENTED_EDGE('',*,*,#189096,.T.); #244174=ORIENTED_EDGE('',*,*,#189093,.T.); #244175=ORIENTED_EDGE('',*,*,#189090,.T.); #244176=ORIENTED_EDGE('',*,*,#189087,.T.); #244177=ORIENTED_EDGE('',*,*,#189084,.T.); #244178=ORIENTED_EDGE('',*,*,#189081,.T.); #244179=ORIENTED_EDGE('',*,*,#189078,.T.); #244180=ORIENTED_EDGE('',*,*,#189075,.T.); #244181=ORIENTED_EDGE('',*,*,#189072,.T.); #244182=ORIENTED_EDGE('',*,*,#189069,.T.); #244183=ORIENTED_EDGE('',*,*,#189066,.T.); #244184=ORIENTED_EDGE('',*,*,#189063,.T.); #244185=ORIENTED_EDGE('',*,*,#189060,.T.); #244186=ORIENTED_EDGE('',*,*,#189057,.T.); #244187=ORIENTED_EDGE('',*,*,#189054,.T.); #244188=ORIENTED_EDGE('',*,*,#189051,.T.); #244189=ORIENTED_EDGE('',*,*,#189048,.T.); #244190=ORIENTED_EDGE('',*,*,#189045,.T.); #244191=ORIENTED_EDGE('',*,*,#189042,.T.); #244192=ORIENTED_EDGE('',*,*,#189039,.T.); #244193=ORIENTED_EDGE('',*,*,#189036,.T.); #244194=ORIENTED_EDGE('',*,*,#189101,.F.); #244195=ORIENTED_EDGE('',*,*,#189037,.F.); #244196=ORIENTED_EDGE('',*,*,#189041,.F.); #244197=ORIENTED_EDGE('',*,*,#189044,.F.); #244198=ORIENTED_EDGE('',*,*,#189047,.F.); #244199=ORIENTED_EDGE('',*,*,#189050,.F.); #244200=ORIENTED_EDGE('',*,*,#189053,.F.); #244201=ORIENTED_EDGE('',*,*,#189056,.F.); #244202=ORIENTED_EDGE('',*,*,#189059,.F.); #244203=ORIENTED_EDGE('',*,*,#189062,.F.); #244204=ORIENTED_EDGE('',*,*,#189065,.F.); #244205=ORIENTED_EDGE('',*,*,#189068,.F.); #244206=ORIENTED_EDGE('',*,*,#189071,.F.); #244207=ORIENTED_EDGE('',*,*,#189074,.F.); #244208=ORIENTED_EDGE('',*,*,#189077,.F.); #244209=ORIENTED_EDGE('',*,*,#189080,.F.); #244210=ORIENTED_EDGE('',*,*,#189083,.F.); #244211=ORIENTED_EDGE('',*,*,#189086,.F.); #244212=ORIENTED_EDGE('',*,*,#189089,.F.); #244213=ORIENTED_EDGE('',*,*,#189092,.F.); #244214=ORIENTED_EDGE('',*,*,#189095,.F.); #244215=ORIENTED_EDGE('',*,*,#189098,.F.); #244216=ORIENTED_EDGE('',*,*,#189034,.T.); #244217=ORIENTED_EDGE('',*,*,#189103,.T.); #244218=ORIENTED_EDGE('',*,*,#189104,.T.); #244219=ORIENTED_EDGE('',*,*,#189105,.F.); #244220=ORIENTED_EDGE('',*,*,#189106,.F.); #244221=ORIENTED_EDGE('',*,*,#189107,.T.); #244222=ORIENTED_EDGE('',*,*,#189106,.T.); #244223=ORIENTED_EDGE('',*,*,#189108,.F.); #244224=ORIENTED_EDGE('',*,*,#189109,.F.); #244225=ORIENTED_EDGE('',*,*,#189110,.T.); #244226=ORIENTED_EDGE('',*,*,#189109,.T.); #244227=ORIENTED_EDGE('',*,*,#189111,.F.); #244228=ORIENTED_EDGE('',*,*,#189112,.F.); #244229=ORIENTED_EDGE('',*,*,#189113,.T.); #244230=ORIENTED_EDGE('',*,*,#189112,.T.); #244231=ORIENTED_EDGE('',*,*,#189114,.F.); #244232=ORIENTED_EDGE('',*,*,#189115,.F.); #244233=ORIENTED_EDGE('',*,*,#189116,.T.); #244234=ORIENTED_EDGE('',*,*,#189115,.T.); #244235=ORIENTED_EDGE('',*,*,#189117,.F.); #244236=ORIENTED_EDGE('',*,*,#189118,.F.); #244237=ORIENTED_EDGE('',*,*,#189119,.T.); #244238=ORIENTED_EDGE('',*,*,#189118,.T.); #244239=ORIENTED_EDGE('',*,*,#189120,.F.); #244240=ORIENTED_EDGE('',*,*,#189121,.F.); #244241=ORIENTED_EDGE('',*,*,#189122,.T.); #244242=ORIENTED_EDGE('',*,*,#189121,.T.); #244243=ORIENTED_EDGE('',*,*,#189123,.F.); #244244=ORIENTED_EDGE('',*,*,#189124,.F.); #244245=ORIENTED_EDGE('',*,*,#189125,.T.); #244246=ORIENTED_EDGE('',*,*,#189124,.T.); #244247=ORIENTED_EDGE('',*,*,#189126,.F.); #244248=ORIENTED_EDGE('',*,*,#189127,.F.); #244249=ORIENTED_EDGE('',*,*,#189128,.T.); #244250=ORIENTED_EDGE('',*,*,#189127,.T.); #244251=ORIENTED_EDGE('',*,*,#189129,.F.); #244252=ORIENTED_EDGE('',*,*,#189130,.F.); #244253=ORIENTED_EDGE('',*,*,#189131,.T.); #244254=ORIENTED_EDGE('',*,*,#189130,.T.); #244255=ORIENTED_EDGE('',*,*,#189132,.F.); #244256=ORIENTED_EDGE('',*,*,#189133,.F.); #244257=ORIENTED_EDGE('',*,*,#189134,.T.); #244258=ORIENTED_EDGE('',*,*,#189133,.T.); #244259=ORIENTED_EDGE('',*,*,#189135,.F.); #244260=ORIENTED_EDGE('',*,*,#189136,.F.); #244261=ORIENTED_EDGE('',*,*,#189137,.T.); #244262=ORIENTED_EDGE('',*,*,#189136,.T.); #244263=ORIENTED_EDGE('',*,*,#189138,.F.); #244264=ORIENTED_EDGE('',*,*,#189139,.F.); #244265=ORIENTED_EDGE('',*,*,#189140,.T.); #244266=ORIENTED_EDGE('',*,*,#189139,.T.); #244267=ORIENTED_EDGE('',*,*,#189141,.F.); #244268=ORIENTED_EDGE('',*,*,#189142,.F.); #244269=ORIENTED_EDGE('',*,*,#189143,.T.); #244270=ORIENTED_EDGE('',*,*,#189142,.T.); #244271=ORIENTED_EDGE('',*,*,#189144,.F.); #244272=ORIENTED_EDGE('',*,*,#189145,.F.); #244273=ORIENTED_EDGE('',*,*,#189146,.T.); #244274=ORIENTED_EDGE('',*,*,#189145,.T.); #244275=ORIENTED_EDGE('',*,*,#189147,.F.); #244276=ORIENTED_EDGE('',*,*,#189148,.F.); #244277=ORIENTED_EDGE('',*,*,#189149,.T.); #244278=ORIENTED_EDGE('',*,*,#189148,.T.); #244279=ORIENTED_EDGE('',*,*,#189150,.F.); #244280=ORIENTED_EDGE('',*,*,#189151,.F.); #244281=ORIENTED_EDGE('',*,*,#189152,.T.); #244282=ORIENTED_EDGE('',*,*,#189151,.T.); #244283=ORIENTED_EDGE('',*,*,#189153,.F.); #244284=ORIENTED_EDGE('',*,*,#189154,.F.); #244285=ORIENTED_EDGE('',*,*,#189155,.T.); #244286=ORIENTED_EDGE('',*,*,#189154,.T.); #244287=ORIENTED_EDGE('',*,*,#189156,.F.); #244288=ORIENTED_EDGE('',*,*,#189157,.F.); #244289=ORIENTED_EDGE('',*,*,#189158,.T.); #244290=ORIENTED_EDGE('',*,*,#189157,.T.); #244291=ORIENTED_EDGE('',*,*,#189159,.F.); #244292=ORIENTED_EDGE('',*,*,#189160,.F.); #244293=ORIENTED_EDGE('',*,*,#189161,.T.); #244294=ORIENTED_EDGE('',*,*,#189160,.T.); #244295=ORIENTED_EDGE('',*,*,#189162,.F.); #244296=ORIENTED_EDGE('',*,*,#189163,.F.); #244297=ORIENTED_EDGE('',*,*,#189164,.T.); #244298=ORIENTED_EDGE('',*,*,#189163,.T.); #244299=ORIENTED_EDGE('',*,*,#189165,.F.); #244300=ORIENTED_EDGE('',*,*,#189166,.F.); #244301=ORIENTED_EDGE('',*,*,#189167,.T.); #244302=ORIENTED_EDGE('',*,*,#189166,.T.); #244303=ORIENTED_EDGE('',*,*,#189168,.F.); #244304=ORIENTED_EDGE('',*,*,#189169,.F.); #244305=ORIENTED_EDGE('',*,*,#189170,.T.); #244306=ORIENTED_EDGE('',*,*,#189169,.T.); #244307=ORIENTED_EDGE('',*,*,#189171,.F.); #244308=ORIENTED_EDGE('',*,*,#189172,.F.); #244309=ORIENTED_EDGE('',*,*,#189173,.T.); #244310=ORIENTED_EDGE('',*,*,#189172,.T.); #244311=ORIENTED_EDGE('',*,*,#189174,.F.); #244312=ORIENTED_EDGE('',*,*,#189175,.F.); #244313=ORIENTED_EDGE('',*,*,#189176,.T.); #244314=ORIENTED_EDGE('',*,*,#189175,.T.); #244315=ORIENTED_EDGE('',*,*,#189177,.F.); #244316=ORIENTED_EDGE('',*,*,#189178,.F.); #244317=ORIENTED_EDGE('',*,*,#189179,.T.); #244318=ORIENTED_EDGE('',*,*,#189178,.T.); #244319=ORIENTED_EDGE('',*,*,#189180,.F.); #244320=ORIENTED_EDGE('',*,*,#189104,.F.); #244321=ORIENTED_EDGE('',*,*,#189180,.T.); #244322=ORIENTED_EDGE('',*,*,#189177,.T.); #244323=ORIENTED_EDGE('',*,*,#189174,.T.); #244324=ORIENTED_EDGE('',*,*,#189171,.T.); #244325=ORIENTED_EDGE('',*,*,#189168,.T.); #244326=ORIENTED_EDGE('',*,*,#189165,.T.); #244327=ORIENTED_EDGE('',*,*,#189162,.T.); #244328=ORIENTED_EDGE('',*,*,#189159,.T.); #244329=ORIENTED_EDGE('',*,*,#189156,.T.); #244330=ORIENTED_EDGE('',*,*,#189153,.T.); #244331=ORIENTED_EDGE('',*,*,#189150,.T.); #244332=ORIENTED_EDGE('',*,*,#189147,.T.); #244333=ORIENTED_EDGE('',*,*,#189144,.T.); #244334=ORIENTED_EDGE('',*,*,#189141,.T.); #244335=ORIENTED_EDGE('',*,*,#189138,.T.); #244336=ORIENTED_EDGE('',*,*,#189135,.T.); #244337=ORIENTED_EDGE('',*,*,#189132,.T.); #244338=ORIENTED_EDGE('',*,*,#189129,.T.); #244339=ORIENTED_EDGE('',*,*,#189126,.T.); #244340=ORIENTED_EDGE('',*,*,#189123,.T.); #244341=ORIENTED_EDGE('',*,*,#189120,.T.); #244342=ORIENTED_EDGE('',*,*,#189117,.T.); #244343=ORIENTED_EDGE('',*,*,#189114,.T.); #244344=ORIENTED_EDGE('',*,*,#189111,.T.); #244345=ORIENTED_EDGE('',*,*,#189108,.T.); #244346=ORIENTED_EDGE('',*,*,#189105,.T.); #244347=ORIENTED_EDGE('',*,*,#189179,.F.); #244348=ORIENTED_EDGE('',*,*,#189103,.F.); #244349=ORIENTED_EDGE('',*,*,#189107,.F.); #244350=ORIENTED_EDGE('',*,*,#189110,.F.); #244351=ORIENTED_EDGE('',*,*,#189113,.F.); #244352=ORIENTED_EDGE('',*,*,#189116,.F.); #244353=ORIENTED_EDGE('',*,*,#189119,.F.); #244354=ORIENTED_EDGE('',*,*,#189122,.F.); #244355=ORIENTED_EDGE('',*,*,#189125,.F.); #244356=ORIENTED_EDGE('',*,*,#189128,.F.); #244357=ORIENTED_EDGE('',*,*,#189131,.F.); #244358=ORIENTED_EDGE('',*,*,#189134,.F.); #244359=ORIENTED_EDGE('',*,*,#189137,.F.); #244360=ORIENTED_EDGE('',*,*,#189140,.F.); #244361=ORIENTED_EDGE('',*,*,#189143,.F.); #244362=ORIENTED_EDGE('',*,*,#189146,.F.); #244363=ORIENTED_EDGE('',*,*,#189149,.F.); #244364=ORIENTED_EDGE('',*,*,#189152,.F.); #244365=ORIENTED_EDGE('',*,*,#189155,.F.); #244366=ORIENTED_EDGE('',*,*,#189158,.F.); #244367=ORIENTED_EDGE('',*,*,#189161,.F.); #244368=ORIENTED_EDGE('',*,*,#189164,.F.); #244369=ORIENTED_EDGE('',*,*,#189167,.F.); #244370=ORIENTED_EDGE('',*,*,#189170,.F.); #244371=ORIENTED_EDGE('',*,*,#189173,.F.); #244372=ORIENTED_EDGE('',*,*,#189176,.F.); #244373=ORIENTED_EDGE('',*,*,#189181,.F.); #244374=ORIENTED_EDGE('',*,*,#189182,.T.); #244375=ORIENTED_EDGE('',*,*,#189183,.F.); #244376=ORIENTED_EDGE('',*,*,#189182,.F.); #244377=ORIENTED_EDGE('',*,*,#189184,.T.); #244378=ORIENTED_EDGE('',*,*,#189185,.T.); #244379=ORIENTED_EDGE('',*,*,#189186,.F.); #244380=ORIENTED_EDGE('',*,*,#189187,.F.); #244381=ORIENTED_EDGE('',*,*,#189188,.T.); #244382=ORIENTED_EDGE('',*,*,#189187,.T.); #244383=ORIENTED_EDGE('',*,*,#189189,.F.); #244384=ORIENTED_EDGE('',*,*,#189190,.F.); #244385=ORIENTED_EDGE('',*,*,#189191,.T.); #244386=ORIENTED_EDGE('',*,*,#189190,.T.); #244387=ORIENTED_EDGE('',*,*,#189192,.F.); #244388=ORIENTED_EDGE('',*,*,#189193,.F.); #244389=ORIENTED_EDGE('',*,*,#189194,.T.); #244390=ORIENTED_EDGE('',*,*,#189193,.T.); #244391=ORIENTED_EDGE('',*,*,#189195,.F.); #244392=ORIENTED_EDGE('',*,*,#189196,.F.); #244393=ORIENTED_EDGE('',*,*,#189197,.T.); #244394=ORIENTED_EDGE('',*,*,#189196,.T.); #244395=ORIENTED_EDGE('',*,*,#189198,.F.); #244396=ORIENTED_EDGE('',*,*,#189199,.F.); #244397=ORIENTED_EDGE('',*,*,#189200,.T.); #244398=ORIENTED_EDGE('',*,*,#189199,.T.); #244399=ORIENTED_EDGE('',*,*,#189201,.F.); #244400=ORIENTED_EDGE('',*,*,#189202,.F.); #244401=ORIENTED_EDGE('',*,*,#189203,.T.); #244402=ORIENTED_EDGE('',*,*,#189202,.T.); #244403=ORIENTED_EDGE('',*,*,#189204,.F.); #244404=ORIENTED_EDGE('',*,*,#189205,.F.); #244405=ORIENTED_EDGE('',*,*,#189206,.T.); #244406=ORIENTED_EDGE('',*,*,#189205,.T.); #244407=ORIENTED_EDGE('',*,*,#189207,.F.); #244408=ORIENTED_EDGE('',*,*,#189208,.F.); #244409=ORIENTED_EDGE('',*,*,#189209,.T.); #244410=ORIENTED_EDGE('',*,*,#189208,.T.); #244411=ORIENTED_EDGE('',*,*,#189210,.F.); #244412=ORIENTED_EDGE('',*,*,#189211,.F.); #244413=ORIENTED_EDGE('',*,*,#189212,.T.); #244414=ORIENTED_EDGE('',*,*,#189211,.T.); #244415=ORIENTED_EDGE('',*,*,#189213,.F.); #244416=ORIENTED_EDGE('',*,*,#189214,.F.); #244417=ORIENTED_EDGE('',*,*,#189215,.T.); #244418=ORIENTED_EDGE('',*,*,#189214,.T.); #244419=ORIENTED_EDGE('',*,*,#189216,.F.); #244420=ORIENTED_EDGE('',*,*,#189217,.F.); #244421=ORIENTED_EDGE('',*,*,#189218,.T.); #244422=ORIENTED_EDGE('',*,*,#189217,.T.); #244423=ORIENTED_EDGE('',*,*,#189219,.F.); #244424=ORIENTED_EDGE('',*,*,#189220,.F.); #244425=ORIENTED_EDGE('',*,*,#189221,.T.); #244426=ORIENTED_EDGE('',*,*,#189220,.T.); #244427=ORIENTED_EDGE('',*,*,#189222,.F.); #244428=ORIENTED_EDGE('',*,*,#189223,.F.); #244429=ORIENTED_EDGE('',*,*,#189224,.T.); #244430=ORIENTED_EDGE('',*,*,#189223,.T.); #244431=ORIENTED_EDGE('',*,*,#189225,.F.); #244432=ORIENTED_EDGE('',*,*,#189226,.F.); #244433=ORIENTED_EDGE('',*,*,#189227,.T.); #244434=ORIENTED_EDGE('',*,*,#189226,.T.); #244435=ORIENTED_EDGE('',*,*,#189228,.F.); #244436=ORIENTED_EDGE('',*,*,#189229,.F.); #244437=ORIENTED_EDGE('',*,*,#189230,.T.); #244438=ORIENTED_EDGE('',*,*,#189229,.T.); #244439=ORIENTED_EDGE('',*,*,#189231,.F.); #244440=ORIENTED_EDGE('',*,*,#189232,.F.); #244441=ORIENTED_EDGE('',*,*,#189233,.T.); #244442=ORIENTED_EDGE('',*,*,#189232,.T.); #244443=ORIENTED_EDGE('',*,*,#189234,.F.); #244444=ORIENTED_EDGE('',*,*,#189235,.F.); #244445=ORIENTED_EDGE('',*,*,#189236,.T.); #244446=ORIENTED_EDGE('',*,*,#189235,.T.); #244447=ORIENTED_EDGE('',*,*,#189237,.F.); #244448=ORIENTED_EDGE('',*,*,#189238,.F.); #244449=ORIENTED_EDGE('',*,*,#189239,.T.); #244450=ORIENTED_EDGE('',*,*,#189238,.T.); #244451=ORIENTED_EDGE('',*,*,#189240,.F.); #244452=ORIENTED_EDGE('',*,*,#189241,.F.); #244453=ORIENTED_EDGE('',*,*,#189242,.T.); #244454=ORIENTED_EDGE('',*,*,#189241,.T.); #244455=ORIENTED_EDGE('',*,*,#189243,.F.); #244456=ORIENTED_EDGE('',*,*,#189244,.F.); #244457=ORIENTED_EDGE('',*,*,#189245,.T.); #244458=ORIENTED_EDGE('',*,*,#189244,.T.); #244459=ORIENTED_EDGE('',*,*,#189246,.F.); #244460=ORIENTED_EDGE('',*,*,#189247,.F.); #244461=ORIENTED_EDGE('',*,*,#189248,.T.); #244462=ORIENTED_EDGE('',*,*,#189247,.T.); #244463=ORIENTED_EDGE('',*,*,#189249,.F.); #244464=ORIENTED_EDGE('',*,*,#189250,.F.); #244465=ORIENTED_EDGE('',*,*,#189251,.T.); #244466=ORIENTED_EDGE('',*,*,#189250,.T.); #244467=ORIENTED_EDGE('',*,*,#189252,.F.); #244468=ORIENTED_EDGE('',*,*,#189253,.F.); #244469=ORIENTED_EDGE('',*,*,#189254,.T.); #244470=ORIENTED_EDGE('',*,*,#189253,.T.); #244471=ORIENTED_EDGE('',*,*,#189255,.F.); #244472=ORIENTED_EDGE('',*,*,#189185,.F.); #244473=ORIENTED_EDGE('',*,*,#189255,.T.); #244474=ORIENTED_EDGE('',*,*,#189252,.T.); #244475=ORIENTED_EDGE('',*,*,#189249,.T.); #244476=ORIENTED_EDGE('',*,*,#189246,.T.); #244477=ORIENTED_EDGE('',*,*,#189243,.T.); #244478=ORIENTED_EDGE('',*,*,#189240,.T.); #244479=ORIENTED_EDGE('',*,*,#189237,.T.); #244480=ORIENTED_EDGE('',*,*,#189234,.T.); #244481=ORIENTED_EDGE('',*,*,#189231,.T.); #244482=ORIENTED_EDGE('',*,*,#189228,.T.); #244483=ORIENTED_EDGE('',*,*,#189225,.T.); #244484=ORIENTED_EDGE('',*,*,#189222,.T.); #244485=ORIENTED_EDGE('',*,*,#189219,.T.); #244486=ORIENTED_EDGE('',*,*,#189216,.T.); #244487=ORIENTED_EDGE('',*,*,#189213,.T.); #244488=ORIENTED_EDGE('',*,*,#189210,.T.); #244489=ORIENTED_EDGE('',*,*,#189207,.T.); #244490=ORIENTED_EDGE('',*,*,#189204,.T.); #244491=ORIENTED_EDGE('',*,*,#189201,.T.); #244492=ORIENTED_EDGE('',*,*,#189198,.T.); #244493=ORIENTED_EDGE('',*,*,#189195,.T.); #244494=ORIENTED_EDGE('',*,*,#189192,.T.); #244495=ORIENTED_EDGE('',*,*,#189189,.T.); #244496=ORIENTED_EDGE('',*,*,#189186,.T.); #244497=ORIENTED_EDGE('',*,*,#189183,.T.); #244498=ORIENTED_EDGE('',*,*,#189254,.F.); #244499=ORIENTED_EDGE('',*,*,#189184,.F.); #244500=ORIENTED_EDGE('',*,*,#189188,.F.); #244501=ORIENTED_EDGE('',*,*,#189191,.F.); #244502=ORIENTED_EDGE('',*,*,#189194,.F.); #244503=ORIENTED_EDGE('',*,*,#189197,.F.); #244504=ORIENTED_EDGE('',*,*,#189200,.F.); #244505=ORIENTED_EDGE('',*,*,#189203,.F.); #244506=ORIENTED_EDGE('',*,*,#189206,.F.); #244507=ORIENTED_EDGE('',*,*,#189209,.F.); #244508=ORIENTED_EDGE('',*,*,#189212,.F.); #244509=ORIENTED_EDGE('',*,*,#189215,.F.); #244510=ORIENTED_EDGE('',*,*,#189218,.F.); #244511=ORIENTED_EDGE('',*,*,#189221,.F.); #244512=ORIENTED_EDGE('',*,*,#189224,.F.); #244513=ORIENTED_EDGE('',*,*,#189227,.F.); #244514=ORIENTED_EDGE('',*,*,#189230,.F.); #244515=ORIENTED_EDGE('',*,*,#189233,.F.); #244516=ORIENTED_EDGE('',*,*,#189236,.F.); #244517=ORIENTED_EDGE('',*,*,#189239,.F.); #244518=ORIENTED_EDGE('',*,*,#189242,.F.); #244519=ORIENTED_EDGE('',*,*,#189245,.F.); #244520=ORIENTED_EDGE('',*,*,#189248,.F.); #244521=ORIENTED_EDGE('',*,*,#189251,.F.); #244522=ORIENTED_EDGE('',*,*,#189181,.T.); #244523=ORIENTED_EDGE('',*,*,#189256,.F.); #244524=ORIENTED_EDGE('',*,*,#189257,.T.); #244525=ORIENTED_EDGE('',*,*,#189258,.F.); #244526=ORIENTED_EDGE('',*,*,#189257,.F.); #244527=ORIENTED_EDGE('',*,*,#189259,.T.); #244528=ORIENTED_EDGE('',*,*,#189260,.T.); #244529=ORIENTED_EDGE('',*,*,#189261,.F.); #244530=ORIENTED_EDGE('',*,*,#189262,.F.); #244531=ORIENTED_EDGE('',*,*,#189263,.T.); #244532=ORIENTED_EDGE('',*,*,#189262,.T.); #244533=ORIENTED_EDGE('',*,*,#189264,.F.); #244534=ORIENTED_EDGE('',*,*,#189265,.F.); #244535=ORIENTED_EDGE('',*,*,#189266,.T.); #244536=ORIENTED_EDGE('',*,*,#189265,.T.); #244537=ORIENTED_EDGE('',*,*,#189267,.F.); #244538=ORIENTED_EDGE('',*,*,#189268,.F.); #244539=ORIENTED_EDGE('',*,*,#189269,.T.); #244540=ORIENTED_EDGE('',*,*,#189268,.T.); #244541=ORIENTED_EDGE('',*,*,#189270,.F.); #244542=ORIENTED_EDGE('',*,*,#189271,.F.); #244543=ORIENTED_EDGE('',*,*,#189272,.T.); #244544=ORIENTED_EDGE('',*,*,#189271,.T.); #244545=ORIENTED_EDGE('',*,*,#189273,.F.); #244546=ORIENTED_EDGE('',*,*,#189274,.F.); #244547=ORIENTED_EDGE('',*,*,#189275,.T.); #244548=ORIENTED_EDGE('',*,*,#189274,.T.); #244549=ORIENTED_EDGE('',*,*,#189276,.F.); #244550=ORIENTED_EDGE('',*,*,#189277,.F.); #244551=ORIENTED_EDGE('',*,*,#189278,.T.); #244552=ORIENTED_EDGE('',*,*,#189277,.T.); #244553=ORIENTED_EDGE('',*,*,#189279,.F.); #244554=ORIENTED_EDGE('',*,*,#189280,.F.); #244555=ORIENTED_EDGE('',*,*,#189281,.T.); #244556=ORIENTED_EDGE('',*,*,#189280,.T.); #244557=ORIENTED_EDGE('',*,*,#189282,.F.); #244558=ORIENTED_EDGE('',*,*,#189283,.F.); #244559=ORIENTED_EDGE('',*,*,#189284,.T.); #244560=ORIENTED_EDGE('',*,*,#189283,.T.); #244561=ORIENTED_EDGE('',*,*,#189285,.F.); #244562=ORIENTED_EDGE('',*,*,#189286,.F.); #244563=ORIENTED_EDGE('',*,*,#189287,.T.); #244564=ORIENTED_EDGE('',*,*,#189286,.T.); #244565=ORIENTED_EDGE('',*,*,#189288,.F.); #244566=ORIENTED_EDGE('',*,*,#189289,.F.); #244567=ORIENTED_EDGE('',*,*,#189290,.T.); #244568=ORIENTED_EDGE('',*,*,#189289,.T.); #244569=ORIENTED_EDGE('',*,*,#189291,.F.); #244570=ORIENTED_EDGE('',*,*,#189260,.F.); #244571=ORIENTED_EDGE('',*,*,#189291,.T.); #244572=ORIENTED_EDGE('',*,*,#189288,.T.); #244573=ORIENTED_EDGE('',*,*,#189285,.T.); #244574=ORIENTED_EDGE('',*,*,#189282,.T.); #244575=ORIENTED_EDGE('',*,*,#189279,.T.); #244576=ORIENTED_EDGE('',*,*,#189276,.T.); #244577=ORIENTED_EDGE('',*,*,#189273,.T.); #244578=ORIENTED_EDGE('',*,*,#189270,.T.); #244579=ORIENTED_EDGE('',*,*,#189267,.T.); #244580=ORIENTED_EDGE('',*,*,#189264,.T.); #244581=ORIENTED_EDGE('',*,*,#189261,.T.); #244582=ORIENTED_EDGE('',*,*,#189258,.T.); #244583=ORIENTED_EDGE('',*,*,#189290,.F.); #244584=ORIENTED_EDGE('',*,*,#189259,.F.); #244585=ORIENTED_EDGE('',*,*,#189263,.F.); #244586=ORIENTED_EDGE('',*,*,#189266,.F.); #244587=ORIENTED_EDGE('',*,*,#189269,.F.); #244588=ORIENTED_EDGE('',*,*,#189272,.F.); #244589=ORIENTED_EDGE('',*,*,#189275,.F.); #244590=ORIENTED_EDGE('',*,*,#189278,.F.); #244591=ORIENTED_EDGE('',*,*,#189281,.F.); #244592=ORIENTED_EDGE('',*,*,#189284,.F.); #244593=ORIENTED_EDGE('',*,*,#189287,.F.); #244594=ORIENTED_EDGE('',*,*,#189256,.T.); #244595=ORIENTED_EDGE('',*,*,#189292,.T.); #244596=ORIENTED_EDGE('',*,*,#189293,.T.); #244597=ORIENTED_EDGE('',*,*,#189294,.F.); #244598=ORIENTED_EDGE('',*,*,#189295,.F.); #244599=ORIENTED_EDGE('',*,*,#189296,.T.); #244600=ORIENTED_EDGE('',*,*,#189295,.T.); #244601=ORIENTED_EDGE('',*,*,#189297,.F.); #244602=ORIENTED_EDGE('',*,*,#189298,.F.); #244603=ORIENTED_EDGE('',*,*,#189299,.T.); #244604=ORIENTED_EDGE('',*,*,#189298,.T.); #244605=ORIENTED_EDGE('',*,*,#189300,.F.); #244606=ORIENTED_EDGE('',*,*,#189301,.F.); #244607=ORIENTED_EDGE('',*,*,#189302,.T.); #244608=ORIENTED_EDGE('',*,*,#189301,.T.); #244609=ORIENTED_EDGE('',*,*,#189303,.F.); #244610=ORIENTED_EDGE('',*,*,#189304,.F.); #244611=ORIENTED_EDGE('',*,*,#189305,.T.); #244612=ORIENTED_EDGE('',*,*,#189304,.T.); #244613=ORIENTED_EDGE('',*,*,#189306,.F.); #244614=ORIENTED_EDGE('',*,*,#189307,.F.); #244615=ORIENTED_EDGE('',*,*,#189308,.T.); #244616=ORIENTED_EDGE('',*,*,#189307,.T.); #244617=ORIENTED_EDGE('',*,*,#189309,.F.); #244618=ORIENTED_EDGE('',*,*,#189310,.F.); #244619=ORIENTED_EDGE('',*,*,#189311,.T.); #244620=ORIENTED_EDGE('',*,*,#189310,.T.); #244621=ORIENTED_EDGE('',*,*,#189312,.F.); #244622=ORIENTED_EDGE('',*,*,#189313,.F.); #244623=ORIENTED_EDGE('',*,*,#189314,.T.); #244624=ORIENTED_EDGE('',*,*,#189313,.T.); #244625=ORIENTED_EDGE('',*,*,#189315,.F.); #244626=ORIENTED_EDGE('',*,*,#189316,.F.); #244627=ORIENTED_EDGE('',*,*,#189317,.T.); #244628=ORIENTED_EDGE('',*,*,#189316,.T.); #244629=ORIENTED_EDGE('',*,*,#189318,.F.); #244630=ORIENTED_EDGE('',*,*,#189319,.F.); #244631=ORIENTED_EDGE('',*,*,#189320,.T.); #244632=ORIENTED_EDGE('',*,*,#189319,.T.); #244633=ORIENTED_EDGE('',*,*,#189321,.F.); #244634=ORIENTED_EDGE('',*,*,#189322,.F.); #244635=ORIENTED_EDGE('',*,*,#189323,.T.); #244636=ORIENTED_EDGE('',*,*,#189322,.T.); #244637=ORIENTED_EDGE('',*,*,#189324,.F.); #244638=ORIENTED_EDGE('',*,*,#189325,.F.); #244639=ORIENTED_EDGE('',*,*,#189326,.T.); #244640=ORIENTED_EDGE('',*,*,#189325,.T.); #244641=ORIENTED_EDGE('',*,*,#189327,.F.); #244642=ORIENTED_EDGE('',*,*,#189328,.F.); #244643=ORIENTED_EDGE('',*,*,#189329,.T.); #244644=ORIENTED_EDGE('',*,*,#189328,.T.); #244645=ORIENTED_EDGE('',*,*,#189330,.F.); #244646=ORIENTED_EDGE('',*,*,#189331,.F.); #244647=ORIENTED_EDGE('',*,*,#189332,.T.); #244648=ORIENTED_EDGE('',*,*,#189331,.T.); #244649=ORIENTED_EDGE('',*,*,#189333,.F.); #244650=ORIENTED_EDGE('',*,*,#189334,.F.); #244651=ORIENTED_EDGE('',*,*,#189335,.T.); #244652=ORIENTED_EDGE('',*,*,#189334,.T.); #244653=ORIENTED_EDGE('',*,*,#189336,.F.); #244654=ORIENTED_EDGE('',*,*,#189337,.F.); #244655=ORIENTED_EDGE('',*,*,#189338,.T.); #244656=ORIENTED_EDGE('',*,*,#189337,.T.); #244657=ORIENTED_EDGE('',*,*,#189339,.F.); #244658=ORIENTED_EDGE('',*,*,#189340,.F.); #244659=ORIENTED_EDGE('',*,*,#189341,.T.); #244660=ORIENTED_EDGE('',*,*,#189340,.T.); #244661=ORIENTED_EDGE('',*,*,#189342,.F.); #244662=ORIENTED_EDGE('',*,*,#189343,.F.); #244663=ORIENTED_EDGE('',*,*,#189344,.T.); #244664=ORIENTED_EDGE('',*,*,#189343,.T.); #244665=ORIENTED_EDGE('',*,*,#189345,.F.); #244666=ORIENTED_EDGE('',*,*,#189346,.F.); #244667=ORIENTED_EDGE('',*,*,#189347,.T.); #244668=ORIENTED_EDGE('',*,*,#189346,.T.); #244669=ORIENTED_EDGE('',*,*,#189348,.F.); #244670=ORIENTED_EDGE('',*,*,#189349,.F.); #244671=ORIENTED_EDGE('',*,*,#189350,.T.); #244672=ORIENTED_EDGE('',*,*,#189349,.T.); #244673=ORIENTED_EDGE('',*,*,#189351,.F.); #244674=ORIENTED_EDGE('',*,*,#189352,.F.); #244675=ORIENTED_EDGE('',*,*,#189353,.T.); #244676=ORIENTED_EDGE('',*,*,#189352,.T.); #244677=ORIENTED_EDGE('',*,*,#189354,.F.); #244678=ORIENTED_EDGE('',*,*,#189355,.F.); #244679=ORIENTED_EDGE('',*,*,#189356,.T.); #244680=ORIENTED_EDGE('',*,*,#189355,.T.); #244681=ORIENTED_EDGE('',*,*,#189357,.F.); #244682=ORIENTED_EDGE('',*,*,#189358,.F.); #244683=ORIENTED_EDGE('',*,*,#189359,.T.); #244684=ORIENTED_EDGE('',*,*,#189358,.T.); #244685=ORIENTED_EDGE('',*,*,#189360,.F.); #244686=ORIENTED_EDGE('',*,*,#189361,.F.); #244687=ORIENTED_EDGE('',*,*,#189362,.T.); #244688=ORIENTED_EDGE('',*,*,#189361,.T.); #244689=ORIENTED_EDGE('',*,*,#189363,.F.); #244690=ORIENTED_EDGE('',*,*,#189364,.F.); #244691=ORIENTED_EDGE('',*,*,#189365,.T.); #244692=ORIENTED_EDGE('',*,*,#189364,.T.); #244693=ORIENTED_EDGE('',*,*,#189366,.F.); #244694=ORIENTED_EDGE('',*,*,#189367,.F.); #244695=ORIENTED_EDGE('',*,*,#189368,.T.); #244696=ORIENTED_EDGE('',*,*,#189367,.T.); #244697=ORIENTED_EDGE('',*,*,#189369,.F.); #244698=ORIENTED_EDGE('',*,*,#189370,.F.); #244699=ORIENTED_EDGE('',*,*,#189371,.T.); #244700=ORIENTED_EDGE('',*,*,#189370,.T.); #244701=ORIENTED_EDGE('',*,*,#189372,.F.); #244702=ORIENTED_EDGE('',*,*,#189373,.F.); #244703=ORIENTED_EDGE('',*,*,#189374,.T.); #244704=ORIENTED_EDGE('',*,*,#189373,.T.); #244705=ORIENTED_EDGE('',*,*,#189375,.F.); #244706=ORIENTED_EDGE('',*,*,#189376,.F.); #244707=ORIENTED_EDGE('',*,*,#189377,.T.); #244708=ORIENTED_EDGE('',*,*,#189376,.T.); #244709=ORIENTED_EDGE('',*,*,#189378,.F.); #244710=ORIENTED_EDGE('',*,*,#189379,.F.); #244711=ORIENTED_EDGE('',*,*,#189380,.T.); #244712=ORIENTED_EDGE('',*,*,#189379,.T.); #244713=ORIENTED_EDGE('',*,*,#189381,.F.); #244714=ORIENTED_EDGE('',*,*,#189382,.F.); #244715=ORIENTED_EDGE('',*,*,#189383,.T.); #244716=ORIENTED_EDGE('',*,*,#189382,.T.); #244717=ORIENTED_EDGE('',*,*,#189384,.F.); #244718=ORIENTED_EDGE('',*,*,#189385,.F.); #244719=ORIENTED_EDGE('',*,*,#189386,.T.); #244720=ORIENTED_EDGE('',*,*,#189385,.T.); #244721=ORIENTED_EDGE('',*,*,#189387,.F.); #244722=ORIENTED_EDGE('',*,*,#189388,.F.); #244723=ORIENTED_EDGE('',*,*,#189389,.T.); #244724=ORIENTED_EDGE('',*,*,#189388,.T.); #244725=ORIENTED_EDGE('',*,*,#189390,.F.); #244726=ORIENTED_EDGE('',*,*,#189391,.F.); #244727=ORIENTED_EDGE('',*,*,#189392,.T.); #244728=ORIENTED_EDGE('',*,*,#189391,.T.); #244729=ORIENTED_EDGE('',*,*,#189393,.F.); #244730=ORIENTED_EDGE('',*,*,#189394,.F.); #244731=ORIENTED_EDGE('',*,*,#189395,.T.); #244732=ORIENTED_EDGE('',*,*,#189394,.T.); #244733=ORIENTED_EDGE('',*,*,#189396,.F.); #244734=ORIENTED_EDGE('',*,*,#189397,.F.); #244735=ORIENTED_EDGE('',*,*,#189398,.T.); #244736=ORIENTED_EDGE('',*,*,#189397,.T.); #244737=ORIENTED_EDGE('',*,*,#189399,.F.); #244738=ORIENTED_EDGE('',*,*,#189400,.F.); #244739=ORIENTED_EDGE('',*,*,#189401,.T.); #244740=ORIENTED_EDGE('',*,*,#189400,.T.); #244741=ORIENTED_EDGE('',*,*,#189402,.F.); #244742=ORIENTED_EDGE('',*,*,#189403,.F.); #244743=ORIENTED_EDGE('',*,*,#189404,.T.); #244744=ORIENTED_EDGE('',*,*,#189403,.T.); #244745=ORIENTED_EDGE('',*,*,#189405,.F.); #244746=ORIENTED_EDGE('',*,*,#189293,.F.); #244747=ORIENTED_EDGE('',*,*,#189405,.T.); #244748=ORIENTED_EDGE('',*,*,#189402,.T.); #244749=ORIENTED_EDGE('',*,*,#189399,.T.); #244750=ORIENTED_EDGE('',*,*,#189396,.T.); #244751=ORIENTED_EDGE('',*,*,#189393,.T.); #244752=ORIENTED_EDGE('',*,*,#189390,.T.); #244753=ORIENTED_EDGE('',*,*,#189387,.T.); #244754=ORIENTED_EDGE('',*,*,#189384,.T.); #244755=ORIENTED_EDGE('',*,*,#189381,.T.); #244756=ORIENTED_EDGE('',*,*,#189378,.T.); #244757=ORIENTED_EDGE('',*,*,#189375,.T.); #244758=ORIENTED_EDGE('',*,*,#189372,.T.); #244759=ORIENTED_EDGE('',*,*,#189369,.T.); #244760=ORIENTED_EDGE('',*,*,#189366,.T.); #244761=ORIENTED_EDGE('',*,*,#189363,.T.); #244762=ORIENTED_EDGE('',*,*,#189360,.T.); #244763=ORIENTED_EDGE('',*,*,#189357,.T.); #244764=ORIENTED_EDGE('',*,*,#189354,.T.); #244765=ORIENTED_EDGE('',*,*,#189351,.T.); #244766=ORIENTED_EDGE('',*,*,#189348,.T.); #244767=ORIENTED_EDGE('',*,*,#189345,.T.); #244768=ORIENTED_EDGE('',*,*,#189342,.T.); #244769=ORIENTED_EDGE('',*,*,#189339,.T.); #244770=ORIENTED_EDGE('',*,*,#189336,.T.); #244771=ORIENTED_EDGE('',*,*,#189333,.T.); #244772=ORIENTED_EDGE('',*,*,#189330,.T.); #244773=ORIENTED_EDGE('',*,*,#189327,.T.); #244774=ORIENTED_EDGE('',*,*,#189324,.T.); #244775=ORIENTED_EDGE('',*,*,#189321,.T.); #244776=ORIENTED_EDGE('',*,*,#189318,.T.); #244777=ORIENTED_EDGE('',*,*,#189315,.T.); #244778=ORIENTED_EDGE('',*,*,#189312,.T.); #244779=ORIENTED_EDGE('',*,*,#189309,.T.); #244780=ORIENTED_EDGE('',*,*,#189306,.T.); #244781=ORIENTED_EDGE('',*,*,#189303,.T.); #244782=ORIENTED_EDGE('',*,*,#189300,.T.); #244783=ORIENTED_EDGE('',*,*,#189297,.T.); #244784=ORIENTED_EDGE('',*,*,#189294,.T.); #244785=ORIENTED_EDGE('',*,*,#189404,.F.); #244786=ORIENTED_EDGE('',*,*,#189292,.F.); #244787=ORIENTED_EDGE('',*,*,#189296,.F.); #244788=ORIENTED_EDGE('',*,*,#189299,.F.); #244789=ORIENTED_EDGE('',*,*,#189302,.F.); #244790=ORIENTED_EDGE('',*,*,#189305,.F.); #244791=ORIENTED_EDGE('',*,*,#189308,.F.); #244792=ORIENTED_EDGE('',*,*,#189311,.F.); #244793=ORIENTED_EDGE('',*,*,#189314,.F.); #244794=ORIENTED_EDGE('',*,*,#189317,.F.); #244795=ORIENTED_EDGE('',*,*,#189320,.F.); #244796=ORIENTED_EDGE('',*,*,#189323,.F.); #244797=ORIENTED_EDGE('',*,*,#189326,.F.); #244798=ORIENTED_EDGE('',*,*,#189329,.F.); #244799=ORIENTED_EDGE('',*,*,#189332,.F.); #244800=ORIENTED_EDGE('',*,*,#189335,.F.); #244801=ORIENTED_EDGE('',*,*,#189338,.F.); #244802=ORIENTED_EDGE('',*,*,#189341,.F.); #244803=ORIENTED_EDGE('',*,*,#189344,.F.); #244804=ORIENTED_EDGE('',*,*,#189347,.F.); #244805=ORIENTED_EDGE('',*,*,#189350,.F.); #244806=ORIENTED_EDGE('',*,*,#189353,.F.); #244807=ORIENTED_EDGE('',*,*,#189356,.F.); #244808=ORIENTED_EDGE('',*,*,#189359,.F.); #244809=ORIENTED_EDGE('',*,*,#189362,.F.); #244810=ORIENTED_EDGE('',*,*,#189365,.F.); #244811=ORIENTED_EDGE('',*,*,#189368,.F.); #244812=ORIENTED_EDGE('',*,*,#189371,.F.); #244813=ORIENTED_EDGE('',*,*,#189374,.F.); #244814=ORIENTED_EDGE('',*,*,#189377,.F.); #244815=ORIENTED_EDGE('',*,*,#189380,.F.); #244816=ORIENTED_EDGE('',*,*,#189383,.F.); #244817=ORIENTED_EDGE('',*,*,#189386,.F.); #244818=ORIENTED_EDGE('',*,*,#189389,.F.); #244819=ORIENTED_EDGE('',*,*,#189392,.F.); #244820=ORIENTED_EDGE('',*,*,#189395,.F.); #244821=ORIENTED_EDGE('',*,*,#189398,.F.); #244822=ORIENTED_EDGE('',*,*,#189401,.F.); #244823=ORIENTED_EDGE('',*,*,#189406,.T.); #244824=ORIENTED_EDGE('',*,*,#189407,.T.); #244825=ORIENTED_EDGE('',*,*,#189408,.F.); #244826=ORIENTED_EDGE('',*,*,#189409,.F.); #244827=ORIENTED_EDGE('',*,*,#189410,.T.); #244828=ORIENTED_EDGE('',*,*,#189409,.T.); #244829=ORIENTED_EDGE('',*,*,#189411,.F.); #244830=ORIENTED_EDGE('',*,*,#189412,.F.); #244831=ORIENTED_EDGE('',*,*,#189413,.T.); #244832=ORIENTED_EDGE('',*,*,#189412,.T.); #244833=ORIENTED_EDGE('',*,*,#189414,.F.); #244834=ORIENTED_EDGE('',*,*,#189415,.F.); #244835=ORIENTED_EDGE('',*,*,#189416,.T.); #244836=ORIENTED_EDGE('',*,*,#189415,.T.); #244837=ORIENTED_EDGE('',*,*,#189417,.F.); #244838=ORIENTED_EDGE('',*,*,#189418,.F.); #244839=ORIENTED_EDGE('',*,*,#189419,.T.); #244840=ORIENTED_EDGE('',*,*,#189418,.T.); #244841=ORIENTED_EDGE('',*,*,#189420,.F.); #244842=ORIENTED_EDGE('',*,*,#189421,.F.); #244843=ORIENTED_EDGE('',*,*,#189422,.T.); #244844=ORIENTED_EDGE('',*,*,#189421,.T.); #244845=ORIENTED_EDGE('',*,*,#189423,.F.); #244846=ORIENTED_EDGE('',*,*,#189424,.F.); #244847=ORIENTED_EDGE('',*,*,#189425,.T.); #244848=ORIENTED_EDGE('',*,*,#189424,.T.); #244849=ORIENTED_EDGE('',*,*,#189426,.F.); #244850=ORIENTED_EDGE('',*,*,#189427,.F.); #244851=ORIENTED_EDGE('',*,*,#189428,.T.); #244852=ORIENTED_EDGE('',*,*,#189427,.T.); #244853=ORIENTED_EDGE('',*,*,#189429,.F.); #244854=ORIENTED_EDGE('',*,*,#189430,.F.); #244855=ORIENTED_EDGE('',*,*,#189431,.T.); #244856=ORIENTED_EDGE('',*,*,#189430,.T.); #244857=ORIENTED_EDGE('',*,*,#189432,.F.); #244858=ORIENTED_EDGE('',*,*,#189433,.F.); #244859=ORIENTED_EDGE('',*,*,#189434,.T.); #244860=ORIENTED_EDGE('',*,*,#189433,.T.); #244861=ORIENTED_EDGE('',*,*,#189435,.F.); #244862=ORIENTED_EDGE('',*,*,#189436,.F.); #244863=ORIENTED_EDGE('',*,*,#189437,.T.); #244864=ORIENTED_EDGE('',*,*,#189436,.T.); #244865=ORIENTED_EDGE('',*,*,#189438,.F.); #244866=ORIENTED_EDGE('',*,*,#189439,.F.); #244867=ORIENTED_EDGE('',*,*,#189440,.T.); #244868=ORIENTED_EDGE('',*,*,#189439,.T.); #244869=ORIENTED_EDGE('',*,*,#189441,.F.); #244870=ORIENTED_EDGE('',*,*,#189442,.F.); #244871=ORIENTED_EDGE('',*,*,#189443,.T.); #244872=ORIENTED_EDGE('',*,*,#189442,.T.); #244873=ORIENTED_EDGE('',*,*,#189444,.F.); #244874=ORIENTED_EDGE('',*,*,#189445,.F.); #244875=ORIENTED_EDGE('',*,*,#189446,.T.); #244876=ORIENTED_EDGE('',*,*,#189445,.T.); #244877=ORIENTED_EDGE('',*,*,#189447,.F.); #244878=ORIENTED_EDGE('',*,*,#189448,.F.); #244879=ORIENTED_EDGE('',*,*,#189449,.T.); #244880=ORIENTED_EDGE('',*,*,#189448,.T.); #244881=ORIENTED_EDGE('',*,*,#189450,.F.); #244882=ORIENTED_EDGE('',*,*,#189451,.F.); #244883=ORIENTED_EDGE('',*,*,#189452,.T.); #244884=ORIENTED_EDGE('',*,*,#189451,.T.); #244885=ORIENTED_EDGE('',*,*,#189453,.F.); #244886=ORIENTED_EDGE('',*,*,#189454,.F.); #244887=ORIENTED_EDGE('',*,*,#189455,.T.); #244888=ORIENTED_EDGE('',*,*,#189454,.T.); #244889=ORIENTED_EDGE('',*,*,#189456,.F.); #244890=ORIENTED_EDGE('',*,*,#189457,.F.); #244891=ORIENTED_EDGE('',*,*,#189458,.T.); #244892=ORIENTED_EDGE('',*,*,#189457,.T.); #244893=ORIENTED_EDGE('',*,*,#189459,.F.); #244894=ORIENTED_EDGE('',*,*,#189460,.F.); #244895=ORIENTED_EDGE('',*,*,#189461,.T.); #244896=ORIENTED_EDGE('',*,*,#189460,.T.); #244897=ORIENTED_EDGE('',*,*,#189462,.F.); #244898=ORIENTED_EDGE('',*,*,#189463,.F.); #244899=ORIENTED_EDGE('',*,*,#189464,.T.); #244900=ORIENTED_EDGE('',*,*,#189463,.T.); #244901=ORIENTED_EDGE('',*,*,#189465,.F.); #244902=ORIENTED_EDGE('',*,*,#189466,.F.); #244903=ORIENTED_EDGE('',*,*,#189467,.T.); #244904=ORIENTED_EDGE('',*,*,#189466,.T.); #244905=ORIENTED_EDGE('',*,*,#189468,.F.); #244906=ORIENTED_EDGE('',*,*,#189469,.F.); #244907=ORIENTED_EDGE('',*,*,#189470,.T.); #244908=ORIENTED_EDGE('',*,*,#189469,.T.); #244909=ORIENTED_EDGE('',*,*,#189471,.F.); #244910=ORIENTED_EDGE('',*,*,#189472,.F.); #244911=ORIENTED_EDGE('',*,*,#189473,.T.); #244912=ORIENTED_EDGE('',*,*,#189472,.T.); #244913=ORIENTED_EDGE('',*,*,#189474,.F.); #244914=ORIENTED_EDGE('',*,*,#189475,.F.); #244915=ORIENTED_EDGE('',*,*,#189476,.T.); #244916=ORIENTED_EDGE('',*,*,#189475,.T.); #244917=ORIENTED_EDGE('',*,*,#189477,.F.); #244918=ORIENTED_EDGE('',*,*,#189478,.F.); #244919=ORIENTED_EDGE('',*,*,#189479,.T.); #244920=ORIENTED_EDGE('',*,*,#189478,.T.); #244921=ORIENTED_EDGE('',*,*,#189480,.F.); #244922=ORIENTED_EDGE('',*,*,#189481,.F.); #244923=ORIENTED_EDGE('',*,*,#189482,.T.); #244924=ORIENTED_EDGE('',*,*,#189481,.T.); #244925=ORIENTED_EDGE('',*,*,#189483,.F.); #244926=ORIENTED_EDGE('',*,*,#189484,.F.); #244927=ORIENTED_EDGE('',*,*,#189485,.T.); #244928=ORIENTED_EDGE('',*,*,#189484,.T.); #244929=ORIENTED_EDGE('',*,*,#189486,.F.); #244930=ORIENTED_EDGE('',*,*,#189487,.F.); #244931=ORIENTED_EDGE('',*,*,#189488,.T.); #244932=ORIENTED_EDGE('',*,*,#189487,.T.); #244933=ORIENTED_EDGE('',*,*,#189489,.F.); #244934=ORIENTED_EDGE('',*,*,#189490,.F.); #244935=ORIENTED_EDGE('',*,*,#189491,.T.); #244936=ORIENTED_EDGE('',*,*,#189490,.T.); #244937=ORIENTED_EDGE('',*,*,#189492,.F.); #244938=ORIENTED_EDGE('',*,*,#189493,.F.); #244939=ORIENTED_EDGE('',*,*,#189494,.T.); #244940=ORIENTED_EDGE('',*,*,#189493,.T.); #244941=ORIENTED_EDGE('',*,*,#189495,.F.); #244942=ORIENTED_EDGE('',*,*,#189496,.F.); #244943=ORIENTED_EDGE('',*,*,#189497,.T.); #244944=ORIENTED_EDGE('',*,*,#189496,.T.); #244945=ORIENTED_EDGE('',*,*,#189498,.F.); #244946=ORIENTED_EDGE('',*,*,#189499,.F.); #244947=ORIENTED_EDGE('',*,*,#189500,.T.); #244948=ORIENTED_EDGE('',*,*,#189499,.T.); #244949=ORIENTED_EDGE('',*,*,#189501,.F.); #244950=ORIENTED_EDGE('',*,*,#189502,.F.); #244951=ORIENTED_EDGE('',*,*,#189503,.T.); #244952=ORIENTED_EDGE('',*,*,#189502,.T.); #244953=ORIENTED_EDGE('',*,*,#189504,.F.); #244954=ORIENTED_EDGE('',*,*,#189505,.F.); #244955=ORIENTED_EDGE('',*,*,#189506,.T.); #244956=ORIENTED_EDGE('',*,*,#189505,.T.); #244957=ORIENTED_EDGE('',*,*,#189507,.F.); #244958=ORIENTED_EDGE('',*,*,#189508,.F.); #244959=ORIENTED_EDGE('',*,*,#189509,.T.); #244960=ORIENTED_EDGE('',*,*,#189508,.T.); #244961=ORIENTED_EDGE('',*,*,#189510,.F.); #244962=ORIENTED_EDGE('',*,*,#189511,.F.); #244963=ORIENTED_EDGE('',*,*,#189512,.T.); #244964=ORIENTED_EDGE('',*,*,#189511,.T.); #244965=ORIENTED_EDGE('',*,*,#189513,.F.); #244966=ORIENTED_EDGE('',*,*,#189514,.F.); #244967=ORIENTED_EDGE('',*,*,#189515,.T.); #244968=ORIENTED_EDGE('',*,*,#189514,.T.); #244969=ORIENTED_EDGE('',*,*,#189516,.F.); #244970=ORIENTED_EDGE('',*,*,#189517,.F.); #244971=ORIENTED_EDGE('',*,*,#189518,.T.); #244972=ORIENTED_EDGE('',*,*,#189517,.T.); #244973=ORIENTED_EDGE('',*,*,#189519,.F.); #244974=ORIENTED_EDGE('',*,*,#189407,.F.); #244975=ORIENTED_EDGE('',*,*,#189519,.T.); #244976=ORIENTED_EDGE('',*,*,#189516,.T.); #244977=ORIENTED_EDGE('',*,*,#189513,.T.); #244978=ORIENTED_EDGE('',*,*,#189510,.T.); #244979=ORIENTED_EDGE('',*,*,#189507,.T.); #244980=ORIENTED_EDGE('',*,*,#189504,.T.); #244981=ORIENTED_EDGE('',*,*,#189501,.T.); #244982=ORIENTED_EDGE('',*,*,#189498,.T.); #244983=ORIENTED_EDGE('',*,*,#189495,.T.); #244984=ORIENTED_EDGE('',*,*,#189492,.T.); #244985=ORIENTED_EDGE('',*,*,#189489,.T.); #244986=ORIENTED_EDGE('',*,*,#189486,.T.); #244987=ORIENTED_EDGE('',*,*,#189483,.T.); #244988=ORIENTED_EDGE('',*,*,#189480,.T.); #244989=ORIENTED_EDGE('',*,*,#189477,.T.); #244990=ORIENTED_EDGE('',*,*,#189474,.T.); #244991=ORIENTED_EDGE('',*,*,#189471,.T.); #244992=ORIENTED_EDGE('',*,*,#189468,.T.); #244993=ORIENTED_EDGE('',*,*,#189465,.T.); #244994=ORIENTED_EDGE('',*,*,#189462,.T.); #244995=ORIENTED_EDGE('',*,*,#189459,.T.); #244996=ORIENTED_EDGE('',*,*,#189456,.T.); #244997=ORIENTED_EDGE('',*,*,#189453,.T.); #244998=ORIENTED_EDGE('',*,*,#189450,.T.); #244999=ORIENTED_EDGE('',*,*,#189447,.T.); #245000=ORIENTED_EDGE('',*,*,#189444,.T.); #245001=ORIENTED_EDGE('',*,*,#189441,.T.); #245002=ORIENTED_EDGE('',*,*,#189438,.T.); #245003=ORIENTED_EDGE('',*,*,#189435,.T.); #245004=ORIENTED_EDGE('',*,*,#189432,.T.); #245005=ORIENTED_EDGE('',*,*,#189429,.T.); #245006=ORIENTED_EDGE('',*,*,#189426,.T.); #245007=ORIENTED_EDGE('',*,*,#189423,.T.); #245008=ORIENTED_EDGE('',*,*,#189420,.T.); #245009=ORIENTED_EDGE('',*,*,#189417,.T.); #245010=ORIENTED_EDGE('',*,*,#189414,.T.); #245011=ORIENTED_EDGE('',*,*,#189411,.T.); #245012=ORIENTED_EDGE('',*,*,#189408,.T.); #245013=ORIENTED_EDGE('',*,*,#189518,.F.); #245014=ORIENTED_EDGE('',*,*,#189406,.F.); #245015=ORIENTED_EDGE('',*,*,#189410,.F.); #245016=ORIENTED_EDGE('',*,*,#189413,.F.); #245017=ORIENTED_EDGE('',*,*,#189416,.F.); #245018=ORIENTED_EDGE('',*,*,#189419,.F.); #245019=ORIENTED_EDGE('',*,*,#189422,.F.); #245020=ORIENTED_EDGE('',*,*,#189425,.F.); #245021=ORIENTED_EDGE('',*,*,#189428,.F.); #245022=ORIENTED_EDGE('',*,*,#189431,.F.); #245023=ORIENTED_EDGE('',*,*,#189434,.F.); #245024=ORIENTED_EDGE('',*,*,#189437,.F.); #245025=ORIENTED_EDGE('',*,*,#189440,.F.); #245026=ORIENTED_EDGE('',*,*,#189443,.F.); #245027=ORIENTED_EDGE('',*,*,#189446,.F.); #245028=ORIENTED_EDGE('',*,*,#189449,.F.); #245029=ORIENTED_EDGE('',*,*,#189452,.F.); #245030=ORIENTED_EDGE('',*,*,#189455,.F.); #245031=ORIENTED_EDGE('',*,*,#189458,.F.); #245032=ORIENTED_EDGE('',*,*,#189461,.F.); #245033=ORIENTED_EDGE('',*,*,#189464,.F.); #245034=ORIENTED_EDGE('',*,*,#189467,.F.); #245035=ORIENTED_EDGE('',*,*,#189470,.F.); #245036=ORIENTED_EDGE('',*,*,#189473,.F.); #245037=ORIENTED_EDGE('',*,*,#189476,.F.); #245038=ORIENTED_EDGE('',*,*,#189479,.F.); #245039=ORIENTED_EDGE('',*,*,#189482,.F.); #245040=ORIENTED_EDGE('',*,*,#189485,.F.); #245041=ORIENTED_EDGE('',*,*,#189488,.F.); #245042=ORIENTED_EDGE('',*,*,#189491,.F.); #245043=ORIENTED_EDGE('',*,*,#189494,.F.); #245044=ORIENTED_EDGE('',*,*,#189497,.F.); #245045=ORIENTED_EDGE('',*,*,#189500,.F.); #245046=ORIENTED_EDGE('',*,*,#189503,.F.); #245047=ORIENTED_EDGE('',*,*,#189506,.F.); #245048=ORIENTED_EDGE('',*,*,#189509,.F.); #245049=ORIENTED_EDGE('',*,*,#189512,.F.); #245050=ORIENTED_EDGE('',*,*,#189515,.F.); #245051=ORIENTED_EDGE('',*,*,#189520,.T.); #245052=ORIENTED_EDGE('',*,*,#189521,.T.); #245053=ORIENTED_EDGE('',*,*,#189522,.F.); #245054=ORIENTED_EDGE('',*,*,#189523,.F.); #245055=ORIENTED_EDGE('',*,*,#189524,.T.); #245056=ORIENTED_EDGE('',*,*,#189523,.T.); #245057=ORIENTED_EDGE('',*,*,#189525,.F.); #245058=ORIENTED_EDGE('',*,*,#189526,.F.); #245059=ORIENTED_EDGE('',*,*,#189527,.T.); #245060=ORIENTED_EDGE('',*,*,#189526,.T.); #245061=ORIENTED_EDGE('',*,*,#189528,.F.); #245062=ORIENTED_EDGE('',*,*,#189529,.F.); #245063=ORIENTED_EDGE('',*,*,#189530,.T.); #245064=ORIENTED_EDGE('',*,*,#189529,.T.); #245065=ORIENTED_EDGE('',*,*,#189531,.F.); #245066=ORIENTED_EDGE('',*,*,#189532,.F.); #245067=ORIENTED_EDGE('',*,*,#189533,.T.); #245068=ORIENTED_EDGE('',*,*,#189532,.T.); #245069=ORIENTED_EDGE('',*,*,#189534,.F.); #245070=ORIENTED_EDGE('',*,*,#189535,.F.); #245071=ORIENTED_EDGE('',*,*,#189536,.T.); #245072=ORIENTED_EDGE('',*,*,#189535,.T.); #245073=ORIENTED_EDGE('',*,*,#189537,.F.); #245074=ORIENTED_EDGE('',*,*,#189538,.F.); #245075=ORIENTED_EDGE('',*,*,#189539,.T.); #245076=ORIENTED_EDGE('',*,*,#189538,.T.); #245077=ORIENTED_EDGE('',*,*,#189540,.F.); #245078=ORIENTED_EDGE('',*,*,#189541,.F.); #245079=ORIENTED_EDGE('',*,*,#189542,.T.); #245080=ORIENTED_EDGE('',*,*,#189541,.T.); #245081=ORIENTED_EDGE('',*,*,#189543,.F.); #245082=ORIENTED_EDGE('',*,*,#189544,.F.); #245083=ORIENTED_EDGE('',*,*,#189545,.T.); #245084=ORIENTED_EDGE('',*,*,#189544,.T.); #245085=ORIENTED_EDGE('',*,*,#189546,.F.); #245086=ORIENTED_EDGE('',*,*,#189547,.F.); #245087=ORIENTED_EDGE('',*,*,#189548,.T.); #245088=ORIENTED_EDGE('',*,*,#189547,.T.); #245089=ORIENTED_EDGE('',*,*,#189549,.F.); #245090=ORIENTED_EDGE('',*,*,#189550,.F.); #245091=ORIENTED_EDGE('',*,*,#189551,.T.); #245092=ORIENTED_EDGE('',*,*,#189550,.T.); #245093=ORIENTED_EDGE('',*,*,#189552,.F.); #245094=ORIENTED_EDGE('',*,*,#189553,.F.); #245095=ORIENTED_EDGE('',*,*,#189554,.T.); #245096=ORIENTED_EDGE('',*,*,#189553,.T.); #245097=ORIENTED_EDGE('',*,*,#189555,.F.); #245098=ORIENTED_EDGE('',*,*,#189556,.F.); #245099=ORIENTED_EDGE('',*,*,#189557,.T.); #245100=ORIENTED_EDGE('',*,*,#189556,.T.); #245101=ORIENTED_EDGE('',*,*,#189558,.F.); #245102=ORIENTED_EDGE('',*,*,#189559,.F.); #245103=ORIENTED_EDGE('',*,*,#189560,.T.); #245104=ORIENTED_EDGE('',*,*,#189559,.T.); #245105=ORIENTED_EDGE('',*,*,#189561,.F.); #245106=ORIENTED_EDGE('',*,*,#189562,.F.); #245107=ORIENTED_EDGE('',*,*,#189563,.T.); #245108=ORIENTED_EDGE('',*,*,#189562,.T.); #245109=ORIENTED_EDGE('',*,*,#189564,.F.); #245110=ORIENTED_EDGE('',*,*,#189565,.F.); #245111=ORIENTED_EDGE('',*,*,#189566,.T.); #245112=ORIENTED_EDGE('',*,*,#189565,.T.); #245113=ORIENTED_EDGE('',*,*,#189567,.F.); #245114=ORIENTED_EDGE('',*,*,#189568,.F.); #245115=ORIENTED_EDGE('',*,*,#189569,.T.); #245116=ORIENTED_EDGE('',*,*,#189568,.T.); #245117=ORIENTED_EDGE('',*,*,#189570,.F.); #245118=ORIENTED_EDGE('',*,*,#189571,.F.); #245119=ORIENTED_EDGE('',*,*,#189572,.T.); #245120=ORIENTED_EDGE('',*,*,#189571,.T.); #245121=ORIENTED_EDGE('',*,*,#189573,.F.); #245122=ORIENTED_EDGE('',*,*,#189574,.F.); #245123=ORIENTED_EDGE('',*,*,#189575,.T.); #245124=ORIENTED_EDGE('',*,*,#189574,.T.); #245125=ORIENTED_EDGE('',*,*,#189576,.F.); #245126=ORIENTED_EDGE('',*,*,#189577,.F.); #245127=ORIENTED_EDGE('',*,*,#189578,.T.); #245128=ORIENTED_EDGE('',*,*,#189577,.T.); #245129=ORIENTED_EDGE('',*,*,#189579,.F.); #245130=ORIENTED_EDGE('',*,*,#189521,.F.); #245131=ORIENTED_EDGE('',*,*,#189579,.T.); #245132=ORIENTED_EDGE('',*,*,#189576,.T.); #245133=ORIENTED_EDGE('',*,*,#189573,.T.); #245134=ORIENTED_EDGE('',*,*,#189570,.T.); #245135=ORIENTED_EDGE('',*,*,#189567,.T.); #245136=ORIENTED_EDGE('',*,*,#189564,.T.); #245137=ORIENTED_EDGE('',*,*,#189561,.T.); #245138=ORIENTED_EDGE('',*,*,#189558,.T.); #245139=ORIENTED_EDGE('',*,*,#189555,.T.); #245140=ORIENTED_EDGE('',*,*,#189552,.T.); #245141=ORIENTED_EDGE('',*,*,#189549,.T.); #245142=ORIENTED_EDGE('',*,*,#189546,.T.); #245143=ORIENTED_EDGE('',*,*,#189543,.T.); #245144=ORIENTED_EDGE('',*,*,#189540,.T.); #245145=ORIENTED_EDGE('',*,*,#189537,.T.); #245146=ORIENTED_EDGE('',*,*,#189534,.T.); #245147=ORIENTED_EDGE('',*,*,#189531,.T.); #245148=ORIENTED_EDGE('',*,*,#189528,.T.); #245149=ORIENTED_EDGE('',*,*,#189525,.T.); #245150=ORIENTED_EDGE('',*,*,#189522,.T.); #245151=ORIENTED_EDGE('',*,*,#189578,.F.); #245152=ORIENTED_EDGE('',*,*,#189520,.F.); #245153=ORIENTED_EDGE('',*,*,#189524,.F.); #245154=ORIENTED_EDGE('',*,*,#189527,.F.); #245155=ORIENTED_EDGE('',*,*,#189530,.F.); #245156=ORIENTED_EDGE('',*,*,#189533,.F.); #245157=ORIENTED_EDGE('',*,*,#189536,.F.); #245158=ORIENTED_EDGE('',*,*,#189539,.F.); #245159=ORIENTED_EDGE('',*,*,#189542,.F.); #245160=ORIENTED_EDGE('',*,*,#189545,.F.); #245161=ORIENTED_EDGE('',*,*,#189548,.F.); #245162=ORIENTED_EDGE('',*,*,#189551,.F.); #245163=ORIENTED_EDGE('',*,*,#189554,.F.); #245164=ORIENTED_EDGE('',*,*,#189557,.F.); #245165=ORIENTED_EDGE('',*,*,#189560,.F.); #245166=ORIENTED_EDGE('',*,*,#189563,.F.); #245167=ORIENTED_EDGE('',*,*,#189566,.F.); #245168=ORIENTED_EDGE('',*,*,#189569,.F.); #245169=ORIENTED_EDGE('',*,*,#189572,.F.); #245170=ORIENTED_EDGE('',*,*,#189575,.F.); #245171=ORIENTED_EDGE('',*,*,#189580,.F.); #245172=ORIENTED_EDGE('',*,*,#189581,.T.); #245173=ORIENTED_EDGE('',*,*,#189582,.F.); #245174=ORIENTED_EDGE('',*,*,#189581,.F.); #245175=ORIENTED_EDGE('',*,*,#189583,.T.); #245176=ORIENTED_EDGE('',*,*,#189584,.T.); #245177=ORIENTED_EDGE('',*,*,#189585,.F.); #245178=ORIENTED_EDGE('',*,*,#189586,.F.); #245179=ORIENTED_EDGE('',*,*,#189587,.T.); #245180=ORIENTED_EDGE('',*,*,#189586,.T.); #245181=ORIENTED_EDGE('',*,*,#189588,.F.); #245182=ORIENTED_EDGE('',*,*,#189589,.F.); #245183=ORIENTED_EDGE('',*,*,#189590,.T.); #245184=ORIENTED_EDGE('',*,*,#189589,.T.); #245185=ORIENTED_EDGE('',*,*,#189591,.F.); #245186=ORIENTED_EDGE('',*,*,#189592,.F.); #245187=ORIENTED_EDGE('',*,*,#189593,.T.); #245188=ORIENTED_EDGE('',*,*,#189592,.T.); #245189=ORIENTED_EDGE('',*,*,#189594,.F.); #245190=ORIENTED_EDGE('',*,*,#189595,.F.); #245191=ORIENTED_EDGE('',*,*,#189596,.T.); #245192=ORIENTED_EDGE('',*,*,#189595,.T.); #245193=ORIENTED_EDGE('',*,*,#189597,.F.); #245194=ORIENTED_EDGE('',*,*,#189598,.F.); #245195=ORIENTED_EDGE('',*,*,#189599,.T.); #245196=ORIENTED_EDGE('',*,*,#189598,.T.); #245197=ORIENTED_EDGE('',*,*,#189600,.F.); #245198=ORIENTED_EDGE('',*,*,#189601,.F.); #245199=ORIENTED_EDGE('',*,*,#189602,.T.); #245200=ORIENTED_EDGE('',*,*,#189601,.T.); #245201=ORIENTED_EDGE('',*,*,#189603,.F.); #245202=ORIENTED_EDGE('',*,*,#189604,.F.); #245203=ORIENTED_EDGE('',*,*,#189605,.T.); #245204=ORIENTED_EDGE('',*,*,#189604,.T.); #245205=ORIENTED_EDGE('',*,*,#189606,.F.); #245206=ORIENTED_EDGE('',*,*,#189607,.F.); #245207=ORIENTED_EDGE('',*,*,#189608,.T.); #245208=ORIENTED_EDGE('',*,*,#189607,.T.); #245209=ORIENTED_EDGE('',*,*,#189609,.F.); #245210=ORIENTED_EDGE('',*,*,#189610,.F.); #245211=ORIENTED_EDGE('',*,*,#189611,.T.); #245212=ORIENTED_EDGE('',*,*,#189610,.T.); #245213=ORIENTED_EDGE('',*,*,#189612,.F.); #245214=ORIENTED_EDGE('',*,*,#189613,.F.); #245215=ORIENTED_EDGE('',*,*,#189614,.T.); #245216=ORIENTED_EDGE('',*,*,#189613,.T.); #245217=ORIENTED_EDGE('',*,*,#189615,.F.); #245218=ORIENTED_EDGE('',*,*,#189616,.F.); #245219=ORIENTED_EDGE('',*,*,#189617,.T.); #245220=ORIENTED_EDGE('',*,*,#189616,.T.); #245221=ORIENTED_EDGE('',*,*,#189618,.F.); #245222=ORIENTED_EDGE('',*,*,#189619,.F.); #245223=ORIENTED_EDGE('',*,*,#189620,.T.); #245224=ORIENTED_EDGE('',*,*,#189619,.T.); #245225=ORIENTED_EDGE('',*,*,#189621,.F.); #245226=ORIENTED_EDGE('',*,*,#189622,.F.); #245227=ORIENTED_EDGE('',*,*,#189623,.T.); #245228=ORIENTED_EDGE('',*,*,#189622,.T.); #245229=ORIENTED_EDGE('',*,*,#189624,.F.); #245230=ORIENTED_EDGE('',*,*,#189625,.F.); #245231=ORIENTED_EDGE('',*,*,#189626,.T.); #245232=ORIENTED_EDGE('',*,*,#189625,.T.); #245233=ORIENTED_EDGE('',*,*,#189627,.F.); #245234=ORIENTED_EDGE('',*,*,#189628,.F.); #245235=ORIENTED_EDGE('',*,*,#189629,.T.); #245236=ORIENTED_EDGE('',*,*,#189628,.T.); #245237=ORIENTED_EDGE('',*,*,#189630,.F.); #245238=ORIENTED_EDGE('',*,*,#189631,.F.); #245239=ORIENTED_EDGE('',*,*,#189632,.T.); #245240=ORIENTED_EDGE('',*,*,#189631,.T.); #245241=ORIENTED_EDGE('',*,*,#189633,.F.); #245242=ORIENTED_EDGE('',*,*,#189634,.F.); #245243=ORIENTED_EDGE('',*,*,#189635,.T.); #245244=ORIENTED_EDGE('',*,*,#189634,.T.); #245245=ORIENTED_EDGE('',*,*,#189636,.F.); #245246=ORIENTED_EDGE('',*,*,#189637,.F.); #245247=ORIENTED_EDGE('',*,*,#189638,.T.); #245248=ORIENTED_EDGE('',*,*,#189637,.T.); #245249=ORIENTED_EDGE('',*,*,#189639,.F.); #245250=ORIENTED_EDGE('',*,*,#189640,.F.); #245251=ORIENTED_EDGE('',*,*,#189641,.T.); #245252=ORIENTED_EDGE('',*,*,#189640,.T.); #245253=ORIENTED_EDGE('',*,*,#189642,.F.); #245254=ORIENTED_EDGE('',*,*,#189643,.F.); #245255=ORIENTED_EDGE('',*,*,#189644,.T.); #245256=ORIENTED_EDGE('',*,*,#189643,.T.); #245257=ORIENTED_EDGE('',*,*,#189645,.F.); #245258=ORIENTED_EDGE('',*,*,#189584,.F.); #245259=ORIENTED_EDGE('',*,*,#189645,.T.); #245260=ORIENTED_EDGE('',*,*,#189642,.T.); #245261=ORIENTED_EDGE('',*,*,#189639,.T.); #245262=ORIENTED_EDGE('',*,*,#189636,.T.); #245263=ORIENTED_EDGE('',*,*,#189633,.T.); #245264=ORIENTED_EDGE('',*,*,#189630,.T.); #245265=ORIENTED_EDGE('',*,*,#189627,.T.); #245266=ORIENTED_EDGE('',*,*,#189624,.T.); #245267=ORIENTED_EDGE('',*,*,#189621,.T.); #245268=ORIENTED_EDGE('',*,*,#189618,.T.); #245269=ORIENTED_EDGE('',*,*,#189615,.T.); #245270=ORIENTED_EDGE('',*,*,#189612,.T.); #245271=ORIENTED_EDGE('',*,*,#189609,.T.); #245272=ORIENTED_EDGE('',*,*,#189606,.T.); #245273=ORIENTED_EDGE('',*,*,#189603,.T.); #245274=ORIENTED_EDGE('',*,*,#189600,.T.); #245275=ORIENTED_EDGE('',*,*,#189597,.T.); #245276=ORIENTED_EDGE('',*,*,#189594,.T.); #245277=ORIENTED_EDGE('',*,*,#189591,.T.); #245278=ORIENTED_EDGE('',*,*,#189588,.T.); #245279=ORIENTED_EDGE('',*,*,#189585,.T.); #245280=ORIENTED_EDGE('',*,*,#189582,.T.); #245281=ORIENTED_EDGE('',*,*,#189644,.F.); #245282=ORIENTED_EDGE('',*,*,#189583,.F.); #245283=ORIENTED_EDGE('',*,*,#189587,.F.); #245284=ORIENTED_EDGE('',*,*,#189590,.F.); #245285=ORIENTED_EDGE('',*,*,#189593,.F.); #245286=ORIENTED_EDGE('',*,*,#189596,.F.); #245287=ORIENTED_EDGE('',*,*,#189599,.F.); #245288=ORIENTED_EDGE('',*,*,#189602,.F.); #245289=ORIENTED_EDGE('',*,*,#189605,.F.); #245290=ORIENTED_EDGE('',*,*,#189608,.F.); #245291=ORIENTED_EDGE('',*,*,#189611,.F.); #245292=ORIENTED_EDGE('',*,*,#189614,.F.); #245293=ORIENTED_EDGE('',*,*,#189617,.F.); #245294=ORIENTED_EDGE('',*,*,#189620,.F.); #245295=ORIENTED_EDGE('',*,*,#189623,.F.); #245296=ORIENTED_EDGE('',*,*,#189626,.F.); #245297=ORIENTED_EDGE('',*,*,#189629,.F.); #245298=ORIENTED_EDGE('',*,*,#189632,.F.); #245299=ORIENTED_EDGE('',*,*,#189635,.F.); #245300=ORIENTED_EDGE('',*,*,#189638,.F.); #245301=ORIENTED_EDGE('',*,*,#189641,.F.); #245302=ORIENTED_EDGE('',*,*,#189580,.T.); #245303=ORIENTED_EDGE('',*,*,#189646,.F.); #245304=ORIENTED_EDGE('',*,*,#189647,.T.); #245305=ORIENTED_EDGE('',*,*,#189648,.F.); #245306=ORIENTED_EDGE('',*,*,#189647,.F.); #245307=ORIENTED_EDGE('',*,*,#189649,.T.); #245308=ORIENTED_EDGE('',*,*,#189650,.T.); #245309=ORIENTED_EDGE('',*,*,#189651,.F.); #245310=ORIENTED_EDGE('',*,*,#189652,.F.); #245311=ORIENTED_EDGE('',*,*,#189653,.T.); #245312=ORIENTED_EDGE('',*,*,#189652,.T.); #245313=ORIENTED_EDGE('',*,*,#189654,.F.); #245314=ORIENTED_EDGE('',*,*,#189655,.F.); #245315=ORIENTED_EDGE('',*,*,#189656,.T.); #245316=ORIENTED_EDGE('',*,*,#189655,.T.); #245317=ORIENTED_EDGE('',*,*,#189657,.F.); #245318=ORIENTED_EDGE('',*,*,#189658,.F.); #245319=ORIENTED_EDGE('',*,*,#189659,.T.); #245320=ORIENTED_EDGE('',*,*,#189658,.T.); #245321=ORIENTED_EDGE('',*,*,#189660,.F.); #245322=ORIENTED_EDGE('',*,*,#189661,.F.); #245323=ORIENTED_EDGE('',*,*,#189662,.T.); #245324=ORIENTED_EDGE('',*,*,#189661,.T.); #245325=ORIENTED_EDGE('',*,*,#189663,.F.); #245326=ORIENTED_EDGE('',*,*,#189664,.F.); #245327=ORIENTED_EDGE('',*,*,#189665,.T.); #245328=ORIENTED_EDGE('',*,*,#189664,.T.); #245329=ORIENTED_EDGE('',*,*,#189666,.F.); #245330=ORIENTED_EDGE('',*,*,#189667,.F.); #245331=ORIENTED_EDGE('',*,*,#189668,.T.); #245332=ORIENTED_EDGE('',*,*,#189667,.T.); #245333=ORIENTED_EDGE('',*,*,#189669,.F.); #245334=ORIENTED_EDGE('',*,*,#189670,.F.); #245335=ORIENTED_EDGE('',*,*,#189671,.T.); #245336=ORIENTED_EDGE('',*,*,#189670,.T.); #245337=ORIENTED_EDGE('',*,*,#189672,.F.); #245338=ORIENTED_EDGE('',*,*,#189673,.F.); #245339=ORIENTED_EDGE('',*,*,#189674,.T.); #245340=ORIENTED_EDGE('',*,*,#189673,.T.); #245341=ORIENTED_EDGE('',*,*,#189675,.F.); #245342=ORIENTED_EDGE('',*,*,#189676,.F.); #245343=ORIENTED_EDGE('',*,*,#189677,.T.); #245344=ORIENTED_EDGE('',*,*,#189676,.T.); #245345=ORIENTED_EDGE('',*,*,#189678,.F.); #245346=ORIENTED_EDGE('',*,*,#189679,.F.); #245347=ORIENTED_EDGE('',*,*,#189680,.T.); #245348=ORIENTED_EDGE('',*,*,#189679,.T.); #245349=ORIENTED_EDGE('',*,*,#189681,.F.); #245350=ORIENTED_EDGE('',*,*,#189682,.F.); #245351=ORIENTED_EDGE('',*,*,#189683,.T.); #245352=ORIENTED_EDGE('',*,*,#189682,.T.); #245353=ORIENTED_EDGE('',*,*,#189684,.F.); #245354=ORIENTED_EDGE('',*,*,#189685,.F.); #245355=ORIENTED_EDGE('',*,*,#189686,.T.); #245356=ORIENTED_EDGE('',*,*,#189685,.T.); #245357=ORIENTED_EDGE('',*,*,#189687,.F.); #245358=ORIENTED_EDGE('',*,*,#189688,.F.); #245359=ORIENTED_EDGE('',*,*,#189689,.T.); #245360=ORIENTED_EDGE('',*,*,#189688,.T.); #245361=ORIENTED_EDGE('',*,*,#189690,.F.); #245362=ORIENTED_EDGE('',*,*,#189691,.F.); #245363=ORIENTED_EDGE('',*,*,#189692,.T.); #245364=ORIENTED_EDGE('',*,*,#189691,.T.); #245365=ORIENTED_EDGE('',*,*,#189693,.F.); #245366=ORIENTED_EDGE('',*,*,#189694,.F.); #245367=ORIENTED_EDGE('',*,*,#189695,.T.); #245368=ORIENTED_EDGE('',*,*,#189694,.T.); #245369=ORIENTED_EDGE('',*,*,#189696,.F.); #245370=ORIENTED_EDGE('',*,*,#189650,.F.); #245371=ORIENTED_EDGE('',*,*,#189696,.T.); #245372=ORIENTED_EDGE('',*,*,#189693,.T.); #245373=ORIENTED_EDGE('',*,*,#189690,.T.); #245374=ORIENTED_EDGE('',*,*,#189687,.T.); #245375=ORIENTED_EDGE('',*,*,#189684,.T.); #245376=ORIENTED_EDGE('',*,*,#189681,.T.); #245377=ORIENTED_EDGE('',*,*,#189678,.T.); #245378=ORIENTED_EDGE('',*,*,#189675,.T.); #245379=ORIENTED_EDGE('',*,*,#189672,.T.); #245380=ORIENTED_EDGE('',*,*,#189669,.T.); #245381=ORIENTED_EDGE('',*,*,#189666,.T.); #245382=ORIENTED_EDGE('',*,*,#189663,.T.); #245383=ORIENTED_EDGE('',*,*,#189660,.T.); #245384=ORIENTED_EDGE('',*,*,#189657,.T.); #245385=ORIENTED_EDGE('',*,*,#189654,.T.); #245386=ORIENTED_EDGE('',*,*,#189651,.T.); #245387=ORIENTED_EDGE('',*,*,#189648,.T.); #245388=ORIENTED_EDGE('',*,*,#189695,.F.); #245389=ORIENTED_EDGE('',*,*,#189649,.F.); #245390=ORIENTED_EDGE('',*,*,#189653,.F.); #245391=ORIENTED_EDGE('',*,*,#189656,.F.); #245392=ORIENTED_EDGE('',*,*,#189659,.F.); #245393=ORIENTED_EDGE('',*,*,#189662,.F.); #245394=ORIENTED_EDGE('',*,*,#189665,.F.); #245395=ORIENTED_EDGE('',*,*,#189668,.F.); #245396=ORIENTED_EDGE('',*,*,#189671,.F.); #245397=ORIENTED_EDGE('',*,*,#189674,.F.); #245398=ORIENTED_EDGE('',*,*,#189677,.F.); #245399=ORIENTED_EDGE('',*,*,#189680,.F.); #245400=ORIENTED_EDGE('',*,*,#189683,.F.); #245401=ORIENTED_EDGE('',*,*,#189686,.F.); #245402=ORIENTED_EDGE('',*,*,#189689,.F.); #245403=ORIENTED_EDGE('',*,*,#189692,.F.); #245404=ORIENTED_EDGE('',*,*,#189646,.T.); #245405=ORIENTED_EDGE('',*,*,#189697,.F.); #245406=ORIENTED_EDGE('',*,*,#189698,.T.); #245407=ORIENTED_EDGE('',*,*,#189699,.F.); #245408=ORIENTED_EDGE('',*,*,#189698,.F.); #245409=ORIENTED_EDGE('',*,*,#189700,.T.); #245410=ORIENTED_EDGE('',*,*,#189701,.T.); #245411=ORIENTED_EDGE('',*,*,#189702,.F.); #245412=ORIENTED_EDGE('',*,*,#189703,.F.); #245413=ORIENTED_EDGE('',*,*,#189704,.T.); #245414=ORIENTED_EDGE('',*,*,#189703,.T.); #245415=ORIENTED_EDGE('',*,*,#189705,.F.); #245416=ORIENTED_EDGE('',*,*,#189706,.F.); #245417=ORIENTED_EDGE('',*,*,#189707,.T.); #245418=ORIENTED_EDGE('',*,*,#189706,.T.); #245419=ORIENTED_EDGE('',*,*,#189708,.F.); #245420=ORIENTED_EDGE('',*,*,#189709,.F.); #245421=ORIENTED_EDGE('',*,*,#189710,.T.); #245422=ORIENTED_EDGE('',*,*,#189709,.T.); #245423=ORIENTED_EDGE('',*,*,#189711,.F.); #245424=ORIENTED_EDGE('',*,*,#189712,.F.); #245425=ORIENTED_EDGE('',*,*,#189713,.T.); #245426=ORIENTED_EDGE('',*,*,#189712,.T.); #245427=ORIENTED_EDGE('',*,*,#189714,.F.); #245428=ORIENTED_EDGE('',*,*,#189715,.F.); #245429=ORIENTED_EDGE('',*,*,#189716,.T.); #245430=ORIENTED_EDGE('',*,*,#189715,.T.); #245431=ORIENTED_EDGE('',*,*,#189717,.F.); #245432=ORIENTED_EDGE('',*,*,#189718,.F.); #245433=ORIENTED_EDGE('',*,*,#189719,.T.); #245434=ORIENTED_EDGE('',*,*,#189718,.T.); #245435=ORIENTED_EDGE('',*,*,#189720,.F.); #245436=ORIENTED_EDGE('',*,*,#189721,.F.); #245437=ORIENTED_EDGE('',*,*,#189722,.T.); #245438=ORIENTED_EDGE('',*,*,#189721,.T.); #245439=ORIENTED_EDGE('',*,*,#189723,.F.); #245440=ORIENTED_EDGE('',*,*,#189724,.F.); #245441=ORIENTED_EDGE('',*,*,#189725,.T.); #245442=ORIENTED_EDGE('',*,*,#189724,.T.); #245443=ORIENTED_EDGE('',*,*,#189726,.F.); #245444=ORIENTED_EDGE('',*,*,#189727,.F.); #245445=ORIENTED_EDGE('',*,*,#189728,.T.); #245446=ORIENTED_EDGE('',*,*,#189727,.T.); #245447=ORIENTED_EDGE('',*,*,#189729,.F.); #245448=ORIENTED_EDGE('',*,*,#189730,.F.); #245449=ORIENTED_EDGE('',*,*,#189731,.T.); #245450=ORIENTED_EDGE('',*,*,#189730,.T.); #245451=ORIENTED_EDGE('',*,*,#189732,.F.); #245452=ORIENTED_EDGE('',*,*,#189733,.F.); #245453=ORIENTED_EDGE('',*,*,#189734,.T.); #245454=ORIENTED_EDGE('',*,*,#189733,.T.); #245455=ORIENTED_EDGE('',*,*,#189735,.F.); #245456=ORIENTED_EDGE('',*,*,#189736,.F.); #245457=ORIENTED_EDGE('',*,*,#189737,.T.); #245458=ORIENTED_EDGE('',*,*,#189736,.T.); #245459=ORIENTED_EDGE('',*,*,#189738,.F.); #245460=ORIENTED_EDGE('',*,*,#189701,.F.); #245461=ORIENTED_EDGE('',*,*,#189738,.T.); #245462=ORIENTED_EDGE('',*,*,#189735,.T.); #245463=ORIENTED_EDGE('',*,*,#189732,.T.); #245464=ORIENTED_EDGE('',*,*,#189729,.T.); #245465=ORIENTED_EDGE('',*,*,#189726,.T.); #245466=ORIENTED_EDGE('',*,*,#189723,.T.); #245467=ORIENTED_EDGE('',*,*,#189720,.T.); #245468=ORIENTED_EDGE('',*,*,#189717,.T.); #245469=ORIENTED_EDGE('',*,*,#189714,.T.); #245470=ORIENTED_EDGE('',*,*,#189711,.T.); #245471=ORIENTED_EDGE('',*,*,#189708,.T.); #245472=ORIENTED_EDGE('',*,*,#189705,.T.); #245473=ORIENTED_EDGE('',*,*,#189702,.T.); #245474=ORIENTED_EDGE('',*,*,#189699,.T.); #245475=ORIENTED_EDGE('',*,*,#189737,.F.); #245476=ORIENTED_EDGE('',*,*,#189700,.F.); #245477=ORIENTED_EDGE('',*,*,#189704,.F.); #245478=ORIENTED_EDGE('',*,*,#189707,.F.); #245479=ORIENTED_EDGE('',*,*,#189710,.F.); #245480=ORIENTED_EDGE('',*,*,#189713,.F.); #245481=ORIENTED_EDGE('',*,*,#189716,.F.); #245482=ORIENTED_EDGE('',*,*,#189719,.F.); #245483=ORIENTED_EDGE('',*,*,#189722,.F.); #245484=ORIENTED_EDGE('',*,*,#189725,.F.); #245485=ORIENTED_EDGE('',*,*,#189728,.F.); #245486=ORIENTED_EDGE('',*,*,#189731,.F.); #245487=ORIENTED_EDGE('',*,*,#189734,.F.); #245488=ORIENTED_EDGE('',*,*,#189697,.T.); #245489=ORIENTED_EDGE('',*,*,#189739,.F.); #245490=ORIENTED_EDGE('',*,*,#189740,.T.); #245491=ORIENTED_EDGE('',*,*,#189741,.F.); #245492=ORIENTED_EDGE('',*,*,#189740,.F.); #245493=ORIENTED_EDGE('',*,*,#189742,.T.); #245494=ORIENTED_EDGE('',*,*,#189743,.T.); #245495=ORIENTED_EDGE('',*,*,#189744,.F.); #245496=ORIENTED_EDGE('',*,*,#189745,.F.); #245497=ORIENTED_EDGE('',*,*,#189746,.T.); #245498=ORIENTED_EDGE('',*,*,#189745,.T.); #245499=ORIENTED_EDGE('',*,*,#189747,.F.); #245500=ORIENTED_EDGE('',*,*,#189748,.F.); #245501=ORIENTED_EDGE('',*,*,#189749,.T.); #245502=ORIENTED_EDGE('',*,*,#189748,.T.); #245503=ORIENTED_EDGE('',*,*,#189750,.F.); #245504=ORIENTED_EDGE('',*,*,#189751,.F.); #245505=ORIENTED_EDGE('',*,*,#189752,.T.); #245506=ORIENTED_EDGE('',*,*,#189751,.T.); #245507=ORIENTED_EDGE('',*,*,#189753,.F.); #245508=ORIENTED_EDGE('',*,*,#189754,.F.); #245509=ORIENTED_EDGE('',*,*,#189755,.T.); #245510=ORIENTED_EDGE('',*,*,#189754,.T.); #245511=ORIENTED_EDGE('',*,*,#189756,.F.); #245512=ORIENTED_EDGE('',*,*,#189757,.F.); #245513=ORIENTED_EDGE('',*,*,#189758,.T.); #245514=ORIENTED_EDGE('',*,*,#189757,.T.); #245515=ORIENTED_EDGE('',*,*,#189759,.F.); #245516=ORIENTED_EDGE('',*,*,#189760,.F.); #245517=ORIENTED_EDGE('',*,*,#189761,.T.); #245518=ORIENTED_EDGE('',*,*,#189760,.T.); #245519=ORIENTED_EDGE('',*,*,#189762,.F.); #245520=ORIENTED_EDGE('',*,*,#189763,.F.); #245521=ORIENTED_EDGE('',*,*,#189764,.T.); #245522=ORIENTED_EDGE('',*,*,#189763,.T.); #245523=ORIENTED_EDGE('',*,*,#189765,.F.); #245524=ORIENTED_EDGE('',*,*,#189766,.F.); #245525=ORIENTED_EDGE('',*,*,#189767,.T.); #245526=ORIENTED_EDGE('',*,*,#189766,.T.); #245527=ORIENTED_EDGE('',*,*,#189768,.F.); #245528=ORIENTED_EDGE('',*,*,#189769,.F.); #245529=ORIENTED_EDGE('',*,*,#189770,.T.); #245530=ORIENTED_EDGE('',*,*,#189769,.T.); #245531=ORIENTED_EDGE('',*,*,#189771,.F.); #245532=ORIENTED_EDGE('',*,*,#189772,.F.); #245533=ORIENTED_EDGE('',*,*,#189773,.T.); #245534=ORIENTED_EDGE('',*,*,#189772,.T.); #245535=ORIENTED_EDGE('',*,*,#189774,.F.); #245536=ORIENTED_EDGE('',*,*,#189775,.F.); #245537=ORIENTED_EDGE('',*,*,#189776,.T.); #245538=ORIENTED_EDGE('',*,*,#189775,.T.); #245539=ORIENTED_EDGE('',*,*,#189777,.F.); #245540=ORIENTED_EDGE('',*,*,#189778,.F.); #245541=ORIENTED_EDGE('',*,*,#189779,.T.); #245542=ORIENTED_EDGE('',*,*,#189778,.T.); #245543=ORIENTED_EDGE('',*,*,#189780,.F.); #245544=ORIENTED_EDGE('',*,*,#189781,.F.); #245545=ORIENTED_EDGE('',*,*,#189782,.T.); #245546=ORIENTED_EDGE('',*,*,#189781,.T.); #245547=ORIENTED_EDGE('',*,*,#189783,.F.); #245548=ORIENTED_EDGE('',*,*,#189784,.F.); #245549=ORIENTED_EDGE('',*,*,#189785,.T.); #245550=ORIENTED_EDGE('',*,*,#189784,.T.); #245551=ORIENTED_EDGE('',*,*,#189786,.F.); #245552=ORIENTED_EDGE('',*,*,#189787,.F.); #245553=ORIENTED_EDGE('',*,*,#189788,.T.); #245554=ORIENTED_EDGE('',*,*,#189787,.T.); #245555=ORIENTED_EDGE('',*,*,#189789,.F.); #245556=ORIENTED_EDGE('',*,*,#189790,.F.); #245557=ORIENTED_EDGE('',*,*,#189791,.T.); #245558=ORIENTED_EDGE('',*,*,#189790,.T.); #245559=ORIENTED_EDGE('',*,*,#189792,.F.); #245560=ORIENTED_EDGE('',*,*,#189793,.F.); #245561=ORIENTED_EDGE('',*,*,#189794,.T.); #245562=ORIENTED_EDGE('',*,*,#189793,.T.); #245563=ORIENTED_EDGE('',*,*,#189795,.F.); #245564=ORIENTED_EDGE('',*,*,#189743,.F.); #245565=ORIENTED_EDGE('',*,*,#189795,.T.); #245566=ORIENTED_EDGE('',*,*,#189792,.T.); #245567=ORIENTED_EDGE('',*,*,#189789,.T.); #245568=ORIENTED_EDGE('',*,*,#189786,.T.); #245569=ORIENTED_EDGE('',*,*,#189783,.T.); #245570=ORIENTED_EDGE('',*,*,#189780,.T.); #245571=ORIENTED_EDGE('',*,*,#189777,.T.); #245572=ORIENTED_EDGE('',*,*,#189774,.T.); #245573=ORIENTED_EDGE('',*,*,#189771,.T.); #245574=ORIENTED_EDGE('',*,*,#189768,.T.); #245575=ORIENTED_EDGE('',*,*,#189765,.T.); #245576=ORIENTED_EDGE('',*,*,#189762,.T.); #245577=ORIENTED_EDGE('',*,*,#189759,.T.); #245578=ORIENTED_EDGE('',*,*,#189756,.T.); #245579=ORIENTED_EDGE('',*,*,#189753,.T.); #245580=ORIENTED_EDGE('',*,*,#189750,.T.); #245581=ORIENTED_EDGE('',*,*,#189747,.T.); #245582=ORIENTED_EDGE('',*,*,#189744,.T.); #245583=ORIENTED_EDGE('',*,*,#189741,.T.); #245584=ORIENTED_EDGE('',*,*,#189794,.F.); #245585=ORIENTED_EDGE('',*,*,#189742,.F.); #245586=ORIENTED_EDGE('',*,*,#189746,.F.); #245587=ORIENTED_EDGE('',*,*,#189749,.F.); #245588=ORIENTED_EDGE('',*,*,#189752,.F.); #245589=ORIENTED_EDGE('',*,*,#189755,.F.); #245590=ORIENTED_EDGE('',*,*,#189758,.F.); #245591=ORIENTED_EDGE('',*,*,#189761,.F.); #245592=ORIENTED_EDGE('',*,*,#189764,.F.); #245593=ORIENTED_EDGE('',*,*,#189767,.F.); #245594=ORIENTED_EDGE('',*,*,#189770,.F.); #245595=ORIENTED_EDGE('',*,*,#189773,.F.); #245596=ORIENTED_EDGE('',*,*,#189776,.F.); #245597=ORIENTED_EDGE('',*,*,#189779,.F.); #245598=ORIENTED_EDGE('',*,*,#189782,.F.); #245599=ORIENTED_EDGE('',*,*,#189785,.F.); #245600=ORIENTED_EDGE('',*,*,#189788,.F.); #245601=ORIENTED_EDGE('',*,*,#189791,.F.); #245602=ORIENTED_EDGE('',*,*,#189739,.T.); #245603=ORIENTED_EDGE('',*,*,#189796,.F.); #245604=ORIENTED_EDGE('',*,*,#189797,.T.); #245605=ORIENTED_EDGE('',*,*,#189798,.F.); #245606=ORIENTED_EDGE('',*,*,#189797,.F.); #245607=ORIENTED_EDGE('',*,*,#189799,.T.); #245608=ORIENTED_EDGE('',*,*,#189800,.T.); #245609=ORIENTED_EDGE('',*,*,#189801,.F.); #245610=ORIENTED_EDGE('',*,*,#189802,.F.); #245611=ORIENTED_EDGE('',*,*,#189803,.T.); #245612=ORIENTED_EDGE('',*,*,#189802,.T.); #245613=ORIENTED_EDGE('',*,*,#189804,.F.); #245614=ORIENTED_EDGE('',*,*,#189805,.F.); #245615=ORIENTED_EDGE('',*,*,#189806,.T.); #245616=ORIENTED_EDGE('',*,*,#189805,.T.); #245617=ORIENTED_EDGE('',*,*,#189807,.F.); #245618=ORIENTED_EDGE('',*,*,#189808,.F.); #245619=ORIENTED_EDGE('',*,*,#189809,.T.); #245620=ORIENTED_EDGE('',*,*,#189808,.T.); #245621=ORIENTED_EDGE('',*,*,#189810,.F.); #245622=ORIENTED_EDGE('',*,*,#189811,.F.); #245623=ORIENTED_EDGE('',*,*,#189812,.T.); #245624=ORIENTED_EDGE('',*,*,#189811,.T.); #245625=ORIENTED_EDGE('',*,*,#189813,.F.); #245626=ORIENTED_EDGE('',*,*,#189814,.F.); #245627=ORIENTED_EDGE('',*,*,#189815,.T.); #245628=ORIENTED_EDGE('',*,*,#189814,.T.); #245629=ORIENTED_EDGE('',*,*,#189816,.F.); #245630=ORIENTED_EDGE('',*,*,#189817,.F.); #245631=ORIENTED_EDGE('',*,*,#189818,.T.); #245632=ORIENTED_EDGE('',*,*,#189817,.T.); #245633=ORIENTED_EDGE('',*,*,#189819,.F.); #245634=ORIENTED_EDGE('',*,*,#189820,.F.); #245635=ORIENTED_EDGE('',*,*,#189821,.T.); #245636=ORIENTED_EDGE('',*,*,#189820,.T.); #245637=ORIENTED_EDGE('',*,*,#189822,.F.); #245638=ORIENTED_EDGE('',*,*,#189823,.F.); #245639=ORIENTED_EDGE('',*,*,#189824,.T.); #245640=ORIENTED_EDGE('',*,*,#189823,.T.); #245641=ORIENTED_EDGE('',*,*,#189825,.F.); #245642=ORIENTED_EDGE('',*,*,#189826,.F.); #245643=ORIENTED_EDGE('',*,*,#189827,.T.); #245644=ORIENTED_EDGE('',*,*,#189826,.T.); #245645=ORIENTED_EDGE('',*,*,#189828,.F.); #245646=ORIENTED_EDGE('',*,*,#189829,.F.); #245647=ORIENTED_EDGE('',*,*,#189830,.T.); #245648=ORIENTED_EDGE('',*,*,#189829,.T.); #245649=ORIENTED_EDGE('',*,*,#189831,.F.); #245650=ORIENTED_EDGE('',*,*,#189832,.F.); #245651=ORIENTED_EDGE('',*,*,#189833,.T.); #245652=ORIENTED_EDGE('',*,*,#189832,.T.); #245653=ORIENTED_EDGE('',*,*,#189834,.F.); #245654=ORIENTED_EDGE('',*,*,#189835,.F.); #245655=ORIENTED_EDGE('',*,*,#189836,.T.); #245656=ORIENTED_EDGE('',*,*,#189835,.T.); #245657=ORIENTED_EDGE('',*,*,#189837,.F.); #245658=ORIENTED_EDGE('',*,*,#189800,.F.); #245659=ORIENTED_EDGE('',*,*,#189837,.T.); #245660=ORIENTED_EDGE('',*,*,#189834,.T.); #245661=ORIENTED_EDGE('',*,*,#189831,.T.); #245662=ORIENTED_EDGE('',*,*,#189828,.T.); #245663=ORIENTED_EDGE('',*,*,#189825,.T.); #245664=ORIENTED_EDGE('',*,*,#189822,.T.); #245665=ORIENTED_EDGE('',*,*,#189819,.T.); #245666=ORIENTED_EDGE('',*,*,#189816,.T.); #245667=ORIENTED_EDGE('',*,*,#189813,.T.); #245668=ORIENTED_EDGE('',*,*,#189810,.T.); #245669=ORIENTED_EDGE('',*,*,#189807,.T.); #245670=ORIENTED_EDGE('',*,*,#189804,.T.); #245671=ORIENTED_EDGE('',*,*,#189801,.T.); #245672=ORIENTED_EDGE('',*,*,#189798,.T.); #245673=ORIENTED_EDGE('',*,*,#189836,.F.); #245674=ORIENTED_EDGE('',*,*,#189799,.F.); #245675=ORIENTED_EDGE('',*,*,#189803,.F.); #245676=ORIENTED_EDGE('',*,*,#189806,.F.); #245677=ORIENTED_EDGE('',*,*,#189809,.F.); #245678=ORIENTED_EDGE('',*,*,#189812,.F.); #245679=ORIENTED_EDGE('',*,*,#189815,.F.); #245680=ORIENTED_EDGE('',*,*,#189818,.F.); #245681=ORIENTED_EDGE('',*,*,#189821,.F.); #245682=ORIENTED_EDGE('',*,*,#189824,.F.); #245683=ORIENTED_EDGE('',*,*,#189827,.F.); #245684=ORIENTED_EDGE('',*,*,#189830,.F.); #245685=ORIENTED_EDGE('',*,*,#189833,.F.); #245686=ORIENTED_EDGE('',*,*,#189796,.T.); #245687=ORIENTED_EDGE('',*,*,#189838,.F.); #245688=ORIENTED_EDGE('',*,*,#189839,.T.); #245689=ORIENTED_EDGE('',*,*,#189840,.F.); #245690=ORIENTED_EDGE('',*,*,#189839,.F.); #245691=ORIENTED_EDGE('',*,*,#189841,.T.); #245692=ORIENTED_EDGE('',*,*,#189842,.T.); #245693=ORIENTED_EDGE('',*,*,#189843,.F.); #245694=ORIENTED_EDGE('',*,*,#189844,.F.); #245695=ORIENTED_EDGE('',*,*,#189845,.T.); #245696=ORIENTED_EDGE('',*,*,#189844,.T.); #245697=ORIENTED_EDGE('',*,*,#189846,.F.); #245698=ORIENTED_EDGE('',*,*,#189847,.F.); #245699=ORIENTED_EDGE('',*,*,#189848,.T.); #245700=ORIENTED_EDGE('',*,*,#189847,.T.); #245701=ORIENTED_EDGE('',*,*,#189849,.F.); #245702=ORIENTED_EDGE('',*,*,#189850,.F.); #245703=ORIENTED_EDGE('',*,*,#189851,.T.); #245704=ORIENTED_EDGE('',*,*,#189850,.T.); #245705=ORIENTED_EDGE('',*,*,#189852,.F.); #245706=ORIENTED_EDGE('',*,*,#189853,.F.); #245707=ORIENTED_EDGE('',*,*,#189854,.T.); #245708=ORIENTED_EDGE('',*,*,#189853,.T.); #245709=ORIENTED_EDGE('',*,*,#189855,.F.); #245710=ORIENTED_EDGE('',*,*,#189856,.F.); #245711=ORIENTED_EDGE('',*,*,#189857,.T.); #245712=ORIENTED_EDGE('',*,*,#189856,.T.); #245713=ORIENTED_EDGE('',*,*,#189858,.F.); #245714=ORIENTED_EDGE('',*,*,#189859,.F.); #245715=ORIENTED_EDGE('',*,*,#189860,.T.); #245716=ORIENTED_EDGE('',*,*,#189859,.T.); #245717=ORIENTED_EDGE('',*,*,#189861,.F.); #245718=ORIENTED_EDGE('',*,*,#189862,.F.); #245719=ORIENTED_EDGE('',*,*,#189863,.T.); #245720=ORIENTED_EDGE('',*,*,#189862,.T.); #245721=ORIENTED_EDGE('',*,*,#189864,.F.); #245722=ORIENTED_EDGE('',*,*,#189865,.F.); #245723=ORIENTED_EDGE('',*,*,#189866,.T.); #245724=ORIENTED_EDGE('',*,*,#189865,.T.); #245725=ORIENTED_EDGE('',*,*,#189867,.F.); #245726=ORIENTED_EDGE('',*,*,#189868,.F.); #245727=ORIENTED_EDGE('',*,*,#189869,.T.); #245728=ORIENTED_EDGE('',*,*,#189868,.T.); #245729=ORIENTED_EDGE('',*,*,#189870,.F.); #245730=ORIENTED_EDGE('',*,*,#189871,.F.); #245731=ORIENTED_EDGE('',*,*,#189872,.T.); #245732=ORIENTED_EDGE('',*,*,#189871,.T.); #245733=ORIENTED_EDGE('',*,*,#189873,.F.); #245734=ORIENTED_EDGE('',*,*,#189874,.F.); #245735=ORIENTED_EDGE('',*,*,#189875,.T.); #245736=ORIENTED_EDGE('',*,*,#189874,.T.); #245737=ORIENTED_EDGE('',*,*,#189876,.F.); #245738=ORIENTED_EDGE('',*,*,#189877,.F.); #245739=ORIENTED_EDGE('',*,*,#189878,.T.); #245740=ORIENTED_EDGE('',*,*,#189877,.T.); #245741=ORIENTED_EDGE('',*,*,#189879,.F.); #245742=ORIENTED_EDGE('',*,*,#189880,.F.); #245743=ORIENTED_EDGE('',*,*,#189881,.T.); #245744=ORIENTED_EDGE('',*,*,#189880,.T.); #245745=ORIENTED_EDGE('',*,*,#189882,.F.); #245746=ORIENTED_EDGE('',*,*,#189883,.F.); #245747=ORIENTED_EDGE('',*,*,#189884,.T.); #245748=ORIENTED_EDGE('',*,*,#189883,.T.); #245749=ORIENTED_EDGE('',*,*,#189885,.F.); #245750=ORIENTED_EDGE('',*,*,#189886,.F.); #245751=ORIENTED_EDGE('',*,*,#189887,.T.); #245752=ORIENTED_EDGE('',*,*,#189886,.T.); #245753=ORIENTED_EDGE('',*,*,#189888,.F.); #245754=ORIENTED_EDGE('',*,*,#189889,.F.); #245755=ORIENTED_EDGE('',*,*,#189890,.T.); #245756=ORIENTED_EDGE('',*,*,#189889,.T.); #245757=ORIENTED_EDGE('',*,*,#189891,.F.); #245758=ORIENTED_EDGE('',*,*,#189892,.F.); #245759=ORIENTED_EDGE('',*,*,#189893,.T.); #245760=ORIENTED_EDGE('',*,*,#189892,.T.); #245761=ORIENTED_EDGE('',*,*,#189894,.F.); #245762=ORIENTED_EDGE('',*,*,#189842,.F.); #245763=ORIENTED_EDGE('',*,*,#189894,.T.); #245764=ORIENTED_EDGE('',*,*,#189891,.T.); #245765=ORIENTED_EDGE('',*,*,#189888,.T.); #245766=ORIENTED_EDGE('',*,*,#189885,.T.); #245767=ORIENTED_EDGE('',*,*,#189882,.T.); #245768=ORIENTED_EDGE('',*,*,#189879,.T.); #245769=ORIENTED_EDGE('',*,*,#189876,.T.); #245770=ORIENTED_EDGE('',*,*,#189873,.T.); #245771=ORIENTED_EDGE('',*,*,#189870,.T.); #245772=ORIENTED_EDGE('',*,*,#189867,.T.); #245773=ORIENTED_EDGE('',*,*,#189864,.T.); #245774=ORIENTED_EDGE('',*,*,#189861,.T.); #245775=ORIENTED_EDGE('',*,*,#189858,.T.); #245776=ORIENTED_EDGE('',*,*,#189855,.T.); #245777=ORIENTED_EDGE('',*,*,#189852,.T.); #245778=ORIENTED_EDGE('',*,*,#189849,.T.); #245779=ORIENTED_EDGE('',*,*,#189846,.T.); #245780=ORIENTED_EDGE('',*,*,#189843,.T.); #245781=ORIENTED_EDGE('',*,*,#189840,.T.); #245782=ORIENTED_EDGE('',*,*,#189893,.F.); #245783=ORIENTED_EDGE('',*,*,#189841,.F.); #245784=ORIENTED_EDGE('',*,*,#189845,.F.); #245785=ORIENTED_EDGE('',*,*,#189848,.F.); #245786=ORIENTED_EDGE('',*,*,#189851,.F.); #245787=ORIENTED_EDGE('',*,*,#189854,.F.); #245788=ORIENTED_EDGE('',*,*,#189857,.F.); #245789=ORIENTED_EDGE('',*,*,#189860,.F.); #245790=ORIENTED_EDGE('',*,*,#189863,.F.); #245791=ORIENTED_EDGE('',*,*,#189866,.F.); #245792=ORIENTED_EDGE('',*,*,#189869,.F.); #245793=ORIENTED_EDGE('',*,*,#189872,.F.); #245794=ORIENTED_EDGE('',*,*,#189875,.F.); #245795=ORIENTED_EDGE('',*,*,#189878,.F.); #245796=ORIENTED_EDGE('',*,*,#189881,.F.); #245797=ORIENTED_EDGE('',*,*,#189884,.F.); #245798=ORIENTED_EDGE('',*,*,#189887,.F.); #245799=ORIENTED_EDGE('',*,*,#189890,.F.); #245800=ORIENTED_EDGE('',*,*,#189838,.T.); #245801=ORIENTED_EDGE('',*,*,#189895,.F.); #245802=ORIENTED_EDGE('',*,*,#189896,.T.); #245803=ORIENTED_EDGE('',*,*,#189897,.F.); #245804=ORIENTED_EDGE('',*,*,#189896,.F.); #245805=ORIENTED_EDGE('',*,*,#189898,.T.); #245806=ORIENTED_EDGE('',*,*,#189899,.T.); #245807=ORIENTED_EDGE('',*,*,#189900,.F.); #245808=ORIENTED_EDGE('',*,*,#189901,.F.); #245809=ORIENTED_EDGE('',*,*,#189902,.T.); #245810=ORIENTED_EDGE('',*,*,#189901,.T.); #245811=ORIENTED_EDGE('',*,*,#189903,.F.); #245812=ORIENTED_EDGE('',*,*,#189904,.F.); #245813=ORIENTED_EDGE('',*,*,#189905,.T.); #245814=ORIENTED_EDGE('',*,*,#189904,.T.); #245815=ORIENTED_EDGE('',*,*,#189906,.F.); #245816=ORIENTED_EDGE('',*,*,#189907,.F.); #245817=ORIENTED_EDGE('',*,*,#189908,.T.); #245818=ORIENTED_EDGE('',*,*,#189907,.T.); #245819=ORIENTED_EDGE('',*,*,#189909,.F.); #245820=ORIENTED_EDGE('',*,*,#189910,.F.); #245821=ORIENTED_EDGE('',*,*,#189911,.T.); #245822=ORIENTED_EDGE('',*,*,#189910,.T.); #245823=ORIENTED_EDGE('',*,*,#189912,.F.); #245824=ORIENTED_EDGE('',*,*,#189913,.F.); #245825=ORIENTED_EDGE('',*,*,#189914,.T.); #245826=ORIENTED_EDGE('',*,*,#189913,.T.); #245827=ORIENTED_EDGE('',*,*,#189915,.F.); #245828=ORIENTED_EDGE('',*,*,#189916,.F.); #245829=ORIENTED_EDGE('',*,*,#189917,.T.); #245830=ORIENTED_EDGE('',*,*,#189916,.T.); #245831=ORIENTED_EDGE('',*,*,#189918,.F.); #245832=ORIENTED_EDGE('',*,*,#189919,.F.); #245833=ORIENTED_EDGE('',*,*,#189920,.T.); #245834=ORIENTED_EDGE('',*,*,#189919,.T.); #245835=ORIENTED_EDGE('',*,*,#189921,.F.); #245836=ORIENTED_EDGE('',*,*,#189922,.F.); #245837=ORIENTED_EDGE('',*,*,#189923,.T.); #245838=ORIENTED_EDGE('',*,*,#189922,.T.); #245839=ORIENTED_EDGE('',*,*,#189924,.F.); #245840=ORIENTED_EDGE('',*,*,#189925,.F.); #245841=ORIENTED_EDGE('',*,*,#189926,.T.); #245842=ORIENTED_EDGE('',*,*,#189925,.T.); #245843=ORIENTED_EDGE('',*,*,#189927,.F.); #245844=ORIENTED_EDGE('',*,*,#189928,.F.); #245845=ORIENTED_EDGE('',*,*,#189929,.T.); #245846=ORIENTED_EDGE('',*,*,#189928,.T.); #245847=ORIENTED_EDGE('',*,*,#189930,.F.); #245848=ORIENTED_EDGE('',*,*,#189899,.F.); #245849=ORIENTED_EDGE('',*,*,#189930,.T.); #245850=ORIENTED_EDGE('',*,*,#189927,.T.); #245851=ORIENTED_EDGE('',*,*,#189924,.T.); #245852=ORIENTED_EDGE('',*,*,#189921,.T.); #245853=ORIENTED_EDGE('',*,*,#189918,.T.); #245854=ORIENTED_EDGE('',*,*,#189915,.T.); #245855=ORIENTED_EDGE('',*,*,#189912,.T.); #245856=ORIENTED_EDGE('',*,*,#189909,.T.); #245857=ORIENTED_EDGE('',*,*,#189906,.T.); #245858=ORIENTED_EDGE('',*,*,#189903,.T.); #245859=ORIENTED_EDGE('',*,*,#189900,.T.); #245860=ORIENTED_EDGE('',*,*,#189897,.T.); #245861=ORIENTED_EDGE('',*,*,#189929,.F.); #245862=ORIENTED_EDGE('',*,*,#189898,.F.); #245863=ORIENTED_EDGE('',*,*,#189902,.F.); #245864=ORIENTED_EDGE('',*,*,#189905,.F.); #245865=ORIENTED_EDGE('',*,*,#189908,.F.); #245866=ORIENTED_EDGE('',*,*,#189911,.F.); #245867=ORIENTED_EDGE('',*,*,#189914,.F.); #245868=ORIENTED_EDGE('',*,*,#189917,.F.); #245869=ORIENTED_EDGE('',*,*,#189920,.F.); #245870=ORIENTED_EDGE('',*,*,#189923,.F.); #245871=ORIENTED_EDGE('',*,*,#189926,.F.); #245872=ORIENTED_EDGE('',*,*,#189895,.T.); #245873=ORIENTED_EDGE('',*,*,#189931,.F.); #245874=ORIENTED_EDGE('',*,*,#189932,.T.); #245875=ORIENTED_EDGE('',*,*,#189933,.F.); #245876=ORIENTED_EDGE('',*,*,#189932,.F.); #245877=ORIENTED_EDGE('',*,*,#189934,.T.); #245878=ORIENTED_EDGE('',*,*,#189935,.T.); #245879=ORIENTED_EDGE('',*,*,#189936,.F.); #245880=ORIENTED_EDGE('',*,*,#189937,.F.); #245881=ORIENTED_EDGE('',*,*,#189938,.T.); #245882=ORIENTED_EDGE('',*,*,#189937,.T.); #245883=ORIENTED_EDGE('',*,*,#189939,.F.); #245884=ORIENTED_EDGE('',*,*,#189940,.F.); #245885=ORIENTED_EDGE('',*,*,#189941,.T.); #245886=ORIENTED_EDGE('',*,*,#189940,.T.); #245887=ORIENTED_EDGE('',*,*,#189942,.F.); #245888=ORIENTED_EDGE('',*,*,#189943,.F.); #245889=ORIENTED_EDGE('',*,*,#189944,.T.); #245890=ORIENTED_EDGE('',*,*,#189943,.T.); #245891=ORIENTED_EDGE('',*,*,#189945,.F.); #245892=ORIENTED_EDGE('',*,*,#189946,.F.); #245893=ORIENTED_EDGE('',*,*,#189947,.T.); #245894=ORIENTED_EDGE('',*,*,#189946,.T.); #245895=ORIENTED_EDGE('',*,*,#189948,.F.); #245896=ORIENTED_EDGE('',*,*,#189949,.F.); #245897=ORIENTED_EDGE('',*,*,#189950,.T.); #245898=ORIENTED_EDGE('',*,*,#189949,.T.); #245899=ORIENTED_EDGE('',*,*,#189951,.F.); #245900=ORIENTED_EDGE('',*,*,#189952,.F.); #245901=ORIENTED_EDGE('',*,*,#189953,.T.); #245902=ORIENTED_EDGE('',*,*,#189952,.T.); #245903=ORIENTED_EDGE('',*,*,#189954,.F.); #245904=ORIENTED_EDGE('',*,*,#189955,.F.); #245905=ORIENTED_EDGE('',*,*,#189956,.T.); #245906=ORIENTED_EDGE('',*,*,#189955,.T.); #245907=ORIENTED_EDGE('',*,*,#189957,.F.); #245908=ORIENTED_EDGE('',*,*,#189958,.F.); #245909=ORIENTED_EDGE('',*,*,#189959,.T.); #245910=ORIENTED_EDGE('',*,*,#189958,.T.); #245911=ORIENTED_EDGE('',*,*,#189960,.F.); #245912=ORIENTED_EDGE('',*,*,#189961,.F.); #245913=ORIENTED_EDGE('',*,*,#189962,.T.); #245914=ORIENTED_EDGE('',*,*,#189961,.T.); #245915=ORIENTED_EDGE('',*,*,#189963,.F.); #245916=ORIENTED_EDGE('',*,*,#189964,.F.); #245917=ORIENTED_EDGE('',*,*,#189965,.T.); #245918=ORIENTED_EDGE('',*,*,#189964,.T.); #245919=ORIENTED_EDGE('',*,*,#189966,.F.); #245920=ORIENTED_EDGE('',*,*,#189935,.F.); #245921=ORIENTED_EDGE('',*,*,#189966,.T.); #245922=ORIENTED_EDGE('',*,*,#189963,.T.); #245923=ORIENTED_EDGE('',*,*,#189960,.T.); #245924=ORIENTED_EDGE('',*,*,#189957,.T.); #245925=ORIENTED_EDGE('',*,*,#189954,.T.); #245926=ORIENTED_EDGE('',*,*,#189951,.T.); #245927=ORIENTED_EDGE('',*,*,#189948,.T.); #245928=ORIENTED_EDGE('',*,*,#189945,.T.); #245929=ORIENTED_EDGE('',*,*,#189942,.T.); #245930=ORIENTED_EDGE('',*,*,#189939,.T.); #245931=ORIENTED_EDGE('',*,*,#189936,.T.); #245932=ORIENTED_EDGE('',*,*,#189933,.T.); #245933=ORIENTED_EDGE('',*,*,#189965,.F.); #245934=ORIENTED_EDGE('',*,*,#189934,.F.); #245935=ORIENTED_EDGE('',*,*,#189938,.F.); #245936=ORIENTED_EDGE('',*,*,#189941,.F.); #245937=ORIENTED_EDGE('',*,*,#189944,.F.); #245938=ORIENTED_EDGE('',*,*,#189947,.F.); #245939=ORIENTED_EDGE('',*,*,#189950,.F.); #245940=ORIENTED_EDGE('',*,*,#189953,.F.); #245941=ORIENTED_EDGE('',*,*,#189956,.F.); #245942=ORIENTED_EDGE('',*,*,#189959,.F.); #245943=ORIENTED_EDGE('',*,*,#189962,.F.); #245944=ORIENTED_EDGE('',*,*,#189931,.T.); #245945=ORIENTED_EDGE('',*,*,#189967,.F.); #245946=ORIENTED_EDGE('',*,*,#189968,.T.); #245947=ORIENTED_EDGE('',*,*,#189969,.F.); #245948=ORIENTED_EDGE('',*,*,#189968,.F.); #245949=ORIENTED_EDGE('',*,*,#189970,.T.); #245950=ORIENTED_EDGE('',*,*,#189971,.T.); #245951=ORIENTED_EDGE('',*,*,#189972,.F.); #245952=ORIENTED_EDGE('',*,*,#189973,.F.); #245953=ORIENTED_EDGE('',*,*,#189974,.T.); #245954=ORIENTED_EDGE('',*,*,#189973,.T.); #245955=ORIENTED_EDGE('',*,*,#189975,.F.); #245956=ORIENTED_EDGE('',*,*,#189976,.F.); #245957=ORIENTED_EDGE('',*,*,#189977,.T.); #245958=ORIENTED_EDGE('',*,*,#189976,.T.); #245959=ORIENTED_EDGE('',*,*,#189978,.F.); #245960=ORIENTED_EDGE('',*,*,#189979,.F.); #245961=ORIENTED_EDGE('',*,*,#189980,.T.); #245962=ORIENTED_EDGE('',*,*,#189979,.T.); #245963=ORIENTED_EDGE('',*,*,#189981,.F.); #245964=ORIENTED_EDGE('',*,*,#189982,.F.); #245965=ORIENTED_EDGE('',*,*,#189983,.T.); #245966=ORIENTED_EDGE('',*,*,#189982,.T.); #245967=ORIENTED_EDGE('',*,*,#189984,.F.); #245968=ORIENTED_EDGE('',*,*,#189985,.F.); #245969=ORIENTED_EDGE('',*,*,#189986,.T.); #245970=ORIENTED_EDGE('',*,*,#189985,.T.); #245971=ORIENTED_EDGE('',*,*,#189987,.F.); #245972=ORIENTED_EDGE('',*,*,#189988,.F.); #245973=ORIENTED_EDGE('',*,*,#189989,.T.); #245974=ORIENTED_EDGE('',*,*,#189988,.T.); #245975=ORIENTED_EDGE('',*,*,#189990,.F.); #245976=ORIENTED_EDGE('',*,*,#189991,.F.); #245977=ORIENTED_EDGE('',*,*,#189992,.T.); #245978=ORIENTED_EDGE('',*,*,#189991,.T.); #245979=ORIENTED_EDGE('',*,*,#189993,.F.); #245980=ORIENTED_EDGE('',*,*,#189994,.F.); #245981=ORIENTED_EDGE('',*,*,#189995,.T.); #245982=ORIENTED_EDGE('',*,*,#189994,.T.); #245983=ORIENTED_EDGE('',*,*,#189996,.F.); #245984=ORIENTED_EDGE('',*,*,#189997,.F.); #245985=ORIENTED_EDGE('',*,*,#189998,.T.); #245986=ORIENTED_EDGE('',*,*,#189997,.T.); #245987=ORIENTED_EDGE('',*,*,#189999,.F.); #245988=ORIENTED_EDGE('',*,*,#190000,.F.); #245989=ORIENTED_EDGE('',*,*,#190001,.T.); #245990=ORIENTED_EDGE('',*,*,#190000,.T.); #245991=ORIENTED_EDGE('',*,*,#190002,.F.); #245992=ORIENTED_EDGE('',*,*,#190003,.F.); #245993=ORIENTED_EDGE('',*,*,#190004,.T.); #245994=ORIENTED_EDGE('',*,*,#190003,.T.); #245995=ORIENTED_EDGE('',*,*,#190005,.F.); #245996=ORIENTED_EDGE('',*,*,#190006,.F.); #245997=ORIENTED_EDGE('',*,*,#190007,.T.); #245998=ORIENTED_EDGE('',*,*,#190006,.T.); #245999=ORIENTED_EDGE('',*,*,#190008,.F.); #246000=ORIENTED_EDGE('',*,*,#190009,.F.); #246001=ORIENTED_EDGE('',*,*,#190010,.T.); #246002=ORIENTED_EDGE('',*,*,#190009,.T.); #246003=ORIENTED_EDGE('',*,*,#190011,.F.); #246004=ORIENTED_EDGE('',*,*,#190012,.F.); #246005=ORIENTED_EDGE('',*,*,#190013,.T.); #246006=ORIENTED_EDGE('',*,*,#190012,.T.); #246007=ORIENTED_EDGE('',*,*,#190014,.F.); #246008=ORIENTED_EDGE('',*,*,#190015,.F.); #246009=ORIENTED_EDGE('',*,*,#190016,.T.); #246010=ORIENTED_EDGE('',*,*,#190015,.T.); #246011=ORIENTED_EDGE('',*,*,#190017,.F.); #246012=ORIENTED_EDGE('',*,*,#190018,.F.); #246013=ORIENTED_EDGE('',*,*,#190019,.T.); #246014=ORIENTED_EDGE('',*,*,#190018,.T.); #246015=ORIENTED_EDGE('',*,*,#190020,.F.); #246016=ORIENTED_EDGE('',*,*,#190021,.F.); #246017=ORIENTED_EDGE('',*,*,#190022,.T.); #246018=ORIENTED_EDGE('',*,*,#190021,.T.); #246019=ORIENTED_EDGE('',*,*,#190023,.F.); #246020=ORIENTED_EDGE('',*,*,#190024,.F.); #246021=ORIENTED_EDGE('',*,*,#190025,.T.); #246022=ORIENTED_EDGE('',*,*,#190024,.T.); #246023=ORIENTED_EDGE('',*,*,#190026,.F.); #246024=ORIENTED_EDGE('',*,*,#190027,.F.); #246025=ORIENTED_EDGE('',*,*,#190028,.T.); #246026=ORIENTED_EDGE('',*,*,#190027,.T.); #246027=ORIENTED_EDGE('',*,*,#190029,.F.); #246028=ORIENTED_EDGE('',*,*,#190030,.F.); #246029=ORIENTED_EDGE('',*,*,#190031,.T.); #246030=ORIENTED_EDGE('',*,*,#190030,.T.); #246031=ORIENTED_EDGE('',*,*,#190032,.F.); #246032=ORIENTED_EDGE('',*,*,#190033,.F.); #246033=ORIENTED_EDGE('',*,*,#190034,.T.); #246034=ORIENTED_EDGE('',*,*,#190033,.T.); #246035=ORIENTED_EDGE('',*,*,#190035,.F.); #246036=ORIENTED_EDGE('',*,*,#190036,.F.); #246037=ORIENTED_EDGE('',*,*,#190037,.T.); #246038=ORIENTED_EDGE('',*,*,#190036,.T.); #246039=ORIENTED_EDGE('',*,*,#190038,.F.); #246040=ORIENTED_EDGE('',*,*,#189971,.F.); #246041=ORIENTED_EDGE('',*,*,#190038,.T.); #246042=ORIENTED_EDGE('',*,*,#190035,.T.); #246043=ORIENTED_EDGE('',*,*,#190032,.T.); #246044=ORIENTED_EDGE('',*,*,#190029,.T.); #246045=ORIENTED_EDGE('',*,*,#190026,.T.); #246046=ORIENTED_EDGE('',*,*,#190023,.T.); #246047=ORIENTED_EDGE('',*,*,#190020,.T.); #246048=ORIENTED_EDGE('',*,*,#190017,.T.); #246049=ORIENTED_EDGE('',*,*,#190014,.T.); #246050=ORIENTED_EDGE('',*,*,#190011,.T.); #246051=ORIENTED_EDGE('',*,*,#190008,.T.); #246052=ORIENTED_EDGE('',*,*,#190005,.T.); #246053=ORIENTED_EDGE('',*,*,#190002,.T.); #246054=ORIENTED_EDGE('',*,*,#189999,.T.); #246055=ORIENTED_EDGE('',*,*,#189996,.T.); #246056=ORIENTED_EDGE('',*,*,#189993,.T.); #246057=ORIENTED_EDGE('',*,*,#189990,.T.); #246058=ORIENTED_EDGE('',*,*,#189987,.T.); #246059=ORIENTED_EDGE('',*,*,#189984,.T.); #246060=ORIENTED_EDGE('',*,*,#189981,.T.); #246061=ORIENTED_EDGE('',*,*,#189978,.T.); #246062=ORIENTED_EDGE('',*,*,#189975,.T.); #246063=ORIENTED_EDGE('',*,*,#189972,.T.); #246064=ORIENTED_EDGE('',*,*,#189969,.T.); #246065=ORIENTED_EDGE('',*,*,#190037,.F.); #246066=ORIENTED_EDGE('',*,*,#189970,.F.); #246067=ORIENTED_EDGE('',*,*,#189974,.F.); #246068=ORIENTED_EDGE('',*,*,#189977,.F.); #246069=ORIENTED_EDGE('',*,*,#189980,.F.); #246070=ORIENTED_EDGE('',*,*,#189983,.F.); #246071=ORIENTED_EDGE('',*,*,#189986,.F.); #246072=ORIENTED_EDGE('',*,*,#189989,.F.); #246073=ORIENTED_EDGE('',*,*,#189992,.F.); #246074=ORIENTED_EDGE('',*,*,#189995,.F.); #246075=ORIENTED_EDGE('',*,*,#189998,.F.); #246076=ORIENTED_EDGE('',*,*,#190001,.F.); #246077=ORIENTED_EDGE('',*,*,#190004,.F.); #246078=ORIENTED_EDGE('',*,*,#190007,.F.); #246079=ORIENTED_EDGE('',*,*,#190010,.F.); #246080=ORIENTED_EDGE('',*,*,#190013,.F.); #246081=ORIENTED_EDGE('',*,*,#190016,.F.); #246082=ORIENTED_EDGE('',*,*,#190019,.F.); #246083=ORIENTED_EDGE('',*,*,#190022,.F.); #246084=ORIENTED_EDGE('',*,*,#190025,.F.); #246085=ORIENTED_EDGE('',*,*,#190028,.F.); #246086=ORIENTED_EDGE('',*,*,#190031,.F.); #246087=ORIENTED_EDGE('',*,*,#190034,.F.); #246088=ORIENTED_EDGE('',*,*,#189967,.T.); #246089=ORIENTED_EDGE('',*,*,#190039,.F.); #246090=ORIENTED_EDGE('',*,*,#190040,.T.); #246091=ORIENTED_EDGE('',*,*,#190041,.F.); #246092=ORIENTED_EDGE('',*,*,#190040,.F.); #246093=ORIENTED_EDGE('',*,*,#190042,.T.); #246094=ORIENTED_EDGE('',*,*,#190043,.T.); #246095=ORIENTED_EDGE('',*,*,#190044,.F.); #246096=ORIENTED_EDGE('',*,*,#190045,.F.); #246097=ORIENTED_EDGE('',*,*,#190046,.T.); #246098=ORIENTED_EDGE('',*,*,#190045,.T.); #246099=ORIENTED_EDGE('',*,*,#190047,.F.); #246100=ORIENTED_EDGE('',*,*,#190048,.F.); #246101=ORIENTED_EDGE('',*,*,#190049,.T.); #246102=ORIENTED_EDGE('',*,*,#190048,.T.); #246103=ORIENTED_EDGE('',*,*,#190050,.F.); #246104=ORIENTED_EDGE('',*,*,#190051,.F.); #246105=ORIENTED_EDGE('',*,*,#190052,.T.); #246106=ORIENTED_EDGE('',*,*,#190051,.T.); #246107=ORIENTED_EDGE('',*,*,#190053,.F.); #246108=ORIENTED_EDGE('',*,*,#190054,.F.); #246109=ORIENTED_EDGE('',*,*,#190055,.T.); #246110=ORIENTED_EDGE('',*,*,#190054,.T.); #246111=ORIENTED_EDGE('',*,*,#190056,.F.); #246112=ORIENTED_EDGE('',*,*,#190057,.F.); #246113=ORIENTED_EDGE('',*,*,#190058,.T.); #246114=ORIENTED_EDGE('',*,*,#190057,.T.); #246115=ORIENTED_EDGE('',*,*,#190059,.F.); #246116=ORIENTED_EDGE('',*,*,#190060,.F.); #246117=ORIENTED_EDGE('',*,*,#190061,.T.); #246118=ORIENTED_EDGE('',*,*,#190060,.T.); #246119=ORIENTED_EDGE('',*,*,#190062,.F.); #246120=ORIENTED_EDGE('',*,*,#190063,.F.); #246121=ORIENTED_EDGE('',*,*,#190064,.T.); #246122=ORIENTED_EDGE('',*,*,#190063,.T.); #246123=ORIENTED_EDGE('',*,*,#190065,.F.); #246124=ORIENTED_EDGE('',*,*,#190066,.F.); #246125=ORIENTED_EDGE('',*,*,#190067,.T.); #246126=ORIENTED_EDGE('',*,*,#190066,.T.); #246127=ORIENTED_EDGE('',*,*,#190068,.F.); #246128=ORIENTED_EDGE('',*,*,#190069,.F.); #246129=ORIENTED_EDGE('',*,*,#190070,.T.); #246130=ORIENTED_EDGE('',*,*,#190069,.T.); #246131=ORIENTED_EDGE('',*,*,#190071,.F.); #246132=ORIENTED_EDGE('',*,*,#190072,.F.); #246133=ORIENTED_EDGE('',*,*,#190073,.T.); #246134=ORIENTED_EDGE('',*,*,#190072,.T.); #246135=ORIENTED_EDGE('',*,*,#190074,.F.); #246136=ORIENTED_EDGE('',*,*,#190075,.F.); #246137=ORIENTED_EDGE('',*,*,#190076,.T.); #246138=ORIENTED_EDGE('',*,*,#190075,.T.); #246139=ORIENTED_EDGE('',*,*,#190077,.F.); #246140=ORIENTED_EDGE('',*,*,#190078,.F.); #246141=ORIENTED_EDGE('',*,*,#190079,.T.); #246142=ORIENTED_EDGE('',*,*,#190078,.T.); #246143=ORIENTED_EDGE('',*,*,#190080,.F.); #246144=ORIENTED_EDGE('',*,*,#190081,.F.); #246145=ORIENTED_EDGE('',*,*,#190082,.T.); #246146=ORIENTED_EDGE('',*,*,#190081,.T.); #246147=ORIENTED_EDGE('',*,*,#190083,.F.); #246148=ORIENTED_EDGE('',*,*,#190084,.F.); #246149=ORIENTED_EDGE('',*,*,#190085,.T.); #246150=ORIENTED_EDGE('',*,*,#190084,.T.); #246151=ORIENTED_EDGE('',*,*,#190086,.F.); #246152=ORIENTED_EDGE('',*,*,#190087,.F.); #246153=ORIENTED_EDGE('',*,*,#190088,.T.); #246154=ORIENTED_EDGE('',*,*,#190087,.T.); #246155=ORIENTED_EDGE('',*,*,#190089,.F.); #246156=ORIENTED_EDGE('',*,*,#190090,.F.); #246157=ORIENTED_EDGE('',*,*,#190091,.T.); #246158=ORIENTED_EDGE('',*,*,#190090,.T.); #246159=ORIENTED_EDGE('',*,*,#190092,.F.); #246160=ORIENTED_EDGE('',*,*,#190043,.F.); #246161=ORIENTED_EDGE('',*,*,#190092,.T.); #246162=ORIENTED_EDGE('',*,*,#190089,.T.); #246163=ORIENTED_EDGE('',*,*,#190086,.T.); #246164=ORIENTED_EDGE('',*,*,#190083,.T.); #246165=ORIENTED_EDGE('',*,*,#190080,.T.); #246166=ORIENTED_EDGE('',*,*,#190077,.T.); #246167=ORIENTED_EDGE('',*,*,#190074,.T.); #246168=ORIENTED_EDGE('',*,*,#190071,.T.); #246169=ORIENTED_EDGE('',*,*,#190068,.T.); #246170=ORIENTED_EDGE('',*,*,#190065,.T.); #246171=ORIENTED_EDGE('',*,*,#190062,.T.); #246172=ORIENTED_EDGE('',*,*,#190059,.T.); #246173=ORIENTED_EDGE('',*,*,#190056,.T.); #246174=ORIENTED_EDGE('',*,*,#190053,.T.); #246175=ORIENTED_EDGE('',*,*,#190050,.T.); #246176=ORIENTED_EDGE('',*,*,#190047,.T.); #246177=ORIENTED_EDGE('',*,*,#190044,.T.); #246178=ORIENTED_EDGE('',*,*,#190041,.T.); #246179=ORIENTED_EDGE('',*,*,#190091,.F.); #246180=ORIENTED_EDGE('',*,*,#190042,.F.); #246181=ORIENTED_EDGE('',*,*,#190046,.F.); #246182=ORIENTED_EDGE('',*,*,#190049,.F.); #246183=ORIENTED_EDGE('',*,*,#190052,.F.); #246184=ORIENTED_EDGE('',*,*,#190055,.F.); #246185=ORIENTED_EDGE('',*,*,#190058,.F.); #246186=ORIENTED_EDGE('',*,*,#190061,.F.); #246187=ORIENTED_EDGE('',*,*,#190064,.F.); #246188=ORIENTED_EDGE('',*,*,#190067,.F.); #246189=ORIENTED_EDGE('',*,*,#190070,.F.); #246190=ORIENTED_EDGE('',*,*,#190073,.F.); #246191=ORIENTED_EDGE('',*,*,#190076,.F.); #246192=ORIENTED_EDGE('',*,*,#190079,.F.); #246193=ORIENTED_EDGE('',*,*,#190082,.F.); #246194=ORIENTED_EDGE('',*,*,#190085,.F.); #246195=ORIENTED_EDGE('',*,*,#190088,.F.); #246196=ORIENTED_EDGE('',*,*,#190039,.T.); #246197=ORIENTED_EDGE('',*,*,#190093,.F.); #246198=ORIENTED_EDGE('',*,*,#190094,.T.); #246199=ORIENTED_EDGE('',*,*,#190095,.F.); #246200=ORIENTED_EDGE('',*,*,#190094,.F.); #246201=ORIENTED_EDGE('',*,*,#190096,.T.); #246202=ORIENTED_EDGE('',*,*,#190097,.T.); #246203=ORIENTED_EDGE('',*,*,#190098,.F.); #246204=ORIENTED_EDGE('',*,*,#190099,.F.); #246205=ORIENTED_EDGE('',*,*,#190100,.T.); #246206=ORIENTED_EDGE('',*,*,#190099,.T.); #246207=ORIENTED_EDGE('',*,*,#190101,.F.); #246208=ORIENTED_EDGE('',*,*,#190102,.F.); #246209=ORIENTED_EDGE('',*,*,#190103,.T.); #246210=ORIENTED_EDGE('',*,*,#190102,.T.); #246211=ORIENTED_EDGE('',*,*,#190104,.F.); #246212=ORIENTED_EDGE('',*,*,#190105,.F.); #246213=ORIENTED_EDGE('',*,*,#190106,.T.); #246214=ORIENTED_EDGE('',*,*,#190105,.T.); #246215=ORIENTED_EDGE('',*,*,#190107,.F.); #246216=ORIENTED_EDGE('',*,*,#190108,.F.); #246217=ORIENTED_EDGE('',*,*,#190109,.T.); #246218=ORIENTED_EDGE('',*,*,#190108,.T.); #246219=ORIENTED_EDGE('',*,*,#190110,.F.); #246220=ORIENTED_EDGE('',*,*,#190111,.F.); #246221=ORIENTED_EDGE('',*,*,#190112,.T.); #246222=ORIENTED_EDGE('',*,*,#190111,.T.); #246223=ORIENTED_EDGE('',*,*,#190113,.F.); #246224=ORIENTED_EDGE('',*,*,#190114,.F.); #246225=ORIENTED_EDGE('',*,*,#190115,.T.); #246226=ORIENTED_EDGE('',*,*,#190114,.T.); #246227=ORIENTED_EDGE('',*,*,#190116,.F.); #246228=ORIENTED_EDGE('',*,*,#190117,.F.); #246229=ORIENTED_EDGE('',*,*,#190118,.T.); #246230=ORIENTED_EDGE('',*,*,#190117,.T.); #246231=ORIENTED_EDGE('',*,*,#190119,.F.); #246232=ORIENTED_EDGE('',*,*,#190120,.F.); #246233=ORIENTED_EDGE('',*,*,#190121,.T.); #246234=ORIENTED_EDGE('',*,*,#190120,.T.); #246235=ORIENTED_EDGE('',*,*,#190122,.F.); #246236=ORIENTED_EDGE('',*,*,#190123,.F.); #246237=ORIENTED_EDGE('',*,*,#190124,.T.); #246238=ORIENTED_EDGE('',*,*,#190123,.T.); #246239=ORIENTED_EDGE('',*,*,#190125,.F.); #246240=ORIENTED_EDGE('',*,*,#190126,.F.); #246241=ORIENTED_EDGE('',*,*,#190127,.T.); #246242=ORIENTED_EDGE('',*,*,#190126,.T.); #246243=ORIENTED_EDGE('',*,*,#190128,.F.); #246244=ORIENTED_EDGE('',*,*,#190129,.F.); #246245=ORIENTED_EDGE('',*,*,#190130,.T.); #246246=ORIENTED_EDGE('',*,*,#190129,.T.); #246247=ORIENTED_EDGE('',*,*,#190131,.F.); #246248=ORIENTED_EDGE('',*,*,#190132,.F.); #246249=ORIENTED_EDGE('',*,*,#190133,.T.); #246250=ORIENTED_EDGE('',*,*,#190132,.T.); #246251=ORIENTED_EDGE('',*,*,#190134,.F.); #246252=ORIENTED_EDGE('',*,*,#190135,.F.); #246253=ORIENTED_EDGE('',*,*,#190136,.T.); #246254=ORIENTED_EDGE('',*,*,#190135,.T.); #246255=ORIENTED_EDGE('',*,*,#190137,.F.); #246256=ORIENTED_EDGE('',*,*,#190138,.F.); #246257=ORIENTED_EDGE('',*,*,#190139,.T.); #246258=ORIENTED_EDGE('',*,*,#190138,.T.); #246259=ORIENTED_EDGE('',*,*,#190140,.F.); #246260=ORIENTED_EDGE('',*,*,#190141,.F.); #246261=ORIENTED_EDGE('',*,*,#190142,.T.); #246262=ORIENTED_EDGE('',*,*,#190141,.T.); #246263=ORIENTED_EDGE('',*,*,#190143,.F.); #246264=ORIENTED_EDGE('',*,*,#190144,.F.); #246265=ORIENTED_EDGE('',*,*,#190145,.T.); #246266=ORIENTED_EDGE('',*,*,#190144,.T.); #246267=ORIENTED_EDGE('',*,*,#190146,.F.); #246268=ORIENTED_EDGE('',*,*,#190147,.F.); #246269=ORIENTED_EDGE('',*,*,#190148,.T.); #246270=ORIENTED_EDGE('',*,*,#190147,.T.); #246271=ORIENTED_EDGE('',*,*,#190149,.F.); #246272=ORIENTED_EDGE('',*,*,#190150,.F.); #246273=ORIENTED_EDGE('',*,*,#190151,.T.); #246274=ORIENTED_EDGE('',*,*,#190150,.T.); #246275=ORIENTED_EDGE('',*,*,#190152,.F.); #246276=ORIENTED_EDGE('',*,*,#190153,.F.); #246277=ORIENTED_EDGE('',*,*,#190154,.T.); #246278=ORIENTED_EDGE('',*,*,#190153,.T.); #246279=ORIENTED_EDGE('',*,*,#190155,.F.); #246280=ORIENTED_EDGE('',*,*,#190156,.F.); #246281=ORIENTED_EDGE('',*,*,#190157,.T.); #246282=ORIENTED_EDGE('',*,*,#190156,.T.); #246283=ORIENTED_EDGE('',*,*,#190158,.F.); #246284=ORIENTED_EDGE('',*,*,#190159,.F.); #246285=ORIENTED_EDGE('',*,*,#190160,.T.); #246286=ORIENTED_EDGE('',*,*,#190159,.T.); #246287=ORIENTED_EDGE('',*,*,#190161,.F.); #246288=ORIENTED_EDGE('',*,*,#190162,.F.); #246289=ORIENTED_EDGE('',*,*,#190163,.T.); #246290=ORIENTED_EDGE('',*,*,#190162,.T.); #246291=ORIENTED_EDGE('',*,*,#190164,.F.); #246292=ORIENTED_EDGE('',*,*,#190097,.F.); #246293=ORIENTED_EDGE('',*,*,#190164,.T.); #246294=ORIENTED_EDGE('',*,*,#190161,.T.); #246295=ORIENTED_EDGE('',*,*,#190158,.T.); #246296=ORIENTED_EDGE('',*,*,#190155,.T.); #246297=ORIENTED_EDGE('',*,*,#190152,.T.); #246298=ORIENTED_EDGE('',*,*,#190149,.T.); #246299=ORIENTED_EDGE('',*,*,#190146,.T.); #246300=ORIENTED_EDGE('',*,*,#190143,.T.); #246301=ORIENTED_EDGE('',*,*,#190140,.T.); #246302=ORIENTED_EDGE('',*,*,#190137,.T.); #246303=ORIENTED_EDGE('',*,*,#190134,.T.); #246304=ORIENTED_EDGE('',*,*,#190131,.T.); #246305=ORIENTED_EDGE('',*,*,#190128,.T.); #246306=ORIENTED_EDGE('',*,*,#190125,.T.); #246307=ORIENTED_EDGE('',*,*,#190122,.T.); #246308=ORIENTED_EDGE('',*,*,#190119,.T.); #246309=ORIENTED_EDGE('',*,*,#190116,.T.); #246310=ORIENTED_EDGE('',*,*,#190113,.T.); #246311=ORIENTED_EDGE('',*,*,#190110,.T.); #246312=ORIENTED_EDGE('',*,*,#190107,.T.); #246313=ORIENTED_EDGE('',*,*,#190104,.T.); #246314=ORIENTED_EDGE('',*,*,#190101,.T.); #246315=ORIENTED_EDGE('',*,*,#190098,.T.); #246316=ORIENTED_EDGE('',*,*,#190095,.T.); #246317=ORIENTED_EDGE('',*,*,#190163,.F.); #246318=ORIENTED_EDGE('',*,*,#190096,.F.); #246319=ORIENTED_EDGE('',*,*,#190100,.F.); #246320=ORIENTED_EDGE('',*,*,#190103,.F.); #246321=ORIENTED_EDGE('',*,*,#190106,.F.); #246322=ORIENTED_EDGE('',*,*,#190109,.F.); #246323=ORIENTED_EDGE('',*,*,#190112,.F.); #246324=ORIENTED_EDGE('',*,*,#190115,.F.); #246325=ORIENTED_EDGE('',*,*,#190118,.F.); #246326=ORIENTED_EDGE('',*,*,#190121,.F.); #246327=ORIENTED_EDGE('',*,*,#190124,.F.); #246328=ORIENTED_EDGE('',*,*,#190127,.F.); #246329=ORIENTED_EDGE('',*,*,#190130,.F.); #246330=ORIENTED_EDGE('',*,*,#190133,.F.); #246331=ORIENTED_EDGE('',*,*,#190136,.F.); #246332=ORIENTED_EDGE('',*,*,#190139,.F.); #246333=ORIENTED_EDGE('',*,*,#190142,.F.); #246334=ORIENTED_EDGE('',*,*,#190145,.F.); #246335=ORIENTED_EDGE('',*,*,#190148,.F.); #246336=ORIENTED_EDGE('',*,*,#190151,.F.); #246337=ORIENTED_EDGE('',*,*,#190154,.F.); #246338=ORIENTED_EDGE('',*,*,#190157,.F.); #246339=ORIENTED_EDGE('',*,*,#190160,.F.); #246340=ORIENTED_EDGE('',*,*,#190093,.T.); #246341=ORIENTED_EDGE('',*,*,#190165,.T.); #246342=ORIENTED_EDGE('',*,*,#190166,.T.); #246343=ORIENTED_EDGE('',*,*,#190167,.F.); #246344=ORIENTED_EDGE('',*,*,#190168,.F.); #246345=ORIENTED_EDGE('',*,*,#190169,.T.); #246346=ORIENTED_EDGE('',*,*,#190168,.T.); #246347=ORIENTED_EDGE('',*,*,#190170,.F.); #246348=ORIENTED_EDGE('',*,*,#190171,.F.); #246349=ORIENTED_EDGE('',*,*,#190172,.T.); #246350=ORIENTED_EDGE('',*,*,#190171,.T.); #246351=ORIENTED_EDGE('',*,*,#190173,.F.); #246352=ORIENTED_EDGE('',*,*,#190174,.F.); #246353=ORIENTED_EDGE('',*,*,#190175,.T.); #246354=ORIENTED_EDGE('',*,*,#190174,.T.); #246355=ORIENTED_EDGE('',*,*,#190176,.F.); #246356=ORIENTED_EDGE('',*,*,#190177,.F.); #246357=ORIENTED_EDGE('',*,*,#190178,.T.); #246358=ORIENTED_EDGE('',*,*,#190177,.T.); #246359=ORIENTED_EDGE('',*,*,#190179,.F.); #246360=ORIENTED_EDGE('',*,*,#190180,.F.); #246361=ORIENTED_EDGE('',*,*,#190181,.T.); #246362=ORIENTED_EDGE('',*,*,#190180,.T.); #246363=ORIENTED_EDGE('',*,*,#190182,.F.); #246364=ORIENTED_EDGE('',*,*,#190183,.F.); #246365=ORIENTED_EDGE('',*,*,#190184,.T.); #246366=ORIENTED_EDGE('',*,*,#190183,.T.); #246367=ORIENTED_EDGE('',*,*,#190185,.F.); #246368=ORIENTED_EDGE('',*,*,#190186,.F.); #246369=ORIENTED_EDGE('',*,*,#190187,.T.); #246370=ORIENTED_EDGE('',*,*,#190186,.T.); #246371=ORIENTED_EDGE('',*,*,#190188,.F.); #246372=ORIENTED_EDGE('',*,*,#190189,.F.); #246373=ORIENTED_EDGE('',*,*,#190190,.T.); #246374=ORIENTED_EDGE('',*,*,#190189,.T.); #246375=ORIENTED_EDGE('',*,*,#190191,.F.); #246376=ORIENTED_EDGE('',*,*,#190192,.F.); #246377=ORIENTED_EDGE('',*,*,#190193,.T.); #246378=ORIENTED_EDGE('',*,*,#190192,.T.); #246379=ORIENTED_EDGE('',*,*,#190194,.F.); #246380=ORIENTED_EDGE('',*,*,#190195,.F.); #246381=ORIENTED_EDGE('',*,*,#190196,.T.); #246382=ORIENTED_EDGE('',*,*,#190195,.T.); #246383=ORIENTED_EDGE('',*,*,#190197,.F.); #246384=ORIENTED_EDGE('',*,*,#190198,.F.); #246385=ORIENTED_EDGE('',*,*,#190199,.T.); #246386=ORIENTED_EDGE('',*,*,#190198,.T.); #246387=ORIENTED_EDGE('',*,*,#190200,.F.); #246388=ORIENTED_EDGE('',*,*,#190201,.F.); #246389=ORIENTED_EDGE('',*,*,#190202,.T.); #246390=ORIENTED_EDGE('',*,*,#190201,.T.); #246391=ORIENTED_EDGE('',*,*,#190203,.F.); #246392=ORIENTED_EDGE('',*,*,#190204,.F.); #246393=ORIENTED_EDGE('',*,*,#190205,.T.); #246394=ORIENTED_EDGE('',*,*,#190204,.T.); #246395=ORIENTED_EDGE('',*,*,#190206,.F.); #246396=ORIENTED_EDGE('',*,*,#190207,.F.); #246397=ORIENTED_EDGE('',*,*,#190208,.T.); #246398=ORIENTED_EDGE('',*,*,#190207,.T.); #246399=ORIENTED_EDGE('',*,*,#190209,.F.); #246400=ORIENTED_EDGE('',*,*,#190210,.F.); #246401=ORIENTED_EDGE('',*,*,#190211,.T.); #246402=ORIENTED_EDGE('',*,*,#190210,.T.); #246403=ORIENTED_EDGE('',*,*,#190212,.F.); #246404=ORIENTED_EDGE('',*,*,#190213,.F.); #246405=ORIENTED_EDGE('',*,*,#190214,.T.); #246406=ORIENTED_EDGE('',*,*,#190213,.T.); #246407=ORIENTED_EDGE('',*,*,#190215,.F.); #246408=ORIENTED_EDGE('',*,*,#190216,.F.); #246409=ORIENTED_EDGE('',*,*,#190217,.T.); #246410=ORIENTED_EDGE('',*,*,#190216,.T.); #246411=ORIENTED_EDGE('',*,*,#190218,.F.); #246412=ORIENTED_EDGE('',*,*,#190219,.F.); #246413=ORIENTED_EDGE('',*,*,#190220,.T.); #246414=ORIENTED_EDGE('',*,*,#190219,.T.); #246415=ORIENTED_EDGE('',*,*,#190221,.F.); #246416=ORIENTED_EDGE('',*,*,#190222,.F.); #246417=ORIENTED_EDGE('',*,*,#190223,.T.); #246418=ORIENTED_EDGE('',*,*,#190222,.T.); #246419=ORIENTED_EDGE('',*,*,#190224,.F.); #246420=ORIENTED_EDGE('',*,*,#190225,.F.); #246421=ORIENTED_EDGE('',*,*,#190226,.T.); #246422=ORIENTED_EDGE('',*,*,#190225,.T.); #246423=ORIENTED_EDGE('',*,*,#190227,.F.); #246424=ORIENTED_EDGE('',*,*,#190228,.F.); #246425=ORIENTED_EDGE('',*,*,#190229,.T.); #246426=ORIENTED_EDGE('',*,*,#190228,.T.); #246427=ORIENTED_EDGE('',*,*,#190230,.F.); #246428=ORIENTED_EDGE('',*,*,#190231,.F.); #246429=ORIENTED_EDGE('',*,*,#190232,.T.); #246430=ORIENTED_EDGE('',*,*,#190231,.T.); #246431=ORIENTED_EDGE('',*,*,#190233,.F.); #246432=ORIENTED_EDGE('',*,*,#190234,.F.); #246433=ORIENTED_EDGE('',*,*,#190235,.T.); #246434=ORIENTED_EDGE('',*,*,#190234,.T.); #246435=ORIENTED_EDGE('',*,*,#190236,.F.); #246436=ORIENTED_EDGE('',*,*,#190166,.F.); #246437=ORIENTED_EDGE('',*,*,#190236,.T.); #246438=ORIENTED_EDGE('',*,*,#190233,.T.); #246439=ORIENTED_EDGE('',*,*,#190230,.T.); #246440=ORIENTED_EDGE('',*,*,#190227,.T.); #246441=ORIENTED_EDGE('',*,*,#190224,.T.); #246442=ORIENTED_EDGE('',*,*,#190221,.T.); #246443=ORIENTED_EDGE('',*,*,#190218,.T.); #246444=ORIENTED_EDGE('',*,*,#190215,.T.); #246445=ORIENTED_EDGE('',*,*,#190212,.T.); #246446=ORIENTED_EDGE('',*,*,#190209,.T.); #246447=ORIENTED_EDGE('',*,*,#190206,.T.); #246448=ORIENTED_EDGE('',*,*,#190203,.T.); #246449=ORIENTED_EDGE('',*,*,#190200,.T.); #246450=ORIENTED_EDGE('',*,*,#190197,.T.); #246451=ORIENTED_EDGE('',*,*,#190194,.T.); #246452=ORIENTED_EDGE('',*,*,#190191,.T.); #246453=ORIENTED_EDGE('',*,*,#190188,.T.); #246454=ORIENTED_EDGE('',*,*,#190185,.T.); #246455=ORIENTED_EDGE('',*,*,#190182,.T.); #246456=ORIENTED_EDGE('',*,*,#190179,.T.); #246457=ORIENTED_EDGE('',*,*,#190176,.T.); #246458=ORIENTED_EDGE('',*,*,#190173,.T.); #246459=ORIENTED_EDGE('',*,*,#190170,.T.); #246460=ORIENTED_EDGE('',*,*,#190167,.T.); #246461=ORIENTED_EDGE('',*,*,#190235,.F.); #246462=ORIENTED_EDGE('',*,*,#190165,.F.); #246463=ORIENTED_EDGE('',*,*,#190169,.F.); #246464=ORIENTED_EDGE('',*,*,#190172,.F.); #246465=ORIENTED_EDGE('',*,*,#190175,.F.); #246466=ORIENTED_EDGE('',*,*,#190178,.F.); #246467=ORIENTED_EDGE('',*,*,#190181,.F.); #246468=ORIENTED_EDGE('',*,*,#190184,.F.); #246469=ORIENTED_EDGE('',*,*,#190187,.F.); #246470=ORIENTED_EDGE('',*,*,#190190,.F.); #246471=ORIENTED_EDGE('',*,*,#190193,.F.); #246472=ORIENTED_EDGE('',*,*,#190196,.F.); #246473=ORIENTED_EDGE('',*,*,#190199,.F.); #246474=ORIENTED_EDGE('',*,*,#190202,.F.); #246475=ORIENTED_EDGE('',*,*,#190205,.F.); #246476=ORIENTED_EDGE('',*,*,#190208,.F.); #246477=ORIENTED_EDGE('',*,*,#190211,.F.); #246478=ORIENTED_EDGE('',*,*,#190214,.F.); #246479=ORIENTED_EDGE('',*,*,#190217,.F.); #246480=ORIENTED_EDGE('',*,*,#190220,.F.); #246481=ORIENTED_EDGE('',*,*,#190223,.F.); #246482=ORIENTED_EDGE('',*,*,#190226,.F.); #246483=ORIENTED_EDGE('',*,*,#190229,.F.); #246484=ORIENTED_EDGE('',*,*,#190232,.F.); #246485=ORIENTED_EDGE('',*,*,#190237,.F.); #246486=ORIENTED_EDGE('',*,*,#190238,.T.); #246487=ORIENTED_EDGE('',*,*,#190239,.F.); #246488=ORIENTED_EDGE('',*,*,#190238,.F.); #246489=ORIENTED_EDGE('',*,*,#190240,.T.); #246490=ORIENTED_EDGE('',*,*,#190241,.T.); #246491=ORIENTED_EDGE('',*,*,#190242,.F.); #246492=ORIENTED_EDGE('',*,*,#190243,.F.); #246493=ORIENTED_EDGE('',*,*,#190244,.T.); #246494=ORIENTED_EDGE('',*,*,#190243,.T.); #246495=ORIENTED_EDGE('',*,*,#190245,.F.); #246496=ORIENTED_EDGE('',*,*,#190246,.F.); #246497=ORIENTED_EDGE('',*,*,#190247,.T.); #246498=ORIENTED_EDGE('',*,*,#190246,.T.); #246499=ORIENTED_EDGE('',*,*,#190248,.F.); #246500=ORIENTED_EDGE('',*,*,#190249,.F.); #246501=ORIENTED_EDGE('',*,*,#190250,.T.); #246502=ORIENTED_EDGE('',*,*,#190249,.T.); #246503=ORIENTED_EDGE('',*,*,#190251,.F.); #246504=ORIENTED_EDGE('',*,*,#190241,.F.); #246505=ORIENTED_EDGE('',*,*,#190251,.T.); #246506=ORIENTED_EDGE('',*,*,#190248,.T.); #246507=ORIENTED_EDGE('',*,*,#190245,.T.); #246508=ORIENTED_EDGE('',*,*,#190242,.T.); #246509=ORIENTED_EDGE('',*,*,#190239,.T.); #246510=ORIENTED_EDGE('',*,*,#190250,.F.); #246511=ORIENTED_EDGE('',*,*,#190240,.F.); #246512=ORIENTED_EDGE('',*,*,#190244,.F.); #246513=ORIENTED_EDGE('',*,*,#190247,.F.); #246514=ORIENTED_EDGE('',*,*,#190237,.T.); #246515=ORIENTED_EDGE('',*,*,#190252,.F.); #246516=ORIENTED_EDGE('',*,*,#190253,.T.); #246517=ORIENTED_EDGE('',*,*,#190254,.F.); #246518=ORIENTED_EDGE('',*,*,#190253,.F.); #246519=ORIENTED_EDGE('',*,*,#190255,.T.); #246520=ORIENTED_EDGE('',*,*,#190256,.T.); #246521=ORIENTED_EDGE('',*,*,#190257,.F.); #246522=ORIENTED_EDGE('',*,*,#190258,.F.); #246523=ORIENTED_EDGE('',*,*,#190259,.T.); #246524=ORIENTED_EDGE('',*,*,#190258,.T.); #246525=ORIENTED_EDGE('',*,*,#190260,.F.); #246526=ORIENTED_EDGE('',*,*,#190261,.F.); #246527=ORIENTED_EDGE('',*,*,#190262,.T.); #246528=ORIENTED_EDGE('',*,*,#190261,.T.); #246529=ORIENTED_EDGE('',*,*,#190263,.F.); #246530=ORIENTED_EDGE('',*,*,#190264,.F.); #246531=ORIENTED_EDGE('',*,*,#190265,.T.); #246532=ORIENTED_EDGE('',*,*,#190264,.T.); #246533=ORIENTED_EDGE('',*,*,#190266,.F.); #246534=ORIENTED_EDGE('',*,*,#190267,.F.); #246535=ORIENTED_EDGE('',*,*,#190268,.T.); #246536=ORIENTED_EDGE('',*,*,#190267,.T.); #246537=ORIENTED_EDGE('',*,*,#190269,.F.); #246538=ORIENTED_EDGE('',*,*,#190270,.F.); #246539=ORIENTED_EDGE('',*,*,#190271,.T.); #246540=ORIENTED_EDGE('',*,*,#190270,.T.); #246541=ORIENTED_EDGE('',*,*,#190272,.F.); #246542=ORIENTED_EDGE('',*,*,#190273,.F.); #246543=ORIENTED_EDGE('',*,*,#190274,.T.); #246544=ORIENTED_EDGE('',*,*,#190273,.T.); #246545=ORIENTED_EDGE('',*,*,#190275,.F.); #246546=ORIENTED_EDGE('',*,*,#190276,.F.); #246547=ORIENTED_EDGE('',*,*,#190277,.T.); #246548=ORIENTED_EDGE('',*,*,#190276,.T.); #246549=ORIENTED_EDGE('',*,*,#190278,.F.); #246550=ORIENTED_EDGE('',*,*,#190279,.F.); #246551=ORIENTED_EDGE('',*,*,#190280,.T.); #246552=ORIENTED_EDGE('',*,*,#190279,.T.); #246553=ORIENTED_EDGE('',*,*,#190281,.F.); #246554=ORIENTED_EDGE('',*,*,#190282,.F.); #246555=ORIENTED_EDGE('',*,*,#190283,.T.); #246556=ORIENTED_EDGE('',*,*,#190282,.T.); #246557=ORIENTED_EDGE('',*,*,#190284,.F.); #246558=ORIENTED_EDGE('',*,*,#190285,.F.); #246559=ORIENTED_EDGE('',*,*,#190286,.T.); #246560=ORIENTED_EDGE('',*,*,#190285,.T.); #246561=ORIENTED_EDGE('',*,*,#190287,.F.); #246562=ORIENTED_EDGE('',*,*,#190288,.F.); #246563=ORIENTED_EDGE('',*,*,#190289,.T.); #246564=ORIENTED_EDGE('',*,*,#190288,.T.); #246565=ORIENTED_EDGE('',*,*,#190290,.F.); #246566=ORIENTED_EDGE('',*,*,#190291,.F.); #246567=ORIENTED_EDGE('',*,*,#190292,.T.); #246568=ORIENTED_EDGE('',*,*,#190291,.T.); #246569=ORIENTED_EDGE('',*,*,#190293,.F.); #246570=ORIENTED_EDGE('',*,*,#190294,.F.); #246571=ORIENTED_EDGE('',*,*,#190295,.T.); #246572=ORIENTED_EDGE('',*,*,#190294,.T.); #246573=ORIENTED_EDGE('',*,*,#190296,.F.); #246574=ORIENTED_EDGE('',*,*,#190297,.F.); #246575=ORIENTED_EDGE('',*,*,#190298,.T.); #246576=ORIENTED_EDGE('',*,*,#190297,.T.); #246577=ORIENTED_EDGE('',*,*,#190299,.F.); #246578=ORIENTED_EDGE('',*,*,#190300,.F.); #246579=ORIENTED_EDGE('',*,*,#190301,.T.); #246580=ORIENTED_EDGE('',*,*,#190300,.T.); #246581=ORIENTED_EDGE('',*,*,#190302,.F.); #246582=ORIENTED_EDGE('',*,*,#190303,.F.); #246583=ORIENTED_EDGE('',*,*,#190304,.T.); #246584=ORIENTED_EDGE('',*,*,#190303,.T.); #246585=ORIENTED_EDGE('',*,*,#190305,.F.); #246586=ORIENTED_EDGE('',*,*,#190306,.F.); #246587=ORIENTED_EDGE('',*,*,#190307,.T.); #246588=ORIENTED_EDGE('',*,*,#190306,.T.); #246589=ORIENTED_EDGE('',*,*,#190308,.F.); #246590=ORIENTED_EDGE('',*,*,#190309,.F.); #246591=ORIENTED_EDGE('',*,*,#190310,.T.); #246592=ORIENTED_EDGE('',*,*,#190309,.T.); #246593=ORIENTED_EDGE('',*,*,#190311,.F.); #246594=ORIENTED_EDGE('',*,*,#190312,.F.); #246595=ORIENTED_EDGE('',*,*,#190313,.T.); #246596=ORIENTED_EDGE('',*,*,#190312,.T.); #246597=ORIENTED_EDGE('',*,*,#190314,.F.); #246598=ORIENTED_EDGE('',*,*,#190315,.F.); #246599=ORIENTED_EDGE('',*,*,#190316,.T.); #246600=ORIENTED_EDGE('',*,*,#190315,.T.); #246601=ORIENTED_EDGE('',*,*,#190317,.F.); #246602=ORIENTED_EDGE('',*,*,#190318,.F.); #246603=ORIENTED_EDGE('',*,*,#190319,.T.); #246604=ORIENTED_EDGE('',*,*,#190318,.T.); #246605=ORIENTED_EDGE('',*,*,#190320,.F.); #246606=ORIENTED_EDGE('',*,*,#190321,.F.); #246607=ORIENTED_EDGE('',*,*,#190322,.T.); #246608=ORIENTED_EDGE('',*,*,#190321,.T.); #246609=ORIENTED_EDGE('',*,*,#190323,.F.); #246610=ORIENTED_EDGE('',*,*,#190324,.F.); #246611=ORIENTED_EDGE('',*,*,#190325,.T.); #246612=ORIENTED_EDGE('',*,*,#190324,.T.); #246613=ORIENTED_EDGE('',*,*,#190326,.F.); #246614=ORIENTED_EDGE('',*,*,#190256,.F.); #246615=ORIENTED_EDGE('',*,*,#190326,.T.); #246616=ORIENTED_EDGE('',*,*,#190323,.T.); #246617=ORIENTED_EDGE('',*,*,#190320,.T.); #246618=ORIENTED_EDGE('',*,*,#190317,.T.); #246619=ORIENTED_EDGE('',*,*,#190314,.T.); #246620=ORIENTED_EDGE('',*,*,#190311,.T.); #246621=ORIENTED_EDGE('',*,*,#190308,.T.); #246622=ORIENTED_EDGE('',*,*,#190305,.T.); #246623=ORIENTED_EDGE('',*,*,#190302,.T.); #246624=ORIENTED_EDGE('',*,*,#190299,.T.); #246625=ORIENTED_EDGE('',*,*,#190296,.T.); #246626=ORIENTED_EDGE('',*,*,#190293,.T.); #246627=ORIENTED_EDGE('',*,*,#190290,.T.); #246628=ORIENTED_EDGE('',*,*,#190287,.T.); #246629=ORIENTED_EDGE('',*,*,#190284,.T.); #246630=ORIENTED_EDGE('',*,*,#190281,.T.); #246631=ORIENTED_EDGE('',*,*,#190278,.T.); #246632=ORIENTED_EDGE('',*,*,#190275,.T.); #246633=ORIENTED_EDGE('',*,*,#190272,.T.); #246634=ORIENTED_EDGE('',*,*,#190269,.T.); #246635=ORIENTED_EDGE('',*,*,#190266,.T.); #246636=ORIENTED_EDGE('',*,*,#190263,.T.); #246637=ORIENTED_EDGE('',*,*,#190260,.T.); #246638=ORIENTED_EDGE('',*,*,#190257,.T.); #246639=ORIENTED_EDGE('',*,*,#190254,.T.); #246640=ORIENTED_EDGE('',*,*,#190325,.F.); #246641=ORIENTED_EDGE('',*,*,#190255,.F.); #246642=ORIENTED_EDGE('',*,*,#190259,.F.); #246643=ORIENTED_EDGE('',*,*,#190262,.F.); #246644=ORIENTED_EDGE('',*,*,#190265,.F.); #246645=ORIENTED_EDGE('',*,*,#190268,.F.); #246646=ORIENTED_EDGE('',*,*,#190271,.F.); #246647=ORIENTED_EDGE('',*,*,#190274,.F.); #246648=ORIENTED_EDGE('',*,*,#190277,.F.); #246649=ORIENTED_EDGE('',*,*,#190280,.F.); #246650=ORIENTED_EDGE('',*,*,#190283,.F.); #246651=ORIENTED_EDGE('',*,*,#190286,.F.); #246652=ORIENTED_EDGE('',*,*,#190289,.F.); #246653=ORIENTED_EDGE('',*,*,#190292,.F.); #246654=ORIENTED_EDGE('',*,*,#190295,.F.); #246655=ORIENTED_EDGE('',*,*,#190298,.F.); #246656=ORIENTED_EDGE('',*,*,#190301,.F.); #246657=ORIENTED_EDGE('',*,*,#190304,.F.); #246658=ORIENTED_EDGE('',*,*,#190307,.F.); #246659=ORIENTED_EDGE('',*,*,#190310,.F.); #246660=ORIENTED_EDGE('',*,*,#190313,.F.); #246661=ORIENTED_EDGE('',*,*,#190316,.F.); #246662=ORIENTED_EDGE('',*,*,#190319,.F.); #246663=ORIENTED_EDGE('',*,*,#190322,.F.); #246664=ORIENTED_EDGE('',*,*,#190252,.T.); #246665=ORIENTED_EDGE('',*,*,#190327,.F.); #246666=ORIENTED_EDGE('',*,*,#190328,.T.); #246667=ORIENTED_EDGE('',*,*,#190329,.F.); #246668=ORIENTED_EDGE('',*,*,#190328,.F.); #246669=ORIENTED_EDGE('',*,*,#190330,.T.); #246670=ORIENTED_EDGE('',*,*,#190331,.T.); #246671=ORIENTED_EDGE('',*,*,#190332,.F.); #246672=ORIENTED_EDGE('',*,*,#190333,.F.); #246673=ORIENTED_EDGE('',*,*,#190334,.T.); #246674=ORIENTED_EDGE('',*,*,#190333,.T.); #246675=ORIENTED_EDGE('',*,*,#190335,.F.); #246676=ORIENTED_EDGE('',*,*,#190336,.F.); #246677=ORIENTED_EDGE('',*,*,#190337,.T.); #246678=ORIENTED_EDGE('',*,*,#190336,.T.); #246679=ORIENTED_EDGE('',*,*,#190338,.F.); #246680=ORIENTED_EDGE('',*,*,#190339,.F.); #246681=ORIENTED_EDGE('',*,*,#190340,.T.); #246682=ORIENTED_EDGE('',*,*,#190339,.T.); #246683=ORIENTED_EDGE('',*,*,#190341,.F.); #246684=ORIENTED_EDGE('',*,*,#190342,.F.); #246685=ORIENTED_EDGE('',*,*,#190343,.T.); #246686=ORIENTED_EDGE('',*,*,#190342,.T.); #246687=ORIENTED_EDGE('',*,*,#190344,.F.); #246688=ORIENTED_EDGE('',*,*,#190345,.F.); #246689=ORIENTED_EDGE('',*,*,#190346,.T.); #246690=ORIENTED_EDGE('',*,*,#190345,.T.); #246691=ORIENTED_EDGE('',*,*,#190347,.F.); #246692=ORIENTED_EDGE('',*,*,#190348,.F.); #246693=ORIENTED_EDGE('',*,*,#190349,.T.); #246694=ORIENTED_EDGE('',*,*,#190348,.T.); #246695=ORIENTED_EDGE('',*,*,#190350,.F.); #246696=ORIENTED_EDGE('',*,*,#190351,.F.); #246697=ORIENTED_EDGE('',*,*,#190352,.T.); #246698=ORIENTED_EDGE('',*,*,#190351,.T.); #246699=ORIENTED_EDGE('',*,*,#190353,.F.); #246700=ORIENTED_EDGE('',*,*,#190354,.F.); #246701=ORIENTED_EDGE('',*,*,#190355,.T.); #246702=ORIENTED_EDGE('',*,*,#190354,.T.); #246703=ORIENTED_EDGE('',*,*,#190356,.F.); #246704=ORIENTED_EDGE('',*,*,#190357,.F.); #246705=ORIENTED_EDGE('',*,*,#190358,.T.); #246706=ORIENTED_EDGE('',*,*,#190357,.T.); #246707=ORIENTED_EDGE('',*,*,#190359,.F.); #246708=ORIENTED_EDGE('',*,*,#190360,.F.); #246709=ORIENTED_EDGE('',*,*,#190361,.T.); #246710=ORIENTED_EDGE('',*,*,#190360,.T.); #246711=ORIENTED_EDGE('',*,*,#190362,.F.); #246712=ORIENTED_EDGE('',*,*,#190363,.F.); #246713=ORIENTED_EDGE('',*,*,#190364,.T.); #246714=ORIENTED_EDGE('',*,*,#190363,.T.); #246715=ORIENTED_EDGE('',*,*,#190365,.F.); #246716=ORIENTED_EDGE('',*,*,#190366,.F.); #246717=ORIENTED_EDGE('',*,*,#190367,.T.); #246718=ORIENTED_EDGE('',*,*,#190366,.T.); #246719=ORIENTED_EDGE('',*,*,#190368,.F.); #246720=ORIENTED_EDGE('',*,*,#190369,.F.); #246721=ORIENTED_EDGE('',*,*,#190370,.T.); #246722=ORIENTED_EDGE('',*,*,#190369,.T.); #246723=ORIENTED_EDGE('',*,*,#190371,.F.); #246724=ORIENTED_EDGE('',*,*,#190372,.F.); #246725=ORIENTED_EDGE('',*,*,#190373,.T.); #246726=ORIENTED_EDGE('',*,*,#190372,.T.); #246727=ORIENTED_EDGE('',*,*,#190374,.F.); #246728=ORIENTED_EDGE('',*,*,#190331,.F.); #246729=ORIENTED_EDGE('',*,*,#190374,.T.); #246730=ORIENTED_EDGE('',*,*,#190371,.T.); #246731=ORIENTED_EDGE('',*,*,#190368,.T.); #246732=ORIENTED_EDGE('',*,*,#190365,.T.); #246733=ORIENTED_EDGE('',*,*,#190362,.T.); #246734=ORIENTED_EDGE('',*,*,#190359,.T.); #246735=ORIENTED_EDGE('',*,*,#190356,.T.); #246736=ORIENTED_EDGE('',*,*,#190353,.T.); #246737=ORIENTED_EDGE('',*,*,#190350,.T.); #246738=ORIENTED_EDGE('',*,*,#190347,.T.); #246739=ORIENTED_EDGE('',*,*,#190344,.T.); #246740=ORIENTED_EDGE('',*,*,#190341,.T.); #246741=ORIENTED_EDGE('',*,*,#190338,.T.); #246742=ORIENTED_EDGE('',*,*,#190335,.T.); #246743=ORIENTED_EDGE('',*,*,#190332,.T.); #246744=ORIENTED_EDGE('',*,*,#190329,.T.); #246745=ORIENTED_EDGE('',*,*,#190373,.F.); #246746=ORIENTED_EDGE('',*,*,#190330,.F.); #246747=ORIENTED_EDGE('',*,*,#190334,.F.); #246748=ORIENTED_EDGE('',*,*,#190337,.F.); #246749=ORIENTED_EDGE('',*,*,#190340,.F.); #246750=ORIENTED_EDGE('',*,*,#190343,.F.); #246751=ORIENTED_EDGE('',*,*,#190346,.F.); #246752=ORIENTED_EDGE('',*,*,#190349,.F.); #246753=ORIENTED_EDGE('',*,*,#190352,.F.); #246754=ORIENTED_EDGE('',*,*,#190355,.F.); #246755=ORIENTED_EDGE('',*,*,#190358,.F.); #246756=ORIENTED_EDGE('',*,*,#190361,.F.); #246757=ORIENTED_EDGE('',*,*,#190364,.F.); #246758=ORIENTED_EDGE('',*,*,#190367,.F.); #246759=ORIENTED_EDGE('',*,*,#190370,.F.); #246760=ORIENTED_EDGE('',*,*,#190327,.T.); #246761=ORIENTED_EDGE('',*,*,#190375,.F.); #246762=ORIENTED_EDGE('',*,*,#190376,.T.); #246763=ORIENTED_EDGE('',*,*,#190377,.F.); #246764=ORIENTED_EDGE('',*,*,#190376,.F.); #246765=ORIENTED_EDGE('',*,*,#190378,.T.); #246766=ORIENTED_EDGE('',*,*,#190379,.T.); #246767=ORIENTED_EDGE('',*,*,#190380,.F.); #246768=ORIENTED_EDGE('',*,*,#190381,.F.); #246769=ORIENTED_EDGE('',*,*,#190382,.T.); #246770=ORIENTED_EDGE('',*,*,#190381,.T.); #246771=ORIENTED_EDGE('',*,*,#190383,.F.); #246772=ORIENTED_EDGE('',*,*,#190384,.F.); #246773=ORIENTED_EDGE('',*,*,#190385,.T.); #246774=ORIENTED_EDGE('',*,*,#190384,.T.); #246775=ORIENTED_EDGE('',*,*,#190386,.F.); #246776=ORIENTED_EDGE('',*,*,#190387,.F.); #246777=ORIENTED_EDGE('',*,*,#190388,.T.); #246778=ORIENTED_EDGE('',*,*,#190387,.T.); #246779=ORIENTED_EDGE('',*,*,#190389,.F.); #246780=ORIENTED_EDGE('',*,*,#190390,.F.); #246781=ORIENTED_EDGE('',*,*,#190391,.T.); #246782=ORIENTED_EDGE('',*,*,#190390,.T.); #246783=ORIENTED_EDGE('',*,*,#190392,.F.); #246784=ORIENTED_EDGE('',*,*,#190393,.F.); #246785=ORIENTED_EDGE('',*,*,#190394,.T.); #246786=ORIENTED_EDGE('',*,*,#190393,.T.); #246787=ORIENTED_EDGE('',*,*,#190395,.F.); #246788=ORIENTED_EDGE('',*,*,#190396,.F.); #246789=ORIENTED_EDGE('',*,*,#190397,.T.); #246790=ORIENTED_EDGE('',*,*,#190396,.T.); #246791=ORIENTED_EDGE('',*,*,#190398,.F.); #246792=ORIENTED_EDGE('',*,*,#190399,.F.); #246793=ORIENTED_EDGE('',*,*,#190400,.T.); #246794=ORIENTED_EDGE('',*,*,#190399,.T.); #246795=ORIENTED_EDGE('',*,*,#190401,.F.); #246796=ORIENTED_EDGE('',*,*,#190402,.F.); #246797=ORIENTED_EDGE('',*,*,#190403,.T.); #246798=ORIENTED_EDGE('',*,*,#190402,.T.); #246799=ORIENTED_EDGE('',*,*,#190404,.F.); #246800=ORIENTED_EDGE('',*,*,#190405,.F.); #246801=ORIENTED_EDGE('',*,*,#190406,.T.); #246802=ORIENTED_EDGE('',*,*,#190405,.T.); #246803=ORIENTED_EDGE('',*,*,#190407,.F.); #246804=ORIENTED_EDGE('',*,*,#190408,.F.); #246805=ORIENTED_EDGE('',*,*,#190409,.T.); #246806=ORIENTED_EDGE('',*,*,#190408,.T.); #246807=ORIENTED_EDGE('',*,*,#190410,.F.); #246808=ORIENTED_EDGE('',*,*,#190411,.F.); #246809=ORIENTED_EDGE('',*,*,#190412,.T.); #246810=ORIENTED_EDGE('',*,*,#190411,.T.); #246811=ORIENTED_EDGE('',*,*,#190413,.F.); #246812=ORIENTED_EDGE('',*,*,#190414,.F.); #246813=ORIENTED_EDGE('',*,*,#190415,.T.); #246814=ORIENTED_EDGE('',*,*,#190414,.T.); #246815=ORIENTED_EDGE('',*,*,#190416,.F.); #246816=ORIENTED_EDGE('',*,*,#190417,.F.); #246817=ORIENTED_EDGE('',*,*,#190418,.T.); #246818=ORIENTED_EDGE('',*,*,#190417,.T.); #246819=ORIENTED_EDGE('',*,*,#190419,.F.); #246820=ORIENTED_EDGE('',*,*,#190420,.F.); #246821=ORIENTED_EDGE('',*,*,#190421,.T.); #246822=ORIENTED_EDGE('',*,*,#190420,.T.); #246823=ORIENTED_EDGE('',*,*,#190422,.F.); #246824=ORIENTED_EDGE('',*,*,#190423,.F.); #246825=ORIENTED_EDGE('',*,*,#190424,.T.); #246826=ORIENTED_EDGE('',*,*,#190423,.T.); #246827=ORIENTED_EDGE('',*,*,#190425,.F.); #246828=ORIENTED_EDGE('',*,*,#190426,.F.); #246829=ORIENTED_EDGE('',*,*,#190427,.T.); #246830=ORIENTED_EDGE('',*,*,#190426,.T.); #246831=ORIENTED_EDGE('',*,*,#190428,.F.); #246832=ORIENTED_EDGE('',*,*,#190429,.F.); #246833=ORIENTED_EDGE('',*,*,#190430,.T.); #246834=ORIENTED_EDGE('',*,*,#190429,.T.); #246835=ORIENTED_EDGE('',*,*,#190431,.F.); #246836=ORIENTED_EDGE('',*,*,#190432,.F.); #246837=ORIENTED_EDGE('',*,*,#190433,.T.); #246838=ORIENTED_EDGE('',*,*,#190432,.T.); #246839=ORIENTED_EDGE('',*,*,#190434,.F.); #246840=ORIENTED_EDGE('',*,*,#190435,.F.); #246841=ORIENTED_EDGE('',*,*,#190436,.T.); #246842=ORIENTED_EDGE('',*,*,#190435,.T.); #246843=ORIENTED_EDGE('',*,*,#190437,.F.); #246844=ORIENTED_EDGE('',*,*,#190438,.F.); #246845=ORIENTED_EDGE('',*,*,#190439,.T.); #246846=ORIENTED_EDGE('',*,*,#190438,.T.); #246847=ORIENTED_EDGE('',*,*,#190440,.F.); #246848=ORIENTED_EDGE('',*,*,#190441,.F.); #246849=ORIENTED_EDGE('',*,*,#190442,.T.); #246850=ORIENTED_EDGE('',*,*,#190441,.T.); #246851=ORIENTED_EDGE('',*,*,#190443,.F.); #246852=ORIENTED_EDGE('',*,*,#190444,.F.); #246853=ORIENTED_EDGE('',*,*,#190445,.T.); #246854=ORIENTED_EDGE('',*,*,#190444,.T.); #246855=ORIENTED_EDGE('',*,*,#190446,.F.); #246856=ORIENTED_EDGE('',*,*,#190447,.F.); #246857=ORIENTED_EDGE('',*,*,#190448,.T.); #246858=ORIENTED_EDGE('',*,*,#190447,.T.); #246859=ORIENTED_EDGE('',*,*,#190449,.F.); #246860=ORIENTED_EDGE('',*,*,#190450,.F.); #246861=ORIENTED_EDGE('',*,*,#190451,.T.); #246862=ORIENTED_EDGE('',*,*,#190450,.T.); #246863=ORIENTED_EDGE('',*,*,#190452,.F.); #246864=ORIENTED_EDGE('',*,*,#190453,.F.); #246865=ORIENTED_EDGE('',*,*,#190454,.T.); #246866=ORIENTED_EDGE('',*,*,#190453,.T.); #246867=ORIENTED_EDGE('',*,*,#190455,.F.); #246868=ORIENTED_EDGE('',*,*,#190456,.F.); #246869=ORIENTED_EDGE('',*,*,#190457,.T.); #246870=ORIENTED_EDGE('',*,*,#190456,.T.); #246871=ORIENTED_EDGE('',*,*,#190458,.F.); #246872=ORIENTED_EDGE('',*,*,#190459,.F.); #246873=ORIENTED_EDGE('',*,*,#190460,.T.); #246874=ORIENTED_EDGE('',*,*,#190459,.T.); #246875=ORIENTED_EDGE('',*,*,#190461,.F.); #246876=ORIENTED_EDGE('',*,*,#190462,.F.); #246877=ORIENTED_EDGE('',*,*,#190463,.T.); #246878=ORIENTED_EDGE('',*,*,#190462,.T.); #246879=ORIENTED_EDGE('',*,*,#190464,.F.); #246880=ORIENTED_EDGE('',*,*,#190465,.F.); #246881=ORIENTED_EDGE('',*,*,#190466,.T.); #246882=ORIENTED_EDGE('',*,*,#190465,.T.); #246883=ORIENTED_EDGE('',*,*,#190467,.F.); #246884=ORIENTED_EDGE('',*,*,#190468,.F.); #246885=ORIENTED_EDGE('',*,*,#190469,.T.); #246886=ORIENTED_EDGE('',*,*,#190468,.T.); #246887=ORIENTED_EDGE('',*,*,#190470,.F.); #246888=ORIENTED_EDGE('',*,*,#190471,.F.); #246889=ORIENTED_EDGE('',*,*,#190472,.T.); #246890=ORIENTED_EDGE('',*,*,#190471,.T.); #246891=ORIENTED_EDGE('',*,*,#190473,.F.); #246892=ORIENTED_EDGE('',*,*,#190474,.F.); #246893=ORIENTED_EDGE('',*,*,#190475,.T.); #246894=ORIENTED_EDGE('',*,*,#190474,.T.); #246895=ORIENTED_EDGE('',*,*,#190476,.F.); #246896=ORIENTED_EDGE('',*,*,#190477,.F.); #246897=ORIENTED_EDGE('',*,*,#190478,.T.); #246898=ORIENTED_EDGE('',*,*,#190477,.T.); #246899=ORIENTED_EDGE('',*,*,#190479,.F.); #246900=ORIENTED_EDGE('',*,*,#190480,.F.); #246901=ORIENTED_EDGE('',*,*,#190481,.T.); #246902=ORIENTED_EDGE('',*,*,#190480,.T.); #246903=ORIENTED_EDGE('',*,*,#190482,.F.); #246904=ORIENTED_EDGE('',*,*,#190483,.F.); #246905=ORIENTED_EDGE('',*,*,#190484,.T.); #246906=ORIENTED_EDGE('',*,*,#190483,.T.); #246907=ORIENTED_EDGE('',*,*,#190485,.F.); #246908=ORIENTED_EDGE('',*,*,#190486,.F.); #246909=ORIENTED_EDGE('',*,*,#190487,.T.); #246910=ORIENTED_EDGE('',*,*,#190486,.T.); #246911=ORIENTED_EDGE('',*,*,#190488,.F.); #246912=ORIENTED_EDGE('',*,*,#190489,.F.); #246913=ORIENTED_EDGE('',*,*,#190490,.T.); #246914=ORIENTED_EDGE('',*,*,#190489,.T.); #246915=ORIENTED_EDGE('',*,*,#190491,.F.); #246916=ORIENTED_EDGE('',*,*,#190492,.F.); #246917=ORIENTED_EDGE('',*,*,#190493,.T.); #246918=ORIENTED_EDGE('',*,*,#190492,.T.); #246919=ORIENTED_EDGE('',*,*,#190494,.F.); #246920=ORIENTED_EDGE('',*,*,#190495,.F.); #246921=ORIENTED_EDGE('',*,*,#190496,.T.); #246922=ORIENTED_EDGE('',*,*,#190495,.T.); #246923=ORIENTED_EDGE('',*,*,#190497,.F.); #246924=ORIENTED_EDGE('',*,*,#190498,.F.); #246925=ORIENTED_EDGE('',*,*,#190499,.T.); #246926=ORIENTED_EDGE('',*,*,#190498,.T.); #246927=ORIENTED_EDGE('',*,*,#190500,.F.); #246928=ORIENTED_EDGE('',*,*,#190501,.F.); #246929=ORIENTED_EDGE('',*,*,#190502,.T.); #246930=ORIENTED_EDGE('',*,*,#190501,.T.); #246931=ORIENTED_EDGE('',*,*,#190503,.F.); #246932=ORIENTED_EDGE('',*,*,#190504,.F.); #246933=ORIENTED_EDGE('',*,*,#190505,.T.); #246934=ORIENTED_EDGE('',*,*,#190504,.T.); #246935=ORIENTED_EDGE('',*,*,#190506,.F.); #246936=ORIENTED_EDGE('',*,*,#190379,.F.); #246937=ORIENTED_EDGE('',*,*,#190506,.T.); #246938=ORIENTED_EDGE('',*,*,#190503,.T.); #246939=ORIENTED_EDGE('',*,*,#190500,.T.); #246940=ORIENTED_EDGE('',*,*,#190497,.T.); #246941=ORIENTED_EDGE('',*,*,#190494,.T.); #246942=ORIENTED_EDGE('',*,*,#190491,.T.); #246943=ORIENTED_EDGE('',*,*,#190488,.T.); #246944=ORIENTED_EDGE('',*,*,#190485,.T.); #246945=ORIENTED_EDGE('',*,*,#190482,.T.); #246946=ORIENTED_EDGE('',*,*,#190479,.T.); #246947=ORIENTED_EDGE('',*,*,#190476,.T.); #246948=ORIENTED_EDGE('',*,*,#190473,.T.); #246949=ORIENTED_EDGE('',*,*,#190470,.T.); #246950=ORIENTED_EDGE('',*,*,#190467,.T.); #246951=ORIENTED_EDGE('',*,*,#190464,.T.); #246952=ORIENTED_EDGE('',*,*,#190461,.T.); #246953=ORIENTED_EDGE('',*,*,#190458,.T.); #246954=ORIENTED_EDGE('',*,*,#190455,.T.); #246955=ORIENTED_EDGE('',*,*,#190452,.T.); #246956=ORIENTED_EDGE('',*,*,#190449,.T.); #246957=ORIENTED_EDGE('',*,*,#190446,.T.); #246958=ORIENTED_EDGE('',*,*,#190443,.T.); #246959=ORIENTED_EDGE('',*,*,#190440,.T.); #246960=ORIENTED_EDGE('',*,*,#190437,.T.); #246961=ORIENTED_EDGE('',*,*,#190434,.T.); #246962=ORIENTED_EDGE('',*,*,#190431,.T.); #246963=ORIENTED_EDGE('',*,*,#190428,.T.); #246964=ORIENTED_EDGE('',*,*,#190425,.T.); #246965=ORIENTED_EDGE('',*,*,#190422,.T.); #246966=ORIENTED_EDGE('',*,*,#190419,.T.); #246967=ORIENTED_EDGE('',*,*,#190416,.T.); #246968=ORIENTED_EDGE('',*,*,#190413,.T.); #246969=ORIENTED_EDGE('',*,*,#190410,.T.); #246970=ORIENTED_EDGE('',*,*,#190407,.T.); #246971=ORIENTED_EDGE('',*,*,#190404,.T.); #246972=ORIENTED_EDGE('',*,*,#190401,.T.); #246973=ORIENTED_EDGE('',*,*,#190398,.T.); #246974=ORIENTED_EDGE('',*,*,#190395,.T.); #246975=ORIENTED_EDGE('',*,*,#190392,.T.); #246976=ORIENTED_EDGE('',*,*,#190389,.T.); #246977=ORIENTED_EDGE('',*,*,#190386,.T.); #246978=ORIENTED_EDGE('',*,*,#190383,.T.); #246979=ORIENTED_EDGE('',*,*,#190380,.T.); #246980=ORIENTED_EDGE('',*,*,#190377,.T.); #246981=ORIENTED_EDGE('',*,*,#190505,.F.); #246982=ORIENTED_EDGE('',*,*,#190378,.F.); #246983=ORIENTED_EDGE('',*,*,#190382,.F.); #246984=ORIENTED_EDGE('',*,*,#190385,.F.); #246985=ORIENTED_EDGE('',*,*,#190388,.F.); #246986=ORIENTED_EDGE('',*,*,#190391,.F.); #246987=ORIENTED_EDGE('',*,*,#190394,.F.); #246988=ORIENTED_EDGE('',*,*,#190397,.F.); #246989=ORIENTED_EDGE('',*,*,#190400,.F.); #246990=ORIENTED_EDGE('',*,*,#190403,.F.); #246991=ORIENTED_EDGE('',*,*,#190406,.F.); #246992=ORIENTED_EDGE('',*,*,#190409,.F.); #246993=ORIENTED_EDGE('',*,*,#190412,.F.); #246994=ORIENTED_EDGE('',*,*,#190415,.F.); #246995=ORIENTED_EDGE('',*,*,#190418,.F.); #246996=ORIENTED_EDGE('',*,*,#190421,.F.); #246997=ORIENTED_EDGE('',*,*,#190424,.F.); #246998=ORIENTED_EDGE('',*,*,#190427,.F.); #246999=ORIENTED_EDGE('',*,*,#190430,.F.); #247000=ORIENTED_EDGE('',*,*,#190433,.F.); #247001=ORIENTED_EDGE('',*,*,#190436,.F.); #247002=ORIENTED_EDGE('',*,*,#190439,.F.); #247003=ORIENTED_EDGE('',*,*,#190442,.F.); #247004=ORIENTED_EDGE('',*,*,#190445,.F.); #247005=ORIENTED_EDGE('',*,*,#190448,.F.); #247006=ORIENTED_EDGE('',*,*,#190451,.F.); #247007=ORIENTED_EDGE('',*,*,#190454,.F.); #247008=ORIENTED_EDGE('',*,*,#190457,.F.); #247009=ORIENTED_EDGE('',*,*,#190460,.F.); #247010=ORIENTED_EDGE('',*,*,#190463,.F.); #247011=ORIENTED_EDGE('',*,*,#190466,.F.); #247012=ORIENTED_EDGE('',*,*,#190469,.F.); #247013=ORIENTED_EDGE('',*,*,#190472,.F.); #247014=ORIENTED_EDGE('',*,*,#190475,.F.); #247015=ORIENTED_EDGE('',*,*,#190478,.F.); #247016=ORIENTED_EDGE('',*,*,#190481,.F.); #247017=ORIENTED_EDGE('',*,*,#190484,.F.); #247018=ORIENTED_EDGE('',*,*,#190487,.F.); #247019=ORIENTED_EDGE('',*,*,#190490,.F.); #247020=ORIENTED_EDGE('',*,*,#190493,.F.); #247021=ORIENTED_EDGE('',*,*,#190496,.F.); #247022=ORIENTED_EDGE('',*,*,#190499,.F.); #247023=ORIENTED_EDGE('',*,*,#190502,.F.); #247024=ORIENTED_EDGE('',*,*,#190375,.T.); #247025=ORIENTED_EDGE('',*,*,#190507,.F.); #247026=ORIENTED_EDGE('',*,*,#190508,.T.); #247027=ORIENTED_EDGE('',*,*,#190509,.F.); #247028=ORIENTED_EDGE('',*,*,#190508,.F.); #247029=ORIENTED_EDGE('',*,*,#190510,.T.); #247030=ORIENTED_EDGE('',*,*,#190511,.T.); #247031=ORIENTED_EDGE('',*,*,#190512,.F.); #247032=ORIENTED_EDGE('',*,*,#190513,.F.); #247033=ORIENTED_EDGE('',*,*,#190514,.T.); #247034=ORIENTED_EDGE('',*,*,#190513,.T.); #247035=ORIENTED_EDGE('',*,*,#190515,.F.); #247036=ORIENTED_EDGE('',*,*,#190516,.F.); #247037=ORIENTED_EDGE('',*,*,#190517,.T.); #247038=ORIENTED_EDGE('',*,*,#190516,.T.); #247039=ORIENTED_EDGE('',*,*,#190518,.F.); #247040=ORIENTED_EDGE('',*,*,#190519,.F.); #247041=ORIENTED_EDGE('',*,*,#190520,.T.); #247042=ORIENTED_EDGE('',*,*,#190519,.T.); #247043=ORIENTED_EDGE('',*,*,#190521,.F.); #247044=ORIENTED_EDGE('',*,*,#190522,.F.); #247045=ORIENTED_EDGE('',*,*,#190523,.T.); #247046=ORIENTED_EDGE('',*,*,#190522,.T.); #247047=ORIENTED_EDGE('',*,*,#190524,.F.); #247048=ORIENTED_EDGE('',*,*,#190525,.F.); #247049=ORIENTED_EDGE('',*,*,#190526,.T.); #247050=ORIENTED_EDGE('',*,*,#190525,.T.); #247051=ORIENTED_EDGE('',*,*,#190527,.F.); #247052=ORIENTED_EDGE('',*,*,#190528,.F.); #247053=ORIENTED_EDGE('',*,*,#190529,.T.); #247054=ORIENTED_EDGE('',*,*,#190528,.T.); #247055=ORIENTED_EDGE('',*,*,#190530,.F.); #247056=ORIENTED_EDGE('',*,*,#190531,.F.); #247057=ORIENTED_EDGE('',*,*,#190532,.T.); #247058=ORIENTED_EDGE('',*,*,#190531,.T.); #247059=ORIENTED_EDGE('',*,*,#190533,.F.); #247060=ORIENTED_EDGE('',*,*,#190534,.F.); #247061=ORIENTED_EDGE('',*,*,#190535,.T.); #247062=ORIENTED_EDGE('',*,*,#190534,.T.); #247063=ORIENTED_EDGE('',*,*,#190536,.F.); #247064=ORIENTED_EDGE('',*,*,#190537,.F.); #247065=ORIENTED_EDGE('',*,*,#190538,.T.); #247066=ORIENTED_EDGE('',*,*,#190537,.T.); #247067=ORIENTED_EDGE('',*,*,#190539,.F.); #247068=ORIENTED_EDGE('',*,*,#190540,.F.); #247069=ORIENTED_EDGE('',*,*,#190541,.T.); #247070=ORIENTED_EDGE('',*,*,#190540,.T.); #247071=ORIENTED_EDGE('',*,*,#190542,.F.); #247072=ORIENTED_EDGE('',*,*,#190543,.F.); #247073=ORIENTED_EDGE('',*,*,#190544,.T.); #247074=ORIENTED_EDGE('',*,*,#190543,.T.); #247075=ORIENTED_EDGE('',*,*,#190545,.F.); #247076=ORIENTED_EDGE('',*,*,#190546,.F.); #247077=ORIENTED_EDGE('',*,*,#190547,.T.); #247078=ORIENTED_EDGE('',*,*,#190546,.T.); #247079=ORIENTED_EDGE('',*,*,#190548,.F.); #247080=ORIENTED_EDGE('',*,*,#190549,.F.); #247081=ORIENTED_EDGE('',*,*,#190550,.T.); #247082=ORIENTED_EDGE('',*,*,#190549,.T.); #247083=ORIENTED_EDGE('',*,*,#190551,.F.); #247084=ORIENTED_EDGE('',*,*,#190552,.F.); #247085=ORIENTED_EDGE('',*,*,#190553,.T.); #247086=ORIENTED_EDGE('',*,*,#190552,.T.); #247087=ORIENTED_EDGE('',*,*,#190554,.F.); #247088=ORIENTED_EDGE('',*,*,#190555,.F.); #247089=ORIENTED_EDGE('',*,*,#190556,.T.); #247090=ORIENTED_EDGE('',*,*,#190555,.T.); #247091=ORIENTED_EDGE('',*,*,#190557,.F.); #247092=ORIENTED_EDGE('',*,*,#190558,.F.); #247093=ORIENTED_EDGE('',*,*,#190559,.T.); #247094=ORIENTED_EDGE('',*,*,#190558,.T.); #247095=ORIENTED_EDGE('',*,*,#190560,.F.); #247096=ORIENTED_EDGE('',*,*,#190561,.F.); #247097=ORIENTED_EDGE('',*,*,#190562,.T.); #247098=ORIENTED_EDGE('',*,*,#190561,.T.); #247099=ORIENTED_EDGE('',*,*,#190563,.F.); #247100=ORIENTED_EDGE('',*,*,#190564,.F.); #247101=ORIENTED_EDGE('',*,*,#190565,.T.); #247102=ORIENTED_EDGE('',*,*,#190564,.T.); #247103=ORIENTED_EDGE('',*,*,#190566,.F.); #247104=ORIENTED_EDGE('',*,*,#190567,.F.); #247105=ORIENTED_EDGE('',*,*,#190568,.T.); #247106=ORIENTED_EDGE('',*,*,#190567,.T.); #247107=ORIENTED_EDGE('',*,*,#190569,.F.); #247108=ORIENTED_EDGE('',*,*,#190570,.F.); #247109=ORIENTED_EDGE('',*,*,#190571,.T.); #247110=ORIENTED_EDGE('',*,*,#190570,.T.); #247111=ORIENTED_EDGE('',*,*,#190572,.F.); #247112=ORIENTED_EDGE('',*,*,#190511,.F.); #247113=ORIENTED_EDGE('',*,*,#190572,.T.); #247114=ORIENTED_EDGE('',*,*,#190569,.T.); #247115=ORIENTED_EDGE('',*,*,#190566,.T.); #247116=ORIENTED_EDGE('',*,*,#190563,.T.); #247117=ORIENTED_EDGE('',*,*,#190560,.T.); #247118=ORIENTED_EDGE('',*,*,#190557,.T.); #247119=ORIENTED_EDGE('',*,*,#190554,.T.); #247120=ORIENTED_EDGE('',*,*,#190551,.T.); #247121=ORIENTED_EDGE('',*,*,#190548,.T.); #247122=ORIENTED_EDGE('',*,*,#190545,.T.); #247123=ORIENTED_EDGE('',*,*,#190542,.T.); #247124=ORIENTED_EDGE('',*,*,#190539,.T.); #247125=ORIENTED_EDGE('',*,*,#190536,.T.); #247126=ORIENTED_EDGE('',*,*,#190533,.T.); #247127=ORIENTED_EDGE('',*,*,#190530,.T.); #247128=ORIENTED_EDGE('',*,*,#190527,.T.); #247129=ORIENTED_EDGE('',*,*,#190524,.T.); #247130=ORIENTED_EDGE('',*,*,#190521,.T.); #247131=ORIENTED_EDGE('',*,*,#190518,.T.); #247132=ORIENTED_EDGE('',*,*,#190515,.T.); #247133=ORIENTED_EDGE('',*,*,#190512,.T.); #247134=ORIENTED_EDGE('',*,*,#190509,.T.); #247135=ORIENTED_EDGE('',*,*,#190571,.F.); #247136=ORIENTED_EDGE('',*,*,#190510,.F.); #247137=ORIENTED_EDGE('',*,*,#190514,.F.); #247138=ORIENTED_EDGE('',*,*,#190517,.F.); #247139=ORIENTED_EDGE('',*,*,#190520,.F.); #247140=ORIENTED_EDGE('',*,*,#190523,.F.); #247141=ORIENTED_EDGE('',*,*,#190526,.F.); #247142=ORIENTED_EDGE('',*,*,#190529,.F.); #247143=ORIENTED_EDGE('',*,*,#190532,.F.); #247144=ORIENTED_EDGE('',*,*,#190535,.F.); #247145=ORIENTED_EDGE('',*,*,#190538,.F.); #247146=ORIENTED_EDGE('',*,*,#190541,.F.); #247147=ORIENTED_EDGE('',*,*,#190544,.F.); #247148=ORIENTED_EDGE('',*,*,#190547,.F.); #247149=ORIENTED_EDGE('',*,*,#190550,.F.); #247150=ORIENTED_EDGE('',*,*,#190553,.F.); #247151=ORIENTED_EDGE('',*,*,#190556,.F.); #247152=ORIENTED_EDGE('',*,*,#190559,.F.); #247153=ORIENTED_EDGE('',*,*,#190562,.F.); #247154=ORIENTED_EDGE('',*,*,#190565,.F.); #247155=ORIENTED_EDGE('',*,*,#190568,.F.); #247156=ORIENTED_EDGE('',*,*,#190507,.T.); #247157=ORIENTED_EDGE('',*,*,#190573,.F.); #247158=ORIENTED_EDGE('',*,*,#190574,.T.); #247159=ORIENTED_EDGE('',*,*,#190575,.F.); #247160=ORIENTED_EDGE('',*,*,#190574,.F.); #247161=ORIENTED_EDGE('',*,*,#190576,.T.); #247162=ORIENTED_EDGE('',*,*,#190577,.T.); #247163=ORIENTED_EDGE('',*,*,#190578,.F.); #247164=ORIENTED_EDGE('',*,*,#190579,.F.); #247165=ORIENTED_EDGE('',*,*,#190580,.T.); #247166=ORIENTED_EDGE('',*,*,#190579,.T.); #247167=ORIENTED_EDGE('',*,*,#190581,.F.); #247168=ORIENTED_EDGE('',*,*,#190582,.F.); #247169=ORIENTED_EDGE('',*,*,#190583,.T.); #247170=ORIENTED_EDGE('',*,*,#190582,.T.); #247171=ORIENTED_EDGE('',*,*,#190584,.F.); #247172=ORIENTED_EDGE('',*,*,#190585,.F.); #247173=ORIENTED_EDGE('',*,*,#190586,.T.); #247174=ORIENTED_EDGE('',*,*,#190585,.T.); #247175=ORIENTED_EDGE('',*,*,#190587,.F.); #247176=ORIENTED_EDGE('',*,*,#190577,.F.); #247177=ORIENTED_EDGE('',*,*,#190587,.T.); #247178=ORIENTED_EDGE('',*,*,#190584,.T.); #247179=ORIENTED_EDGE('',*,*,#190581,.T.); #247180=ORIENTED_EDGE('',*,*,#190578,.T.); #247181=ORIENTED_EDGE('',*,*,#190575,.T.); #247182=ORIENTED_EDGE('',*,*,#190586,.F.); #247183=ORIENTED_EDGE('',*,*,#190576,.F.); #247184=ORIENTED_EDGE('',*,*,#190580,.F.); #247185=ORIENTED_EDGE('',*,*,#190583,.F.); #247186=ORIENTED_EDGE('',*,*,#190573,.T.); #247187=ORIENTED_EDGE('',*,*,#190588,.F.); #247188=ORIENTED_EDGE('',*,*,#190589,.T.); #247189=ORIENTED_EDGE('',*,*,#190590,.F.); #247190=ORIENTED_EDGE('',*,*,#190589,.F.); #247191=ORIENTED_EDGE('',*,*,#190591,.T.); #247192=ORIENTED_EDGE('',*,*,#190592,.T.); #247193=ORIENTED_EDGE('',*,*,#190593,.F.); #247194=ORIENTED_EDGE('',*,*,#190594,.F.); #247195=ORIENTED_EDGE('',*,*,#190595,.T.); #247196=ORIENTED_EDGE('',*,*,#190594,.T.); #247197=ORIENTED_EDGE('',*,*,#190596,.F.); #247198=ORIENTED_EDGE('',*,*,#190597,.F.); #247199=ORIENTED_EDGE('',*,*,#190598,.T.); #247200=ORIENTED_EDGE('',*,*,#190597,.T.); #247201=ORIENTED_EDGE('',*,*,#190599,.F.); #247202=ORIENTED_EDGE('',*,*,#190600,.F.); #247203=ORIENTED_EDGE('',*,*,#190601,.T.); #247204=ORIENTED_EDGE('',*,*,#190600,.T.); #247205=ORIENTED_EDGE('',*,*,#190602,.F.); #247206=ORIENTED_EDGE('',*,*,#190592,.F.); #247207=ORIENTED_EDGE('',*,*,#190602,.T.); #247208=ORIENTED_EDGE('',*,*,#190599,.T.); #247209=ORIENTED_EDGE('',*,*,#190596,.T.); #247210=ORIENTED_EDGE('',*,*,#190593,.T.); #247211=ORIENTED_EDGE('',*,*,#190590,.T.); #247212=ORIENTED_EDGE('',*,*,#190601,.F.); #247213=ORIENTED_EDGE('',*,*,#190591,.F.); #247214=ORIENTED_EDGE('',*,*,#190595,.F.); #247215=ORIENTED_EDGE('',*,*,#190598,.F.); #247216=ORIENTED_EDGE('',*,*,#190588,.T.); #247217=ORIENTED_EDGE('',*,*,#190603,.F.); #247218=ORIENTED_EDGE('',*,*,#190604,.T.); #247219=ORIENTED_EDGE('',*,*,#190605,.F.); #247220=ORIENTED_EDGE('',*,*,#190604,.F.); #247221=ORIENTED_EDGE('',*,*,#190606,.T.); #247222=ORIENTED_EDGE('',*,*,#190607,.T.); #247223=ORIENTED_EDGE('',*,*,#190608,.F.); #247224=ORIENTED_EDGE('',*,*,#190609,.F.); #247225=ORIENTED_EDGE('',*,*,#190610,.T.); #247226=ORIENTED_EDGE('',*,*,#190609,.T.); #247227=ORIENTED_EDGE('',*,*,#190611,.F.); #247228=ORIENTED_EDGE('',*,*,#190612,.F.); #247229=ORIENTED_EDGE('',*,*,#190613,.T.); #247230=ORIENTED_EDGE('',*,*,#190612,.T.); #247231=ORIENTED_EDGE('',*,*,#190614,.F.); #247232=ORIENTED_EDGE('',*,*,#190615,.F.); #247233=ORIENTED_EDGE('',*,*,#190616,.T.); #247234=ORIENTED_EDGE('',*,*,#190615,.T.); #247235=ORIENTED_EDGE('',*,*,#190617,.F.); #247236=ORIENTED_EDGE('',*,*,#190618,.F.); #247237=ORIENTED_EDGE('',*,*,#190619,.T.); #247238=ORIENTED_EDGE('',*,*,#190618,.T.); #247239=ORIENTED_EDGE('',*,*,#190620,.F.); #247240=ORIENTED_EDGE('',*,*,#190621,.F.); #247241=ORIENTED_EDGE('',*,*,#190622,.T.); #247242=ORIENTED_EDGE('',*,*,#190621,.T.); #247243=ORIENTED_EDGE('',*,*,#190623,.F.); #247244=ORIENTED_EDGE('',*,*,#190624,.F.); #247245=ORIENTED_EDGE('',*,*,#190625,.T.); #247246=ORIENTED_EDGE('',*,*,#190624,.T.); #247247=ORIENTED_EDGE('',*,*,#190626,.F.); #247248=ORIENTED_EDGE('',*,*,#190627,.F.); #247249=ORIENTED_EDGE('',*,*,#190628,.T.); #247250=ORIENTED_EDGE('',*,*,#190627,.T.); #247251=ORIENTED_EDGE('',*,*,#190629,.F.); #247252=ORIENTED_EDGE('',*,*,#190630,.F.); #247253=ORIENTED_EDGE('',*,*,#190631,.T.); #247254=ORIENTED_EDGE('',*,*,#190630,.T.); #247255=ORIENTED_EDGE('',*,*,#190632,.F.); #247256=ORIENTED_EDGE('',*,*,#190633,.F.); #247257=ORIENTED_EDGE('',*,*,#190634,.T.); #247258=ORIENTED_EDGE('',*,*,#190633,.T.); #247259=ORIENTED_EDGE('',*,*,#190635,.F.); #247260=ORIENTED_EDGE('',*,*,#190636,.F.); #247261=ORIENTED_EDGE('',*,*,#190637,.T.); #247262=ORIENTED_EDGE('',*,*,#190636,.T.); #247263=ORIENTED_EDGE('',*,*,#190638,.F.); #247264=ORIENTED_EDGE('',*,*,#190639,.F.); #247265=ORIENTED_EDGE('',*,*,#190640,.T.); #247266=ORIENTED_EDGE('',*,*,#190639,.T.); #247267=ORIENTED_EDGE('',*,*,#190641,.F.); #247268=ORIENTED_EDGE('',*,*,#190642,.F.); #247269=ORIENTED_EDGE('',*,*,#190643,.T.); #247270=ORIENTED_EDGE('',*,*,#190642,.T.); #247271=ORIENTED_EDGE('',*,*,#190644,.F.); #247272=ORIENTED_EDGE('',*,*,#190645,.F.); #247273=ORIENTED_EDGE('',*,*,#190646,.T.); #247274=ORIENTED_EDGE('',*,*,#190645,.T.); #247275=ORIENTED_EDGE('',*,*,#190647,.F.); #247276=ORIENTED_EDGE('',*,*,#190607,.F.); #247277=ORIENTED_EDGE('',*,*,#190647,.T.); #247278=ORIENTED_EDGE('',*,*,#190644,.T.); #247279=ORIENTED_EDGE('',*,*,#190641,.T.); #247280=ORIENTED_EDGE('',*,*,#190638,.T.); #247281=ORIENTED_EDGE('',*,*,#190635,.T.); #247282=ORIENTED_EDGE('',*,*,#190632,.T.); #247283=ORIENTED_EDGE('',*,*,#190629,.T.); #247284=ORIENTED_EDGE('',*,*,#190626,.T.); #247285=ORIENTED_EDGE('',*,*,#190623,.T.); #247286=ORIENTED_EDGE('',*,*,#190620,.T.); #247287=ORIENTED_EDGE('',*,*,#190617,.T.); #247288=ORIENTED_EDGE('',*,*,#190614,.T.); #247289=ORIENTED_EDGE('',*,*,#190611,.T.); #247290=ORIENTED_EDGE('',*,*,#190608,.T.); #247291=ORIENTED_EDGE('',*,*,#190605,.T.); #247292=ORIENTED_EDGE('',*,*,#190646,.F.); #247293=ORIENTED_EDGE('',*,*,#190606,.F.); #247294=ORIENTED_EDGE('',*,*,#190610,.F.); #247295=ORIENTED_EDGE('',*,*,#190613,.F.); #247296=ORIENTED_EDGE('',*,*,#190616,.F.); #247297=ORIENTED_EDGE('',*,*,#190619,.F.); #247298=ORIENTED_EDGE('',*,*,#190622,.F.); #247299=ORIENTED_EDGE('',*,*,#190625,.F.); #247300=ORIENTED_EDGE('',*,*,#190628,.F.); #247301=ORIENTED_EDGE('',*,*,#190631,.F.); #247302=ORIENTED_EDGE('',*,*,#190634,.F.); #247303=ORIENTED_EDGE('',*,*,#190637,.F.); #247304=ORIENTED_EDGE('',*,*,#190640,.F.); #247305=ORIENTED_EDGE('',*,*,#190643,.F.); #247306=ORIENTED_EDGE('',*,*,#190603,.T.); #247307=ORIENTED_EDGE('',*,*,#190648,.F.); #247308=ORIENTED_EDGE('',*,*,#190649,.T.); #247309=ORIENTED_EDGE('',*,*,#190650,.F.); #247310=ORIENTED_EDGE('',*,*,#190649,.F.); #247311=ORIENTED_EDGE('',*,*,#190651,.T.); #247312=ORIENTED_EDGE('',*,*,#190652,.T.); #247313=ORIENTED_EDGE('',*,*,#190653,.F.); #247314=ORIENTED_EDGE('',*,*,#190654,.F.); #247315=ORIENTED_EDGE('',*,*,#190655,.T.); #247316=ORIENTED_EDGE('',*,*,#190654,.T.); #247317=ORIENTED_EDGE('',*,*,#190656,.F.); #247318=ORIENTED_EDGE('',*,*,#190657,.F.); #247319=ORIENTED_EDGE('',*,*,#190658,.T.); #247320=ORIENTED_EDGE('',*,*,#190657,.T.); #247321=ORIENTED_EDGE('',*,*,#190659,.F.); #247322=ORIENTED_EDGE('',*,*,#190652,.F.); #247323=ORIENTED_EDGE('',*,*,#190659,.T.); #247324=ORIENTED_EDGE('',*,*,#190656,.T.); #247325=ORIENTED_EDGE('',*,*,#190653,.T.); #247326=ORIENTED_EDGE('',*,*,#190650,.T.); #247327=ORIENTED_EDGE('',*,*,#190658,.F.); #247328=ORIENTED_EDGE('',*,*,#190651,.F.); #247329=ORIENTED_EDGE('',*,*,#190655,.F.); #247330=ORIENTED_EDGE('',*,*,#190648,.T.); #247331=ORIENTED_EDGE('',*,*,#190660,.F.); #247332=ORIENTED_EDGE('',*,*,#190661,.T.); #247333=ORIENTED_EDGE('',*,*,#190662,.F.); #247334=ORIENTED_EDGE('',*,*,#190661,.F.); #247335=ORIENTED_EDGE('',*,*,#190663,.F.); #247336=ORIENTED_EDGE('',*,*,#190664,.T.); #247337=ORIENTED_EDGE('',*,*,#190665,.F.); #247338=ORIENTED_EDGE('',*,*,#190664,.F.); #247339=ORIENTED_EDGE('',*,*,#190666,.T.); #247340=ORIENTED_EDGE('',*,*,#190667,.T.); #247341=ORIENTED_EDGE('',*,*,#190668,.F.); #247342=ORIENTED_EDGE('',*,*,#190669,.F.); #247343=ORIENTED_EDGE('',*,*,#190670,.T.); #247344=ORIENTED_EDGE('',*,*,#190669,.T.); #247345=ORIENTED_EDGE('',*,*,#190671,.F.); #247346=ORIENTED_EDGE('',*,*,#190672,.F.); #247347=ORIENTED_EDGE('',*,*,#190673,.T.); #247348=ORIENTED_EDGE('',*,*,#190672,.T.); #247349=ORIENTED_EDGE('',*,*,#190674,.F.); #247350=ORIENTED_EDGE('',*,*,#190675,.F.); #247351=ORIENTED_EDGE('',*,*,#190676,.T.); #247352=ORIENTED_EDGE('',*,*,#190675,.T.); #247353=ORIENTED_EDGE('',*,*,#190677,.F.); #247354=ORIENTED_EDGE('',*,*,#190678,.F.); #247355=ORIENTED_EDGE('',*,*,#190679,.T.); #247356=ORIENTED_EDGE('',*,*,#190678,.T.); #247357=ORIENTED_EDGE('',*,*,#190680,.F.); #247358=ORIENTED_EDGE('',*,*,#190681,.F.); #247359=ORIENTED_EDGE('',*,*,#190682,.T.); #247360=ORIENTED_EDGE('',*,*,#190681,.T.); #247361=ORIENTED_EDGE('',*,*,#190683,.F.); #247362=ORIENTED_EDGE('',*,*,#190684,.F.); #247363=ORIENTED_EDGE('',*,*,#190685,.T.); #247364=ORIENTED_EDGE('',*,*,#190684,.T.); #247365=ORIENTED_EDGE('',*,*,#190686,.F.); #247366=ORIENTED_EDGE('',*,*,#190667,.F.); #247367=ORIENTED_EDGE('',*,*,#190686,.T.); #247368=ORIENTED_EDGE('',*,*,#190683,.T.); #247369=ORIENTED_EDGE('',*,*,#190680,.T.); #247370=ORIENTED_EDGE('',*,*,#190677,.T.); #247371=ORIENTED_EDGE('',*,*,#190674,.T.); #247372=ORIENTED_EDGE('',*,*,#190671,.T.); #247373=ORIENTED_EDGE('',*,*,#190668,.T.); #247374=ORIENTED_EDGE('',*,*,#190662,.T.); #247375=ORIENTED_EDGE('',*,*,#190665,.T.); #247376=ORIENTED_EDGE('',*,*,#190685,.F.); #247377=ORIENTED_EDGE('',*,*,#190666,.F.); #247378=ORIENTED_EDGE('',*,*,#190670,.F.); #247379=ORIENTED_EDGE('',*,*,#190673,.F.); #247380=ORIENTED_EDGE('',*,*,#190676,.F.); #247381=ORIENTED_EDGE('',*,*,#190679,.F.); #247382=ORIENTED_EDGE('',*,*,#190682,.F.); #247383=ORIENTED_EDGE('',*,*,#190660,.T.); #247384=ORIENTED_EDGE('',*,*,#190663,.T.); #247385=ORIENTED_EDGE('',*,*,#190687,.F.); #247386=ORIENTED_EDGE('',*,*,#190688,.T.); #247387=ORIENTED_EDGE('',*,*,#190689,.F.); #247388=ORIENTED_EDGE('',*,*,#190688,.F.); #247389=ORIENTED_EDGE('',*,*,#190690,.T.); #247390=ORIENTED_EDGE('',*,*,#190691,.T.); #247391=ORIENTED_EDGE('',*,*,#190692,.F.); #247392=ORIENTED_EDGE('',*,*,#190693,.F.); #247393=ORIENTED_EDGE('',*,*,#190694,.T.); #247394=ORIENTED_EDGE('',*,*,#190693,.T.); #247395=ORIENTED_EDGE('',*,*,#190695,.F.); #247396=ORIENTED_EDGE('',*,*,#190696,.F.); #247397=ORIENTED_EDGE('',*,*,#190697,.T.); #247398=ORIENTED_EDGE('',*,*,#190696,.T.); #247399=ORIENTED_EDGE('',*,*,#190698,.F.); #247400=ORIENTED_EDGE('',*,*,#190691,.F.); #247401=ORIENTED_EDGE('',*,*,#190698,.T.); #247402=ORIENTED_EDGE('',*,*,#190695,.T.); #247403=ORIENTED_EDGE('',*,*,#190692,.T.); #247404=ORIENTED_EDGE('',*,*,#190689,.T.); #247405=ORIENTED_EDGE('',*,*,#190697,.F.); #247406=ORIENTED_EDGE('',*,*,#190690,.F.); #247407=ORIENTED_EDGE('',*,*,#190694,.F.); #247408=ORIENTED_EDGE('',*,*,#190687,.T.); #247409=ORIENTED_EDGE('',*,*,#190699,.F.); #247410=ORIENTED_EDGE('',*,*,#190700,.T.); #247411=ORIENTED_EDGE('',*,*,#190701,.F.); #247412=ORIENTED_EDGE('',*,*,#190700,.F.); #247413=ORIENTED_EDGE('',*,*,#190702,.T.); #247414=ORIENTED_EDGE('',*,*,#190703,.T.); #247415=ORIENTED_EDGE('',*,*,#190704,.F.); #247416=ORIENTED_EDGE('',*,*,#190705,.F.); #247417=ORIENTED_EDGE('',*,*,#190706,.T.); #247418=ORIENTED_EDGE('',*,*,#190705,.T.); #247419=ORIENTED_EDGE('',*,*,#190707,.F.); #247420=ORIENTED_EDGE('',*,*,#190708,.F.); #247421=ORIENTED_EDGE('',*,*,#190709,.T.); #247422=ORIENTED_EDGE('',*,*,#190708,.T.); #247423=ORIENTED_EDGE('',*,*,#190710,.F.); #247424=ORIENTED_EDGE('',*,*,#190711,.F.); #247425=ORIENTED_EDGE('',*,*,#190712,.T.); #247426=ORIENTED_EDGE('',*,*,#190711,.T.); #247427=ORIENTED_EDGE('',*,*,#190713,.F.); #247428=ORIENTED_EDGE('',*,*,#190703,.F.); #247429=ORIENTED_EDGE('',*,*,#190713,.T.); #247430=ORIENTED_EDGE('',*,*,#190710,.T.); #247431=ORIENTED_EDGE('',*,*,#190707,.T.); #247432=ORIENTED_EDGE('',*,*,#190704,.T.); #247433=ORIENTED_EDGE('',*,*,#190701,.T.); #247434=ORIENTED_EDGE('',*,*,#190712,.F.); #247435=ORIENTED_EDGE('',*,*,#190702,.F.); #247436=ORIENTED_EDGE('',*,*,#190706,.F.); #247437=ORIENTED_EDGE('',*,*,#190709,.F.); #247438=ORIENTED_EDGE('',*,*,#190699,.T.); #247439=ORIENTED_EDGE('',*,*,#190714,.F.); #247440=ORIENTED_EDGE('',*,*,#190715,.T.); #247441=ORIENTED_EDGE('',*,*,#190716,.F.); #247442=ORIENTED_EDGE('',*,*,#190715,.F.); #247443=ORIENTED_EDGE('',*,*,#190717,.T.); #247444=ORIENTED_EDGE('',*,*,#190718,.T.); #247445=ORIENTED_EDGE('',*,*,#190719,.F.); #247446=ORIENTED_EDGE('',*,*,#190720,.F.); #247447=ORIENTED_EDGE('',*,*,#190721,.T.); #247448=ORIENTED_EDGE('',*,*,#190720,.T.); #247449=ORIENTED_EDGE('',*,*,#190722,.F.); #247450=ORIENTED_EDGE('',*,*,#190723,.F.); #247451=ORIENTED_EDGE('',*,*,#190724,.T.); #247452=ORIENTED_EDGE('',*,*,#190723,.T.); #247453=ORIENTED_EDGE('',*,*,#190725,.F.); #247454=ORIENTED_EDGE('',*,*,#190726,.F.); #247455=ORIENTED_EDGE('',*,*,#190727,.T.); #247456=ORIENTED_EDGE('',*,*,#190726,.T.); #247457=ORIENTED_EDGE('',*,*,#190728,.F.); #247458=ORIENTED_EDGE('',*,*,#190729,.F.); #247459=ORIENTED_EDGE('',*,*,#190730,.T.); #247460=ORIENTED_EDGE('',*,*,#190729,.T.); #247461=ORIENTED_EDGE('',*,*,#190731,.F.); #247462=ORIENTED_EDGE('',*,*,#190732,.F.); #247463=ORIENTED_EDGE('',*,*,#190733,.T.); #247464=ORIENTED_EDGE('',*,*,#190732,.T.); #247465=ORIENTED_EDGE('',*,*,#190734,.F.); #247466=ORIENTED_EDGE('',*,*,#190735,.F.); #247467=ORIENTED_EDGE('',*,*,#190736,.T.); #247468=ORIENTED_EDGE('',*,*,#190735,.T.); #247469=ORIENTED_EDGE('',*,*,#190737,.F.); #247470=ORIENTED_EDGE('',*,*,#190738,.F.); #247471=ORIENTED_EDGE('',*,*,#190739,.T.); #247472=ORIENTED_EDGE('',*,*,#190738,.T.); #247473=ORIENTED_EDGE('',*,*,#190740,.F.); #247474=ORIENTED_EDGE('',*,*,#190741,.F.); #247475=ORIENTED_EDGE('',*,*,#190742,.T.); #247476=ORIENTED_EDGE('',*,*,#190741,.T.); #247477=ORIENTED_EDGE('',*,*,#190743,.F.); #247478=ORIENTED_EDGE('',*,*,#190744,.F.); #247479=ORIENTED_EDGE('',*,*,#190745,.T.); #247480=ORIENTED_EDGE('',*,*,#190744,.T.); #247481=ORIENTED_EDGE('',*,*,#190746,.F.); #247482=ORIENTED_EDGE('',*,*,#190747,.F.); #247483=ORIENTED_EDGE('',*,*,#190748,.T.); #247484=ORIENTED_EDGE('',*,*,#190747,.T.); #247485=ORIENTED_EDGE('',*,*,#190749,.F.); #247486=ORIENTED_EDGE('',*,*,#190750,.F.); #247487=ORIENTED_EDGE('',*,*,#190751,.T.); #247488=ORIENTED_EDGE('',*,*,#190750,.T.); #247489=ORIENTED_EDGE('',*,*,#190752,.F.); #247490=ORIENTED_EDGE('',*,*,#190753,.F.); #247491=ORIENTED_EDGE('',*,*,#190754,.T.); #247492=ORIENTED_EDGE('',*,*,#190753,.T.); #247493=ORIENTED_EDGE('',*,*,#190755,.F.); #247494=ORIENTED_EDGE('',*,*,#190756,.F.); #247495=ORIENTED_EDGE('',*,*,#190757,.T.); #247496=ORIENTED_EDGE('',*,*,#190756,.T.); #247497=ORIENTED_EDGE('',*,*,#190758,.F.); #247498=ORIENTED_EDGE('',*,*,#190759,.F.); #247499=ORIENTED_EDGE('',*,*,#190760,.T.); #247500=ORIENTED_EDGE('',*,*,#190759,.T.); #247501=ORIENTED_EDGE('',*,*,#190761,.F.); #247502=ORIENTED_EDGE('',*,*,#190762,.F.); #247503=ORIENTED_EDGE('',*,*,#190763,.T.); #247504=ORIENTED_EDGE('',*,*,#190762,.T.); #247505=ORIENTED_EDGE('',*,*,#190764,.F.); #247506=ORIENTED_EDGE('',*,*,#190765,.F.); #247507=ORIENTED_EDGE('',*,*,#190766,.T.); #247508=ORIENTED_EDGE('',*,*,#190765,.T.); #247509=ORIENTED_EDGE('',*,*,#190767,.F.); #247510=ORIENTED_EDGE('',*,*,#190768,.F.); #247511=ORIENTED_EDGE('',*,*,#190769,.T.); #247512=ORIENTED_EDGE('',*,*,#190768,.T.); #247513=ORIENTED_EDGE('',*,*,#190770,.F.); #247514=ORIENTED_EDGE('',*,*,#190771,.F.); #247515=ORIENTED_EDGE('',*,*,#190772,.T.); #247516=ORIENTED_EDGE('',*,*,#190771,.T.); #247517=ORIENTED_EDGE('',*,*,#190773,.F.); #247518=ORIENTED_EDGE('',*,*,#190774,.F.); #247519=ORIENTED_EDGE('',*,*,#190775,.T.); #247520=ORIENTED_EDGE('',*,*,#190774,.T.); #247521=ORIENTED_EDGE('',*,*,#190776,.F.); #247522=ORIENTED_EDGE('',*,*,#190777,.F.); #247523=ORIENTED_EDGE('',*,*,#190778,.T.); #247524=ORIENTED_EDGE('',*,*,#190777,.T.); #247525=ORIENTED_EDGE('',*,*,#190779,.F.); #247526=ORIENTED_EDGE('',*,*,#190780,.F.); #247527=ORIENTED_EDGE('',*,*,#190781,.T.); #247528=ORIENTED_EDGE('',*,*,#190780,.T.); #247529=ORIENTED_EDGE('',*,*,#190782,.F.); #247530=ORIENTED_EDGE('',*,*,#190783,.F.); #247531=ORIENTED_EDGE('',*,*,#190784,.T.); #247532=ORIENTED_EDGE('',*,*,#190783,.T.); #247533=ORIENTED_EDGE('',*,*,#190785,.F.); #247534=ORIENTED_EDGE('',*,*,#190786,.F.); #247535=ORIENTED_EDGE('',*,*,#190787,.T.); #247536=ORIENTED_EDGE('',*,*,#190786,.T.); #247537=ORIENTED_EDGE('',*,*,#190788,.F.); #247538=ORIENTED_EDGE('',*,*,#190789,.F.); #247539=ORIENTED_EDGE('',*,*,#190790,.T.); #247540=ORIENTED_EDGE('',*,*,#190789,.T.); #247541=ORIENTED_EDGE('',*,*,#190791,.F.); #247542=ORIENTED_EDGE('',*,*,#190792,.F.); #247543=ORIENTED_EDGE('',*,*,#190793,.T.); #247544=ORIENTED_EDGE('',*,*,#190792,.T.); #247545=ORIENTED_EDGE('',*,*,#190794,.F.); #247546=ORIENTED_EDGE('',*,*,#190795,.F.); #247547=ORIENTED_EDGE('',*,*,#190796,.T.); #247548=ORIENTED_EDGE('',*,*,#190795,.T.); #247549=ORIENTED_EDGE('',*,*,#190797,.F.); #247550=ORIENTED_EDGE('',*,*,#190798,.F.); #247551=ORIENTED_EDGE('',*,*,#190799,.T.); #247552=ORIENTED_EDGE('',*,*,#190798,.T.); #247553=ORIENTED_EDGE('',*,*,#190800,.F.); #247554=ORIENTED_EDGE('',*,*,#190801,.F.); #247555=ORIENTED_EDGE('',*,*,#190802,.T.); #247556=ORIENTED_EDGE('',*,*,#190801,.T.); #247557=ORIENTED_EDGE('',*,*,#190803,.F.); #247558=ORIENTED_EDGE('',*,*,#190804,.F.); #247559=ORIENTED_EDGE('',*,*,#190805,.T.); #247560=ORIENTED_EDGE('',*,*,#190804,.T.); #247561=ORIENTED_EDGE('',*,*,#190806,.F.); #247562=ORIENTED_EDGE('',*,*,#190807,.F.); #247563=ORIENTED_EDGE('',*,*,#190808,.T.); #247564=ORIENTED_EDGE('',*,*,#190807,.T.); #247565=ORIENTED_EDGE('',*,*,#190809,.F.); #247566=ORIENTED_EDGE('',*,*,#190810,.F.); #247567=ORIENTED_EDGE('',*,*,#190811,.T.); #247568=ORIENTED_EDGE('',*,*,#190810,.T.); #247569=ORIENTED_EDGE('',*,*,#190812,.F.); #247570=ORIENTED_EDGE('',*,*,#190813,.F.); #247571=ORIENTED_EDGE('',*,*,#190814,.T.); #247572=ORIENTED_EDGE('',*,*,#190813,.T.); #247573=ORIENTED_EDGE('',*,*,#190815,.F.); #247574=ORIENTED_EDGE('',*,*,#190816,.F.); #247575=ORIENTED_EDGE('',*,*,#190817,.T.); #247576=ORIENTED_EDGE('',*,*,#190816,.T.); #247577=ORIENTED_EDGE('',*,*,#190818,.F.); #247578=ORIENTED_EDGE('',*,*,#190819,.F.); #247579=ORIENTED_EDGE('',*,*,#190820,.T.); #247580=ORIENTED_EDGE('',*,*,#190819,.T.); #247581=ORIENTED_EDGE('',*,*,#190821,.F.); #247582=ORIENTED_EDGE('',*,*,#190822,.F.); #247583=ORIENTED_EDGE('',*,*,#190823,.T.); #247584=ORIENTED_EDGE('',*,*,#190822,.T.); #247585=ORIENTED_EDGE('',*,*,#190824,.F.); #247586=ORIENTED_EDGE('',*,*,#190825,.F.); #247587=ORIENTED_EDGE('',*,*,#190826,.T.); #247588=ORIENTED_EDGE('',*,*,#190825,.T.); #247589=ORIENTED_EDGE('',*,*,#190827,.F.); #247590=ORIENTED_EDGE('',*,*,#190828,.F.); #247591=ORIENTED_EDGE('',*,*,#190829,.T.); #247592=ORIENTED_EDGE('',*,*,#190828,.T.); #247593=ORIENTED_EDGE('',*,*,#190830,.F.); #247594=ORIENTED_EDGE('',*,*,#190831,.F.); #247595=ORIENTED_EDGE('',*,*,#190832,.T.); #247596=ORIENTED_EDGE('',*,*,#190831,.T.); #247597=ORIENTED_EDGE('',*,*,#190833,.F.); #247598=ORIENTED_EDGE('',*,*,#190834,.F.); #247599=ORIENTED_EDGE('',*,*,#190835,.T.); #247600=ORIENTED_EDGE('',*,*,#190834,.T.); #247601=ORIENTED_EDGE('',*,*,#190836,.F.); #247602=ORIENTED_EDGE('',*,*,#190837,.F.); #247603=ORIENTED_EDGE('',*,*,#190838,.T.); #247604=ORIENTED_EDGE('',*,*,#190837,.T.); #247605=ORIENTED_EDGE('',*,*,#190839,.F.); #247606=ORIENTED_EDGE('',*,*,#190840,.F.); #247607=ORIENTED_EDGE('',*,*,#190841,.T.); #247608=ORIENTED_EDGE('',*,*,#190840,.T.); #247609=ORIENTED_EDGE('',*,*,#190842,.F.); #247610=ORIENTED_EDGE('',*,*,#190843,.F.); #247611=ORIENTED_EDGE('',*,*,#190844,.T.); #247612=ORIENTED_EDGE('',*,*,#190843,.T.); #247613=ORIENTED_EDGE('',*,*,#190845,.F.); #247614=ORIENTED_EDGE('',*,*,#190718,.F.); #247615=ORIENTED_EDGE('',*,*,#190845,.T.); #247616=ORIENTED_EDGE('',*,*,#190842,.T.); #247617=ORIENTED_EDGE('',*,*,#190839,.T.); #247618=ORIENTED_EDGE('',*,*,#190836,.T.); #247619=ORIENTED_EDGE('',*,*,#190833,.T.); #247620=ORIENTED_EDGE('',*,*,#190830,.T.); #247621=ORIENTED_EDGE('',*,*,#190827,.T.); #247622=ORIENTED_EDGE('',*,*,#190824,.T.); #247623=ORIENTED_EDGE('',*,*,#190821,.T.); #247624=ORIENTED_EDGE('',*,*,#190818,.T.); #247625=ORIENTED_EDGE('',*,*,#190815,.T.); #247626=ORIENTED_EDGE('',*,*,#190812,.T.); #247627=ORIENTED_EDGE('',*,*,#190809,.T.); #247628=ORIENTED_EDGE('',*,*,#190806,.T.); #247629=ORIENTED_EDGE('',*,*,#190803,.T.); #247630=ORIENTED_EDGE('',*,*,#190800,.T.); #247631=ORIENTED_EDGE('',*,*,#190797,.T.); #247632=ORIENTED_EDGE('',*,*,#190794,.T.); #247633=ORIENTED_EDGE('',*,*,#190791,.T.); #247634=ORIENTED_EDGE('',*,*,#190788,.T.); #247635=ORIENTED_EDGE('',*,*,#190785,.T.); #247636=ORIENTED_EDGE('',*,*,#190782,.T.); #247637=ORIENTED_EDGE('',*,*,#190779,.T.); #247638=ORIENTED_EDGE('',*,*,#190776,.T.); #247639=ORIENTED_EDGE('',*,*,#190773,.T.); #247640=ORIENTED_EDGE('',*,*,#190770,.T.); #247641=ORIENTED_EDGE('',*,*,#190767,.T.); #247642=ORIENTED_EDGE('',*,*,#190764,.T.); #247643=ORIENTED_EDGE('',*,*,#190761,.T.); #247644=ORIENTED_EDGE('',*,*,#190758,.T.); #247645=ORIENTED_EDGE('',*,*,#190755,.T.); #247646=ORIENTED_EDGE('',*,*,#190752,.T.); #247647=ORIENTED_EDGE('',*,*,#190749,.T.); #247648=ORIENTED_EDGE('',*,*,#190746,.T.); #247649=ORIENTED_EDGE('',*,*,#190743,.T.); #247650=ORIENTED_EDGE('',*,*,#190740,.T.); #247651=ORIENTED_EDGE('',*,*,#190737,.T.); #247652=ORIENTED_EDGE('',*,*,#190734,.T.); #247653=ORIENTED_EDGE('',*,*,#190731,.T.); #247654=ORIENTED_EDGE('',*,*,#190728,.T.); #247655=ORIENTED_EDGE('',*,*,#190725,.T.); #247656=ORIENTED_EDGE('',*,*,#190722,.T.); #247657=ORIENTED_EDGE('',*,*,#190719,.T.); #247658=ORIENTED_EDGE('',*,*,#190716,.T.); #247659=ORIENTED_EDGE('',*,*,#190844,.F.); #247660=ORIENTED_EDGE('',*,*,#190717,.F.); #247661=ORIENTED_EDGE('',*,*,#190721,.F.); #247662=ORIENTED_EDGE('',*,*,#190724,.F.); #247663=ORIENTED_EDGE('',*,*,#190727,.F.); #247664=ORIENTED_EDGE('',*,*,#190730,.F.); #247665=ORIENTED_EDGE('',*,*,#190733,.F.); #247666=ORIENTED_EDGE('',*,*,#190736,.F.); #247667=ORIENTED_EDGE('',*,*,#190739,.F.); #247668=ORIENTED_EDGE('',*,*,#190742,.F.); #247669=ORIENTED_EDGE('',*,*,#190745,.F.); #247670=ORIENTED_EDGE('',*,*,#190748,.F.); #247671=ORIENTED_EDGE('',*,*,#190751,.F.); #247672=ORIENTED_EDGE('',*,*,#190754,.F.); #247673=ORIENTED_EDGE('',*,*,#190757,.F.); #247674=ORIENTED_EDGE('',*,*,#190760,.F.); #247675=ORIENTED_EDGE('',*,*,#190763,.F.); #247676=ORIENTED_EDGE('',*,*,#190766,.F.); #247677=ORIENTED_EDGE('',*,*,#190769,.F.); #247678=ORIENTED_EDGE('',*,*,#190772,.F.); #247679=ORIENTED_EDGE('',*,*,#190775,.F.); #247680=ORIENTED_EDGE('',*,*,#190778,.F.); #247681=ORIENTED_EDGE('',*,*,#190781,.F.); #247682=ORIENTED_EDGE('',*,*,#190784,.F.); #247683=ORIENTED_EDGE('',*,*,#190787,.F.); #247684=ORIENTED_EDGE('',*,*,#190790,.F.); #247685=ORIENTED_EDGE('',*,*,#190793,.F.); #247686=ORIENTED_EDGE('',*,*,#190796,.F.); #247687=ORIENTED_EDGE('',*,*,#190799,.F.); #247688=ORIENTED_EDGE('',*,*,#190802,.F.); #247689=ORIENTED_EDGE('',*,*,#190805,.F.); #247690=ORIENTED_EDGE('',*,*,#190808,.F.); #247691=ORIENTED_EDGE('',*,*,#190811,.F.); #247692=ORIENTED_EDGE('',*,*,#190814,.F.); #247693=ORIENTED_EDGE('',*,*,#190817,.F.); #247694=ORIENTED_EDGE('',*,*,#190820,.F.); #247695=ORIENTED_EDGE('',*,*,#190823,.F.); #247696=ORIENTED_EDGE('',*,*,#190826,.F.); #247697=ORIENTED_EDGE('',*,*,#190829,.F.); #247698=ORIENTED_EDGE('',*,*,#190832,.F.); #247699=ORIENTED_EDGE('',*,*,#190835,.F.); #247700=ORIENTED_EDGE('',*,*,#190838,.F.); #247701=ORIENTED_EDGE('',*,*,#190841,.F.); #247702=ORIENTED_EDGE('',*,*,#190714,.T.); #247703=ORIENTED_EDGE('',*,*,#190846,.F.); #247704=ORIENTED_EDGE('',*,*,#190847,.T.); #247705=ORIENTED_EDGE('',*,*,#190848,.F.); #247706=ORIENTED_EDGE('',*,*,#190847,.F.); #247707=ORIENTED_EDGE('',*,*,#190849,.T.); #247708=ORIENTED_EDGE('',*,*,#190850,.T.); #247709=ORIENTED_EDGE('',*,*,#190851,.F.); #247710=ORIENTED_EDGE('',*,*,#190852,.F.); #247711=ORIENTED_EDGE('',*,*,#190853,.T.); #247712=ORIENTED_EDGE('',*,*,#190852,.T.); #247713=ORIENTED_EDGE('',*,*,#190854,.F.); #247714=ORIENTED_EDGE('',*,*,#190855,.F.); #247715=ORIENTED_EDGE('',*,*,#190856,.T.); #247716=ORIENTED_EDGE('',*,*,#190855,.T.); #247717=ORIENTED_EDGE('',*,*,#190857,.F.); #247718=ORIENTED_EDGE('',*,*,#190858,.F.); #247719=ORIENTED_EDGE('',*,*,#190859,.T.); #247720=ORIENTED_EDGE('',*,*,#190858,.T.); #247721=ORIENTED_EDGE('',*,*,#190860,.F.); #247722=ORIENTED_EDGE('',*,*,#190861,.F.); #247723=ORIENTED_EDGE('',*,*,#190862,.T.); #247724=ORIENTED_EDGE('',*,*,#190861,.T.); #247725=ORIENTED_EDGE('',*,*,#190863,.F.); #247726=ORIENTED_EDGE('',*,*,#190864,.F.); #247727=ORIENTED_EDGE('',*,*,#190865,.T.); #247728=ORIENTED_EDGE('',*,*,#190864,.T.); #247729=ORIENTED_EDGE('',*,*,#190866,.F.); #247730=ORIENTED_EDGE('',*,*,#190867,.F.); #247731=ORIENTED_EDGE('',*,*,#190868,.T.); #247732=ORIENTED_EDGE('',*,*,#190867,.T.); #247733=ORIENTED_EDGE('',*,*,#190869,.F.); #247734=ORIENTED_EDGE('',*,*,#190870,.F.); #247735=ORIENTED_EDGE('',*,*,#190871,.T.); #247736=ORIENTED_EDGE('',*,*,#190870,.T.); #247737=ORIENTED_EDGE('',*,*,#190872,.F.); #247738=ORIENTED_EDGE('',*,*,#190873,.F.); #247739=ORIENTED_EDGE('',*,*,#190874,.T.); #247740=ORIENTED_EDGE('',*,*,#190873,.T.); #247741=ORIENTED_EDGE('',*,*,#190875,.F.); #247742=ORIENTED_EDGE('',*,*,#190876,.F.); #247743=ORIENTED_EDGE('',*,*,#190877,.T.); #247744=ORIENTED_EDGE('',*,*,#190876,.T.); #247745=ORIENTED_EDGE('',*,*,#190878,.F.); #247746=ORIENTED_EDGE('',*,*,#190879,.F.); #247747=ORIENTED_EDGE('',*,*,#190880,.T.); #247748=ORIENTED_EDGE('',*,*,#190879,.T.); #247749=ORIENTED_EDGE('',*,*,#190881,.F.); #247750=ORIENTED_EDGE('',*,*,#190882,.F.); #247751=ORIENTED_EDGE('',*,*,#190883,.T.); #247752=ORIENTED_EDGE('',*,*,#190882,.T.); #247753=ORIENTED_EDGE('',*,*,#190884,.F.); #247754=ORIENTED_EDGE('',*,*,#190885,.F.); #247755=ORIENTED_EDGE('',*,*,#190886,.T.); #247756=ORIENTED_EDGE('',*,*,#190885,.T.); #247757=ORIENTED_EDGE('',*,*,#190887,.F.); #247758=ORIENTED_EDGE('',*,*,#190888,.F.); #247759=ORIENTED_EDGE('',*,*,#190889,.T.); #247760=ORIENTED_EDGE('',*,*,#190888,.T.); #247761=ORIENTED_EDGE('',*,*,#190890,.F.); #247762=ORIENTED_EDGE('',*,*,#190891,.F.); #247763=ORIENTED_EDGE('',*,*,#190892,.T.); #247764=ORIENTED_EDGE('',*,*,#190891,.T.); #247765=ORIENTED_EDGE('',*,*,#190893,.F.); #247766=ORIENTED_EDGE('',*,*,#190894,.F.); #247767=ORIENTED_EDGE('',*,*,#190895,.T.); #247768=ORIENTED_EDGE('',*,*,#190894,.T.); #247769=ORIENTED_EDGE('',*,*,#190896,.F.); #247770=ORIENTED_EDGE('',*,*,#190897,.F.); #247771=ORIENTED_EDGE('',*,*,#190898,.T.); #247772=ORIENTED_EDGE('',*,*,#190897,.T.); #247773=ORIENTED_EDGE('',*,*,#190899,.F.); #247774=ORIENTED_EDGE('',*,*,#190900,.F.); #247775=ORIENTED_EDGE('',*,*,#190901,.T.); #247776=ORIENTED_EDGE('',*,*,#190900,.T.); #247777=ORIENTED_EDGE('',*,*,#190902,.F.); #247778=ORIENTED_EDGE('',*,*,#190903,.F.); #247779=ORIENTED_EDGE('',*,*,#190904,.T.); #247780=ORIENTED_EDGE('',*,*,#190903,.T.); #247781=ORIENTED_EDGE('',*,*,#190905,.F.); #247782=ORIENTED_EDGE('',*,*,#190906,.F.); #247783=ORIENTED_EDGE('',*,*,#190907,.T.); #247784=ORIENTED_EDGE('',*,*,#190906,.T.); #247785=ORIENTED_EDGE('',*,*,#190908,.F.); #247786=ORIENTED_EDGE('',*,*,#190909,.F.); #247787=ORIENTED_EDGE('',*,*,#190910,.T.); #247788=ORIENTED_EDGE('',*,*,#190909,.T.); #247789=ORIENTED_EDGE('',*,*,#190911,.F.); #247790=ORIENTED_EDGE('',*,*,#190850,.F.); #247791=ORIENTED_EDGE('',*,*,#190911,.T.); #247792=ORIENTED_EDGE('',*,*,#190908,.T.); #247793=ORIENTED_EDGE('',*,*,#190905,.T.); #247794=ORIENTED_EDGE('',*,*,#190902,.T.); #247795=ORIENTED_EDGE('',*,*,#190899,.T.); #247796=ORIENTED_EDGE('',*,*,#190896,.T.); #247797=ORIENTED_EDGE('',*,*,#190893,.T.); #247798=ORIENTED_EDGE('',*,*,#190890,.T.); #247799=ORIENTED_EDGE('',*,*,#190887,.T.); #247800=ORIENTED_EDGE('',*,*,#190884,.T.); #247801=ORIENTED_EDGE('',*,*,#190881,.T.); #247802=ORIENTED_EDGE('',*,*,#190878,.T.); #247803=ORIENTED_EDGE('',*,*,#190875,.T.); #247804=ORIENTED_EDGE('',*,*,#190872,.T.); #247805=ORIENTED_EDGE('',*,*,#190869,.T.); #247806=ORIENTED_EDGE('',*,*,#190866,.T.); #247807=ORIENTED_EDGE('',*,*,#190863,.T.); #247808=ORIENTED_EDGE('',*,*,#190860,.T.); #247809=ORIENTED_EDGE('',*,*,#190857,.T.); #247810=ORIENTED_EDGE('',*,*,#190854,.T.); #247811=ORIENTED_EDGE('',*,*,#190851,.T.); #247812=ORIENTED_EDGE('',*,*,#190848,.T.); #247813=ORIENTED_EDGE('',*,*,#190910,.F.); #247814=ORIENTED_EDGE('',*,*,#190849,.F.); #247815=ORIENTED_EDGE('',*,*,#190853,.F.); #247816=ORIENTED_EDGE('',*,*,#190856,.F.); #247817=ORIENTED_EDGE('',*,*,#190859,.F.); #247818=ORIENTED_EDGE('',*,*,#190862,.F.); #247819=ORIENTED_EDGE('',*,*,#190865,.F.); #247820=ORIENTED_EDGE('',*,*,#190868,.F.); #247821=ORIENTED_EDGE('',*,*,#190871,.F.); #247822=ORIENTED_EDGE('',*,*,#190874,.F.); #247823=ORIENTED_EDGE('',*,*,#190877,.F.); #247824=ORIENTED_EDGE('',*,*,#190880,.F.); #247825=ORIENTED_EDGE('',*,*,#190883,.F.); #247826=ORIENTED_EDGE('',*,*,#190886,.F.); #247827=ORIENTED_EDGE('',*,*,#190889,.F.); #247828=ORIENTED_EDGE('',*,*,#190892,.F.); #247829=ORIENTED_EDGE('',*,*,#190895,.F.); #247830=ORIENTED_EDGE('',*,*,#190898,.F.); #247831=ORIENTED_EDGE('',*,*,#190901,.F.); #247832=ORIENTED_EDGE('',*,*,#190904,.F.); #247833=ORIENTED_EDGE('',*,*,#190907,.F.); #247834=ORIENTED_EDGE('',*,*,#190846,.T.); #247835=ORIENTED_EDGE('',*,*,#190912,.T.); #247836=ORIENTED_EDGE('',*,*,#190913,.T.); #247837=ORIENTED_EDGE('',*,*,#190914,.F.); #247838=ORIENTED_EDGE('',*,*,#190915,.F.); #247839=ORIENTED_EDGE('',*,*,#190916,.T.); #247840=ORIENTED_EDGE('',*,*,#190915,.T.); #247841=ORIENTED_EDGE('',*,*,#190917,.F.); #247842=ORIENTED_EDGE('',*,*,#190918,.F.); #247843=ORIENTED_EDGE('',*,*,#190919,.T.); #247844=ORIENTED_EDGE('',*,*,#190918,.T.); #247845=ORIENTED_EDGE('',*,*,#190920,.F.); #247846=ORIENTED_EDGE('',*,*,#190921,.F.); #247847=ORIENTED_EDGE('',*,*,#190922,.T.); #247848=ORIENTED_EDGE('',*,*,#190921,.T.); #247849=ORIENTED_EDGE('',*,*,#190923,.F.); #247850=ORIENTED_EDGE('',*,*,#190924,.F.); #247851=ORIENTED_EDGE('',*,*,#190925,.T.); #247852=ORIENTED_EDGE('',*,*,#190924,.T.); #247853=ORIENTED_EDGE('',*,*,#190926,.F.); #247854=ORIENTED_EDGE('',*,*,#190927,.F.); #247855=ORIENTED_EDGE('',*,*,#190928,.T.); #247856=ORIENTED_EDGE('',*,*,#190927,.T.); #247857=ORIENTED_EDGE('',*,*,#190929,.F.); #247858=ORIENTED_EDGE('',*,*,#190930,.F.); #247859=ORIENTED_EDGE('',*,*,#190931,.T.); #247860=ORIENTED_EDGE('',*,*,#190930,.T.); #247861=ORIENTED_EDGE('',*,*,#190932,.F.); #247862=ORIENTED_EDGE('',*,*,#190933,.F.); #247863=ORIENTED_EDGE('',*,*,#190934,.T.); #247864=ORIENTED_EDGE('',*,*,#190933,.T.); #247865=ORIENTED_EDGE('',*,*,#190935,.F.); #247866=ORIENTED_EDGE('',*,*,#190936,.F.); #247867=ORIENTED_EDGE('',*,*,#190937,.T.); #247868=ORIENTED_EDGE('',*,*,#190936,.T.); #247869=ORIENTED_EDGE('',*,*,#190938,.F.); #247870=ORIENTED_EDGE('',*,*,#190939,.F.); #247871=ORIENTED_EDGE('',*,*,#190940,.T.); #247872=ORIENTED_EDGE('',*,*,#190939,.T.); #247873=ORIENTED_EDGE('',*,*,#190941,.F.); #247874=ORIENTED_EDGE('',*,*,#190942,.F.); #247875=ORIENTED_EDGE('',*,*,#190943,.T.); #247876=ORIENTED_EDGE('',*,*,#190942,.T.); #247877=ORIENTED_EDGE('',*,*,#190944,.F.); #247878=ORIENTED_EDGE('',*,*,#190945,.F.); #247879=ORIENTED_EDGE('',*,*,#190946,.T.); #247880=ORIENTED_EDGE('',*,*,#190945,.T.); #247881=ORIENTED_EDGE('',*,*,#190947,.F.); #247882=ORIENTED_EDGE('',*,*,#190913,.F.); #247883=ORIENTED_EDGE('',*,*,#190947,.T.); #247884=ORIENTED_EDGE('',*,*,#190944,.T.); #247885=ORIENTED_EDGE('',*,*,#190941,.T.); #247886=ORIENTED_EDGE('',*,*,#190938,.T.); #247887=ORIENTED_EDGE('',*,*,#190935,.T.); #247888=ORIENTED_EDGE('',*,*,#190932,.T.); #247889=ORIENTED_EDGE('',*,*,#190929,.T.); #247890=ORIENTED_EDGE('',*,*,#190926,.T.); #247891=ORIENTED_EDGE('',*,*,#190923,.T.); #247892=ORIENTED_EDGE('',*,*,#190920,.T.); #247893=ORIENTED_EDGE('',*,*,#190917,.T.); #247894=ORIENTED_EDGE('',*,*,#190914,.T.); #247895=ORIENTED_EDGE('',*,*,#190946,.F.); #247896=ORIENTED_EDGE('',*,*,#190912,.F.); #247897=ORIENTED_EDGE('',*,*,#190916,.F.); #247898=ORIENTED_EDGE('',*,*,#190919,.F.); #247899=ORIENTED_EDGE('',*,*,#190922,.F.); #247900=ORIENTED_EDGE('',*,*,#190925,.F.); #247901=ORIENTED_EDGE('',*,*,#190928,.F.); #247902=ORIENTED_EDGE('',*,*,#190931,.F.); #247903=ORIENTED_EDGE('',*,*,#190934,.F.); #247904=ORIENTED_EDGE('',*,*,#190937,.F.); #247905=ORIENTED_EDGE('',*,*,#190940,.F.); #247906=ORIENTED_EDGE('',*,*,#190943,.F.); #247907=ORIENTED_EDGE('',*,*,#190948,.T.); #247908=ORIENTED_EDGE('',*,*,#190949,.T.); #247909=ORIENTED_EDGE('',*,*,#190950,.F.); #247910=ORIENTED_EDGE('',*,*,#190951,.F.); #247911=ORIENTED_EDGE('',*,*,#190952,.T.); #247912=ORIENTED_EDGE('',*,*,#190951,.T.); #247913=ORIENTED_EDGE('',*,*,#190953,.F.); #247914=ORIENTED_EDGE('',*,*,#190954,.F.); #247915=ORIENTED_EDGE('',*,*,#190955,.T.); #247916=ORIENTED_EDGE('',*,*,#190954,.T.); #247917=ORIENTED_EDGE('',*,*,#190956,.F.); #247918=ORIENTED_EDGE('',*,*,#190957,.F.); #247919=ORIENTED_EDGE('',*,*,#190958,.T.); #247920=ORIENTED_EDGE('',*,*,#190957,.T.); #247921=ORIENTED_EDGE('',*,*,#190959,.F.); #247922=ORIENTED_EDGE('',*,*,#190960,.F.); #247923=ORIENTED_EDGE('',*,*,#190961,.T.); #247924=ORIENTED_EDGE('',*,*,#190960,.T.); #247925=ORIENTED_EDGE('',*,*,#190962,.F.); #247926=ORIENTED_EDGE('',*,*,#190963,.F.); #247927=ORIENTED_EDGE('',*,*,#190964,.T.); #247928=ORIENTED_EDGE('',*,*,#190963,.T.); #247929=ORIENTED_EDGE('',*,*,#190965,.F.); #247930=ORIENTED_EDGE('',*,*,#190966,.F.); #247931=ORIENTED_EDGE('',*,*,#190967,.T.); #247932=ORIENTED_EDGE('',*,*,#190966,.T.); #247933=ORIENTED_EDGE('',*,*,#190968,.F.); #247934=ORIENTED_EDGE('',*,*,#190969,.F.); #247935=ORIENTED_EDGE('',*,*,#190970,.T.); #247936=ORIENTED_EDGE('',*,*,#190969,.T.); #247937=ORIENTED_EDGE('',*,*,#190971,.F.); #247938=ORIENTED_EDGE('',*,*,#190972,.F.); #247939=ORIENTED_EDGE('',*,*,#190973,.T.); #247940=ORIENTED_EDGE('',*,*,#190972,.T.); #247941=ORIENTED_EDGE('',*,*,#190974,.F.); #247942=ORIENTED_EDGE('',*,*,#190975,.F.); #247943=ORIENTED_EDGE('',*,*,#190976,.T.); #247944=ORIENTED_EDGE('',*,*,#190975,.T.); #247945=ORIENTED_EDGE('',*,*,#190977,.F.); #247946=ORIENTED_EDGE('',*,*,#190978,.F.); #247947=ORIENTED_EDGE('',*,*,#190979,.T.); #247948=ORIENTED_EDGE('',*,*,#190978,.T.); #247949=ORIENTED_EDGE('',*,*,#190980,.F.); #247950=ORIENTED_EDGE('',*,*,#190981,.F.); #247951=ORIENTED_EDGE('',*,*,#190982,.T.); #247952=ORIENTED_EDGE('',*,*,#190981,.T.); #247953=ORIENTED_EDGE('',*,*,#190983,.F.); #247954=ORIENTED_EDGE('',*,*,#190949,.F.); #247955=ORIENTED_EDGE('',*,*,#190983,.T.); #247956=ORIENTED_EDGE('',*,*,#190980,.T.); #247957=ORIENTED_EDGE('',*,*,#190977,.T.); #247958=ORIENTED_EDGE('',*,*,#190974,.T.); #247959=ORIENTED_EDGE('',*,*,#190971,.T.); #247960=ORIENTED_EDGE('',*,*,#190968,.T.); #247961=ORIENTED_EDGE('',*,*,#190965,.T.); #247962=ORIENTED_EDGE('',*,*,#190962,.T.); #247963=ORIENTED_EDGE('',*,*,#190959,.T.); #247964=ORIENTED_EDGE('',*,*,#190956,.T.); #247965=ORIENTED_EDGE('',*,*,#190953,.T.); #247966=ORIENTED_EDGE('',*,*,#190950,.T.); #247967=ORIENTED_EDGE('',*,*,#190982,.F.); #247968=ORIENTED_EDGE('',*,*,#190948,.F.); #247969=ORIENTED_EDGE('',*,*,#190952,.F.); #247970=ORIENTED_EDGE('',*,*,#190955,.F.); #247971=ORIENTED_EDGE('',*,*,#190958,.F.); #247972=ORIENTED_EDGE('',*,*,#190961,.F.); #247973=ORIENTED_EDGE('',*,*,#190964,.F.); #247974=ORIENTED_EDGE('',*,*,#190967,.F.); #247975=ORIENTED_EDGE('',*,*,#190970,.F.); #247976=ORIENTED_EDGE('',*,*,#190973,.F.); #247977=ORIENTED_EDGE('',*,*,#190976,.F.); #247978=ORIENTED_EDGE('',*,*,#190979,.F.); #247979=ORIENTED_EDGE('',*,*,#190984,.F.); #247980=ORIENTED_EDGE('',*,*,#190985,.T.); #247981=ORIENTED_EDGE('',*,*,#190986,.F.); #247982=ORIENTED_EDGE('',*,*,#190985,.F.); #247983=ORIENTED_EDGE('',*,*,#190987,.T.); #247984=ORIENTED_EDGE('',*,*,#190988,.T.); #247985=ORIENTED_EDGE('',*,*,#190989,.F.); #247986=ORIENTED_EDGE('',*,*,#190990,.F.); #247987=ORIENTED_EDGE('',*,*,#190991,.T.); #247988=ORIENTED_EDGE('',*,*,#190990,.T.); #247989=ORIENTED_EDGE('',*,*,#190992,.F.); #247990=ORIENTED_EDGE('',*,*,#190993,.F.); #247991=ORIENTED_EDGE('',*,*,#190994,.T.); #247992=ORIENTED_EDGE('',*,*,#190993,.T.); #247993=ORIENTED_EDGE('',*,*,#190995,.F.); #247994=ORIENTED_EDGE('',*,*,#190996,.F.); #247995=ORIENTED_EDGE('',*,*,#190997,.T.); #247996=ORIENTED_EDGE('',*,*,#190996,.T.); #247997=ORIENTED_EDGE('',*,*,#190998,.F.); #247998=ORIENTED_EDGE('',*,*,#190999,.F.); #247999=ORIENTED_EDGE('',*,*,#191000,.T.); #248000=ORIENTED_EDGE('',*,*,#190999,.T.); #248001=ORIENTED_EDGE('',*,*,#191001,.F.); #248002=ORIENTED_EDGE('',*,*,#191002,.F.); #248003=ORIENTED_EDGE('',*,*,#191003,.T.); #248004=ORIENTED_EDGE('',*,*,#191002,.T.); #248005=ORIENTED_EDGE('',*,*,#191004,.F.); #248006=ORIENTED_EDGE('',*,*,#191005,.F.); #248007=ORIENTED_EDGE('',*,*,#191006,.T.); #248008=ORIENTED_EDGE('',*,*,#191005,.T.); #248009=ORIENTED_EDGE('',*,*,#191007,.F.); #248010=ORIENTED_EDGE('',*,*,#191008,.F.); #248011=ORIENTED_EDGE('',*,*,#191009,.T.); #248012=ORIENTED_EDGE('',*,*,#191008,.T.); #248013=ORIENTED_EDGE('',*,*,#191010,.F.); #248014=ORIENTED_EDGE('',*,*,#191011,.F.); #248015=ORIENTED_EDGE('',*,*,#191012,.T.); #248016=ORIENTED_EDGE('',*,*,#191011,.T.); #248017=ORIENTED_EDGE('',*,*,#191013,.F.); #248018=ORIENTED_EDGE('',*,*,#191014,.F.); #248019=ORIENTED_EDGE('',*,*,#191015,.T.); #248020=ORIENTED_EDGE('',*,*,#191014,.T.); #248021=ORIENTED_EDGE('',*,*,#191016,.F.); #248022=ORIENTED_EDGE('',*,*,#191017,.F.); #248023=ORIENTED_EDGE('',*,*,#191018,.T.); #248024=ORIENTED_EDGE('',*,*,#191017,.T.); #248025=ORIENTED_EDGE('',*,*,#191019,.F.); #248026=ORIENTED_EDGE('',*,*,#191020,.F.); #248027=ORIENTED_EDGE('',*,*,#191021,.T.); #248028=ORIENTED_EDGE('',*,*,#191020,.T.); #248029=ORIENTED_EDGE('',*,*,#191022,.F.); #248030=ORIENTED_EDGE('',*,*,#191023,.F.); #248031=ORIENTED_EDGE('',*,*,#191024,.T.); #248032=ORIENTED_EDGE('',*,*,#191023,.T.); #248033=ORIENTED_EDGE('',*,*,#191025,.F.); #248034=ORIENTED_EDGE('',*,*,#191026,.F.); #248035=ORIENTED_EDGE('',*,*,#191027,.T.); #248036=ORIENTED_EDGE('',*,*,#191026,.T.); #248037=ORIENTED_EDGE('',*,*,#191028,.F.); #248038=ORIENTED_EDGE('',*,*,#191029,.F.); #248039=ORIENTED_EDGE('',*,*,#191030,.T.); #248040=ORIENTED_EDGE('',*,*,#191029,.T.); #248041=ORIENTED_EDGE('',*,*,#191031,.F.); #248042=ORIENTED_EDGE('',*,*,#191032,.F.); #248043=ORIENTED_EDGE('',*,*,#191033,.T.); #248044=ORIENTED_EDGE('',*,*,#191032,.T.); #248045=ORIENTED_EDGE('',*,*,#191034,.F.); #248046=ORIENTED_EDGE('',*,*,#191035,.F.); #248047=ORIENTED_EDGE('',*,*,#191036,.T.); #248048=ORIENTED_EDGE('',*,*,#191035,.T.); #248049=ORIENTED_EDGE('',*,*,#191037,.F.); #248050=ORIENTED_EDGE('',*,*,#190988,.F.); #248051=ORIENTED_EDGE('',*,*,#191037,.T.); #248052=ORIENTED_EDGE('',*,*,#191034,.T.); #248053=ORIENTED_EDGE('',*,*,#191031,.T.); #248054=ORIENTED_EDGE('',*,*,#191028,.T.); #248055=ORIENTED_EDGE('',*,*,#191025,.T.); #248056=ORIENTED_EDGE('',*,*,#191022,.T.); #248057=ORIENTED_EDGE('',*,*,#191019,.T.); #248058=ORIENTED_EDGE('',*,*,#191016,.T.); #248059=ORIENTED_EDGE('',*,*,#191013,.T.); #248060=ORIENTED_EDGE('',*,*,#191010,.T.); #248061=ORIENTED_EDGE('',*,*,#191007,.T.); #248062=ORIENTED_EDGE('',*,*,#191004,.T.); #248063=ORIENTED_EDGE('',*,*,#191001,.T.); #248064=ORIENTED_EDGE('',*,*,#190998,.T.); #248065=ORIENTED_EDGE('',*,*,#190995,.T.); #248066=ORIENTED_EDGE('',*,*,#190992,.T.); #248067=ORIENTED_EDGE('',*,*,#190989,.T.); #248068=ORIENTED_EDGE('',*,*,#190986,.T.); #248069=ORIENTED_EDGE('',*,*,#191036,.F.); #248070=ORIENTED_EDGE('',*,*,#190987,.F.); #248071=ORIENTED_EDGE('',*,*,#190991,.F.); #248072=ORIENTED_EDGE('',*,*,#190994,.F.); #248073=ORIENTED_EDGE('',*,*,#190997,.F.); #248074=ORIENTED_EDGE('',*,*,#191000,.F.); #248075=ORIENTED_EDGE('',*,*,#191003,.F.); #248076=ORIENTED_EDGE('',*,*,#191006,.F.); #248077=ORIENTED_EDGE('',*,*,#191009,.F.); #248078=ORIENTED_EDGE('',*,*,#191012,.F.); #248079=ORIENTED_EDGE('',*,*,#191015,.F.); #248080=ORIENTED_EDGE('',*,*,#191018,.F.); #248081=ORIENTED_EDGE('',*,*,#191021,.F.); #248082=ORIENTED_EDGE('',*,*,#191024,.F.); #248083=ORIENTED_EDGE('',*,*,#191027,.F.); #248084=ORIENTED_EDGE('',*,*,#191030,.F.); #248085=ORIENTED_EDGE('',*,*,#191033,.F.); #248086=ORIENTED_EDGE('',*,*,#190984,.T.); #248087=ORIENTED_EDGE('',*,*,#191038,.F.); #248088=ORIENTED_EDGE('',*,*,#191039,.T.); #248089=ORIENTED_EDGE('',*,*,#191040,.F.); #248090=ORIENTED_EDGE('',*,*,#191039,.F.); #248091=ORIENTED_EDGE('',*,*,#191041,.T.); #248092=ORIENTED_EDGE('',*,*,#191042,.T.); #248093=ORIENTED_EDGE('',*,*,#191043,.F.); #248094=ORIENTED_EDGE('',*,*,#191044,.F.); #248095=ORIENTED_EDGE('',*,*,#191045,.T.); #248096=ORIENTED_EDGE('',*,*,#191044,.T.); #248097=ORIENTED_EDGE('',*,*,#191046,.F.); #248098=ORIENTED_EDGE('',*,*,#191047,.F.); #248099=ORIENTED_EDGE('',*,*,#191048,.T.); #248100=ORIENTED_EDGE('',*,*,#191047,.T.); #248101=ORIENTED_EDGE('',*,*,#191049,.F.); #248102=ORIENTED_EDGE('',*,*,#191050,.F.); #248103=ORIENTED_EDGE('',*,*,#191051,.T.); #248104=ORIENTED_EDGE('',*,*,#191050,.T.); #248105=ORIENTED_EDGE('',*,*,#191052,.F.); #248106=ORIENTED_EDGE('',*,*,#191053,.F.); #248107=ORIENTED_EDGE('',*,*,#191054,.T.); #248108=ORIENTED_EDGE('',*,*,#191053,.T.); #248109=ORIENTED_EDGE('',*,*,#191055,.F.); #248110=ORIENTED_EDGE('',*,*,#191056,.F.); #248111=ORIENTED_EDGE('',*,*,#191057,.T.); #248112=ORIENTED_EDGE('',*,*,#191056,.T.); #248113=ORIENTED_EDGE('',*,*,#191058,.F.); #248114=ORIENTED_EDGE('',*,*,#191059,.F.); #248115=ORIENTED_EDGE('',*,*,#191060,.T.); #248116=ORIENTED_EDGE('',*,*,#191059,.T.); #248117=ORIENTED_EDGE('',*,*,#191061,.F.); #248118=ORIENTED_EDGE('',*,*,#191062,.F.); #248119=ORIENTED_EDGE('',*,*,#191063,.T.); #248120=ORIENTED_EDGE('',*,*,#191062,.T.); #248121=ORIENTED_EDGE('',*,*,#191064,.F.); #248122=ORIENTED_EDGE('',*,*,#191065,.F.); #248123=ORIENTED_EDGE('',*,*,#191066,.T.); #248124=ORIENTED_EDGE('',*,*,#191065,.T.); #248125=ORIENTED_EDGE('',*,*,#191067,.F.); #248126=ORIENTED_EDGE('',*,*,#191068,.F.); #248127=ORIENTED_EDGE('',*,*,#191069,.T.); #248128=ORIENTED_EDGE('',*,*,#191068,.T.); #248129=ORIENTED_EDGE('',*,*,#191070,.F.); #248130=ORIENTED_EDGE('',*,*,#191071,.F.); #248131=ORIENTED_EDGE('',*,*,#191072,.T.); #248132=ORIENTED_EDGE('',*,*,#191071,.T.); #248133=ORIENTED_EDGE('',*,*,#191073,.F.); #248134=ORIENTED_EDGE('',*,*,#191074,.F.); #248135=ORIENTED_EDGE('',*,*,#191075,.T.); #248136=ORIENTED_EDGE('',*,*,#191074,.T.); #248137=ORIENTED_EDGE('',*,*,#191076,.F.); #248138=ORIENTED_EDGE('',*,*,#191077,.F.); #248139=ORIENTED_EDGE('',*,*,#191078,.T.); #248140=ORIENTED_EDGE('',*,*,#191077,.T.); #248141=ORIENTED_EDGE('',*,*,#191079,.F.); #248142=ORIENTED_EDGE('',*,*,#191080,.F.); #248143=ORIENTED_EDGE('',*,*,#191081,.T.); #248144=ORIENTED_EDGE('',*,*,#191080,.T.); #248145=ORIENTED_EDGE('',*,*,#191082,.F.); #248146=ORIENTED_EDGE('',*,*,#191083,.F.); #248147=ORIENTED_EDGE('',*,*,#191084,.T.); #248148=ORIENTED_EDGE('',*,*,#191083,.T.); #248149=ORIENTED_EDGE('',*,*,#191085,.F.); #248150=ORIENTED_EDGE('',*,*,#191086,.F.); #248151=ORIENTED_EDGE('',*,*,#191087,.T.); #248152=ORIENTED_EDGE('',*,*,#191086,.T.); #248153=ORIENTED_EDGE('',*,*,#191088,.F.); #248154=ORIENTED_EDGE('',*,*,#191089,.F.); #248155=ORIENTED_EDGE('',*,*,#191090,.T.); #248156=ORIENTED_EDGE('',*,*,#191089,.T.); #248157=ORIENTED_EDGE('',*,*,#191091,.F.); #248158=ORIENTED_EDGE('',*,*,#191092,.F.); #248159=ORIENTED_EDGE('',*,*,#191093,.T.); #248160=ORIENTED_EDGE('',*,*,#191092,.T.); #248161=ORIENTED_EDGE('',*,*,#191094,.F.); #248162=ORIENTED_EDGE('',*,*,#191095,.F.); #248163=ORIENTED_EDGE('',*,*,#191096,.T.); #248164=ORIENTED_EDGE('',*,*,#191095,.T.); #248165=ORIENTED_EDGE('',*,*,#191097,.F.); #248166=ORIENTED_EDGE('',*,*,#191098,.F.); #248167=ORIENTED_EDGE('',*,*,#191099,.T.); #248168=ORIENTED_EDGE('',*,*,#191098,.T.); #248169=ORIENTED_EDGE('',*,*,#191100,.F.); #248170=ORIENTED_EDGE('',*,*,#191101,.F.); #248171=ORIENTED_EDGE('',*,*,#191102,.T.); #248172=ORIENTED_EDGE('',*,*,#191101,.T.); #248173=ORIENTED_EDGE('',*,*,#191103,.F.); #248174=ORIENTED_EDGE('',*,*,#191104,.F.); #248175=ORIENTED_EDGE('',*,*,#191105,.T.); #248176=ORIENTED_EDGE('',*,*,#191104,.T.); #248177=ORIENTED_EDGE('',*,*,#191106,.F.); #248178=ORIENTED_EDGE('',*,*,#191042,.F.); #248179=ORIENTED_EDGE('',*,*,#191106,.T.); #248180=ORIENTED_EDGE('',*,*,#191103,.T.); #248181=ORIENTED_EDGE('',*,*,#191100,.T.); #248182=ORIENTED_EDGE('',*,*,#191097,.T.); #248183=ORIENTED_EDGE('',*,*,#191094,.T.); #248184=ORIENTED_EDGE('',*,*,#191091,.T.); #248185=ORIENTED_EDGE('',*,*,#191088,.T.); #248186=ORIENTED_EDGE('',*,*,#191085,.T.); #248187=ORIENTED_EDGE('',*,*,#191082,.T.); #248188=ORIENTED_EDGE('',*,*,#191079,.T.); #248189=ORIENTED_EDGE('',*,*,#191076,.T.); #248190=ORIENTED_EDGE('',*,*,#191073,.T.); #248191=ORIENTED_EDGE('',*,*,#191070,.T.); #248192=ORIENTED_EDGE('',*,*,#191067,.T.); #248193=ORIENTED_EDGE('',*,*,#191064,.T.); #248194=ORIENTED_EDGE('',*,*,#191061,.T.); #248195=ORIENTED_EDGE('',*,*,#191058,.T.); #248196=ORIENTED_EDGE('',*,*,#191055,.T.); #248197=ORIENTED_EDGE('',*,*,#191052,.T.); #248198=ORIENTED_EDGE('',*,*,#191049,.T.); #248199=ORIENTED_EDGE('',*,*,#191046,.T.); #248200=ORIENTED_EDGE('',*,*,#191043,.T.); #248201=ORIENTED_EDGE('',*,*,#191040,.T.); #248202=ORIENTED_EDGE('',*,*,#191105,.F.); #248203=ORIENTED_EDGE('',*,*,#191041,.F.); #248204=ORIENTED_EDGE('',*,*,#191045,.F.); #248205=ORIENTED_EDGE('',*,*,#191048,.F.); #248206=ORIENTED_EDGE('',*,*,#191051,.F.); #248207=ORIENTED_EDGE('',*,*,#191054,.F.); #248208=ORIENTED_EDGE('',*,*,#191057,.F.); #248209=ORIENTED_EDGE('',*,*,#191060,.F.); #248210=ORIENTED_EDGE('',*,*,#191063,.F.); #248211=ORIENTED_EDGE('',*,*,#191066,.F.); #248212=ORIENTED_EDGE('',*,*,#191069,.F.); #248213=ORIENTED_EDGE('',*,*,#191072,.F.); #248214=ORIENTED_EDGE('',*,*,#191075,.F.); #248215=ORIENTED_EDGE('',*,*,#191078,.F.); #248216=ORIENTED_EDGE('',*,*,#191081,.F.); #248217=ORIENTED_EDGE('',*,*,#191084,.F.); #248218=ORIENTED_EDGE('',*,*,#191087,.F.); #248219=ORIENTED_EDGE('',*,*,#191090,.F.); #248220=ORIENTED_EDGE('',*,*,#191093,.F.); #248221=ORIENTED_EDGE('',*,*,#191096,.F.); #248222=ORIENTED_EDGE('',*,*,#191099,.F.); #248223=ORIENTED_EDGE('',*,*,#191102,.F.); #248224=ORIENTED_EDGE('',*,*,#191038,.T.); #248225=ORIENTED_EDGE('',*,*,#191107,.F.); #248226=ORIENTED_EDGE('',*,*,#191108,.T.); #248227=ORIENTED_EDGE('',*,*,#191109,.F.); #248228=ORIENTED_EDGE('',*,*,#191108,.F.); #248229=ORIENTED_EDGE('',*,*,#191110,.T.); #248230=ORIENTED_EDGE('',*,*,#191111,.T.); #248231=ORIENTED_EDGE('',*,*,#191112,.F.); #248232=ORIENTED_EDGE('',*,*,#191113,.F.); #248233=ORIENTED_EDGE('',*,*,#191114,.T.); #248234=ORIENTED_EDGE('',*,*,#191113,.T.); #248235=ORIENTED_EDGE('',*,*,#191115,.F.); #248236=ORIENTED_EDGE('',*,*,#191116,.F.); #248237=ORIENTED_EDGE('',*,*,#191117,.T.); #248238=ORIENTED_EDGE('',*,*,#191116,.T.); #248239=ORIENTED_EDGE('',*,*,#191118,.F.); #248240=ORIENTED_EDGE('',*,*,#191119,.F.); #248241=ORIENTED_EDGE('',*,*,#191120,.T.); #248242=ORIENTED_EDGE('',*,*,#191119,.T.); #248243=ORIENTED_EDGE('',*,*,#191121,.F.); #248244=ORIENTED_EDGE('',*,*,#191122,.F.); #248245=ORIENTED_EDGE('',*,*,#191123,.T.); #248246=ORIENTED_EDGE('',*,*,#191122,.T.); #248247=ORIENTED_EDGE('',*,*,#191124,.F.); #248248=ORIENTED_EDGE('',*,*,#191125,.F.); #248249=ORIENTED_EDGE('',*,*,#191126,.T.); #248250=ORIENTED_EDGE('',*,*,#191125,.T.); #248251=ORIENTED_EDGE('',*,*,#191127,.F.); #248252=ORIENTED_EDGE('',*,*,#191128,.F.); #248253=ORIENTED_EDGE('',*,*,#191129,.T.); #248254=ORIENTED_EDGE('',*,*,#191128,.T.); #248255=ORIENTED_EDGE('',*,*,#191130,.F.); #248256=ORIENTED_EDGE('',*,*,#191131,.F.); #248257=ORIENTED_EDGE('',*,*,#191132,.T.); #248258=ORIENTED_EDGE('',*,*,#191131,.T.); #248259=ORIENTED_EDGE('',*,*,#191133,.F.); #248260=ORIENTED_EDGE('',*,*,#191134,.F.); #248261=ORIENTED_EDGE('',*,*,#191135,.T.); #248262=ORIENTED_EDGE('',*,*,#191134,.T.); #248263=ORIENTED_EDGE('',*,*,#191136,.F.); #248264=ORIENTED_EDGE('',*,*,#191137,.F.); #248265=ORIENTED_EDGE('',*,*,#191138,.T.); #248266=ORIENTED_EDGE('',*,*,#191137,.T.); #248267=ORIENTED_EDGE('',*,*,#191139,.F.); #248268=ORIENTED_EDGE('',*,*,#191140,.F.); #248269=ORIENTED_EDGE('',*,*,#191141,.T.); #248270=ORIENTED_EDGE('',*,*,#191140,.T.); #248271=ORIENTED_EDGE('',*,*,#191142,.F.); #248272=ORIENTED_EDGE('',*,*,#191143,.F.); #248273=ORIENTED_EDGE('',*,*,#191144,.T.); #248274=ORIENTED_EDGE('',*,*,#191143,.T.); #248275=ORIENTED_EDGE('',*,*,#191145,.F.); #248276=ORIENTED_EDGE('',*,*,#191111,.F.); #248277=ORIENTED_EDGE('',*,*,#191145,.T.); #248278=ORIENTED_EDGE('',*,*,#191142,.T.); #248279=ORIENTED_EDGE('',*,*,#191139,.T.); #248280=ORIENTED_EDGE('',*,*,#191136,.T.); #248281=ORIENTED_EDGE('',*,*,#191133,.T.); #248282=ORIENTED_EDGE('',*,*,#191130,.T.); #248283=ORIENTED_EDGE('',*,*,#191127,.T.); #248284=ORIENTED_EDGE('',*,*,#191124,.T.); #248285=ORIENTED_EDGE('',*,*,#191121,.T.); #248286=ORIENTED_EDGE('',*,*,#191118,.T.); #248287=ORIENTED_EDGE('',*,*,#191115,.T.); #248288=ORIENTED_EDGE('',*,*,#191112,.T.); #248289=ORIENTED_EDGE('',*,*,#191109,.T.); #248290=ORIENTED_EDGE('',*,*,#191144,.F.); #248291=ORIENTED_EDGE('',*,*,#191110,.F.); #248292=ORIENTED_EDGE('',*,*,#191114,.F.); #248293=ORIENTED_EDGE('',*,*,#191117,.F.); #248294=ORIENTED_EDGE('',*,*,#191120,.F.); #248295=ORIENTED_EDGE('',*,*,#191123,.F.); #248296=ORIENTED_EDGE('',*,*,#191126,.F.); #248297=ORIENTED_EDGE('',*,*,#191129,.F.); #248298=ORIENTED_EDGE('',*,*,#191132,.F.); #248299=ORIENTED_EDGE('',*,*,#191135,.F.); #248300=ORIENTED_EDGE('',*,*,#191138,.F.); #248301=ORIENTED_EDGE('',*,*,#191141,.F.); #248302=ORIENTED_EDGE('',*,*,#191107,.T.); #248303=ORIENTED_EDGE('',*,*,#191146,.T.); #248304=ORIENTED_EDGE('',*,*,#191147,.T.); #248305=ORIENTED_EDGE('',*,*,#191148,.F.); #248306=ORIENTED_EDGE('',*,*,#191149,.F.); #248307=ORIENTED_EDGE('',*,*,#191150,.T.); #248308=ORIENTED_EDGE('',*,*,#191149,.T.); #248309=ORIENTED_EDGE('',*,*,#191151,.F.); #248310=ORIENTED_EDGE('',*,*,#191152,.F.); #248311=ORIENTED_EDGE('',*,*,#191153,.T.); #248312=ORIENTED_EDGE('',*,*,#191152,.T.); #248313=ORIENTED_EDGE('',*,*,#191154,.F.); #248314=ORIENTED_EDGE('',*,*,#191155,.F.); #248315=ORIENTED_EDGE('',*,*,#191156,.T.); #248316=ORIENTED_EDGE('',*,*,#191155,.T.); #248317=ORIENTED_EDGE('',*,*,#191157,.F.); #248318=ORIENTED_EDGE('',*,*,#191158,.F.); #248319=ORIENTED_EDGE('',*,*,#191159,.T.); #248320=ORIENTED_EDGE('',*,*,#191158,.T.); #248321=ORIENTED_EDGE('',*,*,#191160,.F.); #248322=ORIENTED_EDGE('',*,*,#191161,.F.); #248323=ORIENTED_EDGE('',*,*,#191162,.T.); #248324=ORIENTED_EDGE('',*,*,#191161,.T.); #248325=ORIENTED_EDGE('',*,*,#191163,.F.); #248326=ORIENTED_EDGE('',*,*,#191164,.F.); #248327=ORIENTED_EDGE('',*,*,#191165,.T.); #248328=ORIENTED_EDGE('',*,*,#191164,.T.); #248329=ORIENTED_EDGE('',*,*,#191166,.F.); #248330=ORIENTED_EDGE('',*,*,#191167,.F.); #248331=ORIENTED_EDGE('',*,*,#191168,.T.); #248332=ORIENTED_EDGE('',*,*,#191167,.T.); #248333=ORIENTED_EDGE('',*,*,#191169,.F.); #248334=ORIENTED_EDGE('',*,*,#191170,.F.); #248335=ORIENTED_EDGE('',*,*,#191171,.T.); #248336=ORIENTED_EDGE('',*,*,#191170,.T.); #248337=ORIENTED_EDGE('',*,*,#191172,.F.); #248338=ORIENTED_EDGE('',*,*,#191173,.F.); #248339=ORIENTED_EDGE('',*,*,#191174,.T.); #248340=ORIENTED_EDGE('',*,*,#191173,.T.); #248341=ORIENTED_EDGE('',*,*,#191175,.F.); #248342=ORIENTED_EDGE('',*,*,#191176,.F.); #248343=ORIENTED_EDGE('',*,*,#191177,.T.); #248344=ORIENTED_EDGE('',*,*,#191176,.T.); #248345=ORIENTED_EDGE('',*,*,#191178,.F.); #248346=ORIENTED_EDGE('',*,*,#191179,.F.); #248347=ORIENTED_EDGE('',*,*,#191180,.T.); #248348=ORIENTED_EDGE('',*,*,#191179,.T.); #248349=ORIENTED_EDGE('',*,*,#191181,.F.); #248350=ORIENTED_EDGE('',*,*,#191182,.F.); #248351=ORIENTED_EDGE('',*,*,#191183,.T.); #248352=ORIENTED_EDGE('',*,*,#191182,.T.); #248353=ORIENTED_EDGE('',*,*,#191184,.F.); #248354=ORIENTED_EDGE('',*,*,#191185,.F.); #248355=ORIENTED_EDGE('',*,*,#191186,.T.); #248356=ORIENTED_EDGE('',*,*,#191185,.T.); #248357=ORIENTED_EDGE('',*,*,#191187,.F.); #248358=ORIENTED_EDGE('',*,*,#191188,.F.); #248359=ORIENTED_EDGE('',*,*,#191189,.T.); #248360=ORIENTED_EDGE('',*,*,#191188,.T.); #248361=ORIENTED_EDGE('',*,*,#191190,.F.); #248362=ORIENTED_EDGE('',*,*,#191191,.F.); #248363=ORIENTED_EDGE('',*,*,#191192,.T.); #248364=ORIENTED_EDGE('',*,*,#191191,.T.); #248365=ORIENTED_EDGE('',*,*,#191193,.F.); #248366=ORIENTED_EDGE('',*,*,#191194,.F.); #248367=ORIENTED_EDGE('',*,*,#191195,.T.); #248368=ORIENTED_EDGE('',*,*,#191194,.T.); #248369=ORIENTED_EDGE('',*,*,#191196,.F.); #248370=ORIENTED_EDGE('',*,*,#191197,.F.); #248371=ORIENTED_EDGE('',*,*,#191198,.T.); #248372=ORIENTED_EDGE('',*,*,#191197,.T.); #248373=ORIENTED_EDGE('',*,*,#191199,.F.); #248374=ORIENTED_EDGE('',*,*,#191200,.F.); #248375=ORIENTED_EDGE('',*,*,#191201,.T.); #248376=ORIENTED_EDGE('',*,*,#191200,.T.); #248377=ORIENTED_EDGE('',*,*,#191202,.F.); #248378=ORIENTED_EDGE('',*,*,#191203,.F.); #248379=ORIENTED_EDGE('',*,*,#191204,.T.); #248380=ORIENTED_EDGE('',*,*,#191203,.T.); #248381=ORIENTED_EDGE('',*,*,#191205,.F.); #248382=ORIENTED_EDGE('',*,*,#191206,.F.); #248383=ORIENTED_EDGE('',*,*,#191207,.T.); #248384=ORIENTED_EDGE('',*,*,#191206,.T.); #248385=ORIENTED_EDGE('',*,*,#191208,.F.); #248386=ORIENTED_EDGE('',*,*,#191209,.F.); #248387=ORIENTED_EDGE('',*,*,#191210,.T.); #248388=ORIENTED_EDGE('',*,*,#191209,.T.); #248389=ORIENTED_EDGE('',*,*,#191211,.F.); #248390=ORIENTED_EDGE('',*,*,#191212,.F.); #248391=ORIENTED_EDGE('',*,*,#191213,.T.); #248392=ORIENTED_EDGE('',*,*,#191212,.T.); #248393=ORIENTED_EDGE('',*,*,#191214,.F.); #248394=ORIENTED_EDGE('',*,*,#191215,.F.); #248395=ORIENTED_EDGE('',*,*,#191216,.T.); #248396=ORIENTED_EDGE('',*,*,#191215,.T.); #248397=ORIENTED_EDGE('',*,*,#191217,.F.); #248398=ORIENTED_EDGE('',*,*,#191218,.F.); #248399=ORIENTED_EDGE('',*,*,#191219,.T.); #248400=ORIENTED_EDGE('',*,*,#191218,.T.); #248401=ORIENTED_EDGE('',*,*,#191220,.F.); #248402=ORIENTED_EDGE('',*,*,#191221,.F.); #248403=ORIENTED_EDGE('',*,*,#191222,.T.); #248404=ORIENTED_EDGE('',*,*,#191221,.T.); #248405=ORIENTED_EDGE('',*,*,#191223,.F.); #248406=ORIENTED_EDGE('',*,*,#191224,.F.); #248407=ORIENTED_EDGE('',*,*,#191225,.T.); #248408=ORIENTED_EDGE('',*,*,#191224,.T.); #248409=ORIENTED_EDGE('',*,*,#191226,.F.); #248410=ORIENTED_EDGE('',*,*,#191227,.F.); #248411=ORIENTED_EDGE('',*,*,#191228,.T.); #248412=ORIENTED_EDGE('',*,*,#191227,.T.); #248413=ORIENTED_EDGE('',*,*,#191229,.F.); #248414=ORIENTED_EDGE('',*,*,#191230,.F.); #248415=ORIENTED_EDGE('',*,*,#191231,.T.); #248416=ORIENTED_EDGE('',*,*,#191230,.T.); #248417=ORIENTED_EDGE('',*,*,#191232,.F.); #248418=ORIENTED_EDGE('',*,*,#191233,.F.); #248419=ORIENTED_EDGE('',*,*,#191234,.T.); #248420=ORIENTED_EDGE('',*,*,#191233,.T.); #248421=ORIENTED_EDGE('',*,*,#191235,.F.); #248422=ORIENTED_EDGE('',*,*,#191236,.F.); #248423=ORIENTED_EDGE('',*,*,#191237,.T.); #248424=ORIENTED_EDGE('',*,*,#191236,.T.); #248425=ORIENTED_EDGE('',*,*,#191238,.F.); #248426=ORIENTED_EDGE('',*,*,#191239,.F.); #248427=ORIENTED_EDGE('',*,*,#191240,.T.); #248428=ORIENTED_EDGE('',*,*,#191239,.T.); #248429=ORIENTED_EDGE('',*,*,#191241,.F.); #248430=ORIENTED_EDGE('',*,*,#191242,.F.); #248431=ORIENTED_EDGE('',*,*,#191243,.T.); #248432=ORIENTED_EDGE('',*,*,#191242,.T.); #248433=ORIENTED_EDGE('',*,*,#191244,.F.); #248434=ORIENTED_EDGE('',*,*,#191245,.F.); #248435=ORIENTED_EDGE('',*,*,#191246,.T.); #248436=ORIENTED_EDGE('',*,*,#191245,.T.); #248437=ORIENTED_EDGE('',*,*,#191247,.F.); #248438=ORIENTED_EDGE('',*,*,#191248,.F.); #248439=ORIENTED_EDGE('',*,*,#191249,.T.); #248440=ORIENTED_EDGE('',*,*,#191248,.T.); #248441=ORIENTED_EDGE('',*,*,#191250,.F.); #248442=ORIENTED_EDGE('',*,*,#191251,.F.); #248443=ORIENTED_EDGE('',*,*,#191252,.T.); #248444=ORIENTED_EDGE('',*,*,#191251,.T.); #248445=ORIENTED_EDGE('',*,*,#191253,.F.); #248446=ORIENTED_EDGE('',*,*,#191147,.F.); #248447=ORIENTED_EDGE('',*,*,#191253,.T.); #248448=ORIENTED_EDGE('',*,*,#191250,.T.); #248449=ORIENTED_EDGE('',*,*,#191247,.T.); #248450=ORIENTED_EDGE('',*,*,#191244,.T.); #248451=ORIENTED_EDGE('',*,*,#191241,.T.); #248452=ORIENTED_EDGE('',*,*,#191238,.T.); #248453=ORIENTED_EDGE('',*,*,#191235,.T.); #248454=ORIENTED_EDGE('',*,*,#191232,.T.); #248455=ORIENTED_EDGE('',*,*,#191229,.T.); #248456=ORIENTED_EDGE('',*,*,#191226,.T.); #248457=ORIENTED_EDGE('',*,*,#191223,.T.); #248458=ORIENTED_EDGE('',*,*,#191220,.T.); #248459=ORIENTED_EDGE('',*,*,#191217,.T.); #248460=ORIENTED_EDGE('',*,*,#191214,.T.); #248461=ORIENTED_EDGE('',*,*,#191211,.T.); #248462=ORIENTED_EDGE('',*,*,#191208,.T.); #248463=ORIENTED_EDGE('',*,*,#191205,.T.); #248464=ORIENTED_EDGE('',*,*,#191202,.T.); #248465=ORIENTED_EDGE('',*,*,#191199,.T.); #248466=ORIENTED_EDGE('',*,*,#191196,.T.); #248467=ORIENTED_EDGE('',*,*,#191193,.T.); #248468=ORIENTED_EDGE('',*,*,#191190,.T.); #248469=ORIENTED_EDGE('',*,*,#191187,.T.); #248470=ORIENTED_EDGE('',*,*,#191184,.T.); #248471=ORIENTED_EDGE('',*,*,#191181,.T.); #248472=ORIENTED_EDGE('',*,*,#191178,.T.); #248473=ORIENTED_EDGE('',*,*,#191175,.T.); #248474=ORIENTED_EDGE('',*,*,#191172,.T.); #248475=ORIENTED_EDGE('',*,*,#191169,.T.); #248476=ORIENTED_EDGE('',*,*,#191166,.T.); #248477=ORIENTED_EDGE('',*,*,#191163,.T.); #248478=ORIENTED_EDGE('',*,*,#191160,.T.); #248479=ORIENTED_EDGE('',*,*,#191157,.T.); #248480=ORIENTED_EDGE('',*,*,#191154,.T.); #248481=ORIENTED_EDGE('',*,*,#191151,.T.); #248482=ORIENTED_EDGE('',*,*,#191148,.T.); #248483=ORIENTED_EDGE('',*,*,#191252,.F.); #248484=ORIENTED_EDGE('',*,*,#191146,.F.); #248485=ORIENTED_EDGE('',*,*,#191150,.F.); #248486=ORIENTED_EDGE('',*,*,#191153,.F.); #248487=ORIENTED_EDGE('',*,*,#191156,.F.); #248488=ORIENTED_EDGE('',*,*,#191159,.F.); #248489=ORIENTED_EDGE('',*,*,#191162,.F.); #248490=ORIENTED_EDGE('',*,*,#191165,.F.); #248491=ORIENTED_EDGE('',*,*,#191168,.F.); #248492=ORIENTED_EDGE('',*,*,#191171,.F.); #248493=ORIENTED_EDGE('',*,*,#191174,.F.); #248494=ORIENTED_EDGE('',*,*,#191177,.F.); #248495=ORIENTED_EDGE('',*,*,#191180,.F.); #248496=ORIENTED_EDGE('',*,*,#191183,.F.); #248497=ORIENTED_EDGE('',*,*,#191186,.F.); #248498=ORIENTED_EDGE('',*,*,#191189,.F.); #248499=ORIENTED_EDGE('',*,*,#191192,.F.); #248500=ORIENTED_EDGE('',*,*,#191195,.F.); #248501=ORIENTED_EDGE('',*,*,#191198,.F.); #248502=ORIENTED_EDGE('',*,*,#191201,.F.); #248503=ORIENTED_EDGE('',*,*,#191204,.F.); #248504=ORIENTED_EDGE('',*,*,#191207,.F.); #248505=ORIENTED_EDGE('',*,*,#191210,.F.); #248506=ORIENTED_EDGE('',*,*,#191213,.F.); #248507=ORIENTED_EDGE('',*,*,#191216,.F.); #248508=ORIENTED_EDGE('',*,*,#191219,.F.); #248509=ORIENTED_EDGE('',*,*,#191222,.F.); #248510=ORIENTED_EDGE('',*,*,#191225,.F.); #248511=ORIENTED_EDGE('',*,*,#191228,.F.); #248512=ORIENTED_EDGE('',*,*,#191231,.F.); #248513=ORIENTED_EDGE('',*,*,#191234,.F.); #248514=ORIENTED_EDGE('',*,*,#191237,.F.); #248515=ORIENTED_EDGE('',*,*,#191240,.F.); #248516=ORIENTED_EDGE('',*,*,#191243,.F.); #248517=ORIENTED_EDGE('',*,*,#191246,.F.); #248518=ORIENTED_EDGE('',*,*,#191249,.F.); #248519=ORIENTED_EDGE('',*,*,#191254,.F.); #248520=ORIENTED_EDGE('',*,*,#191255,.T.); #248521=ORIENTED_EDGE('',*,*,#191256,.F.); #248522=ORIENTED_EDGE('',*,*,#191255,.F.); #248523=ORIENTED_EDGE('',*,*,#191257,.T.); #248524=ORIENTED_EDGE('',*,*,#191258,.T.); #248525=ORIENTED_EDGE('',*,*,#191259,.F.); #248526=ORIENTED_EDGE('',*,*,#191260,.F.); #248527=ORIENTED_EDGE('',*,*,#191261,.T.); #248528=ORIENTED_EDGE('',*,*,#191260,.T.); #248529=ORIENTED_EDGE('',*,*,#191262,.F.); #248530=ORIENTED_EDGE('',*,*,#191263,.F.); #248531=ORIENTED_EDGE('',*,*,#191264,.T.); #248532=ORIENTED_EDGE('',*,*,#191263,.T.); #248533=ORIENTED_EDGE('',*,*,#191265,.F.); #248534=ORIENTED_EDGE('',*,*,#191266,.F.); #248535=ORIENTED_EDGE('',*,*,#191267,.T.); #248536=ORIENTED_EDGE('',*,*,#191266,.T.); #248537=ORIENTED_EDGE('',*,*,#191268,.F.); #248538=ORIENTED_EDGE('',*,*,#191269,.F.); #248539=ORIENTED_EDGE('',*,*,#191270,.T.); #248540=ORIENTED_EDGE('',*,*,#191269,.T.); #248541=ORIENTED_EDGE('',*,*,#191271,.F.); #248542=ORIENTED_EDGE('',*,*,#191272,.F.); #248543=ORIENTED_EDGE('',*,*,#191273,.T.); #248544=ORIENTED_EDGE('',*,*,#191272,.T.); #248545=ORIENTED_EDGE('',*,*,#191274,.F.); #248546=ORIENTED_EDGE('',*,*,#191275,.F.); #248547=ORIENTED_EDGE('',*,*,#191276,.T.); #248548=ORIENTED_EDGE('',*,*,#191275,.T.); #248549=ORIENTED_EDGE('',*,*,#191277,.F.); #248550=ORIENTED_EDGE('',*,*,#191278,.F.); #248551=ORIENTED_EDGE('',*,*,#191279,.T.); #248552=ORIENTED_EDGE('',*,*,#191278,.T.); #248553=ORIENTED_EDGE('',*,*,#191280,.F.); #248554=ORIENTED_EDGE('',*,*,#191281,.F.); #248555=ORIENTED_EDGE('',*,*,#191282,.T.); #248556=ORIENTED_EDGE('',*,*,#191281,.T.); #248557=ORIENTED_EDGE('',*,*,#191283,.F.); #248558=ORIENTED_EDGE('',*,*,#191284,.F.); #248559=ORIENTED_EDGE('',*,*,#191285,.T.); #248560=ORIENTED_EDGE('',*,*,#191284,.T.); #248561=ORIENTED_EDGE('',*,*,#191286,.F.); #248562=ORIENTED_EDGE('',*,*,#191258,.F.); #248563=ORIENTED_EDGE('',*,*,#191286,.T.); #248564=ORIENTED_EDGE('',*,*,#191283,.T.); #248565=ORIENTED_EDGE('',*,*,#191280,.T.); #248566=ORIENTED_EDGE('',*,*,#191277,.T.); #248567=ORIENTED_EDGE('',*,*,#191274,.T.); #248568=ORIENTED_EDGE('',*,*,#191271,.T.); #248569=ORIENTED_EDGE('',*,*,#191268,.T.); #248570=ORIENTED_EDGE('',*,*,#191265,.T.); #248571=ORIENTED_EDGE('',*,*,#191262,.T.); #248572=ORIENTED_EDGE('',*,*,#191259,.T.); #248573=ORIENTED_EDGE('',*,*,#191256,.T.); #248574=ORIENTED_EDGE('',*,*,#191285,.F.); #248575=ORIENTED_EDGE('',*,*,#191257,.F.); #248576=ORIENTED_EDGE('',*,*,#191261,.F.); #248577=ORIENTED_EDGE('',*,*,#191264,.F.); #248578=ORIENTED_EDGE('',*,*,#191267,.F.); #248579=ORIENTED_EDGE('',*,*,#191270,.F.); #248580=ORIENTED_EDGE('',*,*,#191273,.F.); #248581=ORIENTED_EDGE('',*,*,#191276,.F.); #248582=ORIENTED_EDGE('',*,*,#191279,.F.); #248583=ORIENTED_EDGE('',*,*,#191282,.F.); #248584=ORIENTED_EDGE('',*,*,#191254,.T.); #248585=ORIENTED_EDGE('',*,*,#191287,.F.); #248586=ORIENTED_EDGE('',*,*,#191288,.T.); #248587=ORIENTED_EDGE('',*,*,#191289,.F.); #248588=ORIENTED_EDGE('',*,*,#191288,.F.); #248589=ORIENTED_EDGE('',*,*,#191290,.F.); #248590=ORIENTED_EDGE('',*,*,#191291,.T.); #248591=ORIENTED_EDGE('',*,*,#191292,.F.); #248592=ORIENTED_EDGE('',*,*,#191291,.F.); #248593=ORIENTED_EDGE('',*,*,#191293,.T.); #248594=ORIENTED_EDGE('',*,*,#191294,.T.); #248595=ORIENTED_EDGE('',*,*,#191295,.F.); #248596=ORIENTED_EDGE('',*,*,#191296,.F.); #248597=ORIENTED_EDGE('',*,*,#191297,.T.); #248598=ORIENTED_EDGE('',*,*,#191296,.T.); #248599=ORIENTED_EDGE('',*,*,#191298,.F.); #248600=ORIENTED_EDGE('',*,*,#191299,.F.); #248601=ORIENTED_EDGE('',*,*,#191300,.T.); #248602=ORIENTED_EDGE('',*,*,#191299,.T.); #248603=ORIENTED_EDGE('',*,*,#191301,.F.); #248604=ORIENTED_EDGE('',*,*,#191302,.F.); #248605=ORIENTED_EDGE('',*,*,#191303,.T.); #248606=ORIENTED_EDGE('',*,*,#191302,.T.); #248607=ORIENTED_EDGE('',*,*,#191304,.F.); #248608=ORIENTED_EDGE('',*,*,#191305,.F.); #248609=ORIENTED_EDGE('',*,*,#191306,.T.); #248610=ORIENTED_EDGE('',*,*,#191305,.T.); #248611=ORIENTED_EDGE('',*,*,#191307,.F.); #248612=ORIENTED_EDGE('',*,*,#191308,.F.); #248613=ORIENTED_EDGE('',*,*,#191309,.T.); #248614=ORIENTED_EDGE('',*,*,#191308,.T.); #248615=ORIENTED_EDGE('',*,*,#191310,.F.); #248616=ORIENTED_EDGE('',*,*,#191311,.F.); #248617=ORIENTED_EDGE('',*,*,#191312,.T.); #248618=ORIENTED_EDGE('',*,*,#191311,.T.); #248619=ORIENTED_EDGE('',*,*,#191313,.F.); #248620=ORIENTED_EDGE('',*,*,#191314,.F.); #248621=ORIENTED_EDGE('',*,*,#191315,.T.); #248622=ORIENTED_EDGE('',*,*,#191314,.T.); #248623=ORIENTED_EDGE('',*,*,#191316,.F.); #248624=ORIENTED_EDGE('',*,*,#191317,.F.); #248625=ORIENTED_EDGE('',*,*,#191318,.T.); #248626=ORIENTED_EDGE('',*,*,#191317,.T.); #248627=ORIENTED_EDGE('',*,*,#191319,.F.); #248628=ORIENTED_EDGE('',*,*,#191320,.F.); #248629=ORIENTED_EDGE('',*,*,#191321,.T.); #248630=ORIENTED_EDGE('',*,*,#191320,.T.); #248631=ORIENTED_EDGE('',*,*,#191322,.F.); #248632=ORIENTED_EDGE('',*,*,#191323,.F.); #248633=ORIENTED_EDGE('',*,*,#191324,.T.); #248634=ORIENTED_EDGE('',*,*,#191323,.T.); #248635=ORIENTED_EDGE('',*,*,#191325,.F.); #248636=ORIENTED_EDGE('',*,*,#191326,.F.); #248637=ORIENTED_EDGE('',*,*,#191327,.T.); #248638=ORIENTED_EDGE('',*,*,#191326,.T.); #248639=ORIENTED_EDGE('',*,*,#191328,.F.); #248640=ORIENTED_EDGE('',*,*,#191329,.F.); #248641=ORIENTED_EDGE('',*,*,#191330,.T.); #248642=ORIENTED_EDGE('',*,*,#191329,.T.); #248643=ORIENTED_EDGE('',*,*,#191331,.F.); #248644=ORIENTED_EDGE('',*,*,#191332,.F.); #248645=ORIENTED_EDGE('',*,*,#191333,.T.); #248646=ORIENTED_EDGE('',*,*,#191332,.T.); #248647=ORIENTED_EDGE('',*,*,#191334,.F.); #248648=ORIENTED_EDGE('',*,*,#191335,.F.); #248649=ORIENTED_EDGE('',*,*,#191336,.T.); #248650=ORIENTED_EDGE('',*,*,#191335,.T.); #248651=ORIENTED_EDGE('',*,*,#191337,.F.); #248652=ORIENTED_EDGE('',*,*,#191338,.F.); #248653=ORIENTED_EDGE('',*,*,#191339,.T.); #248654=ORIENTED_EDGE('',*,*,#191338,.T.); #248655=ORIENTED_EDGE('',*,*,#191340,.F.); #248656=ORIENTED_EDGE('',*,*,#191341,.F.); #248657=ORIENTED_EDGE('',*,*,#191342,.T.); #248658=ORIENTED_EDGE('',*,*,#191341,.T.); #248659=ORIENTED_EDGE('',*,*,#191343,.F.); #248660=ORIENTED_EDGE('',*,*,#191344,.F.); #248661=ORIENTED_EDGE('',*,*,#191345,.T.); #248662=ORIENTED_EDGE('',*,*,#191344,.T.); #248663=ORIENTED_EDGE('',*,*,#191346,.F.); #248664=ORIENTED_EDGE('',*,*,#191347,.F.); #248665=ORIENTED_EDGE('',*,*,#191348,.T.); #248666=ORIENTED_EDGE('',*,*,#191347,.T.); #248667=ORIENTED_EDGE('',*,*,#191349,.F.); #248668=ORIENTED_EDGE('',*,*,#191350,.F.); #248669=ORIENTED_EDGE('',*,*,#191351,.T.); #248670=ORIENTED_EDGE('',*,*,#191350,.T.); #248671=ORIENTED_EDGE('',*,*,#191352,.F.); #248672=ORIENTED_EDGE('',*,*,#191353,.F.); #248673=ORIENTED_EDGE('',*,*,#191354,.T.); #248674=ORIENTED_EDGE('',*,*,#191353,.T.); #248675=ORIENTED_EDGE('',*,*,#191355,.F.); #248676=ORIENTED_EDGE('',*,*,#191294,.F.); #248677=ORIENTED_EDGE('',*,*,#191355,.T.); #248678=ORIENTED_EDGE('',*,*,#191352,.T.); #248679=ORIENTED_EDGE('',*,*,#191349,.T.); #248680=ORIENTED_EDGE('',*,*,#191346,.T.); #248681=ORIENTED_EDGE('',*,*,#191343,.T.); #248682=ORIENTED_EDGE('',*,*,#191340,.T.); #248683=ORIENTED_EDGE('',*,*,#191337,.T.); #248684=ORIENTED_EDGE('',*,*,#191334,.T.); #248685=ORIENTED_EDGE('',*,*,#191331,.T.); #248686=ORIENTED_EDGE('',*,*,#191328,.T.); #248687=ORIENTED_EDGE('',*,*,#191325,.T.); #248688=ORIENTED_EDGE('',*,*,#191322,.T.); #248689=ORIENTED_EDGE('',*,*,#191319,.T.); #248690=ORIENTED_EDGE('',*,*,#191316,.T.); #248691=ORIENTED_EDGE('',*,*,#191313,.T.); #248692=ORIENTED_EDGE('',*,*,#191310,.T.); #248693=ORIENTED_EDGE('',*,*,#191307,.T.); #248694=ORIENTED_EDGE('',*,*,#191304,.T.); #248695=ORIENTED_EDGE('',*,*,#191301,.T.); #248696=ORIENTED_EDGE('',*,*,#191298,.T.); #248697=ORIENTED_EDGE('',*,*,#191295,.T.); #248698=ORIENTED_EDGE('',*,*,#191289,.T.); #248699=ORIENTED_EDGE('',*,*,#191292,.T.); #248700=ORIENTED_EDGE('',*,*,#191354,.F.); #248701=ORIENTED_EDGE('',*,*,#191293,.F.); #248702=ORIENTED_EDGE('',*,*,#191297,.F.); #248703=ORIENTED_EDGE('',*,*,#191300,.F.); #248704=ORIENTED_EDGE('',*,*,#191303,.F.); #248705=ORIENTED_EDGE('',*,*,#191306,.F.); #248706=ORIENTED_EDGE('',*,*,#191309,.F.); #248707=ORIENTED_EDGE('',*,*,#191312,.F.); #248708=ORIENTED_EDGE('',*,*,#191315,.F.); #248709=ORIENTED_EDGE('',*,*,#191318,.F.); #248710=ORIENTED_EDGE('',*,*,#191321,.F.); #248711=ORIENTED_EDGE('',*,*,#191324,.F.); #248712=ORIENTED_EDGE('',*,*,#191327,.F.); #248713=ORIENTED_EDGE('',*,*,#191330,.F.); #248714=ORIENTED_EDGE('',*,*,#191333,.F.); #248715=ORIENTED_EDGE('',*,*,#191336,.F.); #248716=ORIENTED_EDGE('',*,*,#191339,.F.); #248717=ORIENTED_EDGE('',*,*,#191342,.F.); #248718=ORIENTED_EDGE('',*,*,#191345,.F.); #248719=ORIENTED_EDGE('',*,*,#191348,.F.); #248720=ORIENTED_EDGE('',*,*,#191351,.F.); #248721=ORIENTED_EDGE('',*,*,#191287,.T.); #248722=ORIENTED_EDGE('',*,*,#191290,.T.); #248723=ORIENTED_EDGE('',*,*,#191356,.T.); #248724=ORIENTED_EDGE('',*,*,#191357,.T.); #248725=ORIENTED_EDGE('',*,*,#191358,.F.); #248726=ORIENTED_EDGE('',*,*,#191359,.F.); #248727=ORIENTED_EDGE('',*,*,#191360,.T.); #248728=ORIENTED_EDGE('',*,*,#191359,.T.); #248729=ORIENTED_EDGE('',*,*,#191361,.F.); #248730=ORIENTED_EDGE('',*,*,#191362,.F.); #248731=ORIENTED_EDGE('',*,*,#191363,.T.); #248732=ORIENTED_EDGE('',*,*,#191362,.T.); #248733=ORIENTED_EDGE('',*,*,#191364,.F.); #248734=ORIENTED_EDGE('',*,*,#191365,.F.); #248735=ORIENTED_EDGE('',*,*,#191366,.T.); #248736=ORIENTED_EDGE('',*,*,#191365,.T.); #248737=ORIENTED_EDGE('',*,*,#191367,.F.); #248738=ORIENTED_EDGE('',*,*,#191368,.F.); #248739=ORIENTED_EDGE('',*,*,#191369,.T.); #248740=ORIENTED_EDGE('',*,*,#191368,.T.); #248741=ORIENTED_EDGE('',*,*,#191370,.F.); #248742=ORIENTED_EDGE('',*,*,#191371,.F.); #248743=ORIENTED_EDGE('',*,*,#191372,.T.); #248744=ORIENTED_EDGE('',*,*,#191371,.T.); #248745=ORIENTED_EDGE('',*,*,#191373,.F.); #248746=ORIENTED_EDGE('',*,*,#191374,.F.); #248747=ORIENTED_EDGE('',*,*,#191375,.T.); #248748=ORIENTED_EDGE('',*,*,#191374,.T.); #248749=ORIENTED_EDGE('',*,*,#191376,.F.); #248750=ORIENTED_EDGE('',*,*,#191377,.F.); #248751=ORIENTED_EDGE('',*,*,#191378,.T.); #248752=ORIENTED_EDGE('',*,*,#191377,.T.); #248753=ORIENTED_EDGE('',*,*,#191379,.F.); #248754=ORIENTED_EDGE('',*,*,#191380,.F.); #248755=ORIENTED_EDGE('',*,*,#191381,.T.); #248756=ORIENTED_EDGE('',*,*,#191380,.T.); #248757=ORIENTED_EDGE('',*,*,#191382,.F.); #248758=ORIENTED_EDGE('',*,*,#191383,.F.); #248759=ORIENTED_EDGE('',*,*,#191384,.T.); #248760=ORIENTED_EDGE('',*,*,#191383,.T.); #248761=ORIENTED_EDGE('',*,*,#191385,.F.); #248762=ORIENTED_EDGE('',*,*,#191386,.F.); #248763=ORIENTED_EDGE('',*,*,#191387,.T.); #248764=ORIENTED_EDGE('',*,*,#191386,.T.); #248765=ORIENTED_EDGE('',*,*,#191388,.F.); #248766=ORIENTED_EDGE('',*,*,#191389,.F.); #248767=ORIENTED_EDGE('',*,*,#191390,.T.); #248768=ORIENTED_EDGE('',*,*,#191389,.T.); #248769=ORIENTED_EDGE('',*,*,#191391,.F.); #248770=ORIENTED_EDGE('',*,*,#191392,.F.); #248771=ORIENTED_EDGE('',*,*,#191393,.T.); #248772=ORIENTED_EDGE('',*,*,#191392,.T.); #248773=ORIENTED_EDGE('',*,*,#191394,.F.); #248774=ORIENTED_EDGE('',*,*,#191395,.F.); #248775=ORIENTED_EDGE('',*,*,#191396,.T.); #248776=ORIENTED_EDGE('',*,*,#191395,.T.); #248777=ORIENTED_EDGE('',*,*,#191397,.F.); #248778=ORIENTED_EDGE('',*,*,#191357,.F.); #248779=ORIENTED_EDGE('',*,*,#191397,.T.); #248780=ORIENTED_EDGE('',*,*,#191394,.T.); #248781=ORIENTED_EDGE('',*,*,#191391,.T.); #248782=ORIENTED_EDGE('',*,*,#191388,.T.); #248783=ORIENTED_EDGE('',*,*,#191385,.T.); #248784=ORIENTED_EDGE('',*,*,#191382,.T.); #248785=ORIENTED_EDGE('',*,*,#191379,.T.); #248786=ORIENTED_EDGE('',*,*,#191376,.T.); #248787=ORIENTED_EDGE('',*,*,#191373,.T.); #248788=ORIENTED_EDGE('',*,*,#191370,.T.); #248789=ORIENTED_EDGE('',*,*,#191367,.T.); #248790=ORIENTED_EDGE('',*,*,#191364,.T.); #248791=ORIENTED_EDGE('',*,*,#191361,.T.); #248792=ORIENTED_EDGE('',*,*,#191358,.T.); #248793=ORIENTED_EDGE('',*,*,#191396,.F.); #248794=ORIENTED_EDGE('',*,*,#191356,.F.); #248795=ORIENTED_EDGE('',*,*,#191360,.F.); #248796=ORIENTED_EDGE('',*,*,#191363,.F.); #248797=ORIENTED_EDGE('',*,*,#191366,.F.); #248798=ORIENTED_EDGE('',*,*,#191369,.F.); #248799=ORIENTED_EDGE('',*,*,#191372,.F.); #248800=ORIENTED_EDGE('',*,*,#191375,.F.); #248801=ORIENTED_EDGE('',*,*,#191378,.F.); #248802=ORIENTED_EDGE('',*,*,#191381,.F.); #248803=ORIENTED_EDGE('',*,*,#191384,.F.); #248804=ORIENTED_EDGE('',*,*,#191387,.F.); #248805=ORIENTED_EDGE('',*,*,#191390,.F.); #248806=ORIENTED_EDGE('',*,*,#191393,.F.); #248807=ORIENTED_EDGE('',*,*,#191398,.F.); #248808=ORIENTED_EDGE('',*,*,#191399,.T.); #248809=ORIENTED_EDGE('',*,*,#191400,.F.); #248810=ORIENTED_EDGE('',*,*,#191399,.F.); #248811=ORIENTED_EDGE('',*,*,#191401,.F.); #248812=ORIENTED_EDGE('',*,*,#191402,.T.); #248813=ORIENTED_EDGE('',*,*,#191403,.F.); #248814=ORIENTED_EDGE('',*,*,#191402,.F.); #248815=ORIENTED_EDGE('',*,*,#191404,.F.); #248816=ORIENTED_EDGE('',*,*,#191405,.T.); #248817=ORIENTED_EDGE('',*,*,#191406,.F.); #248818=ORIENTED_EDGE('',*,*,#191405,.F.); #248819=ORIENTED_EDGE('',*,*,#191407,.F.); #248820=ORIENTED_EDGE('',*,*,#191408,.T.); #248821=ORIENTED_EDGE('',*,*,#191409,.F.); #248822=ORIENTED_EDGE('',*,*,#191408,.F.); #248823=ORIENTED_EDGE('',*,*,#191410,.F.); #248824=ORIENTED_EDGE('',*,*,#191411,.T.); #248825=ORIENTED_EDGE('',*,*,#191412,.F.); #248826=ORIENTED_EDGE('',*,*,#191411,.F.); #248827=ORIENTED_EDGE('',*,*,#191413,.F.); #248828=ORIENTED_EDGE('',*,*,#191414,.T.); #248829=ORIENTED_EDGE('',*,*,#191415,.F.); #248830=ORIENTED_EDGE('',*,*,#191414,.F.); #248831=ORIENTED_EDGE('',*,*,#191416,.F.); #248832=ORIENTED_EDGE('',*,*,#191417,.T.); #248833=ORIENTED_EDGE('',*,*,#191418,.F.); #248834=ORIENTED_EDGE('',*,*,#191417,.F.); #248835=ORIENTED_EDGE('',*,*,#191419,.F.); #248836=ORIENTED_EDGE('',*,*,#191420,.T.); #248837=ORIENTED_EDGE('',*,*,#191421,.F.); #248838=ORIENTED_EDGE('',*,*,#191420,.F.); #248839=ORIENTED_EDGE('',*,*,#191422,.F.); #248840=ORIENTED_EDGE('',*,*,#191423,.T.); #248841=ORIENTED_EDGE('',*,*,#191424,.F.); #248842=ORIENTED_EDGE('',*,*,#191423,.F.); #248843=ORIENTED_EDGE('',*,*,#191425,.F.); #248844=ORIENTED_EDGE('',*,*,#191426,.T.); #248845=ORIENTED_EDGE('',*,*,#191427,.F.); #248846=ORIENTED_EDGE('',*,*,#191426,.F.); #248847=ORIENTED_EDGE('',*,*,#191428,.F.); #248848=ORIENTED_EDGE('',*,*,#191429,.T.); #248849=ORIENTED_EDGE('',*,*,#191430,.F.); #248850=ORIENTED_EDGE('',*,*,#191429,.F.); #248851=ORIENTED_EDGE('',*,*,#191431,.T.); #248852=ORIENTED_EDGE('',*,*,#191432,.T.); #248853=ORIENTED_EDGE('',*,*,#191433,.F.); #248854=ORIENTED_EDGE('',*,*,#191434,.F.); #248855=ORIENTED_EDGE('',*,*,#191435,.T.); #248856=ORIENTED_EDGE('',*,*,#191434,.T.); #248857=ORIENTED_EDGE('',*,*,#191436,.F.); #248858=ORIENTED_EDGE('',*,*,#191437,.F.); #248859=ORIENTED_EDGE('',*,*,#191438,.T.); #248860=ORIENTED_EDGE('',*,*,#191437,.T.); #248861=ORIENTED_EDGE('',*,*,#191439,.F.); #248862=ORIENTED_EDGE('',*,*,#191440,.F.); #248863=ORIENTED_EDGE('',*,*,#191441,.T.); #248864=ORIENTED_EDGE('',*,*,#191440,.T.); #248865=ORIENTED_EDGE('',*,*,#191442,.F.); #248866=ORIENTED_EDGE('',*,*,#191443,.F.); #248867=ORIENTED_EDGE('',*,*,#191444,.T.); #248868=ORIENTED_EDGE('',*,*,#191443,.T.); #248869=ORIENTED_EDGE('',*,*,#191445,.F.); #248870=ORIENTED_EDGE('',*,*,#191446,.F.); #248871=ORIENTED_EDGE('',*,*,#191447,.T.); #248872=ORIENTED_EDGE('',*,*,#191446,.T.); #248873=ORIENTED_EDGE('',*,*,#191448,.F.); #248874=ORIENTED_EDGE('',*,*,#191449,.F.); #248875=ORIENTED_EDGE('',*,*,#191450,.T.); #248876=ORIENTED_EDGE('',*,*,#191449,.T.); #248877=ORIENTED_EDGE('',*,*,#191451,.F.); #248878=ORIENTED_EDGE('',*,*,#191452,.F.); #248879=ORIENTED_EDGE('',*,*,#191453,.T.); #248880=ORIENTED_EDGE('',*,*,#191452,.T.); #248881=ORIENTED_EDGE('',*,*,#191454,.F.); #248882=ORIENTED_EDGE('',*,*,#191455,.F.); #248883=ORIENTED_EDGE('',*,*,#191456,.T.); #248884=ORIENTED_EDGE('',*,*,#191455,.T.); #248885=ORIENTED_EDGE('',*,*,#191457,.F.); #248886=ORIENTED_EDGE('',*,*,#191458,.F.); #248887=ORIENTED_EDGE('',*,*,#191459,.T.); #248888=ORIENTED_EDGE('',*,*,#191458,.T.); #248889=ORIENTED_EDGE('',*,*,#191460,.F.); #248890=ORIENTED_EDGE('',*,*,#191461,.F.); #248891=ORIENTED_EDGE('',*,*,#191462,.T.); #248892=ORIENTED_EDGE('',*,*,#191461,.T.); #248893=ORIENTED_EDGE('',*,*,#191463,.F.); #248894=ORIENTED_EDGE('',*,*,#191464,.F.); #248895=ORIENTED_EDGE('',*,*,#191465,.T.); #248896=ORIENTED_EDGE('',*,*,#191464,.T.); #248897=ORIENTED_EDGE('',*,*,#191466,.F.); #248898=ORIENTED_EDGE('',*,*,#191467,.F.); #248899=ORIENTED_EDGE('',*,*,#191468,.T.); #248900=ORIENTED_EDGE('',*,*,#191467,.T.); #248901=ORIENTED_EDGE('',*,*,#191469,.F.); #248902=ORIENTED_EDGE('',*,*,#191470,.F.); #248903=ORIENTED_EDGE('',*,*,#191471,.T.); #248904=ORIENTED_EDGE('',*,*,#191470,.T.); #248905=ORIENTED_EDGE('',*,*,#191472,.F.); #248906=ORIENTED_EDGE('',*,*,#191473,.F.); #248907=ORIENTED_EDGE('',*,*,#191474,.T.); #248908=ORIENTED_EDGE('',*,*,#191473,.T.); #248909=ORIENTED_EDGE('',*,*,#191475,.F.); #248910=ORIENTED_EDGE('',*,*,#191476,.F.); #248911=ORIENTED_EDGE('',*,*,#191477,.T.); #248912=ORIENTED_EDGE('',*,*,#191476,.T.); #248913=ORIENTED_EDGE('',*,*,#191478,.F.); #248914=ORIENTED_EDGE('',*,*,#191479,.F.); #248915=ORIENTED_EDGE('',*,*,#191480,.T.); #248916=ORIENTED_EDGE('',*,*,#191479,.T.); #248917=ORIENTED_EDGE('',*,*,#191481,.F.); #248918=ORIENTED_EDGE('',*,*,#191482,.F.); #248919=ORIENTED_EDGE('',*,*,#191483,.T.); #248920=ORIENTED_EDGE('',*,*,#191482,.T.); #248921=ORIENTED_EDGE('',*,*,#191484,.F.); #248922=ORIENTED_EDGE('',*,*,#191485,.F.); #248923=ORIENTED_EDGE('',*,*,#191486,.T.); #248924=ORIENTED_EDGE('',*,*,#191485,.T.); #248925=ORIENTED_EDGE('',*,*,#191487,.F.); #248926=ORIENTED_EDGE('',*,*,#191488,.F.); #248927=ORIENTED_EDGE('',*,*,#191489,.T.); #248928=ORIENTED_EDGE('',*,*,#191488,.T.); #248929=ORIENTED_EDGE('',*,*,#191490,.F.); #248930=ORIENTED_EDGE('',*,*,#191491,.F.); #248931=ORIENTED_EDGE('',*,*,#191492,.T.); #248932=ORIENTED_EDGE('',*,*,#191491,.T.); #248933=ORIENTED_EDGE('',*,*,#191493,.F.); #248934=ORIENTED_EDGE('',*,*,#191494,.F.); #248935=ORIENTED_EDGE('',*,*,#191495,.T.); #248936=ORIENTED_EDGE('',*,*,#191494,.T.); #248937=ORIENTED_EDGE('',*,*,#191496,.F.); #248938=ORIENTED_EDGE('',*,*,#191497,.F.); #248939=ORIENTED_EDGE('',*,*,#191498,.T.); #248940=ORIENTED_EDGE('',*,*,#191497,.T.); #248941=ORIENTED_EDGE('',*,*,#191499,.F.); #248942=ORIENTED_EDGE('',*,*,#191500,.F.); #248943=ORIENTED_EDGE('',*,*,#191501,.T.); #248944=ORIENTED_EDGE('',*,*,#191500,.T.); #248945=ORIENTED_EDGE('',*,*,#191502,.F.); #248946=ORIENTED_EDGE('',*,*,#191503,.F.); #248947=ORIENTED_EDGE('',*,*,#191504,.T.); #248948=ORIENTED_EDGE('',*,*,#191503,.T.); #248949=ORIENTED_EDGE('',*,*,#191505,.F.); #248950=ORIENTED_EDGE('',*,*,#191506,.F.); #248951=ORIENTED_EDGE('',*,*,#191507,.T.); #248952=ORIENTED_EDGE('',*,*,#191506,.T.); #248953=ORIENTED_EDGE('',*,*,#191508,.F.); #248954=ORIENTED_EDGE('',*,*,#191509,.F.); #248955=ORIENTED_EDGE('',*,*,#191510,.T.); #248956=ORIENTED_EDGE('',*,*,#191509,.T.); #248957=ORIENTED_EDGE('',*,*,#191511,.F.); #248958=ORIENTED_EDGE('',*,*,#191512,.F.); #248959=ORIENTED_EDGE('',*,*,#191513,.T.); #248960=ORIENTED_EDGE('',*,*,#191512,.T.); #248961=ORIENTED_EDGE('',*,*,#191514,.F.); #248962=ORIENTED_EDGE('',*,*,#191515,.F.); #248963=ORIENTED_EDGE('',*,*,#191516,.T.); #248964=ORIENTED_EDGE('',*,*,#191515,.T.); #248965=ORIENTED_EDGE('',*,*,#191517,.F.); #248966=ORIENTED_EDGE('',*,*,#191518,.F.); #248967=ORIENTED_EDGE('',*,*,#191519,.T.); #248968=ORIENTED_EDGE('',*,*,#191518,.T.); #248969=ORIENTED_EDGE('',*,*,#191520,.F.); #248970=ORIENTED_EDGE('',*,*,#191521,.F.); #248971=ORIENTED_EDGE('',*,*,#191522,.T.); #248972=ORIENTED_EDGE('',*,*,#191521,.T.); #248973=ORIENTED_EDGE('',*,*,#191523,.F.); #248974=ORIENTED_EDGE('',*,*,#191524,.F.); #248975=ORIENTED_EDGE('',*,*,#191525,.T.); #248976=ORIENTED_EDGE('',*,*,#191524,.T.); #248977=ORIENTED_EDGE('',*,*,#191526,.F.); #248978=ORIENTED_EDGE('',*,*,#191527,.F.); #248979=ORIENTED_EDGE('',*,*,#191528,.T.); #248980=ORIENTED_EDGE('',*,*,#191527,.T.); #248981=ORIENTED_EDGE('',*,*,#191529,.F.); #248982=ORIENTED_EDGE('',*,*,#191530,.F.); #248983=ORIENTED_EDGE('',*,*,#191531,.T.); #248984=ORIENTED_EDGE('',*,*,#191530,.T.); #248985=ORIENTED_EDGE('',*,*,#191532,.F.); #248986=ORIENTED_EDGE('',*,*,#191533,.F.); #248987=ORIENTED_EDGE('',*,*,#191534,.T.); #248988=ORIENTED_EDGE('',*,*,#191533,.T.); #248989=ORIENTED_EDGE('',*,*,#191535,.F.); #248990=ORIENTED_EDGE('',*,*,#191536,.F.); #248991=ORIENTED_EDGE('',*,*,#191537,.T.); #248992=ORIENTED_EDGE('',*,*,#191536,.T.); #248993=ORIENTED_EDGE('',*,*,#191538,.F.); #248994=ORIENTED_EDGE('',*,*,#191539,.F.); #248995=ORIENTED_EDGE('',*,*,#191540,.T.); #248996=ORIENTED_EDGE('',*,*,#191539,.T.); #248997=ORIENTED_EDGE('',*,*,#191541,.F.); #248998=ORIENTED_EDGE('',*,*,#191542,.F.); #248999=ORIENTED_EDGE('',*,*,#191543,.T.); #249000=ORIENTED_EDGE('',*,*,#191542,.T.); #249001=ORIENTED_EDGE('',*,*,#191544,.F.); #249002=ORIENTED_EDGE('',*,*,#191545,.F.); #249003=ORIENTED_EDGE('',*,*,#191546,.T.); #249004=ORIENTED_EDGE('',*,*,#191545,.T.); #249005=ORIENTED_EDGE('',*,*,#191547,.F.); #249006=ORIENTED_EDGE('',*,*,#191548,.F.); #249007=ORIENTED_EDGE('',*,*,#191549,.T.); #249008=ORIENTED_EDGE('',*,*,#191548,.T.); #249009=ORIENTED_EDGE('',*,*,#191550,.F.); #249010=ORIENTED_EDGE('',*,*,#191551,.F.); #249011=ORIENTED_EDGE('',*,*,#191552,.T.); #249012=ORIENTED_EDGE('',*,*,#191551,.T.); #249013=ORIENTED_EDGE('',*,*,#191553,.F.); #249014=ORIENTED_EDGE('',*,*,#191554,.F.); #249015=ORIENTED_EDGE('',*,*,#191555,.T.); #249016=ORIENTED_EDGE('',*,*,#191554,.T.); #249017=ORIENTED_EDGE('',*,*,#191556,.F.); #249018=ORIENTED_EDGE('',*,*,#191557,.F.); #249019=ORIENTED_EDGE('',*,*,#191558,.T.); #249020=ORIENTED_EDGE('',*,*,#191557,.T.); #249021=ORIENTED_EDGE('',*,*,#191559,.F.); #249022=ORIENTED_EDGE('',*,*,#191560,.F.); #249023=ORIENTED_EDGE('',*,*,#191561,.T.); #249024=ORIENTED_EDGE('',*,*,#191560,.T.); #249025=ORIENTED_EDGE('',*,*,#191562,.F.); #249026=ORIENTED_EDGE('',*,*,#191563,.F.); #249027=ORIENTED_EDGE('',*,*,#191564,.T.); #249028=ORIENTED_EDGE('',*,*,#191563,.T.); #249029=ORIENTED_EDGE('',*,*,#191565,.F.); #249030=ORIENTED_EDGE('',*,*,#191566,.F.); #249031=ORIENTED_EDGE('',*,*,#191567,.T.); #249032=ORIENTED_EDGE('',*,*,#191566,.T.); #249033=ORIENTED_EDGE('',*,*,#191568,.F.); #249034=ORIENTED_EDGE('',*,*,#191569,.F.); #249035=ORIENTED_EDGE('',*,*,#191570,.T.); #249036=ORIENTED_EDGE('',*,*,#191569,.T.); #249037=ORIENTED_EDGE('',*,*,#191571,.F.); #249038=ORIENTED_EDGE('',*,*,#191572,.F.); #249039=ORIENTED_EDGE('',*,*,#191573,.T.); #249040=ORIENTED_EDGE('',*,*,#191572,.T.); #249041=ORIENTED_EDGE('',*,*,#191574,.F.); #249042=ORIENTED_EDGE('',*,*,#191575,.F.); #249043=ORIENTED_EDGE('',*,*,#191576,.T.); #249044=ORIENTED_EDGE('',*,*,#191575,.T.); #249045=ORIENTED_EDGE('',*,*,#191577,.F.); #249046=ORIENTED_EDGE('',*,*,#191578,.F.); #249047=ORIENTED_EDGE('',*,*,#191579,.T.); #249048=ORIENTED_EDGE('',*,*,#191578,.T.); #249049=ORIENTED_EDGE('',*,*,#191580,.F.); #249050=ORIENTED_EDGE('',*,*,#191581,.F.); #249051=ORIENTED_EDGE('',*,*,#191582,.T.); #249052=ORIENTED_EDGE('',*,*,#191581,.T.); #249053=ORIENTED_EDGE('',*,*,#191583,.F.); #249054=ORIENTED_EDGE('',*,*,#191584,.F.); #249055=ORIENTED_EDGE('',*,*,#191585,.T.); #249056=ORIENTED_EDGE('',*,*,#191584,.T.); #249057=ORIENTED_EDGE('',*,*,#191586,.F.); #249058=ORIENTED_EDGE('',*,*,#191587,.F.); #249059=ORIENTED_EDGE('',*,*,#191588,.T.); #249060=ORIENTED_EDGE('',*,*,#191587,.T.); #249061=ORIENTED_EDGE('',*,*,#191589,.F.); #249062=ORIENTED_EDGE('',*,*,#191590,.F.); #249063=ORIENTED_EDGE('',*,*,#191591,.T.); #249064=ORIENTED_EDGE('',*,*,#191590,.T.); #249065=ORIENTED_EDGE('',*,*,#191592,.F.); #249066=ORIENTED_EDGE('',*,*,#191593,.F.); #249067=ORIENTED_EDGE('',*,*,#191594,.T.); #249068=ORIENTED_EDGE('',*,*,#191593,.T.); #249069=ORIENTED_EDGE('',*,*,#191595,.F.); #249070=ORIENTED_EDGE('',*,*,#191596,.F.); #249071=ORIENTED_EDGE('',*,*,#191597,.T.); #249072=ORIENTED_EDGE('',*,*,#191596,.T.); #249073=ORIENTED_EDGE('',*,*,#191598,.F.); #249074=ORIENTED_EDGE('',*,*,#191599,.F.); #249075=ORIENTED_EDGE('',*,*,#191600,.T.); #249076=ORIENTED_EDGE('',*,*,#191599,.T.); #249077=ORIENTED_EDGE('',*,*,#191601,.F.); #249078=ORIENTED_EDGE('',*,*,#191602,.F.); #249079=ORIENTED_EDGE('',*,*,#191603,.T.); #249080=ORIENTED_EDGE('',*,*,#191602,.T.); #249081=ORIENTED_EDGE('',*,*,#191604,.F.); #249082=ORIENTED_EDGE('',*,*,#191605,.F.); #249083=ORIENTED_EDGE('',*,*,#191606,.T.); #249084=ORIENTED_EDGE('',*,*,#191605,.T.); #249085=ORIENTED_EDGE('',*,*,#191607,.F.); #249086=ORIENTED_EDGE('',*,*,#191608,.F.); #249087=ORIENTED_EDGE('',*,*,#191609,.T.); #249088=ORIENTED_EDGE('',*,*,#191608,.T.); #249089=ORIENTED_EDGE('',*,*,#191610,.F.); #249090=ORIENTED_EDGE('',*,*,#191611,.F.); #249091=ORIENTED_EDGE('',*,*,#191612,.T.); #249092=ORIENTED_EDGE('',*,*,#191611,.T.); #249093=ORIENTED_EDGE('',*,*,#191613,.F.); #249094=ORIENTED_EDGE('',*,*,#191614,.F.); #249095=ORIENTED_EDGE('',*,*,#191615,.T.); #249096=ORIENTED_EDGE('',*,*,#191614,.T.); #249097=ORIENTED_EDGE('',*,*,#191616,.F.); #249098=ORIENTED_EDGE('',*,*,#191617,.F.); #249099=ORIENTED_EDGE('',*,*,#191618,.T.); #249100=ORIENTED_EDGE('',*,*,#191617,.T.); #249101=ORIENTED_EDGE('',*,*,#191619,.F.); #249102=ORIENTED_EDGE('',*,*,#191620,.F.); #249103=ORIENTED_EDGE('',*,*,#191621,.T.); #249104=ORIENTED_EDGE('',*,*,#191620,.T.); #249105=ORIENTED_EDGE('',*,*,#191622,.F.); #249106=ORIENTED_EDGE('',*,*,#191623,.F.); #249107=ORIENTED_EDGE('',*,*,#191624,.T.); #249108=ORIENTED_EDGE('',*,*,#191623,.T.); #249109=ORIENTED_EDGE('',*,*,#191625,.F.); #249110=ORIENTED_EDGE('',*,*,#191626,.F.); #249111=ORIENTED_EDGE('',*,*,#191627,.T.); #249112=ORIENTED_EDGE('',*,*,#191626,.T.); #249113=ORIENTED_EDGE('',*,*,#191628,.F.); #249114=ORIENTED_EDGE('',*,*,#191629,.F.); #249115=ORIENTED_EDGE('',*,*,#191630,.T.); #249116=ORIENTED_EDGE('',*,*,#191629,.T.); #249117=ORIENTED_EDGE('',*,*,#191631,.F.); #249118=ORIENTED_EDGE('',*,*,#191632,.F.); #249119=ORIENTED_EDGE('',*,*,#191633,.T.); #249120=ORIENTED_EDGE('',*,*,#191632,.T.); #249121=ORIENTED_EDGE('',*,*,#191634,.F.); #249122=ORIENTED_EDGE('',*,*,#191635,.F.); #249123=ORIENTED_EDGE('',*,*,#191636,.T.); #249124=ORIENTED_EDGE('',*,*,#191635,.T.); #249125=ORIENTED_EDGE('',*,*,#191637,.F.); #249126=ORIENTED_EDGE('',*,*,#191638,.F.); #249127=ORIENTED_EDGE('',*,*,#191639,.T.); #249128=ORIENTED_EDGE('',*,*,#191638,.T.); #249129=ORIENTED_EDGE('',*,*,#191640,.F.); #249130=ORIENTED_EDGE('',*,*,#191641,.F.); #249131=ORIENTED_EDGE('',*,*,#191642,.T.); #249132=ORIENTED_EDGE('',*,*,#191641,.T.); #249133=ORIENTED_EDGE('',*,*,#191643,.F.); #249134=ORIENTED_EDGE('',*,*,#191644,.F.); #249135=ORIENTED_EDGE('',*,*,#191645,.T.); #249136=ORIENTED_EDGE('',*,*,#191644,.T.); #249137=ORIENTED_EDGE('',*,*,#191646,.F.); #249138=ORIENTED_EDGE('',*,*,#191647,.F.); #249139=ORIENTED_EDGE('',*,*,#191648,.T.); #249140=ORIENTED_EDGE('',*,*,#191647,.T.); #249141=ORIENTED_EDGE('',*,*,#191649,.F.); #249142=ORIENTED_EDGE('',*,*,#191650,.F.); #249143=ORIENTED_EDGE('',*,*,#191651,.T.); #249144=ORIENTED_EDGE('',*,*,#191650,.T.); #249145=ORIENTED_EDGE('',*,*,#191652,.F.); #249146=ORIENTED_EDGE('',*,*,#191653,.F.); #249147=ORIENTED_EDGE('',*,*,#191654,.T.); #249148=ORIENTED_EDGE('',*,*,#191653,.T.); #249149=ORIENTED_EDGE('',*,*,#191655,.F.); #249150=ORIENTED_EDGE('',*,*,#191656,.F.); #249151=ORIENTED_EDGE('',*,*,#191657,.T.); #249152=ORIENTED_EDGE('',*,*,#191656,.T.); #249153=ORIENTED_EDGE('',*,*,#191658,.F.); #249154=ORIENTED_EDGE('',*,*,#191659,.F.); #249155=ORIENTED_EDGE('',*,*,#191660,.T.); #249156=ORIENTED_EDGE('',*,*,#191659,.T.); #249157=ORIENTED_EDGE('',*,*,#191661,.F.); #249158=ORIENTED_EDGE('',*,*,#191662,.F.); #249159=ORIENTED_EDGE('',*,*,#191663,.T.); #249160=ORIENTED_EDGE('',*,*,#191662,.T.); #249161=ORIENTED_EDGE('',*,*,#191664,.F.); #249162=ORIENTED_EDGE('',*,*,#191665,.F.); #249163=ORIENTED_EDGE('',*,*,#191666,.T.); #249164=ORIENTED_EDGE('',*,*,#191665,.T.); #249165=ORIENTED_EDGE('',*,*,#191667,.F.); #249166=ORIENTED_EDGE('',*,*,#191668,.F.); #249167=ORIENTED_EDGE('',*,*,#191669,.T.); #249168=ORIENTED_EDGE('',*,*,#191668,.T.); #249169=ORIENTED_EDGE('',*,*,#191670,.F.); #249170=ORIENTED_EDGE('',*,*,#191671,.F.); #249171=ORIENTED_EDGE('',*,*,#191672,.T.); #249172=ORIENTED_EDGE('',*,*,#191671,.T.); #249173=ORIENTED_EDGE('',*,*,#191673,.F.); #249174=ORIENTED_EDGE('',*,*,#191674,.F.); #249175=ORIENTED_EDGE('',*,*,#191675,.T.); #249176=ORIENTED_EDGE('',*,*,#191674,.T.); #249177=ORIENTED_EDGE('',*,*,#191676,.F.); #249178=ORIENTED_EDGE('',*,*,#191677,.F.); #249179=ORIENTED_EDGE('',*,*,#191678,.T.); #249180=ORIENTED_EDGE('',*,*,#191677,.T.); #249181=ORIENTED_EDGE('',*,*,#191679,.F.); #249182=ORIENTED_EDGE('',*,*,#191680,.F.); #249183=ORIENTED_EDGE('',*,*,#191681,.T.); #249184=ORIENTED_EDGE('',*,*,#191680,.T.); #249185=ORIENTED_EDGE('',*,*,#191682,.F.); #249186=ORIENTED_EDGE('',*,*,#191683,.F.); #249187=ORIENTED_EDGE('',*,*,#191684,.T.); #249188=ORIENTED_EDGE('',*,*,#191683,.T.); #249189=ORIENTED_EDGE('',*,*,#191685,.F.); #249190=ORIENTED_EDGE('',*,*,#191686,.F.); #249191=ORIENTED_EDGE('',*,*,#191687,.T.); #249192=ORIENTED_EDGE('',*,*,#191686,.T.); #249193=ORIENTED_EDGE('',*,*,#191688,.F.); #249194=ORIENTED_EDGE('',*,*,#191689,.F.); #249195=ORIENTED_EDGE('',*,*,#191690,.T.); #249196=ORIENTED_EDGE('',*,*,#191689,.T.); #249197=ORIENTED_EDGE('',*,*,#191691,.F.); #249198=ORIENTED_EDGE('',*,*,#191692,.F.); #249199=ORIENTED_EDGE('',*,*,#191693,.T.); #249200=ORIENTED_EDGE('',*,*,#191692,.T.); #249201=ORIENTED_EDGE('',*,*,#191694,.F.); #249202=ORIENTED_EDGE('',*,*,#191695,.F.); #249203=ORIENTED_EDGE('',*,*,#191696,.T.); #249204=ORIENTED_EDGE('',*,*,#191695,.T.); #249205=ORIENTED_EDGE('',*,*,#191697,.F.); #249206=ORIENTED_EDGE('',*,*,#191698,.F.); #249207=ORIENTED_EDGE('',*,*,#191699,.T.); #249208=ORIENTED_EDGE('',*,*,#191698,.T.); #249209=ORIENTED_EDGE('',*,*,#191700,.F.); #249210=ORIENTED_EDGE('',*,*,#191701,.F.); #249211=ORIENTED_EDGE('',*,*,#191702,.T.); #249212=ORIENTED_EDGE('',*,*,#191701,.T.); #249213=ORIENTED_EDGE('',*,*,#191703,.F.); #249214=ORIENTED_EDGE('',*,*,#191704,.F.); #249215=ORIENTED_EDGE('',*,*,#191705,.T.); #249216=ORIENTED_EDGE('',*,*,#191704,.T.); #249217=ORIENTED_EDGE('',*,*,#191706,.F.); #249218=ORIENTED_EDGE('',*,*,#191707,.F.); #249219=ORIENTED_EDGE('',*,*,#191708,.T.); #249220=ORIENTED_EDGE('',*,*,#191707,.T.); #249221=ORIENTED_EDGE('',*,*,#191709,.F.); #249222=ORIENTED_EDGE('',*,*,#191710,.F.); #249223=ORIENTED_EDGE('',*,*,#191711,.T.); #249224=ORIENTED_EDGE('',*,*,#191710,.T.); #249225=ORIENTED_EDGE('',*,*,#191712,.F.); #249226=ORIENTED_EDGE('',*,*,#191713,.F.); #249227=ORIENTED_EDGE('',*,*,#191714,.T.); #249228=ORIENTED_EDGE('',*,*,#191713,.T.); #249229=ORIENTED_EDGE('',*,*,#191715,.F.); #249230=ORIENTED_EDGE('',*,*,#191716,.F.); #249231=ORIENTED_EDGE('',*,*,#191717,.T.); #249232=ORIENTED_EDGE('',*,*,#191716,.T.); #249233=ORIENTED_EDGE('',*,*,#191718,.F.); #249234=ORIENTED_EDGE('',*,*,#191719,.F.); #249235=ORIENTED_EDGE('',*,*,#191720,.T.); #249236=ORIENTED_EDGE('',*,*,#191719,.T.); #249237=ORIENTED_EDGE('',*,*,#191721,.F.); #249238=ORIENTED_EDGE('',*,*,#191722,.F.); #249239=ORIENTED_EDGE('',*,*,#191723,.T.); #249240=ORIENTED_EDGE('',*,*,#191722,.T.); #249241=ORIENTED_EDGE('',*,*,#191724,.F.); #249242=ORIENTED_EDGE('',*,*,#191725,.F.); #249243=ORIENTED_EDGE('',*,*,#191726,.T.); #249244=ORIENTED_EDGE('',*,*,#191725,.T.); #249245=ORIENTED_EDGE('',*,*,#191727,.F.); #249246=ORIENTED_EDGE('',*,*,#191728,.F.); #249247=ORIENTED_EDGE('',*,*,#191729,.T.); #249248=ORIENTED_EDGE('',*,*,#191728,.T.); #249249=ORIENTED_EDGE('',*,*,#191730,.F.); #249250=ORIENTED_EDGE('',*,*,#191731,.F.); #249251=ORIENTED_EDGE('',*,*,#191732,.T.); #249252=ORIENTED_EDGE('',*,*,#191731,.T.); #249253=ORIENTED_EDGE('',*,*,#191733,.F.); #249254=ORIENTED_EDGE('',*,*,#191734,.F.); #249255=ORIENTED_EDGE('',*,*,#191735,.T.); #249256=ORIENTED_EDGE('',*,*,#191734,.T.); #249257=ORIENTED_EDGE('',*,*,#191736,.F.); #249258=ORIENTED_EDGE('',*,*,#191737,.F.); #249259=ORIENTED_EDGE('',*,*,#191738,.T.); #249260=ORIENTED_EDGE('',*,*,#191737,.T.); #249261=ORIENTED_EDGE('',*,*,#191739,.F.); #249262=ORIENTED_EDGE('',*,*,#191740,.F.); #249263=ORIENTED_EDGE('',*,*,#191741,.T.); #249264=ORIENTED_EDGE('',*,*,#191740,.T.); #249265=ORIENTED_EDGE('',*,*,#191742,.F.); #249266=ORIENTED_EDGE('',*,*,#191743,.F.); #249267=ORIENTED_EDGE('',*,*,#191744,.T.); #249268=ORIENTED_EDGE('',*,*,#191743,.T.); #249269=ORIENTED_EDGE('',*,*,#191745,.F.); #249270=ORIENTED_EDGE('',*,*,#191746,.F.); #249271=ORIENTED_EDGE('',*,*,#191747,.T.); #249272=ORIENTED_EDGE('',*,*,#191746,.T.); #249273=ORIENTED_EDGE('',*,*,#191748,.F.); #249274=ORIENTED_EDGE('',*,*,#191749,.F.); #249275=ORIENTED_EDGE('',*,*,#191750,.T.); #249276=ORIENTED_EDGE('',*,*,#191749,.T.); #249277=ORIENTED_EDGE('',*,*,#191751,.F.); #249278=ORIENTED_EDGE('',*,*,#191752,.F.); #249279=ORIENTED_EDGE('',*,*,#191753,.T.); #249280=ORIENTED_EDGE('',*,*,#191752,.T.); #249281=ORIENTED_EDGE('',*,*,#191754,.F.); #249282=ORIENTED_EDGE('',*,*,#191755,.F.); #249283=ORIENTED_EDGE('',*,*,#191756,.T.); #249284=ORIENTED_EDGE('',*,*,#191755,.T.); #249285=ORIENTED_EDGE('',*,*,#191757,.F.); #249286=ORIENTED_EDGE('',*,*,#191758,.F.); #249287=ORIENTED_EDGE('',*,*,#191759,.T.); #249288=ORIENTED_EDGE('',*,*,#191758,.T.); #249289=ORIENTED_EDGE('',*,*,#191760,.F.); #249290=ORIENTED_EDGE('',*,*,#191761,.F.); #249291=ORIENTED_EDGE('',*,*,#191762,.T.); #249292=ORIENTED_EDGE('',*,*,#191761,.T.); #249293=ORIENTED_EDGE('',*,*,#191763,.F.); #249294=ORIENTED_EDGE('',*,*,#191764,.F.); #249295=ORIENTED_EDGE('',*,*,#191765,.T.); #249296=ORIENTED_EDGE('',*,*,#191764,.T.); #249297=ORIENTED_EDGE('',*,*,#191766,.F.); #249298=ORIENTED_EDGE('',*,*,#191767,.F.); #249299=ORIENTED_EDGE('',*,*,#191768,.T.); #249300=ORIENTED_EDGE('',*,*,#191767,.T.); #249301=ORIENTED_EDGE('',*,*,#191769,.F.); #249302=ORIENTED_EDGE('',*,*,#191770,.F.); #249303=ORIENTED_EDGE('',*,*,#191771,.T.); #249304=ORIENTED_EDGE('',*,*,#191770,.T.); #249305=ORIENTED_EDGE('',*,*,#191772,.F.); #249306=ORIENTED_EDGE('',*,*,#191773,.F.); #249307=ORIENTED_EDGE('',*,*,#191774,.T.); #249308=ORIENTED_EDGE('',*,*,#191773,.T.); #249309=ORIENTED_EDGE('',*,*,#191775,.F.); #249310=ORIENTED_EDGE('',*,*,#191776,.F.); #249311=ORIENTED_EDGE('',*,*,#191777,.T.); #249312=ORIENTED_EDGE('',*,*,#191776,.T.); #249313=ORIENTED_EDGE('',*,*,#191778,.F.); #249314=ORIENTED_EDGE('',*,*,#191779,.F.); #249315=ORIENTED_EDGE('',*,*,#191780,.T.); #249316=ORIENTED_EDGE('',*,*,#191779,.T.); #249317=ORIENTED_EDGE('',*,*,#191781,.F.); #249318=ORIENTED_EDGE('',*,*,#191782,.F.); #249319=ORIENTED_EDGE('',*,*,#191783,.T.); #249320=ORIENTED_EDGE('',*,*,#191782,.T.); #249321=ORIENTED_EDGE('',*,*,#191784,.F.); #249322=ORIENTED_EDGE('',*,*,#191785,.F.); #249323=ORIENTED_EDGE('',*,*,#191786,.T.); #249324=ORIENTED_EDGE('',*,*,#191785,.T.); #249325=ORIENTED_EDGE('',*,*,#191787,.F.); #249326=ORIENTED_EDGE('',*,*,#191788,.F.); #249327=ORIENTED_EDGE('',*,*,#191789,.T.); #249328=ORIENTED_EDGE('',*,*,#191788,.T.); #249329=ORIENTED_EDGE('',*,*,#191790,.F.); #249330=ORIENTED_EDGE('',*,*,#191791,.F.); #249331=ORIENTED_EDGE('',*,*,#191792,.T.); #249332=ORIENTED_EDGE('',*,*,#191791,.T.); #249333=ORIENTED_EDGE('',*,*,#191793,.F.); #249334=ORIENTED_EDGE('',*,*,#191794,.F.); #249335=ORIENTED_EDGE('',*,*,#191795,.T.); #249336=ORIENTED_EDGE('',*,*,#191794,.T.); #249337=ORIENTED_EDGE('',*,*,#191796,.F.); #249338=ORIENTED_EDGE('',*,*,#191797,.F.); #249339=ORIENTED_EDGE('',*,*,#191798,.T.); #249340=ORIENTED_EDGE('',*,*,#191797,.T.); #249341=ORIENTED_EDGE('',*,*,#191799,.F.); #249342=ORIENTED_EDGE('',*,*,#191800,.F.); #249343=ORIENTED_EDGE('',*,*,#191801,.T.); #249344=ORIENTED_EDGE('',*,*,#191800,.T.); #249345=ORIENTED_EDGE('',*,*,#191802,.F.); #249346=ORIENTED_EDGE('',*,*,#191803,.F.); #249347=ORIENTED_EDGE('',*,*,#191804,.T.); #249348=ORIENTED_EDGE('',*,*,#191803,.T.); #249349=ORIENTED_EDGE('',*,*,#191805,.F.); #249350=ORIENTED_EDGE('',*,*,#191806,.F.); #249351=ORIENTED_EDGE('',*,*,#191807,.T.); #249352=ORIENTED_EDGE('',*,*,#191806,.T.); #249353=ORIENTED_EDGE('',*,*,#191808,.F.); #249354=ORIENTED_EDGE('',*,*,#191809,.F.); #249355=ORIENTED_EDGE('',*,*,#191810,.T.); #249356=ORIENTED_EDGE('',*,*,#191809,.T.); #249357=ORIENTED_EDGE('',*,*,#191811,.F.); #249358=ORIENTED_EDGE('',*,*,#191812,.F.); #249359=ORIENTED_EDGE('',*,*,#191813,.T.); #249360=ORIENTED_EDGE('',*,*,#191812,.T.); #249361=ORIENTED_EDGE('',*,*,#191814,.F.); #249362=ORIENTED_EDGE('',*,*,#191815,.F.); #249363=ORIENTED_EDGE('',*,*,#191816,.T.); #249364=ORIENTED_EDGE('',*,*,#191815,.T.); #249365=ORIENTED_EDGE('',*,*,#191817,.F.); #249366=ORIENTED_EDGE('',*,*,#191818,.F.); #249367=ORIENTED_EDGE('',*,*,#191819,.T.); #249368=ORIENTED_EDGE('',*,*,#191818,.T.); #249369=ORIENTED_EDGE('',*,*,#191820,.F.); #249370=ORIENTED_EDGE('',*,*,#191821,.F.); #249371=ORIENTED_EDGE('',*,*,#191822,.T.); #249372=ORIENTED_EDGE('',*,*,#191821,.T.); #249373=ORIENTED_EDGE('',*,*,#191823,.F.); #249374=ORIENTED_EDGE('',*,*,#191824,.F.); #249375=ORIENTED_EDGE('',*,*,#191825,.T.); #249376=ORIENTED_EDGE('',*,*,#191824,.T.); #249377=ORIENTED_EDGE('',*,*,#191826,.F.); #249378=ORIENTED_EDGE('',*,*,#191827,.F.); #249379=ORIENTED_EDGE('',*,*,#191828,.T.); #249380=ORIENTED_EDGE('',*,*,#191827,.T.); #249381=ORIENTED_EDGE('',*,*,#191829,.F.); #249382=ORIENTED_EDGE('',*,*,#191432,.F.); #249383=ORIENTED_EDGE('',*,*,#191829,.T.); #249384=ORIENTED_EDGE('',*,*,#191826,.T.); #249385=ORIENTED_EDGE('',*,*,#191823,.T.); #249386=ORIENTED_EDGE('',*,*,#191820,.T.); #249387=ORIENTED_EDGE('',*,*,#191817,.T.); #249388=ORIENTED_EDGE('',*,*,#191814,.T.); #249389=ORIENTED_EDGE('',*,*,#191811,.T.); #249390=ORIENTED_EDGE('',*,*,#191808,.T.); #249391=ORIENTED_EDGE('',*,*,#191805,.T.); #249392=ORIENTED_EDGE('',*,*,#191802,.T.); #249393=ORIENTED_EDGE('',*,*,#191799,.T.); #249394=ORIENTED_EDGE('',*,*,#191796,.T.); #249395=ORIENTED_EDGE('',*,*,#191793,.T.); #249396=ORIENTED_EDGE('',*,*,#191790,.T.); #249397=ORIENTED_EDGE('',*,*,#191787,.T.); #249398=ORIENTED_EDGE('',*,*,#191784,.T.); #249399=ORIENTED_EDGE('',*,*,#191781,.T.); #249400=ORIENTED_EDGE('',*,*,#191778,.T.); #249401=ORIENTED_EDGE('',*,*,#191775,.T.); #249402=ORIENTED_EDGE('',*,*,#191772,.T.); #249403=ORIENTED_EDGE('',*,*,#191769,.T.); #249404=ORIENTED_EDGE('',*,*,#191766,.T.); #249405=ORIENTED_EDGE('',*,*,#191763,.T.); #249406=ORIENTED_EDGE('',*,*,#191760,.T.); #249407=ORIENTED_EDGE('',*,*,#191757,.T.); #249408=ORIENTED_EDGE('',*,*,#191754,.T.); #249409=ORIENTED_EDGE('',*,*,#191751,.T.); #249410=ORIENTED_EDGE('',*,*,#191748,.T.); #249411=ORIENTED_EDGE('',*,*,#191745,.T.); #249412=ORIENTED_EDGE('',*,*,#191742,.T.); #249413=ORIENTED_EDGE('',*,*,#191739,.T.); #249414=ORIENTED_EDGE('',*,*,#191736,.T.); #249415=ORIENTED_EDGE('',*,*,#191733,.T.); #249416=ORIENTED_EDGE('',*,*,#191730,.T.); #249417=ORIENTED_EDGE('',*,*,#191727,.T.); #249418=ORIENTED_EDGE('',*,*,#191724,.T.); #249419=ORIENTED_EDGE('',*,*,#191721,.T.); #249420=ORIENTED_EDGE('',*,*,#191718,.T.); #249421=ORIENTED_EDGE('',*,*,#191715,.T.); #249422=ORIENTED_EDGE('',*,*,#191712,.T.); #249423=ORIENTED_EDGE('',*,*,#191709,.T.); #249424=ORIENTED_EDGE('',*,*,#191706,.T.); #249425=ORIENTED_EDGE('',*,*,#191703,.T.); #249426=ORIENTED_EDGE('',*,*,#191700,.T.); #249427=ORIENTED_EDGE('',*,*,#191697,.T.); #249428=ORIENTED_EDGE('',*,*,#191694,.T.); #249429=ORIENTED_EDGE('',*,*,#191691,.T.); #249430=ORIENTED_EDGE('',*,*,#191688,.T.); #249431=ORIENTED_EDGE('',*,*,#191685,.T.); #249432=ORIENTED_EDGE('',*,*,#191682,.T.); #249433=ORIENTED_EDGE('',*,*,#191679,.T.); #249434=ORIENTED_EDGE('',*,*,#191676,.T.); #249435=ORIENTED_EDGE('',*,*,#191673,.T.); #249436=ORIENTED_EDGE('',*,*,#191670,.T.); #249437=ORIENTED_EDGE('',*,*,#191667,.T.); #249438=ORIENTED_EDGE('',*,*,#191664,.T.); #249439=ORIENTED_EDGE('',*,*,#191661,.T.); #249440=ORIENTED_EDGE('',*,*,#191658,.T.); #249441=ORIENTED_EDGE('',*,*,#191655,.T.); #249442=ORIENTED_EDGE('',*,*,#191652,.T.); #249443=ORIENTED_EDGE('',*,*,#191649,.T.); #249444=ORIENTED_EDGE('',*,*,#191646,.T.); #249445=ORIENTED_EDGE('',*,*,#191643,.T.); #249446=ORIENTED_EDGE('',*,*,#191640,.T.); #249447=ORIENTED_EDGE('',*,*,#191637,.T.); #249448=ORIENTED_EDGE('',*,*,#191634,.T.); #249449=ORIENTED_EDGE('',*,*,#191631,.T.); #249450=ORIENTED_EDGE('',*,*,#191628,.T.); #249451=ORIENTED_EDGE('',*,*,#191625,.T.); #249452=ORIENTED_EDGE('',*,*,#191622,.T.); #249453=ORIENTED_EDGE('',*,*,#191619,.T.); #249454=ORIENTED_EDGE('',*,*,#191616,.T.); #249455=ORIENTED_EDGE('',*,*,#191613,.T.); #249456=ORIENTED_EDGE('',*,*,#191610,.T.); #249457=ORIENTED_EDGE('',*,*,#191607,.T.); #249458=ORIENTED_EDGE('',*,*,#191604,.T.); #249459=ORIENTED_EDGE('',*,*,#191601,.T.); #249460=ORIENTED_EDGE('',*,*,#191598,.T.); #249461=ORIENTED_EDGE('',*,*,#191595,.T.); #249462=ORIENTED_EDGE('',*,*,#191592,.T.); #249463=ORIENTED_EDGE('',*,*,#191589,.T.); #249464=ORIENTED_EDGE('',*,*,#191586,.T.); #249465=ORIENTED_EDGE('',*,*,#191583,.T.); #249466=ORIENTED_EDGE('',*,*,#191580,.T.); #249467=ORIENTED_EDGE('',*,*,#191577,.T.); #249468=ORIENTED_EDGE('',*,*,#191574,.T.); #249469=ORIENTED_EDGE('',*,*,#191571,.T.); #249470=ORIENTED_EDGE('',*,*,#191568,.T.); #249471=ORIENTED_EDGE('',*,*,#191565,.T.); #249472=ORIENTED_EDGE('',*,*,#191562,.T.); #249473=ORIENTED_EDGE('',*,*,#191559,.T.); #249474=ORIENTED_EDGE('',*,*,#191556,.T.); #249475=ORIENTED_EDGE('',*,*,#191553,.T.); #249476=ORIENTED_EDGE('',*,*,#191550,.T.); #249477=ORIENTED_EDGE('',*,*,#191547,.T.); #249478=ORIENTED_EDGE('',*,*,#191544,.T.); #249479=ORIENTED_EDGE('',*,*,#191541,.T.); #249480=ORIENTED_EDGE('',*,*,#191538,.T.); #249481=ORIENTED_EDGE('',*,*,#191535,.T.); #249482=ORIENTED_EDGE('',*,*,#191532,.T.); #249483=ORIENTED_EDGE('',*,*,#191529,.T.); #249484=ORIENTED_EDGE('',*,*,#191526,.T.); #249485=ORIENTED_EDGE('',*,*,#191523,.T.); #249486=ORIENTED_EDGE('',*,*,#191520,.T.); #249487=ORIENTED_EDGE('',*,*,#191517,.T.); #249488=ORIENTED_EDGE('',*,*,#191514,.T.); #249489=ORIENTED_EDGE('',*,*,#191511,.T.); #249490=ORIENTED_EDGE('',*,*,#191508,.T.); #249491=ORIENTED_EDGE('',*,*,#191505,.T.); #249492=ORIENTED_EDGE('',*,*,#191502,.T.); #249493=ORIENTED_EDGE('',*,*,#191499,.T.); #249494=ORIENTED_EDGE('',*,*,#191496,.T.); #249495=ORIENTED_EDGE('',*,*,#191493,.T.); #249496=ORIENTED_EDGE('',*,*,#191490,.T.); #249497=ORIENTED_EDGE('',*,*,#191487,.T.); #249498=ORIENTED_EDGE('',*,*,#191484,.T.); #249499=ORIENTED_EDGE('',*,*,#191481,.T.); #249500=ORIENTED_EDGE('',*,*,#191478,.T.); #249501=ORIENTED_EDGE('',*,*,#191475,.T.); #249502=ORIENTED_EDGE('',*,*,#191472,.T.); #249503=ORIENTED_EDGE('',*,*,#191469,.T.); #249504=ORIENTED_EDGE('',*,*,#191466,.T.); #249505=ORIENTED_EDGE('',*,*,#191463,.T.); #249506=ORIENTED_EDGE('',*,*,#191460,.T.); #249507=ORIENTED_EDGE('',*,*,#191457,.T.); #249508=ORIENTED_EDGE('',*,*,#191454,.T.); #249509=ORIENTED_EDGE('',*,*,#191451,.T.); #249510=ORIENTED_EDGE('',*,*,#191448,.T.); #249511=ORIENTED_EDGE('',*,*,#191445,.T.); #249512=ORIENTED_EDGE('',*,*,#191442,.T.); #249513=ORIENTED_EDGE('',*,*,#191439,.T.); #249514=ORIENTED_EDGE('',*,*,#191436,.T.); #249515=ORIENTED_EDGE('',*,*,#191433,.T.); #249516=ORIENTED_EDGE('',*,*,#191400,.T.); #249517=ORIENTED_EDGE('',*,*,#191403,.T.); #249518=ORIENTED_EDGE('',*,*,#191406,.T.); #249519=ORIENTED_EDGE('',*,*,#191409,.T.); #249520=ORIENTED_EDGE('',*,*,#191412,.T.); #249521=ORIENTED_EDGE('',*,*,#191415,.T.); #249522=ORIENTED_EDGE('',*,*,#191418,.T.); #249523=ORIENTED_EDGE('',*,*,#191421,.T.); #249524=ORIENTED_EDGE('',*,*,#191424,.T.); #249525=ORIENTED_EDGE('',*,*,#191427,.T.); #249526=ORIENTED_EDGE('',*,*,#191430,.T.); #249527=ORIENTED_EDGE('',*,*,#191828,.F.); #249528=ORIENTED_EDGE('',*,*,#191431,.F.); #249529=ORIENTED_EDGE('',*,*,#191435,.F.); #249530=ORIENTED_EDGE('',*,*,#191438,.F.); #249531=ORIENTED_EDGE('',*,*,#191441,.F.); #249532=ORIENTED_EDGE('',*,*,#191444,.F.); #249533=ORIENTED_EDGE('',*,*,#191447,.F.); #249534=ORIENTED_EDGE('',*,*,#191450,.F.); #249535=ORIENTED_EDGE('',*,*,#191453,.F.); #249536=ORIENTED_EDGE('',*,*,#191456,.F.); #249537=ORIENTED_EDGE('',*,*,#191459,.F.); #249538=ORIENTED_EDGE('',*,*,#191462,.F.); #249539=ORIENTED_EDGE('',*,*,#191465,.F.); #249540=ORIENTED_EDGE('',*,*,#191468,.F.); #249541=ORIENTED_EDGE('',*,*,#191471,.F.); #249542=ORIENTED_EDGE('',*,*,#191474,.F.); #249543=ORIENTED_EDGE('',*,*,#191477,.F.); #249544=ORIENTED_EDGE('',*,*,#191480,.F.); #249545=ORIENTED_EDGE('',*,*,#191483,.F.); #249546=ORIENTED_EDGE('',*,*,#191486,.F.); #249547=ORIENTED_EDGE('',*,*,#191489,.F.); #249548=ORIENTED_EDGE('',*,*,#191492,.F.); #249549=ORIENTED_EDGE('',*,*,#191495,.F.); #249550=ORIENTED_EDGE('',*,*,#191498,.F.); #249551=ORIENTED_EDGE('',*,*,#191501,.F.); #249552=ORIENTED_EDGE('',*,*,#191504,.F.); #249553=ORIENTED_EDGE('',*,*,#191507,.F.); #249554=ORIENTED_EDGE('',*,*,#191510,.F.); #249555=ORIENTED_EDGE('',*,*,#191513,.F.); #249556=ORIENTED_EDGE('',*,*,#191516,.F.); #249557=ORIENTED_EDGE('',*,*,#191519,.F.); #249558=ORIENTED_EDGE('',*,*,#191522,.F.); #249559=ORIENTED_EDGE('',*,*,#191525,.F.); #249560=ORIENTED_EDGE('',*,*,#191528,.F.); #249561=ORIENTED_EDGE('',*,*,#191531,.F.); #249562=ORIENTED_EDGE('',*,*,#191534,.F.); #249563=ORIENTED_EDGE('',*,*,#191537,.F.); #249564=ORIENTED_EDGE('',*,*,#191540,.F.); #249565=ORIENTED_EDGE('',*,*,#191543,.F.); #249566=ORIENTED_EDGE('',*,*,#191546,.F.); #249567=ORIENTED_EDGE('',*,*,#191549,.F.); #249568=ORIENTED_EDGE('',*,*,#191552,.F.); #249569=ORIENTED_EDGE('',*,*,#191555,.F.); #249570=ORIENTED_EDGE('',*,*,#191558,.F.); #249571=ORIENTED_EDGE('',*,*,#191561,.F.); #249572=ORIENTED_EDGE('',*,*,#191564,.F.); #249573=ORIENTED_EDGE('',*,*,#191567,.F.); #249574=ORIENTED_EDGE('',*,*,#191570,.F.); #249575=ORIENTED_EDGE('',*,*,#191573,.F.); #249576=ORIENTED_EDGE('',*,*,#191576,.F.); #249577=ORIENTED_EDGE('',*,*,#191579,.F.); #249578=ORIENTED_EDGE('',*,*,#191582,.F.); #249579=ORIENTED_EDGE('',*,*,#191585,.F.); #249580=ORIENTED_EDGE('',*,*,#191588,.F.); #249581=ORIENTED_EDGE('',*,*,#191591,.F.); #249582=ORIENTED_EDGE('',*,*,#191594,.F.); #249583=ORIENTED_EDGE('',*,*,#191597,.F.); #249584=ORIENTED_EDGE('',*,*,#191600,.F.); #249585=ORIENTED_EDGE('',*,*,#191603,.F.); #249586=ORIENTED_EDGE('',*,*,#191606,.F.); #249587=ORIENTED_EDGE('',*,*,#191609,.F.); #249588=ORIENTED_EDGE('',*,*,#191612,.F.); #249589=ORIENTED_EDGE('',*,*,#191615,.F.); #249590=ORIENTED_EDGE('',*,*,#191618,.F.); #249591=ORIENTED_EDGE('',*,*,#191621,.F.); #249592=ORIENTED_EDGE('',*,*,#191624,.F.); #249593=ORIENTED_EDGE('',*,*,#191627,.F.); #249594=ORIENTED_EDGE('',*,*,#191630,.F.); #249595=ORIENTED_EDGE('',*,*,#191633,.F.); #249596=ORIENTED_EDGE('',*,*,#191636,.F.); #249597=ORIENTED_EDGE('',*,*,#191639,.F.); #249598=ORIENTED_EDGE('',*,*,#191642,.F.); #249599=ORIENTED_EDGE('',*,*,#191645,.F.); #249600=ORIENTED_EDGE('',*,*,#191648,.F.); #249601=ORIENTED_EDGE('',*,*,#191651,.F.); #249602=ORIENTED_EDGE('',*,*,#191654,.F.); #249603=ORIENTED_EDGE('',*,*,#191657,.F.); #249604=ORIENTED_EDGE('',*,*,#191660,.F.); #249605=ORIENTED_EDGE('',*,*,#191663,.F.); #249606=ORIENTED_EDGE('',*,*,#191666,.F.); #249607=ORIENTED_EDGE('',*,*,#191669,.F.); #249608=ORIENTED_EDGE('',*,*,#191672,.F.); #249609=ORIENTED_EDGE('',*,*,#191675,.F.); #249610=ORIENTED_EDGE('',*,*,#191678,.F.); #249611=ORIENTED_EDGE('',*,*,#191681,.F.); #249612=ORIENTED_EDGE('',*,*,#191684,.F.); #249613=ORIENTED_EDGE('',*,*,#191687,.F.); #249614=ORIENTED_EDGE('',*,*,#191690,.F.); #249615=ORIENTED_EDGE('',*,*,#191693,.F.); #249616=ORIENTED_EDGE('',*,*,#191696,.F.); #249617=ORIENTED_EDGE('',*,*,#191699,.F.); #249618=ORIENTED_EDGE('',*,*,#191702,.F.); #249619=ORIENTED_EDGE('',*,*,#191705,.F.); #249620=ORIENTED_EDGE('',*,*,#191708,.F.); #249621=ORIENTED_EDGE('',*,*,#191711,.F.); #249622=ORIENTED_EDGE('',*,*,#191714,.F.); #249623=ORIENTED_EDGE('',*,*,#191717,.F.); #249624=ORIENTED_EDGE('',*,*,#191720,.F.); #249625=ORIENTED_EDGE('',*,*,#191723,.F.); #249626=ORIENTED_EDGE('',*,*,#191726,.F.); #249627=ORIENTED_EDGE('',*,*,#191729,.F.); #249628=ORIENTED_EDGE('',*,*,#191732,.F.); #249629=ORIENTED_EDGE('',*,*,#191735,.F.); #249630=ORIENTED_EDGE('',*,*,#191738,.F.); #249631=ORIENTED_EDGE('',*,*,#191741,.F.); #249632=ORIENTED_EDGE('',*,*,#191744,.F.); #249633=ORIENTED_EDGE('',*,*,#191747,.F.); #249634=ORIENTED_EDGE('',*,*,#191750,.F.); #249635=ORIENTED_EDGE('',*,*,#191753,.F.); #249636=ORIENTED_EDGE('',*,*,#191756,.F.); #249637=ORIENTED_EDGE('',*,*,#191759,.F.); #249638=ORIENTED_EDGE('',*,*,#191762,.F.); #249639=ORIENTED_EDGE('',*,*,#191765,.F.); #249640=ORIENTED_EDGE('',*,*,#191768,.F.); #249641=ORIENTED_EDGE('',*,*,#191771,.F.); #249642=ORIENTED_EDGE('',*,*,#191774,.F.); #249643=ORIENTED_EDGE('',*,*,#191777,.F.); #249644=ORIENTED_EDGE('',*,*,#191780,.F.); #249645=ORIENTED_EDGE('',*,*,#191783,.F.); #249646=ORIENTED_EDGE('',*,*,#191786,.F.); #249647=ORIENTED_EDGE('',*,*,#191789,.F.); #249648=ORIENTED_EDGE('',*,*,#191792,.F.); #249649=ORIENTED_EDGE('',*,*,#191795,.F.); #249650=ORIENTED_EDGE('',*,*,#191798,.F.); #249651=ORIENTED_EDGE('',*,*,#191801,.F.); #249652=ORIENTED_EDGE('',*,*,#191804,.F.); #249653=ORIENTED_EDGE('',*,*,#191807,.F.); #249654=ORIENTED_EDGE('',*,*,#191810,.F.); #249655=ORIENTED_EDGE('',*,*,#191813,.F.); #249656=ORIENTED_EDGE('',*,*,#191816,.F.); #249657=ORIENTED_EDGE('',*,*,#191819,.F.); #249658=ORIENTED_EDGE('',*,*,#191822,.F.); #249659=ORIENTED_EDGE('',*,*,#191825,.F.); #249660=ORIENTED_EDGE('',*,*,#191398,.T.); #249661=ORIENTED_EDGE('',*,*,#191401,.T.); #249662=ORIENTED_EDGE('',*,*,#191404,.T.); #249663=ORIENTED_EDGE('',*,*,#191407,.T.); #249664=ORIENTED_EDGE('',*,*,#191410,.T.); #249665=ORIENTED_EDGE('',*,*,#191413,.T.); #249666=ORIENTED_EDGE('',*,*,#191416,.T.); #249667=ORIENTED_EDGE('',*,*,#191419,.T.); #249668=ORIENTED_EDGE('',*,*,#191422,.T.); #249669=ORIENTED_EDGE('',*,*,#191425,.T.); #249670=ORIENTED_EDGE('',*,*,#191428,.T.); #249671=ORIENTED_EDGE('',*,*,#191830,.F.); #249672=ORIENTED_EDGE('',*,*,#191831,.T.); #249673=ORIENTED_EDGE('',*,*,#191832,.F.); #249674=ORIENTED_EDGE('',*,*,#191831,.F.); #249675=ORIENTED_EDGE('',*,*,#191833,.T.); #249676=ORIENTED_EDGE('',*,*,#191834,.T.); #249677=ORIENTED_EDGE('',*,*,#191835,.F.); #249678=ORIENTED_EDGE('',*,*,#191836,.F.); #249679=ORIENTED_EDGE('',*,*,#191837,.T.); #249680=ORIENTED_EDGE('',*,*,#191836,.T.); #249681=ORIENTED_EDGE('',*,*,#191838,.F.); #249682=ORIENTED_EDGE('',*,*,#191839,.F.); #249683=ORIENTED_EDGE('',*,*,#191840,.T.); #249684=ORIENTED_EDGE('',*,*,#191839,.T.); #249685=ORIENTED_EDGE('',*,*,#191841,.F.); #249686=ORIENTED_EDGE('',*,*,#191834,.F.); #249687=ORIENTED_EDGE('',*,*,#191841,.T.); #249688=ORIENTED_EDGE('',*,*,#191838,.T.); #249689=ORIENTED_EDGE('',*,*,#191835,.T.); #249690=ORIENTED_EDGE('',*,*,#191832,.T.); #249691=ORIENTED_EDGE('',*,*,#191840,.F.); #249692=ORIENTED_EDGE('',*,*,#191833,.F.); #249693=ORIENTED_EDGE('',*,*,#191837,.F.); #249694=ORIENTED_EDGE('',*,*,#191830,.T.); #249695=ORIENTED_EDGE('',*,*,#191842,.T.); #249696=ORIENTED_EDGE('',*,*,#191843,.T.); #249697=ORIENTED_EDGE('',*,*,#191844,.F.); #249698=ORIENTED_EDGE('',*,*,#191845,.F.); #249699=ORIENTED_EDGE('',*,*,#191846,.T.); #249700=ORIENTED_EDGE('',*,*,#191845,.T.); #249701=ORIENTED_EDGE('',*,*,#191847,.F.); #249702=ORIENTED_EDGE('',*,*,#191848,.F.); #249703=ORIENTED_EDGE('',*,*,#191849,.T.); #249704=ORIENTED_EDGE('',*,*,#191848,.T.); #249705=ORIENTED_EDGE('',*,*,#191850,.F.); #249706=ORIENTED_EDGE('',*,*,#191851,.F.); #249707=ORIENTED_EDGE('',*,*,#191852,.T.); #249708=ORIENTED_EDGE('',*,*,#191851,.T.); #249709=ORIENTED_EDGE('',*,*,#191853,.F.); #249710=ORIENTED_EDGE('',*,*,#191854,.F.); #249711=ORIENTED_EDGE('',*,*,#191855,.T.); #249712=ORIENTED_EDGE('',*,*,#191854,.T.); #249713=ORIENTED_EDGE('',*,*,#191856,.F.); #249714=ORIENTED_EDGE('',*,*,#191857,.F.); #249715=ORIENTED_EDGE('',*,*,#191858,.T.); #249716=ORIENTED_EDGE('',*,*,#191857,.T.); #249717=ORIENTED_EDGE('',*,*,#191859,.F.); #249718=ORIENTED_EDGE('',*,*,#191860,.F.); #249719=ORIENTED_EDGE('',*,*,#191861,.T.); #249720=ORIENTED_EDGE('',*,*,#191860,.T.); #249721=ORIENTED_EDGE('',*,*,#191862,.F.); #249722=ORIENTED_EDGE('',*,*,#191863,.F.); #249723=ORIENTED_EDGE('',*,*,#191864,.T.); #249724=ORIENTED_EDGE('',*,*,#191863,.T.); #249725=ORIENTED_EDGE('',*,*,#191865,.F.); #249726=ORIENTED_EDGE('',*,*,#191866,.F.); #249727=ORIENTED_EDGE('',*,*,#191867,.T.); #249728=ORIENTED_EDGE('',*,*,#191866,.T.); #249729=ORIENTED_EDGE('',*,*,#191868,.F.); #249730=ORIENTED_EDGE('',*,*,#191869,.F.); #249731=ORIENTED_EDGE('',*,*,#191870,.T.); #249732=ORIENTED_EDGE('',*,*,#191869,.T.); #249733=ORIENTED_EDGE('',*,*,#191871,.F.); #249734=ORIENTED_EDGE('',*,*,#191872,.F.); #249735=ORIENTED_EDGE('',*,*,#191873,.T.); #249736=ORIENTED_EDGE('',*,*,#191872,.T.); #249737=ORIENTED_EDGE('',*,*,#191874,.F.); #249738=ORIENTED_EDGE('',*,*,#191875,.F.); #249739=ORIENTED_EDGE('',*,*,#191876,.T.); #249740=ORIENTED_EDGE('',*,*,#191875,.T.); #249741=ORIENTED_EDGE('',*,*,#191877,.F.); #249742=ORIENTED_EDGE('',*,*,#191878,.F.); #249743=ORIENTED_EDGE('',*,*,#191879,.T.); #249744=ORIENTED_EDGE('',*,*,#191878,.T.); #249745=ORIENTED_EDGE('',*,*,#191880,.F.); #249746=ORIENTED_EDGE('',*,*,#191881,.F.); #249747=ORIENTED_EDGE('',*,*,#191882,.T.); #249748=ORIENTED_EDGE('',*,*,#191881,.T.); #249749=ORIENTED_EDGE('',*,*,#191883,.F.); #249750=ORIENTED_EDGE('',*,*,#191884,.F.); #249751=ORIENTED_EDGE('',*,*,#191885,.T.); #249752=ORIENTED_EDGE('',*,*,#191884,.T.); #249753=ORIENTED_EDGE('',*,*,#191886,.F.); #249754=ORIENTED_EDGE('',*,*,#191887,.F.); #249755=ORIENTED_EDGE('',*,*,#191888,.T.); #249756=ORIENTED_EDGE('',*,*,#191887,.T.); #249757=ORIENTED_EDGE('',*,*,#191889,.F.); #249758=ORIENTED_EDGE('',*,*,#191890,.F.); #249759=ORIENTED_EDGE('',*,*,#191891,.T.); #249760=ORIENTED_EDGE('',*,*,#191890,.T.); #249761=ORIENTED_EDGE('',*,*,#191892,.F.); #249762=ORIENTED_EDGE('',*,*,#191893,.F.); #249763=ORIENTED_EDGE('',*,*,#191894,.T.); #249764=ORIENTED_EDGE('',*,*,#191893,.T.); #249765=ORIENTED_EDGE('',*,*,#191895,.F.); #249766=ORIENTED_EDGE('',*,*,#191896,.F.); #249767=ORIENTED_EDGE('',*,*,#191897,.T.); #249768=ORIENTED_EDGE('',*,*,#191896,.T.); #249769=ORIENTED_EDGE('',*,*,#191898,.F.); #249770=ORIENTED_EDGE('',*,*,#191899,.F.); #249771=ORIENTED_EDGE('',*,*,#191900,.T.); #249772=ORIENTED_EDGE('',*,*,#191899,.T.); #249773=ORIENTED_EDGE('',*,*,#191901,.F.); #249774=ORIENTED_EDGE('',*,*,#191843,.F.); #249775=ORIENTED_EDGE('',*,*,#191901,.T.); #249776=ORIENTED_EDGE('',*,*,#191898,.T.); #249777=ORIENTED_EDGE('',*,*,#191895,.T.); #249778=ORIENTED_EDGE('',*,*,#191892,.T.); #249779=ORIENTED_EDGE('',*,*,#191889,.T.); #249780=ORIENTED_EDGE('',*,*,#191886,.T.); #249781=ORIENTED_EDGE('',*,*,#191883,.T.); #249782=ORIENTED_EDGE('',*,*,#191880,.T.); #249783=ORIENTED_EDGE('',*,*,#191877,.T.); #249784=ORIENTED_EDGE('',*,*,#191874,.T.); #249785=ORIENTED_EDGE('',*,*,#191871,.T.); #249786=ORIENTED_EDGE('',*,*,#191868,.T.); #249787=ORIENTED_EDGE('',*,*,#191865,.T.); #249788=ORIENTED_EDGE('',*,*,#191862,.T.); #249789=ORIENTED_EDGE('',*,*,#191859,.T.); #249790=ORIENTED_EDGE('',*,*,#191856,.T.); #249791=ORIENTED_EDGE('',*,*,#191853,.T.); #249792=ORIENTED_EDGE('',*,*,#191850,.T.); #249793=ORIENTED_EDGE('',*,*,#191847,.T.); #249794=ORIENTED_EDGE('',*,*,#191844,.T.); #249795=ORIENTED_EDGE('',*,*,#191900,.F.); #249796=ORIENTED_EDGE('',*,*,#191842,.F.); #249797=ORIENTED_EDGE('',*,*,#191846,.F.); #249798=ORIENTED_EDGE('',*,*,#191849,.F.); #249799=ORIENTED_EDGE('',*,*,#191852,.F.); #249800=ORIENTED_EDGE('',*,*,#191855,.F.); #249801=ORIENTED_EDGE('',*,*,#191858,.F.); #249802=ORIENTED_EDGE('',*,*,#191861,.F.); #249803=ORIENTED_EDGE('',*,*,#191864,.F.); #249804=ORIENTED_EDGE('',*,*,#191867,.F.); #249805=ORIENTED_EDGE('',*,*,#191870,.F.); #249806=ORIENTED_EDGE('',*,*,#191873,.F.); #249807=ORIENTED_EDGE('',*,*,#191876,.F.); #249808=ORIENTED_EDGE('',*,*,#191879,.F.); #249809=ORIENTED_EDGE('',*,*,#191882,.F.); #249810=ORIENTED_EDGE('',*,*,#191885,.F.); #249811=ORIENTED_EDGE('',*,*,#191888,.F.); #249812=ORIENTED_EDGE('',*,*,#191891,.F.); #249813=ORIENTED_EDGE('',*,*,#191894,.F.); #249814=ORIENTED_EDGE('',*,*,#191897,.F.); #249815=ORIENTED_EDGE('',*,*,#191902,.T.); #249816=ORIENTED_EDGE('',*,*,#191903,.T.); #249817=ORIENTED_EDGE('',*,*,#191904,.F.); #249818=ORIENTED_EDGE('',*,*,#191905,.F.); #249819=ORIENTED_EDGE('',*,*,#191906,.T.); #249820=ORIENTED_EDGE('',*,*,#191905,.T.); #249821=ORIENTED_EDGE('',*,*,#191907,.F.); #249822=ORIENTED_EDGE('',*,*,#191908,.F.); #249823=ORIENTED_EDGE('',*,*,#191909,.T.); #249824=ORIENTED_EDGE('',*,*,#191908,.T.); #249825=ORIENTED_EDGE('',*,*,#191910,.F.); #249826=ORIENTED_EDGE('',*,*,#191911,.F.); #249827=ORIENTED_EDGE('',*,*,#191912,.T.); #249828=ORIENTED_EDGE('',*,*,#191911,.T.); #249829=ORIENTED_EDGE('',*,*,#191913,.F.); #249830=ORIENTED_EDGE('',*,*,#191914,.F.); #249831=ORIENTED_EDGE('',*,*,#191915,.T.); #249832=ORIENTED_EDGE('',*,*,#191914,.T.); #249833=ORIENTED_EDGE('',*,*,#191916,.F.); #249834=ORIENTED_EDGE('',*,*,#191917,.F.); #249835=ORIENTED_EDGE('',*,*,#191918,.T.); #249836=ORIENTED_EDGE('',*,*,#191917,.T.); #249837=ORIENTED_EDGE('',*,*,#191919,.F.); #249838=ORIENTED_EDGE('',*,*,#191920,.F.); #249839=ORIENTED_EDGE('',*,*,#191921,.T.); #249840=ORIENTED_EDGE('',*,*,#191920,.T.); #249841=ORIENTED_EDGE('',*,*,#191922,.F.); #249842=ORIENTED_EDGE('',*,*,#191923,.F.); #249843=ORIENTED_EDGE('',*,*,#191924,.T.); #249844=ORIENTED_EDGE('',*,*,#191923,.T.); #249845=ORIENTED_EDGE('',*,*,#191925,.F.); #249846=ORIENTED_EDGE('',*,*,#191926,.F.); #249847=ORIENTED_EDGE('',*,*,#191927,.T.); #249848=ORIENTED_EDGE('',*,*,#191926,.T.); #249849=ORIENTED_EDGE('',*,*,#191928,.F.); #249850=ORIENTED_EDGE('',*,*,#191929,.F.); #249851=ORIENTED_EDGE('',*,*,#191930,.T.); #249852=ORIENTED_EDGE('',*,*,#191929,.T.); #249853=ORIENTED_EDGE('',*,*,#191931,.F.); #249854=ORIENTED_EDGE('',*,*,#191932,.F.); #249855=ORIENTED_EDGE('',*,*,#191933,.T.); #249856=ORIENTED_EDGE('',*,*,#191932,.T.); #249857=ORIENTED_EDGE('',*,*,#191934,.F.); #249858=ORIENTED_EDGE('',*,*,#191935,.F.); #249859=ORIENTED_EDGE('',*,*,#191936,.T.); #249860=ORIENTED_EDGE('',*,*,#191935,.T.); #249861=ORIENTED_EDGE('',*,*,#191937,.F.); #249862=ORIENTED_EDGE('',*,*,#191938,.F.); #249863=ORIENTED_EDGE('',*,*,#191939,.T.); #249864=ORIENTED_EDGE('',*,*,#191938,.T.); #249865=ORIENTED_EDGE('',*,*,#191940,.F.); #249866=ORIENTED_EDGE('',*,*,#191941,.F.); #249867=ORIENTED_EDGE('',*,*,#191942,.T.); #249868=ORIENTED_EDGE('',*,*,#191941,.T.); #249869=ORIENTED_EDGE('',*,*,#191943,.F.); #249870=ORIENTED_EDGE('',*,*,#191944,.F.); #249871=ORIENTED_EDGE('',*,*,#191945,.T.); #249872=ORIENTED_EDGE('',*,*,#191944,.T.); #249873=ORIENTED_EDGE('',*,*,#191946,.F.); #249874=ORIENTED_EDGE('',*,*,#191947,.F.); #249875=ORIENTED_EDGE('',*,*,#191948,.T.); #249876=ORIENTED_EDGE('',*,*,#191947,.T.); #249877=ORIENTED_EDGE('',*,*,#191949,.F.); #249878=ORIENTED_EDGE('',*,*,#191950,.F.); #249879=ORIENTED_EDGE('',*,*,#191951,.T.); #249880=ORIENTED_EDGE('',*,*,#191950,.T.); #249881=ORIENTED_EDGE('',*,*,#191952,.F.); #249882=ORIENTED_EDGE('',*,*,#191953,.F.); #249883=ORIENTED_EDGE('',*,*,#191954,.T.); #249884=ORIENTED_EDGE('',*,*,#191953,.T.); #249885=ORIENTED_EDGE('',*,*,#191955,.F.); #249886=ORIENTED_EDGE('',*,*,#191956,.F.); #249887=ORIENTED_EDGE('',*,*,#191957,.T.); #249888=ORIENTED_EDGE('',*,*,#191956,.T.); #249889=ORIENTED_EDGE('',*,*,#191958,.F.); #249890=ORIENTED_EDGE('',*,*,#191959,.F.); #249891=ORIENTED_EDGE('',*,*,#191960,.T.); #249892=ORIENTED_EDGE('',*,*,#191959,.T.); #249893=ORIENTED_EDGE('',*,*,#191961,.F.); #249894=ORIENTED_EDGE('',*,*,#191962,.F.); #249895=ORIENTED_EDGE('',*,*,#191963,.T.); #249896=ORIENTED_EDGE('',*,*,#191962,.T.); #249897=ORIENTED_EDGE('',*,*,#191964,.F.); #249898=ORIENTED_EDGE('',*,*,#191903,.F.); #249899=ORIENTED_EDGE('',*,*,#191964,.T.); #249900=ORIENTED_EDGE('',*,*,#191961,.T.); #249901=ORIENTED_EDGE('',*,*,#191958,.T.); #249902=ORIENTED_EDGE('',*,*,#191955,.T.); #249903=ORIENTED_EDGE('',*,*,#191952,.T.); #249904=ORIENTED_EDGE('',*,*,#191949,.T.); #249905=ORIENTED_EDGE('',*,*,#191946,.T.); #249906=ORIENTED_EDGE('',*,*,#191943,.T.); #249907=ORIENTED_EDGE('',*,*,#191940,.T.); #249908=ORIENTED_EDGE('',*,*,#191937,.T.); #249909=ORIENTED_EDGE('',*,*,#191934,.T.); #249910=ORIENTED_EDGE('',*,*,#191931,.T.); #249911=ORIENTED_EDGE('',*,*,#191928,.T.); #249912=ORIENTED_EDGE('',*,*,#191925,.T.); #249913=ORIENTED_EDGE('',*,*,#191922,.T.); #249914=ORIENTED_EDGE('',*,*,#191919,.T.); #249915=ORIENTED_EDGE('',*,*,#191916,.T.); #249916=ORIENTED_EDGE('',*,*,#191913,.T.); #249917=ORIENTED_EDGE('',*,*,#191910,.T.); #249918=ORIENTED_EDGE('',*,*,#191907,.T.); #249919=ORIENTED_EDGE('',*,*,#191904,.T.); #249920=ORIENTED_EDGE('',*,*,#191963,.F.); #249921=ORIENTED_EDGE('',*,*,#191902,.F.); #249922=ORIENTED_EDGE('',*,*,#191906,.F.); #249923=ORIENTED_EDGE('',*,*,#191909,.F.); #249924=ORIENTED_EDGE('',*,*,#191912,.F.); #249925=ORIENTED_EDGE('',*,*,#191915,.F.); #249926=ORIENTED_EDGE('',*,*,#191918,.F.); #249927=ORIENTED_EDGE('',*,*,#191921,.F.); #249928=ORIENTED_EDGE('',*,*,#191924,.F.); #249929=ORIENTED_EDGE('',*,*,#191927,.F.); #249930=ORIENTED_EDGE('',*,*,#191930,.F.); #249931=ORIENTED_EDGE('',*,*,#191933,.F.); #249932=ORIENTED_EDGE('',*,*,#191936,.F.); #249933=ORIENTED_EDGE('',*,*,#191939,.F.); #249934=ORIENTED_EDGE('',*,*,#191942,.F.); #249935=ORIENTED_EDGE('',*,*,#191945,.F.); #249936=ORIENTED_EDGE('',*,*,#191948,.F.); #249937=ORIENTED_EDGE('',*,*,#191951,.F.); #249938=ORIENTED_EDGE('',*,*,#191954,.F.); #249939=ORIENTED_EDGE('',*,*,#191957,.F.); #249940=ORIENTED_EDGE('',*,*,#191960,.F.); #249941=ORIENTED_EDGE('',*,*,#191965,.T.); #249942=ORIENTED_EDGE('',*,*,#191966,.T.); #249943=ORIENTED_EDGE('',*,*,#191967,.F.); #249944=ORIENTED_EDGE('',*,*,#191968,.F.); #249945=ORIENTED_EDGE('',*,*,#191969,.T.); #249946=ORIENTED_EDGE('',*,*,#191968,.T.); #249947=ORIENTED_EDGE('',*,*,#191970,.F.); #249948=ORIENTED_EDGE('',*,*,#191971,.F.); #249949=ORIENTED_EDGE('',*,*,#191972,.T.); #249950=ORIENTED_EDGE('',*,*,#191971,.T.); #249951=ORIENTED_EDGE('',*,*,#191973,.F.); #249952=ORIENTED_EDGE('',*,*,#191974,.F.); #249953=ORIENTED_EDGE('',*,*,#191975,.T.); #249954=ORIENTED_EDGE('',*,*,#191974,.T.); #249955=ORIENTED_EDGE('',*,*,#191976,.F.); #249956=ORIENTED_EDGE('',*,*,#191977,.F.); #249957=ORIENTED_EDGE('',*,*,#191978,.T.); #249958=ORIENTED_EDGE('',*,*,#191977,.T.); #249959=ORIENTED_EDGE('',*,*,#191979,.F.); #249960=ORIENTED_EDGE('',*,*,#191980,.F.); #249961=ORIENTED_EDGE('',*,*,#191981,.T.); #249962=ORIENTED_EDGE('',*,*,#191980,.T.); #249963=ORIENTED_EDGE('',*,*,#191982,.F.); #249964=ORIENTED_EDGE('',*,*,#191983,.F.); #249965=ORIENTED_EDGE('',*,*,#191984,.T.); #249966=ORIENTED_EDGE('',*,*,#191983,.T.); #249967=ORIENTED_EDGE('',*,*,#191985,.F.); #249968=ORIENTED_EDGE('',*,*,#191986,.F.); #249969=ORIENTED_EDGE('',*,*,#191987,.T.); #249970=ORIENTED_EDGE('',*,*,#191986,.T.); #249971=ORIENTED_EDGE('',*,*,#191988,.F.); #249972=ORIENTED_EDGE('',*,*,#191989,.F.); #249973=ORIENTED_EDGE('',*,*,#191990,.T.); #249974=ORIENTED_EDGE('',*,*,#191989,.T.); #249975=ORIENTED_EDGE('',*,*,#191991,.F.); #249976=ORIENTED_EDGE('',*,*,#191992,.F.); #249977=ORIENTED_EDGE('',*,*,#191993,.T.); #249978=ORIENTED_EDGE('',*,*,#191992,.T.); #249979=ORIENTED_EDGE('',*,*,#191994,.F.); #249980=ORIENTED_EDGE('',*,*,#191995,.F.); #249981=ORIENTED_EDGE('',*,*,#191996,.T.); #249982=ORIENTED_EDGE('',*,*,#191995,.T.); #249983=ORIENTED_EDGE('',*,*,#191997,.F.); #249984=ORIENTED_EDGE('',*,*,#191998,.F.); #249985=ORIENTED_EDGE('',*,*,#191999,.T.); #249986=ORIENTED_EDGE('',*,*,#191998,.T.); #249987=ORIENTED_EDGE('',*,*,#192000,.F.); #249988=ORIENTED_EDGE('',*,*,#192001,.F.); #249989=ORIENTED_EDGE('',*,*,#192002,.T.); #249990=ORIENTED_EDGE('',*,*,#192001,.T.); #249991=ORIENTED_EDGE('',*,*,#192003,.F.); #249992=ORIENTED_EDGE('',*,*,#192004,.F.); #249993=ORIENTED_EDGE('',*,*,#192005,.T.); #249994=ORIENTED_EDGE('',*,*,#192004,.T.); #249995=ORIENTED_EDGE('',*,*,#192006,.F.); #249996=ORIENTED_EDGE('',*,*,#192007,.F.); #249997=ORIENTED_EDGE('',*,*,#192008,.T.); #249998=ORIENTED_EDGE('',*,*,#192007,.T.); #249999=ORIENTED_EDGE('',*,*,#192009,.F.); #250000=ORIENTED_EDGE('',*,*,#192010,.F.); #250001=ORIENTED_EDGE('',*,*,#192011,.T.); #250002=ORIENTED_EDGE('',*,*,#192010,.T.); #250003=ORIENTED_EDGE('',*,*,#192012,.F.); #250004=ORIENTED_EDGE('',*,*,#192013,.F.); #250005=ORIENTED_EDGE('',*,*,#192014,.T.); #250006=ORIENTED_EDGE('',*,*,#192013,.T.); #250007=ORIENTED_EDGE('',*,*,#192015,.F.); #250008=ORIENTED_EDGE('',*,*,#192016,.F.); #250009=ORIENTED_EDGE('',*,*,#192017,.T.); #250010=ORIENTED_EDGE('',*,*,#192016,.T.); #250011=ORIENTED_EDGE('',*,*,#192018,.F.); #250012=ORIENTED_EDGE('',*,*,#192019,.F.); #250013=ORIENTED_EDGE('',*,*,#192020,.T.); #250014=ORIENTED_EDGE('',*,*,#192019,.T.); #250015=ORIENTED_EDGE('',*,*,#192021,.F.); #250016=ORIENTED_EDGE('',*,*,#192022,.F.); #250017=ORIENTED_EDGE('',*,*,#192023,.T.); #250018=ORIENTED_EDGE('',*,*,#192022,.T.); #250019=ORIENTED_EDGE('',*,*,#192024,.F.); #250020=ORIENTED_EDGE('',*,*,#191966,.F.); #250021=ORIENTED_EDGE('',*,*,#192024,.T.); #250022=ORIENTED_EDGE('',*,*,#192021,.T.); #250023=ORIENTED_EDGE('',*,*,#192018,.T.); #250024=ORIENTED_EDGE('',*,*,#192015,.T.); #250025=ORIENTED_EDGE('',*,*,#192012,.T.); #250026=ORIENTED_EDGE('',*,*,#192009,.T.); #250027=ORIENTED_EDGE('',*,*,#192006,.T.); #250028=ORIENTED_EDGE('',*,*,#192003,.T.); #250029=ORIENTED_EDGE('',*,*,#192000,.T.); #250030=ORIENTED_EDGE('',*,*,#191997,.T.); #250031=ORIENTED_EDGE('',*,*,#191994,.T.); #250032=ORIENTED_EDGE('',*,*,#191991,.T.); #250033=ORIENTED_EDGE('',*,*,#191988,.T.); #250034=ORIENTED_EDGE('',*,*,#191985,.T.); #250035=ORIENTED_EDGE('',*,*,#191982,.T.); #250036=ORIENTED_EDGE('',*,*,#191979,.T.); #250037=ORIENTED_EDGE('',*,*,#191976,.T.); #250038=ORIENTED_EDGE('',*,*,#191973,.T.); #250039=ORIENTED_EDGE('',*,*,#191970,.T.); #250040=ORIENTED_EDGE('',*,*,#191967,.T.); #250041=ORIENTED_EDGE('',*,*,#192023,.F.); #250042=ORIENTED_EDGE('',*,*,#191965,.F.); #250043=ORIENTED_EDGE('',*,*,#191969,.F.); #250044=ORIENTED_EDGE('',*,*,#191972,.F.); #250045=ORIENTED_EDGE('',*,*,#191975,.F.); #250046=ORIENTED_EDGE('',*,*,#191978,.F.); #250047=ORIENTED_EDGE('',*,*,#191981,.F.); #250048=ORIENTED_EDGE('',*,*,#191984,.F.); #250049=ORIENTED_EDGE('',*,*,#191987,.F.); #250050=ORIENTED_EDGE('',*,*,#191990,.F.); #250051=ORIENTED_EDGE('',*,*,#191993,.F.); #250052=ORIENTED_EDGE('',*,*,#191996,.F.); #250053=ORIENTED_EDGE('',*,*,#191999,.F.); #250054=ORIENTED_EDGE('',*,*,#192002,.F.); #250055=ORIENTED_EDGE('',*,*,#192005,.F.); #250056=ORIENTED_EDGE('',*,*,#192008,.F.); #250057=ORIENTED_EDGE('',*,*,#192011,.F.); #250058=ORIENTED_EDGE('',*,*,#192014,.F.); #250059=ORIENTED_EDGE('',*,*,#192017,.F.); #250060=ORIENTED_EDGE('',*,*,#192020,.F.); #250061=ORIENTED_EDGE('',*,*,#192025,.T.); #250062=ORIENTED_EDGE('',*,*,#192026,.T.); #250063=ORIENTED_EDGE('',*,*,#192027,.F.); #250064=ORIENTED_EDGE('',*,*,#192028,.F.); #250065=ORIENTED_EDGE('',*,*,#192029,.T.); #250066=ORIENTED_EDGE('',*,*,#192028,.T.); #250067=ORIENTED_EDGE('',*,*,#192030,.F.); #250068=ORIENTED_EDGE('',*,*,#192031,.F.); #250069=ORIENTED_EDGE('',*,*,#192032,.T.); #250070=ORIENTED_EDGE('',*,*,#192031,.T.); #250071=ORIENTED_EDGE('',*,*,#192033,.F.); #250072=ORIENTED_EDGE('',*,*,#192034,.F.); #250073=ORIENTED_EDGE('',*,*,#192035,.T.); #250074=ORIENTED_EDGE('',*,*,#192034,.T.); #250075=ORIENTED_EDGE('',*,*,#192036,.F.); #250076=ORIENTED_EDGE('',*,*,#192037,.F.); #250077=ORIENTED_EDGE('',*,*,#192038,.T.); #250078=ORIENTED_EDGE('',*,*,#192037,.T.); #250079=ORIENTED_EDGE('',*,*,#192039,.F.); #250080=ORIENTED_EDGE('',*,*,#192040,.F.); #250081=ORIENTED_EDGE('',*,*,#192041,.T.); #250082=ORIENTED_EDGE('',*,*,#192040,.T.); #250083=ORIENTED_EDGE('',*,*,#192042,.F.); #250084=ORIENTED_EDGE('',*,*,#192043,.F.); #250085=ORIENTED_EDGE('',*,*,#192044,.T.); #250086=ORIENTED_EDGE('',*,*,#192043,.T.); #250087=ORIENTED_EDGE('',*,*,#192045,.F.); #250088=ORIENTED_EDGE('',*,*,#192046,.F.); #250089=ORIENTED_EDGE('',*,*,#192047,.T.); #250090=ORIENTED_EDGE('',*,*,#192046,.T.); #250091=ORIENTED_EDGE('',*,*,#192048,.F.); #250092=ORIENTED_EDGE('',*,*,#192049,.F.); #250093=ORIENTED_EDGE('',*,*,#192050,.T.); #250094=ORIENTED_EDGE('',*,*,#192049,.T.); #250095=ORIENTED_EDGE('',*,*,#192051,.F.); #250096=ORIENTED_EDGE('',*,*,#192052,.F.); #250097=ORIENTED_EDGE('',*,*,#192053,.T.); #250098=ORIENTED_EDGE('',*,*,#192052,.T.); #250099=ORIENTED_EDGE('',*,*,#192054,.F.); #250100=ORIENTED_EDGE('',*,*,#192055,.F.); #250101=ORIENTED_EDGE('',*,*,#192056,.T.); #250102=ORIENTED_EDGE('',*,*,#192055,.T.); #250103=ORIENTED_EDGE('',*,*,#192057,.F.); #250104=ORIENTED_EDGE('',*,*,#192058,.F.); #250105=ORIENTED_EDGE('',*,*,#192059,.T.); #250106=ORIENTED_EDGE('',*,*,#192058,.T.); #250107=ORIENTED_EDGE('',*,*,#192060,.F.); #250108=ORIENTED_EDGE('',*,*,#192061,.F.); #250109=ORIENTED_EDGE('',*,*,#192062,.T.); #250110=ORIENTED_EDGE('',*,*,#192061,.T.); #250111=ORIENTED_EDGE('',*,*,#192063,.F.); #250112=ORIENTED_EDGE('',*,*,#192064,.F.); #250113=ORIENTED_EDGE('',*,*,#192065,.T.); #250114=ORIENTED_EDGE('',*,*,#192064,.T.); #250115=ORIENTED_EDGE('',*,*,#192066,.F.); #250116=ORIENTED_EDGE('',*,*,#192067,.F.); #250117=ORIENTED_EDGE('',*,*,#192068,.T.); #250118=ORIENTED_EDGE('',*,*,#192067,.T.); #250119=ORIENTED_EDGE('',*,*,#192069,.F.); #250120=ORIENTED_EDGE('',*,*,#192070,.F.); #250121=ORIENTED_EDGE('',*,*,#192071,.T.); #250122=ORIENTED_EDGE('',*,*,#192070,.T.); #250123=ORIENTED_EDGE('',*,*,#192072,.F.); #250124=ORIENTED_EDGE('',*,*,#192073,.F.); #250125=ORIENTED_EDGE('',*,*,#192074,.T.); #250126=ORIENTED_EDGE('',*,*,#192073,.T.); #250127=ORIENTED_EDGE('',*,*,#192075,.F.); #250128=ORIENTED_EDGE('',*,*,#192076,.F.); #250129=ORIENTED_EDGE('',*,*,#192077,.T.); #250130=ORIENTED_EDGE('',*,*,#192076,.T.); #250131=ORIENTED_EDGE('',*,*,#192078,.F.); #250132=ORIENTED_EDGE('',*,*,#192079,.F.); #250133=ORIENTED_EDGE('',*,*,#192080,.T.); #250134=ORIENTED_EDGE('',*,*,#192079,.T.); #250135=ORIENTED_EDGE('',*,*,#192081,.F.); #250136=ORIENTED_EDGE('',*,*,#192082,.F.); #250137=ORIENTED_EDGE('',*,*,#192083,.T.); #250138=ORIENTED_EDGE('',*,*,#192082,.T.); #250139=ORIENTED_EDGE('',*,*,#192084,.F.); #250140=ORIENTED_EDGE('',*,*,#192085,.F.); #250141=ORIENTED_EDGE('',*,*,#192086,.T.); #250142=ORIENTED_EDGE('',*,*,#192085,.T.); #250143=ORIENTED_EDGE('',*,*,#192087,.F.); #250144=ORIENTED_EDGE('',*,*,#192026,.F.); #250145=ORIENTED_EDGE('',*,*,#192087,.T.); #250146=ORIENTED_EDGE('',*,*,#192084,.T.); #250147=ORIENTED_EDGE('',*,*,#192081,.T.); #250148=ORIENTED_EDGE('',*,*,#192078,.T.); #250149=ORIENTED_EDGE('',*,*,#192075,.T.); #250150=ORIENTED_EDGE('',*,*,#192072,.T.); #250151=ORIENTED_EDGE('',*,*,#192069,.T.); #250152=ORIENTED_EDGE('',*,*,#192066,.T.); #250153=ORIENTED_EDGE('',*,*,#192063,.T.); #250154=ORIENTED_EDGE('',*,*,#192060,.T.); #250155=ORIENTED_EDGE('',*,*,#192057,.T.); #250156=ORIENTED_EDGE('',*,*,#192054,.T.); #250157=ORIENTED_EDGE('',*,*,#192051,.T.); #250158=ORIENTED_EDGE('',*,*,#192048,.T.); #250159=ORIENTED_EDGE('',*,*,#192045,.T.); #250160=ORIENTED_EDGE('',*,*,#192042,.T.); #250161=ORIENTED_EDGE('',*,*,#192039,.T.); #250162=ORIENTED_EDGE('',*,*,#192036,.T.); #250163=ORIENTED_EDGE('',*,*,#192033,.T.); #250164=ORIENTED_EDGE('',*,*,#192030,.T.); #250165=ORIENTED_EDGE('',*,*,#192027,.T.); #250166=ORIENTED_EDGE('',*,*,#192086,.F.); #250167=ORIENTED_EDGE('',*,*,#192025,.F.); #250168=ORIENTED_EDGE('',*,*,#192029,.F.); #250169=ORIENTED_EDGE('',*,*,#192032,.F.); #250170=ORIENTED_EDGE('',*,*,#192035,.F.); #250171=ORIENTED_EDGE('',*,*,#192038,.F.); #250172=ORIENTED_EDGE('',*,*,#192041,.F.); #250173=ORIENTED_EDGE('',*,*,#192044,.F.); #250174=ORIENTED_EDGE('',*,*,#192047,.F.); #250175=ORIENTED_EDGE('',*,*,#192050,.F.); #250176=ORIENTED_EDGE('',*,*,#192053,.F.); #250177=ORIENTED_EDGE('',*,*,#192056,.F.); #250178=ORIENTED_EDGE('',*,*,#192059,.F.); #250179=ORIENTED_EDGE('',*,*,#192062,.F.); #250180=ORIENTED_EDGE('',*,*,#192065,.F.); #250181=ORIENTED_EDGE('',*,*,#192068,.F.); #250182=ORIENTED_EDGE('',*,*,#192071,.F.); #250183=ORIENTED_EDGE('',*,*,#192074,.F.); #250184=ORIENTED_EDGE('',*,*,#192077,.F.); #250185=ORIENTED_EDGE('',*,*,#192080,.F.); #250186=ORIENTED_EDGE('',*,*,#192083,.F.); #250187=ORIENTED_EDGE('',*,*,#192088,.F.); #250188=ORIENTED_EDGE('',*,*,#192089,.T.); #250189=ORIENTED_EDGE('',*,*,#192090,.F.); #250190=ORIENTED_EDGE('',*,*,#192089,.F.); #250191=ORIENTED_EDGE('',*,*,#192091,.F.); #250192=ORIENTED_EDGE('',*,*,#192092,.T.); #250193=ORIENTED_EDGE('',*,*,#192093,.F.); #250194=ORIENTED_EDGE('',*,*,#192092,.F.); #250195=ORIENTED_EDGE('',*,*,#192094,.F.); #250196=ORIENTED_EDGE('',*,*,#192095,.T.); #250197=ORIENTED_EDGE('',*,*,#192096,.F.); #250198=ORIENTED_EDGE('',*,*,#192095,.F.); #250199=ORIENTED_EDGE('',*,*,#192097,.T.); #250200=ORIENTED_EDGE('',*,*,#192098,.T.); #250201=ORIENTED_EDGE('',*,*,#192099,.F.); #250202=ORIENTED_EDGE('',*,*,#192100,.F.); #250203=ORIENTED_EDGE('',*,*,#192101,.T.); #250204=ORIENTED_EDGE('',*,*,#192100,.T.); #250205=ORIENTED_EDGE('',*,*,#192102,.F.); #250206=ORIENTED_EDGE('',*,*,#192103,.F.); #250207=ORIENTED_EDGE('',*,*,#192104,.T.); #250208=ORIENTED_EDGE('',*,*,#192103,.T.); #250209=ORIENTED_EDGE('',*,*,#192105,.F.); #250210=ORIENTED_EDGE('',*,*,#192106,.F.); #250211=ORIENTED_EDGE('',*,*,#192107,.T.); #250212=ORIENTED_EDGE('',*,*,#192106,.T.); #250213=ORIENTED_EDGE('',*,*,#192108,.F.); #250214=ORIENTED_EDGE('',*,*,#192109,.F.); #250215=ORIENTED_EDGE('',*,*,#192110,.T.); #250216=ORIENTED_EDGE('',*,*,#192109,.T.); #250217=ORIENTED_EDGE('',*,*,#192111,.F.); #250218=ORIENTED_EDGE('',*,*,#192112,.F.); #250219=ORIENTED_EDGE('',*,*,#192113,.T.); #250220=ORIENTED_EDGE('',*,*,#192112,.T.); #250221=ORIENTED_EDGE('',*,*,#192114,.F.); #250222=ORIENTED_EDGE('',*,*,#192115,.F.); #250223=ORIENTED_EDGE('',*,*,#192116,.T.); #250224=ORIENTED_EDGE('',*,*,#192115,.T.); #250225=ORIENTED_EDGE('',*,*,#192117,.F.); #250226=ORIENTED_EDGE('',*,*,#192118,.F.); #250227=ORIENTED_EDGE('',*,*,#192119,.T.); #250228=ORIENTED_EDGE('',*,*,#192118,.T.); #250229=ORIENTED_EDGE('',*,*,#192120,.F.); #250230=ORIENTED_EDGE('',*,*,#192121,.F.); #250231=ORIENTED_EDGE('',*,*,#192122,.T.); #250232=ORIENTED_EDGE('',*,*,#192121,.T.); #250233=ORIENTED_EDGE('',*,*,#192123,.F.); #250234=ORIENTED_EDGE('',*,*,#192124,.F.); #250235=ORIENTED_EDGE('',*,*,#192125,.T.); #250236=ORIENTED_EDGE('',*,*,#192124,.T.); #250237=ORIENTED_EDGE('',*,*,#192126,.F.); #250238=ORIENTED_EDGE('',*,*,#192127,.F.); #250239=ORIENTED_EDGE('',*,*,#192128,.T.); #250240=ORIENTED_EDGE('',*,*,#192127,.T.); #250241=ORIENTED_EDGE('',*,*,#192129,.F.); #250242=ORIENTED_EDGE('',*,*,#192130,.F.); #250243=ORIENTED_EDGE('',*,*,#192131,.T.); #250244=ORIENTED_EDGE('',*,*,#192130,.T.); #250245=ORIENTED_EDGE('',*,*,#192132,.F.); #250246=ORIENTED_EDGE('',*,*,#192133,.F.); #250247=ORIENTED_EDGE('',*,*,#192134,.T.); #250248=ORIENTED_EDGE('',*,*,#192133,.T.); #250249=ORIENTED_EDGE('',*,*,#192135,.F.); #250250=ORIENTED_EDGE('',*,*,#192136,.F.); #250251=ORIENTED_EDGE('',*,*,#192137,.T.); #250252=ORIENTED_EDGE('',*,*,#192136,.T.); #250253=ORIENTED_EDGE('',*,*,#192138,.F.); #250254=ORIENTED_EDGE('',*,*,#192139,.F.); #250255=ORIENTED_EDGE('',*,*,#192140,.T.); #250256=ORIENTED_EDGE('',*,*,#192139,.T.); #250257=ORIENTED_EDGE('',*,*,#192141,.F.); #250258=ORIENTED_EDGE('',*,*,#192142,.F.); #250259=ORIENTED_EDGE('',*,*,#192143,.T.); #250260=ORIENTED_EDGE('',*,*,#192142,.T.); #250261=ORIENTED_EDGE('',*,*,#192144,.F.); #250262=ORIENTED_EDGE('',*,*,#192145,.F.); #250263=ORIENTED_EDGE('',*,*,#192146,.T.); #250264=ORIENTED_EDGE('',*,*,#192145,.T.); #250265=ORIENTED_EDGE('',*,*,#192147,.F.); #250266=ORIENTED_EDGE('',*,*,#192148,.F.); #250267=ORIENTED_EDGE('',*,*,#192149,.T.); #250268=ORIENTED_EDGE('',*,*,#192148,.T.); #250269=ORIENTED_EDGE('',*,*,#192150,.F.); #250270=ORIENTED_EDGE('',*,*,#192151,.F.); #250271=ORIENTED_EDGE('',*,*,#192152,.T.); #250272=ORIENTED_EDGE('',*,*,#192151,.T.); #250273=ORIENTED_EDGE('',*,*,#192153,.F.); #250274=ORIENTED_EDGE('',*,*,#192154,.F.); #250275=ORIENTED_EDGE('',*,*,#192155,.T.); #250276=ORIENTED_EDGE('',*,*,#192154,.T.); #250277=ORIENTED_EDGE('',*,*,#192156,.F.); #250278=ORIENTED_EDGE('',*,*,#192157,.F.); #250279=ORIENTED_EDGE('',*,*,#192158,.T.); #250280=ORIENTED_EDGE('',*,*,#192157,.T.); #250281=ORIENTED_EDGE('',*,*,#192159,.F.); #250282=ORIENTED_EDGE('',*,*,#192160,.F.); #250283=ORIENTED_EDGE('',*,*,#192161,.T.); #250284=ORIENTED_EDGE('',*,*,#192160,.T.); #250285=ORIENTED_EDGE('',*,*,#192162,.F.); #250286=ORIENTED_EDGE('',*,*,#192163,.F.); #250287=ORIENTED_EDGE('',*,*,#192164,.T.); #250288=ORIENTED_EDGE('',*,*,#192163,.T.); #250289=ORIENTED_EDGE('',*,*,#192165,.F.); #250290=ORIENTED_EDGE('',*,*,#192166,.F.); #250291=ORIENTED_EDGE('',*,*,#192167,.T.); #250292=ORIENTED_EDGE('',*,*,#192166,.T.); #250293=ORIENTED_EDGE('',*,*,#192168,.F.); #250294=ORIENTED_EDGE('',*,*,#192169,.F.); #250295=ORIENTED_EDGE('',*,*,#192170,.T.); #250296=ORIENTED_EDGE('',*,*,#192169,.T.); #250297=ORIENTED_EDGE('',*,*,#192171,.F.); #250298=ORIENTED_EDGE('',*,*,#192172,.F.); #250299=ORIENTED_EDGE('',*,*,#192173,.T.); #250300=ORIENTED_EDGE('',*,*,#192172,.T.); #250301=ORIENTED_EDGE('',*,*,#192174,.F.); #250302=ORIENTED_EDGE('',*,*,#192175,.F.); #250303=ORIENTED_EDGE('',*,*,#192176,.T.); #250304=ORIENTED_EDGE('',*,*,#192175,.T.); #250305=ORIENTED_EDGE('',*,*,#192177,.F.); #250306=ORIENTED_EDGE('',*,*,#192178,.F.); #250307=ORIENTED_EDGE('',*,*,#192179,.T.); #250308=ORIENTED_EDGE('',*,*,#192178,.T.); #250309=ORIENTED_EDGE('',*,*,#192180,.F.); #250310=ORIENTED_EDGE('',*,*,#192181,.F.); #250311=ORIENTED_EDGE('',*,*,#192182,.T.); #250312=ORIENTED_EDGE('',*,*,#192181,.T.); #250313=ORIENTED_EDGE('',*,*,#192183,.F.); #250314=ORIENTED_EDGE('',*,*,#192184,.F.); #250315=ORIENTED_EDGE('',*,*,#192185,.T.); #250316=ORIENTED_EDGE('',*,*,#192184,.T.); #250317=ORIENTED_EDGE('',*,*,#192186,.F.); #250318=ORIENTED_EDGE('',*,*,#192187,.F.); #250319=ORIENTED_EDGE('',*,*,#192188,.T.); #250320=ORIENTED_EDGE('',*,*,#192187,.T.); #250321=ORIENTED_EDGE('',*,*,#192189,.F.); #250322=ORIENTED_EDGE('',*,*,#192190,.F.); #250323=ORIENTED_EDGE('',*,*,#192191,.T.); #250324=ORIENTED_EDGE('',*,*,#192190,.T.); #250325=ORIENTED_EDGE('',*,*,#192192,.F.); #250326=ORIENTED_EDGE('',*,*,#192193,.F.); #250327=ORIENTED_EDGE('',*,*,#192194,.T.); #250328=ORIENTED_EDGE('',*,*,#192193,.T.); #250329=ORIENTED_EDGE('',*,*,#192195,.F.); #250330=ORIENTED_EDGE('',*,*,#192196,.F.); #250331=ORIENTED_EDGE('',*,*,#192197,.T.); #250332=ORIENTED_EDGE('',*,*,#192196,.T.); #250333=ORIENTED_EDGE('',*,*,#192198,.F.); #250334=ORIENTED_EDGE('',*,*,#192199,.F.); #250335=ORIENTED_EDGE('',*,*,#192200,.T.); #250336=ORIENTED_EDGE('',*,*,#192199,.T.); #250337=ORIENTED_EDGE('',*,*,#192201,.F.); #250338=ORIENTED_EDGE('',*,*,#192202,.F.); #250339=ORIENTED_EDGE('',*,*,#192203,.T.); #250340=ORIENTED_EDGE('',*,*,#192202,.T.); #250341=ORIENTED_EDGE('',*,*,#192204,.F.); #250342=ORIENTED_EDGE('',*,*,#192205,.F.); #250343=ORIENTED_EDGE('',*,*,#192206,.T.); #250344=ORIENTED_EDGE('',*,*,#192205,.T.); #250345=ORIENTED_EDGE('',*,*,#192207,.F.); #250346=ORIENTED_EDGE('',*,*,#192208,.F.); #250347=ORIENTED_EDGE('',*,*,#192209,.T.); #250348=ORIENTED_EDGE('',*,*,#192208,.T.); #250349=ORIENTED_EDGE('',*,*,#192210,.F.); #250350=ORIENTED_EDGE('',*,*,#192211,.F.); #250351=ORIENTED_EDGE('',*,*,#192212,.T.); #250352=ORIENTED_EDGE('',*,*,#192211,.T.); #250353=ORIENTED_EDGE('',*,*,#192213,.F.); #250354=ORIENTED_EDGE('',*,*,#192214,.F.); #250355=ORIENTED_EDGE('',*,*,#192215,.T.); #250356=ORIENTED_EDGE('',*,*,#192214,.T.); #250357=ORIENTED_EDGE('',*,*,#192216,.F.); #250358=ORIENTED_EDGE('',*,*,#192217,.F.); #250359=ORIENTED_EDGE('',*,*,#192218,.T.); #250360=ORIENTED_EDGE('',*,*,#192217,.T.); #250361=ORIENTED_EDGE('',*,*,#192219,.F.); #250362=ORIENTED_EDGE('',*,*,#192220,.F.); #250363=ORIENTED_EDGE('',*,*,#192221,.T.); #250364=ORIENTED_EDGE('',*,*,#192220,.T.); #250365=ORIENTED_EDGE('',*,*,#192222,.F.); #250366=ORIENTED_EDGE('',*,*,#192223,.F.); #250367=ORIENTED_EDGE('',*,*,#192224,.T.); #250368=ORIENTED_EDGE('',*,*,#192223,.T.); #250369=ORIENTED_EDGE('',*,*,#192225,.F.); #250370=ORIENTED_EDGE('',*,*,#192226,.F.); #250371=ORIENTED_EDGE('',*,*,#192227,.T.); #250372=ORIENTED_EDGE('',*,*,#192226,.T.); #250373=ORIENTED_EDGE('',*,*,#192228,.F.); #250374=ORIENTED_EDGE('',*,*,#192229,.F.); #250375=ORIENTED_EDGE('',*,*,#192230,.T.); #250376=ORIENTED_EDGE('',*,*,#192229,.T.); #250377=ORIENTED_EDGE('',*,*,#192231,.F.); #250378=ORIENTED_EDGE('',*,*,#192232,.F.); #250379=ORIENTED_EDGE('',*,*,#192233,.T.); #250380=ORIENTED_EDGE('',*,*,#192232,.T.); #250381=ORIENTED_EDGE('',*,*,#192234,.F.); #250382=ORIENTED_EDGE('',*,*,#192235,.F.); #250383=ORIENTED_EDGE('',*,*,#192236,.T.); #250384=ORIENTED_EDGE('',*,*,#192235,.T.); #250385=ORIENTED_EDGE('',*,*,#192237,.F.); #250386=ORIENTED_EDGE('',*,*,#192238,.F.); #250387=ORIENTED_EDGE('',*,*,#192239,.T.); #250388=ORIENTED_EDGE('',*,*,#192238,.T.); #250389=ORIENTED_EDGE('',*,*,#192240,.F.); #250390=ORIENTED_EDGE('',*,*,#192241,.F.); #250391=ORIENTED_EDGE('',*,*,#192242,.T.); #250392=ORIENTED_EDGE('',*,*,#192241,.T.); #250393=ORIENTED_EDGE('',*,*,#192243,.F.); #250394=ORIENTED_EDGE('',*,*,#192244,.F.); #250395=ORIENTED_EDGE('',*,*,#192245,.T.); #250396=ORIENTED_EDGE('',*,*,#192244,.T.); #250397=ORIENTED_EDGE('',*,*,#192246,.F.); #250398=ORIENTED_EDGE('',*,*,#192247,.F.); #250399=ORIENTED_EDGE('',*,*,#192248,.T.); #250400=ORIENTED_EDGE('',*,*,#192247,.T.); #250401=ORIENTED_EDGE('',*,*,#192249,.F.); #250402=ORIENTED_EDGE('',*,*,#192250,.F.); #250403=ORIENTED_EDGE('',*,*,#192251,.T.); #250404=ORIENTED_EDGE('',*,*,#192250,.T.); #250405=ORIENTED_EDGE('',*,*,#192252,.F.); #250406=ORIENTED_EDGE('',*,*,#192253,.F.); #250407=ORIENTED_EDGE('',*,*,#192254,.T.); #250408=ORIENTED_EDGE('',*,*,#192253,.T.); #250409=ORIENTED_EDGE('',*,*,#192255,.F.); #250410=ORIENTED_EDGE('',*,*,#192256,.F.); #250411=ORIENTED_EDGE('',*,*,#192257,.T.); #250412=ORIENTED_EDGE('',*,*,#192256,.T.); #250413=ORIENTED_EDGE('',*,*,#192258,.F.); #250414=ORIENTED_EDGE('',*,*,#192259,.F.); #250415=ORIENTED_EDGE('',*,*,#192260,.T.); #250416=ORIENTED_EDGE('',*,*,#192259,.T.); #250417=ORIENTED_EDGE('',*,*,#192261,.F.); #250418=ORIENTED_EDGE('',*,*,#192262,.F.); #250419=ORIENTED_EDGE('',*,*,#192263,.T.); #250420=ORIENTED_EDGE('',*,*,#192262,.T.); #250421=ORIENTED_EDGE('',*,*,#192264,.F.); #250422=ORIENTED_EDGE('',*,*,#192265,.F.); #250423=ORIENTED_EDGE('',*,*,#192266,.T.); #250424=ORIENTED_EDGE('',*,*,#192265,.T.); #250425=ORIENTED_EDGE('',*,*,#192267,.F.); #250426=ORIENTED_EDGE('',*,*,#192268,.F.); #250427=ORIENTED_EDGE('',*,*,#192269,.T.); #250428=ORIENTED_EDGE('',*,*,#192268,.T.); #250429=ORIENTED_EDGE('',*,*,#192270,.F.); #250430=ORIENTED_EDGE('',*,*,#192271,.F.); #250431=ORIENTED_EDGE('',*,*,#192272,.T.); #250432=ORIENTED_EDGE('',*,*,#192271,.T.); #250433=ORIENTED_EDGE('',*,*,#192273,.F.); #250434=ORIENTED_EDGE('',*,*,#192274,.F.); #250435=ORIENTED_EDGE('',*,*,#192275,.T.); #250436=ORIENTED_EDGE('',*,*,#192274,.T.); #250437=ORIENTED_EDGE('',*,*,#192276,.F.); #250438=ORIENTED_EDGE('',*,*,#192277,.F.); #250439=ORIENTED_EDGE('',*,*,#192278,.T.); #250440=ORIENTED_EDGE('',*,*,#192277,.T.); #250441=ORIENTED_EDGE('',*,*,#192279,.F.); #250442=ORIENTED_EDGE('',*,*,#192280,.F.); #250443=ORIENTED_EDGE('',*,*,#192281,.T.); #250444=ORIENTED_EDGE('',*,*,#192280,.T.); #250445=ORIENTED_EDGE('',*,*,#192282,.F.); #250446=ORIENTED_EDGE('',*,*,#192283,.F.); #250447=ORIENTED_EDGE('',*,*,#192284,.T.); #250448=ORIENTED_EDGE('',*,*,#192283,.T.); #250449=ORIENTED_EDGE('',*,*,#192285,.F.); #250450=ORIENTED_EDGE('',*,*,#192286,.F.); #250451=ORIENTED_EDGE('',*,*,#192287,.T.); #250452=ORIENTED_EDGE('',*,*,#192286,.T.); #250453=ORIENTED_EDGE('',*,*,#192288,.F.); #250454=ORIENTED_EDGE('',*,*,#192289,.F.); #250455=ORIENTED_EDGE('',*,*,#192290,.T.); #250456=ORIENTED_EDGE('',*,*,#192289,.T.); #250457=ORIENTED_EDGE('',*,*,#192291,.F.); #250458=ORIENTED_EDGE('',*,*,#192292,.F.); #250459=ORIENTED_EDGE('',*,*,#192293,.T.); #250460=ORIENTED_EDGE('',*,*,#192292,.T.); #250461=ORIENTED_EDGE('',*,*,#192294,.F.); #250462=ORIENTED_EDGE('',*,*,#192295,.F.); #250463=ORIENTED_EDGE('',*,*,#192296,.T.); #250464=ORIENTED_EDGE('',*,*,#192295,.T.); #250465=ORIENTED_EDGE('',*,*,#192297,.F.); #250466=ORIENTED_EDGE('',*,*,#192298,.F.); #250467=ORIENTED_EDGE('',*,*,#192299,.T.); #250468=ORIENTED_EDGE('',*,*,#192298,.T.); #250469=ORIENTED_EDGE('',*,*,#192300,.F.); #250470=ORIENTED_EDGE('',*,*,#192301,.F.); #250471=ORIENTED_EDGE('',*,*,#192302,.T.); #250472=ORIENTED_EDGE('',*,*,#192301,.T.); #250473=ORIENTED_EDGE('',*,*,#192303,.F.); #250474=ORIENTED_EDGE('',*,*,#192304,.F.); #250475=ORIENTED_EDGE('',*,*,#192305,.T.); #250476=ORIENTED_EDGE('',*,*,#192304,.T.); #250477=ORIENTED_EDGE('',*,*,#192306,.F.); #250478=ORIENTED_EDGE('',*,*,#192307,.F.); #250479=ORIENTED_EDGE('',*,*,#192308,.T.); #250480=ORIENTED_EDGE('',*,*,#192307,.T.); #250481=ORIENTED_EDGE('',*,*,#192309,.F.); #250482=ORIENTED_EDGE('',*,*,#192310,.F.); #250483=ORIENTED_EDGE('',*,*,#192311,.T.); #250484=ORIENTED_EDGE('',*,*,#192310,.T.); #250485=ORIENTED_EDGE('',*,*,#192312,.F.); #250486=ORIENTED_EDGE('',*,*,#192313,.F.); #250487=ORIENTED_EDGE('',*,*,#192314,.T.); #250488=ORIENTED_EDGE('',*,*,#192313,.T.); #250489=ORIENTED_EDGE('',*,*,#192315,.F.); #250490=ORIENTED_EDGE('',*,*,#192316,.F.); #250491=ORIENTED_EDGE('',*,*,#192317,.T.); #250492=ORIENTED_EDGE('',*,*,#192316,.T.); #250493=ORIENTED_EDGE('',*,*,#192318,.F.); #250494=ORIENTED_EDGE('',*,*,#192319,.F.); #250495=ORIENTED_EDGE('',*,*,#192320,.T.); #250496=ORIENTED_EDGE('',*,*,#192319,.T.); #250497=ORIENTED_EDGE('',*,*,#192321,.F.); #250498=ORIENTED_EDGE('',*,*,#192322,.F.); #250499=ORIENTED_EDGE('',*,*,#192323,.T.); #250500=ORIENTED_EDGE('',*,*,#192322,.T.); #250501=ORIENTED_EDGE('',*,*,#192324,.F.); #250502=ORIENTED_EDGE('',*,*,#192325,.F.); #250503=ORIENTED_EDGE('',*,*,#192326,.T.); #250504=ORIENTED_EDGE('',*,*,#192325,.T.); #250505=ORIENTED_EDGE('',*,*,#192327,.F.); #250506=ORIENTED_EDGE('',*,*,#192328,.F.); #250507=ORIENTED_EDGE('',*,*,#192329,.T.); #250508=ORIENTED_EDGE('',*,*,#192328,.T.); #250509=ORIENTED_EDGE('',*,*,#192330,.F.); #250510=ORIENTED_EDGE('',*,*,#192331,.F.); #250511=ORIENTED_EDGE('',*,*,#192332,.T.); #250512=ORIENTED_EDGE('',*,*,#192331,.T.); #250513=ORIENTED_EDGE('',*,*,#192333,.F.); #250514=ORIENTED_EDGE('',*,*,#192334,.F.); #250515=ORIENTED_EDGE('',*,*,#192335,.T.); #250516=ORIENTED_EDGE('',*,*,#192334,.T.); #250517=ORIENTED_EDGE('',*,*,#192336,.F.); #250518=ORIENTED_EDGE('',*,*,#192337,.F.); #250519=ORIENTED_EDGE('',*,*,#192338,.T.); #250520=ORIENTED_EDGE('',*,*,#192337,.T.); #250521=ORIENTED_EDGE('',*,*,#192339,.F.); #250522=ORIENTED_EDGE('',*,*,#192340,.F.); #250523=ORIENTED_EDGE('',*,*,#192341,.T.); #250524=ORIENTED_EDGE('',*,*,#192340,.T.); #250525=ORIENTED_EDGE('',*,*,#192342,.F.); #250526=ORIENTED_EDGE('',*,*,#192343,.F.); #250527=ORIENTED_EDGE('',*,*,#192344,.T.); #250528=ORIENTED_EDGE('',*,*,#192343,.T.); #250529=ORIENTED_EDGE('',*,*,#192345,.F.); #250530=ORIENTED_EDGE('',*,*,#192346,.F.); #250531=ORIENTED_EDGE('',*,*,#192347,.T.); #250532=ORIENTED_EDGE('',*,*,#192346,.T.); #250533=ORIENTED_EDGE('',*,*,#192348,.F.); #250534=ORIENTED_EDGE('',*,*,#192349,.F.); #250535=ORIENTED_EDGE('',*,*,#192350,.T.); #250536=ORIENTED_EDGE('',*,*,#192349,.T.); #250537=ORIENTED_EDGE('',*,*,#192351,.F.); #250538=ORIENTED_EDGE('',*,*,#192352,.F.); #250539=ORIENTED_EDGE('',*,*,#192353,.T.); #250540=ORIENTED_EDGE('',*,*,#192352,.T.); #250541=ORIENTED_EDGE('',*,*,#192354,.F.); #250542=ORIENTED_EDGE('',*,*,#192355,.F.); #250543=ORIENTED_EDGE('',*,*,#192356,.T.); #250544=ORIENTED_EDGE('',*,*,#192355,.T.); #250545=ORIENTED_EDGE('',*,*,#192357,.F.); #250546=ORIENTED_EDGE('',*,*,#192358,.F.); #250547=ORIENTED_EDGE('',*,*,#192359,.T.); #250548=ORIENTED_EDGE('',*,*,#192358,.T.); #250549=ORIENTED_EDGE('',*,*,#192360,.F.); #250550=ORIENTED_EDGE('',*,*,#192361,.F.); #250551=ORIENTED_EDGE('',*,*,#192362,.T.); #250552=ORIENTED_EDGE('',*,*,#192361,.T.); #250553=ORIENTED_EDGE('',*,*,#192363,.F.); #250554=ORIENTED_EDGE('',*,*,#192364,.F.); #250555=ORIENTED_EDGE('',*,*,#192365,.T.); #250556=ORIENTED_EDGE('',*,*,#192364,.T.); #250557=ORIENTED_EDGE('',*,*,#192366,.F.); #250558=ORIENTED_EDGE('',*,*,#192098,.F.); #250559=ORIENTED_EDGE('',*,*,#192366,.T.); #250560=ORIENTED_EDGE('',*,*,#192363,.T.); #250561=ORIENTED_EDGE('',*,*,#192360,.T.); #250562=ORIENTED_EDGE('',*,*,#192357,.T.); #250563=ORIENTED_EDGE('',*,*,#192354,.T.); #250564=ORIENTED_EDGE('',*,*,#192351,.T.); #250565=ORIENTED_EDGE('',*,*,#192348,.T.); #250566=ORIENTED_EDGE('',*,*,#192345,.T.); #250567=ORIENTED_EDGE('',*,*,#192342,.T.); #250568=ORIENTED_EDGE('',*,*,#192339,.T.); #250569=ORIENTED_EDGE('',*,*,#192336,.T.); #250570=ORIENTED_EDGE('',*,*,#192333,.T.); #250571=ORIENTED_EDGE('',*,*,#192330,.T.); #250572=ORIENTED_EDGE('',*,*,#192327,.T.); #250573=ORIENTED_EDGE('',*,*,#192324,.T.); #250574=ORIENTED_EDGE('',*,*,#192321,.T.); #250575=ORIENTED_EDGE('',*,*,#192318,.T.); #250576=ORIENTED_EDGE('',*,*,#192315,.T.); #250577=ORIENTED_EDGE('',*,*,#192312,.T.); #250578=ORIENTED_EDGE('',*,*,#192309,.T.); #250579=ORIENTED_EDGE('',*,*,#192306,.T.); #250580=ORIENTED_EDGE('',*,*,#192303,.T.); #250581=ORIENTED_EDGE('',*,*,#192300,.T.); #250582=ORIENTED_EDGE('',*,*,#192297,.T.); #250583=ORIENTED_EDGE('',*,*,#192294,.T.); #250584=ORIENTED_EDGE('',*,*,#192291,.T.); #250585=ORIENTED_EDGE('',*,*,#192288,.T.); #250586=ORIENTED_EDGE('',*,*,#192285,.T.); #250587=ORIENTED_EDGE('',*,*,#192282,.T.); #250588=ORIENTED_EDGE('',*,*,#192279,.T.); #250589=ORIENTED_EDGE('',*,*,#192276,.T.); #250590=ORIENTED_EDGE('',*,*,#192273,.T.); #250591=ORIENTED_EDGE('',*,*,#192270,.T.); #250592=ORIENTED_EDGE('',*,*,#192267,.T.); #250593=ORIENTED_EDGE('',*,*,#192264,.T.); #250594=ORIENTED_EDGE('',*,*,#192261,.T.); #250595=ORIENTED_EDGE('',*,*,#192258,.T.); #250596=ORIENTED_EDGE('',*,*,#192255,.T.); #250597=ORIENTED_EDGE('',*,*,#192252,.T.); #250598=ORIENTED_EDGE('',*,*,#192249,.T.); #250599=ORIENTED_EDGE('',*,*,#192246,.T.); #250600=ORIENTED_EDGE('',*,*,#192243,.T.); #250601=ORIENTED_EDGE('',*,*,#192240,.T.); #250602=ORIENTED_EDGE('',*,*,#192237,.T.); #250603=ORIENTED_EDGE('',*,*,#192234,.T.); #250604=ORIENTED_EDGE('',*,*,#192231,.T.); #250605=ORIENTED_EDGE('',*,*,#192228,.T.); #250606=ORIENTED_EDGE('',*,*,#192225,.T.); #250607=ORIENTED_EDGE('',*,*,#192222,.T.); #250608=ORIENTED_EDGE('',*,*,#192219,.T.); #250609=ORIENTED_EDGE('',*,*,#192216,.T.); #250610=ORIENTED_EDGE('',*,*,#192213,.T.); #250611=ORIENTED_EDGE('',*,*,#192210,.T.); #250612=ORIENTED_EDGE('',*,*,#192207,.T.); #250613=ORIENTED_EDGE('',*,*,#192204,.T.); #250614=ORIENTED_EDGE('',*,*,#192201,.T.); #250615=ORIENTED_EDGE('',*,*,#192198,.T.); #250616=ORIENTED_EDGE('',*,*,#192195,.T.); #250617=ORIENTED_EDGE('',*,*,#192192,.T.); #250618=ORIENTED_EDGE('',*,*,#192189,.T.); #250619=ORIENTED_EDGE('',*,*,#192186,.T.); #250620=ORIENTED_EDGE('',*,*,#192183,.T.); #250621=ORIENTED_EDGE('',*,*,#192180,.T.); #250622=ORIENTED_EDGE('',*,*,#192177,.T.); #250623=ORIENTED_EDGE('',*,*,#192174,.T.); #250624=ORIENTED_EDGE('',*,*,#192171,.T.); #250625=ORIENTED_EDGE('',*,*,#192168,.T.); #250626=ORIENTED_EDGE('',*,*,#192165,.T.); #250627=ORIENTED_EDGE('',*,*,#192162,.T.); #250628=ORIENTED_EDGE('',*,*,#192159,.T.); #250629=ORIENTED_EDGE('',*,*,#192156,.T.); #250630=ORIENTED_EDGE('',*,*,#192153,.T.); #250631=ORIENTED_EDGE('',*,*,#192150,.T.); #250632=ORIENTED_EDGE('',*,*,#192147,.T.); #250633=ORIENTED_EDGE('',*,*,#192144,.T.); #250634=ORIENTED_EDGE('',*,*,#192141,.T.); #250635=ORIENTED_EDGE('',*,*,#192138,.T.); #250636=ORIENTED_EDGE('',*,*,#192135,.T.); #250637=ORIENTED_EDGE('',*,*,#192132,.T.); #250638=ORIENTED_EDGE('',*,*,#192129,.T.); #250639=ORIENTED_EDGE('',*,*,#192126,.T.); #250640=ORIENTED_EDGE('',*,*,#192123,.T.); #250641=ORIENTED_EDGE('',*,*,#192120,.T.); #250642=ORIENTED_EDGE('',*,*,#192117,.T.); #250643=ORIENTED_EDGE('',*,*,#192114,.T.); #250644=ORIENTED_EDGE('',*,*,#192111,.T.); #250645=ORIENTED_EDGE('',*,*,#192108,.T.); #250646=ORIENTED_EDGE('',*,*,#192105,.T.); #250647=ORIENTED_EDGE('',*,*,#192102,.T.); #250648=ORIENTED_EDGE('',*,*,#192099,.T.); #250649=ORIENTED_EDGE('',*,*,#192090,.T.); #250650=ORIENTED_EDGE('',*,*,#192093,.T.); #250651=ORIENTED_EDGE('',*,*,#192096,.T.); #250652=ORIENTED_EDGE('',*,*,#192365,.F.); #250653=ORIENTED_EDGE('',*,*,#192097,.F.); #250654=ORIENTED_EDGE('',*,*,#192101,.F.); #250655=ORIENTED_EDGE('',*,*,#192104,.F.); #250656=ORIENTED_EDGE('',*,*,#192107,.F.); #250657=ORIENTED_EDGE('',*,*,#192110,.F.); #250658=ORIENTED_EDGE('',*,*,#192113,.F.); #250659=ORIENTED_EDGE('',*,*,#192116,.F.); #250660=ORIENTED_EDGE('',*,*,#192119,.F.); #250661=ORIENTED_EDGE('',*,*,#192122,.F.); #250662=ORIENTED_EDGE('',*,*,#192125,.F.); #250663=ORIENTED_EDGE('',*,*,#192128,.F.); #250664=ORIENTED_EDGE('',*,*,#192131,.F.); #250665=ORIENTED_EDGE('',*,*,#192134,.F.); #250666=ORIENTED_EDGE('',*,*,#192137,.F.); #250667=ORIENTED_EDGE('',*,*,#192140,.F.); #250668=ORIENTED_EDGE('',*,*,#192143,.F.); #250669=ORIENTED_EDGE('',*,*,#192146,.F.); #250670=ORIENTED_EDGE('',*,*,#192149,.F.); #250671=ORIENTED_EDGE('',*,*,#192152,.F.); #250672=ORIENTED_EDGE('',*,*,#192155,.F.); #250673=ORIENTED_EDGE('',*,*,#192158,.F.); #250674=ORIENTED_EDGE('',*,*,#192161,.F.); #250675=ORIENTED_EDGE('',*,*,#192164,.F.); #250676=ORIENTED_EDGE('',*,*,#192167,.F.); #250677=ORIENTED_EDGE('',*,*,#192170,.F.); #250678=ORIENTED_EDGE('',*,*,#192173,.F.); #250679=ORIENTED_EDGE('',*,*,#192176,.F.); #250680=ORIENTED_EDGE('',*,*,#192179,.F.); #250681=ORIENTED_EDGE('',*,*,#192182,.F.); #250682=ORIENTED_EDGE('',*,*,#192185,.F.); #250683=ORIENTED_EDGE('',*,*,#192188,.F.); #250684=ORIENTED_EDGE('',*,*,#192191,.F.); #250685=ORIENTED_EDGE('',*,*,#192194,.F.); #250686=ORIENTED_EDGE('',*,*,#192197,.F.); #250687=ORIENTED_EDGE('',*,*,#192200,.F.); #250688=ORIENTED_EDGE('',*,*,#192203,.F.); #250689=ORIENTED_EDGE('',*,*,#192206,.F.); #250690=ORIENTED_EDGE('',*,*,#192209,.F.); #250691=ORIENTED_EDGE('',*,*,#192212,.F.); #250692=ORIENTED_EDGE('',*,*,#192215,.F.); #250693=ORIENTED_EDGE('',*,*,#192218,.F.); #250694=ORIENTED_EDGE('',*,*,#192221,.F.); #250695=ORIENTED_EDGE('',*,*,#192224,.F.); #250696=ORIENTED_EDGE('',*,*,#192227,.F.); #250697=ORIENTED_EDGE('',*,*,#192230,.F.); #250698=ORIENTED_EDGE('',*,*,#192233,.F.); #250699=ORIENTED_EDGE('',*,*,#192236,.F.); #250700=ORIENTED_EDGE('',*,*,#192239,.F.); #250701=ORIENTED_EDGE('',*,*,#192242,.F.); #250702=ORIENTED_EDGE('',*,*,#192245,.F.); #250703=ORIENTED_EDGE('',*,*,#192248,.F.); #250704=ORIENTED_EDGE('',*,*,#192251,.F.); #250705=ORIENTED_EDGE('',*,*,#192254,.F.); #250706=ORIENTED_EDGE('',*,*,#192257,.F.); #250707=ORIENTED_EDGE('',*,*,#192260,.F.); #250708=ORIENTED_EDGE('',*,*,#192263,.F.); #250709=ORIENTED_EDGE('',*,*,#192266,.F.); #250710=ORIENTED_EDGE('',*,*,#192269,.F.); #250711=ORIENTED_EDGE('',*,*,#192272,.F.); #250712=ORIENTED_EDGE('',*,*,#192275,.F.); #250713=ORIENTED_EDGE('',*,*,#192278,.F.); #250714=ORIENTED_EDGE('',*,*,#192281,.F.); #250715=ORIENTED_EDGE('',*,*,#192284,.F.); #250716=ORIENTED_EDGE('',*,*,#192287,.F.); #250717=ORIENTED_EDGE('',*,*,#192290,.F.); #250718=ORIENTED_EDGE('',*,*,#192293,.F.); #250719=ORIENTED_EDGE('',*,*,#192296,.F.); #250720=ORIENTED_EDGE('',*,*,#192299,.F.); #250721=ORIENTED_EDGE('',*,*,#192302,.F.); #250722=ORIENTED_EDGE('',*,*,#192305,.F.); #250723=ORIENTED_EDGE('',*,*,#192308,.F.); #250724=ORIENTED_EDGE('',*,*,#192311,.F.); #250725=ORIENTED_EDGE('',*,*,#192314,.F.); #250726=ORIENTED_EDGE('',*,*,#192317,.F.); #250727=ORIENTED_EDGE('',*,*,#192320,.F.); #250728=ORIENTED_EDGE('',*,*,#192323,.F.); #250729=ORIENTED_EDGE('',*,*,#192326,.F.); #250730=ORIENTED_EDGE('',*,*,#192329,.F.); #250731=ORIENTED_EDGE('',*,*,#192332,.F.); #250732=ORIENTED_EDGE('',*,*,#192335,.F.); #250733=ORIENTED_EDGE('',*,*,#192338,.F.); #250734=ORIENTED_EDGE('',*,*,#192341,.F.); #250735=ORIENTED_EDGE('',*,*,#192344,.F.); #250736=ORIENTED_EDGE('',*,*,#192347,.F.); #250737=ORIENTED_EDGE('',*,*,#192350,.F.); #250738=ORIENTED_EDGE('',*,*,#192353,.F.); #250739=ORIENTED_EDGE('',*,*,#192356,.F.); #250740=ORIENTED_EDGE('',*,*,#192359,.F.); #250741=ORIENTED_EDGE('',*,*,#192362,.F.); #250742=ORIENTED_EDGE('',*,*,#192088,.T.); #250743=ORIENTED_EDGE('',*,*,#192091,.T.); #250744=ORIENTED_EDGE('',*,*,#192094,.T.); #250745=ORIENTED_EDGE('',*,*,#192367,.T.); #250746=ORIENTED_EDGE('',*,*,#192368,.T.); #250747=ORIENTED_EDGE('',*,*,#192369,.F.); #250748=ORIENTED_EDGE('',*,*,#192370,.F.); #250749=ORIENTED_EDGE('',*,*,#192371,.T.); #250750=ORIENTED_EDGE('',*,*,#192370,.T.); #250751=ORIENTED_EDGE('',*,*,#192372,.F.); #250752=ORIENTED_EDGE('',*,*,#192373,.F.); #250753=ORIENTED_EDGE('',*,*,#192374,.T.); #250754=ORIENTED_EDGE('',*,*,#192373,.T.); #250755=ORIENTED_EDGE('',*,*,#192375,.F.); #250756=ORIENTED_EDGE('',*,*,#192376,.F.); #250757=ORIENTED_EDGE('',*,*,#192377,.T.); #250758=ORIENTED_EDGE('',*,*,#192376,.T.); #250759=ORIENTED_EDGE('',*,*,#192378,.F.); #250760=ORIENTED_EDGE('',*,*,#192379,.F.); #250761=ORIENTED_EDGE('',*,*,#192380,.T.); #250762=ORIENTED_EDGE('',*,*,#192379,.T.); #250763=ORIENTED_EDGE('',*,*,#192381,.F.); #250764=ORIENTED_EDGE('',*,*,#192382,.F.); #250765=ORIENTED_EDGE('',*,*,#192383,.T.); #250766=ORIENTED_EDGE('',*,*,#192382,.T.); #250767=ORIENTED_EDGE('',*,*,#192384,.F.); #250768=ORIENTED_EDGE('',*,*,#192385,.F.); #250769=ORIENTED_EDGE('',*,*,#192386,.T.); #250770=ORIENTED_EDGE('',*,*,#192385,.T.); #250771=ORIENTED_EDGE('',*,*,#192387,.F.); #250772=ORIENTED_EDGE('',*,*,#192388,.F.); #250773=ORIENTED_EDGE('',*,*,#192389,.T.); #250774=ORIENTED_EDGE('',*,*,#192388,.T.); #250775=ORIENTED_EDGE('',*,*,#192390,.F.); #250776=ORIENTED_EDGE('',*,*,#192391,.F.); #250777=ORIENTED_EDGE('',*,*,#192392,.T.); #250778=ORIENTED_EDGE('',*,*,#192391,.T.); #250779=ORIENTED_EDGE('',*,*,#192393,.F.); #250780=ORIENTED_EDGE('',*,*,#192394,.F.); #250781=ORIENTED_EDGE('',*,*,#192395,.T.); #250782=ORIENTED_EDGE('',*,*,#192394,.T.); #250783=ORIENTED_EDGE('',*,*,#192396,.F.); #250784=ORIENTED_EDGE('',*,*,#192397,.F.); #250785=ORIENTED_EDGE('',*,*,#192398,.T.); #250786=ORIENTED_EDGE('',*,*,#192397,.T.); #250787=ORIENTED_EDGE('',*,*,#192399,.F.); #250788=ORIENTED_EDGE('',*,*,#192400,.F.); #250789=ORIENTED_EDGE('',*,*,#192401,.T.); #250790=ORIENTED_EDGE('',*,*,#192400,.T.); #250791=ORIENTED_EDGE('',*,*,#192402,.F.); #250792=ORIENTED_EDGE('',*,*,#192403,.F.); #250793=ORIENTED_EDGE('',*,*,#192404,.T.); #250794=ORIENTED_EDGE('',*,*,#192403,.T.); #250795=ORIENTED_EDGE('',*,*,#192405,.F.); #250796=ORIENTED_EDGE('',*,*,#192406,.F.); #250797=ORIENTED_EDGE('',*,*,#192407,.T.); #250798=ORIENTED_EDGE('',*,*,#192406,.T.); #250799=ORIENTED_EDGE('',*,*,#192408,.F.); #250800=ORIENTED_EDGE('',*,*,#192409,.F.); #250801=ORIENTED_EDGE('',*,*,#192410,.T.); #250802=ORIENTED_EDGE('',*,*,#192409,.T.); #250803=ORIENTED_EDGE('',*,*,#192411,.F.); #250804=ORIENTED_EDGE('',*,*,#192412,.F.); #250805=ORIENTED_EDGE('',*,*,#192413,.T.); #250806=ORIENTED_EDGE('',*,*,#192412,.T.); #250807=ORIENTED_EDGE('',*,*,#192414,.F.); #250808=ORIENTED_EDGE('',*,*,#192415,.F.); #250809=ORIENTED_EDGE('',*,*,#192416,.T.); #250810=ORIENTED_EDGE('',*,*,#192415,.T.); #250811=ORIENTED_EDGE('',*,*,#192417,.F.); #250812=ORIENTED_EDGE('',*,*,#192418,.F.); #250813=ORIENTED_EDGE('',*,*,#192419,.T.); #250814=ORIENTED_EDGE('',*,*,#192418,.T.); #250815=ORIENTED_EDGE('',*,*,#192420,.F.); #250816=ORIENTED_EDGE('',*,*,#192421,.F.); #250817=ORIENTED_EDGE('',*,*,#192422,.T.); #250818=ORIENTED_EDGE('',*,*,#192421,.T.); #250819=ORIENTED_EDGE('',*,*,#192423,.F.); #250820=ORIENTED_EDGE('',*,*,#192424,.F.); #250821=ORIENTED_EDGE('',*,*,#192425,.T.); #250822=ORIENTED_EDGE('',*,*,#192424,.T.); #250823=ORIENTED_EDGE('',*,*,#192426,.F.); #250824=ORIENTED_EDGE('',*,*,#192427,.F.); #250825=ORIENTED_EDGE('',*,*,#192428,.T.); #250826=ORIENTED_EDGE('',*,*,#192427,.T.); #250827=ORIENTED_EDGE('',*,*,#192429,.F.); #250828=ORIENTED_EDGE('',*,*,#192430,.F.); #250829=ORIENTED_EDGE('',*,*,#192431,.T.); #250830=ORIENTED_EDGE('',*,*,#192430,.T.); #250831=ORIENTED_EDGE('',*,*,#192432,.F.); #250832=ORIENTED_EDGE('',*,*,#192433,.F.); #250833=ORIENTED_EDGE('',*,*,#192434,.T.); #250834=ORIENTED_EDGE('',*,*,#192433,.T.); #250835=ORIENTED_EDGE('',*,*,#192435,.F.); #250836=ORIENTED_EDGE('',*,*,#192436,.F.); #250837=ORIENTED_EDGE('',*,*,#192437,.T.); #250838=ORIENTED_EDGE('',*,*,#192436,.T.); #250839=ORIENTED_EDGE('',*,*,#192438,.F.); #250840=ORIENTED_EDGE('',*,*,#192439,.F.); #250841=ORIENTED_EDGE('',*,*,#192440,.T.); #250842=ORIENTED_EDGE('',*,*,#192439,.T.); #250843=ORIENTED_EDGE('',*,*,#192441,.F.); #250844=ORIENTED_EDGE('',*,*,#192442,.F.); #250845=ORIENTED_EDGE('',*,*,#192443,.T.); #250846=ORIENTED_EDGE('',*,*,#192442,.T.); #250847=ORIENTED_EDGE('',*,*,#192444,.F.); #250848=ORIENTED_EDGE('',*,*,#192445,.F.); #250849=ORIENTED_EDGE('',*,*,#192446,.T.); #250850=ORIENTED_EDGE('',*,*,#192445,.T.); #250851=ORIENTED_EDGE('',*,*,#192447,.F.); #250852=ORIENTED_EDGE('',*,*,#192448,.F.); #250853=ORIENTED_EDGE('',*,*,#192449,.T.); #250854=ORIENTED_EDGE('',*,*,#192448,.T.); #250855=ORIENTED_EDGE('',*,*,#192450,.F.); #250856=ORIENTED_EDGE('',*,*,#192451,.F.); #250857=ORIENTED_EDGE('',*,*,#192452,.T.); #250858=ORIENTED_EDGE('',*,*,#192451,.T.); #250859=ORIENTED_EDGE('',*,*,#192453,.F.); #250860=ORIENTED_EDGE('',*,*,#192454,.F.); #250861=ORIENTED_EDGE('',*,*,#192455,.T.); #250862=ORIENTED_EDGE('',*,*,#192454,.T.); #250863=ORIENTED_EDGE('',*,*,#192456,.F.); #250864=ORIENTED_EDGE('',*,*,#192457,.F.); #250865=ORIENTED_EDGE('',*,*,#192458,.T.); #250866=ORIENTED_EDGE('',*,*,#192457,.T.); #250867=ORIENTED_EDGE('',*,*,#192459,.F.); #250868=ORIENTED_EDGE('',*,*,#192460,.F.); #250869=ORIENTED_EDGE('',*,*,#192461,.T.); #250870=ORIENTED_EDGE('',*,*,#192460,.T.); #250871=ORIENTED_EDGE('',*,*,#192462,.F.); #250872=ORIENTED_EDGE('',*,*,#192463,.F.); #250873=ORIENTED_EDGE('',*,*,#192464,.T.); #250874=ORIENTED_EDGE('',*,*,#192463,.T.); #250875=ORIENTED_EDGE('',*,*,#192465,.F.); #250876=ORIENTED_EDGE('',*,*,#192466,.F.); #250877=ORIENTED_EDGE('',*,*,#192467,.T.); #250878=ORIENTED_EDGE('',*,*,#192466,.T.); #250879=ORIENTED_EDGE('',*,*,#192468,.F.); #250880=ORIENTED_EDGE('',*,*,#192368,.F.); #250881=ORIENTED_EDGE('',*,*,#192468,.T.); #250882=ORIENTED_EDGE('',*,*,#192465,.T.); #250883=ORIENTED_EDGE('',*,*,#192462,.T.); #250884=ORIENTED_EDGE('',*,*,#192459,.T.); #250885=ORIENTED_EDGE('',*,*,#192456,.T.); #250886=ORIENTED_EDGE('',*,*,#192453,.T.); #250887=ORIENTED_EDGE('',*,*,#192450,.T.); #250888=ORIENTED_EDGE('',*,*,#192447,.T.); #250889=ORIENTED_EDGE('',*,*,#192444,.T.); #250890=ORIENTED_EDGE('',*,*,#192441,.T.); #250891=ORIENTED_EDGE('',*,*,#192438,.T.); #250892=ORIENTED_EDGE('',*,*,#192435,.T.); #250893=ORIENTED_EDGE('',*,*,#192432,.T.); #250894=ORIENTED_EDGE('',*,*,#192429,.T.); #250895=ORIENTED_EDGE('',*,*,#192426,.T.); #250896=ORIENTED_EDGE('',*,*,#192423,.T.); #250897=ORIENTED_EDGE('',*,*,#192420,.T.); #250898=ORIENTED_EDGE('',*,*,#192417,.T.); #250899=ORIENTED_EDGE('',*,*,#192414,.T.); #250900=ORIENTED_EDGE('',*,*,#192411,.T.); #250901=ORIENTED_EDGE('',*,*,#192408,.T.); #250902=ORIENTED_EDGE('',*,*,#192405,.T.); #250903=ORIENTED_EDGE('',*,*,#192402,.T.); #250904=ORIENTED_EDGE('',*,*,#192399,.T.); #250905=ORIENTED_EDGE('',*,*,#192396,.T.); #250906=ORIENTED_EDGE('',*,*,#192393,.T.); #250907=ORIENTED_EDGE('',*,*,#192390,.T.); #250908=ORIENTED_EDGE('',*,*,#192387,.T.); #250909=ORIENTED_EDGE('',*,*,#192384,.T.); #250910=ORIENTED_EDGE('',*,*,#192381,.T.); #250911=ORIENTED_EDGE('',*,*,#192378,.T.); #250912=ORIENTED_EDGE('',*,*,#192375,.T.); #250913=ORIENTED_EDGE('',*,*,#192372,.T.); #250914=ORIENTED_EDGE('',*,*,#192369,.T.); #250915=ORIENTED_EDGE('',*,*,#192467,.F.); #250916=ORIENTED_EDGE('',*,*,#192367,.F.); #250917=ORIENTED_EDGE('',*,*,#192371,.F.); #250918=ORIENTED_EDGE('',*,*,#192374,.F.); #250919=ORIENTED_EDGE('',*,*,#192377,.F.); #250920=ORIENTED_EDGE('',*,*,#192380,.F.); #250921=ORIENTED_EDGE('',*,*,#192383,.F.); #250922=ORIENTED_EDGE('',*,*,#192386,.F.); #250923=ORIENTED_EDGE('',*,*,#192389,.F.); #250924=ORIENTED_EDGE('',*,*,#192392,.F.); #250925=ORIENTED_EDGE('',*,*,#192395,.F.); #250926=ORIENTED_EDGE('',*,*,#192398,.F.); #250927=ORIENTED_EDGE('',*,*,#192401,.F.); #250928=ORIENTED_EDGE('',*,*,#192404,.F.); #250929=ORIENTED_EDGE('',*,*,#192407,.F.); #250930=ORIENTED_EDGE('',*,*,#192410,.F.); #250931=ORIENTED_EDGE('',*,*,#192413,.F.); #250932=ORIENTED_EDGE('',*,*,#192416,.F.); #250933=ORIENTED_EDGE('',*,*,#192419,.F.); #250934=ORIENTED_EDGE('',*,*,#192422,.F.); #250935=ORIENTED_EDGE('',*,*,#192425,.F.); #250936=ORIENTED_EDGE('',*,*,#192428,.F.); #250937=ORIENTED_EDGE('',*,*,#192431,.F.); #250938=ORIENTED_EDGE('',*,*,#192434,.F.); #250939=ORIENTED_EDGE('',*,*,#192437,.F.); #250940=ORIENTED_EDGE('',*,*,#192440,.F.); #250941=ORIENTED_EDGE('',*,*,#192443,.F.); #250942=ORIENTED_EDGE('',*,*,#192446,.F.); #250943=ORIENTED_EDGE('',*,*,#192449,.F.); #250944=ORIENTED_EDGE('',*,*,#192452,.F.); #250945=ORIENTED_EDGE('',*,*,#192455,.F.); #250946=ORIENTED_EDGE('',*,*,#192458,.F.); #250947=ORIENTED_EDGE('',*,*,#192461,.F.); #250948=ORIENTED_EDGE('',*,*,#192464,.F.); #250949=ORIENTED_EDGE('',*,*,#192469,.T.); #250950=ORIENTED_EDGE('',*,*,#192470,.T.); #250951=ORIENTED_EDGE('',*,*,#192471,.F.); #250952=ORIENTED_EDGE('',*,*,#192472,.F.); #250953=ORIENTED_EDGE('',*,*,#192473,.T.); #250954=ORIENTED_EDGE('',*,*,#192472,.T.); #250955=ORIENTED_EDGE('',*,*,#192474,.F.); #250956=ORIENTED_EDGE('',*,*,#192475,.F.); #250957=ORIENTED_EDGE('',*,*,#192476,.T.); #250958=ORIENTED_EDGE('',*,*,#192475,.T.); #250959=ORIENTED_EDGE('',*,*,#192477,.F.); #250960=ORIENTED_EDGE('',*,*,#192478,.F.); #250961=ORIENTED_EDGE('',*,*,#192479,.T.); #250962=ORIENTED_EDGE('',*,*,#192478,.T.); #250963=ORIENTED_EDGE('',*,*,#192480,.F.); #250964=ORIENTED_EDGE('',*,*,#192481,.F.); #250965=ORIENTED_EDGE('',*,*,#192482,.T.); #250966=ORIENTED_EDGE('',*,*,#192481,.T.); #250967=ORIENTED_EDGE('',*,*,#192483,.F.); #250968=ORIENTED_EDGE('',*,*,#192484,.F.); #250969=ORIENTED_EDGE('',*,*,#192485,.T.); #250970=ORIENTED_EDGE('',*,*,#192484,.T.); #250971=ORIENTED_EDGE('',*,*,#192486,.F.); #250972=ORIENTED_EDGE('',*,*,#192487,.F.); #250973=ORIENTED_EDGE('',*,*,#192488,.T.); #250974=ORIENTED_EDGE('',*,*,#192487,.T.); #250975=ORIENTED_EDGE('',*,*,#192489,.F.); #250976=ORIENTED_EDGE('',*,*,#192490,.F.); #250977=ORIENTED_EDGE('',*,*,#192491,.T.); #250978=ORIENTED_EDGE('',*,*,#192490,.T.); #250979=ORIENTED_EDGE('',*,*,#192492,.F.); #250980=ORIENTED_EDGE('',*,*,#192493,.F.); #250981=ORIENTED_EDGE('',*,*,#192494,.T.); #250982=ORIENTED_EDGE('',*,*,#192493,.T.); #250983=ORIENTED_EDGE('',*,*,#192495,.F.); #250984=ORIENTED_EDGE('',*,*,#192496,.F.); #250985=ORIENTED_EDGE('',*,*,#192497,.T.); #250986=ORIENTED_EDGE('',*,*,#192496,.T.); #250987=ORIENTED_EDGE('',*,*,#192498,.F.); #250988=ORIENTED_EDGE('',*,*,#192499,.F.); #250989=ORIENTED_EDGE('',*,*,#192500,.T.); #250990=ORIENTED_EDGE('',*,*,#192499,.T.); #250991=ORIENTED_EDGE('',*,*,#192501,.F.); #250992=ORIENTED_EDGE('',*,*,#192502,.F.); #250993=ORIENTED_EDGE('',*,*,#192503,.T.); #250994=ORIENTED_EDGE('',*,*,#192502,.T.); #250995=ORIENTED_EDGE('',*,*,#192504,.F.); #250996=ORIENTED_EDGE('',*,*,#192505,.F.); #250997=ORIENTED_EDGE('',*,*,#192506,.T.); #250998=ORIENTED_EDGE('',*,*,#192505,.T.); #250999=ORIENTED_EDGE('',*,*,#192507,.F.); #251000=ORIENTED_EDGE('',*,*,#192508,.F.); #251001=ORIENTED_EDGE('',*,*,#192509,.T.); #251002=ORIENTED_EDGE('',*,*,#192508,.T.); #251003=ORIENTED_EDGE('',*,*,#192510,.F.); #251004=ORIENTED_EDGE('',*,*,#192511,.F.); #251005=ORIENTED_EDGE('',*,*,#192512,.T.); #251006=ORIENTED_EDGE('',*,*,#192511,.T.); #251007=ORIENTED_EDGE('',*,*,#192513,.F.); #251008=ORIENTED_EDGE('',*,*,#192514,.F.); #251009=ORIENTED_EDGE('',*,*,#192515,.T.); #251010=ORIENTED_EDGE('',*,*,#192514,.T.); #251011=ORIENTED_EDGE('',*,*,#192516,.F.); #251012=ORIENTED_EDGE('',*,*,#192517,.F.); #251013=ORIENTED_EDGE('',*,*,#192518,.T.); #251014=ORIENTED_EDGE('',*,*,#192517,.T.); #251015=ORIENTED_EDGE('',*,*,#192519,.F.); #251016=ORIENTED_EDGE('',*,*,#192520,.F.); #251017=ORIENTED_EDGE('',*,*,#192521,.T.); #251018=ORIENTED_EDGE('',*,*,#192520,.T.); #251019=ORIENTED_EDGE('',*,*,#192522,.F.); #251020=ORIENTED_EDGE('',*,*,#192523,.F.); #251021=ORIENTED_EDGE('',*,*,#192524,.T.); #251022=ORIENTED_EDGE('',*,*,#192523,.T.); #251023=ORIENTED_EDGE('',*,*,#192525,.F.); #251024=ORIENTED_EDGE('',*,*,#192526,.F.); #251025=ORIENTED_EDGE('',*,*,#192527,.T.); #251026=ORIENTED_EDGE('',*,*,#192526,.T.); #251027=ORIENTED_EDGE('',*,*,#192528,.F.); #251028=ORIENTED_EDGE('',*,*,#192470,.F.); #251029=ORIENTED_EDGE('',*,*,#192528,.T.); #251030=ORIENTED_EDGE('',*,*,#192525,.T.); #251031=ORIENTED_EDGE('',*,*,#192522,.T.); #251032=ORIENTED_EDGE('',*,*,#192519,.T.); #251033=ORIENTED_EDGE('',*,*,#192516,.T.); #251034=ORIENTED_EDGE('',*,*,#192513,.T.); #251035=ORIENTED_EDGE('',*,*,#192510,.T.); #251036=ORIENTED_EDGE('',*,*,#192507,.T.); #251037=ORIENTED_EDGE('',*,*,#192504,.T.); #251038=ORIENTED_EDGE('',*,*,#192501,.T.); #251039=ORIENTED_EDGE('',*,*,#192498,.T.); #251040=ORIENTED_EDGE('',*,*,#192495,.T.); #251041=ORIENTED_EDGE('',*,*,#192492,.T.); #251042=ORIENTED_EDGE('',*,*,#192489,.T.); #251043=ORIENTED_EDGE('',*,*,#192486,.T.); #251044=ORIENTED_EDGE('',*,*,#192483,.T.); #251045=ORIENTED_EDGE('',*,*,#192480,.T.); #251046=ORIENTED_EDGE('',*,*,#192477,.T.); #251047=ORIENTED_EDGE('',*,*,#192474,.T.); #251048=ORIENTED_EDGE('',*,*,#192471,.T.); #251049=ORIENTED_EDGE('',*,*,#192527,.F.); #251050=ORIENTED_EDGE('',*,*,#192469,.F.); #251051=ORIENTED_EDGE('',*,*,#192473,.F.); #251052=ORIENTED_EDGE('',*,*,#192476,.F.); #251053=ORIENTED_EDGE('',*,*,#192479,.F.); #251054=ORIENTED_EDGE('',*,*,#192482,.F.); #251055=ORIENTED_EDGE('',*,*,#192485,.F.); #251056=ORIENTED_EDGE('',*,*,#192488,.F.); #251057=ORIENTED_EDGE('',*,*,#192491,.F.); #251058=ORIENTED_EDGE('',*,*,#192494,.F.); #251059=ORIENTED_EDGE('',*,*,#192497,.F.); #251060=ORIENTED_EDGE('',*,*,#192500,.F.); #251061=ORIENTED_EDGE('',*,*,#192503,.F.); #251062=ORIENTED_EDGE('',*,*,#192506,.F.); #251063=ORIENTED_EDGE('',*,*,#192509,.F.); #251064=ORIENTED_EDGE('',*,*,#192512,.F.); #251065=ORIENTED_EDGE('',*,*,#192515,.F.); #251066=ORIENTED_EDGE('',*,*,#192518,.F.); #251067=ORIENTED_EDGE('',*,*,#192521,.F.); #251068=ORIENTED_EDGE('',*,*,#192524,.F.); #251069=ORIENTED_EDGE('',*,*,#192529,.T.); #251070=ORIENTED_EDGE('',*,*,#192530,.T.); #251071=ORIENTED_EDGE('',*,*,#192531,.F.); #251072=ORIENTED_EDGE('',*,*,#192532,.F.); #251073=ORIENTED_EDGE('',*,*,#192533,.T.); #251074=ORIENTED_EDGE('',*,*,#192532,.T.); #251075=ORIENTED_EDGE('',*,*,#192534,.F.); #251076=ORIENTED_EDGE('',*,*,#192535,.F.); #251077=ORIENTED_EDGE('',*,*,#192536,.T.); #251078=ORIENTED_EDGE('',*,*,#192535,.T.); #251079=ORIENTED_EDGE('',*,*,#192537,.F.); #251080=ORIENTED_EDGE('',*,*,#192538,.F.); #251081=ORIENTED_EDGE('',*,*,#192539,.T.); #251082=ORIENTED_EDGE('',*,*,#192538,.T.); #251083=ORIENTED_EDGE('',*,*,#192540,.F.); #251084=ORIENTED_EDGE('',*,*,#192541,.F.); #251085=ORIENTED_EDGE('',*,*,#192542,.T.); #251086=ORIENTED_EDGE('',*,*,#192541,.T.); #251087=ORIENTED_EDGE('',*,*,#192543,.F.); #251088=ORIENTED_EDGE('',*,*,#192544,.F.); #251089=ORIENTED_EDGE('',*,*,#192545,.T.); #251090=ORIENTED_EDGE('',*,*,#192544,.T.); #251091=ORIENTED_EDGE('',*,*,#192546,.F.); #251092=ORIENTED_EDGE('',*,*,#192547,.F.); #251093=ORIENTED_EDGE('',*,*,#192548,.T.); #251094=ORIENTED_EDGE('',*,*,#192547,.T.); #251095=ORIENTED_EDGE('',*,*,#192549,.F.); #251096=ORIENTED_EDGE('',*,*,#192550,.F.); #251097=ORIENTED_EDGE('',*,*,#192551,.T.); #251098=ORIENTED_EDGE('',*,*,#192550,.T.); #251099=ORIENTED_EDGE('',*,*,#192552,.F.); #251100=ORIENTED_EDGE('',*,*,#192553,.F.); #251101=ORIENTED_EDGE('',*,*,#192554,.T.); #251102=ORIENTED_EDGE('',*,*,#192553,.T.); #251103=ORIENTED_EDGE('',*,*,#192555,.F.); #251104=ORIENTED_EDGE('',*,*,#192556,.F.); #251105=ORIENTED_EDGE('',*,*,#192557,.T.); #251106=ORIENTED_EDGE('',*,*,#192556,.T.); #251107=ORIENTED_EDGE('',*,*,#192558,.F.); #251108=ORIENTED_EDGE('',*,*,#192559,.F.); #251109=ORIENTED_EDGE('',*,*,#192560,.T.); #251110=ORIENTED_EDGE('',*,*,#192559,.T.); #251111=ORIENTED_EDGE('',*,*,#192561,.F.); #251112=ORIENTED_EDGE('',*,*,#192562,.F.); #251113=ORIENTED_EDGE('',*,*,#192563,.T.); #251114=ORIENTED_EDGE('',*,*,#192562,.T.); #251115=ORIENTED_EDGE('',*,*,#192564,.F.); #251116=ORIENTED_EDGE('',*,*,#192565,.F.); #251117=ORIENTED_EDGE('',*,*,#192566,.T.); #251118=ORIENTED_EDGE('',*,*,#192565,.T.); #251119=ORIENTED_EDGE('',*,*,#192567,.F.); #251120=ORIENTED_EDGE('',*,*,#192568,.F.); #251121=ORIENTED_EDGE('',*,*,#192569,.T.); #251122=ORIENTED_EDGE('',*,*,#192568,.T.); #251123=ORIENTED_EDGE('',*,*,#192570,.F.); #251124=ORIENTED_EDGE('',*,*,#192571,.F.); #251125=ORIENTED_EDGE('',*,*,#192572,.T.); #251126=ORIENTED_EDGE('',*,*,#192571,.T.); #251127=ORIENTED_EDGE('',*,*,#192573,.F.); #251128=ORIENTED_EDGE('',*,*,#192574,.F.); #251129=ORIENTED_EDGE('',*,*,#192575,.T.); #251130=ORIENTED_EDGE('',*,*,#192574,.T.); #251131=ORIENTED_EDGE('',*,*,#192576,.F.); #251132=ORIENTED_EDGE('',*,*,#192577,.F.); #251133=ORIENTED_EDGE('',*,*,#192578,.T.); #251134=ORIENTED_EDGE('',*,*,#192577,.T.); #251135=ORIENTED_EDGE('',*,*,#192579,.F.); #251136=ORIENTED_EDGE('',*,*,#192580,.F.); #251137=ORIENTED_EDGE('',*,*,#192581,.T.); #251138=ORIENTED_EDGE('',*,*,#192580,.T.); #251139=ORIENTED_EDGE('',*,*,#192582,.F.); #251140=ORIENTED_EDGE('',*,*,#192583,.F.); #251141=ORIENTED_EDGE('',*,*,#192584,.T.); #251142=ORIENTED_EDGE('',*,*,#192583,.T.); #251143=ORIENTED_EDGE('',*,*,#192585,.F.); #251144=ORIENTED_EDGE('',*,*,#192586,.F.); #251145=ORIENTED_EDGE('',*,*,#192587,.T.); #251146=ORIENTED_EDGE('',*,*,#192586,.T.); #251147=ORIENTED_EDGE('',*,*,#192588,.F.); #251148=ORIENTED_EDGE('',*,*,#192589,.F.); #251149=ORIENTED_EDGE('',*,*,#192590,.T.); #251150=ORIENTED_EDGE('',*,*,#192589,.T.); #251151=ORIENTED_EDGE('',*,*,#192591,.F.); #251152=ORIENTED_EDGE('',*,*,#192530,.F.); #251153=ORIENTED_EDGE('',*,*,#192591,.T.); #251154=ORIENTED_EDGE('',*,*,#192588,.T.); #251155=ORIENTED_EDGE('',*,*,#192585,.T.); #251156=ORIENTED_EDGE('',*,*,#192582,.T.); #251157=ORIENTED_EDGE('',*,*,#192579,.T.); #251158=ORIENTED_EDGE('',*,*,#192576,.T.); #251159=ORIENTED_EDGE('',*,*,#192573,.T.); #251160=ORIENTED_EDGE('',*,*,#192570,.T.); #251161=ORIENTED_EDGE('',*,*,#192567,.T.); #251162=ORIENTED_EDGE('',*,*,#192564,.T.); #251163=ORIENTED_EDGE('',*,*,#192561,.T.); #251164=ORIENTED_EDGE('',*,*,#192558,.T.); #251165=ORIENTED_EDGE('',*,*,#192555,.T.); #251166=ORIENTED_EDGE('',*,*,#192552,.T.); #251167=ORIENTED_EDGE('',*,*,#192549,.T.); #251168=ORIENTED_EDGE('',*,*,#192546,.T.); #251169=ORIENTED_EDGE('',*,*,#192543,.T.); #251170=ORIENTED_EDGE('',*,*,#192540,.T.); #251171=ORIENTED_EDGE('',*,*,#192537,.T.); #251172=ORIENTED_EDGE('',*,*,#192534,.T.); #251173=ORIENTED_EDGE('',*,*,#192531,.T.); #251174=ORIENTED_EDGE('',*,*,#192590,.F.); #251175=ORIENTED_EDGE('',*,*,#192529,.F.); #251176=ORIENTED_EDGE('',*,*,#192533,.F.); #251177=ORIENTED_EDGE('',*,*,#192536,.F.); #251178=ORIENTED_EDGE('',*,*,#192539,.F.); #251179=ORIENTED_EDGE('',*,*,#192542,.F.); #251180=ORIENTED_EDGE('',*,*,#192545,.F.); #251181=ORIENTED_EDGE('',*,*,#192548,.F.); #251182=ORIENTED_EDGE('',*,*,#192551,.F.); #251183=ORIENTED_EDGE('',*,*,#192554,.F.); #251184=ORIENTED_EDGE('',*,*,#192557,.F.); #251185=ORIENTED_EDGE('',*,*,#192560,.F.); #251186=ORIENTED_EDGE('',*,*,#192563,.F.); #251187=ORIENTED_EDGE('',*,*,#192566,.F.); #251188=ORIENTED_EDGE('',*,*,#192569,.F.); #251189=ORIENTED_EDGE('',*,*,#192572,.F.); #251190=ORIENTED_EDGE('',*,*,#192575,.F.); #251191=ORIENTED_EDGE('',*,*,#192578,.F.); #251192=ORIENTED_EDGE('',*,*,#192581,.F.); #251193=ORIENTED_EDGE('',*,*,#192584,.F.); #251194=ORIENTED_EDGE('',*,*,#192587,.F.); #251195=ORIENTED_EDGE('',*,*,#192592,.F.); #251196=ORIENTED_EDGE('',*,*,#192593,.T.); #251197=ORIENTED_EDGE('',*,*,#192594,.F.); #251198=ORIENTED_EDGE('',*,*,#192593,.F.); #251199=ORIENTED_EDGE('',*,*,#192595,.F.); #251200=ORIENTED_EDGE('',*,*,#192596,.F.); #251201=ORIENTED_EDGE('',*,*,#192597,.F.); #251202=ORIENTED_EDGE('',*,*,#192598,.T.); #251203=ORIENTED_EDGE('',*,*,#192599,.F.); #251204=ORIENTED_EDGE('',*,*,#192598,.F.); #251205=ORIENTED_EDGE('',*,*,#192600,.F.); #251206=ORIENTED_EDGE('',*,*,#192601,.T.); #251207=ORIENTED_EDGE('',*,*,#192602,.F.); #251208=ORIENTED_EDGE('',*,*,#192601,.F.); #251209=ORIENTED_EDGE('',*,*,#192603,.F.); #251210=ORIENTED_EDGE('',*,*,#192604,.T.); #251211=ORIENTED_EDGE('',*,*,#192605,.F.); #251212=ORIENTED_EDGE('',*,*,#192604,.F.); #251213=ORIENTED_EDGE('',*,*,#192606,.F.); #251214=ORIENTED_EDGE('',*,*,#192596,.T.); #251215=ORIENTED_EDGE('',*,*,#192607,.F.); #251216=ORIENTED_EDGE('',*,*,#192608,.T.); #251217=ORIENTED_EDGE('',*,*,#192609,.F.); #251218=ORIENTED_EDGE('',*,*,#192608,.F.); #251219=ORIENTED_EDGE('',*,*,#192610,.F.); #251220=ORIENTED_EDGE('',*,*,#192611,.T.); #251221=ORIENTED_EDGE('',*,*,#192612,.F.); #251222=ORIENTED_EDGE('',*,*,#192611,.F.); #251223=ORIENTED_EDGE('',*,*,#192613,.F.); #251224=ORIENTED_EDGE('',*,*,#192614,.T.); #251225=ORIENTED_EDGE('',*,*,#192615,.F.); #251226=ORIENTED_EDGE('',*,*,#192614,.F.); #251227=ORIENTED_EDGE('',*,*,#192616,.F.); #251228=ORIENTED_EDGE('',*,*,#192617,.T.); #251229=ORIENTED_EDGE('',*,*,#192618,.F.); #251230=ORIENTED_EDGE('',*,*,#192617,.F.); #251231=ORIENTED_EDGE('',*,*,#192619,.F.); #251232=ORIENTED_EDGE('',*,*,#192620,.T.); #251233=ORIENTED_EDGE('',*,*,#192621,.F.); #251234=ORIENTED_EDGE('',*,*,#192620,.F.); #251235=ORIENTED_EDGE('',*,*,#192622,.F.); #251236=ORIENTED_EDGE('',*,*,#192623,.T.); #251237=ORIENTED_EDGE('',*,*,#192624,.F.); #251238=ORIENTED_EDGE('',*,*,#192623,.F.); #251239=ORIENTED_EDGE('',*,*,#192625,.F.); #251240=ORIENTED_EDGE('',*,*,#192626,.T.); #251241=ORIENTED_EDGE('',*,*,#192627,.F.); #251242=ORIENTED_EDGE('',*,*,#192626,.F.); #251243=ORIENTED_EDGE('',*,*,#192628,.F.); #251244=ORIENTED_EDGE('',*,*,#192629,.T.); #251245=ORIENTED_EDGE('',*,*,#192630,.F.); #251246=ORIENTED_EDGE('',*,*,#192629,.F.); #251247=ORIENTED_EDGE('',*,*,#192631,.F.); #251248=ORIENTED_EDGE('',*,*,#192632,.T.); #251249=ORIENTED_EDGE('',*,*,#192633,.F.); #251250=ORIENTED_EDGE('',*,*,#192632,.F.); #251251=ORIENTED_EDGE('',*,*,#192634,.T.); #251252=ORIENTED_EDGE('',*,*,#192635,.T.); #251253=ORIENTED_EDGE('',*,*,#192636,.F.); #251254=ORIENTED_EDGE('',*,*,#192637,.F.); #251255=ORIENTED_EDGE('',*,*,#192638,.T.); #251256=ORIENTED_EDGE('',*,*,#192637,.T.); #251257=ORIENTED_EDGE('',*,*,#192639,.F.); #251258=ORIENTED_EDGE('',*,*,#192640,.F.); #251259=ORIENTED_EDGE('',*,*,#192641,.T.); #251260=ORIENTED_EDGE('',*,*,#192640,.T.); #251261=ORIENTED_EDGE('',*,*,#192642,.F.); #251262=ORIENTED_EDGE('',*,*,#192643,.F.); #251263=ORIENTED_EDGE('',*,*,#192644,.T.); #251264=ORIENTED_EDGE('',*,*,#192643,.T.); #251265=ORIENTED_EDGE('',*,*,#192645,.F.); #251266=ORIENTED_EDGE('',*,*,#192646,.F.); #251267=ORIENTED_EDGE('',*,*,#192647,.T.); #251268=ORIENTED_EDGE('',*,*,#192646,.T.); #251269=ORIENTED_EDGE('',*,*,#192648,.F.); #251270=ORIENTED_EDGE('',*,*,#192649,.F.); #251271=ORIENTED_EDGE('',*,*,#192650,.T.); #251272=ORIENTED_EDGE('',*,*,#192649,.T.); #251273=ORIENTED_EDGE('',*,*,#192651,.F.); #251274=ORIENTED_EDGE('',*,*,#192652,.F.); #251275=ORIENTED_EDGE('',*,*,#192653,.T.); #251276=ORIENTED_EDGE('',*,*,#192652,.T.); #251277=ORIENTED_EDGE('',*,*,#192654,.F.); #251278=ORIENTED_EDGE('',*,*,#192655,.F.); #251279=ORIENTED_EDGE('',*,*,#192656,.T.); #251280=ORIENTED_EDGE('',*,*,#192655,.T.); #251281=ORIENTED_EDGE('',*,*,#192657,.F.); #251282=ORIENTED_EDGE('',*,*,#192658,.F.); #251283=ORIENTED_EDGE('',*,*,#192659,.T.); #251284=ORIENTED_EDGE('',*,*,#192658,.T.); #251285=ORIENTED_EDGE('',*,*,#192660,.F.); #251286=ORIENTED_EDGE('',*,*,#192661,.F.); #251287=ORIENTED_EDGE('',*,*,#192662,.T.); #251288=ORIENTED_EDGE('',*,*,#192661,.T.); #251289=ORIENTED_EDGE('',*,*,#192663,.F.); #251290=ORIENTED_EDGE('',*,*,#192664,.F.); #251291=ORIENTED_EDGE('',*,*,#192665,.T.); #251292=ORIENTED_EDGE('',*,*,#192664,.T.); #251293=ORIENTED_EDGE('',*,*,#192666,.F.); #251294=ORIENTED_EDGE('',*,*,#192667,.F.); #251295=ORIENTED_EDGE('',*,*,#192668,.T.); #251296=ORIENTED_EDGE('',*,*,#192667,.T.); #251297=ORIENTED_EDGE('',*,*,#192669,.F.); #251298=ORIENTED_EDGE('',*,*,#192670,.F.); #251299=ORIENTED_EDGE('',*,*,#192671,.T.); #251300=ORIENTED_EDGE('',*,*,#192670,.T.); #251301=ORIENTED_EDGE('',*,*,#192672,.F.); #251302=ORIENTED_EDGE('',*,*,#192673,.F.); #251303=ORIENTED_EDGE('',*,*,#192674,.T.); #251304=ORIENTED_EDGE('',*,*,#192673,.T.); #251305=ORIENTED_EDGE('',*,*,#192675,.F.); #251306=ORIENTED_EDGE('',*,*,#192676,.F.); #251307=ORIENTED_EDGE('',*,*,#192677,.T.); #251308=ORIENTED_EDGE('',*,*,#192676,.T.); #251309=ORIENTED_EDGE('',*,*,#192678,.F.); #251310=ORIENTED_EDGE('',*,*,#192679,.F.); #251311=ORIENTED_EDGE('',*,*,#192680,.T.); #251312=ORIENTED_EDGE('',*,*,#192679,.T.); #251313=ORIENTED_EDGE('',*,*,#192681,.F.); #251314=ORIENTED_EDGE('',*,*,#192635,.F.); #251315=ORIENTED_EDGE('',*,*,#192682,.T.); #251316=ORIENTED_EDGE('',*,*,#192683,.T.); #251317=ORIENTED_EDGE('',*,*,#192684,.F.); #251318=ORIENTED_EDGE('',*,*,#192685,.F.); #251319=ORIENTED_EDGE('',*,*,#192686,.T.); #251320=ORIENTED_EDGE('',*,*,#192685,.T.); #251321=ORIENTED_EDGE('',*,*,#192687,.F.); #251322=ORIENTED_EDGE('',*,*,#192688,.F.); #251323=ORIENTED_EDGE('',*,*,#192689,.T.); #251324=ORIENTED_EDGE('',*,*,#192688,.T.); #251325=ORIENTED_EDGE('',*,*,#192690,.F.); #251326=ORIENTED_EDGE('',*,*,#192691,.F.); #251327=ORIENTED_EDGE('',*,*,#192692,.T.); #251328=ORIENTED_EDGE('',*,*,#192691,.T.); #251329=ORIENTED_EDGE('',*,*,#192693,.F.); #251330=ORIENTED_EDGE('',*,*,#192694,.F.); #251331=ORIENTED_EDGE('',*,*,#192695,.T.); #251332=ORIENTED_EDGE('',*,*,#192694,.T.); #251333=ORIENTED_EDGE('',*,*,#192696,.F.); #251334=ORIENTED_EDGE('',*,*,#192697,.F.); #251335=ORIENTED_EDGE('',*,*,#192698,.T.); #251336=ORIENTED_EDGE('',*,*,#192697,.T.); #251337=ORIENTED_EDGE('',*,*,#192699,.F.); #251338=ORIENTED_EDGE('',*,*,#192700,.F.); #251339=ORIENTED_EDGE('',*,*,#192701,.T.); #251340=ORIENTED_EDGE('',*,*,#192700,.T.); #251341=ORIENTED_EDGE('',*,*,#192702,.F.); #251342=ORIENTED_EDGE('',*,*,#192703,.F.); #251343=ORIENTED_EDGE('',*,*,#192704,.T.); #251344=ORIENTED_EDGE('',*,*,#192703,.T.); #251345=ORIENTED_EDGE('',*,*,#192705,.F.); #251346=ORIENTED_EDGE('',*,*,#192706,.F.); #251347=ORIENTED_EDGE('',*,*,#192707,.T.); #251348=ORIENTED_EDGE('',*,*,#192706,.T.); #251349=ORIENTED_EDGE('',*,*,#192708,.F.); #251350=ORIENTED_EDGE('',*,*,#192709,.F.); #251351=ORIENTED_EDGE('',*,*,#192710,.T.); #251352=ORIENTED_EDGE('',*,*,#192709,.T.); #251353=ORIENTED_EDGE('',*,*,#192711,.F.); #251354=ORIENTED_EDGE('',*,*,#192712,.F.); #251355=ORIENTED_EDGE('',*,*,#192713,.T.); #251356=ORIENTED_EDGE('',*,*,#192712,.T.); #251357=ORIENTED_EDGE('',*,*,#192714,.F.); #251358=ORIENTED_EDGE('',*,*,#192715,.F.); #251359=ORIENTED_EDGE('',*,*,#192716,.T.); #251360=ORIENTED_EDGE('',*,*,#192715,.T.); #251361=ORIENTED_EDGE('',*,*,#192717,.F.); #251362=ORIENTED_EDGE('',*,*,#192718,.F.); #251363=ORIENTED_EDGE('',*,*,#192719,.T.); #251364=ORIENTED_EDGE('',*,*,#192718,.T.); #251365=ORIENTED_EDGE('',*,*,#192720,.F.); #251366=ORIENTED_EDGE('',*,*,#192721,.F.); #251367=ORIENTED_EDGE('',*,*,#192722,.T.); #251368=ORIENTED_EDGE('',*,*,#192721,.T.); #251369=ORIENTED_EDGE('',*,*,#192723,.F.); #251370=ORIENTED_EDGE('',*,*,#192724,.F.); #251371=ORIENTED_EDGE('',*,*,#192725,.T.); #251372=ORIENTED_EDGE('',*,*,#192724,.T.); #251373=ORIENTED_EDGE('',*,*,#192726,.F.); #251374=ORIENTED_EDGE('',*,*,#192727,.F.); #251375=ORIENTED_EDGE('',*,*,#192728,.T.); #251376=ORIENTED_EDGE('',*,*,#192727,.T.); #251377=ORIENTED_EDGE('',*,*,#192729,.F.); #251378=ORIENTED_EDGE('',*,*,#192730,.F.); #251379=ORIENTED_EDGE('',*,*,#192731,.T.); #251380=ORIENTED_EDGE('',*,*,#192730,.T.); #251381=ORIENTED_EDGE('',*,*,#192732,.F.); #251382=ORIENTED_EDGE('',*,*,#192733,.F.); #251383=ORIENTED_EDGE('',*,*,#192734,.T.); #251384=ORIENTED_EDGE('',*,*,#192733,.T.); #251385=ORIENTED_EDGE('',*,*,#192735,.F.); #251386=ORIENTED_EDGE('',*,*,#192736,.F.); #251387=ORIENTED_EDGE('',*,*,#192737,.T.); #251388=ORIENTED_EDGE('',*,*,#192736,.T.); #251389=ORIENTED_EDGE('',*,*,#192738,.F.); #251390=ORIENTED_EDGE('',*,*,#192739,.F.); #251391=ORIENTED_EDGE('',*,*,#192740,.T.); #251392=ORIENTED_EDGE('',*,*,#192739,.T.); #251393=ORIENTED_EDGE('',*,*,#192741,.F.); #251394=ORIENTED_EDGE('',*,*,#192742,.F.); #251395=ORIENTED_EDGE('',*,*,#192743,.T.); #251396=ORIENTED_EDGE('',*,*,#192742,.T.); #251397=ORIENTED_EDGE('',*,*,#192744,.F.); #251398=ORIENTED_EDGE('',*,*,#192745,.F.); #251399=ORIENTED_EDGE('',*,*,#192746,.T.); #251400=ORIENTED_EDGE('',*,*,#192745,.T.); #251401=ORIENTED_EDGE('',*,*,#192747,.F.); #251402=ORIENTED_EDGE('',*,*,#192748,.F.); #251403=ORIENTED_EDGE('',*,*,#192749,.T.); #251404=ORIENTED_EDGE('',*,*,#192748,.T.); #251405=ORIENTED_EDGE('',*,*,#192750,.F.); #251406=ORIENTED_EDGE('',*,*,#192751,.F.); #251407=ORIENTED_EDGE('',*,*,#192752,.T.); #251408=ORIENTED_EDGE('',*,*,#192751,.T.); #251409=ORIENTED_EDGE('',*,*,#192753,.F.); #251410=ORIENTED_EDGE('',*,*,#192754,.F.); #251411=ORIENTED_EDGE('',*,*,#192755,.T.); #251412=ORIENTED_EDGE('',*,*,#192754,.T.); #251413=ORIENTED_EDGE('',*,*,#192756,.F.); #251414=ORIENTED_EDGE('',*,*,#192757,.F.); #251415=ORIENTED_EDGE('',*,*,#192758,.T.); #251416=ORIENTED_EDGE('',*,*,#192757,.T.); #251417=ORIENTED_EDGE('',*,*,#192759,.F.); #251418=ORIENTED_EDGE('',*,*,#192760,.F.); #251419=ORIENTED_EDGE('',*,*,#192761,.T.); #251420=ORIENTED_EDGE('',*,*,#192760,.T.); #251421=ORIENTED_EDGE('',*,*,#192762,.F.); #251422=ORIENTED_EDGE('',*,*,#192763,.F.); #251423=ORIENTED_EDGE('',*,*,#192764,.T.); #251424=ORIENTED_EDGE('',*,*,#192763,.T.); #251425=ORIENTED_EDGE('',*,*,#192765,.F.); #251426=ORIENTED_EDGE('',*,*,#192766,.F.); #251427=ORIENTED_EDGE('',*,*,#192767,.T.); #251428=ORIENTED_EDGE('',*,*,#192766,.T.); #251429=ORIENTED_EDGE('',*,*,#192768,.F.); #251430=ORIENTED_EDGE('',*,*,#192769,.F.); #251431=ORIENTED_EDGE('',*,*,#192770,.T.); #251432=ORIENTED_EDGE('',*,*,#192769,.T.); #251433=ORIENTED_EDGE('',*,*,#192771,.F.); #251434=ORIENTED_EDGE('',*,*,#192772,.F.); #251435=ORIENTED_EDGE('',*,*,#192773,.T.); #251436=ORIENTED_EDGE('',*,*,#192772,.T.); #251437=ORIENTED_EDGE('',*,*,#192774,.F.); #251438=ORIENTED_EDGE('',*,*,#192775,.F.); #251439=ORIENTED_EDGE('',*,*,#192776,.T.); #251440=ORIENTED_EDGE('',*,*,#192775,.T.); #251441=ORIENTED_EDGE('',*,*,#192777,.F.); #251442=ORIENTED_EDGE('',*,*,#192778,.F.); #251443=ORIENTED_EDGE('',*,*,#192779,.T.); #251444=ORIENTED_EDGE('',*,*,#192778,.T.); #251445=ORIENTED_EDGE('',*,*,#192780,.F.); #251446=ORIENTED_EDGE('',*,*,#192781,.F.); #251447=ORIENTED_EDGE('',*,*,#192782,.T.); #251448=ORIENTED_EDGE('',*,*,#192781,.T.); #251449=ORIENTED_EDGE('',*,*,#192783,.F.); #251450=ORIENTED_EDGE('',*,*,#192784,.F.); #251451=ORIENTED_EDGE('',*,*,#192785,.T.); #251452=ORIENTED_EDGE('',*,*,#192784,.T.); #251453=ORIENTED_EDGE('',*,*,#192786,.F.); #251454=ORIENTED_EDGE('',*,*,#192787,.F.); #251455=ORIENTED_EDGE('',*,*,#192788,.T.); #251456=ORIENTED_EDGE('',*,*,#192787,.T.); #251457=ORIENTED_EDGE('',*,*,#192789,.F.); #251458=ORIENTED_EDGE('',*,*,#192790,.F.); #251459=ORIENTED_EDGE('',*,*,#192791,.T.); #251460=ORIENTED_EDGE('',*,*,#192790,.T.); #251461=ORIENTED_EDGE('',*,*,#192792,.F.); #251462=ORIENTED_EDGE('',*,*,#192793,.F.); #251463=ORIENTED_EDGE('',*,*,#192794,.T.); #251464=ORIENTED_EDGE('',*,*,#192793,.T.); #251465=ORIENTED_EDGE('',*,*,#192795,.F.); #251466=ORIENTED_EDGE('',*,*,#192796,.F.); #251467=ORIENTED_EDGE('',*,*,#192797,.T.); #251468=ORIENTED_EDGE('',*,*,#192796,.T.); #251469=ORIENTED_EDGE('',*,*,#192798,.F.); #251470=ORIENTED_EDGE('',*,*,#192799,.F.); #251471=ORIENTED_EDGE('',*,*,#192800,.T.); #251472=ORIENTED_EDGE('',*,*,#192799,.T.); #251473=ORIENTED_EDGE('',*,*,#192801,.F.); #251474=ORIENTED_EDGE('',*,*,#192802,.F.); #251475=ORIENTED_EDGE('',*,*,#192803,.T.); #251476=ORIENTED_EDGE('',*,*,#192802,.T.); #251477=ORIENTED_EDGE('',*,*,#192804,.F.); #251478=ORIENTED_EDGE('',*,*,#192805,.F.); #251479=ORIENTED_EDGE('',*,*,#192806,.T.); #251480=ORIENTED_EDGE('',*,*,#192805,.T.); #251481=ORIENTED_EDGE('',*,*,#192807,.F.); #251482=ORIENTED_EDGE('',*,*,#192808,.F.); #251483=ORIENTED_EDGE('',*,*,#192809,.T.); #251484=ORIENTED_EDGE('',*,*,#192808,.T.); #251485=ORIENTED_EDGE('',*,*,#192810,.F.); #251486=ORIENTED_EDGE('',*,*,#192811,.F.); #251487=ORIENTED_EDGE('',*,*,#192812,.T.); #251488=ORIENTED_EDGE('',*,*,#192811,.T.); #251489=ORIENTED_EDGE('',*,*,#192813,.F.); #251490=ORIENTED_EDGE('',*,*,#192814,.F.); #251491=ORIENTED_EDGE('',*,*,#192815,.T.); #251492=ORIENTED_EDGE('',*,*,#192814,.T.); #251493=ORIENTED_EDGE('',*,*,#192816,.F.); #251494=ORIENTED_EDGE('',*,*,#192817,.F.); #251495=ORIENTED_EDGE('',*,*,#192818,.T.); #251496=ORIENTED_EDGE('',*,*,#192817,.T.); #251497=ORIENTED_EDGE('',*,*,#192819,.F.); #251498=ORIENTED_EDGE('',*,*,#192820,.F.); #251499=ORIENTED_EDGE('',*,*,#192821,.T.); #251500=ORIENTED_EDGE('',*,*,#192820,.T.); #251501=ORIENTED_EDGE('',*,*,#192822,.F.); #251502=ORIENTED_EDGE('',*,*,#192823,.F.); #251503=ORIENTED_EDGE('',*,*,#192824,.T.); #251504=ORIENTED_EDGE('',*,*,#192823,.T.); #251505=ORIENTED_EDGE('',*,*,#192825,.F.); #251506=ORIENTED_EDGE('',*,*,#192826,.F.); #251507=ORIENTED_EDGE('',*,*,#192827,.T.); #251508=ORIENTED_EDGE('',*,*,#192826,.T.); #251509=ORIENTED_EDGE('',*,*,#192828,.F.); #251510=ORIENTED_EDGE('',*,*,#192829,.F.); #251511=ORIENTED_EDGE('',*,*,#192830,.T.); #251512=ORIENTED_EDGE('',*,*,#192829,.T.); #251513=ORIENTED_EDGE('',*,*,#192831,.F.); #251514=ORIENTED_EDGE('',*,*,#192832,.F.); #251515=ORIENTED_EDGE('',*,*,#192833,.T.); #251516=ORIENTED_EDGE('',*,*,#192832,.T.); #251517=ORIENTED_EDGE('',*,*,#192834,.F.); #251518=ORIENTED_EDGE('',*,*,#192835,.F.); #251519=ORIENTED_EDGE('',*,*,#192836,.T.); #251520=ORIENTED_EDGE('',*,*,#192835,.T.); #251521=ORIENTED_EDGE('',*,*,#192837,.F.); #251522=ORIENTED_EDGE('',*,*,#192838,.F.); #251523=ORIENTED_EDGE('',*,*,#192839,.T.); #251524=ORIENTED_EDGE('',*,*,#192838,.T.); #251525=ORIENTED_EDGE('',*,*,#192840,.F.); #251526=ORIENTED_EDGE('',*,*,#192841,.F.); #251527=ORIENTED_EDGE('',*,*,#192842,.T.); #251528=ORIENTED_EDGE('',*,*,#192841,.T.); #251529=ORIENTED_EDGE('',*,*,#192843,.F.); #251530=ORIENTED_EDGE('',*,*,#192844,.F.); #251531=ORIENTED_EDGE('',*,*,#192845,.T.); #251532=ORIENTED_EDGE('',*,*,#192844,.T.); #251533=ORIENTED_EDGE('',*,*,#192846,.F.); #251534=ORIENTED_EDGE('',*,*,#192847,.F.); #251535=ORIENTED_EDGE('',*,*,#192848,.T.); #251536=ORIENTED_EDGE('',*,*,#192847,.T.); #251537=ORIENTED_EDGE('',*,*,#192849,.F.); #251538=ORIENTED_EDGE('',*,*,#192850,.F.); #251539=ORIENTED_EDGE('',*,*,#192851,.T.); #251540=ORIENTED_EDGE('',*,*,#192850,.T.); #251541=ORIENTED_EDGE('',*,*,#192852,.F.); #251542=ORIENTED_EDGE('',*,*,#192853,.F.); #251543=ORIENTED_EDGE('',*,*,#192854,.T.); #251544=ORIENTED_EDGE('',*,*,#192853,.T.); #251545=ORIENTED_EDGE('',*,*,#192855,.F.); #251546=ORIENTED_EDGE('',*,*,#192856,.F.); #251547=ORIENTED_EDGE('',*,*,#192857,.T.); #251548=ORIENTED_EDGE('',*,*,#192856,.T.); #251549=ORIENTED_EDGE('',*,*,#192858,.F.); #251550=ORIENTED_EDGE('',*,*,#192859,.F.); #251551=ORIENTED_EDGE('',*,*,#192860,.T.); #251552=ORIENTED_EDGE('',*,*,#192859,.T.); #251553=ORIENTED_EDGE('',*,*,#192861,.F.); #251554=ORIENTED_EDGE('',*,*,#192862,.F.); #251555=ORIENTED_EDGE('',*,*,#192863,.T.); #251556=ORIENTED_EDGE('',*,*,#192862,.T.); #251557=ORIENTED_EDGE('',*,*,#192864,.F.); #251558=ORIENTED_EDGE('',*,*,#192865,.F.); #251559=ORIENTED_EDGE('',*,*,#192866,.T.); #251560=ORIENTED_EDGE('',*,*,#192865,.T.); #251561=ORIENTED_EDGE('',*,*,#192867,.F.); #251562=ORIENTED_EDGE('',*,*,#192868,.F.); #251563=ORIENTED_EDGE('',*,*,#192869,.T.); #251564=ORIENTED_EDGE('',*,*,#192868,.T.); #251565=ORIENTED_EDGE('',*,*,#192870,.F.); #251566=ORIENTED_EDGE('',*,*,#192871,.F.); #251567=ORIENTED_EDGE('',*,*,#192872,.T.); #251568=ORIENTED_EDGE('',*,*,#192871,.T.); #251569=ORIENTED_EDGE('',*,*,#192873,.F.); #251570=ORIENTED_EDGE('',*,*,#192874,.F.); #251571=ORIENTED_EDGE('',*,*,#192875,.T.); #251572=ORIENTED_EDGE('',*,*,#192874,.T.); #251573=ORIENTED_EDGE('',*,*,#192876,.F.); #251574=ORIENTED_EDGE('',*,*,#192877,.F.); #251575=ORIENTED_EDGE('',*,*,#192878,.T.); #251576=ORIENTED_EDGE('',*,*,#192877,.T.); #251577=ORIENTED_EDGE('',*,*,#192879,.F.); #251578=ORIENTED_EDGE('',*,*,#192880,.F.); #251579=ORIENTED_EDGE('',*,*,#192881,.T.); #251580=ORIENTED_EDGE('',*,*,#192880,.T.); #251581=ORIENTED_EDGE('',*,*,#192882,.F.); #251582=ORIENTED_EDGE('',*,*,#192883,.F.); #251583=ORIENTED_EDGE('',*,*,#192884,.T.); #251584=ORIENTED_EDGE('',*,*,#192883,.T.); #251585=ORIENTED_EDGE('',*,*,#192885,.F.); #251586=ORIENTED_EDGE('',*,*,#192886,.F.); #251587=ORIENTED_EDGE('',*,*,#192887,.T.); #251588=ORIENTED_EDGE('',*,*,#192886,.T.); #251589=ORIENTED_EDGE('',*,*,#192888,.F.); #251590=ORIENTED_EDGE('',*,*,#192889,.F.); #251591=ORIENTED_EDGE('',*,*,#192890,.T.); #251592=ORIENTED_EDGE('',*,*,#192889,.T.); #251593=ORIENTED_EDGE('',*,*,#192891,.F.); #251594=ORIENTED_EDGE('',*,*,#192892,.F.); #251595=ORIENTED_EDGE('',*,*,#192893,.T.); #251596=ORIENTED_EDGE('',*,*,#192892,.T.); #251597=ORIENTED_EDGE('',*,*,#192894,.F.); #251598=ORIENTED_EDGE('',*,*,#192895,.F.); #251599=ORIENTED_EDGE('',*,*,#192896,.T.); #251600=ORIENTED_EDGE('',*,*,#192895,.T.); #251601=ORIENTED_EDGE('',*,*,#192897,.F.); #251602=ORIENTED_EDGE('',*,*,#192898,.F.); #251603=ORIENTED_EDGE('',*,*,#192899,.T.); #251604=ORIENTED_EDGE('',*,*,#192898,.T.); #251605=ORIENTED_EDGE('',*,*,#192900,.F.); #251606=ORIENTED_EDGE('',*,*,#192901,.F.); #251607=ORIENTED_EDGE('',*,*,#192902,.T.); #251608=ORIENTED_EDGE('',*,*,#192901,.T.); #251609=ORIENTED_EDGE('',*,*,#192903,.F.); #251610=ORIENTED_EDGE('',*,*,#192904,.F.); #251611=ORIENTED_EDGE('',*,*,#192905,.T.); #251612=ORIENTED_EDGE('',*,*,#192904,.T.); #251613=ORIENTED_EDGE('',*,*,#192906,.F.); #251614=ORIENTED_EDGE('',*,*,#192907,.F.); #251615=ORIENTED_EDGE('',*,*,#192908,.T.); #251616=ORIENTED_EDGE('',*,*,#192907,.T.); #251617=ORIENTED_EDGE('',*,*,#192909,.F.); #251618=ORIENTED_EDGE('',*,*,#192910,.F.); #251619=ORIENTED_EDGE('',*,*,#192911,.T.); #251620=ORIENTED_EDGE('',*,*,#192910,.T.); #251621=ORIENTED_EDGE('',*,*,#192912,.F.); #251622=ORIENTED_EDGE('',*,*,#192913,.F.); #251623=ORIENTED_EDGE('',*,*,#192914,.T.); #251624=ORIENTED_EDGE('',*,*,#192913,.T.); #251625=ORIENTED_EDGE('',*,*,#192915,.F.); #251626=ORIENTED_EDGE('',*,*,#192916,.F.); #251627=ORIENTED_EDGE('',*,*,#192917,.T.); #251628=ORIENTED_EDGE('',*,*,#192916,.T.); #251629=ORIENTED_EDGE('',*,*,#192918,.F.); #251630=ORIENTED_EDGE('',*,*,#192919,.F.); #251631=ORIENTED_EDGE('',*,*,#192920,.T.); #251632=ORIENTED_EDGE('',*,*,#192919,.T.); #251633=ORIENTED_EDGE('',*,*,#192921,.F.); #251634=ORIENTED_EDGE('',*,*,#192922,.F.); #251635=ORIENTED_EDGE('',*,*,#192923,.T.); #251636=ORIENTED_EDGE('',*,*,#192922,.T.); #251637=ORIENTED_EDGE('',*,*,#192924,.F.); #251638=ORIENTED_EDGE('',*,*,#192925,.F.); #251639=ORIENTED_EDGE('',*,*,#192926,.T.); #251640=ORIENTED_EDGE('',*,*,#192925,.T.); #251641=ORIENTED_EDGE('',*,*,#192927,.F.); #251642=ORIENTED_EDGE('',*,*,#192928,.F.); #251643=ORIENTED_EDGE('',*,*,#192929,.T.); #251644=ORIENTED_EDGE('',*,*,#192928,.T.); #251645=ORIENTED_EDGE('',*,*,#192930,.F.); #251646=ORIENTED_EDGE('',*,*,#192931,.F.); #251647=ORIENTED_EDGE('',*,*,#192932,.T.); #251648=ORIENTED_EDGE('',*,*,#192931,.T.); #251649=ORIENTED_EDGE('',*,*,#192933,.F.); #251650=ORIENTED_EDGE('',*,*,#192934,.F.); #251651=ORIENTED_EDGE('',*,*,#192935,.T.); #251652=ORIENTED_EDGE('',*,*,#192934,.T.); #251653=ORIENTED_EDGE('',*,*,#192936,.F.); #251654=ORIENTED_EDGE('',*,*,#192937,.F.); #251655=ORIENTED_EDGE('',*,*,#192938,.T.); #251656=ORIENTED_EDGE('',*,*,#192937,.T.); #251657=ORIENTED_EDGE('',*,*,#192939,.F.); #251658=ORIENTED_EDGE('',*,*,#192940,.F.); #251659=ORIENTED_EDGE('',*,*,#192941,.T.); #251660=ORIENTED_EDGE('',*,*,#192940,.T.); #251661=ORIENTED_EDGE('',*,*,#192942,.F.); #251662=ORIENTED_EDGE('',*,*,#192943,.F.); #251663=ORIENTED_EDGE('',*,*,#192944,.T.); #251664=ORIENTED_EDGE('',*,*,#192943,.T.); #251665=ORIENTED_EDGE('',*,*,#192945,.F.); #251666=ORIENTED_EDGE('',*,*,#192946,.F.); #251667=ORIENTED_EDGE('',*,*,#192947,.T.); #251668=ORIENTED_EDGE('',*,*,#192946,.T.); #251669=ORIENTED_EDGE('',*,*,#192948,.F.); #251670=ORIENTED_EDGE('',*,*,#192949,.F.); #251671=ORIENTED_EDGE('',*,*,#192950,.T.); #251672=ORIENTED_EDGE('',*,*,#192949,.T.); #251673=ORIENTED_EDGE('',*,*,#192951,.F.); #251674=ORIENTED_EDGE('',*,*,#192952,.F.); #251675=ORIENTED_EDGE('',*,*,#192953,.T.); #251676=ORIENTED_EDGE('',*,*,#192952,.T.); #251677=ORIENTED_EDGE('',*,*,#192954,.F.); #251678=ORIENTED_EDGE('',*,*,#192955,.F.); #251679=ORIENTED_EDGE('',*,*,#192956,.T.); #251680=ORIENTED_EDGE('',*,*,#192955,.T.); #251681=ORIENTED_EDGE('',*,*,#192957,.F.); #251682=ORIENTED_EDGE('',*,*,#192958,.F.); #251683=ORIENTED_EDGE('',*,*,#192959,.T.); #251684=ORIENTED_EDGE('',*,*,#192958,.T.); #251685=ORIENTED_EDGE('',*,*,#192960,.F.); #251686=ORIENTED_EDGE('',*,*,#192961,.F.); #251687=ORIENTED_EDGE('',*,*,#192962,.T.); #251688=ORIENTED_EDGE('',*,*,#192961,.T.); #251689=ORIENTED_EDGE('',*,*,#192963,.F.); #251690=ORIENTED_EDGE('',*,*,#192964,.F.); #251691=ORIENTED_EDGE('',*,*,#192965,.T.); #251692=ORIENTED_EDGE('',*,*,#192964,.T.); #251693=ORIENTED_EDGE('',*,*,#192966,.F.); #251694=ORIENTED_EDGE('',*,*,#192967,.F.); #251695=ORIENTED_EDGE('',*,*,#192968,.T.); #251696=ORIENTED_EDGE('',*,*,#192967,.T.); #251697=ORIENTED_EDGE('',*,*,#192969,.F.); #251698=ORIENTED_EDGE('',*,*,#192970,.F.); #251699=ORIENTED_EDGE('',*,*,#192971,.T.); #251700=ORIENTED_EDGE('',*,*,#192970,.T.); #251701=ORIENTED_EDGE('',*,*,#192972,.F.); #251702=ORIENTED_EDGE('',*,*,#192973,.F.); #251703=ORIENTED_EDGE('',*,*,#192974,.T.); #251704=ORIENTED_EDGE('',*,*,#192973,.T.); #251705=ORIENTED_EDGE('',*,*,#192975,.F.); #251706=ORIENTED_EDGE('',*,*,#192976,.F.); #251707=ORIENTED_EDGE('',*,*,#192977,.T.); #251708=ORIENTED_EDGE('',*,*,#192976,.T.); #251709=ORIENTED_EDGE('',*,*,#192978,.F.); #251710=ORIENTED_EDGE('',*,*,#192979,.F.); #251711=ORIENTED_EDGE('',*,*,#192980,.T.); #251712=ORIENTED_EDGE('',*,*,#192979,.T.); #251713=ORIENTED_EDGE('',*,*,#192981,.F.); #251714=ORIENTED_EDGE('',*,*,#192982,.F.); #251715=ORIENTED_EDGE('',*,*,#192983,.T.); #251716=ORIENTED_EDGE('',*,*,#192982,.T.); #251717=ORIENTED_EDGE('',*,*,#192984,.F.); #251718=ORIENTED_EDGE('',*,*,#192985,.F.); #251719=ORIENTED_EDGE('',*,*,#192986,.T.); #251720=ORIENTED_EDGE('',*,*,#192985,.T.); #251721=ORIENTED_EDGE('',*,*,#192987,.F.); #251722=ORIENTED_EDGE('',*,*,#192988,.F.); #251723=ORIENTED_EDGE('',*,*,#192989,.T.); #251724=ORIENTED_EDGE('',*,*,#192988,.T.); #251725=ORIENTED_EDGE('',*,*,#192990,.F.); #251726=ORIENTED_EDGE('',*,*,#192991,.F.); #251727=ORIENTED_EDGE('',*,*,#192992,.T.); #251728=ORIENTED_EDGE('',*,*,#192991,.T.); #251729=ORIENTED_EDGE('',*,*,#192993,.F.); #251730=ORIENTED_EDGE('',*,*,#192994,.F.); #251731=ORIENTED_EDGE('',*,*,#192995,.T.); #251732=ORIENTED_EDGE('',*,*,#192994,.T.); #251733=ORIENTED_EDGE('',*,*,#192996,.F.); #251734=ORIENTED_EDGE('',*,*,#192997,.F.); #251735=ORIENTED_EDGE('',*,*,#192998,.T.); #251736=ORIENTED_EDGE('',*,*,#192997,.T.); #251737=ORIENTED_EDGE('',*,*,#192999,.F.); #251738=ORIENTED_EDGE('',*,*,#193000,.F.); #251739=ORIENTED_EDGE('',*,*,#193001,.T.); #251740=ORIENTED_EDGE('',*,*,#193000,.T.); #251741=ORIENTED_EDGE('',*,*,#193002,.F.); #251742=ORIENTED_EDGE('',*,*,#193003,.F.); #251743=ORIENTED_EDGE('',*,*,#193004,.T.); #251744=ORIENTED_EDGE('',*,*,#193003,.T.); #251745=ORIENTED_EDGE('',*,*,#193005,.F.); #251746=ORIENTED_EDGE('',*,*,#193006,.F.); #251747=ORIENTED_EDGE('',*,*,#193007,.T.); #251748=ORIENTED_EDGE('',*,*,#193006,.T.); #251749=ORIENTED_EDGE('',*,*,#193008,.F.); #251750=ORIENTED_EDGE('',*,*,#193009,.F.); #251751=ORIENTED_EDGE('',*,*,#193010,.T.); #251752=ORIENTED_EDGE('',*,*,#193009,.T.); #251753=ORIENTED_EDGE('',*,*,#193011,.F.); #251754=ORIENTED_EDGE('',*,*,#193012,.F.); #251755=ORIENTED_EDGE('',*,*,#193013,.T.); #251756=ORIENTED_EDGE('',*,*,#193012,.T.); #251757=ORIENTED_EDGE('',*,*,#193014,.F.); #251758=ORIENTED_EDGE('',*,*,#193015,.F.); #251759=ORIENTED_EDGE('',*,*,#193016,.T.); #251760=ORIENTED_EDGE('',*,*,#193015,.T.); #251761=ORIENTED_EDGE('',*,*,#193017,.F.); #251762=ORIENTED_EDGE('',*,*,#193018,.F.); #251763=ORIENTED_EDGE('',*,*,#193019,.T.); #251764=ORIENTED_EDGE('',*,*,#193018,.T.); #251765=ORIENTED_EDGE('',*,*,#193020,.F.); #251766=ORIENTED_EDGE('',*,*,#193021,.F.); #251767=ORIENTED_EDGE('',*,*,#193022,.T.); #251768=ORIENTED_EDGE('',*,*,#193021,.T.); #251769=ORIENTED_EDGE('',*,*,#193023,.F.); #251770=ORIENTED_EDGE('',*,*,#193024,.F.); #251771=ORIENTED_EDGE('',*,*,#193025,.T.); #251772=ORIENTED_EDGE('',*,*,#193024,.T.); #251773=ORIENTED_EDGE('',*,*,#193026,.F.); #251774=ORIENTED_EDGE('',*,*,#193027,.F.); #251775=ORIENTED_EDGE('',*,*,#193028,.T.); #251776=ORIENTED_EDGE('',*,*,#193027,.T.); #251777=ORIENTED_EDGE('',*,*,#193029,.F.); #251778=ORIENTED_EDGE('',*,*,#193030,.F.); #251779=ORIENTED_EDGE('',*,*,#193031,.T.); #251780=ORIENTED_EDGE('',*,*,#193030,.T.); #251781=ORIENTED_EDGE('',*,*,#193032,.F.); #251782=ORIENTED_EDGE('',*,*,#193033,.F.); #251783=ORIENTED_EDGE('',*,*,#193034,.T.); #251784=ORIENTED_EDGE('',*,*,#193033,.T.); #251785=ORIENTED_EDGE('',*,*,#193035,.F.); #251786=ORIENTED_EDGE('',*,*,#193036,.F.); #251787=ORIENTED_EDGE('',*,*,#193037,.T.); #251788=ORIENTED_EDGE('',*,*,#193036,.T.); #251789=ORIENTED_EDGE('',*,*,#193038,.F.); #251790=ORIENTED_EDGE('',*,*,#193039,.F.); #251791=ORIENTED_EDGE('',*,*,#193040,.T.); #251792=ORIENTED_EDGE('',*,*,#193039,.T.); #251793=ORIENTED_EDGE('',*,*,#193041,.F.); #251794=ORIENTED_EDGE('',*,*,#193042,.F.); #251795=ORIENTED_EDGE('',*,*,#193043,.T.); #251796=ORIENTED_EDGE('',*,*,#193042,.T.); #251797=ORIENTED_EDGE('',*,*,#193044,.F.); #251798=ORIENTED_EDGE('',*,*,#193045,.F.); #251799=ORIENTED_EDGE('',*,*,#193046,.T.); #251800=ORIENTED_EDGE('',*,*,#193045,.T.); #251801=ORIENTED_EDGE('',*,*,#193047,.F.); #251802=ORIENTED_EDGE('',*,*,#193048,.F.); #251803=ORIENTED_EDGE('',*,*,#193049,.T.); #251804=ORIENTED_EDGE('',*,*,#193048,.T.); #251805=ORIENTED_EDGE('',*,*,#193050,.F.); #251806=ORIENTED_EDGE('',*,*,#193051,.F.); #251807=ORIENTED_EDGE('',*,*,#193052,.T.); #251808=ORIENTED_EDGE('',*,*,#193051,.T.); #251809=ORIENTED_EDGE('',*,*,#193053,.F.); #251810=ORIENTED_EDGE('',*,*,#193054,.F.); #251811=ORIENTED_EDGE('',*,*,#193055,.T.); #251812=ORIENTED_EDGE('',*,*,#193054,.T.); #251813=ORIENTED_EDGE('',*,*,#193056,.F.); #251814=ORIENTED_EDGE('',*,*,#193057,.F.); #251815=ORIENTED_EDGE('',*,*,#193058,.T.); #251816=ORIENTED_EDGE('',*,*,#193057,.T.); #251817=ORIENTED_EDGE('',*,*,#193059,.F.); #251818=ORIENTED_EDGE('',*,*,#193060,.F.); #251819=ORIENTED_EDGE('',*,*,#193061,.T.); #251820=ORIENTED_EDGE('',*,*,#193060,.T.); #251821=ORIENTED_EDGE('',*,*,#193062,.F.); #251822=ORIENTED_EDGE('',*,*,#193063,.F.); #251823=ORIENTED_EDGE('',*,*,#193064,.T.); #251824=ORIENTED_EDGE('',*,*,#193063,.T.); #251825=ORIENTED_EDGE('',*,*,#193065,.F.); #251826=ORIENTED_EDGE('',*,*,#193066,.F.); #251827=ORIENTED_EDGE('',*,*,#193067,.T.); #251828=ORIENTED_EDGE('',*,*,#193066,.T.); #251829=ORIENTED_EDGE('',*,*,#193068,.F.); #251830=ORIENTED_EDGE('',*,*,#193069,.F.); #251831=ORIENTED_EDGE('',*,*,#193070,.T.); #251832=ORIENTED_EDGE('',*,*,#193069,.T.); #251833=ORIENTED_EDGE('',*,*,#193071,.F.); #251834=ORIENTED_EDGE('',*,*,#193072,.F.); #251835=ORIENTED_EDGE('',*,*,#193073,.T.); #251836=ORIENTED_EDGE('',*,*,#193072,.T.); #251837=ORIENTED_EDGE('',*,*,#193074,.F.); #251838=ORIENTED_EDGE('',*,*,#193075,.F.); #251839=ORIENTED_EDGE('',*,*,#193076,.T.); #251840=ORIENTED_EDGE('',*,*,#193075,.T.); #251841=ORIENTED_EDGE('',*,*,#193077,.F.); #251842=ORIENTED_EDGE('',*,*,#193078,.F.); #251843=ORIENTED_EDGE('',*,*,#193079,.T.); #251844=ORIENTED_EDGE('',*,*,#193078,.T.); #251845=ORIENTED_EDGE('',*,*,#193080,.F.); #251846=ORIENTED_EDGE('',*,*,#193081,.F.); #251847=ORIENTED_EDGE('',*,*,#193082,.T.); #251848=ORIENTED_EDGE('',*,*,#193081,.T.); #251849=ORIENTED_EDGE('',*,*,#193083,.F.); #251850=ORIENTED_EDGE('',*,*,#193084,.F.); #251851=ORIENTED_EDGE('',*,*,#193085,.T.); #251852=ORIENTED_EDGE('',*,*,#193084,.T.); #251853=ORIENTED_EDGE('',*,*,#193086,.F.); #251854=ORIENTED_EDGE('',*,*,#193087,.F.); #251855=ORIENTED_EDGE('',*,*,#193088,.T.); #251856=ORIENTED_EDGE('',*,*,#193087,.T.); #251857=ORIENTED_EDGE('',*,*,#193089,.F.); #251858=ORIENTED_EDGE('',*,*,#193090,.F.); #251859=ORIENTED_EDGE('',*,*,#193091,.T.); #251860=ORIENTED_EDGE('',*,*,#193090,.T.); #251861=ORIENTED_EDGE('',*,*,#193092,.F.); #251862=ORIENTED_EDGE('',*,*,#193093,.F.); #251863=ORIENTED_EDGE('',*,*,#193094,.T.); #251864=ORIENTED_EDGE('',*,*,#193093,.T.); #251865=ORIENTED_EDGE('',*,*,#193095,.F.); #251866=ORIENTED_EDGE('',*,*,#193096,.F.); #251867=ORIENTED_EDGE('',*,*,#193097,.T.); #251868=ORIENTED_EDGE('',*,*,#193096,.T.); #251869=ORIENTED_EDGE('',*,*,#193098,.F.); #251870=ORIENTED_EDGE('',*,*,#193099,.F.); #251871=ORIENTED_EDGE('',*,*,#193100,.T.); #251872=ORIENTED_EDGE('',*,*,#193099,.T.); #251873=ORIENTED_EDGE('',*,*,#193101,.F.); #251874=ORIENTED_EDGE('',*,*,#193102,.F.); #251875=ORIENTED_EDGE('',*,*,#193103,.T.); #251876=ORIENTED_EDGE('',*,*,#193102,.T.); #251877=ORIENTED_EDGE('',*,*,#193104,.F.); #251878=ORIENTED_EDGE('',*,*,#193105,.F.); #251879=ORIENTED_EDGE('',*,*,#193106,.T.); #251880=ORIENTED_EDGE('',*,*,#193105,.T.); #251881=ORIENTED_EDGE('',*,*,#193107,.F.); #251882=ORIENTED_EDGE('',*,*,#193108,.F.); #251883=ORIENTED_EDGE('',*,*,#193109,.T.); #251884=ORIENTED_EDGE('',*,*,#193108,.T.); #251885=ORIENTED_EDGE('',*,*,#193110,.F.); #251886=ORIENTED_EDGE('',*,*,#193111,.F.); #251887=ORIENTED_EDGE('',*,*,#193112,.T.); #251888=ORIENTED_EDGE('',*,*,#193111,.T.); #251889=ORIENTED_EDGE('',*,*,#193113,.F.); #251890=ORIENTED_EDGE('',*,*,#193114,.F.); #251891=ORIENTED_EDGE('',*,*,#193115,.T.); #251892=ORIENTED_EDGE('',*,*,#193114,.T.); #251893=ORIENTED_EDGE('',*,*,#193116,.F.); #251894=ORIENTED_EDGE('',*,*,#193117,.F.); #251895=ORIENTED_EDGE('',*,*,#193118,.T.); #251896=ORIENTED_EDGE('',*,*,#193117,.T.); #251897=ORIENTED_EDGE('',*,*,#193119,.F.); #251898=ORIENTED_EDGE('',*,*,#193120,.F.); #251899=ORIENTED_EDGE('',*,*,#193121,.T.); #251900=ORIENTED_EDGE('',*,*,#193120,.T.); #251901=ORIENTED_EDGE('',*,*,#193122,.F.); #251902=ORIENTED_EDGE('',*,*,#193123,.F.); #251903=ORIENTED_EDGE('',*,*,#193124,.T.); #251904=ORIENTED_EDGE('',*,*,#193123,.T.); #251905=ORIENTED_EDGE('',*,*,#193125,.F.); #251906=ORIENTED_EDGE('',*,*,#193126,.F.); #251907=ORIENTED_EDGE('',*,*,#193127,.T.); #251908=ORIENTED_EDGE('',*,*,#193126,.T.); #251909=ORIENTED_EDGE('',*,*,#193128,.F.); #251910=ORIENTED_EDGE('',*,*,#193129,.F.); #251911=ORIENTED_EDGE('',*,*,#193130,.T.); #251912=ORIENTED_EDGE('',*,*,#193129,.T.); #251913=ORIENTED_EDGE('',*,*,#193131,.F.); #251914=ORIENTED_EDGE('',*,*,#193132,.F.); #251915=ORIENTED_EDGE('',*,*,#193133,.T.); #251916=ORIENTED_EDGE('',*,*,#193132,.T.); #251917=ORIENTED_EDGE('',*,*,#193134,.F.); #251918=ORIENTED_EDGE('',*,*,#193135,.F.); #251919=ORIENTED_EDGE('',*,*,#193136,.T.); #251920=ORIENTED_EDGE('',*,*,#193135,.T.); #251921=ORIENTED_EDGE('',*,*,#193137,.F.); #251922=ORIENTED_EDGE('',*,*,#193138,.F.); #251923=ORIENTED_EDGE('',*,*,#193139,.T.); #251924=ORIENTED_EDGE('',*,*,#193138,.T.); #251925=ORIENTED_EDGE('',*,*,#193140,.F.); #251926=ORIENTED_EDGE('',*,*,#193141,.F.); #251927=ORIENTED_EDGE('',*,*,#193142,.T.); #251928=ORIENTED_EDGE('',*,*,#193141,.T.); #251929=ORIENTED_EDGE('',*,*,#193143,.F.); #251930=ORIENTED_EDGE('',*,*,#193144,.F.); #251931=ORIENTED_EDGE('',*,*,#193145,.T.); #251932=ORIENTED_EDGE('',*,*,#193144,.T.); #251933=ORIENTED_EDGE('',*,*,#193146,.F.); #251934=ORIENTED_EDGE('',*,*,#193147,.F.); #251935=ORIENTED_EDGE('',*,*,#193148,.T.); #251936=ORIENTED_EDGE('',*,*,#193147,.T.); #251937=ORIENTED_EDGE('',*,*,#193149,.F.); #251938=ORIENTED_EDGE('',*,*,#193150,.F.); #251939=ORIENTED_EDGE('',*,*,#193151,.T.); #251940=ORIENTED_EDGE('',*,*,#193150,.T.); #251941=ORIENTED_EDGE('',*,*,#193152,.F.); #251942=ORIENTED_EDGE('',*,*,#193153,.F.); #251943=ORIENTED_EDGE('',*,*,#193154,.T.); #251944=ORIENTED_EDGE('',*,*,#193153,.T.); #251945=ORIENTED_EDGE('',*,*,#193155,.F.); #251946=ORIENTED_EDGE('',*,*,#193156,.F.); #251947=ORIENTED_EDGE('',*,*,#193157,.T.); #251948=ORIENTED_EDGE('',*,*,#193156,.T.); #251949=ORIENTED_EDGE('',*,*,#193158,.F.); #251950=ORIENTED_EDGE('',*,*,#193159,.F.); #251951=ORIENTED_EDGE('',*,*,#193160,.T.); #251952=ORIENTED_EDGE('',*,*,#193159,.T.); #251953=ORIENTED_EDGE('',*,*,#193161,.F.); #251954=ORIENTED_EDGE('',*,*,#193162,.F.); #251955=ORIENTED_EDGE('',*,*,#193163,.T.); #251956=ORIENTED_EDGE('',*,*,#193162,.T.); #251957=ORIENTED_EDGE('',*,*,#193164,.F.); #251958=ORIENTED_EDGE('',*,*,#193165,.F.); #251959=ORIENTED_EDGE('',*,*,#193166,.T.); #251960=ORIENTED_EDGE('',*,*,#193165,.T.); #251961=ORIENTED_EDGE('',*,*,#193167,.F.); #251962=ORIENTED_EDGE('',*,*,#193168,.F.); #251963=ORIENTED_EDGE('',*,*,#193169,.T.); #251964=ORIENTED_EDGE('',*,*,#193168,.T.); #251965=ORIENTED_EDGE('',*,*,#193170,.F.); #251966=ORIENTED_EDGE('',*,*,#193171,.F.); #251967=ORIENTED_EDGE('',*,*,#193172,.T.); #251968=ORIENTED_EDGE('',*,*,#193171,.T.); #251969=ORIENTED_EDGE('',*,*,#193173,.F.); #251970=ORIENTED_EDGE('',*,*,#193174,.F.); #251971=ORIENTED_EDGE('',*,*,#193175,.T.); #251972=ORIENTED_EDGE('',*,*,#193174,.T.); #251973=ORIENTED_EDGE('',*,*,#193176,.F.); #251974=ORIENTED_EDGE('',*,*,#193177,.F.); #251975=ORIENTED_EDGE('',*,*,#193178,.T.); #251976=ORIENTED_EDGE('',*,*,#193177,.T.); #251977=ORIENTED_EDGE('',*,*,#193179,.F.); #251978=ORIENTED_EDGE('',*,*,#193180,.F.); #251979=ORIENTED_EDGE('',*,*,#193181,.T.); #251980=ORIENTED_EDGE('',*,*,#193180,.T.); #251981=ORIENTED_EDGE('',*,*,#193182,.F.); #251982=ORIENTED_EDGE('',*,*,#193183,.F.); #251983=ORIENTED_EDGE('',*,*,#193184,.T.); #251984=ORIENTED_EDGE('',*,*,#193183,.T.); #251985=ORIENTED_EDGE('',*,*,#193185,.F.); #251986=ORIENTED_EDGE('',*,*,#193186,.F.); #251987=ORIENTED_EDGE('',*,*,#193187,.T.); #251988=ORIENTED_EDGE('',*,*,#193186,.T.); #251989=ORIENTED_EDGE('',*,*,#193188,.F.); #251990=ORIENTED_EDGE('',*,*,#193189,.F.); #251991=ORIENTED_EDGE('',*,*,#193190,.T.); #251992=ORIENTED_EDGE('',*,*,#193189,.T.); #251993=ORIENTED_EDGE('',*,*,#193191,.F.); #251994=ORIENTED_EDGE('',*,*,#193192,.F.); #251995=ORIENTED_EDGE('',*,*,#193193,.T.); #251996=ORIENTED_EDGE('',*,*,#193192,.T.); #251997=ORIENTED_EDGE('',*,*,#193194,.F.); #251998=ORIENTED_EDGE('',*,*,#193195,.F.); #251999=ORIENTED_EDGE('',*,*,#193196,.T.); #252000=ORIENTED_EDGE('',*,*,#193195,.T.); #252001=ORIENTED_EDGE('',*,*,#193197,.F.); #252002=ORIENTED_EDGE('',*,*,#193198,.F.); #252003=ORIENTED_EDGE('',*,*,#193199,.T.); #252004=ORIENTED_EDGE('',*,*,#193198,.T.); #252005=ORIENTED_EDGE('',*,*,#193200,.F.); #252006=ORIENTED_EDGE('',*,*,#193201,.F.); #252007=ORIENTED_EDGE('',*,*,#193202,.T.); #252008=ORIENTED_EDGE('',*,*,#193201,.T.); #252009=ORIENTED_EDGE('',*,*,#193203,.F.); #252010=ORIENTED_EDGE('',*,*,#193204,.F.); #252011=ORIENTED_EDGE('',*,*,#193205,.T.); #252012=ORIENTED_EDGE('',*,*,#193204,.T.); #252013=ORIENTED_EDGE('',*,*,#193206,.F.); #252014=ORIENTED_EDGE('',*,*,#193207,.F.); #252015=ORIENTED_EDGE('',*,*,#193208,.T.); #252016=ORIENTED_EDGE('',*,*,#193207,.T.); #252017=ORIENTED_EDGE('',*,*,#193209,.F.); #252018=ORIENTED_EDGE('',*,*,#193210,.F.); #252019=ORIENTED_EDGE('',*,*,#193211,.T.); #252020=ORIENTED_EDGE('',*,*,#193210,.T.); #252021=ORIENTED_EDGE('',*,*,#193212,.F.); #252022=ORIENTED_EDGE('',*,*,#193213,.F.); #252023=ORIENTED_EDGE('',*,*,#193214,.T.); #252024=ORIENTED_EDGE('',*,*,#193213,.T.); #252025=ORIENTED_EDGE('',*,*,#193215,.F.); #252026=ORIENTED_EDGE('',*,*,#193216,.F.); #252027=ORIENTED_EDGE('',*,*,#193217,.T.); #252028=ORIENTED_EDGE('',*,*,#193216,.T.); #252029=ORIENTED_EDGE('',*,*,#193218,.F.); #252030=ORIENTED_EDGE('',*,*,#193219,.F.); #252031=ORIENTED_EDGE('',*,*,#193220,.T.); #252032=ORIENTED_EDGE('',*,*,#193219,.T.); #252033=ORIENTED_EDGE('',*,*,#193221,.F.); #252034=ORIENTED_EDGE('',*,*,#193222,.F.); #252035=ORIENTED_EDGE('',*,*,#193223,.T.); #252036=ORIENTED_EDGE('',*,*,#193222,.T.); #252037=ORIENTED_EDGE('',*,*,#193224,.F.); #252038=ORIENTED_EDGE('',*,*,#193225,.F.); #252039=ORIENTED_EDGE('',*,*,#193226,.T.); #252040=ORIENTED_EDGE('',*,*,#193225,.T.); #252041=ORIENTED_EDGE('',*,*,#193227,.F.); #252042=ORIENTED_EDGE('',*,*,#193228,.F.); #252043=ORIENTED_EDGE('',*,*,#193229,.T.); #252044=ORIENTED_EDGE('',*,*,#193228,.T.); #252045=ORIENTED_EDGE('',*,*,#193230,.F.); #252046=ORIENTED_EDGE('',*,*,#193231,.F.); #252047=ORIENTED_EDGE('',*,*,#193232,.T.); #252048=ORIENTED_EDGE('',*,*,#193231,.T.); #252049=ORIENTED_EDGE('',*,*,#193233,.F.); #252050=ORIENTED_EDGE('',*,*,#193234,.F.); #252051=ORIENTED_EDGE('',*,*,#193235,.T.); #252052=ORIENTED_EDGE('',*,*,#193234,.T.); #252053=ORIENTED_EDGE('',*,*,#193236,.F.); #252054=ORIENTED_EDGE('',*,*,#193237,.F.); #252055=ORIENTED_EDGE('',*,*,#193238,.T.); #252056=ORIENTED_EDGE('',*,*,#193237,.T.); #252057=ORIENTED_EDGE('',*,*,#193239,.F.); #252058=ORIENTED_EDGE('',*,*,#193240,.F.); #252059=ORIENTED_EDGE('',*,*,#193241,.T.); #252060=ORIENTED_EDGE('',*,*,#193240,.T.); #252061=ORIENTED_EDGE('',*,*,#193242,.F.); #252062=ORIENTED_EDGE('',*,*,#193243,.F.); #252063=ORIENTED_EDGE('',*,*,#193244,.T.); #252064=ORIENTED_EDGE('',*,*,#193243,.T.); #252065=ORIENTED_EDGE('',*,*,#193245,.F.); #252066=ORIENTED_EDGE('',*,*,#193246,.F.); #252067=ORIENTED_EDGE('',*,*,#193247,.T.); #252068=ORIENTED_EDGE('',*,*,#193246,.T.); #252069=ORIENTED_EDGE('',*,*,#193248,.F.); #252070=ORIENTED_EDGE('',*,*,#193249,.F.); #252071=ORIENTED_EDGE('',*,*,#193250,.T.); #252072=ORIENTED_EDGE('',*,*,#193249,.T.); #252073=ORIENTED_EDGE('',*,*,#193251,.F.); #252074=ORIENTED_EDGE('',*,*,#193252,.F.); #252075=ORIENTED_EDGE('',*,*,#193253,.T.); #252076=ORIENTED_EDGE('',*,*,#193252,.T.); #252077=ORIENTED_EDGE('',*,*,#193254,.F.); #252078=ORIENTED_EDGE('',*,*,#193255,.F.); #252079=ORIENTED_EDGE('',*,*,#193256,.T.); #252080=ORIENTED_EDGE('',*,*,#193255,.T.); #252081=ORIENTED_EDGE('',*,*,#193257,.F.); #252082=ORIENTED_EDGE('',*,*,#193258,.F.); #252083=ORIENTED_EDGE('',*,*,#193259,.T.); #252084=ORIENTED_EDGE('',*,*,#193258,.T.); #252085=ORIENTED_EDGE('',*,*,#193260,.F.); #252086=ORIENTED_EDGE('',*,*,#193261,.F.); #252087=ORIENTED_EDGE('',*,*,#193262,.T.); #252088=ORIENTED_EDGE('',*,*,#193261,.T.); #252089=ORIENTED_EDGE('',*,*,#193263,.F.); #252090=ORIENTED_EDGE('',*,*,#193264,.F.); #252091=ORIENTED_EDGE('',*,*,#193265,.T.); #252092=ORIENTED_EDGE('',*,*,#193264,.T.); #252093=ORIENTED_EDGE('',*,*,#193266,.F.); #252094=ORIENTED_EDGE('',*,*,#193267,.F.); #252095=ORIENTED_EDGE('',*,*,#193268,.T.); #252096=ORIENTED_EDGE('',*,*,#193267,.T.); #252097=ORIENTED_EDGE('',*,*,#193269,.F.); #252098=ORIENTED_EDGE('',*,*,#193270,.F.); #252099=ORIENTED_EDGE('',*,*,#193271,.T.); #252100=ORIENTED_EDGE('',*,*,#193270,.T.); #252101=ORIENTED_EDGE('',*,*,#193272,.F.); #252102=ORIENTED_EDGE('',*,*,#193273,.F.); #252103=ORIENTED_EDGE('',*,*,#193274,.T.); #252104=ORIENTED_EDGE('',*,*,#193273,.T.); #252105=ORIENTED_EDGE('',*,*,#193275,.F.); #252106=ORIENTED_EDGE('',*,*,#193276,.F.); #252107=ORIENTED_EDGE('',*,*,#193277,.T.); #252108=ORIENTED_EDGE('',*,*,#193276,.T.); #252109=ORIENTED_EDGE('',*,*,#193278,.F.); #252110=ORIENTED_EDGE('',*,*,#193279,.F.); #252111=ORIENTED_EDGE('',*,*,#193280,.T.); #252112=ORIENTED_EDGE('',*,*,#193279,.T.); #252113=ORIENTED_EDGE('',*,*,#193281,.F.); #252114=ORIENTED_EDGE('',*,*,#193282,.F.); #252115=ORIENTED_EDGE('',*,*,#193283,.T.); #252116=ORIENTED_EDGE('',*,*,#193282,.T.); #252117=ORIENTED_EDGE('',*,*,#193284,.F.); #252118=ORIENTED_EDGE('',*,*,#193285,.F.); #252119=ORIENTED_EDGE('',*,*,#193286,.T.); #252120=ORIENTED_EDGE('',*,*,#193285,.T.); #252121=ORIENTED_EDGE('',*,*,#193287,.F.); #252122=ORIENTED_EDGE('',*,*,#193288,.F.); #252123=ORIENTED_EDGE('',*,*,#193289,.T.); #252124=ORIENTED_EDGE('',*,*,#193288,.T.); #252125=ORIENTED_EDGE('',*,*,#193290,.F.); #252126=ORIENTED_EDGE('',*,*,#193291,.F.); #252127=ORIENTED_EDGE('',*,*,#193292,.T.); #252128=ORIENTED_EDGE('',*,*,#193291,.T.); #252129=ORIENTED_EDGE('',*,*,#193293,.F.); #252130=ORIENTED_EDGE('',*,*,#193294,.F.); #252131=ORIENTED_EDGE('',*,*,#193295,.T.); #252132=ORIENTED_EDGE('',*,*,#193294,.T.); #252133=ORIENTED_EDGE('',*,*,#193296,.F.); #252134=ORIENTED_EDGE('',*,*,#193297,.F.); #252135=ORIENTED_EDGE('',*,*,#193298,.T.); #252136=ORIENTED_EDGE('',*,*,#193297,.T.); #252137=ORIENTED_EDGE('',*,*,#193299,.F.); #252138=ORIENTED_EDGE('',*,*,#193300,.F.); #252139=ORIENTED_EDGE('',*,*,#193301,.T.); #252140=ORIENTED_EDGE('',*,*,#193300,.T.); #252141=ORIENTED_EDGE('',*,*,#193302,.F.); #252142=ORIENTED_EDGE('',*,*,#193303,.F.); #252143=ORIENTED_EDGE('',*,*,#193304,.T.); #252144=ORIENTED_EDGE('',*,*,#193303,.T.); #252145=ORIENTED_EDGE('',*,*,#193305,.F.); #252146=ORIENTED_EDGE('',*,*,#193306,.F.); #252147=ORIENTED_EDGE('',*,*,#193307,.T.); #252148=ORIENTED_EDGE('',*,*,#193306,.T.); #252149=ORIENTED_EDGE('',*,*,#193308,.F.); #252150=ORIENTED_EDGE('',*,*,#193309,.F.); #252151=ORIENTED_EDGE('',*,*,#193310,.T.); #252152=ORIENTED_EDGE('',*,*,#193309,.T.); #252153=ORIENTED_EDGE('',*,*,#193311,.F.); #252154=ORIENTED_EDGE('',*,*,#193312,.F.); #252155=ORIENTED_EDGE('',*,*,#193313,.T.); #252156=ORIENTED_EDGE('',*,*,#193312,.T.); #252157=ORIENTED_EDGE('',*,*,#193314,.F.); #252158=ORIENTED_EDGE('',*,*,#192683,.F.); #252159=ORIENTED_EDGE('',*,*,#193314,.T.); #252160=ORIENTED_EDGE('',*,*,#193311,.T.); #252161=ORIENTED_EDGE('',*,*,#193308,.T.); #252162=ORIENTED_EDGE('',*,*,#193305,.T.); #252163=ORIENTED_EDGE('',*,*,#193302,.T.); #252164=ORIENTED_EDGE('',*,*,#193299,.T.); #252165=ORIENTED_EDGE('',*,*,#193296,.T.); #252166=ORIENTED_EDGE('',*,*,#193293,.T.); #252167=ORIENTED_EDGE('',*,*,#193290,.T.); #252168=ORIENTED_EDGE('',*,*,#193287,.T.); #252169=ORIENTED_EDGE('',*,*,#193284,.T.); #252170=ORIENTED_EDGE('',*,*,#193281,.T.); #252171=ORIENTED_EDGE('',*,*,#193278,.T.); #252172=ORIENTED_EDGE('',*,*,#193275,.T.); #252173=ORIENTED_EDGE('',*,*,#193272,.T.); #252174=ORIENTED_EDGE('',*,*,#193269,.T.); #252175=ORIENTED_EDGE('',*,*,#193266,.T.); #252176=ORIENTED_EDGE('',*,*,#193263,.T.); #252177=ORIENTED_EDGE('',*,*,#193260,.T.); #252178=ORIENTED_EDGE('',*,*,#193257,.T.); #252179=ORIENTED_EDGE('',*,*,#193254,.T.); #252180=ORIENTED_EDGE('',*,*,#193251,.T.); #252181=ORIENTED_EDGE('',*,*,#193248,.T.); #252182=ORIENTED_EDGE('',*,*,#193245,.T.); #252183=ORIENTED_EDGE('',*,*,#193242,.T.); #252184=ORIENTED_EDGE('',*,*,#193239,.T.); #252185=ORIENTED_EDGE('',*,*,#193236,.T.); #252186=ORIENTED_EDGE('',*,*,#193233,.T.); #252187=ORIENTED_EDGE('',*,*,#193230,.T.); #252188=ORIENTED_EDGE('',*,*,#193227,.T.); #252189=ORIENTED_EDGE('',*,*,#193224,.T.); #252190=ORIENTED_EDGE('',*,*,#193221,.T.); #252191=ORIENTED_EDGE('',*,*,#193218,.T.); #252192=ORIENTED_EDGE('',*,*,#193215,.T.); #252193=ORIENTED_EDGE('',*,*,#193212,.T.); #252194=ORIENTED_EDGE('',*,*,#193209,.T.); #252195=ORIENTED_EDGE('',*,*,#193206,.T.); #252196=ORIENTED_EDGE('',*,*,#193203,.T.); #252197=ORIENTED_EDGE('',*,*,#193200,.T.); #252198=ORIENTED_EDGE('',*,*,#193197,.T.); #252199=ORIENTED_EDGE('',*,*,#193194,.T.); #252200=ORIENTED_EDGE('',*,*,#193191,.T.); #252201=ORIENTED_EDGE('',*,*,#193188,.T.); #252202=ORIENTED_EDGE('',*,*,#193185,.T.); #252203=ORIENTED_EDGE('',*,*,#193182,.T.); #252204=ORIENTED_EDGE('',*,*,#193179,.T.); #252205=ORIENTED_EDGE('',*,*,#193176,.T.); #252206=ORIENTED_EDGE('',*,*,#193173,.T.); #252207=ORIENTED_EDGE('',*,*,#193170,.T.); #252208=ORIENTED_EDGE('',*,*,#193167,.T.); #252209=ORIENTED_EDGE('',*,*,#193164,.T.); #252210=ORIENTED_EDGE('',*,*,#193161,.T.); #252211=ORIENTED_EDGE('',*,*,#193158,.T.); #252212=ORIENTED_EDGE('',*,*,#193155,.T.); #252213=ORIENTED_EDGE('',*,*,#193152,.T.); #252214=ORIENTED_EDGE('',*,*,#193149,.T.); #252215=ORIENTED_EDGE('',*,*,#193146,.T.); #252216=ORIENTED_EDGE('',*,*,#193143,.T.); #252217=ORIENTED_EDGE('',*,*,#193140,.T.); #252218=ORIENTED_EDGE('',*,*,#193137,.T.); #252219=ORIENTED_EDGE('',*,*,#193134,.T.); #252220=ORIENTED_EDGE('',*,*,#193131,.T.); #252221=ORIENTED_EDGE('',*,*,#193128,.T.); #252222=ORIENTED_EDGE('',*,*,#193125,.T.); #252223=ORIENTED_EDGE('',*,*,#193122,.T.); #252224=ORIENTED_EDGE('',*,*,#193119,.T.); #252225=ORIENTED_EDGE('',*,*,#193116,.T.); #252226=ORIENTED_EDGE('',*,*,#193113,.T.); #252227=ORIENTED_EDGE('',*,*,#193110,.T.); #252228=ORIENTED_EDGE('',*,*,#193107,.T.); #252229=ORIENTED_EDGE('',*,*,#193104,.T.); #252230=ORIENTED_EDGE('',*,*,#193101,.T.); #252231=ORIENTED_EDGE('',*,*,#193098,.T.); #252232=ORIENTED_EDGE('',*,*,#193095,.T.); #252233=ORIENTED_EDGE('',*,*,#193092,.T.); #252234=ORIENTED_EDGE('',*,*,#193089,.T.); #252235=ORIENTED_EDGE('',*,*,#193086,.T.); #252236=ORIENTED_EDGE('',*,*,#193083,.T.); #252237=ORIENTED_EDGE('',*,*,#193080,.T.); #252238=ORIENTED_EDGE('',*,*,#193077,.T.); #252239=ORIENTED_EDGE('',*,*,#193074,.T.); #252240=ORIENTED_EDGE('',*,*,#193071,.T.); #252241=ORIENTED_EDGE('',*,*,#193068,.T.); #252242=ORIENTED_EDGE('',*,*,#193065,.T.); #252243=ORIENTED_EDGE('',*,*,#193062,.T.); #252244=ORIENTED_EDGE('',*,*,#193059,.T.); #252245=ORIENTED_EDGE('',*,*,#193056,.T.); #252246=ORIENTED_EDGE('',*,*,#193053,.T.); #252247=ORIENTED_EDGE('',*,*,#193050,.T.); #252248=ORIENTED_EDGE('',*,*,#193047,.T.); #252249=ORIENTED_EDGE('',*,*,#193044,.T.); #252250=ORIENTED_EDGE('',*,*,#193041,.T.); #252251=ORIENTED_EDGE('',*,*,#193038,.T.); #252252=ORIENTED_EDGE('',*,*,#193035,.T.); #252253=ORIENTED_EDGE('',*,*,#193032,.T.); #252254=ORIENTED_EDGE('',*,*,#193029,.T.); #252255=ORIENTED_EDGE('',*,*,#193026,.T.); #252256=ORIENTED_EDGE('',*,*,#193023,.T.); #252257=ORIENTED_EDGE('',*,*,#193020,.T.); #252258=ORIENTED_EDGE('',*,*,#193017,.T.); #252259=ORIENTED_EDGE('',*,*,#193014,.T.); #252260=ORIENTED_EDGE('',*,*,#193011,.T.); #252261=ORIENTED_EDGE('',*,*,#193008,.T.); #252262=ORIENTED_EDGE('',*,*,#193005,.T.); #252263=ORIENTED_EDGE('',*,*,#193002,.T.); #252264=ORIENTED_EDGE('',*,*,#192999,.T.); #252265=ORIENTED_EDGE('',*,*,#192996,.T.); #252266=ORIENTED_EDGE('',*,*,#192993,.T.); #252267=ORIENTED_EDGE('',*,*,#192990,.T.); #252268=ORIENTED_EDGE('',*,*,#192987,.T.); #252269=ORIENTED_EDGE('',*,*,#192984,.T.); #252270=ORIENTED_EDGE('',*,*,#192981,.T.); #252271=ORIENTED_EDGE('',*,*,#192978,.T.); #252272=ORIENTED_EDGE('',*,*,#192975,.T.); #252273=ORIENTED_EDGE('',*,*,#192972,.T.); #252274=ORIENTED_EDGE('',*,*,#192969,.T.); #252275=ORIENTED_EDGE('',*,*,#192966,.T.); #252276=ORIENTED_EDGE('',*,*,#192963,.T.); #252277=ORIENTED_EDGE('',*,*,#192960,.T.); #252278=ORIENTED_EDGE('',*,*,#192957,.T.); #252279=ORIENTED_EDGE('',*,*,#192954,.T.); #252280=ORIENTED_EDGE('',*,*,#192951,.T.); #252281=ORIENTED_EDGE('',*,*,#192948,.T.); #252282=ORIENTED_EDGE('',*,*,#192945,.T.); #252283=ORIENTED_EDGE('',*,*,#192942,.T.); #252284=ORIENTED_EDGE('',*,*,#192939,.T.); #252285=ORIENTED_EDGE('',*,*,#192936,.T.); #252286=ORIENTED_EDGE('',*,*,#192933,.T.); #252287=ORIENTED_EDGE('',*,*,#192930,.T.); #252288=ORIENTED_EDGE('',*,*,#192927,.T.); #252289=ORIENTED_EDGE('',*,*,#192924,.T.); #252290=ORIENTED_EDGE('',*,*,#192921,.T.); #252291=ORIENTED_EDGE('',*,*,#192918,.T.); #252292=ORIENTED_EDGE('',*,*,#192915,.T.); #252293=ORIENTED_EDGE('',*,*,#192912,.T.); #252294=ORIENTED_EDGE('',*,*,#192909,.T.); #252295=ORIENTED_EDGE('',*,*,#192906,.T.); #252296=ORIENTED_EDGE('',*,*,#192903,.T.); #252297=ORIENTED_EDGE('',*,*,#192900,.T.); #252298=ORIENTED_EDGE('',*,*,#192897,.T.); #252299=ORIENTED_EDGE('',*,*,#192894,.T.); #252300=ORIENTED_EDGE('',*,*,#192891,.T.); #252301=ORIENTED_EDGE('',*,*,#192888,.T.); #252302=ORIENTED_EDGE('',*,*,#192885,.T.); #252303=ORIENTED_EDGE('',*,*,#192882,.T.); #252304=ORIENTED_EDGE('',*,*,#192879,.T.); #252305=ORIENTED_EDGE('',*,*,#192876,.T.); #252306=ORIENTED_EDGE('',*,*,#192873,.T.); #252307=ORIENTED_EDGE('',*,*,#192870,.T.); #252308=ORIENTED_EDGE('',*,*,#192867,.T.); #252309=ORIENTED_EDGE('',*,*,#192864,.T.); #252310=ORIENTED_EDGE('',*,*,#192861,.T.); #252311=ORIENTED_EDGE('',*,*,#192858,.T.); #252312=ORIENTED_EDGE('',*,*,#192855,.T.); #252313=ORIENTED_EDGE('',*,*,#192852,.T.); #252314=ORIENTED_EDGE('',*,*,#192849,.T.); #252315=ORIENTED_EDGE('',*,*,#192846,.T.); #252316=ORIENTED_EDGE('',*,*,#192843,.T.); #252317=ORIENTED_EDGE('',*,*,#192840,.T.); #252318=ORIENTED_EDGE('',*,*,#192837,.T.); #252319=ORIENTED_EDGE('',*,*,#192834,.T.); #252320=ORIENTED_EDGE('',*,*,#192831,.T.); #252321=ORIENTED_EDGE('',*,*,#192828,.T.); #252322=ORIENTED_EDGE('',*,*,#192825,.T.); #252323=ORIENTED_EDGE('',*,*,#192822,.T.); #252324=ORIENTED_EDGE('',*,*,#192819,.T.); #252325=ORIENTED_EDGE('',*,*,#192816,.T.); #252326=ORIENTED_EDGE('',*,*,#192813,.T.); #252327=ORIENTED_EDGE('',*,*,#192810,.T.); #252328=ORIENTED_EDGE('',*,*,#192807,.T.); #252329=ORIENTED_EDGE('',*,*,#192804,.T.); #252330=ORIENTED_EDGE('',*,*,#192801,.T.); #252331=ORIENTED_EDGE('',*,*,#192798,.T.); #252332=ORIENTED_EDGE('',*,*,#192795,.T.); #252333=ORIENTED_EDGE('',*,*,#192792,.T.); #252334=ORIENTED_EDGE('',*,*,#192789,.T.); #252335=ORIENTED_EDGE('',*,*,#192786,.T.); #252336=ORIENTED_EDGE('',*,*,#192783,.T.); #252337=ORIENTED_EDGE('',*,*,#192780,.T.); #252338=ORIENTED_EDGE('',*,*,#192777,.T.); #252339=ORIENTED_EDGE('',*,*,#192774,.T.); #252340=ORIENTED_EDGE('',*,*,#192771,.T.); #252341=ORIENTED_EDGE('',*,*,#192768,.T.); #252342=ORIENTED_EDGE('',*,*,#192765,.T.); #252343=ORIENTED_EDGE('',*,*,#192762,.T.); #252344=ORIENTED_EDGE('',*,*,#192759,.T.); #252345=ORIENTED_EDGE('',*,*,#192756,.T.); #252346=ORIENTED_EDGE('',*,*,#192753,.T.); #252347=ORIENTED_EDGE('',*,*,#192750,.T.); #252348=ORIENTED_EDGE('',*,*,#192747,.T.); #252349=ORIENTED_EDGE('',*,*,#192744,.T.); #252350=ORIENTED_EDGE('',*,*,#192741,.T.); #252351=ORIENTED_EDGE('',*,*,#192738,.T.); #252352=ORIENTED_EDGE('',*,*,#192735,.T.); #252353=ORIENTED_EDGE('',*,*,#192732,.T.); #252354=ORIENTED_EDGE('',*,*,#192729,.T.); #252355=ORIENTED_EDGE('',*,*,#192726,.T.); #252356=ORIENTED_EDGE('',*,*,#192723,.T.); #252357=ORIENTED_EDGE('',*,*,#192720,.T.); #252358=ORIENTED_EDGE('',*,*,#192717,.T.); #252359=ORIENTED_EDGE('',*,*,#192714,.T.); #252360=ORIENTED_EDGE('',*,*,#192711,.T.); #252361=ORIENTED_EDGE('',*,*,#192708,.T.); #252362=ORIENTED_EDGE('',*,*,#192705,.T.); #252363=ORIENTED_EDGE('',*,*,#192702,.T.); #252364=ORIENTED_EDGE('',*,*,#192699,.T.); #252365=ORIENTED_EDGE('',*,*,#192696,.T.); #252366=ORIENTED_EDGE('',*,*,#192693,.T.); #252367=ORIENTED_EDGE('',*,*,#192690,.T.); #252368=ORIENTED_EDGE('',*,*,#192687,.T.); #252369=ORIENTED_EDGE('',*,*,#192684,.T.); #252370=ORIENTED_EDGE('',*,*,#192594,.T.); #252371=ORIENTED_EDGE('',*,*,#192602,.T.); #252372=ORIENTED_EDGE('',*,*,#192605,.T.); #252373=ORIENTED_EDGE('',*,*,#192595,.T.); #252374=ORIENTED_EDGE('',*,*,#192599,.T.); #252375=ORIENTED_EDGE('',*,*,#192609,.T.); #252376=ORIENTED_EDGE('',*,*,#192612,.T.); #252377=ORIENTED_EDGE('',*,*,#192615,.T.); #252378=ORIENTED_EDGE('',*,*,#192618,.T.); #252379=ORIENTED_EDGE('',*,*,#192621,.T.); #252380=ORIENTED_EDGE('',*,*,#192624,.T.); #252381=ORIENTED_EDGE('',*,*,#192627,.T.); #252382=ORIENTED_EDGE('',*,*,#192630,.T.); #252383=ORIENTED_EDGE('',*,*,#192633,.T.); #252384=ORIENTED_EDGE('',*,*,#192681,.T.); #252385=ORIENTED_EDGE('',*,*,#192678,.T.); #252386=ORIENTED_EDGE('',*,*,#192675,.T.); #252387=ORIENTED_EDGE('',*,*,#192672,.T.); #252388=ORIENTED_EDGE('',*,*,#192669,.T.); #252389=ORIENTED_EDGE('',*,*,#192666,.T.); #252390=ORIENTED_EDGE('',*,*,#192663,.T.); #252391=ORIENTED_EDGE('',*,*,#192660,.T.); #252392=ORIENTED_EDGE('',*,*,#192657,.T.); #252393=ORIENTED_EDGE('',*,*,#192654,.T.); #252394=ORIENTED_EDGE('',*,*,#192651,.T.); #252395=ORIENTED_EDGE('',*,*,#192648,.T.); #252396=ORIENTED_EDGE('',*,*,#192645,.T.); #252397=ORIENTED_EDGE('',*,*,#192642,.T.); #252398=ORIENTED_EDGE('',*,*,#192639,.T.); #252399=ORIENTED_EDGE('',*,*,#192636,.T.); #252400=ORIENTED_EDGE('',*,*,#193313,.F.); #252401=ORIENTED_EDGE('',*,*,#192682,.F.); #252402=ORIENTED_EDGE('',*,*,#192686,.F.); #252403=ORIENTED_EDGE('',*,*,#192689,.F.); #252404=ORIENTED_EDGE('',*,*,#192692,.F.); #252405=ORIENTED_EDGE('',*,*,#192695,.F.); #252406=ORIENTED_EDGE('',*,*,#192698,.F.); #252407=ORIENTED_EDGE('',*,*,#192701,.F.); #252408=ORIENTED_EDGE('',*,*,#192704,.F.); #252409=ORIENTED_EDGE('',*,*,#192707,.F.); #252410=ORIENTED_EDGE('',*,*,#192710,.F.); #252411=ORIENTED_EDGE('',*,*,#192713,.F.); #252412=ORIENTED_EDGE('',*,*,#192716,.F.); #252413=ORIENTED_EDGE('',*,*,#192719,.F.); #252414=ORIENTED_EDGE('',*,*,#192722,.F.); #252415=ORIENTED_EDGE('',*,*,#192725,.F.); #252416=ORIENTED_EDGE('',*,*,#192728,.F.); #252417=ORIENTED_EDGE('',*,*,#192731,.F.); #252418=ORIENTED_EDGE('',*,*,#192734,.F.); #252419=ORIENTED_EDGE('',*,*,#192737,.F.); #252420=ORIENTED_EDGE('',*,*,#192740,.F.); #252421=ORIENTED_EDGE('',*,*,#192743,.F.); #252422=ORIENTED_EDGE('',*,*,#192746,.F.); #252423=ORIENTED_EDGE('',*,*,#192749,.F.); #252424=ORIENTED_EDGE('',*,*,#192752,.F.); #252425=ORIENTED_EDGE('',*,*,#192755,.F.); #252426=ORIENTED_EDGE('',*,*,#192758,.F.); #252427=ORIENTED_EDGE('',*,*,#192761,.F.); #252428=ORIENTED_EDGE('',*,*,#192764,.F.); #252429=ORIENTED_EDGE('',*,*,#192767,.F.); #252430=ORIENTED_EDGE('',*,*,#192770,.F.); #252431=ORIENTED_EDGE('',*,*,#192773,.F.); #252432=ORIENTED_EDGE('',*,*,#192776,.F.); #252433=ORIENTED_EDGE('',*,*,#192779,.F.); #252434=ORIENTED_EDGE('',*,*,#192782,.F.); #252435=ORIENTED_EDGE('',*,*,#192785,.F.); #252436=ORIENTED_EDGE('',*,*,#192788,.F.); #252437=ORIENTED_EDGE('',*,*,#192791,.F.); #252438=ORIENTED_EDGE('',*,*,#192794,.F.); #252439=ORIENTED_EDGE('',*,*,#192797,.F.); #252440=ORIENTED_EDGE('',*,*,#192800,.F.); #252441=ORIENTED_EDGE('',*,*,#192803,.F.); #252442=ORIENTED_EDGE('',*,*,#192806,.F.); #252443=ORIENTED_EDGE('',*,*,#192809,.F.); #252444=ORIENTED_EDGE('',*,*,#192812,.F.); #252445=ORIENTED_EDGE('',*,*,#192815,.F.); #252446=ORIENTED_EDGE('',*,*,#192818,.F.); #252447=ORIENTED_EDGE('',*,*,#192821,.F.); #252448=ORIENTED_EDGE('',*,*,#192824,.F.); #252449=ORIENTED_EDGE('',*,*,#192827,.F.); #252450=ORIENTED_EDGE('',*,*,#192830,.F.); #252451=ORIENTED_EDGE('',*,*,#192833,.F.); #252452=ORIENTED_EDGE('',*,*,#192836,.F.); #252453=ORIENTED_EDGE('',*,*,#192839,.F.); #252454=ORIENTED_EDGE('',*,*,#192842,.F.); #252455=ORIENTED_EDGE('',*,*,#192845,.F.); #252456=ORIENTED_EDGE('',*,*,#192848,.F.); #252457=ORIENTED_EDGE('',*,*,#192851,.F.); #252458=ORIENTED_EDGE('',*,*,#192854,.F.); #252459=ORIENTED_EDGE('',*,*,#192857,.F.); #252460=ORIENTED_EDGE('',*,*,#192860,.F.); #252461=ORIENTED_EDGE('',*,*,#192863,.F.); #252462=ORIENTED_EDGE('',*,*,#192866,.F.); #252463=ORIENTED_EDGE('',*,*,#192869,.F.); #252464=ORIENTED_EDGE('',*,*,#192872,.F.); #252465=ORIENTED_EDGE('',*,*,#192875,.F.); #252466=ORIENTED_EDGE('',*,*,#192878,.F.); #252467=ORIENTED_EDGE('',*,*,#192881,.F.); #252468=ORIENTED_EDGE('',*,*,#192884,.F.); #252469=ORIENTED_EDGE('',*,*,#192887,.F.); #252470=ORIENTED_EDGE('',*,*,#192890,.F.); #252471=ORIENTED_EDGE('',*,*,#192893,.F.); #252472=ORIENTED_EDGE('',*,*,#192896,.F.); #252473=ORIENTED_EDGE('',*,*,#192899,.F.); #252474=ORIENTED_EDGE('',*,*,#192902,.F.); #252475=ORIENTED_EDGE('',*,*,#192905,.F.); #252476=ORIENTED_EDGE('',*,*,#192908,.F.); #252477=ORIENTED_EDGE('',*,*,#192911,.F.); #252478=ORIENTED_EDGE('',*,*,#192914,.F.); #252479=ORIENTED_EDGE('',*,*,#192917,.F.); #252480=ORIENTED_EDGE('',*,*,#192920,.F.); #252481=ORIENTED_EDGE('',*,*,#192923,.F.); #252482=ORIENTED_EDGE('',*,*,#192926,.F.); #252483=ORIENTED_EDGE('',*,*,#192929,.F.); #252484=ORIENTED_EDGE('',*,*,#192932,.F.); #252485=ORIENTED_EDGE('',*,*,#192935,.F.); #252486=ORIENTED_EDGE('',*,*,#192938,.F.); #252487=ORIENTED_EDGE('',*,*,#192941,.F.); #252488=ORIENTED_EDGE('',*,*,#192944,.F.); #252489=ORIENTED_EDGE('',*,*,#192947,.F.); #252490=ORIENTED_EDGE('',*,*,#192950,.F.); #252491=ORIENTED_EDGE('',*,*,#192953,.F.); #252492=ORIENTED_EDGE('',*,*,#192956,.F.); #252493=ORIENTED_EDGE('',*,*,#192959,.F.); #252494=ORIENTED_EDGE('',*,*,#192962,.F.); #252495=ORIENTED_EDGE('',*,*,#192965,.F.); #252496=ORIENTED_EDGE('',*,*,#192968,.F.); #252497=ORIENTED_EDGE('',*,*,#192971,.F.); #252498=ORIENTED_EDGE('',*,*,#192974,.F.); #252499=ORIENTED_EDGE('',*,*,#192977,.F.); #252500=ORIENTED_EDGE('',*,*,#192980,.F.); #252501=ORIENTED_EDGE('',*,*,#192983,.F.); #252502=ORIENTED_EDGE('',*,*,#192986,.F.); #252503=ORIENTED_EDGE('',*,*,#192989,.F.); #252504=ORIENTED_EDGE('',*,*,#192992,.F.); #252505=ORIENTED_EDGE('',*,*,#192995,.F.); #252506=ORIENTED_EDGE('',*,*,#192998,.F.); #252507=ORIENTED_EDGE('',*,*,#193001,.F.); #252508=ORIENTED_EDGE('',*,*,#193004,.F.); #252509=ORIENTED_EDGE('',*,*,#193007,.F.); #252510=ORIENTED_EDGE('',*,*,#193010,.F.); #252511=ORIENTED_EDGE('',*,*,#193013,.F.); #252512=ORIENTED_EDGE('',*,*,#193016,.F.); #252513=ORIENTED_EDGE('',*,*,#193019,.F.); #252514=ORIENTED_EDGE('',*,*,#193022,.F.); #252515=ORIENTED_EDGE('',*,*,#193025,.F.); #252516=ORIENTED_EDGE('',*,*,#193028,.F.); #252517=ORIENTED_EDGE('',*,*,#193031,.F.); #252518=ORIENTED_EDGE('',*,*,#193034,.F.); #252519=ORIENTED_EDGE('',*,*,#193037,.F.); #252520=ORIENTED_EDGE('',*,*,#193040,.F.); #252521=ORIENTED_EDGE('',*,*,#193043,.F.); #252522=ORIENTED_EDGE('',*,*,#193046,.F.); #252523=ORIENTED_EDGE('',*,*,#193049,.F.); #252524=ORIENTED_EDGE('',*,*,#193052,.F.); #252525=ORIENTED_EDGE('',*,*,#193055,.F.); #252526=ORIENTED_EDGE('',*,*,#193058,.F.); #252527=ORIENTED_EDGE('',*,*,#193061,.F.); #252528=ORIENTED_EDGE('',*,*,#193064,.F.); #252529=ORIENTED_EDGE('',*,*,#193067,.F.); #252530=ORIENTED_EDGE('',*,*,#193070,.F.); #252531=ORIENTED_EDGE('',*,*,#193073,.F.); #252532=ORIENTED_EDGE('',*,*,#193076,.F.); #252533=ORIENTED_EDGE('',*,*,#193079,.F.); #252534=ORIENTED_EDGE('',*,*,#193082,.F.); #252535=ORIENTED_EDGE('',*,*,#193085,.F.); #252536=ORIENTED_EDGE('',*,*,#193088,.F.); #252537=ORIENTED_EDGE('',*,*,#193091,.F.); #252538=ORIENTED_EDGE('',*,*,#193094,.F.); #252539=ORIENTED_EDGE('',*,*,#193097,.F.); #252540=ORIENTED_EDGE('',*,*,#193100,.F.); #252541=ORIENTED_EDGE('',*,*,#193103,.F.); #252542=ORIENTED_EDGE('',*,*,#193106,.F.); #252543=ORIENTED_EDGE('',*,*,#193109,.F.); #252544=ORIENTED_EDGE('',*,*,#193112,.F.); #252545=ORIENTED_EDGE('',*,*,#193115,.F.); #252546=ORIENTED_EDGE('',*,*,#193118,.F.); #252547=ORIENTED_EDGE('',*,*,#193121,.F.); #252548=ORIENTED_EDGE('',*,*,#193124,.F.); #252549=ORIENTED_EDGE('',*,*,#193127,.F.); #252550=ORIENTED_EDGE('',*,*,#193130,.F.); #252551=ORIENTED_EDGE('',*,*,#193133,.F.); #252552=ORIENTED_EDGE('',*,*,#193136,.F.); #252553=ORIENTED_EDGE('',*,*,#193139,.F.); #252554=ORIENTED_EDGE('',*,*,#193142,.F.); #252555=ORIENTED_EDGE('',*,*,#193145,.F.); #252556=ORIENTED_EDGE('',*,*,#193148,.F.); #252557=ORIENTED_EDGE('',*,*,#193151,.F.); #252558=ORIENTED_EDGE('',*,*,#193154,.F.); #252559=ORIENTED_EDGE('',*,*,#193157,.F.); #252560=ORIENTED_EDGE('',*,*,#193160,.F.); #252561=ORIENTED_EDGE('',*,*,#193163,.F.); #252562=ORIENTED_EDGE('',*,*,#193166,.F.); #252563=ORIENTED_EDGE('',*,*,#193169,.F.); #252564=ORIENTED_EDGE('',*,*,#193172,.F.); #252565=ORIENTED_EDGE('',*,*,#193175,.F.); #252566=ORIENTED_EDGE('',*,*,#193178,.F.); #252567=ORIENTED_EDGE('',*,*,#193181,.F.); #252568=ORIENTED_EDGE('',*,*,#193184,.F.); #252569=ORIENTED_EDGE('',*,*,#193187,.F.); #252570=ORIENTED_EDGE('',*,*,#193190,.F.); #252571=ORIENTED_EDGE('',*,*,#193193,.F.); #252572=ORIENTED_EDGE('',*,*,#193196,.F.); #252573=ORIENTED_EDGE('',*,*,#193199,.F.); #252574=ORIENTED_EDGE('',*,*,#193202,.F.); #252575=ORIENTED_EDGE('',*,*,#193205,.F.); #252576=ORIENTED_EDGE('',*,*,#193208,.F.); #252577=ORIENTED_EDGE('',*,*,#193211,.F.); #252578=ORIENTED_EDGE('',*,*,#193214,.F.); #252579=ORIENTED_EDGE('',*,*,#193217,.F.); #252580=ORIENTED_EDGE('',*,*,#193220,.F.); #252581=ORIENTED_EDGE('',*,*,#193223,.F.); #252582=ORIENTED_EDGE('',*,*,#193226,.F.); #252583=ORIENTED_EDGE('',*,*,#193229,.F.); #252584=ORIENTED_EDGE('',*,*,#193232,.F.); #252585=ORIENTED_EDGE('',*,*,#193235,.F.); #252586=ORIENTED_EDGE('',*,*,#193238,.F.); #252587=ORIENTED_EDGE('',*,*,#193241,.F.); #252588=ORIENTED_EDGE('',*,*,#193244,.F.); #252589=ORIENTED_EDGE('',*,*,#193247,.F.); #252590=ORIENTED_EDGE('',*,*,#193250,.F.); #252591=ORIENTED_EDGE('',*,*,#193253,.F.); #252592=ORIENTED_EDGE('',*,*,#193256,.F.); #252593=ORIENTED_EDGE('',*,*,#193259,.F.); #252594=ORIENTED_EDGE('',*,*,#193262,.F.); #252595=ORIENTED_EDGE('',*,*,#193265,.F.); #252596=ORIENTED_EDGE('',*,*,#193268,.F.); #252597=ORIENTED_EDGE('',*,*,#193271,.F.); #252598=ORIENTED_EDGE('',*,*,#193274,.F.); #252599=ORIENTED_EDGE('',*,*,#193277,.F.); #252600=ORIENTED_EDGE('',*,*,#193280,.F.); #252601=ORIENTED_EDGE('',*,*,#193283,.F.); #252602=ORIENTED_EDGE('',*,*,#193286,.F.); #252603=ORIENTED_EDGE('',*,*,#193289,.F.); #252604=ORIENTED_EDGE('',*,*,#193292,.F.); #252605=ORIENTED_EDGE('',*,*,#193295,.F.); #252606=ORIENTED_EDGE('',*,*,#193298,.F.); #252607=ORIENTED_EDGE('',*,*,#193301,.F.); #252608=ORIENTED_EDGE('',*,*,#193304,.F.); #252609=ORIENTED_EDGE('',*,*,#193307,.F.); #252610=ORIENTED_EDGE('',*,*,#193310,.F.); #252611=ORIENTED_EDGE('',*,*,#192592,.T.); #252612=ORIENTED_EDGE('',*,*,#192603,.T.); #252613=ORIENTED_EDGE('',*,*,#192600,.T.); #252614=ORIENTED_EDGE('',*,*,#192597,.T.); #252615=ORIENTED_EDGE('',*,*,#192606,.T.); #252616=ORIENTED_EDGE('',*,*,#192607,.T.); #252617=ORIENTED_EDGE('',*,*,#192610,.T.); #252618=ORIENTED_EDGE('',*,*,#192613,.T.); #252619=ORIENTED_EDGE('',*,*,#192616,.T.); #252620=ORIENTED_EDGE('',*,*,#192619,.T.); #252621=ORIENTED_EDGE('',*,*,#192622,.T.); #252622=ORIENTED_EDGE('',*,*,#192625,.T.); #252623=ORIENTED_EDGE('',*,*,#192628,.T.); #252624=ORIENTED_EDGE('',*,*,#192631,.T.); #252625=ORIENTED_EDGE('',*,*,#192680,.F.); #252626=ORIENTED_EDGE('',*,*,#192634,.F.); #252627=ORIENTED_EDGE('',*,*,#192638,.F.); #252628=ORIENTED_EDGE('',*,*,#192641,.F.); #252629=ORIENTED_EDGE('',*,*,#192644,.F.); #252630=ORIENTED_EDGE('',*,*,#192647,.F.); #252631=ORIENTED_EDGE('',*,*,#192650,.F.); #252632=ORIENTED_EDGE('',*,*,#192653,.F.); #252633=ORIENTED_EDGE('',*,*,#192656,.F.); #252634=ORIENTED_EDGE('',*,*,#192659,.F.); #252635=ORIENTED_EDGE('',*,*,#192662,.F.); #252636=ORIENTED_EDGE('',*,*,#192665,.F.); #252637=ORIENTED_EDGE('',*,*,#192668,.F.); #252638=ORIENTED_EDGE('',*,*,#192671,.F.); #252639=ORIENTED_EDGE('',*,*,#192674,.F.); #252640=ORIENTED_EDGE('',*,*,#192677,.F.); #252641=ORIENTED_EDGE('',*,*,#193315,.T.); #252642=ORIENTED_EDGE('',*,*,#193316,.T.); #252643=ORIENTED_EDGE('',*,*,#193317,.F.); #252644=ORIENTED_EDGE('',*,*,#193318,.F.); #252645=ORIENTED_EDGE('',*,*,#193319,.T.); #252646=ORIENTED_EDGE('',*,*,#193318,.T.); #252647=ORIENTED_EDGE('',*,*,#193320,.F.); #252648=ORIENTED_EDGE('',*,*,#193321,.F.); #252649=ORIENTED_EDGE('',*,*,#193322,.T.); #252650=ORIENTED_EDGE('',*,*,#193321,.T.); #252651=ORIENTED_EDGE('',*,*,#193323,.F.); #252652=ORIENTED_EDGE('',*,*,#193324,.F.); #252653=ORIENTED_EDGE('',*,*,#193325,.T.); #252654=ORIENTED_EDGE('',*,*,#193324,.T.); #252655=ORIENTED_EDGE('',*,*,#193326,.F.); #252656=ORIENTED_EDGE('',*,*,#193327,.F.); #252657=ORIENTED_EDGE('',*,*,#193328,.T.); #252658=ORIENTED_EDGE('',*,*,#193327,.T.); #252659=ORIENTED_EDGE('',*,*,#193329,.F.); #252660=ORIENTED_EDGE('',*,*,#193330,.F.); #252661=ORIENTED_EDGE('',*,*,#193331,.T.); #252662=ORIENTED_EDGE('',*,*,#193330,.T.); #252663=ORIENTED_EDGE('',*,*,#193332,.F.); #252664=ORIENTED_EDGE('',*,*,#193333,.F.); #252665=ORIENTED_EDGE('',*,*,#193334,.T.); #252666=ORIENTED_EDGE('',*,*,#193333,.T.); #252667=ORIENTED_EDGE('',*,*,#193335,.F.); #252668=ORIENTED_EDGE('',*,*,#193336,.F.); #252669=ORIENTED_EDGE('',*,*,#193337,.T.); #252670=ORIENTED_EDGE('',*,*,#193336,.T.); #252671=ORIENTED_EDGE('',*,*,#193338,.F.); #252672=ORIENTED_EDGE('',*,*,#193339,.F.); #252673=ORIENTED_EDGE('',*,*,#193340,.T.); #252674=ORIENTED_EDGE('',*,*,#193339,.T.); #252675=ORIENTED_EDGE('',*,*,#193341,.F.); #252676=ORIENTED_EDGE('',*,*,#193342,.F.); #252677=ORIENTED_EDGE('',*,*,#193343,.T.); #252678=ORIENTED_EDGE('',*,*,#193342,.T.); #252679=ORIENTED_EDGE('',*,*,#193344,.F.); #252680=ORIENTED_EDGE('',*,*,#193345,.F.); #252681=ORIENTED_EDGE('',*,*,#193346,.T.); #252682=ORIENTED_EDGE('',*,*,#193345,.T.); #252683=ORIENTED_EDGE('',*,*,#193347,.F.); #252684=ORIENTED_EDGE('',*,*,#193348,.F.); #252685=ORIENTED_EDGE('',*,*,#193349,.T.); #252686=ORIENTED_EDGE('',*,*,#193348,.T.); #252687=ORIENTED_EDGE('',*,*,#193350,.F.); #252688=ORIENTED_EDGE('',*,*,#193351,.F.); #252689=ORIENTED_EDGE('',*,*,#193352,.T.); #252690=ORIENTED_EDGE('',*,*,#193351,.T.); #252691=ORIENTED_EDGE('',*,*,#193353,.F.); #252692=ORIENTED_EDGE('',*,*,#193354,.F.); #252693=ORIENTED_EDGE('',*,*,#193355,.T.); #252694=ORIENTED_EDGE('',*,*,#193354,.T.); #252695=ORIENTED_EDGE('',*,*,#193356,.F.); #252696=ORIENTED_EDGE('',*,*,#193357,.F.); #252697=ORIENTED_EDGE('',*,*,#193358,.T.); #252698=ORIENTED_EDGE('',*,*,#193357,.T.); #252699=ORIENTED_EDGE('',*,*,#193359,.F.); #252700=ORIENTED_EDGE('',*,*,#193360,.F.); #252701=ORIENTED_EDGE('',*,*,#193361,.T.); #252702=ORIENTED_EDGE('',*,*,#193360,.T.); #252703=ORIENTED_EDGE('',*,*,#193362,.F.); #252704=ORIENTED_EDGE('',*,*,#193363,.F.); #252705=ORIENTED_EDGE('',*,*,#193364,.T.); #252706=ORIENTED_EDGE('',*,*,#193363,.T.); #252707=ORIENTED_EDGE('',*,*,#193365,.F.); #252708=ORIENTED_EDGE('',*,*,#193366,.F.); #252709=ORIENTED_EDGE('',*,*,#193367,.T.); #252710=ORIENTED_EDGE('',*,*,#193366,.T.); #252711=ORIENTED_EDGE('',*,*,#193368,.F.); #252712=ORIENTED_EDGE('',*,*,#193369,.F.); #252713=ORIENTED_EDGE('',*,*,#193370,.T.); #252714=ORIENTED_EDGE('',*,*,#193369,.T.); #252715=ORIENTED_EDGE('',*,*,#193371,.F.); #252716=ORIENTED_EDGE('',*,*,#193372,.F.); #252717=ORIENTED_EDGE('',*,*,#193373,.T.); #252718=ORIENTED_EDGE('',*,*,#193372,.T.); #252719=ORIENTED_EDGE('',*,*,#193374,.F.); #252720=ORIENTED_EDGE('',*,*,#193375,.F.); #252721=ORIENTED_EDGE('',*,*,#193376,.T.); #252722=ORIENTED_EDGE('',*,*,#193375,.T.); #252723=ORIENTED_EDGE('',*,*,#193377,.F.); #252724=ORIENTED_EDGE('',*,*,#193316,.F.); #252725=ORIENTED_EDGE('',*,*,#193377,.T.); #252726=ORIENTED_EDGE('',*,*,#193374,.T.); #252727=ORIENTED_EDGE('',*,*,#193371,.T.); #252728=ORIENTED_EDGE('',*,*,#193368,.T.); #252729=ORIENTED_EDGE('',*,*,#193365,.T.); #252730=ORIENTED_EDGE('',*,*,#193362,.T.); #252731=ORIENTED_EDGE('',*,*,#193359,.T.); #252732=ORIENTED_EDGE('',*,*,#193356,.T.); #252733=ORIENTED_EDGE('',*,*,#193353,.T.); #252734=ORIENTED_EDGE('',*,*,#193350,.T.); #252735=ORIENTED_EDGE('',*,*,#193347,.T.); #252736=ORIENTED_EDGE('',*,*,#193344,.T.); #252737=ORIENTED_EDGE('',*,*,#193341,.T.); #252738=ORIENTED_EDGE('',*,*,#193338,.T.); #252739=ORIENTED_EDGE('',*,*,#193335,.T.); #252740=ORIENTED_EDGE('',*,*,#193332,.T.); #252741=ORIENTED_EDGE('',*,*,#193329,.T.); #252742=ORIENTED_EDGE('',*,*,#193326,.T.); #252743=ORIENTED_EDGE('',*,*,#193323,.T.); #252744=ORIENTED_EDGE('',*,*,#193320,.T.); #252745=ORIENTED_EDGE('',*,*,#193317,.T.); #252746=ORIENTED_EDGE('',*,*,#193376,.F.); #252747=ORIENTED_EDGE('',*,*,#193315,.F.); #252748=ORIENTED_EDGE('',*,*,#193319,.F.); #252749=ORIENTED_EDGE('',*,*,#193322,.F.); #252750=ORIENTED_EDGE('',*,*,#193325,.F.); #252751=ORIENTED_EDGE('',*,*,#193328,.F.); #252752=ORIENTED_EDGE('',*,*,#193331,.F.); #252753=ORIENTED_EDGE('',*,*,#193334,.F.); #252754=ORIENTED_EDGE('',*,*,#193337,.F.); #252755=ORIENTED_EDGE('',*,*,#193340,.F.); #252756=ORIENTED_EDGE('',*,*,#193343,.F.); #252757=ORIENTED_EDGE('',*,*,#193346,.F.); #252758=ORIENTED_EDGE('',*,*,#193349,.F.); #252759=ORIENTED_EDGE('',*,*,#193352,.F.); #252760=ORIENTED_EDGE('',*,*,#193355,.F.); #252761=ORIENTED_EDGE('',*,*,#193358,.F.); #252762=ORIENTED_EDGE('',*,*,#193361,.F.); #252763=ORIENTED_EDGE('',*,*,#193364,.F.); #252764=ORIENTED_EDGE('',*,*,#193367,.F.); #252765=ORIENTED_EDGE('',*,*,#193370,.F.); #252766=ORIENTED_EDGE('',*,*,#193373,.F.); #252767=ORIENTED_EDGE('',*,*,#193378,.T.); #252768=ORIENTED_EDGE('',*,*,#193379,.T.); #252769=ORIENTED_EDGE('',*,*,#193380,.F.); #252770=ORIENTED_EDGE('',*,*,#193381,.F.); #252771=ORIENTED_EDGE('',*,*,#193382,.T.); #252772=ORIENTED_EDGE('',*,*,#193381,.T.); #252773=ORIENTED_EDGE('',*,*,#193383,.F.); #252774=ORIENTED_EDGE('',*,*,#193384,.F.); #252775=ORIENTED_EDGE('',*,*,#193385,.T.); #252776=ORIENTED_EDGE('',*,*,#193384,.T.); #252777=ORIENTED_EDGE('',*,*,#193386,.F.); #252778=ORIENTED_EDGE('',*,*,#193387,.F.); #252779=ORIENTED_EDGE('',*,*,#193388,.T.); #252780=ORIENTED_EDGE('',*,*,#193387,.T.); #252781=ORIENTED_EDGE('',*,*,#193389,.F.); #252782=ORIENTED_EDGE('',*,*,#193390,.F.); #252783=ORIENTED_EDGE('',*,*,#193391,.T.); #252784=ORIENTED_EDGE('',*,*,#193390,.T.); #252785=ORIENTED_EDGE('',*,*,#193392,.F.); #252786=ORIENTED_EDGE('',*,*,#193393,.F.); #252787=ORIENTED_EDGE('',*,*,#193394,.T.); #252788=ORIENTED_EDGE('',*,*,#193393,.T.); #252789=ORIENTED_EDGE('',*,*,#193395,.F.); #252790=ORIENTED_EDGE('',*,*,#193396,.F.); #252791=ORIENTED_EDGE('',*,*,#193397,.T.); #252792=ORIENTED_EDGE('',*,*,#193396,.T.); #252793=ORIENTED_EDGE('',*,*,#193398,.F.); #252794=ORIENTED_EDGE('',*,*,#193399,.F.); #252795=ORIENTED_EDGE('',*,*,#193400,.T.); #252796=ORIENTED_EDGE('',*,*,#193399,.T.); #252797=ORIENTED_EDGE('',*,*,#193401,.F.); #252798=ORIENTED_EDGE('',*,*,#193402,.F.); #252799=ORIENTED_EDGE('',*,*,#193403,.T.); #252800=ORIENTED_EDGE('',*,*,#193402,.T.); #252801=ORIENTED_EDGE('',*,*,#193404,.F.); #252802=ORIENTED_EDGE('',*,*,#193405,.F.); #252803=ORIENTED_EDGE('',*,*,#193406,.T.); #252804=ORIENTED_EDGE('',*,*,#193405,.T.); #252805=ORIENTED_EDGE('',*,*,#193407,.F.); #252806=ORIENTED_EDGE('',*,*,#193408,.F.); #252807=ORIENTED_EDGE('',*,*,#193409,.T.); #252808=ORIENTED_EDGE('',*,*,#193408,.T.); #252809=ORIENTED_EDGE('',*,*,#193410,.F.); #252810=ORIENTED_EDGE('',*,*,#193411,.F.); #252811=ORIENTED_EDGE('',*,*,#193412,.T.); #252812=ORIENTED_EDGE('',*,*,#193411,.T.); #252813=ORIENTED_EDGE('',*,*,#193413,.F.); #252814=ORIENTED_EDGE('',*,*,#193414,.F.); #252815=ORIENTED_EDGE('',*,*,#193415,.T.); #252816=ORIENTED_EDGE('',*,*,#193414,.T.); #252817=ORIENTED_EDGE('',*,*,#193416,.F.); #252818=ORIENTED_EDGE('',*,*,#193417,.F.); #252819=ORIENTED_EDGE('',*,*,#193418,.T.); #252820=ORIENTED_EDGE('',*,*,#193417,.T.); #252821=ORIENTED_EDGE('',*,*,#193419,.F.); #252822=ORIENTED_EDGE('',*,*,#193420,.F.); #252823=ORIENTED_EDGE('',*,*,#193421,.T.); #252824=ORIENTED_EDGE('',*,*,#193420,.T.); #252825=ORIENTED_EDGE('',*,*,#193422,.F.); #252826=ORIENTED_EDGE('',*,*,#193423,.F.); #252827=ORIENTED_EDGE('',*,*,#193424,.T.); #252828=ORIENTED_EDGE('',*,*,#193423,.T.); #252829=ORIENTED_EDGE('',*,*,#193425,.F.); #252830=ORIENTED_EDGE('',*,*,#193426,.F.); #252831=ORIENTED_EDGE('',*,*,#193427,.T.); #252832=ORIENTED_EDGE('',*,*,#193426,.T.); #252833=ORIENTED_EDGE('',*,*,#193428,.F.); #252834=ORIENTED_EDGE('',*,*,#193429,.F.); #252835=ORIENTED_EDGE('',*,*,#193430,.T.); #252836=ORIENTED_EDGE('',*,*,#193429,.T.); #252837=ORIENTED_EDGE('',*,*,#193431,.F.); #252838=ORIENTED_EDGE('',*,*,#193432,.F.); #252839=ORIENTED_EDGE('',*,*,#193433,.T.); #252840=ORIENTED_EDGE('',*,*,#193432,.T.); #252841=ORIENTED_EDGE('',*,*,#193434,.F.); #252842=ORIENTED_EDGE('',*,*,#193435,.F.); #252843=ORIENTED_EDGE('',*,*,#193436,.T.); #252844=ORIENTED_EDGE('',*,*,#193435,.T.); #252845=ORIENTED_EDGE('',*,*,#193437,.F.); #252846=ORIENTED_EDGE('',*,*,#193379,.F.); #252847=ORIENTED_EDGE('',*,*,#193437,.T.); #252848=ORIENTED_EDGE('',*,*,#193434,.T.); #252849=ORIENTED_EDGE('',*,*,#193431,.T.); #252850=ORIENTED_EDGE('',*,*,#193428,.T.); #252851=ORIENTED_EDGE('',*,*,#193425,.T.); #252852=ORIENTED_EDGE('',*,*,#193422,.T.); #252853=ORIENTED_EDGE('',*,*,#193419,.T.); #252854=ORIENTED_EDGE('',*,*,#193416,.T.); #252855=ORIENTED_EDGE('',*,*,#193413,.T.); #252856=ORIENTED_EDGE('',*,*,#193410,.T.); #252857=ORIENTED_EDGE('',*,*,#193407,.T.); #252858=ORIENTED_EDGE('',*,*,#193404,.T.); #252859=ORIENTED_EDGE('',*,*,#193401,.T.); #252860=ORIENTED_EDGE('',*,*,#193398,.T.); #252861=ORIENTED_EDGE('',*,*,#193395,.T.); #252862=ORIENTED_EDGE('',*,*,#193392,.T.); #252863=ORIENTED_EDGE('',*,*,#193389,.T.); #252864=ORIENTED_EDGE('',*,*,#193386,.T.); #252865=ORIENTED_EDGE('',*,*,#193383,.T.); #252866=ORIENTED_EDGE('',*,*,#193380,.T.); #252867=ORIENTED_EDGE('',*,*,#193436,.F.); #252868=ORIENTED_EDGE('',*,*,#193378,.F.); #252869=ORIENTED_EDGE('',*,*,#193382,.F.); #252870=ORIENTED_EDGE('',*,*,#193385,.F.); #252871=ORIENTED_EDGE('',*,*,#193388,.F.); #252872=ORIENTED_EDGE('',*,*,#193391,.F.); #252873=ORIENTED_EDGE('',*,*,#193394,.F.); #252874=ORIENTED_EDGE('',*,*,#193397,.F.); #252875=ORIENTED_EDGE('',*,*,#193400,.F.); #252876=ORIENTED_EDGE('',*,*,#193403,.F.); #252877=ORIENTED_EDGE('',*,*,#193406,.F.); #252878=ORIENTED_EDGE('',*,*,#193409,.F.); #252879=ORIENTED_EDGE('',*,*,#193412,.F.); #252880=ORIENTED_EDGE('',*,*,#193415,.F.); #252881=ORIENTED_EDGE('',*,*,#193418,.F.); #252882=ORIENTED_EDGE('',*,*,#193421,.F.); #252883=ORIENTED_EDGE('',*,*,#193424,.F.); #252884=ORIENTED_EDGE('',*,*,#193427,.F.); #252885=ORIENTED_EDGE('',*,*,#193430,.F.); #252886=ORIENTED_EDGE('',*,*,#193433,.F.); #252887=ORIENTED_EDGE('',*,*,#193438,.F.); #252888=ORIENTED_EDGE('',*,*,#193439,.T.); #252889=ORIENTED_EDGE('',*,*,#193440,.F.); #252890=ORIENTED_EDGE('',*,*,#193439,.F.); #252891=ORIENTED_EDGE('',*,*,#193441,.F.); #252892=ORIENTED_EDGE('',*,*,#193442,.T.); #252893=ORIENTED_EDGE('',*,*,#193443,.F.); #252894=ORIENTED_EDGE('',*,*,#193442,.F.); #252895=ORIENTED_EDGE('',*,*,#193444,.F.); #252896=ORIENTED_EDGE('',*,*,#193445,.T.); #252897=ORIENTED_EDGE('',*,*,#193446,.F.); #252898=ORIENTED_EDGE('',*,*,#193445,.F.); #252899=ORIENTED_EDGE('',*,*,#193447,.F.); #252900=ORIENTED_EDGE('',*,*,#193448,.T.); #252901=ORIENTED_EDGE('',*,*,#193449,.F.); #252902=ORIENTED_EDGE('',*,*,#193448,.F.); #252903=ORIENTED_EDGE('',*,*,#193450,.F.); #252904=ORIENTED_EDGE('',*,*,#193451,.T.); #252905=ORIENTED_EDGE('',*,*,#193452,.F.); #252906=ORIENTED_EDGE('',*,*,#193451,.F.); #252907=ORIENTED_EDGE('',*,*,#193453,.F.); #252908=ORIENTED_EDGE('',*,*,#193454,.T.); #252909=ORIENTED_EDGE('',*,*,#193455,.F.); #252910=ORIENTED_EDGE('',*,*,#193454,.F.); #252911=ORIENTED_EDGE('',*,*,#193456,.F.); #252912=ORIENTED_EDGE('',*,*,#193457,.T.); #252913=ORIENTED_EDGE('',*,*,#193458,.F.); #252914=ORIENTED_EDGE('',*,*,#193457,.F.); #252915=ORIENTED_EDGE('',*,*,#193459,.F.); #252916=ORIENTED_EDGE('',*,*,#193460,.T.); #252917=ORIENTED_EDGE('',*,*,#193461,.F.); #252918=ORIENTED_EDGE('',*,*,#193460,.F.); #252919=ORIENTED_EDGE('',*,*,#193462,.F.); #252920=ORIENTED_EDGE('',*,*,#193463,.T.); #252921=ORIENTED_EDGE('',*,*,#193464,.F.); #252922=ORIENTED_EDGE('',*,*,#193463,.F.); #252923=ORIENTED_EDGE('',*,*,#193465,.F.); #252924=ORIENTED_EDGE('',*,*,#193466,.T.); #252925=ORIENTED_EDGE('',*,*,#193467,.F.); #252926=ORIENTED_EDGE('',*,*,#193466,.F.); #252927=ORIENTED_EDGE('',*,*,#193468,.F.); #252928=ORIENTED_EDGE('',*,*,#193469,.T.); #252929=ORIENTED_EDGE('',*,*,#193470,.F.); #252930=ORIENTED_EDGE('',*,*,#193469,.F.); #252931=ORIENTED_EDGE('',*,*,#193471,.F.); #252932=ORIENTED_EDGE('',*,*,#193472,.T.); #252933=ORIENTED_EDGE('',*,*,#193473,.F.); #252934=ORIENTED_EDGE('',*,*,#193472,.F.); #252935=ORIENTED_EDGE('',*,*,#193474,.F.); #252936=ORIENTED_EDGE('',*,*,#193475,.T.); #252937=ORIENTED_EDGE('',*,*,#193476,.F.); #252938=ORIENTED_EDGE('',*,*,#193475,.F.); #252939=ORIENTED_EDGE('',*,*,#193477,.F.); #252940=ORIENTED_EDGE('',*,*,#193478,.T.); #252941=ORIENTED_EDGE('',*,*,#193479,.F.); #252942=ORIENTED_EDGE('',*,*,#193478,.F.); #252943=ORIENTED_EDGE('',*,*,#193480,.F.); #252944=ORIENTED_EDGE('',*,*,#193481,.T.); #252945=ORIENTED_EDGE('',*,*,#193482,.F.); #252946=ORIENTED_EDGE('',*,*,#193481,.F.); #252947=ORIENTED_EDGE('',*,*,#193483,.F.); #252948=ORIENTED_EDGE('',*,*,#193484,.T.); #252949=ORIENTED_EDGE('',*,*,#193485,.F.); #252950=ORIENTED_EDGE('',*,*,#193484,.F.); #252951=ORIENTED_EDGE('',*,*,#193486,.F.); #252952=ORIENTED_EDGE('',*,*,#193487,.T.); #252953=ORIENTED_EDGE('',*,*,#193488,.F.); #252954=ORIENTED_EDGE('',*,*,#193487,.F.); #252955=ORIENTED_EDGE('',*,*,#193489,.F.); #252956=ORIENTED_EDGE('',*,*,#193490,.T.); #252957=ORIENTED_EDGE('',*,*,#193491,.F.); #252958=ORIENTED_EDGE('',*,*,#193490,.F.); #252959=ORIENTED_EDGE('',*,*,#193492,.F.); #252960=ORIENTED_EDGE('',*,*,#193493,.T.); #252961=ORIENTED_EDGE('',*,*,#193494,.F.); #252962=ORIENTED_EDGE('',*,*,#193493,.F.); #252963=ORIENTED_EDGE('',*,*,#193495,.F.); #252964=ORIENTED_EDGE('',*,*,#193496,.T.); #252965=ORIENTED_EDGE('',*,*,#193497,.F.); #252966=ORIENTED_EDGE('',*,*,#193496,.F.); #252967=ORIENTED_EDGE('',*,*,#193498,.F.); #252968=ORIENTED_EDGE('',*,*,#193499,.T.); #252969=ORIENTED_EDGE('',*,*,#193500,.F.); #252970=ORIENTED_EDGE('',*,*,#193499,.F.); #252971=ORIENTED_EDGE('',*,*,#193501,.F.); #252972=ORIENTED_EDGE('',*,*,#193502,.T.); #252973=ORIENTED_EDGE('',*,*,#193503,.F.); #252974=ORIENTED_EDGE('',*,*,#193502,.F.); #252975=ORIENTED_EDGE('',*,*,#193504,.F.); #252976=ORIENTED_EDGE('',*,*,#193505,.T.); #252977=ORIENTED_EDGE('',*,*,#193506,.F.); #252978=ORIENTED_EDGE('',*,*,#193505,.F.); #252979=ORIENTED_EDGE('',*,*,#193507,.F.); #252980=ORIENTED_EDGE('',*,*,#193508,.T.); #252981=ORIENTED_EDGE('',*,*,#193509,.F.); #252982=ORIENTED_EDGE('',*,*,#193508,.F.); #252983=ORIENTED_EDGE('',*,*,#193510,.F.); #252984=ORIENTED_EDGE('',*,*,#193511,.T.); #252985=ORIENTED_EDGE('',*,*,#193512,.F.); #252986=ORIENTED_EDGE('',*,*,#193511,.F.); #252987=ORIENTED_EDGE('',*,*,#193513,.F.); #252988=ORIENTED_EDGE('',*,*,#193514,.T.); #252989=ORIENTED_EDGE('',*,*,#193515,.F.); #252990=ORIENTED_EDGE('',*,*,#193514,.F.); #252991=ORIENTED_EDGE('',*,*,#193516,.F.); #252992=ORIENTED_EDGE('',*,*,#193517,.T.); #252993=ORIENTED_EDGE('',*,*,#193518,.F.); #252994=ORIENTED_EDGE('',*,*,#193517,.F.); #252995=ORIENTED_EDGE('',*,*,#193519,.F.); #252996=ORIENTED_EDGE('',*,*,#193520,.T.); #252997=ORIENTED_EDGE('',*,*,#193521,.F.); #252998=ORIENTED_EDGE('',*,*,#193520,.F.); #252999=ORIENTED_EDGE('',*,*,#193522,.F.); #253000=ORIENTED_EDGE('',*,*,#193523,.T.); #253001=ORIENTED_EDGE('',*,*,#193524,.F.); #253002=ORIENTED_EDGE('',*,*,#193523,.F.); #253003=ORIENTED_EDGE('',*,*,#193525,.F.); #253004=ORIENTED_EDGE('',*,*,#193526,.T.); #253005=ORIENTED_EDGE('',*,*,#193527,.F.); #253006=ORIENTED_EDGE('',*,*,#193526,.F.); #253007=ORIENTED_EDGE('',*,*,#193528,.F.); #253008=ORIENTED_EDGE('',*,*,#193529,.T.); #253009=ORIENTED_EDGE('',*,*,#193530,.F.); #253010=ORIENTED_EDGE('',*,*,#193529,.F.); #253011=ORIENTED_EDGE('',*,*,#193531,.F.); #253012=ORIENTED_EDGE('',*,*,#193532,.T.); #253013=ORIENTED_EDGE('',*,*,#193533,.F.); #253014=ORIENTED_EDGE('',*,*,#193532,.F.); #253015=ORIENTED_EDGE('',*,*,#193534,.F.); #253016=ORIENTED_EDGE('',*,*,#193535,.T.); #253017=ORIENTED_EDGE('',*,*,#193536,.F.); #253018=ORIENTED_EDGE('',*,*,#193535,.F.); #253019=ORIENTED_EDGE('',*,*,#193537,.F.); #253020=ORIENTED_EDGE('',*,*,#193538,.T.); #253021=ORIENTED_EDGE('',*,*,#193539,.F.); #253022=ORIENTED_EDGE('',*,*,#193538,.F.); #253023=ORIENTED_EDGE('',*,*,#193540,.F.); #253024=ORIENTED_EDGE('',*,*,#193541,.T.); #253025=ORIENTED_EDGE('',*,*,#193542,.F.); #253026=ORIENTED_EDGE('',*,*,#193541,.F.); #253027=ORIENTED_EDGE('',*,*,#193543,.F.); #253028=ORIENTED_EDGE('',*,*,#193544,.F.); #253029=ORIENTED_EDGE('',*,*,#193545,.F.); #253030=ORIENTED_EDGE('',*,*,#193546,.T.); #253031=ORIENTED_EDGE('',*,*,#193547,.F.); #253032=ORIENTED_EDGE('',*,*,#193546,.F.); #253033=ORIENTED_EDGE('',*,*,#193548,.F.); #253034=ORIENTED_EDGE('',*,*,#193549,.T.); #253035=ORIENTED_EDGE('',*,*,#193550,.F.); #253036=ORIENTED_EDGE('',*,*,#193549,.F.); #253037=ORIENTED_EDGE('',*,*,#193551,.F.); #253038=ORIENTED_EDGE('',*,*,#193552,.T.); #253039=ORIENTED_EDGE('',*,*,#193553,.F.); #253040=ORIENTED_EDGE('',*,*,#193552,.F.); #253041=ORIENTED_EDGE('',*,*,#193554,.F.); #253042=ORIENTED_EDGE('',*,*,#193544,.T.); #253043=ORIENTED_EDGE('',*,*,#193555,.F.); #253044=ORIENTED_EDGE('',*,*,#193556,.T.); #253045=ORIENTED_EDGE('',*,*,#193557,.F.); #253046=ORIENTED_EDGE('',*,*,#193556,.F.); #253047=ORIENTED_EDGE('',*,*,#193558,.F.); #253048=ORIENTED_EDGE('',*,*,#193559,.T.); #253049=ORIENTED_EDGE('',*,*,#193560,.F.); #253050=ORIENTED_EDGE('',*,*,#193559,.F.); #253051=ORIENTED_EDGE('',*,*,#193561,.F.); #253052=ORIENTED_EDGE('',*,*,#193562,.T.); #253053=ORIENTED_EDGE('',*,*,#193563,.F.); #253054=ORIENTED_EDGE('',*,*,#193562,.F.); #253055=ORIENTED_EDGE('',*,*,#193564,.F.); #253056=ORIENTED_EDGE('',*,*,#193565,.T.); #253057=ORIENTED_EDGE('',*,*,#193566,.F.); #253058=ORIENTED_EDGE('',*,*,#193565,.F.); #253059=ORIENTED_EDGE('',*,*,#193567,.F.); #253060=ORIENTED_EDGE('',*,*,#193568,.F.); #253061=ORIENTED_EDGE('',*,*,#193569,.F.); #253062=ORIENTED_EDGE('',*,*,#193570,.T.); #253063=ORIENTED_EDGE('',*,*,#193571,.F.); #253064=ORIENTED_EDGE('',*,*,#193570,.F.); #253065=ORIENTED_EDGE('',*,*,#193572,.F.); #253066=ORIENTED_EDGE('',*,*,#193573,.T.); #253067=ORIENTED_EDGE('',*,*,#193574,.F.); #253068=ORIENTED_EDGE('',*,*,#193573,.F.); #253069=ORIENTED_EDGE('',*,*,#193575,.F.); #253070=ORIENTED_EDGE('',*,*,#193576,.T.); #253071=ORIENTED_EDGE('',*,*,#193577,.F.); #253072=ORIENTED_EDGE('',*,*,#193576,.F.); #253073=ORIENTED_EDGE('',*,*,#193578,.F.); #253074=ORIENTED_EDGE('',*,*,#193568,.T.); #253075=ORIENTED_EDGE('',*,*,#193579,.F.); #253076=ORIENTED_EDGE('',*,*,#193580,.F.); #253077=ORIENTED_EDGE('',*,*,#193581,.F.); #253078=ORIENTED_EDGE('',*,*,#193582,.T.); #253079=ORIENTED_EDGE('',*,*,#193583,.F.); #253080=ORIENTED_EDGE('',*,*,#193582,.F.); #253081=ORIENTED_EDGE('',*,*,#193584,.F.); #253082=ORIENTED_EDGE('',*,*,#193585,.T.); #253083=ORIENTED_EDGE('',*,*,#193586,.F.); #253084=ORIENTED_EDGE('',*,*,#193585,.F.); #253085=ORIENTED_EDGE('',*,*,#193587,.F.); #253086=ORIENTED_EDGE('',*,*,#193588,.T.); #253087=ORIENTED_EDGE('',*,*,#193589,.F.); #253088=ORIENTED_EDGE('',*,*,#193588,.F.); #253089=ORIENTED_EDGE('',*,*,#193590,.F.); #253090=ORIENTED_EDGE('',*,*,#193580,.T.); #253091=ORIENTED_EDGE('',*,*,#193591,.F.); #253092=ORIENTED_EDGE('',*,*,#193592,.F.); #253093=ORIENTED_EDGE('',*,*,#193593,.F.); #253094=ORIENTED_EDGE('',*,*,#193594,.T.); #253095=ORIENTED_EDGE('',*,*,#193595,.F.); #253096=ORIENTED_EDGE('',*,*,#193594,.F.); #253097=ORIENTED_EDGE('',*,*,#193596,.F.); #253098=ORIENTED_EDGE('',*,*,#193597,.T.); #253099=ORIENTED_EDGE('',*,*,#193598,.F.); #253100=ORIENTED_EDGE('',*,*,#193597,.F.); #253101=ORIENTED_EDGE('',*,*,#193599,.F.); #253102=ORIENTED_EDGE('',*,*,#193600,.T.); #253103=ORIENTED_EDGE('',*,*,#193601,.F.); #253104=ORIENTED_EDGE('',*,*,#193600,.F.); #253105=ORIENTED_EDGE('',*,*,#193602,.F.); #253106=ORIENTED_EDGE('',*,*,#193592,.T.); #253107=ORIENTED_EDGE('',*,*,#193603,.F.); #253108=ORIENTED_EDGE('',*,*,#193604,.T.); #253109=ORIENTED_EDGE('',*,*,#193605,.F.); #253110=ORIENTED_EDGE('',*,*,#193604,.F.); #253111=ORIENTED_EDGE('',*,*,#193606,.F.); #253112=ORIENTED_EDGE('',*,*,#193607,.T.); #253113=ORIENTED_EDGE('',*,*,#193608,.F.); #253114=ORIENTED_EDGE('',*,*,#193607,.F.); #253115=ORIENTED_EDGE('',*,*,#193609,.F.); #253116=ORIENTED_EDGE('',*,*,#193610,.T.); #253117=ORIENTED_EDGE('',*,*,#193611,.F.); #253118=ORIENTED_EDGE('',*,*,#193610,.F.); #253119=ORIENTED_EDGE('',*,*,#193612,.F.); #253120=ORIENTED_EDGE('',*,*,#193613,.T.); #253121=ORIENTED_EDGE('',*,*,#193614,.F.); #253122=ORIENTED_EDGE('',*,*,#193613,.F.); #253123=ORIENTED_EDGE('',*,*,#193615,.F.); #253124=ORIENTED_EDGE('',*,*,#193616,.T.); #253125=ORIENTED_EDGE('',*,*,#193617,.F.); #253126=ORIENTED_EDGE('',*,*,#193616,.F.); #253127=ORIENTED_EDGE('',*,*,#193618,.F.); #253128=ORIENTED_EDGE('',*,*,#193619,.T.); #253129=ORIENTED_EDGE('',*,*,#193620,.F.); #253130=ORIENTED_EDGE('',*,*,#193619,.F.); #253131=ORIENTED_EDGE('',*,*,#193621,.F.); #253132=ORIENTED_EDGE('',*,*,#193622,.T.); #253133=ORIENTED_EDGE('',*,*,#193623,.F.); #253134=ORIENTED_EDGE('',*,*,#193622,.F.); #253135=ORIENTED_EDGE('',*,*,#193624,.F.); #253136=ORIENTED_EDGE('',*,*,#193625,.T.); #253137=ORIENTED_EDGE('',*,*,#193626,.F.); #253138=ORIENTED_EDGE('',*,*,#193625,.F.); #253139=ORIENTED_EDGE('',*,*,#193627,.F.); #253140=ORIENTED_EDGE('',*,*,#193628,.T.); #253141=ORIENTED_EDGE('',*,*,#193629,.F.); #253142=ORIENTED_EDGE('',*,*,#193628,.F.); #253143=ORIENTED_EDGE('',*,*,#193630,.F.); #253144=ORIENTED_EDGE('',*,*,#193631,.T.); #253145=ORIENTED_EDGE('',*,*,#193632,.F.); #253146=ORIENTED_EDGE('',*,*,#193631,.F.); #253147=ORIENTED_EDGE('',*,*,#193633,.F.); #253148=ORIENTED_EDGE('',*,*,#193634,.T.); #253149=ORIENTED_EDGE('',*,*,#193635,.F.); #253150=ORIENTED_EDGE('',*,*,#193634,.F.); #253151=ORIENTED_EDGE('',*,*,#193636,.F.); #253152=ORIENTED_EDGE('',*,*,#193637,.T.); #253153=ORIENTED_EDGE('',*,*,#193638,.F.); #253154=ORIENTED_EDGE('',*,*,#193637,.F.); #253155=ORIENTED_EDGE('',*,*,#193639,.F.); #253156=ORIENTED_EDGE('',*,*,#193640,.T.); #253157=ORIENTED_EDGE('',*,*,#193641,.F.); #253158=ORIENTED_EDGE('',*,*,#193640,.F.); #253159=ORIENTED_EDGE('',*,*,#193642,.F.); #253160=ORIENTED_EDGE('',*,*,#193643,.T.); #253161=ORIENTED_EDGE('',*,*,#193644,.F.); #253162=ORIENTED_EDGE('',*,*,#193643,.F.); #253163=ORIENTED_EDGE('',*,*,#193645,.F.); #253164=ORIENTED_EDGE('',*,*,#193646,.T.); #253165=ORIENTED_EDGE('',*,*,#193647,.F.); #253166=ORIENTED_EDGE('',*,*,#193646,.F.); #253167=ORIENTED_EDGE('',*,*,#193648,.F.); #253168=ORIENTED_EDGE('',*,*,#193649,.T.); #253169=ORIENTED_EDGE('',*,*,#193650,.F.); #253170=ORIENTED_EDGE('',*,*,#193649,.F.); #253171=ORIENTED_EDGE('',*,*,#193651,.F.); #253172=ORIENTED_EDGE('',*,*,#193652,.T.); #253173=ORIENTED_EDGE('',*,*,#193653,.F.); #253174=ORIENTED_EDGE('',*,*,#193652,.F.); #253175=ORIENTED_EDGE('',*,*,#193654,.F.); #253176=ORIENTED_EDGE('',*,*,#193655,.T.); #253177=ORIENTED_EDGE('',*,*,#193656,.F.); #253178=ORIENTED_EDGE('',*,*,#193655,.F.); #253179=ORIENTED_EDGE('',*,*,#193657,.F.); #253180=ORIENTED_EDGE('',*,*,#193658,.T.); #253181=ORIENTED_EDGE('',*,*,#193659,.F.); #253182=ORIENTED_EDGE('',*,*,#193658,.F.); #253183=ORIENTED_EDGE('',*,*,#193660,.T.); #253184=ORIENTED_EDGE('',*,*,#193661,.T.); #253185=ORIENTED_EDGE('',*,*,#193662,.F.); #253186=ORIENTED_EDGE('',*,*,#193663,.F.); #253187=ORIENTED_EDGE('',*,*,#193664,.T.); #253188=ORIENTED_EDGE('',*,*,#193663,.T.); #253189=ORIENTED_EDGE('',*,*,#193665,.F.); #253190=ORIENTED_EDGE('',*,*,#193666,.F.); #253191=ORIENTED_EDGE('',*,*,#193667,.T.); #253192=ORIENTED_EDGE('',*,*,#193666,.T.); #253193=ORIENTED_EDGE('',*,*,#193668,.F.); #253194=ORIENTED_EDGE('',*,*,#193669,.F.); #253195=ORIENTED_EDGE('',*,*,#193670,.T.); #253196=ORIENTED_EDGE('',*,*,#193669,.T.); #253197=ORIENTED_EDGE('',*,*,#193671,.F.); #253198=ORIENTED_EDGE('',*,*,#193672,.F.); #253199=ORIENTED_EDGE('',*,*,#193673,.T.); #253200=ORIENTED_EDGE('',*,*,#193672,.T.); #253201=ORIENTED_EDGE('',*,*,#193674,.F.); #253202=ORIENTED_EDGE('',*,*,#193675,.F.); #253203=ORIENTED_EDGE('',*,*,#193676,.T.); #253204=ORIENTED_EDGE('',*,*,#193675,.T.); #253205=ORIENTED_EDGE('',*,*,#193677,.F.); #253206=ORIENTED_EDGE('',*,*,#193678,.F.); #253207=ORIENTED_EDGE('',*,*,#193679,.T.); #253208=ORIENTED_EDGE('',*,*,#193678,.T.); #253209=ORIENTED_EDGE('',*,*,#193680,.F.); #253210=ORIENTED_EDGE('',*,*,#193681,.F.); #253211=ORIENTED_EDGE('',*,*,#193682,.T.); #253212=ORIENTED_EDGE('',*,*,#193681,.T.); #253213=ORIENTED_EDGE('',*,*,#193683,.F.); #253214=ORIENTED_EDGE('',*,*,#193661,.F.); #253215=ORIENTED_EDGE('',*,*,#193684,.T.); #253216=ORIENTED_EDGE('',*,*,#193685,.T.); #253217=ORIENTED_EDGE('',*,*,#193686,.F.); #253218=ORIENTED_EDGE('',*,*,#193687,.F.); #253219=ORIENTED_EDGE('',*,*,#193688,.T.); #253220=ORIENTED_EDGE('',*,*,#193687,.T.); #253221=ORIENTED_EDGE('',*,*,#193689,.F.); #253222=ORIENTED_EDGE('',*,*,#193690,.F.); #253223=ORIENTED_EDGE('',*,*,#193691,.T.); #253224=ORIENTED_EDGE('',*,*,#193690,.T.); #253225=ORIENTED_EDGE('',*,*,#193692,.F.); #253226=ORIENTED_EDGE('',*,*,#193693,.F.); #253227=ORIENTED_EDGE('',*,*,#193694,.T.); #253228=ORIENTED_EDGE('',*,*,#193693,.T.); #253229=ORIENTED_EDGE('',*,*,#193695,.F.); #253230=ORIENTED_EDGE('',*,*,#193696,.F.); #253231=ORIENTED_EDGE('',*,*,#193697,.T.); #253232=ORIENTED_EDGE('',*,*,#193696,.T.); #253233=ORIENTED_EDGE('',*,*,#193698,.F.); #253234=ORIENTED_EDGE('',*,*,#193699,.F.); #253235=ORIENTED_EDGE('',*,*,#193700,.T.); #253236=ORIENTED_EDGE('',*,*,#193699,.T.); #253237=ORIENTED_EDGE('',*,*,#193701,.F.); #253238=ORIENTED_EDGE('',*,*,#193702,.F.); #253239=ORIENTED_EDGE('',*,*,#193703,.T.); #253240=ORIENTED_EDGE('',*,*,#193702,.T.); #253241=ORIENTED_EDGE('',*,*,#193704,.F.); #253242=ORIENTED_EDGE('',*,*,#193705,.F.); #253243=ORIENTED_EDGE('',*,*,#193706,.T.); #253244=ORIENTED_EDGE('',*,*,#193705,.T.); #253245=ORIENTED_EDGE('',*,*,#193707,.F.); #253246=ORIENTED_EDGE('',*,*,#193708,.F.); #253247=ORIENTED_EDGE('',*,*,#193709,.T.); #253248=ORIENTED_EDGE('',*,*,#193708,.T.); #253249=ORIENTED_EDGE('',*,*,#193710,.F.); #253250=ORIENTED_EDGE('',*,*,#193711,.F.); #253251=ORIENTED_EDGE('',*,*,#193712,.T.); #253252=ORIENTED_EDGE('',*,*,#193711,.T.); #253253=ORIENTED_EDGE('',*,*,#193713,.F.); #253254=ORIENTED_EDGE('',*,*,#193714,.F.); #253255=ORIENTED_EDGE('',*,*,#193715,.T.); #253256=ORIENTED_EDGE('',*,*,#193714,.T.); #253257=ORIENTED_EDGE('',*,*,#193716,.F.); #253258=ORIENTED_EDGE('',*,*,#193717,.F.); #253259=ORIENTED_EDGE('',*,*,#193718,.T.); #253260=ORIENTED_EDGE('',*,*,#193717,.T.); #253261=ORIENTED_EDGE('',*,*,#193719,.F.); #253262=ORIENTED_EDGE('',*,*,#193720,.F.); #253263=ORIENTED_EDGE('',*,*,#193721,.T.); #253264=ORIENTED_EDGE('',*,*,#193720,.T.); #253265=ORIENTED_EDGE('',*,*,#193722,.F.); #253266=ORIENTED_EDGE('',*,*,#193723,.F.); #253267=ORIENTED_EDGE('',*,*,#193724,.T.); #253268=ORIENTED_EDGE('',*,*,#193723,.T.); #253269=ORIENTED_EDGE('',*,*,#193725,.F.); #253270=ORIENTED_EDGE('',*,*,#193726,.F.); #253271=ORIENTED_EDGE('',*,*,#193727,.T.); #253272=ORIENTED_EDGE('',*,*,#193726,.T.); #253273=ORIENTED_EDGE('',*,*,#193728,.F.); #253274=ORIENTED_EDGE('',*,*,#193729,.F.); #253275=ORIENTED_EDGE('',*,*,#193730,.T.); #253276=ORIENTED_EDGE('',*,*,#193729,.T.); #253277=ORIENTED_EDGE('',*,*,#193731,.F.); #253278=ORIENTED_EDGE('',*,*,#193685,.F.); #253279=ORIENTED_EDGE('',*,*,#193732,.T.); #253280=ORIENTED_EDGE('',*,*,#193733,.T.); #253281=ORIENTED_EDGE('',*,*,#193734,.F.); #253282=ORIENTED_EDGE('',*,*,#193735,.F.); #253283=ORIENTED_EDGE('',*,*,#193736,.T.); #253284=ORIENTED_EDGE('',*,*,#193735,.T.); #253285=ORIENTED_EDGE('',*,*,#193737,.F.); #253286=ORIENTED_EDGE('',*,*,#193738,.F.); #253287=ORIENTED_EDGE('',*,*,#193739,.T.); #253288=ORIENTED_EDGE('',*,*,#193738,.T.); #253289=ORIENTED_EDGE('',*,*,#193740,.F.); #253290=ORIENTED_EDGE('',*,*,#193741,.F.); #253291=ORIENTED_EDGE('',*,*,#193742,.T.); #253292=ORIENTED_EDGE('',*,*,#193741,.T.); #253293=ORIENTED_EDGE('',*,*,#193743,.F.); #253294=ORIENTED_EDGE('',*,*,#193744,.F.); #253295=ORIENTED_EDGE('',*,*,#193745,.T.); #253296=ORIENTED_EDGE('',*,*,#193744,.T.); #253297=ORIENTED_EDGE('',*,*,#193746,.F.); #253298=ORIENTED_EDGE('',*,*,#193747,.F.); #253299=ORIENTED_EDGE('',*,*,#193748,.T.); #253300=ORIENTED_EDGE('',*,*,#193747,.T.); #253301=ORIENTED_EDGE('',*,*,#193749,.F.); #253302=ORIENTED_EDGE('',*,*,#193750,.F.); #253303=ORIENTED_EDGE('',*,*,#193751,.T.); #253304=ORIENTED_EDGE('',*,*,#193750,.T.); #253305=ORIENTED_EDGE('',*,*,#193752,.F.); #253306=ORIENTED_EDGE('',*,*,#193753,.F.); #253307=ORIENTED_EDGE('',*,*,#193754,.T.); #253308=ORIENTED_EDGE('',*,*,#193753,.T.); #253309=ORIENTED_EDGE('',*,*,#193755,.F.); #253310=ORIENTED_EDGE('',*,*,#193756,.F.); #253311=ORIENTED_EDGE('',*,*,#193757,.T.); #253312=ORIENTED_EDGE('',*,*,#193756,.T.); #253313=ORIENTED_EDGE('',*,*,#193758,.F.); #253314=ORIENTED_EDGE('',*,*,#193759,.F.); #253315=ORIENTED_EDGE('',*,*,#193760,.T.); #253316=ORIENTED_EDGE('',*,*,#193759,.T.); #253317=ORIENTED_EDGE('',*,*,#193761,.F.); #253318=ORIENTED_EDGE('',*,*,#193762,.F.); #253319=ORIENTED_EDGE('',*,*,#193763,.T.); #253320=ORIENTED_EDGE('',*,*,#193762,.T.); #253321=ORIENTED_EDGE('',*,*,#193764,.F.); #253322=ORIENTED_EDGE('',*,*,#193765,.F.); #253323=ORIENTED_EDGE('',*,*,#193766,.T.); #253324=ORIENTED_EDGE('',*,*,#193765,.T.); #253325=ORIENTED_EDGE('',*,*,#193767,.F.); #253326=ORIENTED_EDGE('',*,*,#193768,.F.); #253327=ORIENTED_EDGE('',*,*,#193769,.T.); #253328=ORIENTED_EDGE('',*,*,#193768,.T.); #253329=ORIENTED_EDGE('',*,*,#193770,.F.); #253330=ORIENTED_EDGE('',*,*,#193771,.F.); #253331=ORIENTED_EDGE('',*,*,#193772,.T.); #253332=ORIENTED_EDGE('',*,*,#193771,.T.); #253333=ORIENTED_EDGE('',*,*,#193773,.F.); #253334=ORIENTED_EDGE('',*,*,#193774,.F.); #253335=ORIENTED_EDGE('',*,*,#193775,.T.); #253336=ORIENTED_EDGE('',*,*,#193774,.T.); #253337=ORIENTED_EDGE('',*,*,#193776,.F.); #253338=ORIENTED_EDGE('',*,*,#193777,.F.); #253339=ORIENTED_EDGE('',*,*,#193778,.T.); #253340=ORIENTED_EDGE('',*,*,#193777,.T.); #253341=ORIENTED_EDGE('',*,*,#193779,.F.); #253342=ORIENTED_EDGE('',*,*,#193733,.F.); #253343=ORIENTED_EDGE('',*,*,#193780,.T.); #253344=ORIENTED_EDGE('',*,*,#193781,.T.); #253345=ORIENTED_EDGE('',*,*,#193782,.F.); #253346=ORIENTED_EDGE('',*,*,#193783,.F.); #253347=ORIENTED_EDGE('',*,*,#193784,.T.); #253348=ORIENTED_EDGE('',*,*,#193783,.T.); #253349=ORIENTED_EDGE('',*,*,#193785,.F.); #253350=ORIENTED_EDGE('',*,*,#193786,.F.); #253351=ORIENTED_EDGE('',*,*,#193787,.T.); #253352=ORIENTED_EDGE('',*,*,#193786,.T.); #253353=ORIENTED_EDGE('',*,*,#193788,.F.); #253354=ORIENTED_EDGE('',*,*,#193789,.F.); #253355=ORIENTED_EDGE('',*,*,#193790,.T.); #253356=ORIENTED_EDGE('',*,*,#193789,.T.); #253357=ORIENTED_EDGE('',*,*,#193791,.F.); #253358=ORIENTED_EDGE('',*,*,#193792,.F.); #253359=ORIENTED_EDGE('',*,*,#193793,.T.); #253360=ORIENTED_EDGE('',*,*,#193792,.T.); #253361=ORIENTED_EDGE('',*,*,#193794,.F.); #253362=ORIENTED_EDGE('',*,*,#193795,.F.); #253363=ORIENTED_EDGE('',*,*,#193796,.T.); #253364=ORIENTED_EDGE('',*,*,#193795,.T.); #253365=ORIENTED_EDGE('',*,*,#193797,.F.); #253366=ORIENTED_EDGE('',*,*,#193798,.F.); #253367=ORIENTED_EDGE('',*,*,#193799,.T.); #253368=ORIENTED_EDGE('',*,*,#193798,.T.); #253369=ORIENTED_EDGE('',*,*,#193800,.F.); #253370=ORIENTED_EDGE('',*,*,#193801,.F.); #253371=ORIENTED_EDGE('',*,*,#193802,.T.); #253372=ORIENTED_EDGE('',*,*,#193801,.T.); #253373=ORIENTED_EDGE('',*,*,#193803,.F.); #253374=ORIENTED_EDGE('',*,*,#193804,.F.); #253375=ORIENTED_EDGE('',*,*,#193805,.T.); #253376=ORIENTED_EDGE('',*,*,#193804,.T.); #253377=ORIENTED_EDGE('',*,*,#193806,.F.); #253378=ORIENTED_EDGE('',*,*,#193807,.F.); #253379=ORIENTED_EDGE('',*,*,#193808,.T.); #253380=ORIENTED_EDGE('',*,*,#193807,.T.); #253381=ORIENTED_EDGE('',*,*,#193809,.F.); #253382=ORIENTED_EDGE('',*,*,#193810,.F.); #253383=ORIENTED_EDGE('',*,*,#193811,.T.); #253384=ORIENTED_EDGE('',*,*,#193810,.T.); #253385=ORIENTED_EDGE('',*,*,#193812,.F.); #253386=ORIENTED_EDGE('',*,*,#193813,.F.); #253387=ORIENTED_EDGE('',*,*,#193814,.T.); #253388=ORIENTED_EDGE('',*,*,#193813,.T.); #253389=ORIENTED_EDGE('',*,*,#193815,.F.); #253390=ORIENTED_EDGE('',*,*,#193816,.F.); #253391=ORIENTED_EDGE('',*,*,#193817,.T.); #253392=ORIENTED_EDGE('',*,*,#193816,.T.); #253393=ORIENTED_EDGE('',*,*,#193818,.F.); #253394=ORIENTED_EDGE('',*,*,#193819,.F.); #253395=ORIENTED_EDGE('',*,*,#193820,.T.); #253396=ORIENTED_EDGE('',*,*,#193819,.T.); #253397=ORIENTED_EDGE('',*,*,#193821,.F.); #253398=ORIENTED_EDGE('',*,*,#193822,.F.); #253399=ORIENTED_EDGE('',*,*,#193823,.T.); #253400=ORIENTED_EDGE('',*,*,#193822,.T.); #253401=ORIENTED_EDGE('',*,*,#193824,.F.); #253402=ORIENTED_EDGE('',*,*,#193825,.F.); #253403=ORIENTED_EDGE('',*,*,#193826,.T.); #253404=ORIENTED_EDGE('',*,*,#193825,.T.); #253405=ORIENTED_EDGE('',*,*,#193827,.F.); #253406=ORIENTED_EDGE('',*,*,#193828,.F.); #253407=ORIENTED_EDGE('',*,*,#193829,.T.); #253408=ORIENTED_EDGE('',*,*,#193828,.T.); #253409=ORIENTED_EDGE('',*,*,#193830,.F.); #253410=ORIENTED_EDGE('',*,*,#193831,.F.); #253411=ORIENTED_EDGE('',*,*,#193832,.T.); #253412=ORIENTED_EDGE('',*,*,#193831,.T.); #253413=ORIENTED_EDGE('',*,*,#193833,.F.); #253414=ORIENTED_EDGE('',*,*,#193834,.F.); #253415=ORIENTED_EDGE('',*,*,#193835,.T.); #253416=ORIENTED_EDGE('',*,*,#193834,.T.); #253417=ORIENTED_EDGE('',*,*,#193836,.F.); #253418=ORIENTED_EDGE('',*,*,#193837,.F.); #253419=ORIENTED_EDGE('',*,*,#193838,.T.); #253420=ORIENTED_EDGE('',*,*,#193837,.T.); #253421=ORIENTED_EDGE('',*,*,#193839,.F.); #253422=ORIENTED_EDGE('',*,*,#193840,.F.); #253423=ORIENTED_EDGE('',*,*,#193841,.T.); #253424=ORIENTED_EDGE('',*,*,#193840,.T.); #253425=ORIENTED_EDGE('',*,*,#193842,.F.); #253426=ORIENTED_EDGE('',*,*,#193843,.F.); #253427=ORIENTED_EDGE('',*,*,#193844,.T.); #253428=ORIENTED_EDGE('',*,*,#193843,.T.); #253429=ORIENTED_EDGE('',*,*,#193845,.F.); #253430=ORIENTED_EDGE('',*,*,#193846,.F.); #253431=ORIENTED_EDGE('',*,*,#193847,.T.); #253432=ORIENTED_EDGE('',*,*,#193846,.T.); #253433=ORIENTED_EDGE('',*,*,#193848,.F.); #253434=ORIENTED_EDGE('',*,*,#193849,.F.); #253435=ORIENTED_EDGE('',*,*,#193850,.T.); #253436=ORIENTED_EDGE('',*,*,#193849,.T.); #253437=ORIENTED_EDGE('',*,*,#193851,.F.); #253438=ORIENTED_EDGE('',*,*,#193852,.F.); #253439=ORIENTED_EDGE('',*,*,#193853,.T.); #253440=ORIENTED_EDGE('',*,*,#193852,.T.); #253441=ORIENTED_EDGE('',*,*,#193854,.F.); #253442=ORIENTED_EDGE('',*,*,#193855,.F.); #253443=ORIENTED_EDGE('',*,*,#193856,.T.); #253444=ORIENTED_EDGE('',*,*,#193855,.T.); #253445=ORIENTED_EDGE('',*,*,#193857,.F.); #253446=ORIENTED_EDGE('',*,*,#193858,.F.); #253447=ORIENTED_EDGE('',*,*,#193859,.T.); #253448=ORIENTED_EDGE('',*,*,#193858,.T.); #253449=ORIENTED_EDGE('',*,*,#193860,.F.); #253450=ORIENTED_EDGE('',*,*,#193861,.F.); #253451=ORIENTED_EDGE('',*,*,#193862,.T.); #253452=ORIENTED_EDGE('',*,*,#193861,.T.); #253453=ORIENTED_EDGE('',*,*,#193863,.F.); #253454=ORIENTED_EDGE('',*,*,#193864,.F.); #253455=ORIENTED_EDGE('',*,*,#193865,.T.); #253456=ORIENTED_EDGE('',*,*,#193864,.T.); #253457=ORIENTED_EDGE('',*,*,#193866,.F.); #253458=ORIENTED_EDGE('',*,*,#193867,.F.); #253459=ORIENTED_EDGE('',*,*,#193868,.T.); #253460=ORIENTED_EDGE('',*,*,#193867,.T.); #253461=ORIENTED_EDGE('',*,*,#193869,.F.); #253462=ORIENTED_EDGE('',*,*,#193870,.F.); #253463=ORIENTED_EDGE('',*,*,#193871,.T.); #253464=ORIENTED_EDGE('',*,*,#193870,.T.); #253465=ORIENTED_EDGE('',*,*,#193872,.F.); #253466=ORIENTED_EDGE('',*,*,#193873,.F.); #253467=ORIENTED_EDGE('',*,*,#193874,.T.); #253468=ORIENTED_EDGE('',*,*,#193873,.T.); #253469=ORIENTED_EDGE('',*,*,#193875,.F.); #253470=ORIENTED_EDGE('',*,*,#193876,.F.); #253471=ORIENTED_EDGE('',*,*,#193877,.T.); #253472=ORIENTED_EDGE('',*,*,#193876,.T.); #253473=ORIENTED_EDGE('',*,*,#193878,.F.); #253474=ORIENTED_EDGE('',*,*,#193879,.F.); #253475=ORIENTED_EDGE('',*,*,#193880,.T.); #253476=ORIENTED_EDGE('',*,*,#193879,.T.); #253477=ORIENTED_EDGE('',*,*,#193881,.F.); #253478=ORIENTED_EDGE('',*,*,#193882,.F.); #253479=ORIENTED_EDGE('',*,*,#193883,.T.); #253480=ORIENTED_EDGE('',*,*,#193882,.T.); #253481=ORIENTED_EDGE('',*,*,#193884,.F.); #253482=ORIENTED_EDGE('',*,*,#193885,.F.); #253483=ORIENTED_EDGE('',*,*,#193886,.T.); #253484=ORIENTED_EDGE('',*,*,#193885,.T.); #253485=ORIENTED_EDGE('',*,*,#193887,.F.); #253486=ORIENTED_EDGE('',*,*,#193781,.F.); #253487=ORIENTED_EDGE('',*,*,#193888,.T.); #253488=ORIENTED_EDGE('',*,*,#193889,.T.); #253489=ORIENTED_EDGE('',*,*,#193890,.F.); #253490=ORIENTED_EDGE('',*,*,#193891,.F.); #253491=ORIENTED_EDGE('',*,*,#193892,.T.); #253492=ORIENTED_EDGE('',*,*,#193891,.T.); #253493=ORIENTED_EDGE('',*,*,#193893,.F.); #253494=ORIENTED_EDGE('',*,*,#193894,.F.); #253495=ORIENTED_EDGE('',*,*,#193895,.T.); #253496=ORIENTED_EDGE('',*,*,#193894,.T.); #253497=ORIENTED_EDGE('',*,*,#193896,.F.); #253498=ORIENTED_EDGE('',*,*,#193897,.F.); #253499=ORIENTED_EDGE('',*,*,#193898,.T.); #253500=ORIENTED_EDGE('',*,*,#193897,.T.); #253501=ORIENTED_EDGE('',*,*,#193899,.F.); #253502=ORIENTED_EDGE('',*,*,#193900,.F.); #253503=ORIENTED_EDGE('',*,*,#193901,.T.); #253504=ORIENTED_EDGE('',*,*,#193900,.T.); #253505=ORIENTED_EDGE('',*,*,#193902,.F.); #253506=ORIENTED_EDGE('',*,*,#193903,.F.); #253507=ORIENTED_EDGE('',*,*,#193904,.T.); #253508=ORIENTED_EDGE('',*,*,#193903,.T.); #253509=ORIENTED_EDGE('',*,*,#193905,.F.); #253510=ORIENTED_EDGE('',*,*,#193906,.F.); #253511=ORIENTED_EDGE('',*,*,#193907,.T.); #253512=ORIENTED_EDGE('',*,*,#193906,.T.); #253513=ORIENTED_EDGE('',*,*,#193908,.F.); #253514=ORIENTED_EDGE('',*,*,#193909,.F.); #253515=ORIENTED_EDGE('',*,*,#193910,.T.); #253516=ORIENTED_EDGE('',*,*,#193909,.T.); #253517=ORIENTED_EDGE('',*,*,#193911,.F.); #253518=ORIENTED_EDGE('',*,*,#193912,.F.); #253519=ORIENTED_EDGE('',*,*,#193913,.T.); #253520=ORIENTED_EDGE('',*,*,#193912,.T.); #253521=ORIENTED_EDGE('',*,*,#193914,.F.); #253522=ORIENTED_EDGE('',*,*,#193915,.F.); #253523=ORIENTED_EDGE('',*,*,#193916,.T.); #253524=ORIENTED_EDGE('',*,*,#193915,.T.); #253525=ORIENTED_EDGE('',*,*,#193917,.F.); #253526=ORIENTED_EDGE('',*,*,#193918,.F.); #253527=ORIENTED_EDGE('',*,*,#193919,.T.); #253528=ORIENTED_EDGE('',*,*,#193918,.T.); #253529=ORIENTED_EDGE('',*,*,#193920,.F.); #253530=ORIENTED_EDGE('',*,*,#193921,.F.); #253531=ORIENTED_EDGE('',*,*,#193922,.T.); #253532=ORIENTED_EDGE('',*,*,#193921,.T.); #253533=ORIENTED_EDGE('',*,*,#193923,.F.); #253534=ORIENTED_EDGE('',*,*,#193924,.F.); #253535=ORIENTED_EDGE('',*,*,#193925,.T.); #253536=ORIENTED_EDGE('',*,*,#193924,.T.); #253537=ORIENTED_EDGE('',*,*,#193926,.F.); #253538=ORIENTED_EDGE('',*,*,#193927,.F.); #253539=ORIENTED_EDGE('',*,*,#193928,.T.); #253540=ORIENTED_EDGE('',*,*,#193927,.T.); #253541=ORIENTED_EDGE('',*,*,#193929,.F.); #253542=ORIENTED_EDGE('',*,*,#193930,.F.); #253543=ORIENTED_EDGE('',*,*,#193931,.T.); #253544=ORIENTED_EDGE('',*,*,#193930,.T.); #253545=ORIENTED_EDGE('',*,*,#193932,.F.); #253546=ORIENTED_EDGE('',*,*,#193933,.F.); #253547=ORIENTED_EDGE('',*,*,#193934,.T.); #253548=ORIENTED_EDGE('',*,*,#193933,.T.); #253549=ORIENTED_EDGE('',*,*,#193935,.F.); #253550=ORIENTED_EDGE('',*,*,#193936,.F.); #253551=ORIENTED_EDGE('',*,*,#193937,.T.); #253552=ORIENTED_EDGE('',*,*,#193936,.T.); #253553=ORIENTED_EDGE('',*,*,#193938,.F.); #253554=ORIENTED_EDGE('',*,*,#193939,.F.); #253555=ORIENTED_EDGE('',*,*,#193940,.T.); #253556=ORIENTED_EDGE('',*,*,#193939,.T.); #253557=ORIENTED_EDGE('',*,*,#193941,.F.); #253558=ORIENTED_EDGE('',*,*,#193942,.F.); #253559=ORIENTED_EDGE('',*,*,#193943,.T.); #253560=ORIENTED_EDGE('',*,*,#193942,.T.); #253561=ORIENTED_EDGE('',*,*,#193944,.F.); #253562=ORIENTED_EDGE('',*,*,#193945,.F.); #253563=ORIENTED_EDGE('',*,*,#193946,.T.); #253564=ORIENTED_EDGE('',*,*,#193945,.T.); #253565=ORIENTED_EDGE('',*,*,#193947,.F.); #253566=ORIENTED_EDGE('',*,*,#193948,.F.); #253567=ORIENTED_EDGE('',*,*,#193949,.T.); #253568=ORIENTED_EDGE('',*,*,#193948,.T.); #253569=ORIENTED_EDGE('',*,*,#193950,.F.); #253570=ORIENTED_EDGE('',*,*,#193951,.F.); #253571=ORIENTED_EDGE('',*,*,#193952,.T.); #253572=ORIENTED_EDGE('',*,*,#193951,.T.); #253573=ORIENTED_EDGE('',*,*,#193953,.F.); #253574=ORIENTED_EDGE('',*,*,#193954,.F.); #253575=ORIENTED_EDGE('',*,*,#193955,.T.); #253576=ORIENTED_EDGE('',*,*,#193954,.T.); #253577=ORIENTED_EDGE('',*,*,#193956,.F.); #253578=ORIENTED_EDGE('',*,*,#193957,.F.); #253579=ORIENTED_EDGE('',*,*,#193958,.T.); #253580=ORIENTED_EDGE('',*,*,#193957,.T.); #253581=ORIENTED_EDGE('',*,*,#193959,.F.); #253582=ORIENTED_EDGE('',*,*,#193960,.F.); #253583=ORIENTED_EDGE('',*,*,#193961,.T.); #253584=ORIENTED_EDGE('',*,*,#193960,.T.); #253585=ORIENTED_EDGE('',*,*,#193962,.F.); #253586=ORIENTED_EDGE('',*,*,#193963,.F.); #253587=ORIENTED_EDGE('',*,*,#193964,.T.); #253588=ORIENTED_EDGE('',*,*,#193963,.T.); #253589=ORIENTED_EDGE('',*,*,#193965,.F.); #253590=ORIENTED_EDGE('',*,*,#193966,.F.); #253591=ORIENTED_EDGE('',*,*,#193967,.T.); #253592=ORIENTED_EDGE('',*,*,#193966,.T.); #253593=ORIENTED_EDGE('',*,*,#193968,.F.); #253594=ORIENTED_EDGE('',*,*,#193969,.F.); #253595=ORIENTED_EDGE('',*,*,#193970,.T.); #253596=ORIENTED_EDGE('',*,*,#193969,.T.); #253597=ORIENTED_EDGE('',*,*,#193971,.F.); #253598=ORIENTED_EDGE('',*,*,#193972,.F.); #253599=ORIENTED_EDGE('',*,*,#193973,.T.); #253600=ORIENTED_EDGE('',*,*,#193972,.T.); #253601=ORIENTED_EDGE('',*,*,#193974,.F.); #253602=ORIENTED_EDGE('',*,*,#193975,.F.); #253603=ORIENTED_EDGE('',*,*,#193976,.T.); #253604=ORIENTED_EDGE('',*,*,#193975,.T.); #253605=ORIENTED_EDGE('',*,*,#193977,.F.); #253606=ORIENTED_EDGE('',*,*,#193978,.F.); #253607=ORIENTED_EDGE('',*,*,#193979,.T.); #253608=ORIENTED_EDGE('',*,*,#193978,.T.); #253609=ORIENTED_EDGE('',*,*,#193980,.F.); #253610=ORIENTED_EDGE('',*,*,#193981,.F.); #253611=ORIENTED_EDGE('',*,*,#193982,.T.); #253612=ORIENTED_EDGE('',*,*,#193981,.T.); #253613=ORIENTED_EDGE('',*,*,#193983,.F.); #253614=ORIENTED_EDGE('',*,*,#193984,.F.); #253615=ORIENTED_EDGE('',*,*,#193985,.T.); #253616=ORIENTED_EDGE('',*,*,#193984,.T.); #253617=ORIENTED_EDGE('',*,*,#193986,.F.); #253618=ORIENTED_EDGE('',*,*,#193987,.F.); #253619=ORIENTED_EDGE('',*,*,#193988,.T.); #253620=ORIENTED_EDGE('',*,*,#193987,.T.); #253621=ORIENTED_EDGE('',*,*,#193989,.F.); #253622=ORIENTED_EDGE('',*,*,#193990,.F.); #253623=ORIENTED_EDGE('',*,*,#193991,.T.); #253624=ORIENTED_EDGE('',*,*,#193990,.T.); #253625=ORIENTED_EDGE('',*,*,#193992,.F.); #253626=ORIENTED_EDGE('',*,*,#193993,.F.); #253627=ORIENTED_EDGE('',*,*,#193994,.T.); #253628=ORIENTED_EDGE('',*,*,#193993,.T.); #253629=ORIENTED_EDGE('',*,*,#193995,.F.); #253630=ORIENTED_EDGE('',*,*,#193889,.F.); #253631=ORIENTED_EDGE('',*,*,#193996,.T.); #253632=ORIENTED_EDGE('',*,*,#193997,.T.); #253633=ORIENTED_EDGE('',*,*,#193998,.F.); #253634=ORIENTED_EDGE('',*,*,#193999,.F.); #253635=ORIENTED_EDGE('',*,*,#194000,.T.); #253636=ORIENTED_EDGE('',*,*,#193999,.T.); #253637=ORIENTED_EDGE('',*,*,#194001,.F.); #253638=ORIENTED_EDGE('',*,*,#194002,.F.); #253639=ORIENTED_EDGE('',*,*,#194003,.T.); #253640=ORIENTED_EDGE('',*,*,#194002,.T.); #253641=ORIENTED_EDGE('',*,*,#194004,.F.); #253642=ORIENTED_EDGE('',*,*,#194005,.F.); #253643=ORIENTED_EDGE('',*,*,#194006,.T.); #253644=ORIENTED_EDGE('',*,*,#194005,.T.); #253645=ORIENTED_EDGE('',*,*,#194007,.F.); #253646=ORIENTED_EDGE('',*,*,#194008,.F.); #253647=ORIENTED_EDGE('',*,*,#194009,.T.); #253648=ORIENTED_EDGE('',*,*,#194008,.T.); #253649=ORIENTED_EDGE('',*,*,#194010,.F.); #253650=ORIENTED_EDGE('',*,*,#194011,.F.); #253651=ORIENTED_EDGE('',*,*,#194012,.T.); #253652=ORIENTED_EDGE('',*,*,#194011,.T.); #253653=ORIENTED_EDGE('',*,*,#194013,.F.); #253654=ORIENTED_EDGE('',*,*,#194014,.F.); #253655=ORIENTED_EDGE('',*,*,#194015,.T.); #253656=ORIENTED_EDGE('',*,*,#194014,.T.); #253657=ORIENTED_EDGE('',*,*,#194016,.F.); #253658=ORIENTED_EDGE('',*,*,#194017,.F.); #253659=ORIENTED_EDGE('',*,*,#194018,.T.); #253660=ORIENTED_EDGE('',*,*,#194017,.T.); #253661=ORIENTED_EDGE('',*,*,#194019,.F.); #253662=ORIENTED_EDGE('',*,*,#194020,.F.); #253663=ORIENTED_EDGE('',*,*,#194021,.T.); #253664=ORIENTED_EDGE('',*,*,#194020,.T.); #253665=ORIENTED_EDGE('',*,*,#194022,.F.); #253666=ORIENTED_EDGE('',*,*,#194023,.F.); #253667=ORIENTED_EDGE('',*,*,#194024,.T.); #253668=ORIENTED_EDGE('',*,*,#194023,.T.); #253669=ORIENTED_EDGE('',*,*,#194025,.F.); #253670=ORIENTED_EDGE('',*,*,#194026,.F.); #253671=ORIENTED_EDGE('',*,*,#194027,.T.); #253672=ORIENTED_EDGE('',*,*,#194026,.T.); #253673=ORIENTED_EDGE('',*,*,#194028,.F.); #253674=ORIENTED_EDGE('',*,*,#194029,.F.); #253675=ORIENTED_EDGE('',*,*,#194030,.T.); #253676=ORIENTED_EDGE('',*,*,#194029,.T.); #253677=ORIENTED_EDGE('',*,*,#194031,.F.); #253678=ORIENTED_EDGE('',*,*,#194032,.F.); #253679=ORIENTED_EDGE('',*,*,#194033,.T.); #253680=ORIENTED_EDGE('',*,*,#194032,.T.); #253681=ORIENTED_EDGE('',*,*,#194034,.F.); #253682=ORIENTED_EDGE('',*,*,#194035,.F.); #253683=ORIENTED_EDGE('',*,*,#194036,.T.); #253684=ORIENTED_EDGE('',*,*,#194035,.T.); #253685=ORIENTED_EDGE('',*,*,#194037,.F.); #253686=ORIENTED_EDGE('',*,*,#194038,.F.); #253687=ORIENTED_EDGE('',*,*,#194039,.T.); #253688=ORIENTED_EDGE('',*,*,#194038,.T.); #253689=ORIENTED_EDGE('',*,*,#194040,.F.); #253690=ORIENTED_EDGE('',*,*,#194041,.F.); #253691=ORIENTED_EDGE('',*,*,#194042,.T.); #253692=ORIENTED_EDGE('',*,*,#194041,.T.); #253693=ORIENTED_EDGE('',*,*,#194043,.F.); #253694=ORIENTED_EDGE('',*,*,#194044,.F.); #253695=ORIENTED_EDGE('',*,*,#194045,.T.); #253696=ORIENTED_EDGE('',*,*,#194044,.T.); #253697=ORIENTED_EDGE('',*,*,#194046,.F.); #253698=ORIENTED_EDGE('',*,*,#194047,.F.); #253699=ORIENTED_EDGE('',*,*,#194048,.T.); #253700=ORIENTED_EDGE('',*,*,#194047,.T.); #253701=ORIENTED_EDGE('',*,*,#194049,.F.); #253702=ORIENTED_EDGE('',*,*,#194050,.F.); #253703=ORIENTED_EDGE('',*,*,#194051,.T.); #253704=ORIENTED_EDGE('',*,*,#194050,.T.); #253705=ORIENTED_EDGE('',*,*,#194052,.F.); #253706=ORIENTED_EDGE('',*,*,#194053,.F.); #253707=ORIENTED_EDGE('',*,*,#194054,.T.); #253708=ORIENTED_EDGE('',*,*,#194053,.T.); #253709=ORIENTED_EDGE('',*,*,#194055,.F.); #253710=ORIENTED_EDGE('',*,*,#194056,.F.); #253711=ORIENTED_EDGE('',*,*,#194057,.T.); #253712=ORIENTED_EDGE('',*,*,#194056,.T.); #253713=ORIENTED_EDGE('',*,*,#194058,.F.); #253714=ORIENTED_EDGE('',*,*,#194059,.F.); #253715=ORIENTED_EDGE('',*,*,#194060,.T.); #253716=ORIENTED_EDGE('',*,*,#194059,.T.); #253717=ORIENTED_EDGE('',*,*,#194061,.F.); #253718=ORIENTED_EDGE('',*,*,#194062,.F.); #253719=ORIENTED_EDGE('',*,*,#194063,.T.); #253720=ORIENTED_EDGE('',*,*,#194062,.T.); #253721=ORIENTED_EDGE('',*,*,#194064,.F.); #253722=ORIENTED_EDGE('',*,*,#194065,.F.); #253723=ORIENTED_EDGE('',*,*,#194066,.T.); #253724=ORIENTED_EDGE('',*,*,#194065,.T.); #253725=ORIENTED_EDGE('',*,*,#194067,.F.); #253726=ORIENTED_EDGE('',*,*,#194068,.F.); #253727=ORIENTED_EDGE('',*,*,#194069,.T.); #253728=ORIENTED_EDGE('',*,*,#194068,.T.); #253729=ORIENTED_EDGE('',*,*,#194070,.F.); #253730=ORIENTED_EDGE('',*,*,#194071,.F.); #253731=ORIENTED_EDGE('',*,*,#194072,.T.); #253732=ORIENTED_EDGE('',*,*,#194071,.T.); #253733=ORIENTED_EDGE('',*,*,#194073,.F.); #253734=ORIENTED_EDGE('',*,*,#194074,.F.); #253735=ORIENTED_EDGE('',*,*,#194075,.T.); #253736=ORIENTED_EDGE('',*,*,#194074,.T.); #253737=ORIENTED_EDGE('',*,*,#194076,.F.); #253738=ORIENTED_EDGE('',*,*,#194077,.F.); #253739=ORIENTED_EDGE('',*,*,#194078,.T.); #253740=ORIENTED_EDGE('',*,*,#194077,.T.); #253741=ORIENTED_EDGE('',*,*,#194079,.F.); #253742=ORIENTED_EDGE('',*,*,#194080,.F.); #253743=ORIENTED_EDGE('',*,*,#194081,.T.); #253744=ORIENTED_EDGE('',*,*,#194080,.T.); #253745=ORIENTED_EDGE('',*,*,#194082,.F.); #253746=ORIENTED_EDGE('',*,*,#194083,.F.); #253747=ORIENTED_EDGE('',*,*,#194084,.T.); #253748=ORIENTED_EDGE('',*,*,#194083,.T.); #253749=ORIENTED_EDGE('',*,*,#194085,.F.); #253750=ORIENTED_EDGE('',*,*,#194086,.F.); #253751=ORIENTED_EDGE('',*,*,#194087,.T.); #253752=ORIENTED_EDGE('',*,*,#194086,.T.); #253753=ORIENTED_EDGE('',*,*,#194088,.F.); #253754=ORIENTED_EDGE('',*,*,#194089,.F.); #253755=ORIENTED_EDGE('',*,*,#194090,.T.); #253756=ORIENTED_EDGE('',*,*,#194089,.T.); #253757=ORIENTED_EDGE('',*,*,#194091,.F.); #253758=ORIENTED_EDGE('',*,*,#194092,.F.); #253759=ORIENTED_EDGE('',*,*,#194093,.T.); #253760=ORIENTED_EDGE('',*,*,#194092,.T.); #253761=ORIENTED_EDGE('',*,*,#194094,.F.); #253762=ORIENTED_EDGE('',*,*,#194095,.F.); #253763=ORIENTED_EDGE('',*,*,#194096,.T.); #253764=ORIENTED_EDGE('',*,*,#194095,.T.); #253765=ORIENTED_EDGE('',*,*,#194097,.F.); #253766=ORIENTED_EDGE('',*,*,#194098,.F.); #253767=ORIENTED_EDGE('',*,*,#194099,.T.); #253768=ORIENTED_EDGE('',*,*,#194098,.T.); #253769=ORIENTED_EDGE('',*,*,#194100,.F.); #253770=ORIENTED_EDGE('',*,*,#194101,.F.); #253771=ORIENTED_EDGE('',*,*,#194102,.T.); #253772=ORIENTED_EDGE('',*,*,#194101,.T.); #253773=ORIENTED_EDGE('',*,*,#194103,.F.); #253774=ORIENTED_EDGE('',*,*,#194104,.F.); #253775=ORIENTED_EDGE('',*,*,#194105,.T.); #253776=ORIENTED_EDGE('',*,*,#194104,.T.); #253777=ORIENTED_EDGE('',*,*,#194106,.F.); #253778=ORIENTED_EDGE('',*,*,#194107,.F.); #253779=ORIENTED_EDGE('',*,*,#194108,.T.); #253780=ORIENTED_EDGE('',*,*,#194107,.T.); #253781=ORIENTED_EDGE('',*,*,#194109,.F.); #253782=ORIENTED_EDGE('',*,*,#194110,.F.); #253783=ORIENTED_EDGE('',*,*,#194111,.T.); #253784=ORIENTED_EDGE('',*,*,#194110,.T.); #253785=ORIENTED_EDGE('',*,*,#194112,.F.); #253786=ORIENTED_EDGE('',*,*,#194113,.F.); #253787=ORIENTED_EDGE('',*,*,#194114,.T.); #253788=ORIENTED_EDGE('',*,*,#194113,.T.); #253789=ORIENTED_EDGE('',*,*,#194115,.F.); #253790=ORIENTED_EDGE('',*,*,#194116,.F.); #253791=ORIENTED_EDGE('',*,*,#194117,.T.); #253792=ORIENTED_EDGE('',*,*,#194116,.T.); #253793=ORIENTED_EDGE('',*,*,#194118,.F.); #253794=ORIENTED_EDGE('',*,*,#194119,.F.); #253795=ORIENTED_EDGE('',*,*,#194120,.T.); #253796=ORIENTED_EDGE('',*,*,#194119,.T.); #253797=ORIENTED_EDGE('',*,*,#194121,.F.); #253798=ORIENTED_EDGE('',*,*,#194122,.F.); #253799=ORIENTED_EDGE('',*,*,#194123,.T.); #253800=ORIENTED_EDGE('',*,*,#194122,.T.); #253801=ORIENTED_EDGE('',*,*,#194124,.F.); #253802=ORIENTED_EDGE('',*,*,#194125,.F.); #253803=ORIENTED_EDGE('',*,*,#194126,.T.); #253804=ORIENTED_EDGE('',*,*,#194125,.T.); #253805=ORIENTED_EDGE('',*,*,#194127,.F.); #253806=ORIENTED_EDGE('',*,*,#194128,.F.); #253807=ORIENTED_EDGE('',*,*,#194129,.T.); #253808=ORIENTED_EDGE('',*,*,#194128,.T.); #253809=ORIENTED_EDGE('',*,*,#194130,.F.); #253810=ORIENTED_EDGE('',*,*,#194131,.F.); #253811=ORIENTED_EDGE('',*,*,#194132,.T.); #253812=ORIENTED_EDGE('',*,*,#194131,.T.); #253813=ORIENTED_EDGE('',*,*,#194133,.F.); #253814=ORIENTED_EDGE('',*,*,#194134,.F.); #253815=ORIENTED_EDGE('',*,*,#194135,.T.); #253816=ORIENTED_EDGE('',*,*,#194134,.T.); #253817=ORIENTED_EDGE('',*,*,#194136,.F.); #253818=ORIENTED_EDGE('',*,*,#194137,.F.); #253819=ORIENTED_EDGE('',*,*,#194138,.T.); #253820=ORIENTED_EDGE('',*,*,#194137,.T.); #253821=ORIENTED_EDGE('',*,*,#194139,.F.); #253822=ORIENTED_EDGE('',*,*,#194140,.F.); #253823=ORIENTED_EDGE('',*,*,#194141,.T.); #253824=ORIENTED_EDGE('',*,*,#194140,.T.); #253825=ORIENTED_EDGE('',*,*,#194142,.F.); #253826=ORIENTED_EDGE('',*,*,#194143,.F.); #253827=ORIENTED_EDGE('',*,*,#194144,.T.); #253828=ORIENTED_EDGE('',*,*,#194143,.T.); #253829=ORIENTED_EDGE('',*,*,#194145,.F.); #253830=ORIENTED_EDGE('',*,*,#194146,.F.); #253831=ORIENTED_EDGE('',*,*,#194147,.T.); #253832=ORIENTED_EDGE('',*,*,#194146,.T.); #253833=ORIENTED_EDGE('',*,*,#194148,.F.); #253834=ORIENTED_EDGE('',*,*,#194149,.F.); #253835=ORIENTED_EDGE('',*,*,#194150,.T.); #253836=ORIENTED_EDGE('',*,*,#194149,.T.); #253837=ORIENTED_EDGE('',*,*,#194151,.F.); #253838=ORIENTED_EDGE('',*,*,#194152,.F.); #253839=ORIENTED_EDGE('',*,*,#194153,.T.); #253840=ORIENTED_EDGE('',*,*,#194152,.T.); #253841=ORIENTED_EDGE('',*,*,#194154,.F.); #253842=ORIENTED_EDGE('',*,*,#194155,.F.); #253843=ORIENTED_EDGE('',*,*,#194156,.T.); #253844=ORIENTED_EDGE('',*,*,#194155,.T.); #253845=ORIENTED_EDGE('',*,*,#194157,.F.); #253846=ORIENTED_EDGE('',*,*,#194158,.F.); #253847=ORIENTED_EDGE('',*,*,#194159,.T.); #253848=ORIENTED_EDGE('',*,*,#194158,.T.); #253849=ORIENTED_EDGE('',*,*,#194160,.F.); #253850=ORIENTED_EDGE('',*,*,#194161,.F.); #253851=ORIENTED_EDGE('',*,*,#194162,.T.); #253852=ORIENTED_EDGE('',*,*,#194161,.T.); #253853=ORIENTED_EDGE('',*,*,#194163,.F.); #253854=ORIENTED_EDGE('',*,*,#194164,.F.); #253855=ORIENTED_EDGE('',*,*,#194165,.T.); #253856=ORIENTED_EDGE('',*,*,#194164,.T.); #253857=ORIENTED_EDGE('',*,*,#194166,.F.); #253858=ORIENTED_EDGE('',*,*,#194167,.F.); #253859=ORIENTED_EDGE('',*,*,#194168,.T.); #253860=ORIENTED_EDGE('',*,*,#194167,.T.); #253861=ORIENTED_EDGE('',*,*,#194169,.F.); #253862=ORIENTED_EDGE('',*,*,#194170,.F.); #253863=ORIENTED_EDGE('',*,*,#194171,.T.); #253864=ORIENTED_EDGE('',*,*,#194170,.T.); #253865=ORIENTED_EDGE('',*,*,#194172,.F.); #253866=ORIENTED_EDGE('',*,*,#194173,.F.); #253867=ORIENTED_EDGE('',*,*,#194174,.T.); #253868=ORIENTED_EDGE('',*,*,#194173,.T.); #253869=ORIENTED_EDGE('',*,*,#194175,.F.); #253870=ORIENTED_EDGE('',*,*,#194176,.F.); #253871=ORIENTED_EDGE('',*,*,#194177,.T.); #253872=ORIENTED_EDGE('',*,*,#194176,.T.); #253873=ORIENTED_EDGE('',*,*,#194178,.F.); #253874=ORIENTED_EDGE('',*,*,#194179,.F.); #253875=ORIENTED_EDGE('',*,*,#194180,.T.); #253876=ORIENTED_EDGE('',*,*,#194179,.T.); #253877=ORIENTED_EDGE('',*,*,#194181,.F.); #253878=ORIENTED_EDGE('',*,*,#194182,.F.); #253879=ORIENTED_EDGE('',*,*,#194183,.T.); #253880=ORIENTED_EDGE('',*,*,#194182,.T.); #253881=ORIENTED_EDGE('',*,*,#194184,.F.); #253882=ORIENTED_EDGE('',*,*,#194185,.F.); #253883=ORIENTED_EDGE('',*,*,#194186,.T.); #253884=ORIENTED_EDGE('',*,*,#194185,.T.); #253885=ORIENTED_EDGE('',*,*,#194187,.F.); #253886=ORIENTED_EDGE('',*,*,#194188,.F.); #253887=ORIENTED_EDGE('',*,*,#194189,.T.); #253888=ORIENTED_EDGE('',*,*,#194188,.T.); #253889=ORIENTED_EDGE('',*,*,#194190,.F.); #253890=ORIENTED_EDGE('',*,*,#194191,.F.); #253891=ORIENTED_EDGE('',*,*,#194192,.T.); #253892=ORIENTED_EDGE('',*,*,#194191,.T.); #253893=ORIENTED_EDGE('',*,*,#194193,.F.); #253894=ORIENTED_EDGE('',*,*,#194194,.F.); #253895=ORIENTED_EDGE('',*,*,#194195,.T.); #253896=ORIENTED_EDGE('',*,*,#194194,.T.); #253897=ORIENTED_EDGE('',*,*,#194196,.F.); #253898=ORIENTED_EDGE('',*,*,#194197,.F.); #253899=ORIENTED_EDGE('',*,*,#194198,.T.); #253900=ORIENTED_EDGE('',*,*,#194197,.T.); #253901=ORIENTED_EDGE('',*,*,#194199,.F.); #253902=ORIENTED_EDGE('',*,*,#194200,.F.); #253903=ORIENTED_EDGE('',*,*,#194201,.T.); #253904=ORIENTED_EDGE('',*,*,#194200,.T.); #253905=ORIENTED_EDGE('',*,*,#194202,.F.); #253906=ORIENTED_EDGE('',*,*,#194203,.F.); #253907=ORIENTED_EDGE('',*,*,#194204,.T.); #253908=ORIENTED_EDGE('',*,*,#194203,.T.); #253909=ORIENTED_EDGE('',*,*,#194205,.F.); #253910=ORIENTED_EDGE('',*,*,#194206,.F.); #253911=ORIENTED_EDGE('',*,*,#194207,.T.); #253912=ORIENTED_EDGE('',*,*,#194206,.T.); #253913=ORIENTED_EDGE('',*,*,#194208,.F.); #253914=ORIENTED_EDGE('',*,*,#194209,.F.); #253915=ORIENTED_EDGE('',*,*,#194210,.T.); #253916=ORIENTED_EDGE('',*,*,#194209,.T.); #253917=ORIENTED_EDGE('',*,*,#194211,.F.); #253918=ORIENTED_EDGE('',*,*,#194212,.F.); #253919=ORIENTED_EDGE('',*,*,#194213,.T.); #253920=ORIENTED_EDGE('',*,*,#194212,.T.); #253921=ORIENTED_EDGE('',*,*,#194214,.F.); #253922=ORIENTED_EDGE('',*,*,#194215,.F.); #253923=ORIENTED_EDGE('',*,*,#194216,.T.); #253924=ORIENTED_EDGE('',*,*,#194215,.T.); #253925=ORIENTED_EDGE('',*,*,#194217,.F.); #253926=ORIENTED_EDGE('',*,*,#194218,.F.); #253927=ORIENTED_EDGE('',*,*,#194219,.T.); #253928=ORIENTED_EDGE('',*,*,#194218,.T.); #253929=ORIENTED_EDGE('',*,*,#194220,.F.); #253930=ORIENTED_EDGE('',*,*,#194221,.F.); #253931=ORIENTED_EDGE('',*,*,#194222,.T.); #253932=ORIENTED_EDGE('',*,*,#194221,.T.); #253933=ORIENTED_EDGE('',*,*,#194223,.F.); #253934=ORIENTED_EDGE('',*,*,#194224,.F.); #253935=ORIENTED_EDGE('',*,*,#194225,.T.); #253936=ORIENTED_EDGE('',*,*,#194224,.T.); #253937=ORIENTED_EDGE('',*,*,#194226,.F.); #253938=ORIENTED_EDGE('',*,*,#194227,.F.); #253939=ORIENTED_EDGE('',*,*,#194228,.T.); #253940=ORIENTED_EDGE('',*,*,#194227,.T.); #253941=ORIENTED_EDGE('',*,*,#194229,.F.); #253942=ORIENTED_EDGE('',*,*,#194230,.F.); #253943=ORIENTED_EDGE('',*,*,#194231,.T.); #253944=ORIENTED_EDGE('',*,*,#194230,.T.); #253945=ORIENTED_EDGE('',*,*,#194232,.F.); #253946=ORIENTED_EDGE('',*,*,#194233,.F.); #253947=ORIENTED_EDGE('',*,*,#194234,.T.); #253948=ORIENTED_EDGE('',*,*,#194233,.T.); #253949=ORIENTED_EDGE('',*,*,#194235,.F.); #253950=ORIENTED_EDGE('',*,*,#194236,.F.); #253951=ORIENTED_EDGE('',*,*,#194237,.T.); #253952=ORIENTED_EDGE('',*,*,#194236,.T.); #253953=ORIENTED_EDGE('',*,*,#194238,.F.); #253954=ORIENTED_EDGE('',*,*,#194239,.F.); #253955=ORIENTED_EDGE('',*,*,#194240,.T.); #253956=ORIENTED_EDGE('',*,*,#194239,.T.); #253957=ORIENTED_EDGE('',*,*,#194241,.F.); #253958=ORIENTED_EDGE('',*,*,#194242,.F.); #253959=ORIENTED_EDGE('',*,*,#194243,.T.); #253960=ORIENTED_EDGE('',*,*,#194242,.T.); #253961=ORIENTED_EDGE('',*,*,#194244,.F.); #253962=ORIENTED_EDGE('',*,*,#194245,.F.); #253963=ORIENTED_EDGE('',*,*,#194246,.T.); #253964=ORIENTED_EDGE('',*,*,#194245,.T.); #253965=ORIENTED_EDGE('',*,*,#194247,.F.); #253966=ORIENTED_EDGE('',*,*,#194248,.F.); #253967=ORIENTED_EDGE('',*,*,#194249,.T.); #253968=ORIENTED_EDGE('',*,*,#194248,.T.); #253969=ORIENTED_EDGE('',*,*,#194250,.F.); #253970=ORIENTED_EDGE('',*,*,#194251,.F.); #253971=ORIENTED_EDGE('',*,*,#194252,.T.); #253972=ORIENTED_EDGE('',*,*,#194251,.T.); #253973=ORIENTED_EDGE('',*,*,#194253,.F.); #253974=ORIENTED_EDGE('',*,*,#194254,.F.); #253975=ORIENTED_EDGE('',*,*,#194255,.T.); #253976=ORIENTED_EDGE('',*,*,#194254,.T.); #253977=ORIENTED_EDGE('',*,*,#194256,.F.); #253978=ORIENTED_EDGE('',*,*,#194257,.F.); #253979=ORIENTED_EDGE('',*,*,#194258,.T.); #253980=ORIENTED_EDGE('',*,*,#194257,.T.); #253981=ORIENTED_EDGE('',*,*,#194259,.F.); #253982=ORIENTED_EDGE('',*,*,#194260,.F.); #253983=ORIENTED_EDGE('',*,*,#194261,.T.); #253984=ORIENTED_EDGE('',*,*,#194260,.T.); #253985=ORIENTED_EDGE('',*,*,#194262,.F.); #253986=ORIENTED_EDGE('',*,*,#194263,.F.); #253987=ORIENTED_EDGE('',*,*,#194264,.T.); #253988=ORIENTED_EDGE('',*,*,#194263,.T.); #253989=ORIENTED_EDGE('',*,*,#194265,.F.); #253990=ORIENTED_EDGE('',*,*,#194266,.F.); #253991=ORIENTED_EDGE('',*,*,#194267,.T.); #253992=ORIENTED_EDGE('',*,*,#194266,.T.); #253993=ORIENTED_EDGE('',*,*,#194268,.F.); #253994=ORIENTED_EDGE('',*,*,#194269,.F.); #253995=ORIENTED_EDGE('',*,*,#194270,.T.); #253996=ORIENTED_EDGE('',*,*,#194269,.T.); #253997=ORIENTED_EDGE('',*,*,#194271,.F.); #253998=ORIENTED_EDGE('',*,*,#194272,.F.); #253999=ORIENTED_EDGE('',*,*,#194273,.T.); #254000=ORIENTED_EDGE('',*,*,#194272,.T.); #254001=ORIENTED_EDGE('',*,*,#194274,.F.); #254002=ORIENTED_EDGE('',*,*,#194275,.F.); #254003=ORIENTED_EDGE('',*,*,#194276,.T.); #254004=ORIENTED_EDGE('',*,*,#194275,.T.); #254005=ORIENTED_EDGE('',*,*,#194277,.F.); #254006=ORIENTED_EDGE('',*,*,#194278,.F.); #254007=ORIENTED_EDGE('',*,*,#194279,.T.); #254008=ORIENTED_EDGE('',*,*,#194278,.T.); #254009=ORIENTED_EDGE('',*,*,#194280,.F.); #254010=ORIENTED_EDGE('',*,*,#194281,.F.); #254011=ORIENTED_EDGE('',*,*,#194282,.T.); #254012=ORIENTED_EDGE('',*,*,#194281,.T.); #254013=ORIENTED_EDGE('',*,*,#194283,.F.); #254014=ORIENTED_EDGE('',*,*,#194284,.F.); #254015=ORIENTED_EDGE('',*,*,#194285,.T.); #254016=ORIENTED_EDGE('',*,*,#194284,.T.); #254017=ORIENTED_EDGE('',*,*,#194286,.F.); #254018=ORIENTED_EDGE('',*,*,#194287,.F.); #254019=ORIENTED_EDGE('',*,*,#194288,.T.); #254020=ORIENTED_EDGE('',*,*,#194287,.T.); #254021=ORIENTED_EDGE('',*,*,#194289,.F.); #254022=ORIENTED_EDGE('',*,*,#194290,.F.); #254023=ORIENTED_EDGE('',*,*,#194291,.T.); #254024=ORIENTED_EDGE('',*,*,#194290,.T.); #254025=ORIENTED_EDGE('',*,*,#194292,.F.); #254026=ORIENTED_EDGE('',*,*,#194293,.F.); #254027=ORIENTED_EDGE('',*,*,#194294,.T.); #254028=ORIENTED_EDGE('',*,*,#194293,.T.); #254029=ORIENTED_EDGE('',*,*,#194295,.F.); #254030=ORIENTED_EDGE('',*,*,#194296,.F.); #254031=ORIENTED_EDGE('',*,*,#194297,.T.); #254032=ORIENTED_EDGE('',*,*,#194296,.T.); #254033=ORIENTED_EDGE('',*,*,#194298,.F.); #254034=ORIENTED_EDGE('',*,*,#194299,.F.); #254035=ORIENTED_EDGE('',*,*,#194300,.T.); #254036=ORIENTED_EDGE('',*,*,#194299,.T.); #254037=ORIENTED_EDGE('',*,*,#194301,.F.); #254038=ORIENTED_EDGE('',*,*,#194302,.F.); #254039=ORIENTED_EDGE('',*,*,#194303,.T.); #254040=ORIENTED_EDGE('',*,*,#194302,.T.); #254041=ORIENTED_EDGE('',*,*,#194304,.F.); #254042=ORIENTED_EDGE('',*,*,#194305,.F.); #254043=ORIENTED_EDGE('',*,*,#194306,.T.); #254044=ORIENTED_EDGE('',*,*,#194305,.T.); #254045=ORIENTED_EDGE('',*,*,#194307,.F.); #254046=ORIENTED_EDGE('',*,*,#194308,.F.); #254047=ORIENTED_EDGE('',*,*,#194309,.T.); #254048=ORIENTED_EDGE('',*,*,#194308,.T.); #254049=ORIENTED_EDGE('',*,*,#194310,.F.); #254050=ORIENTED_EDGE('',*,*,#194311,.F.); #254051=ORIENTED_EDGE('',*,*,#194312,.T.); #254052=ORIENTED_EDGE('',*,*,#194311,.T.); #254053=ORIENTED_EDGE('',*,*,#194313,.F.); #254054=ORIENTED_EDGE('',*,*,#194314,.F.); #254055=ORIENTED_EDGE('',*,*,#194315,.T.); #254056=ORIENTED_EDGE('',*,*,#194314,.T.); #254057=ORIENTED_EDGE('',*,*,#194316,.F.); #254058=ORIENTED_EDGE('',*,*,#194317,.F.); #254059=ORIENTED_EDGE('',*,*,#194318,.T.); #254060=ORIENTED_EDGE('',*,*,#194317,.T.); #254061=ORIENTED_EDGE('',*,*,#194319,.F.); #254062=ORIENTED_EDGE('',*,*,#194320,.F.); #254063=ORIENTED_EDGE('',*,*,#194321,.T.); #254064=ORIENTED_EDGE('',*,*,#194320,.T.); #254065=ORIENTED_EDGE('',*,*,#194322,.F.); #254066=ORIENTED_EDGE('',*,*,#194323,.F.); #254067=ORIENTED_EDGE('',*,*,#194324,.T.); #254068=ORIENTED_EDGE('',*,*,#194323,.T.); #254069=ORIENTED_EDGE('',*,*,#194325,.F.); #254070=ORIENTED_EDGE('',*,*,#194326,.F.); #254071=ORIENTED_EDGE('',*,*,#194327,.T.); #254072=ORIENTED_EDGE('',*,*,#194326,.T.); #254073=ORIENTED_EDGE('',*,*,#194328,.F.); #254074=ORIENTED_EDGE('',*,*,#194329,.F.); #254075=ORIENTED_EDGE('',*,*,#194330,.T.); #254076=ORIENTED_EDGE('',*,*,#194329,.T.); #254077=ORIENTED_EDGE('',*,*,#194331,.F.); #254078=ORIENTED_EDGE('',*,*,#194332,.F.); #254079=ORIENTED_EDGE('',*,*,#194333,.T.); #254080=ORIENTED_EDGE('',*,*,#194332,.T.); #254081=ORIENTED_EDGE('',*,*,#194334,.F.); #254082=ORIENTED_EDGE('',*,*,#194335,.F.); #254083=ORIENTED_EDGE('',*,*,#194336,.T.); #254084=ORIENTED_EDGE('',*,*,#194335,.T.); #254085=ORIENTED_EDGE('',*,*,#194337,.F.); #254086=ORIENTED_EDGE('',*,*,#194338,.F.); #254087=ORIENTED_EDGE('',*,*,#194339,.T.); #254088=ORIENTED_EDGE('',*,*,#194338,.T.); #254089=ORIENTED_EDGE('',*,*,#194340,.F.); #254090=ORIENTED_EDGE('',*,*,#194341,.F.); #254091=ORIENTED_EDGE('',*,*,#194342,.T.); #254092=ORIENTED_EDGE('',*,*,#194341,.T.); #254093=ORIENTED_EDGE('',*,*,#194343,.F.); #254094=ORIENTED_EDGE('',*,*,#194344,.F.); #254095=ORIENTED_EDGE('',*,*,#194345,.T.); #254096=ORIENTED_EDGE('',*,*,#194344,.T.); #254097=ORIENTED_EDGE('',*,*,#194346,.F.); #254098=ORIENTED_EDGE('',*,*,#194347,.F.); #254099=ORIENTED_EDGE('',*,*,#194348,.T.); #254100=ORIENTED_EDGE('',*,*,#194347,.T.); #254101=ORIENTED_EDGE('',*,*,#194349,.F.); #254102=ORIENTED_EDGE('',*,*,#194350,.F.); #254103=ORIENTED_EDGE('',*,*,#194351,.T.); #254104=ORIENTED_EDGE('',*,*,#194350,.T.); #254105=ORIENTED_EDGE('',*,*,#194352,.F.); #254106=ORIENTED_EDGE('',*,*,#194353,.F.); #254107=ORIENTED_EDGE('',*,*,#194354,.T.); #254108=ORIENTED_EDGE('',*,*,#194353,.T.); #254109=ORIENTED_EDGE('',*,*,#194355,.F.); #254110=ORIENTED_EDGE('',*,*,#194356,.F.); #254111=ORIENTED_EDGE('',*,*,#194357,.T.); #254112=ORIENTED_EDGE('',*,*,#194356,.T.); #254113=ORIENTED_EDGE('',*,*,#194358,.F.); #254114=ORIENTED_EDGE('',*,*,#194359,.F.); #254115=ORIENTED_EDGE('',*,*,#194360,.T.); #254116=ORIENTED_EDGE('',*,*,#194359,.T.); #254117=ORIENTED_EDGE('',*,*,#194361,.F.); #254118=ORIENTED_EDGE('',*,*,#194362,.F.); #254119=ORIENTED_EDGE('',*,*,#194363,.T.); #254120=ORIENTED_EDGE('',*,*,#194362,.T.); #254121=ORIENTED_EDGE('',*,*,#194364,.F.); #254122=ORIENTED_EDGE('',*,*,#194365,.F.); #254123=ORIENTED_EDGE('',*,*,#194366,.T.); #254124=ORIENTED_EDGE('',*,*,#194365,.T.); #254125=ORIENTED_EDGE('',*,*,#194367,.F.); #254126=ORIENTED_EDGE('',*,*,#194368,.F.); #254127=ORIENTED_EDGE('',*,*,#194369,.T.); #254128=ORIENTED_EDGE('',*,*,#194368,.T.); #254129=ORIENTED_EDGE('',*,*,#194370,.F.); #254130=ORIENTED_EDGE('',*,*,#194371,.F.); #254131=ORIENTED_EDGE('',*,*,#194372,.T.); #254132=ORIENTED_EDGE('',*,*,#194371,.T.); #254133=ORIENTED_EDGE('',*,*,#194373,.F.); #254134=ORIENTED_EDGE('',*,*,#194374,.F.); #254135=ORIENTED_EDGE('',*,*,#194375,.T.); #254136=ORIENTED_EDGE('',*,*,#194374,.T.); #254137=ORIENTED_EDGE('',*,*,#194376,.F.); #254138=ORIENTED_EDGE('',*,*,#194377,.F.); #254139=ORIENTED_EDGE('',*,*,#194378,.T.); #254140=ORIENTED_EDGE('',*,*,#194377,.T.); #254141=ORIENTED_EDGE('',*,*,#194379,.F.); #254142=ORIENTED_EDGE('',*,*,#194380,.F.); #254143=ORIENTED_EDGE('',*,*,#194381,.T.); #254144=ORIENTED_EDGE('',*,*,#194380,.T.); #254145=ORIENTED_EDGE('',*,*,#194382,.F.); #254146=ORIENTED_EDGE('',*,*,#194383,.F.); #254147=ORIENTED_EDGE('',*,*,#194384,.T.); #254148=ORIENTED_EDGE('',*,*,#194383,.T.); #254149=ORIENTED_EDGE('',*,*,#194385,.F.); #254150=ORIENTED_EDGE('',*,*,#194386,.F.); #254151=ORIENTED_EDGE('',*,*,#194387,.T.); #254152=ORIENTED_EDGE('',*,*,#194386,.T.); #254153=ORIENTED_EDGE('',*,*,#194388,.F.); #254154=ORIENTED_EDGE('',*,*,#194389,.F.); #254155=ORIENTED_EDGE('',*,*,#194390,.T.); #254156=ORIENTED_EDGE('',*,*,#194389,.T.); #254157=ORIENTED_EDGE('',*,*,#194391,.F.); #254158=ORIENTED_EDGE('',*,*,#194392,.F.); #254159=ORIENTED_EDGE('',*,*,#194393,.T.); #254160=ORIENTED_EDGE('',*,*,#194392,.T.); #254161=ORIENTED_EDGE('',*,*,#194394,.F.); #254162=ORIENTED_EDGE('',*,*,#194395,.F.); #254163=ORIENTED_EDGE('',*,*,#194396,.T.); #254164=ORIENTED_EDGE('',*,*,#194395,.T.); #254165=ORIENTED_EDGE('',*,*,#194397,.F.); #254166=ORIENTED_EDGE('',*,*,#194398,.F.); #254167=ORIENTED_EDGE('',*,*,#194399,.T.); #254168=ORIENTED_EDGE('',*,*,#194398,.T.); #254169=ORIENTED_EDGE('',*,*,#194400,.F.); #254170=ORIENTED_EDGE('',*,*,#194401,.F.); #254171=ORIENTED_EDGE('',*,*,#194402,.T.); #254172=ORIENTED_EDGE('',*,*,#194401,.T.); #254173=ORIENTED_EDGE('',*,*,#194403,.F.); #254174=ORIENTED_EDGE('',*,*,#194404,.F.); #254175=ORIENTED_EDGE('',*,*,#194405,.T.); #254176=ORIENTED_EDGE('',*,*,#194404,.T.); #254177=ORIENTED_EDGE('',*,*,#194406,.F.); #254178=ORIENTED_EDGE('',*,*,#194407,.F.); #254179=ORIENTED_EDGE('',*,*,#194408,.T.); #254180=ORIENTED_EDGE('',*,*,#194407,.T.); #254181=ORIENTED_EDGE('',*,*,#194409,.F.); #254182=ORIENTED_EDGE('',*,*,#194410,.F.); #254183=ORIENTED_EDGE('',*,*,#194411,.T.); #254184=ORIENTED_EDGE('',*,*,#194410,.T.); #254185=ORIENTED_EDGE('',*,*,#194412,.F.); #254186=ORIENTED_EDGE('',*,*,#194413,.F.); #254187=ORIENTED_EDGE('',*,*,#194414,.T.); #254188=ORIENTED_EDGE('',*,*,#194413,.T.); #254189=ORIENTED_EDGE('',*,*,#194415,.F.); #254190=ORIENTED_EDGE('',*,*,#194416,.F.); #254191=ORIENTED_EDGE('',*,*,#194417,.T.); #254192=ORIENTED_EDGE('',*,*,#194416,.T.); #254193=ORIENTED_EDGE('',*,*,#194418,.F.); #254194=ORIENTED_EDGE('',*,*,#194419,.F.); #254195=ORIENTED_EDGE('',*,*,#194420,.T.); #254196=ORIENTED_EDGE('',*,*,#194419,.T.); #254197=ORIENTED_EDGE('',*,*,#194421,.F.); #254198=ORIENTED_EDGE('',*,*,#194422,.F.); #254199=ORIENTED_EDGE('',*,*,#194423,.T.); #254200=ORIENTED_EDGE('',*,*,#194422,.T.); #254201=ORIENTED_EDGE('',*,*,#194424,.F.); #254202=ORIENTED_EDGE('',*,*,#194425,.F.); #254203=ORIENTED_EDGE('',*,*,#194426,.T.); #254204=ORIENTED_EDGE('',*,*,#194425,.T.); #254205=ORIENTED_EDGE('',*,*,#194427,.F.); #254206=ORIENTED_EDGE('',*,*,#194428,.F.); #254207=ORIENTED_EDGE('',*,*,#194429,.T.); #254208=ORIENTED_EDGE('',*,*,#194428,.T.); #254209=ORIENTED_EDGE('',*,*,#194430,.F.); #254210=ORIENTED_EDGE('',*,*,#194431,.F.); #254211=ORIENTED_EDGE('',*,*,#194432,.T.); #254212=ORIENTED_EDGE('',*,*,#194431,.T.); #254213=ORIENTED_EDGE('',*,*,#194433,.F.); #254214=ORIENTED_EDGE('',*,*,#194434,.F.); #254215=ORIENTED_EDGE('',*,*,#194435,.T.); #254216=ORIENTED_EDGE('',*,*,#194434,.T.); #254217=ORIENTED_EDGE('',*,*,#194436,.F.); #254218=ORIENTED_EDGE('',*,*,#194437,.F.); #254219=ORIENTED_EDGE('',*,*,#194438,.T.); #254220=ORIENTED_EDGE('',*,*,#194437,.T.); #254221=ORIENTED_EDGE('',*,*,#194439,.F.); #254222=ORIENTED_EDGE('',*,*,#194440,.F.); #254223=ORIENTED_EDGE('',*,*,#194441,.T.); #254224=ORIENTED_EDGE('',*,*,#194440,.T.); #254225=ORIENTED_EDGE('',*,*,#194442,.F.); #254226=ORIENTED_EDGE('',*,*,#194443,.F.); #254227=ORIENTED_EDGE('',*,*,#194444,.T.); #254228=ORIENTED_EDGE('',*,*,#194443,.T.); #254229=ORIENTED_EDGE('',*,*,#194445,.F.); #254230=ORIENTED_EDGE('',*,*,#194446,.F.); #254231=ORIENTED_EDGE('',*,*,#194447,.T.); #254232=ORIENTED_EDGE('',*,*,#194446,.T.); #254233=ORIENTED_EDGE('',*,*,#194448,.F.); #254234=ORIENTED_EDGE('',*,*,#194449,.F.); #254235=ORIENTED_EDGE('',*,*,#194450,.T.); #254236=ORIENTED_EDGE('',*,*,#194449,.T.); #254237=ORIENTED_EDGE('',*,*,#194451,.F.); #254238=ORIENTED_EDGE('',*,*,#194452,.F.); #254239=ORIENTED_EDGE('',*,*,#194453,.T.); #254240=ORIENTED_EDGE('',*,*,#194452,.T.); #254241=ORIENTED_EDGE('',*,*,#194454,.F.); #254242=ORIENTED_EDGE('',*,*,#194455,.F.); #254243=ORIENTED_EDGE('',*,*,#194456,.T.); #254244=ORIENTED_EDGE('',*,*,#194455,.T.); #254245=ORIENTED_EDGE('',*,*,#194457,.F.); #254246=ORIENTED_EDGE('',*,*,#194458,.F.); #254247=ORIENTED_EDGE('',*,*,#194459,.T.); #254248=ORIENTED_EDGE('',*,*,#194458,.T.); #254249=ORIENTED_EDGE('',*,*,#194460,.F.); #254250=ORIENTED_EDGE('',*,*,#194461,.F.); #254251=ORIENTED_EDGE('',*,*,#194462,.T.); #254252=ORIENTED_EDGE('',*,*,#194461,.T.); #254253=ORIENTED_EDGE('',*,*,#194463,.F.); #254254=ORIENTED_EDGE('',*,*,#194464,.F.); #254255=ORIENTED_EDGE('',*,*,#194465,.T.); #254256=ORIENTED_EDGE('',*,*,#194464,.T.); #254257=ORIENTED_EDGE('',*,*,#194466,.F.); #254258=ORIENTED_EDGE('',*,*,#194467,.F.); #254259=ORIENTED_EDGE('',*,*,#194468,.T.); #254260=ORIENTED_EDGE('',*,*,#194467,.T.); #254261=ORIENTED_EDGE('',*,*,#194469,.F.); #254262=ORIENTED_EDGE('',*,*,#194470,.F.); #254263=ORIENTED_EDGE('',*,*,#194471,.T.); #254264=ORIENTED_EDGE('',*,*,#194470,.T.); #254265=ORIENTED_EDGE('',*,*,#194472,.F.); #254266=ORIENTED_EDGE('',*,*,#194473,.F.); #254267=ORIENTED_EDGE('',*,*,#194474,.T.); #254268=ORIENTED_EDGE('',*,*,#194473,.T.); #254269=ORIENTED_EDGE('',*,*,#194475,.F.); #254270=ORIENTED_EDGE('',*,*,#194476,.F.); #254271=ORIENTED_EDGE('',*,*,#194477,.T.); #254272=ORIENTED_EDGE('',*,*,#194476,.T.); #254273=ORIENTED_EDGE('',*,*,#194478,.F.); #254274=ORIENTED_EDGE('',*,*,#194479,.F.); #254275=ORIENTED_EDGE('',*,*,#194480,.T.); #254276=ORIENTED_EDGE('',*,*,#194479,.T.); #254277=ORIENTED_EDGE('',*,*,#194481,.F.); #254278=ORIENTED_EDGE('',*,*,#194482,.F.); #254279=ORIENTED_EDGE('',*,*,#194483,.T.); #254280=ORIENTED_EDGE('',*,*,#194482,.T.); #254281=ORIENTED_EDGE('',*,*,#194484,.F.); #254282=ORIENTED_EDGE('',*,*,#194485,.F.); #254283=ORIENTED_EDGE('',*,*,#194486,.T.); #254284=ORIENTED_EDGE('',*,*,#194485,.T.); #254285=ORIENTED_EDGE('',*,*,#194487,.F.); #254286=ORIENTED_EDGE('',*,*,#194488,.F.); #254287=ORIENTED_EDGE('',*,*,#194489,.T.); #254288=ORIENTED_EDGE('',*,*,#194488,.T.); #254289=ORIENTED_EDGE('',*,*,#194490,.F.); #254290=ORIENTED_EDGE('',*,*,#194491,.F.); #254291=ORIENTED_EDGE('',*,*,#194492,.T.); #254292=ORIENTED_EDGE('',*,*,#194491,.T.); #254293=ORIENTED_EDGE('',*,*,#194493,.F.); #254294=ORIENTED_EDGE('',*,*,#194494,.F.); #254295=ORIENTED_EDGE('',*,*,#194495,.T.); #254296=ORIENTED_EDGE('',*,*,#194494,.T.); #254297=ORIENTED_EDGE('',*,*,#194496,.F.); #254298=ORIENTED_EDGE('',*,*,#194497,.F.); #254299=ORIENTED_EDGE('',*,*,#194498,.T.); #254300=ORIENTED_EDGE('',*,*,#194497,.T.); #254301=ORIENTED_EDGE('',*,*,#194499,.F.); #254302=ORIENTED_EDGE('',*,*,#194500,.F.); #254303=ORIENTED_EDGE('',*,*,#194501,.T.); #254304=ORIENTED_EDGE('',*,*,#194500,.T.); #254305=ORIENTED_EDGE('',*,*,#194502,.F.); #254306=ORIENTED_EDGE('',*,*,#194503,.F.); #254307=ORIENTED_EDGE('',*,*,#194504,.T.); #254308=ORIENTED_EDGE('',*,*,#194503,.T.); #254309=ORIENTED_EDGE('',*,*,#194505,.F.); #254310=ORIENTED_EDGE('',*,*,#194506,.F.); #254311=ORIENTED_EDGE('',*,*,#194507,.T.); #254312=ORIENTED_EDGE('',*,*,#194506,.T.); #254313=ORIENTED_EDGE('',*,*,#194508,.F.); #254314=ORIENTED_EDGE('',*,*,#194509,.F.); #254315=ORIENTED_EDGE('',*,*,#194510,.T.); #254316=ORIENTED_EDGE('',*,*,#194509,.T.); #254317=ORIENTED_EDGE('',*,*,#194511,.F.); #254318=ORIENTED_EDGE('',*,*,#194512,.F.); #254319=ORIENTED_EDGE('',*,*,#194513,.T.); #254320=ORIENTED_EDGE('',*,*,#194512,.T.); #254321=ORIENTED_EDGE('',*,*,#194514,.F.); #254322=ORIENTED_EDGE('',*,*,#194515,.F.); #254323=ORIENTED_EDGE('',*,*,#194516,.T.); #254324=ORIENTED_EDGE('',*,*,#194515,.T.); #254325=ORIENTED_EDGE('',*,*,#194517,.F.); #254326=ORIENTED_EDGE('',*,*,#194518,.F.); #254327=ORIENTED_EDGE('',*,*,#194519,.T.); #254328=ORIENTED_EDGE('',*,*,#194518,.T.); #254329=ORIENTED_EDGE('',*,*,#194520,.F.); #254330=ORIENTED_EDGE('',*,*,#194521,.F.); #254331=ORIENTED_EDGE('',*,*,#194522,.T.); #254332=ORIENTED_EDGE('',*,*,#194521,.T.); #254333=ORIENTED_EDGE('',*,*,#194523,.F.); #254334=ORIENTED_EDGE('',*,*,#194524,.F.); #254335=ORIENTED_EDGE('',*,*,#194525,.T.); #254336=ORIENTED_EDGE('',*,*,#194524,.T.); #254337=ORIENTED_EDGE('',*,*,#194526,.F.); #254338=ORIENTED_EDGE('',*,*,#194527,.F.); #254339=ORIENTED_EDGE('',*,*,#194528,.T.); #254340=ORIENTED_EDGE('',*,*,#194527,.T.); #254341=ORIENTED_EDGE('',*,*,#194529,.F.); #254342=ORIENTED_EDGE('',*,*,#194530,.F.); #254343=ORIENTED_EDGE('',*,*,#194531,.T.); #254344=ORIENTED_EDGE('',*,*,#194530,.T.); #254345=ORIENTED_EDGE('',*,*,#194532,.F.); #254346=ORIENTED_EDGE('',*,*,#194533,.F.); #254347=ORIENTED_EDGE('',*,*,#194534,.T.); #254348=ORIENTED_EDGE('',*,*,#194533,.T.); #254349=ORIENTED_EDGE('',*,*,#194535,.F.); #254350=ORIENTED_EDGE('',*,*,#194536,.F.); #254351=ORIENTED_EDGE('',*,*,#194537,.T.); #254352=ORIENTED_EDGE('',*,*,#194536,.T.); #254353=ORIENTED_EDGE('',*,*,#194538,.F.); #254354=ORIENTED_EDGE('',*,*,#194539,.F.); #254355=ORIENTED_EDGE('',*,*,#194540,.T.); #254356=ORIENTED_EDGE('',*,*,#194539,.T.); #254357=ORIENTED_EDGE('',*,*,#194541,.F.); #254358=ORIENTED_EDGE('',*,*,#194542,.F.); #254359=ORIENTED_EDGE('',*,*,#194543,.T.); #254360=ORIENTED_EDGE('',*,*,#194542,.T.); #254361=ORIENTED_EDGE('',*,*,#194544,.F.); #254362=ORIENTED_EDGE('',*,*,#194545,.F.); #254363=ORIENTED_EDGE('',*,*,#194546,.T.); #254364=ORIENTED_EDGE('',*,*,#194545,.T.); #254365=ORIENTED_EDGE('',*,*,#194547,.F.); #254366=ORIENTED_EDGE('',*,*,#194548,.F.); #254367=ORIENTED_EDGE('',*,*,#194549,.T.); #254368=ORIENTED_EDGE('',*,*,#194548,.T.); #254369=ORIENTED_EDGE('',*,*,#194550,.F.); #254370=ORIENTED_EDGE('',*,*,#194551,.F.); #254371=ORIENTED_EDGE('',*,*,#194552,.T.); #254372=ORIENTED_EDGE('',*,*,#194551,.T.); #254373=ORIENTED_EDGE('',*,*,#194553,.F.); #254374=ORIENTED_EDGE('',*,*,#194554,.F.); #254375=ORIENTED_EDGE('',*,*,#194555,.T.); #254376=ORIENTED_EDGE('',*,*,#194554,.T.); #254377=ORIENTED_EDGE('',*,*,#194556,.F.); #254378=ORIENTED_EDGE('',*,*,#194557,.F.); #254379=ORIENTED_EDGE('',*,*,#194558,.T.); #254380=ORIENTED_EDGE('',*,*,#194557,.T.); #254381=ORIENTED_EDGE('',*,*,#194559,.F.); #254382=ORIENTED_EDGE('',*,*,#194560,.F.); #254383=ORIENTED_EDGE('',*,*,#194561,.T.); #254384=ORIENTED_EDGE('',*,*,#194560,.T.); #254385=ORIENTED_EDGE('',*,*,#194562,.F.); #254386=ORIENTED_EDGE('',*,*,#194563,.F.); #254387=ORIENTED_EDGE('',*,*,#194564,.T.); #254388=ORIENTED_EDGE('',*,*,#194563,.T.); #254389=ORIENTED_EDGE('',*,*,#194565,.F.); #254390=ORIENTED_EDGE('',*,*,#194566,.F.); #254391=ORIENTED_EDGE('',*,*,#194567,.T.); #254392=ORIENTED_EDGE('',*,*,#194566,.T.); #254393=ORIENTED_EDGE('',*,*,#194568,.F.); #254394=ORIENTED_EDGE('',*,*,#194569,.F.); #254395=ORIENTED_EDGE('',*,*,#194570,.T.); #254396=ORIENTED_EDGE('',*,*,#194569,.T.); #254397=ORIENTED_EDGE('',*,*,#194571,.F.); #254398=ORIENTED_EDGE('',*,*,#194572,.F.); #254399=ORIENTED_EDGE('',*,*,#194573,.T.); #254400=ORIENTED_EDGE('',*,*,#194572,.T.); #254401=ORIENTED_EDGE('',*,*,#194574,.F.); #254402=ORIENTED_EDGE('',*,*,#194575,.F.); #254403=ORIENTED_EDGE('',*,*,#194576,.T.); #254404=ORIENTED_EDGE('',*,*,#194575,.T.); #254405=ORIENTED_EDGE('',*,*,#194577,.F.); #254406=ORIENTED_EDGE('',*,*,#194578,.F.); #254407=ORIENTED_EDGE('',*,*,#194579,.T.); #254408=ORIENTED_EDGE('',*,*,#194578,.T.); #254409=ORIENTED_EDGE('',*,*,#194580,.F.); #254410=ORIENTED_EDGE('',*,*,#194581,.F.); #254411=ORIENTED_EDGE('',*,*,#194582,.T.); #254412=ORIENTED_EDGE('',*,*,#194581,.T.); #254413=ORIENTED_EDGE('',*,*,#194583,.F.); #254414=ORIENTED_EDGE('',*,*,#194584,.F.); #254415=ORIENTED_EDGE('',*,*,#194585,.T.); #254416=ORIENTED_EDGE('',*,*,#194584,.T.); #254417=ORIENTED_EDGE('',*,*,#194586,.F.); #254418=ORIENTED_EDGE('',*,*,#194587,.F.); #254419=ORIENTED_EDGE('',*,*,#194588,.T.); #254420=ORIENTED_EDGE('',*,*,#194587,.T.); #254421=ORIENTED_EDGE('',*,*,#194589,.F.); #254422=ORIENTED_EDGE('',*,*,#194590,.F.); #254423=ORIENTED_EDGE('',*,*,#194591,.T.); #254424=ORIENTED_EDGE('',*,*,#194590,.T.); #254425=ORIENTED_EDGE('',*,*,#194592,.F.); #254426=ORIENTED_EDGE('',*,*,#194593,.F.); #254427=ORIENTED_EDGE('',*,*,#194594,.T.); #254428=ORIENTED_EDGE('',*,*,#194593,.T.); #254429=ORIENTED_EDGE('',*,*,#194595,.F.); #254430=ORIENTED_EDGE('',*,*,#194596,.F.); #254431=ORIENTED_EDGE('',*,*,#194597,.T.); #254432=ORIENTED_EDGE('',*,*,#194596,.T.); #254433=ORIENTED_EDGE('',*,*,#194598,.F.); #254434=ORIENTED_EDGE('',*,*,#194599,.F.); #254435=ORIENTED_EDGE('',*,*,#194600,.T.); #254436=ORIENTED_EDGE('',*,*,#194599,.T.); #254437=ORIENTED_EDGE('',*,*,#194601,.F.); #254438=ORIENTED_EDGE('',*,*,#194602,.F.); #254439=ORIENTED_EDGE('',*,*,#194603,.T.); #254440=ORIENTED_EDGE('',*,*,#194602,.T.); #254441=ORIENTED_EDGE('',*,*,#194604,.F.); #254442=ORIENTED_EDGE('',*,*,#194605,.F.); #254443=ORIENTED_EDGE('',*,*,#194606,.T.); #254444=ORIENTED_EDGE('',*,*,#194605,.T.); #254445=ORIENTED_EDGE('',*,*,#194607,.F.); #254446=ORIENTED_EDGE('',*,*,#194608,.F.); #254447=ORIENTED_EDGE('',*,*,#194609,.T.); #254448=ORIENTED_EDGE('',*,*,#194608,.T.); #254449=ORIENTED_EDGE('',*,*,#194610,.F.); #254450=ORIENTED_EDGE('',*,*,#194611,.F.); #254451=ORIENTED_EDGE('',*,*,#194612,.T.); #254452=ORIENTED_EDGE('',*,*,#194611,.T.); #254453=ORIENTED_EDGE('',*,*,#194613,.F.); #254454=ORIENTED_EDGE('',*,*,#194614,.F.); #254455=ORIENTED_EDGE('',*,*,#194615,.T.); #254456=ORIENTED_EDGE('',*,*,#194614,.T.); #254457=ORIENTED_EDGE('',*,*,#194616,.F.); #254458=ORIENTED_EDGE('',*,*,#194617,.F.); #254459=ORIENTED_EDGE('',*,*,#194618,.T.); #254460=ORIENTED_EDGE('',*,*,#194617,.T.); #254461=ORIENTED_EDGE('',*,*,#194619,.F.); #254462=ORIENTED_EDGE('',*,*,#194620,.F.); #254463=ORIENTED_EDGE('',*,*,#194621,.T.); #254464=ORIENTED_EDGE('',*,*,#194620,.T.); #254465=ORIENTED_EDGE('',*,*,#194622,.F.); #254466=ORIENTED_EDGE('',*,*,#194623,.F.); #254467=ORIENTED_EDGE('',*,*,#194624,.T.); #254468=ORIENTED_EDGE('',*,*,#194623,.T.); #254469=ORIENTED_EDGE('',*,*,#194625,.F.); #254470=ORIENTED_EDGE('',*,*,#194626,.F.); #254471=ORIENTED_EDGE('',*,*,#194627,.T.); #254472=ORIENTED_EDGE('',*,*,#194626,.T.); #254473=ORIENTED_EDGE('',*,*,#194628,.F.); #254474=ORIENTED_EDGE('',*,*,#194629,.F.); #254475=ORIENTED_EDGE('',*,*,#194630,.T.); #254476=ORIENTED_EDGE('',*,*,#194629,.T.); #254477=ORIENTED_EDGE('',*,*,#194631,.F.); #254478=ORIENTED_EDGE('',*,*,#194632,.F.); #254479=ORIENTED_EDGE('',*,*,#194633,.T.); #254480=ORIENTED_EDGE('',*,*,#194632,.T.); #254481=ORIENTED_EDGE('',*,*,#194634,.F.); #254482=ORIENTED_EDGE('',*,*,#194635,.F.); #254483=ORIENTED_EDGE('',*,*,#194636,.T.); #254484=ORIENTED_EDGE('',*,*,#194635,.T.); #254485=ORIENTED_EDGE('',*,*,#194637,.F.); #254486=ORIENTED_EDGE('',*,*,#194638,.F.); #254487=ORIENTED_EDGE('',*,*,#194639,.T.); #254488=ORIENTED_EDGE('',*,*,#194638,.T.); #254489=ORIENTED_EDGE('',*,*,#194640,.F.); #254490=ORIENTED_EDGE('',*,*,#194641,.F.); #254491=ORIENTED_EDGE('',*,*,#194642,.T.); #254492=ORIENTED_EDGE('',*,*,#194641,.T.); #254493=ORIENTED_EDGE('',*,*,#194643,.F.); #254494=ORIENTED_EDGE('',*,*,#194644,.F.); #254495=ORIENTED_EDGE('',*,*,#194645,.T.); #254496=ORIENTED_EDGE('',*,*,#194644,.T.); #254497=ORIENTED_EDGE('',*,*,#194646,.F.); #254498=ORIENTED_EDGE('',*,*,#194647,.F.); #254499=ORIENTED_EDGE('',*,*,#194648,.T.); #254500=ORIENTED_EDGE('',*,*,#194647,.T.); #254501=ORIENTED_EDGE('',*,*,#194649,.F.); #254502=ORIENTED_EDGE('',*,*,#194650,.F.); #254503=ORIENTED_EDGE('',*,*,#194651,.T.); #254504=ORIENTED_EDGE('',*,*,#194650,.T.); #254505=ORIENTED_EDGE('',*,*,#194652,.F.); #254506=ORIENTED_EDGE('',*,*,#194653,.F.); #254507=ORIENTED_EDGE('',*,*,#194654,.T.); #254508=ORIENTED_EDGE('',*,*,#194653,.T.); #254509=ORIENTED_EDGE('',*,*,#194655,.F.); #254510=ORIENTED_EDGE('',*,*,#194656,.F.); #254511=ORIENTED_EDGE('',*,*,#194657,.T.); #254512=ORIENTED_EDGE('',*,*,#194656,.T.); #254513=ORIENTED_EDGE('',*,*,#194658,.F.); #254514=ORIENTED_EDGE('',*,*,#194659,.F.); #254515=ORIENTED_EDGE('',*,*,#194660,.T.); #254516=ORIENTED_EDGE('',*,*,#194659,.T.); #254517=ORIENTED_EDGE('',*,*,#194661,.F.); #254518=ORIENTED_EDGE('',*,*,#194662,.F.); #254519=ORIENTED_EDGE('',*,*,#194663,.T.); #254520=ORIENTED_EDGE('',*,*,#194662,.T.); #254521=ORIENTED_EDGE('',*,*,#194664,.F.); #254522=ORIENTED_EDGE('',*,*,#194665,.F.); #254523=ORIENTED_EDGE('',*,*,#194666,.T.); #254524=ORIENTED_EDGE('',*,*,#194665,.T.); #254525=ORIENTED_EDGE('',*,*,#194667,.F.); #254526=ORIENTED_EDGE('',*,*,#194668,.F.); #254527=ORIENTED_EDGE('',*,*,#194669,.T.); #254528=ORIENTED_EDGE('',*,*,#194668,.T.); #254529=ORIENTED_EDGE('',*,*,#194670,.F.); #254530=ORIENTED_EDGE('',*,*,#194671,.F.); #254531=ORIENTED_EDGE('',*,*,#194672,.T.); #254532=ORIENTED_EDGE('',*,*,#194671,.T.); #254533=ORIENTED_EDGE('',*,*,#194673,.F.); #254534=ORIENTED_EDGE('',*,*,#194674,.F.); #254535=ORIENTED_EDGE('',*,*,#194675,.T.); #254536=ORIENTED_EDGE('',*,*,#194674,.T.); #254537=ORIENTED_EDGE('',*,*,#194676,.F.); #254538=ORIENTED_EDGE('',*,*,#194677,.F.); #254539=ORIENTED_EDGE('',*,*,#194678,.T.); #254540=ORIENTED_EDGE('',*,*,#194677,.T.); #254541=ORIENTED_EDGE('',*,*,#194679,.F.); #254542=ORIENTED_EDGE('',*,*,#194680,.F.); #254543=ORIENTED_EDGE('',*,*,#194681,.T.); #254544=ORIENTED_EDGE('',*,*,#194680,.T.); #254545=ORIENTED_EDGE('',*,*,#194682,.F.); #254546=ORIENTED_EDGE('',*,*,#194683,.F.); #254547=ORIENTED_EDGE('',*,*,#194684,.T.); #254548=ORIENTED_EDGE('',*,*,#194683,.T.); #254549=ORIENTED_EDGE('',*,*,#194685,.F.); #254550=ORIENTED_EDGE('',*,*,#194686,.F.); #254551=ORIENTED_EDGE('',*,*,#194687,.T.); #254552=ORIENTED_EDGE('',*,*,#194686,.T.); #254553=ORIENTED_EDGE('',*,*,#194688,.F.); #254554=ORIENTED_EDGE('',*,*,#194689,.F.); #254555=ORIENTED_EDGE('',*,*,#194690,.T.); #254556=ORIENTED_EDGE('',*,*,#194689,.T.); #254557=ORIENTED_EDGE('',*,*,#194691,.F.); #254558=ORIENTED_EDGE('',*,*,#194692,.F.); #254559=ORIENTED_EDGE('',*,*,#194693,.T.); #254560=ORIENTED_EDGE('',*,*,#194692,.T.); #254561=ORIENTED_EDGE('',*,*,#194694,.F.); #254562=ORIENTED_EDGE('',*,*,#194695,.F.); #254563=ORIENTED_EDGE('',*,*,#194696,.T.); #254564=ORIENTED_EDGE('',*,*,#194695,.T.); #254565=ORIENTED_EDGE('',*,*,#194697,.F.); #254566=ORIENTED_EDGE('',*,*,#194698,.F.); #254567=ORIENTED_EDGE('',*,*,#194699,.T.); #254568=ORIENTED_EDGE('',*,*,#194698,.T.); #254569=ORIENTED_EDGE('',*,*,#194700,.F.); #254570=ORIENTED_EDGE('',*,*,#194701,.F.); #254571=ORIENTED_EDGE('',*,*,#194702,.T.); #254572=ORIENTED_EDGE('',*,*,#194701,.T.); #254573=ORIENTED_EDGE('',*,*,#194703,.F.); #254574=ORIENTED_EDGE('',*,*,#194704,.F.); #254575=ORIENTED_EDGE('',*,*,#194705,.T.); #254576=ORIENTED_EDGE('',*,*,#194704,.T.); #254577=ORIENTED_EDGE('',*,*,#194706,.F.); #254578=ORIENTED_EDGE('',*,*,#194707,.F.); #254579=ORIENTED_EDGE('',*,*,#194708,.T.); #254580=ORIENTED_EDGE('',*,*,#194707,.T.); #254581=ORIENTED_EDGE('',*,*,#194709,.F.); #254582=ORIENTED_EDGE('',*,*,#194710,.F.); #254583=ORIENTED_EDGE('',*,*,#194711,.T.); #254584=ORIENTED_EDGE('',*,*,#194710,.T.); #254585=ORIENTED_EDGE('',*,*,#194712,.F.); #254586=ORIENTED_EDGE('',*,*,#194713,.F.); #254587=ORIENTED_EDGE('',*,*,#194714,.T.); #254588=ORIENTED_EDGE('',*,*,#194713,.T.); #254589=ORIENTED_EDGE('',*,*,#194715,.F.); #254590=ORIENTED_EDGE('',*,*,#194716,.F.); #254591=ORIENTED_EDGE('',*,*,#194717,.T.); #254592=ORIENTED_EDGE('',*,*,#194716,.T.); #254593=ORIENTED_EDGE('',*,*,#194718,.F.); #254594=ORIENTED_EDGE('',*,*,#194719,.F.); #254595=ORIENTED_EDGE('',*,*,#194720,.T.); #254596=ORIENTED_EDGE('',*,*,#194719,.T.); #254597=ORIENTED_EDGE('',*,*,#194721,.F.); #254598=ORIENTED_EDGE('',*,*,#194722,.F.); #254599=ORIENTED_EDGE('',*,*,#194723,.T.); #254600=ORIENTED_EDGE('',*,*,#194722,.T.); #254601=ORIENTED_EDGE('',*,*,#194724,.F.); #254602=ORIENTED_EDGE('',*,*,#194725,.F.); #254603=ORIENTED_EDGE('',*,*,#194726,.T.); #254604=ORIENTED_EDGE('',*,*,#194725,.T.); #254605=ORIENTED_EDGE('',*,*,#194727,.F.); #254606=ORIENTED_EDGE('',*,*,#194728,.F.); #254607=ORIENTED_EDGE('',*,*,#194729,.T.); #254608=ORIENTED_EDGE('',*,*,#194728,.T.); #254609=ORIENTED_EDGE('',*,*,#194730,.F.); #254610=ORIENTED_EDGE('',*,*,#194731,.F.); #254611=ORIENTED_EDGE('',*,*,#194732,.T.); #254612=ORIENTED_EDGE('',*,*,#194731,.T.); #254613=ORIENTED_EDGE('',*,*,#194733,.F.); #254614=ORIENTED_EDGE('',*,*,#194734,.F.); #254615=ORIENTED_EDGE('',*,*,#194735,.T.); #254616=ORIENTED_EDGE('',*,*,#194734,.T.); #254617=ORIENTED_EDGE('',*,*,#194736,.F.); #254618=ORIENTED_EDGE('',*,*,#194737,.F.); #254619=ORIENTED_EDGE('',*,*,#194738,.T.); #254620=ORIENTED_EDGE('',*,*,#194737,.T.); #254621=ORIENTED_EDGE('',*,*,#194739,.F.); #254622=ORIENTED_EDGE('',*,*,#194740,.F.); #254623=ORIENTED_EDGE('',*,*,#194741,.T.); #254624=ORIENTED_EDGE('',*,*,#194740,.T.); #254625=ORIENTED_EDGE('',*,*,#194742,.F.); #254626=ORIENTED_EDGE('',*,*,#194743,.F.); #254627=ORIENTED_EDGE('',*,*,#194744,.T.); #254628=ORIENTED_EDGE('',*,*,#194743,.T.); #254629=ORIENTED_EDGE('',*,*,#194745,.F.); #254630=ORIENTED_EDGE('',*,*,#194746,.F.); #254631=ORIENTED_EDGE('',*,*,#194747,.T.); #254632=ORIENTED_EDGE('',*,*,#194746,.T.); #254633=ORIENTED_EDGE('',*,*,#194748,.F.); #254634=ORIENTED_EDGE('',*,*,#194749,.F.); #254635=ORIENTED_EDGE('',*,*,#194750,.T.); #254636=ORIENTED_EDGE('',*,*,#194749,.T.); #254637=ORIENTED_EDGE('',*,*,#194751,.F.); #254638=ORIENTED_EDGE('',*,*,#194752,.F.); #254639=ORIENTED_EDGE('',*,*,#194753,.T.); #254640=ORIENTED_EDGE('',*,*,#194752,.T.); #254641=ORIENTED_EDGE('',*,*,#194754,.F.); #254642=ORIENTED_EDGE('',*,*,#194755,.F.); #254643=ORIENTED_EDGE('',*,*,#194756,.T.); #254644=ORIENTED_EDGE('',*,*,#194755,.T.); #254645=ORIENTED_EDGE('',*,*,#194757,.F.); #254646=ORIENTED_EDGE('',*,*,#194758,.F.); #254647=ORIENTED_EDGE('',*,*,#194759,.T.); #254648=ORIENTED_EDGE('',*,*,#194758,.T.); #254649=ORIENTED_EDGE('',*,*,#194760,.F.); #254650=ORIENTED_EDGE('',*,*,#194761,.F.); #254651=ORIENTED_EDGE('',*,*,#194762,.T.); #254652=ORIENTED_EDGE('',*,*,#194761,.T.); #254653=ORIENTED_EDGE('',*,*,#194763,.F.); #254654=ORIENTED_EDGE('',*,*,#194764,.F.); #254655=ORIENTED_EDGE('',*,*,#194765,.T.); #254656=ORIENTED_EDGE('',*,*,#194764,.T.); #254657=ORIENTED_EDGE('',*,*,#194766,.F.); #254658=ORIENTED_EDGE('',*,*,#194767,.F.); #254659=ORIENTED_EDGE('',*,*,#194768,.T.); #254660=ORIENTED_EDGE('',*,*,#194767,.T.); #254661=ORIENTED_EDGE('',*,*,#194769,.F.); #254662=ORIENTED_EDGE('',*,*,#194770,.F.); #254663=ORIENTED_EDGE('',*,*,#194771,.T.); #254664=ORIENTED_EDGE('',*,*,#194770,.T.); #254665=ORIENTED_EDGE('',*,*,#194772,.F.); #254666=ORIENTED_EDGE('',*,*,#194773,.F.); #254667=ORIENTED_EDGE('',*,*,#194774,.T.); #254668=ORIENTED_EDGE('',*,*,#194773,.T.); #254669=ORIENTED_EDGE('',*,*,#194775,.F.); #254670=ORIENTED_EDGE('',*,*,#194776,.F.); #254671=ORIENTED_EDGE('',*,*,#194777,.T.); #254672=ORIENTED_EDGE('',*,*,#194776,.T.); #254673=ORIENTED_EDGE('',*,*,#194778,.F.); #254674=ORIENTED_EDGE('',*,*,#194779,.F.); #254675=ORIENTED_EDGE('',*,*,#194780,.T.); #254676=ORIENTED_EDGE('',*,*,#194779,.T.); #254677=ORIENTED_EDGE('',*,*,#194781,.F.); #254678=ORIENTED_EDGE('',*,*,#194782,.F.); #254679=ORIENTED_EDGE('',*,*,#194783,.T.); #254680=ORIENTED_EDGE('',*,*,#194782,.T.); #254681=ORIENTED_EDGE('',*,*,#194784,.F.); #254682=ORIENTED_EDGE('',*,*,#194785,.F.); #254683=ORIENTED_EDGE('',*,*,#194786,.T.); #254684=ORIENTED_EDGE('',*,*,#194785,.T.); #254685=ORIENTED_EDGE('',*,*,#194787,.F.); #254686=ORIENTED_EDGE('',*,*,#194788,.F.); #254687=ORIENTED_EDGE('',*,*,#194789,.T.); #254688=ORIENTED_EDGE('',*,*,#194788,.T.); #254689=ORIENTED_EDGE('',*,*,#194790,.F.); #254690=ORIENTED_EDGE('',*,*,#194791,.F.); #254691=ORIENTED_EDGE('',*,*,#194792,.T.); #254692=ORIENTED_EDGE('',*,*,#194791,.T.); #254693=ORIENTED_EDGE('',*,*,#194793,.F.); #254694=ORIENTED_EDGE('',*,*,#194794,.F.); #254695=ORIENTED_EDGE('',*,*,#194795,.T.); #254696=ORIENTED_EDGE('',*,*,#194794,.T.); #254697=ORIENTED_EDGE('',*,*,#194796,.F.); #254698=ORIENTED_EDGE('',*,*,#194797,.F.); #254699=ORIENTED_EDGE('',*,*,#194798,.T.); #254700=ORIENTED_EDGE('',*,*,#194797,.T.); #254701=ORIENTED_EDGE('',*,*,#194799,.F.); #254702=ORIENTED_EDGE('',*,*,#194800,.F.); #254703=ORIENTED_EDGE('',*,*,#194801,.T.); #254704=ORIENTED_EDGE('',*,*,#194800,.T.); #254705=ORIENTED_EDGE('',*,*,#194802,.F.); #254706=ORIENTED_EDGE('',*,*,#194803,.F.); #254707=ORIENTED_EDGE('',*,*,#194804,.T.); #254708=ORIENTED_EDGE('',*,*,#194803,.T.); #254709=ORIENTED_EDGE('',*,*,#194805,.F.); #254710=ORIENTED_EDGE('',*,*,#194806,.F.); #254711=ORIENTED_EDGE('',*,*,#194807,.T.); #254712=ORIENTED_EDGE('',*,*,#194806,.T.); #254713=ORIENTED_EDGE('',*,*,#194808,.F.); #254714=ORIENTED_EDGE('',*,*,#194809,.F.); #254715=ORIENTED_EDGE('',*,*,#194810,.T.); #254716=ORIENTED_EDGE('',*,*,#194809,.T.); #254717=ORIENTED_EDGE('',*,*,#194811,.F.); #254718=ORIENTED_EDGE('',*,*,#194812,.F.); #254719=ORIENTED_EDGE('',*,*,#194813,.T.); #254720=ORIENTED_EDGE('',*,*,#194812,.T.); #254721=ORIENTED_EDGE('',*,*,#194814,.F.); #254722=ORIENTED_EDGE('',*,*,#194815,.F.); #254723=ORIENTED_EDGE('',*,*,#194816,.T.); #254724=ORIENTED_EDGE('',*,*,#194815,.T.); #254725=ORIENTED_EDGE('',*,*,#194817,.F.); #254726=ORIENTED_EDGE('',*,*,#194818,.F.); #254727=ORIENTED_EDGE('',*,*,#194819,.T.); #254728=ORIENTED_EDGE('',*,*,#194818,.T.); #254729=ORIENTED_EDGE('',*,*,#194820,.F.); #254730=ORIENTED_EDGE('',*,*,#194821,.F.); #254731=ORIENTED_EDGE('',*,*,#194822,.T.); #254732=ORIENTED_EDGE('',*,*,#194821,.T.); #254733=ORIENTED_EDGE('',*,*,#194823,.F.); #254734=ORIENTED_EDGE('',*,*,#194824,.F.); #254735=ORIENTED_EDGE('',*,*,#194825,.T.); #254736=ORIENTED_EDGE('',*,*,#194824,.T.); #254737=ORIENTED_EDGE('',*,*,#194826,.F.); #254738=ORIENTED_EDGE('',*,*,#194827,.F.); #254739=ORIENTED_EDGE('',*,*,#194828,.T.); #254740=ORIENTED_EDGE('',*,*,#194827,.T.); #254741=ORIENTED_EDGE('',*,*,#194829,.F.); #254742=ORIENTED_EDGE('',*,*,#194830,.F.); #254743=ORIENTED_EDGE('',*,*,#194831,.T.); #254744=ORIENTED_EDGE('',*,*,#194830,.T.); #254745=ORIENTED_EDGE('',*,*,#194832,.F.); #254746=ORIENTED_EDGE('',*,*,#194833,.F.); #254747=ORIENTED_EDGE('',*,*,#194834,.T.); #254748=ORIENTED_EDGE('',*,*,#194833,.T.); #254749=ORIENTED_EDGE('',*,*,#194835,.F.); #254750=ORIENTED_EDGE('',*,*,#194836,.F.); #254751=ORIENTED_EDGE('',*,*,#194837,.T.); #254752=ORIENTED_EDGE('',*,*,#194836,.T.); #254753=ORIENTED_EDGE('',*,*,#194838,.F.); #254754=ORIENTED_EDGE('',*,*,#194839,.F.); #254755=ORIENTED_EDGE('',*,*,#194840,.T.); #254756=ORIENTED_EDGE('',*,*,#194839,.T.); #254757=ORIENTED_EDGE('',*,*,#194841,.F.); #254758=ORIENTED_EDGE('',*,*,#194842,.F.); #254759=ORIENTED_EDGE('',*,*,#194843,.T.); #254760=ORIENTED_EDGE('',*,*,#194842,.T.); #254761=ORIENTED_EDGE('',*,*,#194844,.F.); #254762=ORIENTED_EDGE('',*,*,#194845,.F.); #254763=ORIENTED_EDGE('',*,*,#194846,.T.); #254764=ORIENTED_EDGE('',*,*,#194845,.T.); #254765=ORIENTED_EDGE('',*,*,#194847,.F.); #254766=ORIENTED_EDGE('',*,*,#194848,.F.); #254767=ORIENTED_EDGE('',*,*,#194849,.T.); #254768=ORIENTED_EDGE('',*,*,#194848,.T.); #254769=ORIENTED_EDGE('',*,*,#194850,.F.); #254770=ORIENTED_EDGE('',*,*,#194851,.F.); #254771=ORIENTED_EDGE('',*,*,#194852,.T.); #254772=ORIENTED_EDGE('',*,*,#194851,.T.); #254773=ORIENTED_EDGE('',*,*,#194853,.F.); #254774=ORIENTED_EDGE('',*,*,#194854,.F.); #254775=ORIENTED_EDGE('',*,*,#194855,.T.); #254776=ORIENTED_EDGE('',*,*,#194854,.T.); #254777=ORIENTED_EDGE('',*,*,#194856,.F.); #254778=ORIENTED_EDGE('',*,*,#194857,.F.); #254779=ORIENTED_EDGE('',*,*,#194858,.T.); #254780=ORIENTED_EDGE('',*,*,#194857,.T.); #254781=ORIENTED_EDGE('',*,*,#194859,.F.); #254782=ORIENTED_EDGE('',*,*,#194860,.F.); #254783=ORIENTED_EDGE('',*,*,#194861,.T.); #254784=ORIENTED_EDGE('',*,*,#194860,.T.); #254785=ORIENTED_EDGE('',*,*,#194862,.F.); #254786=ORIENTED_EDGE('',*,*,#194863,.F.); #254787=ORIENTED_EDGE('',*,*,#194864,.T.); #254788=ORIENTED_EDGE('',*,*,#194863,.T.); #254789=ORIENTED_EDGE('',*,*,#194865,.F.); #254790=ORIENTED_EDGE('',*,*,#194866,.F.); #254791=ORIENTED_EDGE('',*,*,#194867,.T.); #254792=ORIENTED_EDGE('',*,*,#194866,.T.); #254793=ORIENTED_EDGE('',*,*,#194868,.F.); #254794=ORIENTED_EDGE('',*,*,#194869,.F.); #254795=ORIENTED_EDGE('',*,*,#194870,.T.); #254796=ORIENTED_EDGE('',*,*,#194869,.T.); #254797=ORIENTED_EDGE('',*,*,#194871,.F.); #254798=ORIENTED_EDGE('',*,*,#194872,.F.); #254799=ORIENTED_EDGE('',*,*,#194873,.T.); #254800=ORIENTED_EDGE('',*,*,#194872,.T.); #254801=ORIENTED_EDGE('',*,*,#194874,.F.); #254802=ORIENTED_EDGE('',*,*,#194875,.F.); #254803=ORIENTED_EDGE('',*,*,#194876,.T.); #254804=ORIENTED_EDGE('',*,*,#194875,.T.); #254805=ORIENTED_EDGE('',*,*,#194877,.F.); #254806=ORIENTED_EDGE('',*,*,#194878,.F.); #254807=ORIENTED_EDGE('',*,*,#194879,.T.); #254808=ORIENTED_EDGE('',*,*,#194878,.T.); #254809=ORIENTED_EDGE('',*,*,#194880,.F.); #254810=ORIENTED_EDGE('',*,*,#194881,.F.); #254811=ORIENTED_EDGE('',*,*,#194882,.T.); #254812=ORIENTED_EDGE('',*,*,#194881,.T.); #254813=ORIENTED_EDGE('',*,*,#194883,.F.); #254814=ORIENTED_EDGE('',*,*,#194884,.F.); #254815=ORIENTED_EDGE('',*,*,#194885,.T.); #254816=ORIENTED_EDGE('',*,*,#194884,.T.); #254817=ORIENTED_EDGE('',*,*,#194886,.F.); #254818=ORIENTED_EDGE('',*,*,#194887,.F.); #254819=ORIENTED_EDGE('',*,*,#194888,.T.); #254820=ORIENTED_EDGE('',*,*,#194887,.T.); #254821=ORIENTED_EDGE('',*,*,#194889,.F.); #254822=ORIENTED_EDGE('',*,*,#194890,.F.); #254823=ORIENTED_EDGE('',*,*,#194891,.T.); #254824=ORIENTED_EDGE('',*,*,#194890,.T.); #254825=ORIENTED_EDGE('',*,*,#194892,.F.); #254826=ORIENTED_EDGE('',*,*,#194893,.F.); #254827=ORIENTED_EDGE('',*,*,#194894,.T.); #254828=ORIENTED_EDGE('',*,*,#194893,.T.); #254829=ORIENTED_EDGE('',*,*,#194895,.F.); #254830=ORIENTED_EDGE('',*,*,#194896,.F.); #254831=ORIENTED_EDGE('',*,*,#194897,.T.); #254832=ORIENTED_EDGE('',*,*,#194896,.T.); #254833=ORIENTED_EDGE('',*,*,#194898,.F.); #254834=ORIENTED_EDGE('',*,*,#194899,.F.); #254835=ORIENTED_EDGE('',*,*,#194900,.T.); #254836=ORIENTED_EDGE('',*,*,#194899,.T.); #254837=ORIENTED_EDGE('',*,*,#194901,.F.); #254838=ORIENTED_EDGE('',*,*,#194902,.F.); #254839=ORIENTED_EDGE('',*,*,#194903,.T.); #254840=ORIENTED_EDGE('',*,*,#194902,.T.); #254841=ORIENTED_EDGE('',*,*,#194904,.F.); #254842=ORIENTED_EDGE('',*,*,#194905,.F.); #254843=ORIENTED_EDGE('',*,*,#194906,.T.); #254844=ORIENTED_EDGE('',*,*,#194905,.T.); #254845=ORIENTED_EDGE('',*,*,#194907,.F.); #254846=ORIENTED_EDGE('',*,*,#194908,.F.); #254847=ORIENTED_EDGE('',*,*,#194909,.T.); #254848=ORIENTED_EDGE('',*,*,#194908,.T.); #254849=ORIENTED_EDGE('',*,*,#194910,.F.); #254850=ORIENTED_EDGE('',*,*,#194911,.F.); #254851=ORIENTED_EDGE('',*,*,#194912,.T.); #254852=ORIENTED_EDGE('',*,*,#194911,.T.); #254853=ORIENTED_EDGE('',*,*,#194913,.F.); #254854=ORIENTED_EDGE('',*,*,#194914,.F.); #254855=ORIENTED_EDGE('',*,*,#194915,.T.); #254856=ORIENTED_EDGE('',*,*,#194914,.T.); #254857=ORIENTED_EDGE('',*,*,#194916,.F.); #254858=ORIENTED_EDGE('',*,*,#194917,.F.); #254859=ORIENTED_EDGE('',*,*,#194918,.T.); #254860=ORIENTED_EDGE('',*,*,#194917,.T.); #254861=ORIENTED_EDGE('',*,*,#194919,.F.); #254862=ORIENTED_EDGE('',*,*,#194920,.F.); #254863=ORIENTED_EDGE('',*,*,#194921,.T.); #254864=ORIENTED_EDGE('',*,*,#194920,.T.); #254865=ORIENTED_EDGE('',*,*,#194922,.F.); #254866=ORIENTED_EDGE('',*,*,#194923,.F.); #254867=ORIENTED_EDGE('',*,*,#194924,.T.); #254868=ORIENTED_EDGE('',*,*,#194923,.T.); #254869=ORIENTED_EDGE('',*,*,#194925,.F.); #254870=ORIENTED_EDGE('',*,*,#194926,.F.); #254871=ORIENTED_EDGE('',*,*,#194927,.T.); #254872=ORIENTED_EDGE('',*,*,#194926,.T.); #254873=ORIENTED_EDGE('',*,*,#194928,.F.); #254874=ORIENTED_EDGE('',*,*,#194929,.F.); #254875=ORIENTED_EDGE('',*,*,#194930,.T.); #254876=ORIENTED_EDGE('',*,*,#194929,.T.); #254877=ORIENTED_EDGE('',*,*,#194931,.F.); #254878=ORIENTED_EDGE('',*,*,#194932,.F.); #254879=ORIENTED_EDGE('',*,*,#194933,.T.); #254880=ORIENTED_EDGE('',*,*,#194932,.T.); #254881=ORIENTED_EDGE('',*,*,#194934,.F.); #254882=ORIENTED_EDGE('',*,*,#194935,.F.); #254883=ORIENTED_EDGE('',*,*,#194936,.T.); #254884=ORIENTED_EDGE('',*,*,#194935,.T.); #254885=ORIENTED_EDGE('',*,*,#194937,.F.); #254886=ORIENTED_EDGE('',*,*,#194938,.F.); #254887=ORIENTED_EDGE('',*,*,#194939,.T.); #254888=ORIENTED_EDGE('',*,*,#194938,.T.); #254889=ORIENTED_EDGE('',*,*,#194940,.F.); #254890=ORIENTED_EDGE('',*,*,#194941,.F.); #254891=ORIENTED_EDGE('',*,*,#194942,.T.); #254892=ORIENTED_EDGE('',*,*,#194941,.T.); #254893=ORIENTED_EDGE('',*,*,#194943,.F.); #254894=ORIENTED_EDGE('',*,*,#194944,.F.); #254895=ORIENTED_EDGE('',*,*,#194945,.T.); #254896=ORIENTED_EDGE('',*,*,#194944,.T.); #254897=ORIENTED_EDGE('',*,*,#194946,.F.); #254898=ORIENTED_EDGE('',*,*,#194947,.F.); #254899=ORIENTED_EDGE('',*,*,#194948,.T.); #254900=ORIENTED_EDGE('',*,*,#194947,.T.); #254901=ORIENTED_EDGE('',*,*,#194949,.F.); #254902=ORIENTED_EDGE('',*,*,#194950,.F.); #254903=ORIENTED_EDGE('',*,*,#194951,.T.); #254904=ORIENTED_EDGE('',*,*,#194950,.T.); #254905=ORIENTED_EDGE('',*,*,#194952,.F.); #254906=ORIENTED_EDGE('',*,*,#194953,.F.); #254907=ORIENTED_EDGE('',*,*,#194954,.T.); #254908=ORIENTED_EDGE('',*,*,#194953,.T.); #254909=ORIENTED_EDGE('',*,*,#194955,.F.); #254910=ORIENTED_EDGE('',*,*,#194956,.F.); #254911=ORIENTED_EDGE('',*,*,#194957,.T.); #254912=ORIENTED_EDGE('',*,*,#194956,.T.); #254913=ORIENTED_EDGE('',*,*,#194958,.F.); #254914=ORIENTED_EDGE('',*,*,#194959,.F.); #254915=ORIENTED_EDGE('',*,*,#194960,.T.); #254916=ORIENTED_EDGE('',*,*,#194959,.T.); #254917=ORIENTED_EDGE('',*,*,#194961,.F.); #254918=ORIENTED_EDGE('',*,*,#194962,.F.); #254919=ORIENTED_EDGE('',*,*,#194963,.T.); #254920=ORIENTED_EDGE('',*,*,#194962,.T.); #254921=ORIENTED_EDGE('',*,*,#194964,.F.); #254922=ORIENTED_EDGE('',*,*,#194965,.F.); #254923=ORIENTED_EDGE('',*,*,#194966,.T.); #254924=ORIENTED_EDGE('',*,*,#194965,.T.); #254925=ORIENTED_EDGE('',*,*,#194967,.F.); #254926=ORIENTED_EDGE('',*,*,#194968,.F.); #254927=ORIENTED_EDGE('',*,*,#194969,.T.); #254928=ORIENTED_EDGE('',*,*,#194968,.T.); #254929=ORIENTED_EDGE('',*,*,#194970,.F.); #254930=ORIENTED_EDGE('',*,*,#194971,.F.); #254931=ORIENTED_EDGE('',*,*,#194972,.T.); #254932=ORIENTED_EDGE('',*,*,#194971,.T.); #254933=ORIENTED_EDGE('',*,*,#194973,.F.); #254934=ORIENTED_EDGE('',*,*,#194974,.F.); #254935=ORIENTED_EDGE('',*,*,#194975,.T.); #254936=ORIENTED_EDGE('',*,*,#194974,.T.); #254937=ORIENTED_EDGE('',*,*,#194976,.F.); #254938=ORIENTED_EDGE('',*,*,#194977,.F.); #254939=ORIENTED_EDGE('',*,*,#194978,.T.); #254940=ORIENTED_EDGE('',*,*,#194977,.T.); #254941=ORIENTED_EDGE('',*,*,#194979,.F.); #254942=ORIENTED_EDGE('',*,*,#194980,.F.); #254943=ORIENTED_EDGE('',*,*,#194981,.T.); #254944=ORIENTED_EDGE('',*,*,#194980,.T.); #254945=ORIENTED_EDGE('',*,*,#194982,.F.); #254946=ORIENTED_EDGE('',*,*,#194983,.F.); #254947=ORIENTED_EDGE('',*,*,#194984,.T.); #254948=ORIENTED_EDGE('',*,*,#194983,.T.); #254949=ORIENTED_EDGE('',*,*,#194985,.F.); #254950=ORIENTED_EDGE('',*,*,#194986,.F.); #254951=ORIENTED_EDGE('',*,*,#194987,.T.); #254952=ORIENTED_EDGE('',*,*,#194986,.T.); #254953=ORIENTED_EDGE('',*,*,#194988,.F.); #254954=ORIENTED_EDGE('',*,*,#194989,.F.); #254955=ORIENTED_EDGE('',*,*,#194990,.T.); #254956=ORIENTED_EDGE('',*,*,#194989,.T.); #254957=ORIENTED_EDGE('',*,*,#194991,.F.); #254958=ORIENTED_EDGE('',*,*,#194992,.F.); #254959=ORIENTED_EDGE('',*,*,#194993,.T.); #254960=ORIENTED_EDGE('',*,*,#194992,.T.); #254961=ORIENTED_EDGE('',*,*,#194994,.F.); #254962=ORIENTED_EDGE('',*,*,#194995,.F.); #254963=ORIENTED_EDGE('',*,*,#194996,.T.); #254964=ORIENTED_EDGE('',*,*,#194995,.T.); #254965=ORIENTED_EDGE('',*,*,#194997,.F.); #254966=ORIENTED_EDGE('',*,*,#194998,.F.); #254967=ORIENTED_EDGE('',*,*,#194999,.T.); #254968=ORIENTED_EDGE('',*,*,#194998,.T.); #254969=ORIENTED_EDGE('',*,*,#195000,.F.); #254970=ORIENTED_EDGE('',*,*,#195001,.F.); #254971=ORIENTED_EDGE('',*,*,#195002,.T.); #254972=ORIENTED_EDGE('',*,*,#195001,.T.); #254973=ORIENTED_EDGE('',*,*,#195003,.F.); #254974=ORIENTED_EDGE('',*,*,#195004,.F.); #254975=ORIENTED_EDGE('',*,*,#195005,.T.); #254976=ORIENTED_EDGE('',*,*,#195004,.T.); #254977=ORIENTED_EDGE('',*,*,#195006,.F.); #254978=ORIENTED_EDGE('',*,*,#195007,.F.); #254979=ORIENTED_EDGE('',*,*,#195008,.T.); #254980=ORIENTED_EDGE('',*,*,#195007,.T.); #254981=ORIENTED_EDGE('',*,*,#195009,.F.); #254982=ORIENTED_EDGE('',*,*,#195010,.F.); #254983=ORIENTED_EDGE('',*,*,#195011,.T.); #254984=ORIENTED_EDGE('',*,*,#195010,.T.); #254985=ORIENTED_EDGE('',*,*,#195012,.F.); #254986=ORIENTED_EDGE('',*,*,#195013,.F.); #254987=ORIENTED_EDGE('',*,*,#195014,.T.); #254988=ORIENTED_EDGE('',*,*,#195013,.T.); #254989=ORIENTED_EDGE('',*,*,#195015,.F.); #254990=ORIENTED_EDGE('',*,*,#195016,.F.); #254991=ORIENTED_EDGE('',*,*,#195017,.T.); #254992=ORIENTED_EDGE('',*,*,#195016,.T.); #254993=ORIENTED_EDGE('',*,*,#195018,.F.); #254994=ORIENTED_EDGE('',*,*,#195019,.F.); #254995=ORIENTED_EDGE('',*,*,#195020,.T.); #254996=ORIENTED_EDGE('',*,*,#195019,.T.); #254997=ORIENTED_EDGE('',*,*,#195021,.F.); #254998=ORIENTED_EDGE('',*,*,#195022,.F.); #254999=ORIENTED_EDGE('',*,*,#195023,.T.); #255000=ORIENTED_EDGE('',*,*,#195022,.T.); #255001=ORIENTED_EDGE('',*,*,#195024,.F.); #255002=ORIENTED_EDGE('',*,*,#195025,.F.); #255003=ORIENTED_EDGE('',*,*,#195026,.T.); #255004=ORIENTED_EDGE('',*,*,#195025,.T.); #255005=ORIENTED_EDGE('',*,*,#195027,.F.); #255006=ORIENTED_EDGE('',*,*,#195028,.F.); #255007=ORIENTED_EDGE('',*,*,#195029,.T.); #255008=ORIENTED_EDGE('',*,*,#195028,.T.); #255009=ORIENTED_EDGE('',*,*,#195030,.F.); #255010=ORIENTED_EDGE('',*,*,#195031,.F.); #255011=ORIENTED_EDGE('',*,*,#195032,.T.); #255012=ORIENTED_EDGE('',*,*,#195031,.T.); #255013=ORIENTED_EDGE('',*,*,#195033,.F.); #255014=ORIENTED_EDGE('',*,*,#195034,.F.); #255015=ORIENTED_EDGE('',*,*,#195035,.T.); #255016=ORIENTED_EDGE('',*,*,#195034,.T.); #255017=ORIENTED_EDGE('',*,*,#195036,.F.); #255018=ORIENTED_EDGE('',*,*,#195037,.F.); #255019=ORIENTED_EDGE('',*,*,#195038,.T.); #255020=ORIENTED_EDGE('',*,*,#195037,.T.); #255021=ORIENTED_EDGE('',*,*,#195039,.F.); #255022=ORIENTED_EDGE('',*,*,#195040,.F.); #255023=ORIENTED_EDGE('',*,*,#195041,.T.); #255024=ORIENTED_EDGE('',*,*,#195040,.T.); #255025=ORIENTED_EDGE('',*,*,#195042,.F.); #255026=ORIENTED_EDGE('',*,*,#195043,.F.); #255027=ORIENTED_EDGE('',*,*,#195044,.T.); #255028=ORIENTED_EDGE('',*,*,#195043,.T.); #255029=ORIENTED_EDGE('',*,*,#195045,.F.); #255030=ORIENTED_EDGE('',*,*,#195046,.F.); #255031=ORIENTED_EDGE('',*,*,#195047,.T.); #255032=ORIENTED_EDGE('',*,*,#195046,.T.); #255033=ORIENTED_EDGE('',*,*,#195048,.F.); #255034=ORIENTED_EDGE('',*,*,#195049,.F.); #255035=ORIENTED_EDGE('',*,*,#195050,.T.); #255036=ORIENTED_EDGE('',*,*,#195049,.T.); #255037=ORIENTED_EDGE('',*,*,#195051,.F.); #255038=ORIENTED_EDGE('',*,*,#195052,.F.); #255039=ORIENTED_EDGE('',*,*,#195053,.T.); #255040=ORIENTED_EDGE('',*,*,#195052,.T.); #255041=ORIENTED_EDGE('',*,*,#195054,.F.); #255042=ORIENTED_EDGE('',*,*,#195055,.F.); #255043=ORIENTED_EDGE('',*,*,#195056,.T.); #255044=ORIENTED_EDGE('',*,*,#195055,.T.); #255045=ORIENTED_EDGE('',*,*,#195057,.F.); #255046=ORIENTED_EDGE('',*,*,#195058,.F.); #255047=ORIENTED_EDGE('',*,*,#195059,.T.); #255048=ORIENTED_EDGE('',*,*,#195058,.T.); #255049=ORIENTED_EDGE('',*,*,#195060,.F.); #255050=ORIENTED_EDGE('',*,*,#195061,.F.); #255051=ORIENTED_EDGE('',*,*,#195062,.T.); #255052=ORIENTED_EDGE('',*,*,#195061,.T.); #255053=ORIENTED_EDGE('',*,*,#195063,.F.); #255054=ORIENTED_EDGE('',*,*,#195064,.F.); #255055=ORIENTED_EDGE('',*,*,#195065,.T.); #255056=ORIENTED_EDGE('',*,*,#195064,.T.); #255057=ORIENTED_EDGE('',*,*,#195066,.F.); #255058=ORIENTED_EDGE('',*,*,#195067,.F.); #255059=ORIENTED_EDGE('',*,*,#195068,.T.); #255060=ORIENTED_EDGE('',*,*,#195067,.T.); #255061=ORIENTED_EDGE('',*,*,#195069,.F.); #255062=ORIENTED_EDGE('',*,*,#195070,.F.); #255063=ORIENTED_EDGE('',*,*,#195071,.T.); #255064=ORIENTED_EDGE('',*,*,#195070,.T.); #255065=ORIENTED_EDGE('',*,*,#195072,.F.); #255066=ORIENTED_EDGE('',*,*,#195073,.F.); #255067=ORIENTED_EDGE('',*,*,#195074,.T.); #255068=ORIENTED_EDGE('',*,*,#195073,.T.); #255069=ORIENTED_EDGE('',*,*,#195075,.F.); #255070=ORIENTED_EDGE('',*,*,#195076,.F.); #255071=ORIENTED_EDGE('',*,*,#195077,.T.); #255072=ORIENTED_EDGE('',*,*,#195076,.T.); #255073=ORIENTED_EDGE('',*,*,#195078,.F.); #255074=ORIENTED_EDGE('',*,*,#195079,.F.); #255075=ORIENTED_EDGE('',*,*,#195080,.T.); #255076=ORIENTED_EDGE('',*,*,#195079,.T.); #255077=ORIENTED_EDGE('',*,*,#195081,.F.); #255078=ORIENTED_EDGE('',*,*,#195082,.F.); #255079=ORIENTED_EDGE('',*,*,#195083,.T.); #255080=ORIENTED_EDGE('',*,*,#195082,.T.); #255081=ORIENTED_EDGE('',*,*,#195084,.F.); #255082=ORIENTED_EDGE('',*,*,#195085,.F.); #255083=ORIENTED_EDGE('',*,*,#195086,.T.); #255084=ORIENTED_EDGE('',*,*,#195085,.T.); #255085=ORIENTED_EDGE('',*,*,#195087,.F.); #255086=ORIENTED_EDGE('',*,*,#195088,.F.); #255087=ORIENTED_EDGE('',*,*,#195089,.T.); #255088=ORIENTED_EDGE('',*,*,#195088,.T.); #255089=ORIENTED_EDGE('',*,*,#195090,.F.); #255090=ORIENTED_EDGE('',*,*,#195091,.F.); #255091=ORIENTED_EDGE('',*,*,#195092,.T.); #255092=ORIENTED_EDGE('',*,*,#195091,.T.); #255093=ORIENTED_EDGE('',*,*,#195093,.F.); #255094=ORIENTED_EDGE('',*,*,#195094,.F.); #255095=ORIENTED_EDGE('',*,*,#195095,.T.); #255096=ORIENTED_EDGE('',*,*,#195094,.T.); #255097=ORIENTED_EDGE('',*,*,#195096,.F.); #255098=ORIENTED_EDGE('',*,*,#195097,.F.); #255099=ORIENTED_EDGE('',*,*,#195098,.T.); #255100=ORIENTED_EDGE('',*,*,#195097,.T.); #255101=ORIENTED_EDGE('',*,*,#195099,.F.); #255102=ORIENTED_EDGE('',*,*,#195100,.F.); #255103=ORIENTED_EDGE('',*,*,#195101,.T.); #255104=ORIENTED_EDGE('',*,*,#195100,.T.); #255105=ORIENTED_EDGE('',*,*,#195102,.F.); #255106=ORIENTED_EDGE('',*,*,#195103,.F.); #255107=ORIENTED_EDGE('',*,*,#195104,.T.); #255108=ORIENTED_EDGE('',*,*,#195103,.T.); #255109=ORIENTED_EDGE('',*,*,#195105,.F.); #255110=ORIENTED_EDGE('',*,*,#195106,.F.); #255111=ORIENTED_EDGE('',*,*,#195107,.T.); #255112=ORIENTED_EDGE('',*,*,#195106,.T.); #255113=ORIENTED_EDGE('',*,*,#195108,.F.); #255114=ORIENTED_EDGE('',*,*,#195109,.F.); #255115=ORIENTED_EDGE('',*,*,#195110,.T.); #255116=ORIENTED_EDGE('',*,*,#195109,.T.); #255117=ORIENTED_EDGE('',*,*,#195111,.F.); #255118=ORIENTED_EDGE('',*,*,#195112,.F.); #255119=ORIENTED_EDGE('',*,*,#195113,.T.); #255120=ORIENTED_EDGE('',*,*,#195112,.T.); #255121=ORIENTED_EDGE('',*,*,#195114,.F.); #255122=ORIENTED_EDGE('',*,*,#195115,.F.); #255123=ORIENTED_EDGE('',*,*,#195116,.T.); #255124=ORIENTED_EDGE('',*,*,#195115,.T.); #255125=ORIENTED_EDGE('',*,*,#195117,.F.); #255126=ORIENTED_EDGE('',*,*,#195118,.F.); #255127=ORIENTED_EDGE('',*,*,#195119,.T.); #255128=ORIENTED_EDGE('',*,*,#195118,.T.); #255129=ORIENTED_EDGE('',*,*,#195120,.F.); #255130=ORIENTED_EDGE('',*,*,#195121,.F.); #255131=ORIENTED_EDGE('',*,*,#195122,.T.); #255132=ORIENTED_EDGE('',*,*,#195121,.T.); #255133=ORIENTED_EDGE('',*,*,#195123,.F.); #255134=ORIENTED_EDGE('',*,*,#195124,.F.); #255135=ORIENTED_EDGE('',*,*,#195125,.T.); #255136=ORIENTED_EDGE('',*,*,#195124,.T.); #255137=ORIENTED_EDGE('',*,*,#195126,.F.); #255138=ORIENTED_EDGE('',*,*,#195127,.F.); #255139=ORIENTED_EDGE('',*,*,#195128,.T.); #255140=ORIENTED_EDGE('',*,*,#195127,.T.); #255141=ORIENTED_EDGE('',*,*,#195129,.F.); #255142=ORIENTED_EDGE('',*,*,#195130,.F.); #255143=ORIENTED_EDGE('',*,*,#195131,.T.); #255144=ORIENTED_EDGE('',*,*,#195130,.T.); #255145=ORIENTED_EDGE('',*,*,#195132,.F.); #255146=ORIENTED_EDGE('',*,*,#195133,.F.); #255147=ORIENTED_EDGE('',*,*,#195134,.T.); #255148=ORIENTED_EDGE('',*,*,#195133,.T.); #255149=ORIENTED_EDGE('',*,*,#195135,.F.); #255150=ORIENTED_EDGE('',*,*,#195136,.F.); #255151=ORIENTED_EDGE('',*,*,#195137,.T.); #255152=ORIENTED_EDGE('',*,*,#195136,.T.); #255153=ORIENTED_EDGE('',*,*,#195138,.F.); #255154=ORIENTED_EDGE('',*,*,#195139,.F.); #255155=ORIENTED_EDGE('',*,*,#195140,.T.); #255156=ORIENTED_EDGE('',*,*,#195139,.T.); #255157=ORIENTED_EDGE('',*,*,#195141,.F.); #255158=ORIENTED_EDGE('',*,*,#195142,.F.); #255159=ORIENTED_EDGE('',*,*,#195143,.T.); #255160=ORIENTED_EDGE('',*,*,#195142,.T.); #255161=ORIENTED_EDGE('',*,*,#195144,.F.); #255162=ORIENTED_EDGE('',*,*,#195145,.F.); #255163=ORIENTED_EDGE('',*,*,#195146,.T.); #255164=ORIENTED_EDGE('',*,*,#195145,.T.); #255165=ORIENTED_EDGE('',*,*,#195147,.F.); #255166=ORIENTED_EDGE('',*,*,#195148,.F.); #255167=ORIENTED_EDGE('',*,*,#195149,.T.); #255168=ORIENTED_EDGE('',*,*,#195148,.T.); #255169=ORIENTED_EDGE('',*,*,#195150,.F.); #255170=ORIENTED_EDGE('',*,*,#195151,.F.); #255171=ORIENTED_EDGE('',*,*,#195152,.T.); #255172=ORIENTED_EDGE('',*,*,#195151,.T.); #255173=ORIENTED_EDGE('',*,*,#195153,.F.); #255174=ORIENTED_EDGE('',*,*,#195154,.F.); #255175=ORIENTED_EDGE('',*,*,#195155,.T.); #255176=ORIENTED_EDGE('',*,*,#195154,.T.); #255177=ORIENTED_EDGE('',*,*,#195156,.F.); #255178=ORIENTED_EDGE('',*,*,#195157,.F.); #255179=ORIENTED_EDGE('',*,*,#195158,.T.); #255180=ORIENTED_EDGE('',*,*,#195157,.T.); #255181=ORIENTED_EDGE('',*,*,#195159,.F.); #255182=ORIENTED_EDGE('',*,*,#195160,.F.); #255183=ORIENTED_EDGE('',*,*,#195161,.T.); #255184=ORIENTED_EDGE('',*,*,#195160,.T.); #255185=ORIENTED_EDGE('',*,*,#195162,.F.); #255186=ORIENTED_EDGE('',*,*,#195163,.F.); #255187=ORIENTED_EDGE('',*,*,#195164,.T.); #255188=ORIENTED_EDGE('',*,*,#195163,.T.); #255189=ORIENTED_EDGE('',*,*,#195165,.F.); #255190=ORIENTED_EDGE('',*,*,#195166,.F.); #255191=ORIENTED_EDGE('',*,*,#195167,.T.); #255192=ORIENTED_EDGE('',*,*,#195166,.T.); #255193=ORIENTED_EDGE('',*,*,#195168,.F.); #255194=ORIENTED_EDGE('',*,*,#195169,.F.); #255195=ORIENTED_EDGE('',*,*,#195170,.T.); #255196=ORIENTED_EDGE('',*,*,#195169,.T.); #255197=ORIENTED_EDGE('',*,*,#195171,.F.); #255198=ORIENTED_EDGE('',*,*,#195172,.F.); #255199=ORIENTED_EDGE('',*,*,#195173,.T.); #255200=ORIENTED_EDGE('',*,*,#195172,.T.); #255201=ORIENTED_EDGE('',*,*,#195174,.F.); #255202=ORIENTED_EDGE('',*,*,#195175,.F.); #255203=ORIENTED_EDGE('',*,*,#195176,.T.); #255204=ORIENTED_EDGE('',*,*,#195175,.T.); #255205=ORIENTED_EDGE('',*,*,#195177,.F.); #255206=ORIENTED_EDGE('',*,*,#195178,.F.); #255207=ORIENTED_EDGE('',*,*,#195179,.T.); #255208=ORIENTED_EDGE('',*,*,#195178,.T.); #255209=ORIENTED_EDGE('',*,*,#195180,.F.); #255210=ORIENTED_EDGE('',*,*,#195181,.F.); #255211=ORIENTED_EDGE('',*,*,#195182,.T.); #255212=ORIENTED_EDGE('',*,*,#195181,.T.); #255213=ORIENTED_EDGE('',*,*,#195183,.F.); #255214=ORIENTED_EDGE('',*,*,#195184,.F.); #255215=ORIENTED_EDGE('',*,*,#195185,.T.); #255216=ORIENTED_EDGE('',*,*,#195184,.T.); #255217=ORIENTED_EDGE('',*,*,#195186,.F.); #255218=ORIENTED_EDGE('',*,*,#195187,.F.); #255219=ORIENTED_EDGE('',*,*,#195188,.T.); #255220=ORIENTED_EDGE('',*,*,#195187,.T.); #255221=ORIENTED_EDGE('',*,*,#195189,.F.); #255222=ORIENTED_EDGE('',*,*,#195190,.F.); #255223=ORIENTED_EDGE('',*,*,#195191,.T.); #255224=ORIENTED_EDGE('',*,*,#195190,.T.); #255225=ORIENTED_EDGE('',*,*,#195192,.F.); #255226=ORIENTED_EDGE('',*,*,#195193,.F.); #255227=ORIENTED_EDGE('',*,*,#195194,.T.); #255228=ORIENTED_EDGE('',*,*,#195193,.T.); #255229=ORIENTED_EDGE('',*,*,#195195,.F.); #255230=ORIENTED_EDGE('',*,*,#195196,.F.); #255231=ORIENTED_EDGE('',*,*,#195197,.T.); #255232=ORIENTED_EDGE('',*,*,#195196,.T.); #255233=ORIENTED_EDGE('',*,*,#195198,.F.); #255234=ORIENTED_EDGE('',*,*,#195199,.F.); #255235=ORIENTED_EDGE('',*,*,#195200,.T.); #255236=ORIENTED_EDGE('',*,*,#195199,.T.); #255237=ORIENTED_EDGE('',*,*,#195201,.F.); #255238=ORIENTED_EDGE('',*,*,#195202,.F.); #255239=ORIENTED_EDGE('',*,*,#195203,.T.); #255240=ORIENTED_EDGE('',*,*,#195202,.T.); #255241=ORIENTED_EDGE('',*,*,#195204,.F.); #255242=ORIENTED_EDGE('',*,*,#195205,.F.); #255243=ORIENTED_EDGE('',*,*,#195206,.T.); #255244=ORIENTED_EDGE('',*,*,#195205,.T.); #255245=ORIENTED_EDGE('',*,*,#195207,.F.); #255246=ORIENTED_EDGE('',*,*,#195208,.F.); #255247=ORIENTED_EDGE('',*,*,#195209,.T.); #255248=ORIENTED_EDGE('',*,*,#195208,.T.); #255249=ORIENTED_EDGE('',*,*,#195210,.F.); #255250=ORIENTED_EDGE('',*,*,#195211,.F.); #255251=ORIENTED_EDGE('',*,*,#195212,.T.); #255252=ORIENTED_EDGE('',*,*,#195211,.T.); #255253=ORIENTED_EDGE('',*,*,#195213,.F.); #255254=ORIENTED_EDGE('',*,*,#195214,.F.); #255255=ORIENTED_EDGE('',*,*,#195215,.T.); #255256=ORIENTED_EDGE('',*,*,#195214,.T.); #255257=ORIENTED_EDGE('',*,*,#195216,.F.); #255258=ORIENTED_EDGE('',*,*,#195217,.F.); #255259=ORIENTED_EDGE('',*,*,#195218,.T.); #255260=ORIENTED_EDGE('',*,*,#195217,.T.); #255261=ORIENTED_EDGE('',*,*,#195219,.F.); #255262=ORIENTED_EDGE('',*,*,#195220,.F.); #255263=ORIENTED_EDGE('',*,*,#195221,.T.); #255264=ORIENTED_EDGE('',*,*,#195220,.T.); #255265=ORIENTED_EDGE('',*,*,#195222,.F.); #255266=ORIENTED_EDGE('',*,*,#195223,.F.); #255267=ORIENTED_EDGE('',*,*,#195224,.T.); #255268=ORIENTED_EDGE('',*,*,#195223,.T.); #255269=ORIENTED_EDGE('',*,*,#195225,.F.); #255270=ORIENTED_EDGE('',*,*,#195226,.F.); #255271=ORIENTED_EDGE('',*,*,#195227,.T.); #255272=ORIENTED_EDGE('',*,*,#195226,.T.); #255273=ORIENTED_EDGE('',*,*,#195228,.F.); #255274=ORIENTED_EDGE('',*,*,#195229,.F.); #255275=ORIENTED_EDGE('',*,*,#195230,.T.); #255276=ORIENTED_EDGE('',*,*,#195229,.T.); #255277=ORIENTED_EDGE('',*,*,#195231,.F.); #255278=ORIENTED_EDGE('',*,*,#195232,.F.); #255279=ORIENTED_EDGE('',*,*,#195233,.T.); #255280=ORIENTED_EDGE('',*,*,#195232,.T.); #255281=ORIENTED_EDGE('',*,*,#195234,.F.); #255282=ORIENTED_EDGE('',*,*,#195235,.F.); #255283=ORIENTED_EDGE('',*,*,#195236,.T.); #255284=ORIENTED_EDGE('',*,*,#195235,.T.); #255285=ORIENTED_EDGE('',*,*,#195237,.F.); #255286=ORIENTED_EDGE('',*,*,#195238,.F.); #255287=ORIENTED_EDGE('',*,*,#195239,.T.); #255288=ORIENTED_EDGE('',*,*,#195238,.T.); #255289=ORIENTED_EDGE('',*,*,#195240,.F.); #255290=ORIENTED_EDGE('',*,*,#195241,.F.); #255291=ORIENTED_EDGE('',*,*,#195242,.T.); #255292=ORIENTED_EDGE('',*,*,#195241,.T.); #255293=ORIENTED_EDGE('',*,*,#195243,.F.); #255294=ORIENTED_EDGE('',*,*,#195244,.F.); #255295=ORIENTED_EDGE('',*,*,#195245,.T.); #255296=ORIENTED_EDGE('',*,*,#195244,.T.); #255297=ORIENTED_EDGE('',*,*,#195246,.F.); #255298=ORIENTED_EDGE('',*,*,#195247,.F.); #255299=ORIENTED_EDGE('',*,*,#195248,.T.); #255300=ORIENTED_EDGE('',*,*,#195247,.T.); #255301=ORIENTED_EDGE('',*,*,#195249,.F.); #255302=ORIENTED_EDGE('',*,*,#195250,.F.); #255303=ORIENTED_EDGE('',*,*,#195251,.T.); #255304=ORIENTED_EDGE('',*,*,#195250,.T.); #255305=ORIENTED_EDGE('',*,*,#195252,.F.); #255306=ORIENTED_EDGE('',*,*,#195253,.F.); #255307=ORIENTED_EDGE('',*,*,#195254,.T.); #255308=ORIENTED_EDGE('',*,*,#195253,.T.); #255309=ORIENTED_EDGE('',*,*,#195255,.F.); #255310=ORIENTED_EDGE('',*,*,#195256,.F.); #255311=ORIENTED_EDGE('',*,*,#195257,.T.); #255312=ORIENTED_EDGE('',*,*,#195256,.T.); #255313=ORIENTED_EDGE('',*,*,#195258,.F.); #255314=ORIENTED_EDGE('',*,*,#195259,.F.); #255315=ORIENTED_EDGE('',*,*,#195260,.T.); #255316=ORIENTED_EDGE('',*,*,#195259,.T.); #255317=ORIENTED_EDGE('',*,*,#195261,.F.); #255318=ORIENTED_EDGE('',*,*,#195262,.F.); #255319=ORIENTED_EDGE('',*,*,#195263,.T.); #255320=ORIENTED_EDGE('',*,*,#195262,.T.); #255321=ORIENTED_EDGE('',*,*,#195264,.F.); #255322=ORIENTED_EDGE('',*,*,#195265,.F.); #255323=ORIENTED_EDGE('',*,*,#195266,.T.); #255324=ORIENTED_EDGE('',*,*,#195265,.T.); #255325=ORIENTED_EDGE('',*,*,#195267,.F.); #255326=ORIENTED_EDGE('',*,*,#195268,.F.); #255327=ORIENTED_EDGE('',*,*,#195269,.T.); #255328=ORIENTED_EDGE('',*,*,#195268,.T.); #255329=ORIENTED_EDGE('',*,*,#195270,.F.); #255330=ORIENTED_EDGE('',*,*,#195271,.F.); #255331=ORIENTED_EDGE('',*,*,#195272,.T.); #255332=ORIENTED_EDGE('',*,*,#195271,.T.); #255333=ORIENTED_EDGE('',*,*,#195273,.F.); #255334=ORIENTED_EDGE('',*,*,#195274,.F.); #255335=ORIENTED_EDGE('',*,*,#195275,.T.); #255336=ORIENTED_EDGE('',*,*,#195274,.T.); #255337=ORIENTED_EDGE('',*,*,#195276,.F.); #255338=ORIENTED_EDGE('',*,*,#195277,.F.); #255339=ORIENTED_EDGE('',*,*,#195278,.T.); #255340=ORIENTED_EDGE('',*,*,#195277,.T.); #255341=ORIENTED_EDGE('',*,*,#195279,.F.); #255342=ORIENTED_EDGE('',*,*,#195280,.F.); #255343=ORIENTED_EDGE('',*,*,#195281,.T.); #255344=ORIENTED_EDGE('',*,*,#195280,.T.); #255345=ORIENTED_EDGE('',*,*,#195282,.F.); #255346=ORIENTED_EDGE('',*,*,#195283,.F.); #255347=ORIENTED_EDGE('',*,*,#195284,.T.); #255348=ORIENTED_EDGE('',*,*,#195283,.T.); #255349=ORIENTED_EDGE('',*,*,#195285,.F.); #255350=ORIENTED_EDGE('',*,*,#195286,.F.); #255351=ORIENTED_EDGE('',*,*,#195287,.T.); #255352=ORIENTED_EDGE('',*,*,#195286,.T.); #255353=ORIENTED_EDGE('',*,*,#195288,.F.); #255354=ORIENTED_EDGE('',*,*,#195289,.F.); #255355=ORIENTED_EDGE('',*,*,#195290,.T.); #255356=ORIENTED_EDGE('',*,*,#195289,.T.); #255357=ORIENTED_EDGE('',*,*,#195291,.F.); #255358=ORIENTED_EDGE('',*,*,#195292,.F.); #255359=ORIENTED_EDGE('',*,*,#195293,.T.); #255360=ORIENTED_EDGE('',*,*,#195292,.T.); #255361=ORIENTED_EDGE('',*,*,#195294,.F.); #255362=ORIENTED_EDGE('',*,*,#195295,.F.); #255363=ORIENTED_EDGE('',*,*,#195296,.T.); #255364=ORIENTED_EDGE('',*,*,#195295,.T.); #255365=ORIENTED_EDGE('',*,*,#195297,.F.); #255366=ORIENTED_EDGE('',*,*,#195298,.F.); #255367=ORIENTED_EDGE('',*,*,#195299,.T.); #255368=ORIENTED_EDGE('',*,*,#195298,.T.); #255369=ORIENTED_EDGE('',*,*,#195300,.F.); #255370=ORIENTED_EDGE('',*,*,#195301,.F.); #255371=ORIENTED_EDGE('',*,*,#195302,.T.); #255372=ORIENTED_EDGE('',*,*,#195301,.T.); #255373=ORIENTED_EDGE('',*,*,#195303,.F.); #255374=ORIENTED_EDGE('',*,*,#195304,.F.); #255375=ORIENTED_EDGE('',*,*,#195305,.T.); #255376=ORIENTED_EDGE('',*,*,#195304,.T.); #255377=ORIENTED_EDGE('',*,*,#195306,.F.); #255378=ORIENTED_EDGE('',*,*,#195307,.F.); #255379=ORIENTED_EDGE('',*,*,#195308,.T.); #255380=ORIENTED_EDGE('',*,*,#195307,.T.); #255381=ORIENTED_EDGE('',*,*,#195309,.F.); #255382=ORIENTED_EDGE('',*,*,#195310,.F.); #255383=ORIENTED_EDGE('',*,*,#195311,.T.); #255384=ORIENTED_EDGE('',*,*,#195310,.T.); #255385=ORIENTED_EDGE('',*,*,#195312,.F.); #255386=ORIENTED_EDGE('',*,*,#195313,.F.); #255387=ORIENTED_EDGE('',*,*,#195314,.T.); #255388=ORIENTED_EDGE('',*,*,#195313,.T.); #255389=ORIENTED_EDGE('',*,*,#195315,.F.); #255390=ORIENTED_EDGE('',*,*,#195316,.F.); #255391=ORIENTED_EDGE('',*,*,#195317,.T.); #255392=ORIENTED_EDGE('',*,*,#195316,.T.); #255393=ORIENTED_EDGE('',*,*,#195318,.F.); #255394=ORIENTED_EDGE('',*,*,#195319,.F.); #255395=ORIENTED_EDGE('',*,*,#195320,.T.); #255396=ORIENTED_EDGE('',*,*,#195319,.T.); #255397=ORIENTED_EDGE('',*,*,#195321,.F.); #255398=ORIENTED_EDGE('',*,*,#195322,.F.); #255399=ORIENTED_EDGE('',*,*,#195323,.T.); #255400=ORIENTED_EDGE('',*,*,#195322,.T.); #255401=ORIENTED_EDGE('',*,*,#195324,.F.); #255402=ORIENTED_EDGE('',*,*,#195325,.F.); #255403=ORIENTED_EDGE('',*,*,#195326,.T.); #255404=ORIENTED_EDGE('',*,*,#195325,.T.); #255405=ORIENTED_EDGE('',*,*,#195327,.F.); #255406=ORIENTED_EDGE('',*,*,#195328,.F.); #255407=ORIENTED_EDGE('',*,*,#195329,.T.); #255408=ORIENTED_EDGE('',*,*,#195328,.T.); #255409=ORIENTED_EDGE('',*,*,#195330,.F.); #255410=ORIENTED_EDGE('',*,*,#195331,.F.); #255411=ORIENTED_EDGE('',*,*,#195332,.T.); #255412=ORIENTED_EDGE('',*,*,#195331,.T.); #255413=ORIENTED_EDGE('',*,*,#195333,.F.); #255414=ORIENTED_EDGE('',*,*,#195334,.F.); #255415=ORIENTED_EDGE('',*,*,#195335,.T.); #255416=ORIENTED_EDGE('',*,*,#195334,.T.); #255417=ORIENTED_EDGE('',*,*,#195336,.F.); #255418=ORIENTED_EDGE('',*,*,#195337,.F.); #255419=ORIENTED_EDGE('',*,*,#195338,.T.); #255420=ORIENTED_EDGE('',*,*,#195337,.T.); #255421=ORIENTED_EDGE('',*,*,#195339,.F.); #255422=ORIENTED_EDGE('',*,*,#195340,.F.); #255423=ORIENTED_EDGE('',*,*,#195341,.T.); #255424=ORIENTED_EDGE('',*,*,#195340,.T.); #255425=ORIENTED_EDGE('',*,*,#195342,.F.); #255426=ORIENTED_EDGE('',*,*,#195343,.F.); #255427=ORIENTED_EDGE('',*,*,#195344,.T.); #255428=ORIENTED_EDGE('',*,*,#195343,.T.); #255429=ORIENTED_EDGE('',*,*,#195345,.F.); #255430=ORIENTED_EDGE('',*,*,#195346,.F.); #255431=ORIENTED_EDGE('',*,*,#195347,.T.); #255432=ORIENTED_EDGE('',*,*,#195346,.T.); #255433=ORIENTED_EDGE('',*,*,#195348,.F.); #255434=ORIENTED_EDGE('',*,*,#195349,.F.); #255435=ORIENTED_EDGE('',*,*,#195350,.T.); #255436=ORIENTED_EDGE('',*,*,#195349,.T.); #255437=ORIENTED_EDGE('',*,*,#195351,.F.); #255438=ORIENTED_EDGE('',*,*,#195352,.F.); #255439=ORIENTED_EDGE('',*,*,#195353,.T.); #255440=ORIENTED_EDGE('',*,*,#195352,.T.); #255441=ORIENTED_EDGE('',*,*,#195354,.F.); #255442=ORIENTED_EDGE('',*,*,#195355,.F.); #255443=ORIENTED_EDGE('',*,*,#195356,.T.); #255444=ORIENTED_EDGE('',*,*,#195355,.T.); #255445=ORIENTED_EDGE('',*,*,#195357,.F.); #255446=ORIENTED_EDGE('',*,*,#195358,.F.); #255447=ORIENTED_EDGE('',*,*,#195359,.T.); #255448=ORIENTED_EDGE('',*,*,#195358,.T.); #255449=ORIENTED_EDGE('',*,*,#195360,.F.); #255450=ORIENTED_EDGE('',*,*,#195361,.F.); #255451=ORIENTED_EDGE('',*,*,#195362,.T.); #255452=ORIENTED_EDGE('',*,*,#195361,.T.); #255453=ORIENTED_EDGE('',*,*,#195363,.F.); #255454=ORIENTED_EDGE('',*,*,#195364,.F.); #255455=ORIENTED_EDGE('',*,*,#195365,.T.); #255456=ORIENTED_EDGE('',*,*,#195364,.T.); #255457=ORIENTED_EDGE('',*,*,#195366,.F.); #255458=ORIENTED_EDGE('',*,*,#195367,.F.); #255459=ORIENTED_EDGE('',*,*,#195368,.T.); #255460=ORIENTED_EDGE('',*,*,#195367,.T.); #255461=ORIENTED_EDGE('',*,*,#195369,.F.); #255462=ORIENTED_EDGE('',*,*,#195370,.F.); #255463=ORIENTED_EDGE('',*,*,#195371,.T.); #255464=ORIENTED_EDGE('',*,*,#195370,.T.); #255465=ORIENTED_EDGE('',*,*,#195372,.F.); #255466=ORIENTED_EDGE('',*,*,#195373,.F.); #255467=ORIENTED_EDGE('',*,*,#195374,.T.); #255468=ORIENTED_EDGE('',*,*,#195373,.T.); #255469=ORIENTED_EDGE('',*,*,#195375,.F.); #255470=ORIENTED_EDGE('',*,*,#195376,.F.); #255471=ORIENTED_EDGE('',*,*,#195377,.T.); #255472=ORIENTED_EDGE('',*,*,#195376,.T.); #255473=ORIENTED_EDGE('',*,*,#195378,.F.); #255474=ORIENTED_EDGE('',*,*,#195379,.F.); #255475=ORIENTED_EDGE('',*,*,#195380,.T.); #255476=ORIENTED_EDGE('',*,*,#195379,.T.); #255477=ORIENTED_EDGE('',*,*,#195381,.F.); #255478=ORIENTED_EDGE('',*,*,#195382,.F.); #255479=ORIENTED_EDGE('',*,*,#195383,.T.); #255480=ORIENTED_EDGE('',*,*,#195382,.T.); #255481=ORIENTED_EDGE('',*,*,#195384,.F.); #255482=ORIENTED_EDGE('',*,*,#195385,.F.); #255483=ORIENTED_EDGE('',*,*,#195386,.T.); #255484=ORIENTED_EDGE('',*,*,#195385,.T.); #255485=ORIENTED_EDGE('',*,*,#195387,.F.); #255486=ORIENTED_EDGE('',*,*,#195388,.F.); #255487=ORIENTED_EDGE('',*,*,#195389,.T.); #255488=ORIENTED_EDGE('',*,*,#195388,.T.); #255489=ORIENTED_EDGE('',*,*,#195390,.F.); #255490=ORIENTED_EDGE('',*,*,#195391,.F.); #255491=ORIENTED_EDGE('',*,*,#195392,.T.); #255492=ORIENTED_EDGE('',*,*,#195391,.T.); #255493=ORIENTED_EDGE('',*,*,#195393,.F.); #255494=ORIENTED_EDGE('',*,*,#195394,.F.); #255495=ORIENTED_EDGE('',*,*,#195395,.T.); #255496=ORIENTED_EDGE('',*,*,#195394,.T.); #255497=ORIENTED_EDGE('',*,*,#195396,.F.); #255498=ORIENTED_EDGE('',*,*,#195397,.F.); #255499=ORIENTED_EDGE('',*,*,#195398,.T.); #255500=ORIENTED_EDGE('',*,*,#195397,.T.); #255501=ORIENTED_EDGE('',*,*,#195399,.F.); #255502=ORIENTED_EDGE('',*,*,#195400,.F.); #255503=ORIENTED_EDGE('',*,*,#195401,.T.); #255504=ORIENTED_EDGE('',*,*,#195400,.T.); #255505=ORIENTED_EDGE('',*,*,#195402,.F.); #255506=ORIENTED_EDGE('',*,*,#195403,.F.); #255507=ORIENTED_EDGE('',*,*,#195404,.T.); #255508=ORIENTED_EDGE('',*,*,#195403,.T.); #255509=ORIENTED_EDGE('',*,*,#195405,.F.); #255510=ORIENTED_EDGE('',*,*,#195406,.F.); #255511=ORIENTED_EDGE('',*,*,#195407,.T.); #255512=ORIENTED_EDGE('',*,*,#195406,.T.); #255513=ORIENTED_EDGE('',*,*,#195408,.F.); #255514=ORIENTED_EDGE('',*,*,#195409,.F.); #255515=ORIENTED_EDGE('',*,*,#195410,.T.); #255516=ORIENTED_EDGE('',*,*,#195409,.T.); #255517=ORIENTED_EDGE('',*,*,#195411,.F.); #255518=ORIENTED_EDGE('',*,*,#195412,.F.); #255519=ORIENTED_EDGE('',*,*,#195413,.T.); #255520=ORIENTED_EDGE('',*,*,#195412,.T.); #255521=ORIENTED_EDGE('',*,*,#195414,.F.); #255522=ORIENTED_EDGE('',*,*,#195415,.F.); #255523=ORIENTED_EDGE('',*,*,#195416,.T.); #255524=ORIENTED_EDGE('',*,*,#195415,.T.); #255525=ORIENTED_EDGE('',*,*,#195417,.F.); #255526=ORIENTED_EDGE('',*,*,#195418,.F.); #255527=ORIENTED_EDGE('',*,*,#195419,.T.); #255528=ORIENTED_EDGE('',*,*,#195418,.T.); #255529=ORIENTED_EDGE('',*,*,#195420,.F.); #255530=ORIENTED_EDGE('',*,*,#195421,.F.); #255531=ORIENTED_EDGE('',*,*,#195422,.T.); #255532=ORIENTED_EDGE('',*,*,#195421,.T.); #255533=ORIENTED_EDGE('',*,*,#195423,.F.); #255534=ORIENTED_EDGE('',*,*,#195424,.F.); #255535=ORIENTED_EDGE('',*,*,#195425,.T.); #255536=ORIENTED_EDGE('',*,*,#195424,.T.); #255537=ORIENTED_EDGE('',*,*,#195426,.F.); #255538=ORIENTED_EDGE('',*,*,#195427,.F.); #255539=ORIENTED_EDGE('',*,*,#195428,.T.); #255540=ORIENTED_EDGE('',*,*,#195427,.T.); #255541=ORIENTED_EDGE('',*,*,#195429,.F.); #255542=ORIENTED_EDGE('',*,*,#195430,.F.); #255543=ORIENTED_EDGE('',*,*,#195431,.T.); #255544=ORIENTED_EDGE('',*,*,#195430,.T.); #255545=ORIENTED_EDGE('',*,*,#195432,.F.); #255546=ORIENTED_EDGE('',*,*,#195433,.F.); #255547=ORIENTED_EDGE('',*,*,#195434,.T.); #255548=ORIENTED_EDGE('',*,*,#195433,.T.); #255549=ORIENTED_EDGE('',*,*,#195435,.F.); #255550=ORIENTED_EDGE('',*,*,#195436,.F.); #255551=ORIENTED_EDGE('',*,*,#195437,.T.); #255552=ORIENTED_EDGE('',*,*,#195436,.T.); #255553=ORIENTED_EDGE('',*,*,#195438,.F.); #255554=ORIENTED_EDGE('',*,*,#195439,.F.); #255555=ORIENTED_EDGE('',*,*,#195440,.T.); #255556=ORIENTED_EDGE('',*,*,#195439,.T.); #255557=ORIENTED_EDGE('',*,*,#195441,.F.); #255558=ORIENTED_EDGE('',*,*,#195442,.F.); #255559=ORIENTED_EDGE('',*,*,#195443,.T.); #255560=ORIENTED_EDGE('',*,*,#195442,.T.); #255561=ORIENTED_EDGE('',*,*,#195444,.F.); #255562=ORIENTED_EDGE('',*,*,#195445,.F.); #255563=ORIENTED_EDGE('',*,*,#195446,.T.); #255564=ORIENTED_EDGE('',*,*,#195445,.T.); #255565=ORIENTED_EDGE('',*,*,#195447,.F.); #255566=ORIENTED_EDGE('',*,*,#195448,.F.); #255567=ORIENTED_EDGE('',*,*,#195449,.T.); #255568=ORIENTED_EDGE('',*,*,#195448,.T.); #255569=ORIENTED_EDGE('',*,*,#195450,.F.); #255570=ORIENTED_EDGE('',*,*,#195451,.F.); #255571=ORIENTED_EDGE('',*,*,#195452,.T.); #255572=ORIENTED_EDGE('',*,*,#195451,.T.); #255573=ORIENTED_EDGE('',*,*,#195453,.F.); #255574=ORIENTED_EDGE('',*,*,#195454,.F.); #255575=ORIENTED_EDGE('',*,*,#195455,.T.); #255576=ORIENTED_EDGE('',*,*,#195454,.T.); #255577=ORIENTED_EDGE('',*,*,#195456,.F.); #255578=ORIENTED_EDGE('',*,*,#195457,.F.); #255579=ORIENTED_EDGE('',*,*,#195458,.T.); #255580=ORIENTED_EDGE('',*,*,#195457,.T.); #255581=ORIENTED_EDGE('',*,*,#195459,.F.); #255582=ORIENTED_EDGE('',*,*,#195460,.F.); #255583=ORIENTED_EDGE('',*,*,#195461,.T.); #255584=ORIENTED_EDGE('',*,*,#195460,.T.); #255585=ORIENTED_EDGE('',*,*,#195462,.F.); #255586=ORIENTED_EDGE('',*,*,#195463,.F.); #255587=ORIENTED_EDGE('',*,*,#195464,.T.); #255588=ORIENTED_EDGE('',*,*,#195463,.T.); #255589=ORIENTED_EDGE('',*,*,#195465,.F.); #255590=ORIENTED_EDGE('',*,*,#195466,.F.); #255591=ORIENTED_EDGE('',*,*,#195467,.T.); #255592=ORIENTED_EDGE('',*,*,#195466,.T.); #255593=ORIENTED_EDGE('',*,*,#195468,.F.); #255594=ORIENTED_EDGE('',*,*,#195469,.F.); #255595=ORIENTED_EDGE('',*,*,#195470,.T.); #255596=ORIENTED_EDGE('',*,*,#195469,.T.); #255597=ORIENTED_EDGE('',*,*,#195471,.F.); #255598=ORIENTED_EDGE('',*,*,#195472,.F.); #255599=ORIENTED_EDGE('',*,*,#195473,.T.); #255600=ORIENTED_EDGE('',*,*,#195472,.T.); #255601=ORIENTED_EDGE('',*,*,#195474,.F.); #255602=ORIENTED_EDGE('',*,*,#195475,.F.); #255603=ORIENTED_EDGE('',*,*,#195476,.T.); #255604=ORIENTED_EDGE('',*,*,#195475,.T.); #255605=ORIENTED_EDGE('',*,*,#195477,.F.); #255606=ORIENTED_EDGE('',*,*,#195478,.F.); #255607=ORIENTED_EDGE('',*,*,#195479,.T.); #255608=ORIENTED_EDGE('',*,*,#195478,.T.); #255609=ORIENTED_EDGE('',*,*,#195480,.F.); #255610=ORIENTED_EDGE('',*,*,#195481,.F.); #255611=ORIENTED_EDGE('',*,*,#195482,.T.); #255612=ORIENTED_EDGE('',*,*,#195481,.T.); #255613=ORIENTED_EDGE('',*,*,#195483,.F.); #255614=ORIENTED_EDGE('',*,*,#195484,.F.); #255615=ORIENTED_EDGE('',*,*,#195485,.T.); #255616=ORIENTED_EDGE('',*,*,#195484,.T.); #255617=ORIENTED_EDGE('',*,*,#195486,.F.); #255618=ORIENTED_EDGE('',*,*,#195487,.F.); #255619=ORIENTED_EDGE('',*,*,#195488,.T.); #255620=ORIENTED_EDGE('',*,*,#195487,.T.); #255621=ORIENTED_EDGE('',*,*,#195489,.F.); #255622=ORIENTED_EDGE('',*,*,#195490,.F.); #255623=ORIENTED_EDGE('',*,*,#195491,.T.); #255624=ORIENTED_EDGE('',*,*,#195490,.T.); #255625=ORIENTED_EDGE('',*,*,#195492,.F.); #255626=ORIENTED_EDGE('',*,*,#195493,.F.); #255627=ORIENTED_EDGE('',*,*,#195494,.T.); #255628=ORIENTED_EDGE('',*,*,#195493,.T.); #255629=ORIENTED_EDGE('',*,*,#195495,.F.); #255630=ORIENTED_EDGE('',*,*,#195496,.F.); #255631=ORIENTED_EDGE('',*,*,#195497,.T.); #255632=ORIENTED_EDGE('',*,*,#195496,.T.); #255633=ORIENTED_EDGE('',*,*,#195498,.F.); #255634=ORIENTED_EDGE('',*,*,#195499,.F.); #255635=ORIENTED_EDGE('',*,*,#195500,.T.); #255636=ORIENTED_EDGE('',*,*,#195499,.T.); #255637=ORIENTED_EDGE('',*,*,#195501,.F.); #255638=ORIENTED_EDGE('',*,*,#195502,.F.); #255639=ORIENTED_EDGE('',*,*,#195503,.T.); #255640=ORIENTED_EDGE('',*,*,#195502,.T.); #255641=ORIENTED_EDGE('',*,*,#195504,.F.); #255642=ORIENTED_EDGE('',*,*,#195505,.F.); #255643=ORIENTED_EDGE('',*,*,#195506,.T.); #255644=ORIENTED_EDGE('',*,*,#195505,.T.); #255645=ORIENTED_EDGE('',*,*,#195507,.F.); #255646=ORIENTED_EDGE('',*,*,#195508,.F.); #255647=ORIENTED_EDGE('',*,*,#195509,.T.); #255648=ORIENTED_EDGE('',*,*,#195508,.T.); #255649=ORIENTED_EDGE('',*,*,#195510,.F.); #255650=ORIENTED_EDGE('',*,*,#195511,.F.); #255651=ORIENTED_EDGE('',*,*,#195512,.T.); #255652=ORIENTED_EDGE('',*,*,#195511,.T.); #255653=ORIENTED_EDGE('',*,*,#195513,.F.); #255654=ORIENTED_EDGE('',*,*,#195514,.F.); #255655=ORIENTED_EDGE('',*,*,#195515,.T.); #255656=ORIENTED_EDGE('',*,*,#195514,.T.); #255657=ORIENTED_EDGE('',*,*,#195516,.F.); #255658=ORIENTED_EDGE('',*,*,#195517,.F.); #255659=ORIENTED_EDGE('',*,*,#195518,.T.); #255660=ORIENTED_EDGE('',*,*,#195517,.T.); #255661=ORIENTED_EDGE('',*,*,#195519,.F.); #255662=ORIENTED_EDGE('',*,*,#195520,.F.); #255663=ORIENTED_EDGE('',*,*,#195521,.T.); #255664=ORIENTED_EDGE('',*,*,#195520,.T.); #255665=ORIENTED_EDGE('',*,*,#195522,.F.); #255666=ORIENTED_EDGE('',*,*,#195523,.F.); #255667=ORIENTED_EDGE('',*,*,#195524,.T.); #255668=ORIENTED_EDGE('',*,*,#195523,.T.); #255669=ORIENTED_EDGE('',*,*,#195525,.F.); #255670=ORIENTED_EDGE('',*,*,#195526,.F.); #255671=ORIENTED_EDGE('',*,*,#195527,.T.); #255672=ORIENTED_EDGE('',*,*,#195526,.T.); #255673=ORIENTED_EDGE('',*,*,#195528,.F.); #255674=ORIENTED_EDGE('',*,*,#195529,.F.); #255675=ORIENTED_EDGE('',*,*,#195530,.T.); #255676=ORIENTED_EDGE('',*,*,#195529,.T.); #255677=ORIENTED_EDGE('',*,*,#195531,.F.); #255678=ORIENTED_EDGE('',*,*,#195532,.F.); #255679=ORIENTED_EDGE('',*,*,#195533,.T.); #255680=ORIENTED_EDGE('',*,*,#195532,.T.); #255681=ORIENTED_EDGE('',*,*,#195534,.F.); #255682=ORIENTED_EDGE('',*,*,#195535,.F.); #255683=ORIENTED_EDGE('',*,*,#195536,.T.); #255684=ORIENTED_EDGE('',*,*,#195535,.T.); #255685=ORIENTED_EDGE('',*,*,#195537,.F.); #255686=ORIENTED_EDGE('',*,*,#195538,.F.); #255687=ORIENTED_EDGE('',*,*,#195539,.T.); #255688=ORIENTED_EDGE('',*,*,#195538,.T.); #255689=ORIENTED_EDGE('',*,*,#195540,.F.); #255690=ORIENTED_EDGE('',*,*,#195541,.F.); #255691=ORIENTED_EDGE('',*,*,#195542,.T.); #255692=ORIENTED_EDGE('',*,*,#195541,.T.); #255693=ORIENTED_EDGE('',*,*,#195543,.F.); #255694=ORIENTED_EDGE('',*,*,#195544,.F.); #255695=ORIENTED_EDGE('',*,*,#195545,.T.); #255696=ORIENTED_EDGE('',*,*,#195544,.T.); #255697=ORIENTED_EDGE('',*,*,#195546,.F.); #255698=ORIENTED_EDGE('',*,*,#195547,.F.); #255699=ORIENTED_EDGE('',*,*,#195548,.T.); #255700=ORIENTED_EDGE('',*,*,#195547,.T.); #255701=ORIENTED_EDGE('',*,*,#195549,.F.); #255702=ORIENTED_EDGE('',*,*,#195550,.F.); #255703=ORIENTED_EDGE('',*,*,#195551,.T.); #255704=ORIENTED_EDGE('',*,*,#195550,.T.); #255705=ORIENTED_EDGE('',*,*,#195552,.F.); #255706=ORIENTED_EDGE('',*,*,#195553,.F.); #255707=ORIENTED_EDGE('',*,*,#195554,.T.); #255708=ORIENTED_EDGE('',*,*,#195553,.T.); #255709=ORIENTED_EDGE('',*,*,#195555,.F.); #255710=ORIENTED_EDGE('',*,*,#195556,.F.); #255711=ORIENTED_EDGE('',*,*,#195557,.T.); #255712=ORIENTED_EDGE('',*,*,#195556,.T.); #255713=ORIENTED_EDGE('',*,*,#195558,.F.); #255714=ORIENTED_EDGE('',*,*,#195559,.F.); #255715=ORIENTED_EDGE('',*,*,#195560,.T.); #255716=ORIENTED_EDGE('',*,*,#195559,.T.); #255717=ORIENTED_EDGE('',*,*,#195561,.F.); #255718=ORIENTED_EDGE('',*,*,#195562,.F.); #255719=ORIENTED_EDGE('',*,*,#195563,.T.); #255720=ORIENTED_EDGE('',*,*,#195562,.T.); #255721=ORIENTED_EDGE('',*,*,#195564,.F.); #255722=ORIENTED_EDGE('',*,*,#195565,.F.); #255723=ORIENTED_EDGE('',*,*,#195566,.T.); #255724=ORIENTED_EDGE('',*,*,#195565,.T.); #255725=ORIENTED_EDGE('',*,*,#195567,.F.); #255726=ORIENTED_EDGE('',*,*,#195568,.F.); #255727=ORIENTED_EDGE('',*,*,#195569,.T.); #255728=ORIENTED_EDGE('',*,*,#195568,.T.); #255729=ORIENTED_EDGE('',*,*,#195570,.F.); #255730=ORIENTED_EDGE('',*,*,#195571,.F.); #255731=ORIENTED_EDGE('',*,*,#195572,.T.); #255732=ORIENTED_EDGE('',*,*,#195571,.T.); #255733=ORIENTED_EDGE('',*,*,#195573,.F.); #255734=ORIENTED_EDGE('',*,*,#195574,.F.); #255735=ORIENTED_EDGE('',*,*,#195575,.T.); #255736=ORIENTED_EDGE('',*,*,#195574,.T.); #255737=ORIENTED_EDGE('',*,*,#195576,.F.); #255738=ORIENTED_EDGE('',*,*,#195577,.F.); #255739=ORIENTED_EDGE('',*,*,#195578,.T.); #255740=ORIENTED_EDGE('',*,*,#195577,.T.); #255741=ORIENTED_EDGE('',*,*,#195579,.F.); #255742=ORIENTED_EDGE('',*,*,#195580,.F.); #255743=ORIENTED_EDGE('',*,*,#195581,.T.); #255744=ORIENTED_EDGE('',*,*,#195580,.T.); #255745=ORIENTED_EDGE('',*,*,#195582,.F.); #255746=ORIENTED_EDGE('',*,*,#195583,.F.); #255747=ORIENTED_EDGE('',*,*,#195584,.T.); #255748=ORIENTED_EDGE('',*,*,#195583,.T.); #255749=ORIENTED_EDGE('',*,*,#195585,.F.); #255750=ORIENTED_EDGE('',*,*,#195586,.F.); #255751=ORIENTED_EDGE('',*,*,#195587,.T.); #255752=ORIENTED_EDGE('',*,*,#195586,.T.); #255753=ORIENTED_EDGE('',*,*,#195588,.F.); #255754=ORIENTED_EDGE('',*,*,#195589,.F.); #255755=ORIENTED_EDGE('',*,*,#195590,.T.); #255756=ORIENTED_EDGE('',*,*,#195589,.T.); #255757=ORIENTED_EDGE('',*,*,#195591,.F.); #255758=ORIENTED_EDGE('',*,*,#195592,.F.); #255759=ORIENTED_EDGE('',*,*,#195593,.T.); #255760=ORIENTED_EDGE('',*,*,#195592,.T.); #255761=ORIENTED_EDGE('',*,*,#195594,.F.); #255762=ORIENTED_EDGE('',*,*,#195595,.F.); #255763=ORIENTED_EDGE('',*,*,#195596,.T.); #255764=ORIENTED_EDGE('',*,*,#195595,.T.); #255765=ORIENTED_EDGE('',*,*,#195597,.F.); #255766=ORIENTED_EDGE('',*,*,#195598,.F.); #255767=ORIENTED_EDGE('',*,*,#195599,.T.); #255768=ORIENTED_EDGE('',*,*,#195598,.T.); #255769=ORIENTED_EDGE('',*,*,#195600,.F.); #255770=ORIENTED_EDGE('',*,*,#195601,.F.); #255771=ORIENTED_EDGE('',*,*,#195602,.T.); #255772=ORIENTED_EDGE('',*,*,#195601,.T.); #255773=ORIENTED_EDGE('',*,*,#195603,.F.); #255774=ORIENTED_EDGE('',*,*,#195604,.F.); #255775=ORIENTED_EDGE('',*,*,#195605,.T.); #255776=ORIENTED_EDGE('',*,*,#195604,.T.); #255777=ORIENTED_EDGE('',*,*,#195606,.F.); #255778=ORIENTED_EDGE('',*,*,#195607,.F.); #255779=ORIENTED_EDGE('',*,*,#195608,.T.); #255780=ORIENTED_EDGE('',*,*,#195607,.T.); #255781=ORIENTED_EDGE('',*,*,#195609,.F.); #255782=ORIENTED_EDGE('',*,*,#195610,.F.); #255783=ORIENTED_EDGE('',*,*,#195611,.T.); #255784=ORIENTED_EDGE('',*,*,#195610,.T.); #255785=ORIENTED_EDGE('',*,*,#195612,.F.); #255786=ORIENTED_EDGE('',*,*,#195613,.F.); #255787=ORIENTED_EDGE('',*,*,#195614,.T.); #255788=ORIENTED_EDGE('',*,*,#195613,.T.); #255789=ORIENTED_EDGE('',*,*,#195615,.F.); #255790=ORIENTED_EDGE('',*,*,#195616,.F.); #255791=ORIENTED_EDGE('',*,*,#195617,.T.); #255792=ORIENTED_EDGE('',*,*,#195616,.T.); #255793=ORIENTED_EDGE('',*,*,#195618,.F.); #255794=ORIENTED_EDGE('',*,*,#195619,.F.); #255795=ORIENTED_EDGE('',*,*,#195620,.T.); #255796=ORIENTED_EDGE('',*,*,#195619,.T.); #255797=ORIENTED_EDGE('',*,*,#195621,.F.); #255798=ORIENTED_EDGE('',*,*,#195622,.F.); #255799=ORIENTED_EDGE('',*,*,#195623,.T.); #255800=ORIENTED_EDGE('',*,*,#195622,.T.); #255801=ORIENTED_EDGE('',*,*,#195624,.F.); #255802=ORIENTED_EDGE('',*,*,#195625,.F.); #255803=ORIENTED_EDGE('',*,*,#195626,.T.); #255804=ORIENTED_EDGE('',*,*,#195625,.T.); #255805=ORIENTED_EDGE('',*,*,#195627,.F.); #255806=ORIENTED_EDGE('',*,*,#195628,.F.); #255807=ORIENTED_EDGE('',*,*,#195629,.T.); #255808=ORIENTED_EDGE('',*,*,#195628,.T.); #255809=ORIENTED_EDGE('',*,*,#195630,.F.); #255810=ORIENTED_EDGE('',*,*,#195631,.F.); #255811=ORIENTED_EDGE('',*,*,#195632,.T.); #255812=ORIENTED_EDGE('',*,*,#195631,.T.); #255813=ORIENTED_EDGE('',*,*,#195633,.F.); #255814=ORIENTED_EDGE('',*,*,#195634,.F.); #255815=ORIENTED_EDGE('',*,*,#195635,.T.); #255816=ORIENTED_EDGE('',*,*,#195634,.T.); #255817=ORIENTED_EDGE('',*,*,#195636,.F.); #255818=ORIENTED_EDGE('',*,*,#195637,.F.); #255819=ORIENTED_EDGE('',*,*,#195638,.T.); #255820=ORIENTED_EDGE('',*,*,#195637,.T.); #255821=ORIENTED_EDGE('',*,*,#195639,.F.); #255822=ORIENTED_EDGE('',*,*,#195640,.F.); #255823=ORIENTED_EDGE('',*,*,#195641,.T.); #255824=ORIENTED_EDGE('',*,*,#195640,.T.); #255825=ORIENTED_EDGE('',*,*,#195642,.F.); #255826=ORIENTED_EDGE('',*,*,#195643,.F.); #255827=ORIENTED_EDGE('',*,*,#195644,.T.); #255828=ORIENTED_EDGE('',*,*,#195643,.T.); #255829=ORIENTED_EDGE('',*,*,#195645,.F.); #255830=ORIENTED_EDGE('',*,*,#195646,.F.); #255831=ORIENTED_EDGE('',*,*,#195647,.T.); #255832=ORIENTED_EDGE('',*,*,#195646,.T.); #255833=ORIENTED_EDGE('',*,*,#195648,.F.); #255834=ORIENTED_EDGE('',*,*,#195649,.F.); #255835=ORIENTED_EDGE('',*,*,#195650,.T.); #255836=ORIENTED_EDGE('',*,*,#195649,.T.); #255837=ORIENTED_EDGE('',*,*,#195651,.F.); #255838=ORIENTED_EDGE('',*,*,#195652,.F.); #255839=ORIENTED_EDGE('',*,*,#195653,.T.); #255840=ORIENTED_EDGE('',*,*,#195652,.T.); #255841=ORIENTED_EDGE('',*,*,#195654,.F.); #255842=ORIENTED_EDGE('',*,*,#195655,.F.); #255843=ORIENTED_EDGE('',*,*,#195656,.T.); #255844=ORIENTED_EDGE('',*,*,#195655,.T.); #255845=ORIENTED_EDGE('',*,*,#195657,.F.); #255846=ORIENTED_EDGE('',*,*,#195658,.F.); #255847=ORIENTED_EDGE('',*,*,#195659,.T.); #255848=ORIENTED_EDGE('',*,*,#195658,.T.); #255849=ORIENTED_EDGE('',*,*,#195660,.F.); #255850=ORIENTED_EDGE('',*,*,#195661,.F.); #255851=ORIENTED_EDGE('',*,*,#195662,.T.); #255852=ORIENTED_EDGE('',*,*,#195661,.T.); #255853=ORIENTED_EDGE('',*,*,#195663,.F.); #255854=ORIENTED_EDGE('',*,*,#195664,.F.); #255855=ORIENTED_EDGE('',*,*,#195665,.T.); #255856=ORIENTED_EDGE('',*,*,#195664,.T.); #255857=ORIENTED_EDGE('',*,*,#195666,.F.); #255858=ORIENTED_EDGE('',*,*,#195667,.F.); #255859=ORIENTED_EDGE('',*,*,#195668,.T.); #255860=ORIENTED_EDGE('',*,*,#195667,.T.); #255861=ORIENTED_EDGE('',*,*,#195669,.F.); #255862=ORIENTED_EDGE('',*,*,#195670,.F.); #255863=ORIENTED_EDGE('',*,*,#195671,.T.); #255864=ORIENTED_EDGE('',*,*,#195670,.T.); #255865=ORIENTED_EDGE('',*,*,#195672,.F.); #255866=ORIENTED_EDGE('',*,*,#195673,.F.); #255867=ORIENTED_EDGE('',*,*,#195674,.T.); #255868=ORIENTED_EDGE('',*,*,#195673,.T.); #255869=ORIENTED_EDGE('',*,*,#195675,.F.); #255870=ORIENTED_EDGE('',*,*,#195676,.F.); #255871=ORIENTED_EDGE('',*,*,#195677,.T.); #255872=ORIENTED_EDGE('',*,*,#195676,.T.); #255873=ORIENTED_EDGE('',*,*,#195678,.F.); #255874=ORIENTED_EDGE('',*,*,#195679,.F.); #255875=ORIENTED_EDGE('',*,*,#195680,.T.); #255876=ORIENTED_EDGE('',*,*,#195679,.T.); #255877=ORIENTED_EDGE('',*,*,#195681,.F.); #255878=ORIENTED_EDGE('',*,*,#195682,.F.); #255879=ORIENTED_EDGE('',*,*,#195683,.T.); #255880=ORIENTED_EDGE('',*,*,#195682,.T.); #255881=ORIENTED_EDGE('',*,*,#195684,.F.); #255882=ORIENTED_EDGE('',*,*,#195685,.F.); #255883=ORIENTED_EDGE('',*,*,#195686,.T.); #255884=ORIENTED_EDGE('',*,*,#195685,.T.); #255885=ORIENTED_EDGE('',*,*,#195687,.F.); #255886=ORIENTED_EDGE('',*,*,#195688,.F.); #255887=ORIENTED_EDGE('',*,*,#195689,.T.); #255888=ORIENTED_EDGE('',*,*,#195688,.T.); #255889=ORIENTED_EDGE('',*,*,#195690,.F.); #255890=ORIENTED_EDGE('',*,*,#195691,.F.); #255891=ORIENTED_EDGE('',*,*,#195692,.T.); #255892=ORIENTED_EDGE('',*,*,#195691,.T.); #255893=ORIENTED_EDGE('',*,*,#195693,.F.); #255894=ORIENTED_EDGE('',*,*,#195694,.F.); #255895=ORIENTED_EDGE('',*,*,#195695,.T.); #255896=ORIENTED_EDGE('',*,*,#195694,.T.); #255897=ORIENTED_EDGE('',*,*,#195696,.F.); #255898=ORIENTED_EDGE('',*,*,#195697,.F.); #255899=ORIENTED_EDGE('',*,*,#195698,.T.); #255900=ORIENTED_EDGE('',*,*,#195697,.T.); #255901=ORIENTED_EDGE('',*,*,#195699,.F.); #255902=ORIENTED_EDGE('',*,*,#195700,.F.); #255903=ORIENTED_EDGE('',*,*,#195701,.T.); #255904=ORIENTED_EDGE('',*,*,#195700,.T.); #255905=ORIENTED_EDGE('',*,*,#195702,.F.); #255906=ORIENTED_EDGE('',*,*,#195703,.F.); #255907=ORIENTED_EDGE('',*,*,#195704,.T.); #255908=ORIENTED_EDGE('',*,*,#195703,.T.); #255909=ORIENTED_EDGE('',*,*,#195705,.F.); #255910=ORIENTED_EDGE('',*,*,#195706,.F.); #255911=ORIENTED_EDGE('',*,*,#195707,.T.); #255912=ORIENTED_EDGE('',*,*,#195706,.T.); #255913=ORIENTED_EDGE('',*,*,#195708,.F.); #255914=ORIENTED_EDGE('',*,*,#195709,.F.); #255915=ORIENTED_EDGE('',*,*,#195710,.T.); #255916=ORIENTED_EDGE('',*,*,#195709,.T.); #255917=ORIENTED_EDGE('',*,*,#195711,.F.); #255918=ORIENTED_EDGE('',*,*,#195712,.F.); #255919=ORIENTED_EDGE('',*,*,#195713,.T.); #255920=ORIENTED_EDGE('',*,*,#195712,.T.); #255921=ORIENTED_EDGE('',*,*,#195714,.F.); #255922=ORIENTED_EDGE('',*,*,#195715,.F.); #255923=ORIENTED_EDGE('',*,*,#195716,.T.); #255924=ORIENTED_EDGE('',*,*,#195715,.T.); #255925=ORIENTED_EDGE('',*,*,#195717,.F.); #255926=ORIENTED_EDGE('',*,*,#195718,.F.); #255927=ORIENTED_EDGE('',*,*,#195719,.T.); #255928=ORIENTED_EDGE('',*,*,#195718,.T.); #255929=ORIENTED_EDGE('',*,*,#195720,.F.); #255930=ORIENTED_EDGE('',*,*,#195721,.F.); #255931=ORIENTED_EDGE('',*,*,#195722,.T.); #255932=ORIENTED_EDGE('',*,*,#195721,.T.); #255933=ORIENTED_EDGE('',*,*,#195723,.F.); #255934=ORIENTED_EDGE('',*,*,#195724,.F.); #255935=ORIENTED_EDGE('',*,*,#195725,.T.); #255936=ORIENTED_EDGE('',*,*,#195724,.T.); #255937=ORIENTED_EDGE('',*,*,#195726,.F.); #255938=ORIENTED_EDGE('',*,*,#195727,.F.); #255939=ORIENTED_EDGE('',*,*,#195728,.T.); #255940=ORIENTED_EDGE('',*,*,#195727,.T.); #255941=ORIENTED_EDGE('',*,*,#195729,.F.); #255942=ORIENTED_EDGE('',*,*,#193997,.F.); #255943=ORIENTED_EDGE('',*,*,#195730,.T.); #255944=ORIENTED_EDGE('',*,*,#195731,.T.); #255945=ORIENTED_EDGE('',*,*,#195732,.F.); #255946=ORIENTED_EDGE('',*,*,#195733,.F.); #255947=ORIENTED_EDGE('',*,*,#195734,.T.); #255948=ORIENTED_EDGE('',*,*,#195733,.T.); #255949=ORIENTED_EDGE('',*,*,#195735,.F.); #255950=ORIENTED_EDGE('',*,*,#195736,.F.); #255951=ORIENTED_EDGE('',*,*,#195737,.T.); #255952=ORIENTED_EDGE('',*,*,#195736,.T.); #255953=ORIENTED_EDGE('',*,*,#195738,.F.); #255954=ORIENTED_EDGE('',*,*,#195739,.F.); #255955=ORIENTED_EDGE('',*,*,#195740,.T.); #255956=ORIENTED_EDGE('',*,*,#195739,.T.); #255957=ORIENTED_EDGE('',*,*,#195741,.F.); #255958=ORIENTED_EDGE('',*,*,#195742,.F.); #255959=ORIENTED_EDGE('',*,*,#195743,.T.); #255960=ORIENTED_EDGE('',*,*,#195742,.T.); #255961=ORIENTED_EDGE('',*,*,#195744,.F.); #255962=ORIENTED_EDGE('',*,*,#195745,.F.); #255963=ORIENTED_EDGE('',*,*,#195746,.T.); #255964=ORIENTED_EDGE('',*,*,#195745,.T.); #255965=ORIENTED_EDGE('',*,*,#195747,.F.); #255966=ORIENTED_EDGE('',*,*,#195748,.F.); #255967=ORIENTED_EDGE('',*,*,#195749,.T.); #255968=ORIENTED_EDGE('',*,*,#195748,.T.); #255969=ORIENTED_EDGE('',*,*,#195750,.F.); #255970=ORIENTED_EDGE('',*,*,#195751,.F.); #255971=ORIENTED_EDGE('',*,*,#195752,.T.); #255972=ORIENTED_EDGE('',*,*,#195751,.T.); #255973=ORIENTED_EDGE('',*,*,#195753,.F.); #255974=ORIENTED_EDGE('',*,*,#195754,.F.); #255975=ORIENTED_EDGE('',*,*,#195755,.T.); #255976=ORIENTED_EDGE('',*,*,#195754,.T.); #255977=ORIENTED_EDGE('',*,*,#195756,.F.); #255978=ORIENTED_EDGE('',*,*,#195757,.F.); #255979=ORIENTED_EDGE('',*,*,#195758,.T.); #255980=ORIENTED_EDGE('',*,*,#195757,.T.); #255981=ORIENTED_EDGE('',*,*,#195759,.F.); #255982=ORIENTED_EDGE('',*,*,#195760,.F.); #255983=ORIENTED_EDGE('',*,*,#195761,.T.); #255984=ORIENTED_EDGE('',*,*,#195760,.T.); #255985=ORIENTED_EDGE('',*,*,#195762,.F.); #255986=ORIENTED_EDGE('',*,*,#195763,.F.); #255987=ORIENTED_EDGE('',*,*,#195764,.T.); #255988=ORIENTED_EDGE('',*,*,#195763,.T.); #255989=ORIENTED_EDGE('',*,*,#195765,.F.); #255990=ORIENTED_EDGE('',*,*,#195766,.F.); #255991=ORIENTED_EDGE('',*,*,#195767,.T.); #255992=ORIENTED_EDGE('',*,*,#195766,.T.); #255993=ORIENTED_EDGE('',*,*,#195768,.F.); #255994=ORIENTED_EDGE('',*,*,#195769,.F.); #255995=ORIENTED_EDGE('',*,*,#195770,.T.); #255996=ORIENTED_EDGE('',*,*,#195769,.T.); #255997=ORIENTED_EDGE('',*,*,#195771,.F.); #255998=ORIENTED_EDGE('',*,*,#195772,.F.); #255999=ORIENTED_EDGE('',*,*,#195773,.T.); #256000=ORIENTED_EDGE('',*,*,#195772,.T.); #256001=ORIENTED_EDGE('',*,*,#195774,.F.); #256002=ORIENTED_EDGE('',*,*,#195775,.F.); #256003=ORIENTED_EDGE('',*,*,#195776,.T.); #256004=ORIENTED_EDGE('',*,*,#195775,.T.); #256005=ORIENTED_EDGE('',*,*,#195777,.F.); #256006=ORIENTED_EDGE('',*,*,#195778,.F.); #256007=ORIENTED_EDGE('',*,*,#195779,.T.); #256008=ORIENTED_EDGE('',*,*,#195778,.T.); #256009=ORIENTED_EDGE('',*,*,#195780,.F.); #256010=ORIENTED_EDGE('',*,*,#195781,.F.); #256011=ORIENTED_EDGE('',*,*,#195782,.T.); #256012=ORIENTED_EDGE('',*,*,#195781,.T.); #256013=ORIENTED_EDGE('',*,*,#195783,.F.); #256014=ORIENTED_EDGE('',*,*,#195784,.F.); #256015=ORIENTED_EDGE('',*,*,#195785,.T.); #256016=ORIENTED_EDGE('',*,*,#195784,.T.); #256017=ORIENTED_EDGE('',*,*,#195786,.F.); #256018=ORIENTED_EDGE('',*,*,#195787,.F.); #256019=ORIENTED_EDGE('',*,*,#195788,.T.); #256020=ORIENTED_EDGE('',*,*,#195787,.T.); #256021=ORIENTED_EDGE('',*,*,#195789,.F.); #256022=ORIENTED_EDGE('',*,*,#195790,.F.); #256023=ORIENTED_EDGE('',*,*,#195791,.T.); #256024=ORIENTED_EDGE('',*,*,#195790,.T.); #256025=ORIENTED_EDGE('',*,*,#195792,.F.); #256026=ORIENTED_EDGE('',*,*,#195793,.F.); #256027=ORIENTED_EDGE('',*,*,#195794,.T.); #256028=ORIENTED_EDGE('',*,*,#195793,.T.); #256029=ORIENTED_EDGE('',*,*,#195795,.F.); #256030=ORIENTED_EDGE('',*,*,#195796,.F.); #256031=ORIENTED_EDGE('',*,*,#195797,.T.); #256032=ORIENTED_EDGE('',*,*,#195796,.T.); #256033=ORIENTED_EDGE('',*,*,#195798,.F.); #256034=ORIENTED_EDGE('',*,*,#195799,.F.); #256035=ORIENTED_EDGE('',*,*,#195800,.T.); #256036=ORIENTED_EDGE('',*,*,#195799,.T.); #256037=ORIENTED_EDGE('',*,*,#195801,.F.); #256038=ORIENTED_EDGE('',*,*,#195802,.F.); #256039=ORIENTED_EDGE('',*,*,#195803,.T.); #256040=ORIENTED_EDGE('',*,*,#195802,.T.); #256041=ORIENTED_EDGE('',*,*,#195804,.F.); #256042=ORIENTED_EDGE('',*,*,#195805,.F.); #256043=ORIENTED_EDGE('',*,*,#195806,.T.); #256044=ORIENTED_EDGE('',*,*,#195805,.T.); #256045=ORIENTED_EDGE('',*,*,#195807,.F.); #256046=ORIENTED_EDGE('',*,*,#195808,.F.); #256047=ORIENTED_EDGE('',*,*,#195809,.T.); #256048=ORIENTED_EDGE('',*,*,#195808,.T.); #256049=ORIENTED_EDGE('',*,*,#195810,.F.); #256050=ORIENTED_EDGE('',*,*,#195811,.F.); #256051=ORIENTED_EDGE('',*,*,#195812,.T.); #256052=ORIENTED_EDGE('',*,*,#195811,.T.); #256053=ORIENTED_EDGE('',*,*,#195813,.F.); #256054=ORIENTED_EDGE('',*,*,#195814,.F.); #256055=ORIENTED_EDGE('',*,*,#195815,.T.); #256056=ORIENTED_EDGE('',*,*,#195814,.T.); #256057=ORIENTED_EDGE('',*,*,#195816,.F.); #256058=ORIENTED_EDGE('',*,*,#195817,.F.); #256059=ORIENTED_EDGE('',*,*,#195818,.T.); #256060=ORIENTED_EDGE('',*,*,#195817,.T.); #256061=ORIENTED_EDGE('',*,*,#195819,.F.); #256062=ORIENTED_EDGE('',*,*,#195820,.F.); #256063=ORIENTED_EDGE('',*,*,#195821,.T.); #256064=ORIENTED_EDGE('',*,*,#195820,.T.); #256065=ORIENTED_EDGE('',*,*,#195822,.F.); #256066=ORIENTED_EDGE('',*,*,#195823,.F.); #256067=ORIENTED_EDGE('',*,*,#195824,.T.); #256068=ORIENTED_EDGE('',*,*,#195823,.T.); #256069=ORIENTED_EDGE('',*,*,#195825,.F.); #256070=ORIENTED_EDGE('',*,*,#195826,.F.); #256071=ORIENTED_EDGE('',*,*,#195827,.T.); #256072=ORIENTED_EDGE('',*,*,#195826,.T.); #256073=ORIENTED_EDGE('',*,*,#195828,.F.); #256074=ORIENTED_EDGE('',*,*,#195829,.F.); #256075=ORIENTED_EDGE('',*,*,#195830,.T.); #256076=ORIENTED_EDGE('',*,*,#195829,.T.); #256077=ORIENTED_EDGE('',*,*,#195831,.F.); #256078=ORIENTED_EDGE('',*,*,#195832,.F.); #256079=ORIENTED_EDGE('',*,*,#195833,.T.); #256080=ORIENTED_EDGE('',*,*,#195832,.T.); #256081=ORIENTED_EDGE('',*,*,#195834,.F.); #256082=ORIENTED_EDGE('',*,*,#195835,.F.); #256083=ORIENTED_EDGE('',*,*,#195836,.T.); #256084=ORIENTED_EDGE('',*,*,#195835,.T.); #256085=ORIENTED_EDGE('',*,*,#195837,.F.); #256086=ORIENTED_EDGE('',*,*,#195838,.F.); #256087=ORIENTED_EDGE('',*,*,#195839,.T.); #256088=ORIENTED_EDGE('',*,*,#195838,.T.); #256089=ORIENTED_EDGE('',*,*,#195840,.F.); #256090=ORIENTED_EDGE('',*,*,#195841,.F.); #256091=ORIENTED_EDGE('',*,*,#195842,.T.); #256092=ORIENTED_EDGE('',*,*,#195841,.T.); #256093=ORIENTED_EDGE('',*,*,#195843,.F.); #256094=ORIENTED_EDGE('',*,*,#195844,.F.); #256095=ORIENTED_EDGE('',*,*,#195845,.T.); #256096=ORIENTED_EDGE('',*,*,#195844,.T.); #256097=ORIENTED_EDGE('',*,*,#195846,.F.); #256098=ORIENTED_EDGE('',*,*,#195847,.F.); #256099=ORIENTED_EDGE('',*,*,#195848,.T.); #256100=ORIENTED_EDGE('',*,*,#195847,.T.); #256101=ORIENTED_EDGE('',*,*,#195849,.F.); #256102=ORIENTED_EDGE('',*,*,#195850,.F.); #256103=ORIENTED_EDGE('',*,*,#195851,.T.); #256104=ORIENTED_EDGE('',*,*,#195850,.T.); #256105=ORIENTED_EDGE('',*,*,#195852,.F.); #256106=ORIENTED_EDGE('',*,*,#195853,.F.); #256107=ORIENTED_EDGE('',*,*,#195854,.T.); #256108=ORIENTED_EDGE('',*,*,#195853,.T.); #256109=ORIENTED_EDGE('',*,*,#195855,.F.); #256110=ORIENTED_EDGE('',*,*,#195856,.F.); #256111=ORIENTED_EDGE('',*,*,#195857,.T.); #256112=ORIENTED_EDGE('',*,*,#195856,.T.); #256113=ORIENTED_EDGE('',*,*,#195858,.F.); #256114=ORIENTED_EDGE('',*,*,#195859,.F.); #256115=ORIENTED_EDGE('',*,*,#195860,.T.); #256116=ORIENTED_EDGE('',*,*,#195859,.T.); #256117=ORIENTED_EDGE('',*,*,#195861,.F.); #256118=ORIENTED_EDGE('',*,*,#195862,.F.); #256119=ORIENTED_EDGE('',*,*,#195863,.T.); #256120=ORIENTED_EDGE('',*,*,#195862,.T.); #256121=ORIENTED_EDGE('',*,*,#195864,.F.); #256122=ORIENTED_EDGE('',*,*,#195865,.F.); #256123=ORIENTED_EDGE('',*,*,#195866,.T.); #256124=ORIENTED_EDGE('',*,*,#195865,.T.); #256125=ORIENTED_EDGE('',*,*,#195867,.F.); #256126=ORIENTED_EDGE('',*,*,#195868,.F.); #256127=ORIENTED_EDGE('',*,*,#195869,.T.); #256128=ORIENTED_EDGE('',*,*,#195868,.T.); #256129=ORIENTED_EDGE('',*,*,#195870,.F.); #256130=ORIENTED_EDGE('',*,*,#195871,.F.); #256131=ORIENTED_EDGE('',*,*,#195872,.T.); #256132=ORIENTED_EDGE('',*,*,#195871,.T.); #256133=ORIENTED_EDGE('',*,*,#195873,.F.); #256134=ORIENTED_EDGE('',*,*,#195874,.F.); #256135=ORIENTED_EDGE('',*,*,#195875,.T.); #256136=ORIENTED_EDGE('',*,*,#195874,.T.); #256137=ORIENTED_EDGE('',*,*,#195876,.F.); #256138=ORIENTED_EDGE('',*,*,#195877,.F.); #256139=ORIENTED_EDGE('',*,*,#195878,.T.); #256140=ORIENTED_EDGE('',*,*,#195877,.T.); #256141=ORIENTED_EDGE('',*,*,#195879,.F.); #256142=ORIENTED_EDGE('',*,*,#195880,.F.); #256143=ORIENTED_EDGE('',*,*,#195881,.T.); #256144=ORIENTED_EDGE('',*,*,#195880,.T.); #256145=ORIENTED_EDGE('',*,*,#195882,.F.); #256146=ORIENTED_EDGE('',*,*,#195883,.F.); #256147=ORIENTED_EDGE('',*,*,#195884,.T.); #256148=ORIENTED_EDGE('',*,*,#195883,.T.); #256149=ORIENTED_EDGE('',*,*,#195885,.F.); #256150=ORIENTED_EDGE('',*,*,#195886,.F.); #256151=ORIENTED_EDGE('',*,*,#195887,.T.); #256152=ORIENTED_EDGE('',*,*,#195886,.T.); #256153=ORIENTED_EDGE('',*,*,#195888,.F.); #256154=ORIENTED_EDGE('',*,*,#195889,.F.); #256155=ORIENTED_EDGE('',*,*,#195890,.T.); #256156=ORIENTED_EDGE('',*,*,#195889,.T.); #256157=ORIENTED_EDGE('',*,*,#195891,.F.); #256158=ORIENTED_EDGE('',*,*,#195892,.F.); #256159=ORIENTED_EDGE('',*,*,#195893,.T.); #256160=ORIENTED_EDGE('',*,*,#195892,.T.); #256161=ORIENTED_EDGE('',*,*,#195894,.F.); #256162=ORIENTED_EDGE('',*,*,#195895,.F.); #256163=ORIENTED_EDGE('',*,*,#195896,.T.); #256164=ORIENTED_EDGE('',*,*,#195895,.T.); #256165=ORIENTED_EDGE('',*,*,#195897,.F.); #256166=ORIENTED_EDGE('',*,*,#195898,.F.); #256167=ORIENTED_EDGE('',*,*,#195899,.T.); #256168=ORIENTED_EDGE('',*,*,#195898,.T.); #256169=ORIENTED_EDGE('',*,*,#195900,.F.); #256170=ORIENTED_EDGE('',*,*,#195901,.F.); #256171=ORIENTED_EDGE('',*,*,#195902,.T.); #256172=ORIENTED_EDGE('',*,*,#195901,.T.); #256173=ORIENTED_EDGE('',*,*,#195903,.F.); #256174=ORIENTED_EDGE('',*,*,#195904,.F.); #256175=ORIENTED_EDGE('',*,*,#195905,.T.); #256176=ORIENTED_EDGE('',*,*,#195904,.T.); #256177=ORIENTED_EDGE('',*,*,#195906,.F.); #256178=ORIENTED_EDGE('',*,*,#195907,.F.); #256179=ORIENTED_EDGE('',*,*,#195908,.T.); #256180=ORIENTED_EDGE('',*,*,#195907,.T.); #256181=ORIENTED_EDGE('',*,*,#195909,.F.); #256182=ORIENTED_EDGE('',*,*,#195910,.F.); #256183=ORIENTED_EDGE('',*,*,#195911,.T.); #256184=ORIENTED_EDGE('',*,*,#195910,.T.); #256185=ORIENTED_EDGE('',*,*,#195912,.F.); #256186=ORIENTED_EDGE('',*,*,#195913,.F.); #256187=ORIENTED_EDGE('',*,*,#195914,.T.); #256188=ORIENTED_EDGE('',*,*,#195913,.T.); #256189=ORIENTED_EDGE('',*,*,#195915,.F.); #256190=ORIENTED_EDGE('',*,*,#195916,.F.); #256191=ORIENTED_EDGE('',*,*,#195917,.T.); #256192=ORIENTED_EDGE('',*,*,#195916,.T.); #256193=ORIENTED_EDGE('',*,*,#195918,.F.); #256194=ORIENTED_EDGE('',*,*,#195919,.F.); #256195=ORIENTED_EDGE('',*,*,#195920,.T.); #256196=ORIENTED_EDGE('',*,*,#195919,.T.); #256197=ORIENTED_EDGE('',*,*,#195921,.F.); #256198=ORIENTED_EDGE('',*,*,#195922,.F.); #256199=ORIENTED_EDGE('',*,*,#195923,.T.); #256200=ORIENTED_EDGE('',*,*,#195922,.T.); #256201=ORIENTED_EDGE('',*,*,#195924,.F.); #256202=ORIENTED_EDGE('',*,*,#195925,.F.); #256203=ORIENTED_EDGE('',*,*,#195926,.T.); #256204=ORIENTED_EDGE('',*,*,#195925,.T.); #256205=ORIENTED_EDGE('',*,*,#195927,.F.); #256206=ORIENTED_EDGE('',*,*,#195928,.F.); #256207=ORIENTED_EDGE('',*,*,#195929,.T.); #256208=ORIENTED_EDGE('',*,*,#195928,.T.); #256209=ORIENTED_EDGE('',*,*,#195930,.F.); #256210=ORIENTED_EDGE('',*,*,#195931,.F.); #256211=ORIENTED_EDGE('',*,*,#195932,.T.); #256212=ORIENTED_EDGE('',*,*,#195931,.T.); #256213=ORIENTED_EDGE('',*,*,#195933,.F.); #256214=ORIENTED_EDGE('',*,*,#195934,.F.); #256215=ORIENTED_EDGE('',*,*,#195935,.T.); #256216=ORIENTED_EDGE('',*,*,#195934,.T.); #256217=ORIENTED_EDGE('',*,*,#195936,.F.); #256218=ORIENTED_EDGE('',*,*,#195937,.F.); #256219=ORIENTED_EDGE('',*,*,#195938,.T.); #256220=ORIENTED_EDGE('',*,*,#195937,.T.); #256221=ORIENTED_EDGE('',*,*,#195939,.F.); #256222=ORIENTED_EDGE('',*,*,#195940,.F.); #256223=ORIENTED_EDGE('',*,*,#195941,.T.); #256224=ORIENTED_EDGE('',*,*,#195940,.T.); #256225=ORIENTED_EDGE('',*,*,#195942,.F.); #256226=ORIENTED_EDGE('',*,*,#195943,.F.); #256227=ORIENTED_EDGE('',*,*,#195944,.T.); #256228=ORIENTED_EDGE('',*,*,#195943,.T.); #256229=ORIENTED_EDGE('',*,*,#195945,.F.); #256230=ORIENTED_EDGE('',*,*,#195946,.F.); #256231=ORIENTED_EDGE('',*,*,#195947,.T.); #256232=ORIENTED_EDGE('',*,*,#195946,.T.); #256233=ORIENTED_EDGE('',*,*,#195948,.F.); #256234=ORIENTED_EDGE('',*,*,#195949,.F.); #256235=ORIENTED_EDGE('',*,*,#195950,.T.); #256236=ORIENTED_EDGE('',*,*,#195949,.T.); #256237=ORIENTED_EDGE('',*,*,#195951,.F.); #256238=ORIENTED_EDGE('',*,*,#195952,.F.); #256239=ORIENTED_EDGE('',*,*,#195953,.T.); #256240=ORIENTED_EDGE('',*,*,#195952,.T.); #256241=ORIENTED_EDGE('',*,*,#195954,.F.); #256242=ORIENTED_EDGE('',*,*,#195955,.F.); #256243=ORIENTED_EDGE('',*,*,#195956,.T.); #256244=ORIENTED_EDGE('',*,*,#195955,.T.); #256245=ORIENTED_EDGE('',*,*,#195957,.F.); #256246=ORIENTED_EDGE('',*,*,#195958,.F.); #256247=ORIENTED_EDGE('',*,*,#195959,.T.); #256248=ORIENTED_EDGE('',*,*,#195958,.T.); #256249=ORIENTED_EDGE('',*,*,#195960,.F.); #256250=ORIENTED_EDGE('',*,*,#195961,.F.); #256251=ORIENTED_EDGE('',*,*,#195962,.T.); #256252=ORIENTED_EDGE('',*,*,#195961,.T.); #256253=ORIENTED_EDGE('',*,*,#195963,.F.); #256254=ORIENTED_EDGE('',*,*,#195964,.F.); #256255=ORIENTED_EDGE('',*,*,#195965,.T.); #256256=ORIENTED_EDGE('',*,*,#195964,.T.); #256257=ORIENTED_EDGE('',*,*,#195966,.F.); #256258=ORIENTED_EDGE('',*,*,#195967,.F.); #256259=ORIENTED_EDGE('',*,*,#195968,.T.); #256260=ORIENTED_EDGE('',*,*,#195967,.T.); #256261=ORIENTED_EDGE('',*,*,#195969,.F.); #256262=ORIENTED_EDGE('',*,*,#195970,.F.); #256263=ORIENTED_EDGE('',*,*,#195971,.T.); #256264=ORIENTED_EDGE('',*,*,#195970,.T.); #256265=ORIENTED_EDGE('',*,*,#195972,.F.); #256266=ORIENTED_EDGE('',*,*,#195973,.F.); #256267=ORIENTED_EDGE('',*,*,#195974,.T.); #256268=ORIENTED_EDGE('',*,*,#195973,.T.); #256269=ORIENTED_EDGE('',*,*,#195975,.F.); #256270=ORIENTED_EDGE('',*,*,#195976,.F.); #256271=ORIENTED_EDGE('',*,*,#195977,.T.); #256272=ORIENTED_EDGE('',*,*,#195976,.T.); #256273=ORIENTED_EDGE('',*,*,#195978,.F.); #256274=ORIENTED_EDGE('',*,*,#195979,.F.); #256275=ORIENTED_EDGE('',*,*,#195980,.T.); #256276=ORIENTED_EDGE('',*,*,#195979,.T.); #256277=ORIENTED_EDGE('',*,*,#195981,.F.); #256278=ORIENTED_EDGE('',*,*,#195982,.F.); #256279=ORIENTED_EDGE('',*,*,#195983,.T.); #256280=ORIENTED_EDGE('',*,*,#195982,.T.); #256281=ORIENTED_EDGE('',*,*,#195984,.F.); #256282=ORIENTED_EDGE('',*,*,#195985,.F.); #256283=ORIENTED_EDGE('',*,*,#195986,.T.); #256284=ORIENTED_EDGE('',*,*,#195985,.T.); #256285=ORIENTED_EDGE('',*,*,#195987,.F.); #256286=ORIENTED_EDGE('',*,*,#195988,.F.); #256287=ORIENTED_EDGE('',*,*,#195989,.T.); #256288=ORIENTED_EDGE('',*,*,#195988,.T.); #256289=ORIENTED_EDGE('',*,*,#195990,.F.); #256290=ORIENTED_EDGE('',*,*,#195991,.F.); #256291=ORIENTED_EDGE('',*,*,#195992,.T.); #256292=ORIENTED_EDGE('',*,*,#195991,.T.); #256293=ORIENTED_EDGE('',*,*,#195993,.F.); #256294=ORIENTED_EDGE('',*,*,#195994,.F.); #256295=ORIENTED_EDGE('',*,*,#195995,.T.); #256296=ORIENTED_EDGE('',*,*,#195994,.T.); #256297=ORIENTED_EDGE('',*,*,#195996,.F.); #256298=ORIENTED_EDGE('',*,*,#195997,.F.); #256299=ORIENTED_EDGE('',*,*,#195998,.T.); #256300=ORIENTED_EDGE('',*,*,#195997,.T.); #256301=ORIENTED_EDGE('',*,*,#195999,.F.); #256302=ORIENTED_EDGE('',*,*,#196000,.F.); #256303=ORIENTED_EDGE('',*,*,#196001,.T.); #256304=ORIENTED_EDGE('',*,*,#196000,.T.); #256305=ORIENTED_EDGE('',*,*,#196002,.F.); #256306=ORIENTED_EDGE('',*,*,#196003,.F.); #256307=ORIENTED_EDGE('',*,*,#196004,.T.); #256308=ORIENTED_EDGE('',*,*,#196003,.T.); #256309=ORIENTED_EDGE('',*,*,#196005,.F.); #256310=ORIENTED_EDGE('',*,*,#196006,.F.); #256311=ORIENTED_EDGE('',*,*,#196007,.T.); #256312=ORIENTED_EDGE('',*,*,#196006,.T.); #256313=ORIENTED_EDGE('',*,*,#196008,.F.); #256314=ORIENTED_EDGE('',*,*,#196009,.F.); #256315=ORIENTED_EDGE('',*,*,#196010,.T.); #256316=ORIENTED_EDGE('',*,*,#196009,.T.); #256317=ORIENTED_EDGE('',*,*,#196011,.F.); #256318=ORIENTED_EDGE('',*,*,#196012,.F.); #256319=ORIENTED_EDGE('',*,*,#196013,.T.); #256320=ORIENTED_EDGE('',*,*,#196012,.T.); #256321=ORIENTED_EDGE('',*,*,#196014,.F.); #256322=ORIENTED_EDGE('',*,*,#196015,.F.); #256323=ORIENTED_EDGE('',*,*,#196016,.T.); #256324=ORIENTED_EDGE('',*,*,#196015,.T.); #256325=ORIENTED_EDGE('',*,*,#196017,.F.); #256326=ORIENTED_EDGE('',*,*,#196018,.F.); #256327=ORIENTED_EDGE('',*,*,#196019,.T.); #256328=ORIENTED_EDGE('',*,*,#196018,.T.); #256329=ORIENTED_EDGE('',*,*,#196020,.F.); #256330=ORIENTED_EDGE('',*,*,#196021,.F.); #256331=ORIENTED_EDGE('',*,*,#196022,.T.); #256332=ORIENTED_EDGE('',*,*,#196021,.T.); #256333=ORIENTED_EDGE('',*,*,#196023,.F.); #256334=ORIENTED_EDGE('',*,*,#196024,.F.); #256335=ORIENTED_EDGE('',*,*,#196025,.T.); #256336=ORIENTED_EDGE('',*,*,#196024,.T.); #256337=ORIENTED_EDGE('',*,*,#196026,.F.); #256338=ORIENTED_EDGE('',*,*,#196027,.F.); #256339=ORIENTED_EDGE('',*,*,#196028,.T.); #256340=ORIENTED_EDGE('',*,*,#196027,.T.); #256341=ORIENTED_EDGE('',*,*,#196029,.F.); #256342=ORIENTED_EDGE('',*,*,#196030,.F.); #256343=ORIENTED_EDGE('',*,*,#196031,.T.); #256344=ORIENTED_EDGE('',*,*,#196030,.T.); #256345=ORIENTED_EDGE('',*,*,#196032,.F.); #256346=ORIENTED_EDGE('',*,*,#196033,.F.); #256347=ORIENTED_EDGE('',*,*,#196034,.T.); #256348=ORIENTED_EDGE('',*,*,#196033,.T.); #256349=ORIENTED_EDGE('',*,*,#196035,.F.); #256350=ORIENTED_EDGE('',*,*,#196036,.F.); #256351=ORIENTED_EDGE('',*,*,#196037,.T.); #256352=ORIENTED_EDGE('',*,*,#196036,.T.); #256353=ORIENTED_EDGE('',*,*,#196038,.F.); #256354=ORIENTED_EDGE('',*,*,#196039,.F.); #256355=ORIENTED_EDGE('',*,*,#196040,.T.); #256356=ORIENTED_EDGE('',*,*,#196039,.T.); #256357=ORIENTED_EDGE('',*,*,#196041,.F.); #256358=ORIENTED_EDGE('',*,*,#196042,.F.); #256359=ORIENTED_EDGE('',*,*,#196043,.T.); #256360=ORIENTED_EDGE('',*,*,#196042,.T.); #256361=ORIENTED_EDGE('',*,*,#196044,.F.); #256362=ORIENTED_EDGE('',*,*,#196045,.F.); #256363=ORIENTED_EDGE('',*,*,#196046,.T.); #256364=ORIENTED_EDGE('',*,*,#196045,.T.); #256365=ORIENTED_EDGE('',*,*,#196047,.F.); #256366=ORIENTED_EDGE('',*,*,#196048,.F.); #256367=ORIENTED_EDGE('',*,*,#196049,.T.); #256368=ORIENTED_EDGE('',*,*,#196048,.T.); #256369=ORIENTED_EDGE('',*,*,#196050,.F.); #256370=ORIENTED_EDGE('',*,*,#196051,.F.); #256371=ORIENTED_EDGE('',*,*,#196052,.T.); #256372=ORIENTED_EDGE('',*,*,#196051,.T.); #256373=ORIENTED_EDGE('',*,*,#196053,.F.); #256374=ORIENTED_EDGE('',*,*,#196054,.F.); #256375=ORIENTED_EDGE('',*,*,#196055,.T.); #256376=ORIENTED_EDGE('',*,*,#196054,.T.); #256377=ORIENTED_EDGE('',*,*,#196056,.F.); #256378=ORIENTED_EDGE('',*,*,#196057,.F.); #256379=ORIENTED_EDGE('',*,*,#196058,.T.); #256380=ORIENTED_EDGE('',*,*,#196057,.T.); #256381=ORIENTED_EDGE('',*,*,#196059,.F.); #256382=ORIENTED_EDGE('',*,*,#196060,.F.); #256383=ORIENTED_EDGE('',*,*,#196061,.T.); #256384=ORIENTED_EDGE('',*,*,#196060,.T.); #256385=ORIENTED_EDGE('',*,*,#196062,.F.); #256386=ORIENTED_EDGE('',*,*,#196063,.F.); #256387=ORIENTED_EDGE('',*,*,#196064,.T.); #256388=ORIENTED_EDGE('',*,*,#196063,.T.); #256389=ORIENTED_EDGE('',*,*,#196065,.F.); #256390=ORIENTED_EDGE('',*,*,#196066,.F.); #256391=ORIENTED_EDGE('',*,*,#196067,.T.); #256392=ORIENTED_EDGE('',*,*,#196066,.T.); #256393=ORIENTED_EDGE('',*,*,#196068,.F.); #256394=ORIENTED_EDGE('',*,*,#196069,.F.); #256395=ORIENTED_EDGE('',*,*,#196070,.T.); #256396=ORIENTED_EDGE('',*,*,#196069,.T.); #256397=ORIENTED_EDGE('',*,*,#196071,.F.); #256398=ORIENTED_EDGE('',*,*,#196072,.F.); #256399=ORIENTED_EDGE('',*,*,#196073,.T.); #256400=ORIENTED_EDGE('',*,*,#196072,.T.); #256401=ORIENTED_EDGE('',*,*,#196074,.F.); #256402=ORIENTED_EDGE('',*,*,#196075,.F.); #256403=ORIENTED_EDGE('',*,*,#196076,.T.); #256404=ORIENTED_EDGE('',*,*,#196075,.T.); #256405=ORIENTED_EDGE('',*,*,#196077,.F.); #256406=ORIENTED_EDGE('',*,*,#196078,.F.); #256407=ORIENTED_EDGE('',*,*,#196079,.T.); #256408=ORIENTED_EDGE('',*,*,#196078,.T.); #256409=ORIENTED_EDGE('',*,*,#196080,.F.); #256410=ORIENTED_EDGE('',*,*,#196081,.F.); #256411=ORIENTED_EDGE('',*,*,#196082,.T.); #256412=ORIENTED_EDGE('',*,*,#196081,.T.); #256413=ORIENTED_EDGE('',*,*,#196083,.F.); #256414=ORIENTED_EDGE('',*,*,#196084,.F.); #256415=ORIENTED_EDGE('',*,*,#196085,.T.); #256416=ORIENTED_EDGE('',*,*,#196084,.T.); #256417=ORIENTED_EDGE('',*,*,#196086,.F.); #256418=ORIENTED_EDGE('',*,*,#195731,.F.); #256419=ORIENTED_EDGE('',*,*,#196087,.T.); #256420=ORIENTED_EDGE('',*,*,#196088,.T.); #256421=ORIENTED_EDGE('',*,*,#196089,.F.); #256422=ORIENTED_EDGE('',*,*,#196090,.F.); #256423=ORIENTED_EDGE('',*,*,#196091,.T.); #256424=ORIENTED_EDGE('',*,*,#196090,.T.); #256425=ORIENTED_EDGE('',*,*,#196092,.F.); #256426=ORIENTED_EDGE('',*,*,#196093,.F.); #256427=ORIENTED_EDGE('',*,*,#196094,.T.); #256428=ORIENTED_EDGE('',*,*,#196093,.T.); #256429=ORIENTED_EDGE('',*,*,#196095,.F.); #256430=ORIENTED_EDGE('',*,*,#196096,.F.); #256431=ORIENTED_EDGE('',*,*,#196097,.T.); #256432=ORIENTED_EDGE('',*,*,#196096,.T.); #256433=ORIENTED_EDGE('',*,*,#196098,.F.); #256434=ORIENTED_EDGE('',*,*,#196099,.F.); #256435=ORIENTED_EDGE('',*,*,#196100,.T.); #256436=ORIENTED_EDGE('',*,*,#196099,.T.); #256437=ORIENTED_EDGE('',*,*,#196101,.F.); #256438=ORIENTED_EDGE('',*,*,#196102,.F.); #256439=ORIENTED_EDGE('',*,*,#196103,.T.); #256440=ORIENTED_EDGE('',*,*,#196102,.T.); #256441=ORIENTED_EDGE('',*,*,#196104,.F.); #256442=ORIENTED_EDGE('',*,*,#196105,.F.); #256443=ORIENTED_EDGE('',*,*,#196106,.T.); #256444=ORIENTED_EDGE('',*,*,#196105,.T.); #256445=ORIENTED_EDGE('',*,*,#196107,.F.); #256446=ORIENTED_EDGE('',*,*,#196108,.F.); #256447=ORIENTED_EDGE('',*,*,#196109,.T.); #256448=ORIENTED_EDGE('',*,*,#196108,.T.); #256449=ORIENTED_EDGE('',*,*,#196110,.F.); #256450=ORIENTED_EDGE('',*,*,#196088,.F.); #256451=ORIENTED_EDGE('',*,*,#196111,.T.); #256452=ORIENTED_EDGE('',*,*,#196112,.T.); #256453=ORIENTED_EDGE('',*,*,#196113,.F.); #256454=ORIENTED_EDGE('',*,*,#196114,.F.); #256455=ORIENTED_EDGE('',*,*,#196115,.T.); #256456=ORIENTED_EDGE('',*,*,#196114,.T.); #256457=ORIENTED_EDGE('',*,*,#196116,.F.); #256458=ORIENTED_EDGE('',*,*,#196117,.F.); #256459=ORIENTED_EDGE('',*,*,#196118,.T.); #256460=ORIENTED_EDGE('',*,*,#196117,.T.); #256461=ORIENTED_EDGE('',*,*,#196119,.F.); #256462=ORIENTED_EDGE('',*,*,#196120,.F.); #256463=ORIENTED_EDGE('',*,*,#196121,.T.); #256464=ORIENTED_EDGE('',*,*,#196120,.T.); #256465=ORIENTED_EDGE('',*,*,#196122,.F.); #256466=ORIENTED_EDGE('',*,*,#196123,.F.); #256467=ORIENTED_EDGE('',*,*,#196124,.T.); #256468=ORIENTED_EDGE('',*,*,#196123,.T.); #256469=ORIENTED_EDGE('',*,*,#196125,.F.); #256470=ORIENTED_EDGE('',*,*,#196126,.F.); #256471=ORIENTED_EDGE('',*,*,#196127,.T.); #256472=ORIENTED_EDGE('',*,*,#196126,.T.); #256473=ORIENTED_EDGE('',*,*,#196128,.F.); #256474=ORIENTED_EDGE('',*,*,#196129,.F.); #256475=ORIENTED_EDGE('',*,*,#196130,.T.); #256476=ORIENTED_EDGE('',*,*,#196129,.T.); #256477=ORIENTED_EDGE('',*,*,#196131,.F.); #256478=ORIENTED_EDGE('',*,*,#196132,.F.); #256479=ORIENTED_EDGE('',*,*,#196133,.T.); #256480=ORIENTED_EDGE('',*,*,#196132,.T.); #256481=ORIENTED_EDGE('',*,*,#196134,.F.); #256482=ORIENTED_EDGE('',*,*,#196135,.F.); #256483=ORIENTED_EDGE('',*,*,#196136,.T.); #256484=ORIENTED_EDGE('',*,*,#196135,.T.); #256485=ORIENTED_EDGE('',*,*,#196137,.F.); #256486=ORIENTED_EDGE('',*,*,#196138,.F.); #256487=ORIENTED_EDGE('',*,*,#196139,.T.); #256488=ORIENTED_EDGE('',*,*,#196138,.T.); #256489=ORIENTED_EDGE('',*,*,#196140,.F.); #256490=ORIENTED_EDGE('',*,*,#196141,.F.); #256491=ORIENTED_EDGE('',*,*,#196142,.T.); #256492=ORIENTED_EDGE('',*,*,#196141,.T.); #256493=ORIENTED_EDGE('',*,*,#196143,.F.); #256494=ORIENTED_EDGE('',*,*,#196144,.F.); #256495=ORIENTED_EDGE('',*,*,#196145,.T.); #256496=ORIENTED_EDGE('',*,*,#196144,.T.); #256497=ORIENTED_EDGE('',*,*,#196146,.F.); #256498=ORIENTED_EDGE('',*,*,#196147,.F.); #256499=ORIENTED_EDGE('',*,*,#196148,.T.); #256500=ORIENTED_EDGE('',*,*,#196147,.T.); #256501=ORIENTED_EDGE('',*,*,#196149,.F.); #256502=ORIENTED_EDGE('',*,*,#196150,.F.); #256503=ORIENTED_EDGE('',*,*,#196151,.T.); #256504=ORIENTED_EDGE('',*,*,#196150,.T.); #256505=ORIENTED_EDGE('',*,*,#196152,.F.); #256506=ORIENTED_EDGE('',*,*,#196153,.F.); #256507=ORIENTED_EDGE('',*,*,#196154,.T.); #256508=ORIENTED_EDGE('',*,*,#196153,.T.); #256509=ORIENTED_EDGE('',*,*,#196155,.F.); #256510=ORIENTED_EDGE('',*,*,#196156,.F.); #256511=ORIENTED_EDGE('',*,*,#196157,.T.); #256512=ORIENTED_EDGE('',*,*,#196156,.T.); #256513=ORIENTED_EDGE('',*,*,#196158,.F.); #256514=ORIENTED_EDGE('',*,*,#196159,.F.); #256515=ORIENTED_EDGE('',*,*,#196160,.T.); #256516=ORIENTED_EDGE('',*,*,#196159,.T.); #256517=ORIENTED_EDGE('',*,*,#196161,.F.); #256518=ORIENTED_EDGE('',*,*,#196162,.F.); #256519=ORIENTED_EDGE('',*,*,#196163,.T.); #256520=ORIENTED_EDGE('',*,*,#196162,.T.); #256521=ORIENTED_EDGE('',*,*,#196164,.F.); #256522=ORIENTED_EDGE('',*,*,#196165,.F.); #256523=ORIENTED_EDGE('',*,*,#196166,.T.); #256524=ORIENTED_EDGE('',*,*,#196165,.T.); #256525=ORIENTED_EDGE('',*,*,#196167,.F.); #256526=ORIENTED_EDGE('',*,*,#196168,.F.); #256527=ORIENTED_EDGE('',*,*,#196169,.T.); #256528=ORIENTED_EDGE('',*,*,#196168,.T.); #256529=ORIENTED_EDGE('',*,*,#196170,.F.); #256530=ORIENTED_EDGE('',*,*,#196171,.F.); #256531=ORIENTED_EDGE('',*,*,#196172,.T.); #256532=ORIENTED_EDGE('',*,*,#196171,.T.); #256533=ORIENTED_EDGE('',*,*,#196173,.F.); #256534=ORIENTED_EDGE('',*,*,#196174,.F.); #256535=ORIENTED_EDGE('',*,*,#196175,.T.); #256536=ORIENTED_EDGE('',*,*,#196174,.T.); #256537=ORIENTED_EDGE('',*,*,#196176,.F.); #256538=ORIENTED_EDGE('',*,*,#196177,.F.); #256539=ORIENTED_EDGE('',*,*,#196178,.T.); #256540=ORIENTED_EDGE('',*,*,#196177,.T.); #256541=ORIENTED_EDGE('',*,*,#196179,.F.); #256542=ORIENTED_EDGE('',*,*,#196180,.F.); #256543=ORIENTED_EDGE('',*,*,#196181,.T.); #256544=ORIENTED_EDGE('',*,*,#196180,.T.); #256545=ORIENTED_EDGE('',*,*,#196182,.F.); #256546=ORIENTED_EDGE('',*,*,#196183,.F.); #256547=ORIENTED_EDGE('',*,*,#196184,.T.); #256548=ORIENTED_EDGE('',*,*,#196183,.T.); #256549=ORIENTED_EDGE('',*,*,#196185,.F.); #256550=ORIENTED_EDGE('',*,*,#196186,.F.); #256551=ORIENTED_EDGE('',*,*,#196187,.T.); #256552=ORIENTED_EDGE('',*,*,#196186,.T.); #256553=ORIENTED_EDGE('',*,*,#196188,.F.); #256554=ORIENTED_EDGE('',*,*,#196189,.F.); #256555=ORIENTED_EDGE('',*,*,#196190,.T.); #256556=ORIENTED_EDGE('',*,*,#196189,.T.); #256557=ORIENTED_EDGE('',*,*,#196191,.F.); #256558=ORIENTED_EDGE('',*,*,#196192,.F.); #256559=ORIENTED_EDGE('',*,*,#196193,.T.); #256560=ORIENTED_EDGE('',*,*,#196192,.T.); #256561=ORIENTED_EDGE('',*,*,#196194,.F.); #256562=ORIENTED_EDGE('',*,*,#196195,.F.); #256563=ORIENTED_EDGE('',*,*,#196196,.T.); #256564=ORIENTED_EDGE('',*,*,#196195,.T.); #256565=ORIENTED_EDGE('',*,*,#196197,.F.); #256566=ORIENTED_EDGE('',*,*,#196198,.F.); #256567=ORIENTED_EDGE('',*,*,#196199,.T.); #256568=ORIENTED_EDGE('',*,*,#196198,.T.); #256569=ORIENTED_EDGE('',*,*,#196200,.F.); #256570=ORIENTED_EDGE('',*,*,#196201,.F.); #256571=ORIENTED_EDGE('',*,*,#196202,.T.); #256572=ORIENTED_EDGE('',*,*,#196201,.T.); #256573=ORIENTED_EDGE('',*,*,#196203,.F.); #256574=ORIENTED_EDGE('',*,*,#196204,.F.); #256575=ORIENTED_EDGE('',*,*,#196205,.T.); #256576=ORIENTED_EDGE('',*,*,#196204,.T.); #256577=ORIENTED_EDGE('',*,*,#196206,.F.); #256578=ORIENTED_EDGE('',*,*,#196207,.F.); #256579=ORIENTED_EDGE('',*,*,#196208,.T.); #256580=ORIENTED_EDGE('',*,*,#196207,.T.); #256581=ORIENTED_EDGE('',*,*,#196209,.F.); #256582=ORIENTED_EDGE('',*,*,#196210,.F.); #256583=ORIENTED_EDGE('',*,*,#196211,.T.); #256584=ORIENTED_EDGE('',*,*,#196210,.T.); #256585=ORIENTED_EDGE('',*,*,#196212,.F.); #256586=ORIENTED_EDGE('',*,*,#196213,.F.); #256587=ORIENTED_EDGE('',*,*,#196214,.T.); #256588=ORIENTED_EDGE('',*,*,#196213,.T.); #256589=ORIENTED_EDGE('',*,*,#196215,.F.); #256590=ORIENTED_EDGE('',*,*,#196216,.F.); #256591=ORIENTED_EDGE('',*,*,#196217,.T.); #256592=ORIENTED_EDGE('',*,*,#196216,.T.); #256593=ORIENTED_EDGE('',*,*,#196218,.F.); #256594=ORIENTED_EDGE('',*,*,#196219,.F.); #256595=ORIENTED_EDGE('',*,*,#196220,.T.); #256596=ORIENTED_EDGE('',*,*,#196219,.T.); #256597=ORIENTED_EDGE('',*,*,#196221,.F.); #256598=ORIENTED_EDGE('',*,*,#196222,.F.); #256599=ORIENTED_EDGE('',*,*,#196223,.T.); #256600=ORIENTED_EDGE('',*,*,#196222,.T.); #256601=ORIENTED_EDGE('',*,*,#196224,.F.); #256602=ORIENTED_EDGE('',*,*,#196225,.F.); #256603=ORIENTED_EDGE('',*,*,#196226,.T.); #256604=ORIENTED_EDGE('',*,*,#196225,.T.); #256605=ORIENTED_EDGE('',*,*,#196227,.F.); #256606=ORIENTED_EDGE('',*,*,#196228,.F.); #256607=ORIENTED_EDGE('',*,*,#196229,.T.); #256608=ORIENTED_EDGE('',*,*,#196228,.T.); #256609=ORIENTED_EDGE('',*,*,#196230,.F.); #256610=ORIENTED_EDGE('',*,*,#196231,.F.); #256611=ORIENTED_EDGE('',*,*,#196232,.T.); #256612=ORIENTED_EDGE('',*,*,#196231,.T.); #256613=ORIENTED_EDGE('',*,*,#196233,.F.); #256614=ORIENTED_EDGE('',*,*,#196234,.F.); #256615=ORIENTED_EDGE('',*,*,#196235,.T.); #256616=ORIENTED_EDGE('',*,*,#196234,.T.); #256617=ORIENTED_EDGE('',*,*,#196236,.F.); #256618=ORIENTED_EDGE('',*,*,#196237,.F.); #256619=ORIENTED_EDGE('',*,*,#196238,.T.); #256620=ORIENTED_EDGE('',*,*,#196237,.T.); #256621=ORIENTED_EDGE('',*,*,#196239,.F.); #256622=ORIENTED_EDGE('',*,*,#196240,.F.); #256623=ORIENTED_EDGE('',*,*,#196241,.T.); #256624=ORIENTED_EDGE('',*,*,#196240,.T.); #256625=ORIENTED_EDGE('',*,*,#196242,.F.); #256626=ORIENTED_EDGE('',*,*,#196243,.F.); #256627=ORIENTED_EDGE('',*,*,#196244,.T.); #256628=ORIENTED_EDGE('',*,*,#196243,.T.); #256629=ORIENTED_EDGE('',*,*,#196245,.F.); #256630=ORIENTED_EDGE('',*,*,#196246,.F.); #256631=ORIENTED_EDGE('',*,*,#196247,.T.); #256632=ORIENTED_EDGE('',*,*,#196246,.T.); #256633=ORIENTED_EDGE('',*,*,#196248,.F.); #256634=ORIENTED_EDGE('',*,*,#196249,.F.); #256635=ORIENTED_EDGE('',*,*,#196250,.T.); #256636=ORIENTED_EDGE('',*,*,#196249,.T.); #256637=ORIENTED_EDGE('',*,*,#196251,.F.); #256638=ORIENTED_EDGE('',*,*,#196252,.F.); #256639=ORIENTED_EDGE('',*,*,#196253,.T.); #256640=ORIENTED_EDGE('',*,*,#196252,.T.); #256641=ORIENTED_EDGE('',*,*,#196254,.F.); #256642=ORIENTED_EDGE('',*,*,#196255,.F.); #256643=ORIENTED_EDGE('',*,*,#196256,.T.); #256644=ORIENTED_EDGE('',*,*,#196255,.T.); #256645=ORIENTED_EDGE('',*,*,#196257,.F.); #256646=ORIENTED_EDGE('',*,*,#196258,.F.); #256647=ORIENTED_EDGE('',*,*,#196259,.T.); #256648=ORIENTED_EDGE('',*,*,#196258,.T.); #256649=ORIENTED_EDGE('',*,*,#196260,.F.); #256650=ORIENTED_EDGE('',*,*,#196261,.F.); #256651=ORIENTED_EDGE('',*,*,#196262,.T.); #256652=ORIENTED_EDGE('',*,*,#196261,.T.); #256653=ORIENTED_EDGE('',*,*,#196263,.F.); #256654=ORIENTED_EDGE('',*,*,#196264,.F.); #256655=ORIENTED_EDGE('',*,*,#196265,.T.); #256656=ORIENTED_EDGE('',*,*,#196264,.T.); #256657=ORIENTED_EDGE('',*,*,#196266,.F.); #256658=ORIENTED_EDGE('',*,*,#196267,.F.); #256659=ORIENTED_EDGE('',*,*,#196268,.T.); #256660=ORIENTED_EDGE('',*,*,#196267,.T.); #256661=ORIENTED_EDGE('',*,*,#196269,.F.); #256662=ORIENTED_EDGE('',*,*,#196270,.F.); #256663=ORIENTED_EDGE('',*,*,#196271,.T.); #256664=ORIENTED_EDGE('',*,*,#196270,.T.); #256665=ORIENTED_EDGE('',*,*,#196272,.F.); #256666=ORIENTED_EDGE('',*,*,#196273,.F.); #256667=ORIENTED_EDGE('',*,*,#196274,.T.); #256668=ORIENTED_EDGE('',*,*,#196273,.T.); #256669=ORIENTED_EDGE('',*,*,#196275,.F.); #256670=ORIENTED_EDGE('',*,*,#196276,.F.); #256671=ORIENTED_EDGE('',*,*,#196277,.T.); #256672=ORIENTED_EDGE('',*,*,#196276,.T.); #256673=ORIENTED_EDGE('',*,*,#196278,.F.); #256674=ORIENTED_EDGE('',*,*,#196279,.F.); #256675=ORIENTED_EDGE('',*,*,#196280,.T.); #256676=ORIENTED_EDGE('',*,*,#196279,.T.); #256677=ORIENTED_EDGE('',*,*,#196281,.F.); #256678=ORIENTED_EDGE('',*,*,#196282,.F.); #256679=ORIENTED_EDGE('',*,*,#196283,.T.); #256680=ORIENTED_EDGE('',*,*,#196282,.T.); #256681=ORIENTED_EDGE('',*,*,#196284,.F.); #256682=ORIENTED_EDGE('',*,*,#196285,.F.); #256683=ORIENTED_EDGE('',*,*,#196286,.T.); #256684=ORIENTED_EDGE('',*,*,#196285,.T.); #256685=ORIENTED_EDGE('',*,*,#196287,.F.); #256686=ORIENTED_EDGE('',*,*,#196288,.F.); #256687=ORIENTED_EDGE('',*,*,#196289,.T.); #256688=ORIENTED_EDGE('',*,*,#196288,.T.); #256689=ORIENTED_EDGE('',*,*,#196290,.F.); #256690=ORIENTED_EDGE('',*,*,#196291,.F.); #256691=ORIENTED_EDGE('',*,*,#196292,.T.); #256692=ORIENTED_EDGE('',*,*,#196291,.T.); #256693=ORIENTED_EDGE('',*,*,#196293,.F.); #256694=ORIENTED_EDGE('',*,*,#196294,.F.); #256695=ORIENTED_EDGE('',*,*,#196295,.T.); #256696=ORIENTED_EDGE('',*,*,#196294,.T.); #256697=ORIENTED_EDGE('',*,*,#196296,.F.); #256698=ORIENTED_EDGE('',*,*,#196297,.F.); #256699=ORIENTED_EDGE('',*,*,#196298,.T.); #256700=ORIENTED_EDGE('',*,*,#196297,.T.); #256701=ORIENTED_EDGE('',*,*,#196299,.F.); #256702=ORIENTED_EDGE('',*,*,#196300,.F.); #256703=ORIENTED_EDGE('',*,*,#196301,.T.); #256704=ORIENTED_EDGE('',*,*,#196300,.T.); #256705=ORIENTED_EDGE('',*,*,#196302,.F.); #256706=ORIENTED_EDGE('',*,*,#196303,.F.); #256707=ORIENTED_EDGE('',*,*,#196304,.T.); #256708=ORIENTED_EDGE('',*,*,#196303,.T.); #256709=ORIENTED_EDGE('',*,*,#196305,.F.); #256710=ORIENTED_EDGE('',*,*,#196306,.F.); #256711=ORIENTED_EDGE('',*,*,#196307,.T.); #256712=ORIENTED_EDGE('',*,*,#196306,.T.); #256713=ORIENTED_EDGE('',*,*,#196308,.F.); #256714=ORIENTED_EDGE('',*,*,#196309,.F.); #256715=ORIENTED_EDGE('',*,*,#196310,.T.); #256716=ORIENTED_EDGE('',*,*,#196309,.T.); #256717=ORIENTED_EDGE('',*,*,#196311,.F.); #256718=ORIENTED_EDGE('',*,*,#196312,.F.); #256719=ORIENTED_EDGE('',*,*,#196313,.T.); #256720=ORIENTED_EDGE('',*,*,#196312,.T.); #256721=ORIENTED_EDGE('',*,*,#196314,.F.); #256722=ORIENTED_EDGE('',*,*,#196315,.F.); #256723=ORIENTED_EDGE('',*,*,#196316,.T.); #256724=ORIENTED_EDGE('',*,*,#196315,.T.); #256725=ORIENTED_EDGE('',*,*,#196317,.F.); #256726=ORIENTED_EDGE('',*,*,#196318,.F.); #256727=ORIENTED_EDGE('',*,*,#196319,.T.); #256728=ORIENTED_EDGE('',*,*,#196318,.T.); #256729=ORIENTED_EDGE('',*,*,#196320,.F.); #256730=ORIENTED_EDGE('',*,*,#196321,.F.); #256731=ORIENTED_EDGE('',*,*,#196322,.T.); #256732=ORIENTED_EDGE('',*,*,#196321,.T.); #256733=ORIENTED_EDGE('',*,*,#196323,.F.); #256734=ORIENTED_EDGE('',*,*,#196324,.F.); #256735=ORIENTED_EDGE('',*,*,#196325,.T.); #256736=ORIENTED_EDGE('',*,*,#196324,.T.); #256737=ORIENTED_EDGE('',*,*,#196326,.F.); #256738=ORIENTED_EDGE('',*,*,#196327,.F.); #256739=ORIENTED_EDGE('',*,*,#196328,.T.); #256740=ORIENTED_EDGE('',*,*,#196327,.T.); #256741=ORIENTED_EDGE('',*,*,#196329,.F.); #256742=ORIENTED_EDGE('',*,*,#196330,.F.); #256743=ORIENTED_EDGE('',*,*,#196331,.T.); #256744=ORIENTED_EDGE('',*,*,#196330,.T.); #256745=ORIENTED_EDGE('',*,*,#196332,.F.); #256746=ORIENTED_EDGE('',*,*,#196333,.F.); #256747=ORIENTED_EDGE('',*,*,#196334,.T.); #256748=ORIENTED_EDGE('',*,*,#196333,.T.); #256749=ORIENTED_EDGE('',*,*,#196335,.F.); #256750=ORIENTED_EDGE('',*,*,#196336,.F.); #256751=ORIENTED_EDGE('',*,*,#196337,.T.); #256752=ORIENTED_EDGE('',*,*,#196336,.T.); #256753=ORIENTED_EDGE('',*,*,#196338,.F.); #256754=ORIENTED_EDGE('',*,*,#196339,.F.); #256755=ORIENTED_EDGE('',*,*,#196340,.T.); #256756=ORIENTED_EDGE('',*,*,#196339,.T.); #256757=ORIENTED_EDGE('',*,*,#196341,.F.); #256758=ORIENTED_EDGE('',*,*,#196342,.F.); #256759=ORIENTED_EDGE('',*,*,#196343,.T.); #256760=ORIENTED_EDGE('',*,*,#196342,.T.); #256761=ORIENTED_EDGE('',*,*,#196344,.F.); #256762=ORIENTED_EDGE('',*,*,#196345,.F.); #256763=ORIENTED_EDGE('',*,*,#196346,.T.); #256764=ORIENTED_EDGE('',*,*,#196345,.T.); #256765=ORIENTED_EDGE('',*,*,#196347,.F.); #256766=ORIENTED_EDGE('',*,*,#196348,.F.); #256767=ORIENTED_EDGE('',*,*,#196349,.T.); #256768=ORIENTED_EDGE('',*,*,#196348,.T.); #256769=ORIENTED_EDGE('',*,*,#196350,.F.); #256770=ORIENTED_EDGE('',*,*,#196351,.F.); #256771=ORIENTED_EDGE('',*,*,#196352,.T.); #256772=ORIENTED_EDGE('',*,*,#196351,.T.); #256773=ORIENTED_EDGE('',*,*,#196353,.F.); #256774=ORIENTED_EDGE('',*,*,#196354,.F.); #256775=ORIENTED_EDGE('',*,*,#196355,.T.); #256776=ORIENTED_EDGE('',*,*,#196354,.T.); #256777=ORIENTED_EDGE('',*,*,#196356,.F.); #256778=ORIENTED_EDGE('',*,*,#196357,.F.); #256779=ORIENTED_EDGE('',*,*,#196358,.T.); #256780=ORIENTED_EDGE('',*,*,#196357,.T.); #256781=ORIENTED_EDGE('',*,*,#196359,.F.); #256782=ORIENTED_EDGE('',*,*,#196360,.F.); #256783=ORIENTED_EDGE('',*,*,#196361,.T.); #256784=ORIENTED_EDGE('',*,*,#196360,.T.); #256785=ORIENTED_EDGE('',*,*,#196362,.F.); #256786=ORIENTED_EDGE('',*,*,#196363,.F.); #256787=ORIENTED_EDGE('',*,*,#196364,.T.); #256788=ORIENTED_EDGE('',*,*,#196363,.T.); #256789=ORIENTED_EDGE('',*,*,#196365,.F.); #256790=ORIENTED_EDGE('',*,*,#196366,.F.); #256791=ORIENTED_EDGE('',*,*,#196367,.T.); #256792=ORIENTED_EDGE('',*,*,#196366,.T.); #256793=ORIENTED_EDGE('',*,*,#196368,.F.); #256794=ORIENTED_EDGE('',*,*,#196369,.F.); #256795=ORIENTED_EDGE('',*,*,#196370,.T.); #256796=ORIENTED_EDGE('',*,*,#196369,.T.); #256797=ORIENTED_EDGE('',*,*,#196371,.F.); #256798=ORIENTED_EDGE('',*,*,#196372,.F.); #256799=ORIENTED_EDGE('',*,*,#196373,.T.); #256800=ORIENTED_EDGE('',*,*,#196372,.T.); #256801=ORIENTED_EDGE('',*,*,#196374,.F.); #256802=ORIENTED_EDGE('',*,*,#196375,.F.); #256803=ORIENTED_EDGE('',*,*,#196376,.T.); #256804=ORIENTED_EDGE('',*,*,#196375,.T.); #256805=ORIENTED_EDGE('',*,*,#196377,.F.); #256806=ORIENTED_EDGE('',*,*,#196378,.F.); #256807=ORIENTED_EDGE('',*,*,#196379,.T.); #256808=ORIENTED_EDGE('',*,*,#196378,.T.); #256809=ORIENTED_EDGE('',*,*,#196380,.F.); #256810=ORIENTED_EDGE('',*,*,#196381,.F.); #256811=ORIENTED_EDGE('',*,*,#196382,.T.); #256812=ORIENTED_EDGE('',*,*,#196381,.T.); #256813=ORIENTED_EDGE('',*,*,#196383,.F.); #256814=ORIENTED_EDGE('',*,*,#196384,.F.); #256815=ORIENTED_EDGE('',*,*,#196385,.T.); #256816=ORIENTED_EDGE('',*,*,#196384,.T.); #256817=ORIENTED_EDGE('',*,*,#196386,.F.); #256818=ORIENTED_EDGE('',*,*,#196387,.F.); #256819=ORIENTED_EDGE('',*,*,#196388,.T.); #256820=ORIENTED_EDGE('',*,*,#196387,.T.); #256821=ORIENTED_EDGE('',*,*,#196389,.F.); #256822=ORIENTED_EDGE('',*,*,#196390,.F.); #256823=ORIENTED_EDGE('',*,*,#196391,.T.); #256824=ORIENTED_EDGE('',*,*,#196390,.T.); #256825=ORIENTED_EDGE('',*,*,#196392,.F.); #256826=ORIENTED_EDGE('',*,*,#196393,.F.); #256827=ORIENTED_EDGE('',*,*,#196394,.T.); #256828=ORIENTED_EDGE('',*,*,#196393,.T.); #256829=ORIENTED_EDGE('',*,*,#196395,.F.); #256830=ORIENTED_EDGE('',*,*,#196396,.F.); #256831=ORIENTED_EDGE('',*,*,#196397,.T.); #256832=ORIENTED_EDGE('',*,*,#196396,.T.); #256833=ORIENTED_EDGE('',*,*,#196398,.F.); #256834=ORIENTED_EDGE('',*,*,#196399,.F.); #256835=ORIENTED_EDGE('',*,*,#196400,.T.); #256836=ORIENTED_EDGE('',*,*,#196399,.T.); #256837=ORIENTED_EDGE('',*,*,#196401,.F.); #256838=ORIENTED_EDGE('',*,*,#196402,.F.); #256839=ORIENTED_EDGE('',*,*,#196403,.T.); #256840=ORIENTED_EDGE('',*,*,#196402,.T.); #256841=ORIENTED_EDGE('',*,*,#196404,.F.); #256842=ORIENTED_EDGE('',*,*,#196405,.F.); #256843=ORIENTED_EDGE('',*,*,#196406,.T.); #256844=ORIENTED_EDGE('',*,*,#196405,.T.); #256845=ORIENTED_EDGE('',*,*,#196407,.F.); #256846=ORIENTED_EDGE('',*,*,#196408,.F.); #256847=ORIENTED_EDGE('',*,*,#196409,.T.); #256848=ORIENTED_EDGE('',*,*,#196408,.T.); #256849=ORIENTED_EDGE('',*,*,#196410,.F.); #256850=ORIENTED_EDGE('',*,*,#196411,.F.); #256851=ORIENTED_EDGE('',*,*,#196412,.T.); #256852=ORIENTED_EDGE('',*,*,#196411,.T.); #256853=ORIENTED_EDGE('',*,*,#196413,.F.); #256854=ORIENTED_EDGE('',*,*,#196414,.F.); #256855=ORIENTED_EDGE('',*,*,#196415,.T.); #256856=ORIENTED_EDGE('',*,*,#196414,.T.); #256857=ORIENTED_EDGE('',*,*,#196416,.F.); #256858=ORIENTED_EDGE('',*,*,#196417,.F.); #256859=ORIENTED_EDGE('',*,*,#196418,.T.); #256860=ORIENTED_EDGE('',*,*,#196417,.T.); #256861=ORIENTED_EDGE('',*,*,#196419,.F.); #256862=ORIENTED_EDGE('',*,*,#196420,.F.); #256863=ORIENTED_EDGE('',*,*,#196421,.T.); #256864=ORIENTED_EDGE('',*,*,#196420,.T.); #256865=ORIENTED_EDGE('',*,*,#196422,.F.); #256866=ORIENTED_EDGE('',*,*,#196423,.F.); #256867=ORIENTED_EDGE('',*,*,#196424,.T.); #256868=ORIENTED_EDGE('',*,*,#196423,.T.); #256869=ORIENTED_EDGE('',*,*,#196425,.F.); #256870=ORIENTED_EDGE('',*,*,#196426,.F.); #256871=ORIENTED_EDGE('',*,*,#196427,.T.); #256872=ORIENTED_EDGE('',*,*,#196426,.T.); #256873=ORIENTED_EDGE('',*,*,#196428,.F.); #256874=ORIENTED_EDGE('',*,*,#196429,.F.); #256875=ORIENTED_EDGE('',*,*,#196430,.T.); #256876=ORIENTED_EDGE('',*,*,#196429,.T.); #256877=ORIENTED_EDGE('',*,*,#196431,.F.); #256878=ORIENTED_EDGE('',*,*,#196432,.F.); #256879=ORIENTED_EDGE('',*,*,#196433,.T.); #256880=ORIENTED_EDGE('',*,*,#196432,.T.); #256881=ORIENTED_EDGE('',*,*,#196434,.F.); #256882=ORIENTED_EDGE('',*,*,#196435,.F.); #256883=ORIENTED_EDGE('',*,*,#196436,.T.); #256884=ORIENTED_EDGE('',*,*,#196435,.T.); #256885=ORIENTED_EDGE('',*,*,#196437,.F.); #256886=ORIENTED_EDGE('',*,*,#196438,.F.); #256887=ORIENTED_EDGE('',*,*,#196439,.T.); #256888=ORIENTED_EDGE('',*,*,#196438,.T.); #256889=ORIENTED_EDGE('',*,*,#196440,.F.); #256890=ORIENTED_EDGE('',*,*,#196441,.F.); #256891=ORIENTED_EDGE('',*,*,#196442,.T.); #256892=ORIENTED_EDGE('',*,*,#196441,.T.); #256893=ORIENTED_EDGE('',*,*,#196443,.F.); #256894=ORIENTED_EDGE('',*,*,#196444,.F.); #256895=ORIENTED_EDGE('',*,*,#196445,.T.); #256896=ORIENTED_EDGE('',*,*,#196444,.T.); #256897=ORIENTED_EDGE('',*,*,#196446,.F.); #256898=ORIENTED_EDGE('',*,*,#196447,.F.); #256899=ORIENTED_EDGE('',*,*,#196448,.T.); #256900=ORIENTED_EDGE('',*,*,#196447,.T.); #256901=ORIENTED_EDGE('',*,*,#196449,.F.); #256902=ORIENTED_EDGE('',*,*,#196450,.F.); #256903=ORIENTED_EDGE('',*,*,#196451,.T.); #256904=ORIENTED_EDGE('',*,*,#196450,.T.); #256905=ORIENTED_EDGE('',*,*,#196452,.F.); #256906=ORIENTED_EDGE('',*,*,#196453,.F.); #256907=ORIENTED_EDGE('',*,*,#196454,.T.); #256908=ORIENTED_EDGE('',*,*,#196453,.T.); #256909=ORIENTED_EDGE('',*,*,#196455,.F.); #256910=ORIENTED_EDGE('',*,*,#196456,.F.); #256911=ORIENTED_EDGE('',*,*,#196457,.T.); #256912=ORIENTED_EDGE('',*,*,#196456,.T.); #256913=ORIENTED_EDGE('',*,*,#196458,.F.); #256914=ORIENTED_EDGE('',*,*,#196459,.F.); #256915=ORIENTED_EDGE('',*,*,#196460,.T.); #256916=ORIENTED_EDGE('',*,*,#196459,.T.); #256917=ORIENTED_EDGE('',*,*,#196461,.F.); #256918=ORIENTED_EDGE('',*,*,#196462,.F.); #256919=ORIENTED_EDGE('',*,*,#196463,.T.); #256920=ORIENTED_EDGE('',*,*,#196462,.T.); #256921=ORIENTED_EDGE('',*,*,#196464,.F.); #256922=ORIENTED_EDGE('',*,*,#196465,.F.); #256923=ORIENTED_EDGE('',*,*,#196466,.T.); #256924=ORIENTED_EDGE('',*,*,#196465,.T.); #256925=ORIENTED_EDGE('',*,*,#196467,.F.); #256926=ORIENTED_EDGE('',*,*,#196468,.F.); #256927=ORIENTED_EDGE('',*,*,#196469,.T.); #256928=ORIENTED_EDGE('',*,*,#196468,.T.); #256929=ORIENTED_EDGE('',*,*,#196470,.F.); #256930=ORIENTED_EDGE('',*,*,#196112,.F.); #256931=ORIENTED_EDGE('',*,*,#196470,.T.); #256932=ORIENTED_EDGE('',*,*,#196467,.T.); #256933=ORIENTED_EDGE('',*,*,#196464,.T.); #256934=ORIENTED_EDGE('',*,*,#196461,.T.); #256935=ORIENTED_EDGE('',*,*,#196458,.T.); #256936=ORIENTED_EDGE('',*,*,#196455,.T.); #256937=ORIENTED_EDGE('',*,*,#196452,.T.); #256938=ORIENTED_EDGE('',*,*,#196449,.T.); #256939=ORIENTED_EDGE('',*,*,#196446,.T.); #256940=ORIENTED_EDGE('',*,*,#196443,.T.); #256941=ORIENTED_EDGE('',*,*,#196440,.T.); #256942=ORIENTED_EDGE('',*,*,#196437,.T.); #256943=ORIENTED_EDGE('',*,*,#196434,.T.); #256944=ORIENTED_EDGE('',*,*,#196431,.T.); #256945=ORIENTED_EDGE('',*,*,#196428,.T.); #256946=ORIENTED_EDGE('',*,*,#196425,.T.); #256947=ORIENTED_EDGE('',*,*,#196422,.T.); #256948=ORIENTED_EDGE('',*,*,#196419,.T.); #256949=ORIENTED_EDGE('',*,*,#196416,.T.); #256950=ORIENTED_EDGE('',*,*,#196413,.T.); #256951=ORIENTED_EDGE('',*,*,#196410,.T.); #256952=ORIENTED_EDGE('',*,*,#196407,.T.); #256953=ORIENTED_EDGE('',*,*,#196404,.T.); #256954=ORIENTED_EDGE('',*,*,#196401,.T.); #256955=ORIENTED_EDGE('',*,*,#196398,.T.); #256956=ORIENTED_EDGE('',*,*,#196395,.T.); #256957=ORIENTED_EDGE('',*,*,#196392,.T.); #256958=ORIENTED_EDGE('',*,*,#196389,.T.); #256959=ORIENTED_EDGE('',*,*,#196386,.T.); #256960=ORIENTED_EDGE('',*,*,#196383,.T.); #256961=ORIENTED_EDGE('',*,*,#196380,.T.); #256962=ORIENTED_EDGE('',*,*,#196377,.T.); #256963=ORIENTED_EDGE('',*,*,#196374,.T.); #256964=ORIENTED_EDGE('',*,*,#196371,.T.); #256965=ORIENTED_EDGE('',*,*,#196368,.T.); #256966=ORIENTED_EDGE('',*,*,#196365,.T.); #256967=ORIENTED_EDGE('',*,*,#196362,.T.); #256968=ORIENTED_EDGE('',*,*,#196359,.T.); #256969=ORIENTED_EDGE('',*,*,#196356,.T.); #256970=ORIENTED_EDGE('',*,*,#196353,.T.); #256971=ORIENTED_EDGE('',*,*,#196350,.T.); #256972=ORIENTED_EDGE('',*,*,#196347,.T.); #256973=ORIENTED_EDGE('',*,*,#196344,.T.); #256974=ORIENTED_EDGE('',*,*,#196341,.T.); #256975=ORIENTED_EDGE('',*,*,#196338,.T.); #256976=ORIENTED_EDGE('',*,*,#196335,.T.); #256977=ORIENTED_EDGE('',*,*,#196332,.T.); #256978=ORIENTED_EDGE('',*,*,#196329,.T.); #256979=ORIENTED_EDGE('',*,*,#196326,.T.); #256980=ORIENTED_EDGE('',*,*,#196323,.T.); #256981=ORIENTED_EDGE('',*,*,#196320,.T.); #256982=ORIENTED_EDGE('',*,*,#196317,.T.); #256983=ORIENTED_EDGE('',*,*,#196314,.T.); #256984=ORIENTED_EDGE('',*,*,#196311,.T.); #256985=ORIENTED_EDGE('',*,*,#196308,.T.); #256986=ORIENTED_EDGE('',*,*,#196305,.T.); #256987=ORIENTED_EDGE('',*,*,#196302,.T.); #256988=ORIENTED_EDGE('',*,*,#196299,.T.); #256989=ORIENTED_EDGE('',*,*,#196296,.T.); #256990=ORIENTED_EDGE('',*,*,#196293,.T.); #256991=ORIENTED_EDGE('',*,*,#196290,.T.); #256992=ORIENTED_EDGE('',*,*,#196287,.T.); #256993=ORIENTED_EDGE('',*,*,#196284,.T.); #256994=ORIENTED_EDGE('',*,*,#196281,.T.); #256995=ORIENTED_EDGE('',*,*,#196278,.T.); #256996=ORIENTED_EDGE('',*,*,#196275,.T.); #256997=ORIENTED_EDGE('',*,*,#196272,.T.); #256998=ORIENTED_EDGE('',*,*,#196269,.T.); #256999=ORIENTED_EDGE('',*,*,#196266,.T.); #257000=ORIENTED_EDGE('',*,*,#196263,.T.); #257001=ORIENTED_EDGE('',*,*,#196260,.T.); #257002=ORIENTED_EDGE('',*,*,#196257,.T.); #257003=ORIENTED_EDGE('',*,*,#196254,.T.); #257004=ORIENTED_EDGE('',*,*,#196251,.T.); #257005=ORIENTED_EDGE('',*,*,#196248,.T.); #257006=ORIENTED_EDGE('',*,*,#196245,.T.); #257007=ORIENTED_EDGE('',*,*,#196242,.T.); #257008=ORIENTED_EDGE('',*,*,#196239,.T.); #257009=ORIENTED_EDGE('',*,*,#196236,.T.); #257010=ORIENTED_EDGE('',*,*,#196233,.T.); #257011=ORIENTED_EDGE('',*,*,#196230,.T.); #257012=ORIENTED_EDGE('',*,*,#196227,.T.); #257013=ORIENTED_EDGE('',*,*,#196224,.T.); #257014=ORIENTED_EDGE('',*,*,#196221,.T.); #257015=ORIENTED_EDGE('',*,*,#196218,.T.); #257016=ORIENTED_EDGE('',*,*,#196215,.T.); #257017=ORIENTED_EDGE('',*,*,#196212,.T.); #257018=ORIENTED_EDGE('',*,*,#196209,.T.); #257019=ORIENTED_EDGE('',*,*,#196206,.T.); #257020=ORIENTED_EDGE('',*,*,#196203,.T.); #257021=ORIENTED_EDGE('',*,*,#196200,.T.); #257022=ORIENTED_EDGE('',*,*,#196197,.T.); #257023=ORIENTED_EDGE('',*,*,#196194,.T.); #257024=ORIENTED_EDGE('',*,*,#196191,.T.); #257025=ORIENTED_EDGE('',*,*,#196188,.T.); #257026=ORIENTED_EDGE('',*,*,#196185,.T.); #257027=ORIENTED_EDGE('',*,*,#196182,.T.); #257028=ORIENTED_EDGE('',*,*,#196179,.T.); #257029=ORIENTED_EDGE('',*,*,#196176,.T.); #257030=ORIENTED_EDGE('',*,*,#196173,.T.); #257031=ORIENTED_EDGE('',*,*,#196170,.T.); #257032=ORIENTED_EDGE('',*,*,#196167,.T.); #257033=ORIENTED_EDGE('',*,*,#196164,.T.); #257034=ORIENTED_EDGE('',*,*,#196161,.T.); #257035=ORIENTED_EDGE('',*,*,#196158,.T.); #257036=ORIENTED_EDGE('',*,*,#196155,.T.); #257037=ORIENTED_EDGE('',*,*,#196152,.T.); #257038=ORIENTED_EDGE('',*,*,#196149,.T.); #257039=ORIENTED_EDGE('',*,*,#196146,.T.); #257040=ORIENTED_EDGE('',*,*,#196143,.T.); #257041=ORIENTED_EDGE('',*,*,#196140,.T.); #257042=ORIENTED_EDGE('',*,*,#196137,.T.); #257043=ORIENTED_EDGE('',*,*,#196134,.T.); #257044=ORIENTED_EDGE('',*,*,#196131,.T.); #257045=ORIENTED_EDGE('',*,*,#196128,.T.); #257046=ORIENTED_EDGE('',*,*,#196125,.T.); #257047=ORIENTED_EDGE('',*,*,#196122,.T.); #257048=ORIENTED_EDGE('',*,*,#196119,.T.); #257049=ORIENTED_EDGE('',*,*,#196116,.T.); #257050=ORIENTED_EDGE('',*,*,#196113,.T.); #257051=ORIENTED_EDGE('',*,*,#193440,.T.); #257052=ORIENTED_EDGE('',*,*,#193443,.T.); #257053=ORIENTED_EDGE('',*,*,#193446,.T.); #257054=ORIENTED_EDGE('',*,*,#193449,.T.); #257055=ORIENTED_EDGE('',*,*,#193452,.T.); #257056=ORIENTED_EDGE('',*,*,#193455,.T.); #257057=ORIENTED_EDGE('',*,*,#193458,.T.); #257058=ORIENTED_EDGE('',*,*,#193461,.T.); #257059=ORIENTED_EDGE('',*,*,#193464,.T.); #257060=ORIENTED_EDGE('',*,*,#193467,.T.); #257061=ORIENTED_EDGE('',*,*,#193470,.T.); #257062=ORIENTED_EDGE('',*,*,#193473,.T.); #257063=ORIENTED_EDGE('',*,*,#193476,.T.); #257064=ORIENTED_EDGE('',*,*,#193479,.T.); #257065=ORIENTED_EDGE('',*,*,#193482,.T.); #257066=ORIENTED_EDGE('',*,*,#193485,.T.); #257067=ORIENTED_EDGE('',*,*,#193488,.T.); #257068=ORIENTED_EDGE('',*,*,#193491,.T.); #257069=ORIENTED_EDGE('',*,*,#193494,.T.); #257070=ORIENTED_EDGE('',*,*,#193497,.T.); #257071=ORIENTED_EDGE('',*,*,#193500,.T.); #257072=ORIENTED_EDGE('',*,*,#193503,.T.); #257073=ORIENTED_EDGE('',*,*,#193506,.T.); #257074=ORIENTED_EDGE('',*,*,#193509,.T.); #257075=ORIENTED_EDGE('',*,*,#193512,.T.); #257076=ORIENTED_EDGE('',*,*,#193515,.T.); #257077=ORIENTED_EDGE('',*,*,#193518,.T.); #257078=ORIENTED_EDGE('',*,*,#193521,.T.); #257079=ORIENTED_EDGE('',*,*,#193524,.T.); #257080=ORIENTED_EDGE('',*,*,#193527,.T.); #257081=ORIENTED_EDGE('',*,*,#193530,.T.); #257082=ORIENTED_EDGE('',*,*,#193533,.T.); #257083=ORIENTED_EDGE('',*,*,#193536,.T.); #257084=ORIENTED_EDGE('',*,*,#193539,.T.); #257085=ORIENTED_EDGE('',*,*,#193542,.T.); #257086=ORIENTED_EDGE('',*,*,#193550,.T.); #257087=ORIENTED_EDGE('',*,*,#193553,.T.); #257088=ORIENTED_EDGE('',*,*,#193543,.T.); #257089=ORIENTED_EDGE('',*,*,#193547,.T.); #257090=ORIENTED_EDGE('',*,*,#193557,.T.); #257091=ORIENTED_EDGE('',*,*,#193560,.T.); #257092=ORIENTED_EDGE('',*,*,#193563,.T.); #257093=ORIENTED_EDGE('',*,*,#193566,.T.); #257094=ORIENTED_EDGE('',*,*,#193574,.T.); #257095=ORIENTED_EDGE('',*,*,#193577,.T.); #257096=ORIENTED_EDGE('',*,*,#193567,.T.); #257097=ORIENTED_EDGE('',*,*,#193571,.T.); #257098=ORIENTED_EDGE('',*,*,#193586,.T.); #257099=ORIENTED_EDGE('',*,*,#193589,.T.); #257100=ORIENTED_EDGE('',*,*,#193579,.T.); #257101=ORIENTED_EDGE('',*,*,#193583,.T.); #257102=ORIENTED_EDGE('',*,*,#193598,.T.); #257103=ORIENTED_EDGE('',*,*,#193601,.T.); #257104=ORIENTED_EDGE('',*,*,#193591,.T.); #257105=ORIENTED_EDGE('',*,*,#193595,.T.); #257106=ORIENTED_EDGE('',*,*,#193605,.T.); #257107=ORIENTED_EDGE('',*,*,#193608,.T.); #257108=ORIENTED_EDGE('',*,*,#193611,.T.); #257109=ORIENTED_EDGE('',*,*,#193614,.T.); #257110=ORIENTED_EDGE('',*,*,#193617,.T.); #257111=ORIENTED_EDGE('',*,*,#193620,.T.); #257112=ORIENTED_EDGE('',*,*,#193623,.T.); #257113=ORIENTED_EDGE('',*,*,#193626,.T.); #257114=ORIENTED_EDGE('',*,*,#193629,.T.); #257115=ORIENTED_EDGE('',*,*,#193632,.T.); #257116=ORIENTED_EDGE('',*,*,#193635,.T.); #257117=ORIENTED_EDGE('',*,*,#193638,.T.); #257118=ORIENTED_EDGE('',*,*,#193641,.T.); #257119=ORIENTED_EDGE('',*,*,#193644,.T.); #257120=ORIENTED_EDGE('',*,*,#193647,.T.); #257121=ORIENTED_EDGE('',*,*,#193650,.T.); #257122=ORIENTED_EDGE('',*,*,#193653,.T.); #257123=ORIENTED_EDGE('',*,*,#193656,.T.); #257124=ORIENTED_EDGE('',*,*,#193659,.T.); #257125=ORIENTED_EDGE('',*,*,#196110,.T.); #257126=ORIENTED_EDGE('',*,*,#196107,.T.); #257127=ORIENTED_EDGE('',*,*,#196104,.T.); #257128=ORIENTED_EDGE('',*,*,#196101,.T.); #257129=ORIENTED_EDGE('',*,*,#196098,.T.); #257130=ORIENTED_EDGE('',*,*,#196095,.T.); #257131=ORIENTED_EDGE('',*,*,#196092,.T.); #257132=ORIENTED_EDGE('',*,*,#196089,.T.); #257133=ORIENTED_EDGE('',*,*,#196086,.T.); #257134=ORIENTED_EDGE('',*,*,#196083,.T.); #257135=ORIENTED_EDGE('',*,*,#196080,.T.); #257136=ORIENTED_EDGE('',*,*,#196077,.T.); #257137=ORIENTED_EDGE('',*,*,#196074,.T.); #257138=ORIENTED_EDGE('',*,*,#196071,.T.); #257139=ORIENTED_EDGE('',*,*,#196068,.T.); #257140=ORIENTED_EDGE('',*,*,#196065,.T.); #257141=ORIENTED_EDGE('',*,*,#196062,.T.); #257142=ORIENTED_EDGE('',*,*,#196059,.T.); #257143=ORIENTED_EDGE('',*,*,#196056,.T.); #257144=ORIENTED_EDGE('',*,*,#196053,.T.); #257145=ORIENTED_EDGE('',*,*,#196050,.T.); #257146=ORIENTED_EDGE('',*,*,#196047,.T.); #257147=ORIENTED_EDGE('',*,*,#196044,.T.); #257148=ORIENTED_EDGE('',*,*,#196041,.T.); #257149=ORIENTED_EDGE('',*,*,#196038,.T.); #257150=ORIENTED_EDGE('',*,*,#196035,.T.); #257151=ORIENTED_EDGE('',*,*,#196032,.T.); #257152=ORIENTED_EDGE('',*,*,#196029,.T.); #257153=ORIENTED_EDGE('',*,*,#196026,.T.); #257154=ORIENTED_EDGE('',*,*,#196023,.T.); #257155=ORIENTED_EDGE('',*,*,#196020,.T.); #257156=ORIENTED_EDGE('',*,*,#196017,.T.); #257157=ORIENTED_EDGE('',*,*,#196014,.T.); #257158=ORIENTED_EDGE('',*,*,#196011,.T.); #257159=ORIENTED_EDGE('',*,*,#196008,.T.); #257160=ORIENTED_EDGE('',*,*,#196005,.T.); #257161=ORIENTED_EDGE('',*,*,#196002,.T.); #257162=ORIENTED_EDGE('',*,*,#195999,.T.); #257163=ORIENTED_EDGE('',*,*,#195996,.T.); #257164=ORIENTED_EDGE('',*,*,#195993,.T.); #257165=ORIENTED_EDGE('',*,*,#195990,.T.); #257166=ORIENTED_EDGE('',*,*,#195987,.T.); #257167=ORIENTED_EDGE('',*,*,#195984,.T.); #257168=ORIENTED_EDGE('',*,*,#195981,.T.); #257169=ORIENTED_EDGE('',*,*,#195978,.T.); #257170=ORIENTED_EDGE('',*,*,#195975,.T.); #257171=ORIENTED_EDGE('',*,*,#195972,.T.); #257172=ORIENTED_EDGE('',*,*,#195969,.T.); #257173=ORIENTED_EDGE('',*,*,#195966,.T.); #257174=ORIENTED_EDGE('',*,*,#195963,.T.); #257175=ORIENTED_EDGE('',*,*,#195960,.T.); #257176=ORIENTED_EDGE('',*,*,#195957,.T.); #257177=ORIENTED_EDGE('',*,*,#195954,.T.); #257178=ORIENTED_EDGE('',*,*,#195951,.T.); #257179=ORIENTED_EDGE('',*,*,#195948,.T.); #257180=ORIENTED_EDGE('',*,*,#195945,.T.); #257181=ORIENTED_EDGE('',*,*,#195942,.T.); #257182=ORIENTED_EDGE('',*,*,#195939,.T.); #257183=ORIENTED_EDGE('',*,*,#195936,.T.); #257184=ORIENTED_EDGE('',*,*,#195933,.T.); #257185=ORIENTED_EDGE('',*,*,#195930,.T.); #257186=ORIENTED_EDGE('',*,*,#195927,.T.); #257187=ORIENTED_EDGE('',*,*,#195924,.T.); #257188=ORIENTED_EDGE('',*,*,#195921,.T.); #257189=ORIENTED_EDGE('',*,*,#195918,.T.); #257190=ORIENTED_EDGE('',*,*,#195915,.T.); #257191=ORIENTED_EDGE('',*,*,#195912,.T.); #257192=ORIENTED_EDGE('',*,*,#195909,.T.); #257193=ORIENTED_EDGE('',*,*,#195906,.T.); #257194=ORIENTED_EDGE('',*,*,#195903,.T.); #257195=ORIENTED_EDGE('',*,*,#195900,.T.); #257196=ORIENTED_EDGE('',*,*,#195897,.T.); #257197=ORIENTED_EDGE('',*,*,#195894,.T.); #257198=ORIENTED_EDGE('',*,*,#195891,.T.); #257199=ORIENTED_EDGE('',*,*,#195888,.T.); #257200=ORIENTED_EDGE('',*,*,#195885,.T.); #257201=ORIENTED_EDGE('',*,*,#195882,.T.); #257202=ORIENTED_EDGE('',*,*,#195879,.T.); #257203=ORIENTED_EDGE('',*,*,#195876,.T.); #257204=ORIENTED_EDGE('',*,*,#195873,.T.); #257205=ORIENTED_EDGE('',*,*,#195870,.T.); #257206=ORIENTED_EDGE('',*,*,#195867,.T.); #257207=ORIENTED_EDGE('',*,*,#195864,.T.); #257208=ORIENTED_EDGE('',*,*,#195861,.T.); #257209=ORIENTED_EDGE('',*,*,#195858,.T.); #257210=ORIENTED_EDGE('',*,*,#195855,.T.); #257211=ORIENTED_EDGE('',*,*,#195852,.T.); #257212=ORIENTED_EDGE('',*,*,#195849,.T.); #257213=ORIENTED_EDGE('',*,*,#195846,.T.); #257214=ORIENTED_EDGE('',*,*,#195843,.T.); #257215=ORIENTED_EDGE('',*,*,#195840,.T.); #257216=ORIENTED_EDGE('',*,*,#195837,.T.); #257217=ORIENTED_EDGE('',*,*,#195834,.T.); #257218=ORIENTED_EDGE('',*,*,#195831,.T.); #257219=ORIENTED_EDGE('',*,*,#195828,.T.); #257220=ORIENTED_EDGE('',*,*,#195825,.T.); #257221=ORIENTED_EDGE('',*,*,#195822,.T.); #257222=ORIENTED_EDGE('',*,*,#195819,.T.); #257223=ORIENTED_EDGE('',*,*,#195816,.T.); #257224=ORIENTED_EDGE('',*,*,#195813,.T.); #257225=ORIENTED_EDGE('',*,*,#195810,.T.); #257226=ORIENTED_EDGE('',*,*,#195807,.T.); #257227=ORIENTED_EDGE('',*,*,#195804,.T.); #257228=ORIENTED_EDGE('',*,*,#195801,.T.); #257229=ORIENTED_EDGE('',*,*,#195798,.T.); #257230=ORIENTED_EDGE('',*,*,#195795,.T.); #257231=ORIENTED_EDGE('',*,*,#195792,.T.); #257232=ORIENTED_EDGE('',*,*,#195789,.T.); #257233=ORIENTED_EDGE('',*,*,#195786,.T.); #257234=ORIENTED_EDGE('',*,*,#195783,.T.); #257235=ORIENTED_EDGE('',*,*,#195780,.T.); #257236=ORIENTED_EDGE('',*,*,#195777,.T.); #257237=ORIENTED_EDGE('',*,*,#195774,.T.); #257238=ORIENTED_EDGE('',*,*,#195771,.T.); #257239=ORIENTED_EDGE('',*,*,#195768,.T.); #257240=ORIENTED_EDGE('',*,*,#195765,.T.); #257241=ORIENTED_EDGE('',*,*,#195762,.T.); #257242=ORIENTED_EDGE('',*,*,#195759,.T.); #257243=ORIENTED_EDGE('',*,*,#195756,.T.); #257244=ORIENTED_EDGE('',*,*,#195753,.T.); #257245=ORIENTED_EDGE('',*,*,#195750,.T.); #257246=ORIENTED_EDGE('',*,*,#195747,.T.); #257247=ORIENTED_EDGE('',*,*,#195744,.T.); #257248=ORIENTED_EDGE('',*,*,#195741,.T.); #257249=ORIENTED_EDGE('',*,*,#195738,.T.); #257250=ORIENTED_EDGE('',*,*,#195735,.T.); #257251=ORIENTED_EDGE('',*,*,#195732,.T.); #257252=ORIENTED_EDGE('',*,*,#195729,.T.); #257253=ORIENTED_EDGE('',*,*,#195726,.T.); #257254=ORIENTED_EDGE('',*,*,#195723,.T.); #257255=ORIENTED_EDGE('',*,*,#195720,.T.); #257256=ORIENTED_EDGE('',*,*,#195717,.T.); #257257=ORIENTED_EDGE('',*,*,#195714,.T.); #257258=ORIENTED_EDGE('',*,*,#195711,.T.); #257259=ORIENTED_EDGE('',*,*,#195708,.T.); #257260=ORIENTED_EDGE('',*,*,#195705,.T.); #257261=ORIENTED_EDGE('',*,*,#195702,.T.); #257262=ORIENTED_EDGE('',*,*,#195699,.T.); #257263=ORIENTED_EDGE('',*,*,#195696,.T.); #257264=ORIENTED_EDGE('',*,*,#195693,.T.); #257265=ORIENTED_EDGE('',*,*,#195690,.T.); #257266=ORIENTED_EDGE('',*,*,#195687,.T.); #257267=ORIENTED_EDGE('',*,*,#195684,.T.); #257268=ORIENTED_EDGE('',*,*,#195681,.T.); #257269=ORIENTED_EDGE('',*,*,#195678,.T.); #257270=ORIENTED_EDGE('',*,*,#195675,.T.); #257271=ORIENTED_EDGE('',*,*,#195672,.T.); #257272=ORIENTED_EDGE('',*,*,#195669,.T.); #257273=ORIENTED_EDGE('',*,*,#195666,.T.); #257274=ORIENTED_EDGE('',*,*,#195663,.T.); #257275=ORIENTED_EDGE('',*,*,#195660,.T.); #257276=ORIENTED_EDGE('',*,*,#195657,.T.); #257277=ORIENTED_EDGE('',*,*,#195654,.T.); #257278=ORIENTED_EDGE('',*,*,#195651,.T.); #257279=ORIENTED_EDGE('',*,*,#195648,.T.); #257280=ORIENTED_EDGE('',*,*,#195645,.T.); #257281=ORIENTED_EDGE('',*,*,#195642,.T.); #257282=ORIENTED_EDGE('',*,*,#195639,.T.); #257283=ORIENTED_EDGE('',*,*,#195636,.T.); #257284=ORIENTED_EDGE('',*,*,#195633,.T.); #257285=ORIENTED_EDGE('',*,*,#195630,.T.); #257286=ORIENTED_EDGE('',*,*,#195627,.T.); #257287=ORIENTED_EDGE('',*,*,#195624,.T.); #257288=ORIENTED_EDGE('',*,*,#195621,.T.); #257289=ORIENTED_EDGE('',*,*,#195618,.T.); #257290=ORIENTED_EDGE('',*,*,#195615,.T.); #257291=ORIENTED_EDGE('',*,*,#195612,.T.); #257292=ORIENTED_EDGE('',*,*,#195609,.T.); #257293=ORIENTED_EDGE('',*,*,#195606,.T.); #257294=ORIENTED_EDGE('',*,*,#195603,.T.); #257295=ORIENTED_EDGE('',*,*,#195600,.T.); #257296=ORIENTED_EDGE('',*,*,#195597,.T.); #257297=ORIENTED_EDGE('',*,*,#195594,.T.); #257298=ORIENTED_EDGE('',*,*,#195591,.T.); #257299=ORIENTED_EDGE('',*,*,#195588,.T.); #257300=ORIENTED_EDGE('',*,*,#195585,.T.); #257301=ORIENTED_EDGE('',*,*,#195582,.T.); #257302=ORIENTED_EDGE('',*,*,#195579,.T.); #257303=ORIENTED_EDGE('',*,*,#195576,.T.); #257304=ORIENTED_EDGE('',*,*,#195573,.T.); #257305=ORIENTED_EDGE('',*,*,#195570,.T.); #257306=ORIENTED_EDGE('',*,*,#195567,.T.); #257307=ORIENTED_EDGE('',*,*,#195564,.T.); #257308=ORIENTED_EDGE('',*,*,#195561,.T.); #257309=ORIENTED_EDGE('',*,*,#195558,.T.); #257310=ORIENTED_EDGE('',*,*,#195555,.T.); #257311=ORIENTED_EDGE('',*,*,#195552,.T.); #257312=ORIENTED_EDGE('',*,*,#195549,.T.); #257313=ORIENTED_EDGE('',*,*,#195546,.T.); #257314=ORIENTED_EDGE('',*,*,#195543,.T.); #257315=ORIENTED_EDGE('',*,*,#195540,.T.); #257316=ORIENTED_EDGE('',*,*,#195537,.T.); #257317=ORIENTED_EDGE('',*,*,#195534,.T.); #257318=ORIENTED_EDGE('',*,*,#195531,.T.); #257319=ORIENTED_EDGE('',*,*,#195528,.T.); #257320=ORIENTED_EDGE('',*,*,#195525,.T.); #257321=ORIENTED_EDGE('',*,*,#195522,.T.); #257322=ORIENTED_EDGE('',*,*,#195519,.T.); #257323=ORIENTED_EDGE('',*,*,#195516,.T.); #257324=ORIENTED_EDGE('',*,*,#195513,.T.); #257325=ORIENTED_EDGE('',*,*,#195510,.T.); #257326=ORIENTED_EDGE('',*,*,#195507,.T.); #257327=ORIENTED_EDGE('',*,*,#195504,.T.); #257328=ORIENTED_EDGE('',*,*,#195501,.T.); #257329=ORIENTED_EDGE('',*,*,#195498,.T.); #257330=ORIENTED_EDGE('',*,*,#195495,.T.); #257331=ORIENTED_EDGE('',*,*,#195492,.T.); #257332=ORIENTED_EDGE('',*,*,#195489,.T.); #257333=ORIENTED_EDGE('',*,*,#195486,.T.); #257334=ORIENTED_EDGE('',*,*,#195483,.T.); #257335=ORIENTED_EDGE('',*,*,#195480,.T.); #257336=ORIENTED_EDGE('',*,*,#195477,.T.); #257337=ORIENTED_EDGE('',*,*,#195474,.T.); #257338=ORIENTED_EDGE('',*,*,#195471,.T.); #257339=ORIENTED_EDGE('',*,*,#195468,.T.); #257340=ORIENTED_EDGE('',*,*,#195465,.T.); #257341=ORIENTED_EDGE('',*,*,#195462,.T.); #257342=ORIENTED_EDGE('',*,*,#195459,.T.); #257343=ORIENTED_EDGE('',*,*,#195456,.T.); #257344=ORIENTED_EDGE('',*,*,#195453,.T.); #257345=ORIENTED_EDGE('',*,*,#195450,.T.); #257346=ORIENTED_EDGE('',*,*,#195447,.T.); #257347=ORIENTED_EDGE('',*,*,#195444,.T.); #257348=ORIENTED_EDGE('',*,*,#195441,.T.); #257349=ORIENTED_EDGE('',*,*,#195438,.T.); #257350=ORIENTED_EDGE('',*,*,#195435,.T.); #257351=ORIENTED_EDGE('',*,*,#195432,.T.); #257352=ORIENTED_EDGE('',*,*,#195429,.T.); #257353=ORIENTED_EDGE('',*,*,#195426,.T.); #257354=ORIENTED_EDGE('',*,*,#195423,.T.); #257355=ORIENTED_EDGE('',*,*,#195420,.T.); #257356=ORIENTED_EDGE('',*,*,#195417,.T.); #257357=ORIENTED_EDGE('',*,*,#195414,.T.); #257358=ORIENTED_EDGE('',*,*,#195411,.T.); #257359=ORIENTED_EDGE('',*,*,#195408,.T.); #257360=ORIENTED_EDGE('',*,*,#195405,.T.); #257361=ORIENTED_EDGE('',*,*,#195402,.T.); #257362=ORIENTED_EDGE('',*,*,#195399,.T.); #257363=ORIENTED_EDGE('',*,*,#195396,.T.); #257364=ORIENTED_EDGE('',*,*,#195393,.T.); #257365=ORIENTED_EDGE('',*,*,#195390,.T.); #257366=ORIENTED_EDGE('',*,*,#195387,.T.); #257367=ORIENTED_EDGE('',*,*,#195384,.T.); #257368=ORIENTED_EDGE('',*,*,#195381,.T.); #257369=ORIENTED_EDGE('',*,*,#195378,.T.); #257370=ORIENTED_EDGE('',*,*,#195375,.T.); #257371=ORIENTED_EDGE('',*,*,#195372,.T.); #257372=ORIENTED_EDGE('',*,*,#195369,.T.); #257373=ORIENTED_EDGE('',*,*,#195366,.T.); #257374=ORIENTED_EDGE('',*,*,#195363,.T.); #257375=ORIENTED_EDGE('',*,*,#195360,.T.); #257376=ORIENTED_EDGE('',*,*,#195357,.T.); #257377=ORIENTED_EDGE('',*,*,#195354,.T.); #257378=ORIENTED_EDGE('',*,*,#195351,.T.); #257379=ORIENTED_EDGE('',*,*,#195348,.T.); #257380=ORIENTED_EDGE('',*,*,#195345,.T.); #257381=ORIENTED_EDGE('',*,*,#195342,.T.); #257382=ORIENTED_EDGE('',*,*,#195339,.T.); #257383=ORIENTED_EDGE('',*,*,#195336,.T.); #257384=ORIENTED_EDGE('',*,*,#195333,.T.); #257385=ORIENTED_EDGE('',*,*,#195330,.T.); #257386=ORIENTED_EDGE('',*,*,#195327,.T.); #257387=ORIENTED_EDGE('',*,*,#195324,.T.); #257388=ORIENTED_EDGE('',*,*,#195321,.T.); #257389=ORIENTED_EDGE('',*,*,#195318,.T.); #257390=ORIENTED_EDGE('',*,*,#195315,.T.); #257391=ORIENTED_EDGE('',*,*,#195312,.T.); #257392=ORIENTED_EDGE('',*,*,#195309,.T.); #257393=ORIENTED_EDGE('',*,*,#195306,.T.); #257394=ORIENTED_EDGE('',*,*,#195303,.T.); #257395=ORIENTED_EDGE('',*,*,#195300,.T.); #257396=ORIENTED_EDGE('',*,*,#195297,.T.); #257397=ORIENTED_EDGE('',*,*,#195294,.T.); #257398=ORIENTED_EDGE('',*,*,#195291,.T.); #257399=ORIENTED_EDGE('',*,*,#195288,.T.); #257400=ORIENTED_EDGE('',*,*,#195285,.T.); #257401=ORIENTED_EDGE('',*,*,#195282,.T.); #257402=ORIENTED_EDGE('',*,*,#195279,.T.); #257403=ORIENTED_EDGE('',*,*,#195276,.T.); #257404=ORIENTED_EDGE('',*,*,#195273,.T.); #257405=ORIENTED_EDGE('',*,*,#195270,.T.); #257406=ORIENTED_EDGE('',*,*,#195267,.T.); #257407=ORIENTED_EDGE('',*,*,#195264,.T.); #257408=ORIENTED_EDGE('',*,*,#195261,.T.); #257409=ORIENTED_EDGE('',*,*,#195258,.T.); #257410=ORIENTED_EDGE('',*,*,#195255,.T.); #257411=ORIENTED_EDGE('',*,*,#195252,.T.); #257412=ORIENTED_EDGE('',*,*,#195249,.T.); #257413=ORIENTED_EDGE('',*,*,#195246,.T.); #257414=ORIENTED_EDGE('',*,*,#195243,.T.); #257415=ORIENTED_EDGE('',*,*,#195240,.T.); #257416=ORIENTED_EDGE('',*,*,#195237,.T.); #257417=ORIENTED_EDGE('',*,*,#195234,.T.); #257418=ORIENTED_EDGE('',*,*,#195231,.T.); #257419=ORIENTED_EDGE('',*,*,#195228,.T.); #257420=ORIENTED_EDGE('',*,*,#195225,.T.); #257421=ORIENTED_EDGE('',*,*,#195222,.T.); #257422=ORIENTED_EDGE('',*,*,#195219,.T.); #257423=ORIENTED_EDGE('',*,*,#195216,.T.); #257424=ORIENTED_EDGE('',*,*,#195213,.T.); #257425=ORIENTED_EDGE('',*,*,#195210,.T.); #257426=ORIENTED_EDGE('',*,*,#195207,.T.); #257427=ORIENTED_EDGE('',*,*,#195204,.T.); #257428=ORIENTED_EDGE('',*,*,#195201,.T.); #257429=ORIENTED_EDGE('',*,*,#195198,.T.); #257430=ORIENTED_EDGE('',*,*,#195195,.T.); #257431=ORIENTED_EDGE('',*,*,#195192,.T.); #257432=ORIENTED_EDGE('',*,*,#195189,.T.); #257433=ORIENTED_EDGE('',*,*,#195186,.T.); #257434=ORIENTED_EDGE('',*,*,#195183,.T.); #257435=ORIENTED_EDGE('',*,*,#195180,.T.); #257436=ORIENTED_EDGE('',*,*,#195177,.T.); #257437=ORIENTED_EDGE('',*,*,#195174,.T.); #257438=ORIENTED_EDGE('',*,*,#195171,.T.); #257439=ORIENTED_EDGE('',*,*,#195168,.T.); #257440=ORIENTED_EDGE('',*,*,#195165,.T.); #257441=ORIENTED_EDGE('',*,*,#195162,.T.); #257442=ORIENTED_EDGE('',*,*,#195159,.T.); #257443=ORIENTED_EDGE('',*,*,#195156,.T.); #257444=ORIENTED_EDGE('',*,*,#195153,.T.); #257445=ORIENTED_EDGE('',*,*,#195150,.T.); #257446=ORIENTED_EDGE('',*,*,#195147,.T.); #257447=ORIENTED_EDGE('',*,*,#195144,.T.); #257448=ORIENTED_EDGE('',*,*,#195141,.T.); #257449=ORIENTED_EDGE('',*,*,#195138,.T.); #257450=ORIENTED_EDGE('',*,*,#195135,.T.); #257451=ORIENTED_EDGE('',*,*,#195132,.T.); #257452=ORIENTED_EDGE('',*,*,#195129,.T.); #257453=ORIENTED_EDGE('',*,*,#195126,.T.); #257454=ORIENTED_EDGE('',*,*,#195123,.T.); #257455=ORIENTED_EDGE('',*,*,#195120,.T.); #257456=ORIENTED_EDGE('',*,*,#195117,.T.); #257457=ORIENTED_EDGE('',*,*,#195114,.T.); #257458=ORIENTED_EDGE('',*,*,#195111,.T.); #257459=ORIENTED_EDGE('',*,*,#195108,.T.); #257460=ORIENTED_EDGE('',*,*,#195105,.T.); #257461=ORIENTED_EDGE('',*,*,#195102,.T.); #257462=ORIENTED_EDGE('',*,*,#195099,.T.); #257463=ORIENTED_EDGE('',*,*,#195096,.T.); #257464=ORIENTED_EDGE('',*,*,#195093,.T.); #257465=ORIENTED_EDGE('',*,*,#195090,.T.); #257466=ORIENTED_EDGE('',*,*,#195087,.T.); #257467=ORIENTED_EDGE('',*,*,#195084,.T.); #257468=ORIENTED_EDGE('',*,*,#195081,.T.); #257469=ORIENTED_EDGE('',*,*,#195078,.T.); #257470=ORIENTED_EDGE('',*,*,#195075,.T.); #257471=ORIENTED_EDGE('',*,*,#195072,.T.); #257472=ORIENTED_EDGE('',*,*,#195069,.T.); #257473=ORIENTED_EDGE('',*,*,#195066,.T.); #257474=ORIENTED_EDGE('',*,*,#195063,.T.); #257475=ORIENTED_EDGE('',*,*,#195060,.T.); #257476=ORIENTED_EDGE('',*,*,#195057,.T.); #257477=ORIENTED_EDGE('',*,*,#195054,.T.); #257478=ORIENTED_EDGE('',*,*,#195051,.T.); #257479=ORIENTED_EDGE('',*,*,#195048,.T.); #257480=ORIENTED_EDGE('',*,*,#195045,.T.); #257481=ORIENTED_EDGE('',*,*,#195042,.T.); #257482=ORIENTED_EDGE('',*,*,#195039,.T.); #257483=ORIENTED_EDGE('',*,*,#195036,.T.); #257484=ORIENTED_EDGE('',*,*,#195033,.T.); #257485=ORIENTED_EDGE('',*,*,#195030,.T.); #257486=ORIENTED_EDGE('',*,*,#195027,.T.); #257487=ORIENTED_EDGE('',*,*,#195024,.T.); #257488=ORIENTED_EDGE('',*,*,#195021,.T.); #257489=ORIENTED_EDGE('',*,*,#195018,.T.); #257490=ORIENTED_EDGE('',*,*,#195015,.T.); #257491=ORIENTED_EDGE('',*,*,#195012,.T.); #257492=ORIENTED_EDGE('',*,*,#195009,.T.); #257493=ORIENTED_EDGE('',*,*,#195006,.T.); #257494=ORIENTED_EDGE('',*,*,#195003,.T.); #257495=ORIENTED_EDGE('',*,*,#195000,.T.); #257496=ORIENTED_EDGE('',*,*,#194997,.T.); #257497=ORIENTED_EDGE('',*,*,#194994,.T.); #257498=ORIENTED_EDGE('',*,*,#194991,.T.); #257499=ORIENTED_EDGE('',*,*,#194988,.T.); #257500=ORIENTED_EDGE('',*,*,#194985,.T.); #257501=ORIENTED_EDGE('',*,*,#194982,.T.); #257502=ORIENTED_EDGE('',*,*,#194979,.T.); #257503=ORIENTED_EDGE('',*,*,#194976,.T.); #257504=ORIENTED_EDGE('',*,*,#194973,.T.); #257505=ORIENTED_EDGE('',*,*,#194970,.T.); #257506=ORIENTED_EDGE('',*,*,#194967,.T.); #257507=ORIENTED_EDGE('',*,*,#194964,.T.); #257508=ORIENTED_EDGE('',*,*,#194961,.T.); #257509=ORIENTED_EDGE('',*,*,#194958,.T.); #257510=ORIENTED_EDGE('',*,*,#194955,.T.); #257511=ORIENTED_EDGE('',*,*,#194952,.T.); #257512=ORIENTED_EDGE('',*,*,#194949,.T.); #257513=ORIENTED_EDGE('',*,*,#194946,.T.); #257514=ORIENTED_EDGE('',*,*,#194943,.T.); #257515=ORIENTED_EDGE('',*,*,#194940,.T.); #257516=ORIENTED_EDGE('',*,*,#194937,.T.); #257517=ORIENTED_EDGE('',*,*,#194934,.T.); #257518=ORIENTED_EDGE('',*,*,#194931,.T.); #257519=ORIENTED_EDGE('',*,*,#194928,.T.); #257520=ORIENTED_EDGE('',*,*,#194925,.T.); #257521=ORIENTED_EDGE('',*,*,#194922,.T.); #257522=ORIENTED_EDGE('',*,*,#194919,.T.); #257523=ORIENTED_EDGE('',*,*,#194916,.T.); #257524=ORIENTED_EDGE('',*,*,#194913,.T.); #257525=ORIENTED_EDGE('',*,*,#194910,.T.); #257526=ORIENTED_EDGE('',*,*,#194907,.T.); #257527=ORIENTED_EDGE('',*,*,#194904,.T.); #257528=ORIENTED_EDGE('',*,*,#194901,.T.); #257529=ORIENTED_EDGE('',*,*,#194898,.T.); #257530=ORIENTED_EDGE('',*,*,#194895,.T.); #257531=ORIENTED_EDGE('',*,*,#194892,.T.); #257532=ORIENTED_EDGE('',*,*,#194889,.T.); #257533=ORIENTED_EDGE('',*,*,#194886,.T.); #257534=ORIENTED_EDGE('',*,*,#194883,.T.); #257535=ORIENTED_EDGE('',*,*,#194880,.T.); #257536=ORIENTED_EDGE('',*,*,#194877,.T.); #257537=ORIENTED_EDGE('',*,*,#194874,.T.); #257538=ORIENTED_EDGE('',*,*,#194871,.T.); #257539=ORIENTED_EDGE('',*,*,#194868,.T.); #257540=ORIENTED_EDGE('',*,*,#194865,.T.); #257541=ORIENTED_EDGE('',*,*,#194862,.T.); #257542=ORIENTED_EDGE('',*,*,#194859,.T.); #257543=ORIENTED_EDGE('',*,*,#194856,.T.); #257544=ORIENTED_EDGE('',*,*,#194853,.T.); #257545=ORIENTED_EDGE('',*,*,#194850,.T.); #257546=ORIENTED_EDGE('',*,*,#194847,.T.); #257547=ORIENTED_EDGE('',*,*,#194844,.T.); #257548=ORIENTED_EDGE('',*,*,#194841,.T.); #257549=ORIENTED_EDGE('',*,*,#194838,.T.); #257550=ORIENTED_EDGE('',*,*,#194835,.T.); #257551=ORIENTED_EDGE('',*,*,#194832,.T.); #257552=ORIENTED_EDGE('',*,*,#194829,.T.); #257553=ORIENTED_EDGE('',*,*,#194826,.T.); #257554=ORIENTED_EDGE('',*,*,#194823,.T.); #257555=ORIENTED_EDGE('',*,*,#194820,.T.); #257556=ORIENTED_EDGE('',*,*,#194817,.T.); #257557=ORIENTED_EDGE('',*,*,#194814,.T.); #257558=ORIENTED_EDGE('',*,*,#194811,.T.); #257559=ORIENTED_EDGE('',*,*,#194808,.T.); #257560=ORIENTED_EDGE('',*,*,#194805,.T.); #257561=ORIENTED_EDGE('',*,*,#194802,.T.); #257562=ORIENTED_EDGE('',*,*,#194799,.T.); #257563=ORIENTED_EDGE('',*,*,#194796,.T.); #257564=ORIENTED_EDGE('',*,*,#194793,.T.); #257565=ORIENTED_EDGE('',*,*,#194790,.T.); #257566=ORIENTED_EDGE('',*,*,#194787,.T.); #257567=ORIENTED_EDGE('',*,*,#194784,.T.); #257568=ORIENTED_EDGE('',*,*,#194781,.T.); #257569=ORIENTED_EDGE('',*,*,#194778,.T.); #257570=ORIENTED_EDGE('',*,*,#194775,.T.); #257571=ORIENTED_EDGE('',*,*,#194772,.T.); #257572=ORIENTED_EDGE('',*,*,#194769,.T.); #257573=ORIENTED_EDGE('',*,*,#194766,.T.); #257574=ORIENTED_EDGE('',*,*,#194763,.T.); #257575=ORIENTED_EDGE('',*,*,#194760,.T.); #257576=ORIENTED_EDGE('',*,*,#194757,.T.); #257577=ORIENTED_EDGE('',*,*,#194754,.T.); #257578=ORIENTED_EDGE('',*,*,#194751,.T.); #257579=ORIENTED_EDGE('',*,*,#194748,.T.); #257580=ORIENTED_EDGE('',*,*,#194745,.T.); #257581=ORIENTED_EDGE('',*,*,#194742,.T.); #257582=ORIENTED_EDGE('',*,*,#194739,.T.); #257583=ORIENTED_EDGE('',*,*,#194736,.T.); #257584=ORIENTED_EDGE('',*,*,#194733,.T.); #257585=ORIENTED_EDGE('',*,*,#194730,.T.); #257586=ORIENTED_EDGE('',*,*,#194727,.T.); #257587=ORIENTED_EDGE('',*,*,#194724,.T.); #257588=ORIENTED_EDGE('',*,*,#194721,.T.); #257589=ORIENTED_EDGE('',*,*,#194718,.T.); #257590=ORIENTED_EDGE('',*,*,#194715,.T.); #257591=ORIENTED_EDGE('',*,*,#194712,.T.); #257592=ORIENTED_EDGE('',*,*,#194709,.T.); #257593=ORIENTED_EDGE('',*,*,#194706,.T.); #257594=ORIENTED_EDGE('',*,*,#194703,.T.); #257595=ORIENTED_EDGE('',*,*,#194700,.T.); #257596=ORIENTED_EDGE('',*,*,#194697,.T.); #257597=ORIENTED_EDGE('',*,*,#194694,.T.); #257598=ORIENTED_EDGE('',*,*,#194691,.T.); #257599=ORIENTED_EDGE('',*,*,#194688,.T.); #257600=ORIENTED_EDGE('',*,*,#194685,.T.); #257601=ORIENTED_EDGE('',*,*,#194682,.T.); #257602=ORIENTED_EDGE('',*,*,#194679,.T.); #257603=ORIENTED_EDGE('',*,*,#194676,.T.); #257604=ORIENTED_EDGE('',*,*,#194673,.T.); #257605=ORIENTED_EDGE('',*,*,#194670,.T.); #257606=ORIENTED_EDGE('',*,*,#194667,.T.); #257607=ORIENTED_EDGE('',*,*,#194664,.T.); #257608=ORIENTED_EDGE('',*,*,#194661,.T.); #257609=ORIENTED_EDGE('',*,*,#194658,.T.); #257610=ORIENTED_EDGE('',*,*,#194655,.T.); #257611=ORIENTED_EDGE('',*,*,#194652,.T.); #257612=ORIENTED_EDGE('',*,*,#194649,.T.); #257613=ORIENTED_EDGE('',*,*,#194646,.T.); #257614=ORIENTED_EDGE('',*,*,#194643,.T.); #257615=ORIENTED_EDGE('',*,*,#194640,.T.); #257616=ORIENTED_EDGE('',*,*,#194637,.T.); #257617=ORIENTED_EDGE('',*,*,#194634,.T.); #257618=ORIENTED_EDGE('',*,*,#194631,.T.); #257619=ORIENTED_EDGE('',*,*,#194628,.T.); #257620=ORIENTED_EDGE('',*,*,#194625,.T.); #257621=ORIENTED_EDGE('',*,*,#194622,.T.); #257622=ORIENTED_EDGE('',*,*,#194619,.T.); #257623=ORIENTED_EDGE('',*,*,#194616,.T.); #257624=ORIENTED_EDGE('',*,*,#194613,.T.); #257625=ORIENTED_EDGE('',*,*,#194610,.T.); #257626=ORIENTED_EDGE('',*,*,#194607,.T.); #257627=ORIENTED_EDGE('',*,*,#194604,.T.); #257628=ORIENTED_EDGE('',*,*,#194601,.T.); #257629=ORIENTED_EDGE('',*,*,#194598,.T.); #257630=ORIENTED_EDGE('',*,*,#194595,.T.); #257631=ORIENTED_EDGE('',*,*,#194592,.T.); #257632=ORIENTED_EDGE('',*,*,#194589,.T.); #257633=ORIENTED_EDGE('',*,*,#194586,.T.); #257634=ORIENTED_EDGE('',*,*,#194583,.T.); #257635=ORIENTED_EDGE('',*,*,#194580,.T.); #257636=ORIENTED_EDGE('',*,*,#194577,.T.); #257637=ORIENTED_EDGE('',*,*,#194574,.T.); #257638=ORIENTED_EDGE('',*,*,#194571,.T.); #257639=ORIENTED_EDGE('',*,*,#194568,.T.); #257640=ORIENTED_EDGE('',*,*,#194565,.T.); #257641=ORIENTED_EDGE('',*,*,#194562,.T.); #257642=ORIENTED_EDGE('',*,*,#194559,.T.); #257643=ORIENTED_EDGE('',*,*,#194556,.T.); #257644=ORIENTED_EDGE('',*,*,#194553,.T.); #257645=ORIENTED_EDGE('',*,*,#194550,.T.); #257646=ORIENTED_EDGE('',*,*,#194547,.T.); #257647=ORIENTED_EDGE('',*,*,#194544,.T.); #257648=ORIENTED_EDGE('',*,*,#194541,.T.); #257649=ORIENTED_EDGE('',*,*,#194538,.T.); #257650=ORIENTED_EDGE('',*,*,#194535,.T.); #257651=ORIENTED_EDGE('',*,*,#194532,.T.); #257652=ORIENTED_EDGE('',*,*,#194529,.T.); #257653=ORIENTED_EDGE('',*,*,#194526,.T.); #257654=ORIENTED_EDGE('',*,*,#194523,.T.); #257655=ORIENTED_EDGE('',*,*,#194520,.T.); #257656=ORIENTED_EDGE('',*,*,#194517,.T.); #257657=ORIENTED_EDGE('',*,*,#194514,.T.); #257658=ORIENTED_EDGE('',*,*,#194511,.T.); #257659=ORIENTED_EDGE('',*,*,#194508,.T.); #257660=ORIENTED_EDGE('',*,*,#194505,.T.); #257661=ORIENTED_EDGE('',*,*,#194502,.T.); #257662=ORIENTED_EDGE('',*,*,#194499,.T.); #257663=ORIENTED_EDGE('',*,*,#194496,.T.); #257664=ORIENTED_EDGE('',*,*,#194493,.T.); #257665=ORIENTED_EDGE('',*,*,#194490,.T.); #257666=ORIENTED_EDGE('',*,*,#194487,.T.); #257667=ORIENTED_EDGE('',*,*,#194484,.T.); #257668=ORIENTED_EDGE('',*,*,#194481,.T.); #257669=ORIENTED_EDGE('',*,*,#194478,.T.); #257670=ORIENTED_EDGE('',*,*,#194475,.T.); #257671=ORIENTED_EDGE('',*,*,#194472,.T.); #257672=ORIENTED_EDGE('',*,*,#194469,.T.); #257673=ORIENTED_EDGE('',*,*,#194466,.T.); #257674=ORIENTED_EDGE('',*,*,#194463,.T.); #257675=ORIENTED_EDGE('',*,*,#194460,.T.); #257676=ORIENTED_EDGE('',*,*,#194457,.T.); #257677=ORIENTED_EDGE('',*,*,#194454,.T.); #257678=ORIENTED_EDGE('',*,*,#194451,.T.); #257679=ORIENTED_EDGE('',*,*,#194448,.T.); #257680=ORIENTED_EDGE('',*,*,#194445,.T.); #257681=ORIENTED_EDGE('',*,*,#194442,.T.); #257682=ORIENTED_EDGE('',*,*,#194439,.T.); #257683=ORIENTED_EDGE('',*,*,#194436,.T.); #257684=ORIENTED_EDGE('',*,*,#194433,.T.); #257685=ORIENTED_EDGE('',*,*,#194430,.T.); #257686=ORIENTED_EDGE('',*,*,#194427,.T.); #257687=ORIENTED_EDGE('',*,*,#194424,.T.); #257688=ORIENTED_EDGE('',*,*,#194421,.T.); #257689=ORIENTED_EDGE('',*,*,#194418,.T.); #257690=ORIENTED_EDGE('',*,*,#194415,.T.); #257691=ORIENTED_EDGE('',*,*,#194412,.T.); #257692=ORIENTED_EDGE('',*,*,#194409,.T.); #257693=ORIENTED_EDGE('',*,*,#194406,.T.); #257694=ORIENTED_EDGE('',*,*,#194403,.T.); #257695=ORIENTED_EDGE('',*,*,#194400,.T.); #257696=ORIENTED_EDGE('',*,*,#194397,.T.); #257697=ORIENTED_EDGE('',*,*,#194394,.T.); #257698=ORIENTED_EDGE('',*,*,#194391,.T.); #257699=ORIENTED_EDGE('',*,*,#194388,.T.); #257700=ORIENTED_EDGE('',*,*,#194385,.T.); #257701=ORIENTED_EDGE('',*,*,#194382,.T.); #257702=ORIENTED_EDGE('',*,*,#194379,.T.); #257703=ORIENTED_EDGE('',*,*,#194376,.T.); #257704=ORIENTED_EDGE('',*,*,#194373,.T.); #257705=ORIENTED_EDGE('',*,*,#194370,.T.); #257706=ORIENTED_EDGE('',*,*,#194367,.T.); #257707=ORIENTED_EDGE('',*,*,#194364,.T.); #257708=ORIENTED_EDGE('',*,*,#194361,.T.); #257709=ORIENTED_EDGE('',*,*,#194358,.T.); #257710=ORIENTED_EDGE('',*,*,#194355,.T.); #257711=ORIENTED_EDGE('',*,*,#194352,.T.); #257712=ORIENTED_EDGE('',*,*,#194349,.T.); #257713=ORIENTED_EDGE('',*,*,#194346,.T.); #257714=ORIENTED_EDGE('',*,*,#194343,.T.); #257715=ORIENTED_EDGE('',*,*,#194340,.T.); #257716=ORIENTED_EDGE('',*,*,#194337,.T.); #257717=ORIENTED_EDGE('',*,*,#194334,.T.); #257718=ORIENTED_EDGE('',*,*,#194331,.T.); #257719=ORIENTED_EDGE('',*,*,#194328,.T.); #257720=ORIENTED_EDGE('',*,*,#194325,.T.); #257721=ORIENTED_EDGE('',*,*,#194322,.T.); #257722=ORIENTED_EDGE('',*,*,#194319,.T.); #257723=ORIENTED_EDGE('',*,*,#194316,.T.); #257724=ORIENTED_EDGE('',*,*,#194313,.T.); #257725=ORIENTED_EDGE('',*,*,#194310,.T.); #257726=ORIENTED_EDGE('',*,*,#194307,.T.); #257727=ORIENTED_EDGE('',*,*,#194304,.T.); #257728=ORIENTED_EDGE('',*,*,#194301,.T.); #257729=ORIENTED_EDGE('',*,*,#194298,.T.); #257730=ORIENTED_EDGE('',*,*,#194295,.T.); #257731=ORIENTED_EDGE('',*,*,#194292,.T.); #257732=ORIENTED_EDGE('',*,*,#194289,.T.); #257733=ORIENTED_EDGE('',*,*,#194286,.T.); #257734=ORIENTED_EDGE('',*,*,#194283,.T.); #257735=ORIENTED_EDGE('',*,*,#194280,.T.); #257736=ORIENTED_EDGE('',*,*,#194277,.T.); #257737=ORIENTED_EDGE('',*,*,#194274,.T.); #257738=ORIENTED_EDGE('',*,*,#194271,.T.); #257739=ORIENTED_EDGE('',*,*,#194268,.T.); #257740=ORIENTED_EDGE('',*,*,#194265,.T.); #257741=ORIENTED_EDGE('',*,*,#194262,.T.); #257742=ORIENTED_EDGE('',*,*,#194259,.T.); #257743=ORIENTED_EDGE('',*,*,#194256,.T.); #257744=ORIENTED_EDGE('',*,*,#194253,.T.); #257745=ORIENTED_EDGE('',*,*,#194250,.T.); #257746=ORIENTED_EDGE('',*,*,#194247,.T.); #257747=ORIENTED_EDGE('',*,*,#194244,.T.); #257748=ORIENTED_EDGE('',*,*,#194241,.T.); #257749=ORIENTED_EDGE('',*,*,#194238,.T.); #257750=ORIENTED_EDGE('',*,*,#194235,.T.); #257751=ORIENTED_EDGE('',*,*,#194232,.T.); #257752=ORIENTED_EDGE('',*,*,#194229,.T.); #257753=ORIENTED_EDGE('',*,*,#194226,.T.); #257754=ORIENTED_EDGE('',*,*,#194223,.T.); #257755=ORIENTED_EDGE('',*,*,#194220,.T.); #257756=ORIENTED_EDGE('',*,*,#194217,.T.); #257757=ORIENTED_EDGE('',*,*,#194214,.T.); #257758=ORIENTED_EDGE('',*,*,#194211,.T.); #257759=ORIENTED_EDGE('',*,*,#194208,.T.); #257760=ORIENTED_EDGE('',*,*,#194205,.T.); #257761=ORIENTED_EDGE('',*,*,#194202,.T.); #257762=ORIENTED_EDGE('',*,*,#194199,.T.); #257763=ORIENTED_EDGE('',*,*,#194196,.T.); #257764=ORIENTED_EDGE('',*,*,#194193,.T.); #257765=ORIENTED_EDGE('',*,*,#194190,.T.); #257766=ORIENTED_EDGE('',*,*,#194187,.T.); #257767=ORIENTED_EDGE('',*,*,#194184,.T.); #257768=ORIENTED_EDGE('',*,*,#194181,.T.); #257769=ORIENTED_EDGE('',*,*,#194178,.T.); #257770=ORIENTED_EDGE('',*,*,#194175,.T.); #257771=ORIENTED_EDGE('',*,*,#194172,.T.); #257772=ORIENTED_EDGE('',*,*,#194169,.T.); #257773=ORIENTED_EDGE('',*,*,#194166,.T.); #257774=ORIENTED_EDGE('',*,*,#194163,.T.); #257775=ORIENTED_EDGE('',*,*,#194160,.T.); #257776=ORIENTED_EDGE('',*,*,#194157,.T.); #257777=ORIENTED_EDGE('',*,*,#194154,.T.); #257778=ORIENTED_EDGE('',*,*,#194151,.T.); #257779=ORIENTED_EDGE('',*,*,#194148,.T.); #257780=ORIENTED_EDGE('',*,*,#194145,.T.); #257781=ORIENTED_EDGE('',*,*,#194142,.T.); #257782=ORIENTED_EDGE('',*,*,#194139,.T.); #257783=ORIENTED_EDGE('',*,*,#194136,.T.); #257784=ORIENTED_EDGE('',*,*,#194133,.T.); #257785=ORIENTED_EDGE('',*,*,#194130,.T.); #257786=ORIENTED_EDGE('',*,*,#194127,.T.); #257787=ORIENTED_EDGE('',*,*,#194124,.T.); #257788=ORIENTED_EDGE('',*,*,#194121,.T.); #257789=ORIENTED_EDGE('',*,*,#194118,.T.); #257790=ORIENTED_EDGE('',*,*,#194115,.T.); #257791=ORIENTED_EDGE('',*,*,#194112,.T.); #257792=ORIENTED_EDGE('',*,*,#194109,.T.); #257793=ORIENTED_EDGE('',*,*,#194106,.T.); #257794=ORIENTED_EDGE('',*,*,#194103,.T.); #257795=ORIENTED_EDGE('',*,*,#194100,.T.); #257796=ORIENTED_EDGE('',*,*,#194097,.T.); #257797=ORIENTED_EDGE('',*,*,#194094,.T.); #257798=ORIENTED_EDGE('',*,*,#194091,.T.); #257799=ORIENTED_EDGE('',*,*,#194088,.T.); #257800=ORIENTED_EDGE('',*,*,#194085,.T.); #257801=ORIENTED_EDGE('',*,*,#194082,.T.); #257802=ORIENTED_EDGE('',*,*,#194079,.T.); #257803=ORIENTED_EDGE('',*,*,#194076,.T.); #257804=ORIENTED_EDGE('',*,*,#194073,.T.); #257805=ORIENTED_EDGE('',*,*,#194070,.T.); #257806=ORIENTED_EDGE('',*,*,#194067,.T.); #257807=ORIENTED_EDGE('',*,*,#194064,.T.); #257808=ORIENTED_EDGE('',*,*,#194061,.T.); #257809=ORIENTED_EDGE('',*,*,#194058,.T.); #257810=ORIENTED_EDGE('',*,*,#194055,.T.); #257811=ORIENTED_EDGE('',*,*,#194052,.T.); #257812=ORIENTED_EDGE('',*,*,#194049,.T.); #257813=ORIENTED_EDGE('',*,*,#194046,.T.); #257814=ORIENTED_EDGE('',*,*,#194043,.T.); #257815=ORIENTED_EDGE('',*,*,#194040,.T.); #257816=ORIENTED_EDGE('',*,*,#194037,.T.); #257817=ORIENTED_EDGE('',*,*,#194034,.T.); #257818=ORIENTED_EDGE('',*,*,#194031,.T.); #257819=ORIENTED_EDGE('',*,*,#194028,.T.); #257820=ORIENTED_EDGE('',*,*,#194025,.T.); #257821=ORIENTED_EDGE('',*,*,#194022,.T.); #257822=ORIENTED_EDGE('',*,*,#194019,.T.); #257823=ORIENTED_EDGE('',*,*,#194016,.T.); #257824=ORIENTED_EDGE('',*,*,#194013,.T.); #257825=ORIENTED_EDGE('',*,*,#194010,.T.); #257826=ORIENTED_EDGE('',*,*,#194007,.T.); #257827=ORIENTED_EDGE('',*,*,#194004,.T.); #257828=ORIENTED_EDGE('',*,*,#194001,.T.); #257829=ORIENTED_EDGE('',*,*,#193998,.T.); #257830=ORIENTED_EDGE('',*,*,#193995,.T.); #257831=ORIENTED_EDGE('',*,*,#193992,.T.); #257832=ORIENTED_EDGE('',*,*,#193989,.T.); #257833=ORIENTED_EDGE('',*,*,#193986,.T.); #257834=ORIENTED_EDGE('',*,*,#193983,.T.); #257835=ORIENTED_EDGE('',*,*,#193980,.T.); #257836=ORIENTED_EDGE('',*,*,#193977,.T.); #257837=ORIENTED_EDGE('',*,*,#193974,.T.); #257838=ORIENTED_EDGE('',*,*,#193971,.T.); #257839=ORIENTED_EDGE('',*,*,#193968,.T.); #257840=ORIENTED_EDGE('',*,*,#193965,.T.); #257841=ORIENTED_EDGE('',*,*,#193962,.T.); #257842=ORIENTED_EDGE('',*,*,#193959,.T.); #257843=ORIENTED_EDGE('',*,*,#193956,.T.); #257844=ORIENTED_EDGE('',*,*,#193953,.T.); #257845=ORIENTED_EDGE('',*,*,#193950,.T.); #257846=ORIENTED_EDGE('',*,*,#193947,.T.); #257847=ORIENTED_EDGE('',*,*,#193944,.T.); #257848=ORIENTED_EDGE('',*,*,#193941,.T.); #257849=ORIENTED_EDGE('',*,*,#193938,.T.); #257850=ORIENTED_EDGE('',*,*,#193935,.T.); #257851=ORIENTED_EDGE('',*,*,#193932,.T.); #257852=ORIENTED_EDGE('',*,*,#193929,.T.); #257853=ORIENTED_EDGE('',*,*,#193926,.T.); #257854=ORIENTED_EDGE('',*,*,#193923,.T.); #257855=ORIENTED_EDGE('',*,*,#193920,.T.); #257856=ORIENTED_EDGE('',*,*,#193917,.T.); #257857=ORIENTED_EDGE('',*,*,#193914,.T.); #257858=ORIENTED_EDGE('',*,*,#193911,.T.); #257859=ORIENTED_EDGE('',*,*,#193908,.T.); #257860=ORIENTED_EDGE('',*,*,#193905,.T.); #257861=ORIENTED_EDGE('',*,*,#193902,.T.); #257862=ORIENTED_EDGE('',*,*,#193899,.T.); #257863=ORIENTED_EDGE('',*,*,#193896,.T.); #257864=ORIENTED_EDGE('',*,*,#193893,.T.); #257865=ORIENTED_EDGE('',*,*,#193890,.T.); #257866=ORIENTED_EDGE('',*,*,#193887,.T.); #257867=ORIENTED_EDGE('',*,*,#193884,.T.); #257868=ORIENTED_EDGE('',*,*,#193881,.T.); #257869=ORIENTED_EDGE('',*,*,#193878,.T.); #257870=ORIENTED_EDGE('',*,*,#193875,.T.); #257871=ORIENTED_EDGE('',*,*,#193872,.T.); #257872=ORIENTED_EDGE('',*,*,#193869,.T.); #257873=ORIENTED_EDGE('',*,*,#193866,.T.); #257874=ORIENTED_EDGE('',*,*,#193863,.T.); #257875=ORIENTED_EDGE('',*,*,#193860,.T.); #257876=ORIENTED_EDGE('',*,*,#193857,.T.); #257877=ORIENTED_EDGE('',*,*,#193854,.T.); #257878=ORIENTED_EDGE('',*,*,#193851,.T.); #257879=ORIENTED_EDGE('',*,*,#193848,.T.); #257880=ORIENTED_EDGE('',*,*,#193845,.T.); #257881=ORIENTED_EDGE('',*,*,#193842,.T.); #257882=ORIENTED_EDGE('',*,*,#193839,.T.); #257883=ORIENTED_EDGE('',*,*,#193836,.T.); #257884=ORIENTED_EDGE('',*,*,#193833,.T.); #257885=ORIENTED_EDGE('',*,*,#193830,.T.); #257886=ORIENTED_EDGE('',*,*,#193827,.T.); #257887=ORIENTED_EDGE('',*,*,#193824,.T.); #257888=ORIENTED_EDGE('',*,*,#193821,.T.); #257889=ORIENTED_EDGE('',*,*,#193818,.T.); #257890=ORIENTED_EDGE('',*,*,#193815,.T.); #257891=ORIENTED_EDGE('',*,*,#193812,.T.); #257892=ORIENTED_EDGE('',*,*,#193809,.T.); #257893=ORIENTED_EDGE('',*,*,#193806,.T.); #257894=ORIENTED_EDGE('',*,*,#193803,.T.); #257895=ORIENTED_EDGE('',*,*,#193800,.T.); #257896=ORIENTED_EDGE('',*,*,#193797,.T.); #257897=ORIENTED_EDGE('',*,*,#193794,.T.); #257898=ORIENTED_EDGE('',*,*,#193791,.T.); #257899=ORIENTED_EDGE('',*,*,#193788,.T.); #257900=ORIENTED_EDGE('',*,*,#193785,.T.); #257901=ORIENTED_EDGE('',*,*,#193782,.T.); #257902=ORIENTED_EDGE('',*,*,#193779,.T.); #257903=ORIENTED_EDGE('',*,*,#193776,.T.); #257904=ORIENTED_EDGE('',*,*,#193773,.T.); #257905=ORIENTED_EDGE('',*,*,#193770,.T.); #257906=ORIENTED_EDGE('',*,*,#193767,.T.); #257907=ORIENTED_EDGE('',*,*,#193764,.T.); #257908=ORIENTED_EDGE('',*,*,#193761,.T.); #257909=ORIENTED_EDGE('',*,*,#193758,.T.); #257910=ORIENTED_EDGE('',*,*,#193755,.T.); #257911=ORIENTED_EDGE('',*,*,#193752,.T.); #257912=ORIENTED_EDGE('',*,*,#193749,.T.); #257913=ORIENTED_EDGE('',*,*,#193746,.T.); #257914=ORIENTED_EDGE('',*,*,#193743,.T.); #257915=ORIENTED_EDGE('',*,*,#193740,.T.); #257916=ORIENTED_EDGE('',*,*,#193737,.T.); #257917=ORIENTED_EDGE('',*,*,#193734,.T.); #257918=ORIENTED_EDGE('',*,*,#193731,.T.); #257919=ORIENTED_EDGE('',*,*,#193728,.T.); #257920=ORIENTED_EDGE('',*,*,#193725,.T.); #257921=ORIENTED_EDGE('',*,*,#193722,.T.); #257922=ORIENTED_EDGE('',*,*,#193719,.T.); #257923=ORIENTED_EDGE('',*,*,#193716,.T.); #257924=ORIENTED_EDGE('',*,*,#193713,.T.); #257925=ORIENTED_EDGE('',*,*,#193710,.T.); #257926=ORIENTED_EDGE('',*,*,#193707,.T.); #257927=ORIENTED_EDGE('',*,*,#193704,.T.); #257928=ORIENTED_EDGE('',*,*,#193701,.T.); #257929=ORIENTED_EDGE('',*,*,#193698,.T.); #257930=ORIENTED_EDGE('',*,*,#193695,.T.); #257931=ORIENTED_EDGE('',*,*,#193692,.T.); #257932=ORIENTED_EDGE('',*,*,#193689,.T.); #257933=ORIENTED_EDGE('',*,*,#193686,.T.); #257934=ORIENTED_EDGE('',*,*,#193683,.T.); #257935=ORIENTED_EDGE('',*,*,#193680,.T.); #257936=ORIENTED_EDGE('',*,*,#193677,.T.); #257937=ORIENTED_EDGE('',*,*,#193674,.T.); #257938=ORIENTED_EDGE('',*,*,#193671,.T.); #257939=ORIENTED_EDGE('',*,*,#193668,.T.); #257940=ORIENTED_EDGE('',*,*,#193665,.T.); #257941=ORIENTED_EDGE('',*,*,#193662,.T.); #257942=ORIENTED_EDGE('',*,*,#196469,.F.); #257943=ORIENTED_EDGE('',*,*,#196111,.F.); #257944=ORIENTED_EDGE('',*,*,#196115,.F.); #257945=ORIENTED_EDGE('',*,*,#196118,.F.); #257946=ORIENTED_EDGE('',*,*,#196121,.F.); #257947=ORIENTED_EDGE('',*,*,#196124,.F.); #257948=ORIENTED_EDGE('',*,*,#196127,.F.); #257949=ORIENTED_EDGE('',*,*,#196130,.F.); #257950=ORIENTED_EDGE('',*,*,#196133,.F.); #257951=ORIENTED_EDGE('',*,*,#196136,.F.); #257952=ORIENTED_EDGE('',*,*,#196139,.F.); #257953=ORIENTED_EDGE('',*,*,#196142,.F.); #257954=ORIENTED_EDGE('',*,*,#196145,.F.); #257955=ORIENTED_EDGE('',*,*,#196148,.F.); #257956=ORIENTED_EDGE('',*,*,#196151,.F.); #257957=ORIENTED_EDGE('',*,*,#196154,.F.); #257958=ORIENTED_EDGE('',*,*,#196157,.F.); #257959=ORIENTED_EDGE('',*,*,#196160,.F.); #257960=ORIENTED_EDGE('',*,*,#196163,.F.); #257961=ORIENTED_EDGE('',*,*,#196166,.F.); #257962=ORIENTED_EDGE('',*,*,#196169,.F.); #257963=ORIENTED_EDGE('',*,*,#196172,.F.); #257964=ORIENTED_EDGE('',*,*,#196175,.F.); #257965=ORIENTED_EDGE('',*,*,#196178,.F.); #257966=ORIENTED_EDGE('',*,*,#196181,.F.); #257967=ORIENTED_EDGE('',*,*,#196184,.F.); #257968=ORIENTED_EDGE('',*,*,#196187,.F.); #257969=ORIENTED_EDGE('',*,*,#196190,.F.); #257970=ORIENTED_EDGE('',*,*,#196193,.F.); #257971=ORIENTED_EDGE('',*,*,#196196,.F.); #257972=ORIENTED_EDGE('',*,*,#196199,.F.); #257973=ORIENTED_EDGE('',*,*,#196202,.F.); #257974=ORIENTED_EDGE('',*,*,#196205,.F.); #257975=ORIENTED_EDGE('',*,*,#196208,.F.); #257976=ORIENTED_EDGE('',*,*,#196211,.F.); #257977=ORIENTED_EDGE('',*,*,#196214,.F.); #257978=ORIENTED_EDGE('',*,*,#196217,.F.); #257979=ORIENTED_EDGE('',*,*,#196220,.F.); #257980=ORIENTED_EDGE('',*,*,#196223,.F.); #257981=ORIENTED_EDGE('',*,*,#196226,.F.); #257982=ORIENTED_EDGE('',*,*,#196229,.F.); #257983=ORIENTED_EDGE('',*,*,#196232,.F.); #257984=ORIENTED_EDGE('',*,*,#196235,.F.); #257985=ORIENTED_EDGE('',*,*,#196238,.F.); #257986=ORIENTED_EDGE('',*,*,#196241,.F.); #257987=ORIENTED_EDGE('',*,*,#196244,.F.); #257988=ORIENTED_EDGE('',*,*,#196247,.F.); #257989=ORIENTED_EDGE('',*,*,#196250,.F.); #257990=ORIENTED_EDGE('',*,*,#196253,.F.); #257991=ORIENTED_EDGE('',*,*,#196256,.F.); #257992=ORIENTED_EDGE('',*,*,#196259,.F.); #257993=ORIENTED_EDGE('',*,*,#196262,.F.); #257994=ORIENTED_EDGE('',*,*,#196265,.F.); #257995=ORIENTED_EDGE('',*,*,#196268,.F.); #257996=ORIENTED_EDGE('',*,*,#196271,.F.); #257997=ORIENTED_EDGE('',*,*,#196274,.F.); #257998=ORIENTED_EDGE('',*,*,#196277,.F.); #257999=ORIENTED_EDGE('',*,*,#196280,.F.); #258000=ORIENTED_EDGE('',*,*,#196283,.F.); #258001=ORIENTED_EDGE('',*,*,#196286,.F.); #258002=ORIENTED_EDGE('',*,*,#196289,.F.); #258003=ORIENTED_EDGE('',*,*,#196292,.F.); #258004=ORIENTED_EDGE('',*,*,#196295,.F.); #258005=ORIENTED_EDGE('',*,*,#196298,.F.); #258006=ORIENTED_EDGE('',*,*,#196301,.F.); #258007=ORIENTED_EDGE('',*,*,#196304,.F.); #258008=ORIENTED_EDGE('',*,*,#196307,.F.); #258009=ORIENTED_EDGE('',*,*,#196310,.F.); #258010=ORIENTED_EDGE('',*,*,#196313,.F.); #258011=ORIENTED_EDGE('',*,*,#196316,.F.); #258012=ORIENTED_EDGE('',*,*,#196319,.F.); #258013=ORIENTED_EDGE('',*,*,#196322,.F.); #258014=ORIENTED_EDGE('',*,*,#196325,.F.); #258015=ORIENTED_EDGE('',*,*,#196328,.F.); #258016=ORIENTED_EDGE('',*,*,#196331,.F.); #258017=ORIENTED_EDGE('',*,*,#196334,.F.); #258018=ORIENTED_EDGE('',*,*,#196337,.F.); #258019=ORIENTED_EDGE('',*,*,#196340,.F.); #258020=ORIENTED_EDGE('',*,*,#196343,.F.); #258021=ORIENTED_EDGE('',*,*,#196346,.F.); #258022=ORIENTED_EDGE('',*,*,#196349,.F.); #258023=ORIENTED_EDGE('',*,*,#196352,.F.); #258024=ORIENTED_EDGE('',*,*,#196355,.F.); #258025=ORIENTED_EDGE('',*,*,#196358,.F.); #258026=ORIENTED_EDGE('',*,*,#196361,.F.); #258027=ORIENTED_EDGE('',*,*,#196364,.F.); #258028=ORIENTED_EDGE('',*,*,#196367,.F.); #258029=ORIENTED_EDGE('',*,*,#196370,.F.); #258030=ORIENTED_EDGE('',*,*,#196373,.F.); #258031=ORIENTED_EDGE('',*,*,#196376,.F.); #258032=ORIENTED_EDGE('',*,*,#196379,.F.); #258033=ORIENTED_EDGE('',*,*,#196382,.F.); #258034=ORIENTED_EDGE('',*,*,#196385,.F.); #258035=ORIENTED_EDGE('',*,*,#196388,.F.); #258036=ORIENTED_EDGE('',*,*,#196391,.F.); #258037=ORIENTED_EDGE('',*,*,#196394,.F.); #258038=ORIENTED_EDGE('',*,*,#196397,.F.); #258039=ORIENTED_EDGE('',*,*,#196400,.F.); #258040=ORIENTED_EDGE('',*,*,#196403,.F.); #258041=ORIENTED_EDGE('',*,*,#196406,.F.); #258042=ORIENTED_EDGE('',*,*,#196409,.F.); #258043=ORIENTED_EDGE('',*,*,#196412,.F.); #258044=ORIENTED_EDGE('',*,*,#196415,.F.); #258045=ORIENTED_EDGE('',*,*,#196418,.F.); #258046=ORIENTED_EDGE('',*,*,#196421,.F.); #258047=ORIENTED_EDGE('',*,*,#196424,.F.); #258048=ORIENTED_EDGE('',*,*,#196427,.F.); #258049=ORIENTED_EDGE('',*,*,#196430,.F.); #258050=ORIENTED_EDGE('',*,*,#196433,.F.); #258051=ORIENTED_EDGE('',*,*,#196436,.F.); #258052=ORIENTED_EDGE('',*,*,#196439,.F.); #258053=ORIENTED_EDGE('',*,*,#196442,.F.); #258054=ORIENTED_EDGE('',*,*,#196445,.F.); #258055=ORIENTED_EDGE('',*,*,#196448,.F.); #258056=ORIENTED_EDGE('',*,*,#196451,.F.); #258057=ORIENTED_EDGE('',*,*,#196454,.F.); #258058=ORIENTED_EDGE('',*,*,#196457,.F.); #258059=ORIENTED_EDGE('',*,*,#196460,.F.); #258060=ORIENTED_EDGE('',*,*,#196463,.F.); #258061=ORIENTED_EDGE('',*,*,#196466,.F.); #258062=ORIENTED_EDGE('',*,*,#193438,.T.); #258063=ORIENTED_EDGE('',*,*,#193441,.T.); #258064=ORIENTED_EDGE('',*,*,#193444,.T.); #258065=ORIENTED_EDGE('',*,*,#193447,.T.); #258066=ORIENTED_EDGE('',*,*,#193450,.T.); #258067=ORIENTED_EDGE('',*,*,#193453,.T.); #258068=ORIENTED_EDGE('',*,*,#193456,.T.); #258069=ORIENTED_EDGE('',*,*,#193459,.T.); #258070=ORIENTED_EDGE('',*,*,#193462,.T.); #258071=ORIENTED_EDGE('',*,*,#193465,.T.); #258072=ORIENTED_EDGE('',*,*,#193468,.T.); #258073=ORIENTED_EDGE('',*,*,#193471,.T.); #258074=ORIENTED_EDGE('',*,*,#193474,.T.); #258075=ORIENTED_EDGE('',*,*,#193477,.T.); #258076=ORIENTED_EDGE('',*,*,#193480,.T.); #258077=ORIENTED_EDGE('',*,*,#193483,.T.); #258078=ORIENTED_EDGE('',*,*,#193486,.T.); #258079=ORIENTED_EDGE('',*,*,#193489,.T.); #258080=ORIENTED_EDGE('',*,*,#193492,.T.); #258081=ORIENTED_EDGE('',*,*,#193495,.T.); #258082=ORIENTED_EDGE('',*,*,#193498,.T.); #258083=ORIENTED_EDGE('',*,*,#193501,.T.); #258084=ORIENTED_EDGE('',*,*,#193504,.T.); #258085=ORIENTED_EDGE('',*,*,#193507,.T.); #258086=ORIENTED_EDGE('',*,*,#193510,.T.); #258087=ORIENTED_EDGE('',*,*,#193513,.T.); #258088=ORIENTED_EDGE('',*,*,#193516,.T.); #258089=ORIENTED_EDGE('',*,*,#193519,.T.); #258090=ORIENTED_EDGE('',*,*,#193522,.T.); #258091=ORIENTED_EDGE('',*,*,#193525,.T.); #258092=ORIENTED_EDGE('',*,*,#193528,.T.); #258093=ORIENTED_EDGE('',*,*,#193531,.T.); #258094=ORIENTED_EDGE('',*,*,#193534,.T.); #258095=ORIENTED_EDGE('',*,*,#193537,.T.); #258096=ORIENTED_EDGE('',*,*,#193540,.T.); #258097=ORIENTED_EDGE('',*,*,#193551,.T.); #258098=ORIENTED_EDGE('',*,*,#193548,.T.); #258099=ORIENTED_EDGE('',*,*,#193545,.T.); #258100=ORIENTED_EDGE('',*,*,#193554,.T.); #258101=ORIENTED_EDGE('',*,*,#193555,.T.); #258102=ORIENTED_EDGE('',*,*,#193558,.T.); #258103=ORIENTED_EDGE('',*,*,#193561,.T.); #258104=ORIENTED_EDGE('',*,*,#193564,.T.); #258105=ORIENTED_EDGE('',*,*,#193575,.T.); #258106=ORIENTED_EDGE('',*,*,#193572,.T.); #258107=ORIENTED_EDGE('',*,*,#193569,.T.); #258108=ORIENTED_EDGE('',*,*,#193578,.T.); #258109=ORIENTED_EDGE('',*,*,#193587,.T.); #258110=ORIENTED_EDGE('',*,*,#193584,.T.); #258111=ORIENTED_EDGE('',*,*,#193581,.T.); #258112=ORIENTED_EDGE('',*,*,#193590,.T.); #258113=ORIENTED_EDGE('',*,*,#193599,.T.); #258114=ORIENTED_EDGE('',*,*,#193596,.T.); #258115=ORIENTED_EDGE('',*,*,#193593,.T.); #258116=ORIENTED_EDGE('',*,*,#193602,.T.); #258117=ORIENTED_EDGE('',*,*,#193603,.T.); #258118=ORIENTED_EDGE('',*,*,#193606,.T.); #258119=ORIENTED_EDGE('',*,*,#193609,.T.); #258120=ORIENTED_EDGE('',*,*,#193612,.T.); #258121=ORIENTED_EDGE('',*,*,#193615,.T.); #258122=ORIENTED_EDGE('',*,*,#193618,.T.); #258123=ORIENTED_EDGE('',*,*,#193621,.T.); #258124=ORIENTED_EDGE('',*,*,#193624,.T.); #258125=ORIENTED_EDGE('',*,*,#193627,.T.); #258126=ORIENTED_EDGE('',*,*,#193630,.T.); #258127=ORIENTED_EDGE('',*,*,#193633,.T.); #258128=ORIENTED_EDGE('',*,*,#193636,.T.); #258129=ORIENTED_EDGE('',*,*,#193639,.T.); #258130=ORIENTED_EDGE('',*,*,#193642,.T.); #258131=ORIENTED_EDGE('',*,*,#193645,.T.); #258132=ORIENTED_EDGE('',*,*,#193648,.T.); #258133=ORIENTED_EDGE('',*,*,#193651,.T.); #258134=ORIENTED_EDGE('',*,*,#193654,.T.); #258135=ORIENTED_EDGE('',*,*,#193657,.T.); #258136=ORIENTED_EDGE('',*,*,#196109,.F.); #258137=ORIENTED_EDGE('',*,*,#196087,.F.); #258138=ORIENTED_EDGE('',*,*,#196091,.F.); #258139=ORIENTED_EDGE('',*,*,#196094,.F.); #258140=ORIENTED_EDGE('',*,*,#196097,.F.); #258141=ORIENTED_EDGE('',*,*,#196100,.F.); #258142=ORIENTED_EDGE('',*,*,#196103,.F.); #258143=ORIENTED_EDGE('',*,*,#196106,.F.); #258144=ORIENTED_EDGE('',*,*,#196085,.F.); #258145=ORIENTED_EDGE('',*,*,#195730,.F.); #258146=ORIENTED_EDGE('',*,*,#195734,.F.); #258147=ORIENTED_EDGE('',*,*,#195737,.F.); #258148=ORIENTED_EDGE('',*,*,#195740,.F.); #258149=ORIENTED_EDGE('',*,*,#195743,.F.); #258150=ORIENTED_EDGE('',*,*,#195746,.F.); #258151=ORIENTED_EDGE('',*,*,#195749,.F.); #258152=ORIENTED_EDGE('',*,*,#195752,.F.); #258153=ORIENTED_EDGE('',*,*,#195755,.F.); #258154=ORIENTED_EDGE('',*,*,#195758,.F.); #258155=ORIENTED_EDGE('',*,*,#195761,.F.); #258156=ORIENTED_EDGE('',*,*,#195764,.F.); #258157=ORIENTED_EDGE('',*,*,#195767,.F.); #258158=ORIENTED_EDGE('',*,*,#195770,.F.); #258159=ORIENTED_EDGE('',*,*,#195773,.F.); #258160=ORIENTED_EDGE('',*,*,#195776,.F.); #258161=ORIENTED_EDGE('',*,*,#195779,.F.); #258162=ORIENTED_EDGE('',*,*,#195782,.F.); #258163=ORIENTED_EDGE('',*,*,#195785,.F.); #258164=ORIENTED_EDGE('',*,*,#195788,.F.); #258165=ORIENTED_EDGE('',*,*,#195791,.F.); #258166=ORIENTED_EDGE('',*,*,#195794,.F.); #258167=ORIENTED_EDGE('',*,*,#195797,.F.); #258168=ORIENTED_EDGE('',*,*,#195800,.F.); #258169=ORIENTED_EDGE('',*,*,#195803,.F.); #258170=ORIENTED_EDGE('',*,*,#195806,.F.); #258171=ORIENTED_EDGE('',*,*,#195809,.F.); #258172=ORIENTED_EDGE('',*,*,#195812,.F.); #258173=ORIENTED_EDGE('',*,*,#195815,.F.); #258174=ORIENTED_EDGE('',*,*,#195818,.F.); #258175=ORIENTED_EDGE('',*,*,#195821,.F.); #258176=ORIENTED_EDGE('',*,*,#195824,.F.); #258177=ORIENTED_EDGE('',*,*,#195827,.F.); #258178=ORIENTED_EDGE('',*,*,#195830,.F.); #258179=ORIENTED_EDGE('',*,*,#195833,.F.); #258180=ORIENTED_EDGE('',*,*,#195836,.F.); #258181=ORIENTED_EDGE('',*,*,#195839,.F.); #258182=ORIENTED_EDGE('',*,*,#195842,.F.); #258183=ORIENTED_EDGE('',*,*,#195845,.F.); #258184=ORIENTED_EDGE('',*,*,#195848,.F.); #258185=ORIENTED_EDGE('',*,*,#195851,.F.); #258186=ORIENTED_EDGE('',*,*,#195854,.F.); #258187=ORIENTED_EDGE('',*,*,#195857,.F.); #258188=ORIENTED_EDGE('',*,*,#195860,.F.); #258189=ORIENTED_EDGE('',*,*,#195863,.F.); #258190=ORIENTED_EDGE('',*,*,#195866,.F.); #258191=ORIENTED_EDGE('',*,*,#195869,.F.); #258192=ORIENTED_EDGE('',*,*,#195872,.F.); #258193=ORIENTED_EDGE('',*,*,#195875,.F.); #258194=ORIENTED_EDGE('',*,*,#195878,.F.); #258195=ORIENTED_EDGE('',*,*,#195881,.F.); #258196=ORIENTED_EDGE('',*,*,#195884,.F.); #258197=ORIENTED_EDGE('',*,*,#195887,.F.); #258198=ORIENTED_EDGE('',*,*,#195890,.F.); #258199=ORIENTED_EDGE('',*,*,#195893,.F.); #258200=ORIENTED_EDGE('',*,*,#195896,.F.); #258201=ORIENTED_EDGE('',*,*,#195899,.F.); #258202=ORIENTED_EDGE('',*,*,#195902,.F.); #258203=ORIENTED_EDGE('',*,*,#195905,.F.); #258204=ORIENTED_EDGE('',*,*,#195908,.F.); #258205=ORIENTED_EDGE('',*,*,#195911,.F.); #258206=ORIENTED_EDGE('',*,*,#195914,.F.); #258207=ORIENTED_EDGE('',*,*,#195917,.F.); #258208=ORIENTED_EDGE('',*,*,#195920,.F.); #258209=ORIENTED_EDGE('',*,*,#195923,.F.); #258210=ORIENTED_EDGE('',*,*,#195926,.F.); #258211=ORIENTED_EDGE('',*,*,#195929,.F.); #258212=ORIENTED_EDGE('',*,*,#195932,.F.); #258213=ORIENTED_EDGE('',*,*,#195935,.F.); #258214=ORIENTED_EDGE('',*,*,#195938,.F.); #258215=ORIENTED_EDGE('',*,*,#195941,.F.); #258216=ORIENTED_EDGE('',*,*,#195944,.F.); #258217=ORIENTED_EDGE('',*,*,#195947,.F.); #258218=ORIENTED_EDGE('',*,*,#195950,.F.); #258219=ORIENTED_EDGE('',*,*,#195953,.F.); #258220=ORIENTED_EDGE('',*,*,#195956,.F.); #258221=ORIENTED_EDGE('',*,*,#195959,.F.); #258222=ORIENTED_EDGE('',*,*,#195962,.F.); #258223=ORIENTED_EDGE('',*,*,#195965,.F.); #258224=ORIENTED_EDGE('',*,*,#195968,.F.); #258225=ORIENTED_EDGE('',*,*,#195971,.F.); #258226=ORIENTED_EDGE('',*,*,#195974,.F.); #258227=ORIENTED_EDGE('',*,*,#195977,.F.); #258228=ORIENTED_EDGE('',*,*,#195980,.F.); #258229=ORIENTED_EDGE('',*,*,#195983,.F.); #258230=ORIENTED_EDGE('',*,*,#195986,.F.); #258231=ORIENTED_EDGE('',*,*,#195989,.F.); #258232=ORIENTED_EDGE('',*,*,#195992,.F.); #258233=ORIENTED_EDGE('',*,*,#195995,.F.); #258234=ORIENTED_EDGE('',*,*,#195998,.F.); #258235=ORIENTED_EDGE('',*,*,#196001,.F.); #258236=ORIENTED_EDGE('',*,*,#196004,.F.); #258237=ORIENTED_EDGE('',*,*,#196007,.F.); #258238=ORIENTED_EDGE('',*,*,#196010,.F.); #258239=ORIENTED_EDGE('',*,*,#196013,.F.); #258240=ORIENTED_EDGE('',*,*,#196016,.F.); #258241=ORIENTED_EDGE('',*,*,#196019,.F.); #258242=ORIENTED_EDGE('',*,*,#196022,.F.); #258243=ORIENTED_EDGE('',*,*,#196025,.F.); #258244=ORIENTED_EDGE('',*,*,#196028,.F.); #258245=ORIENTED_EDGE('',*,*,#196031,.F.); #258246=ORIENTED_EDGE('',*,*,#196034,.F.); #258247=ORIENTED_EDGE('',*,*,#196037,.F.); #258248=ORIENTED_EDGE('',*,*,#196040,.F.); #258249=ORIENTED_EDGE('',*,*,#196043,.F.); #258250=ORIENTED_EDGE('',*,*,#196046,.F.); #258251=ORIENTED_EDGE('',*,*,#196049,.F.); #258252=ORIENTED_EDGE('',*,*,#196052,.F.); #258253=ORIENTED_EDGE('',*,*,#196055,.F.); #258254=ORIENTED_EDGE('',*,*,#196058,.F.); #258255=ORIENTED_EDGE('',*,*,#196061,.F.); #258256=ORIENTED_EDGE('',*,*,#196064,.F.); #258257=ORIENTED_EDGE('',*,*,#196067,.F.); #258258=ORIENTED_EDGE('',*,*,#196070,.F.); #258259=ORIENTED_EDGE('',*,*,#196073,.F.); #258260=ORIENTED_EDGE('',*,*,#196076,.F.); #258261=ORIENTED_EDGE('',*,*,#196079,.F.); #258262=ORIENTED_EDGE('',*,*,#196082,.F.); #258263=ORIENTED_EDGE('',*,*,#195728,.F.); #258264=ORIENTED_EDGE('',*,*,#193996,.F.); #258265=ORIENTED_EDGE('',*,*,#194000,.F.); #258266=ORIENTED_EDGE('',*,*,#194003,.F.); #258267=ORIENTED_EDGE('',*,*,#194006,.F.); #258268=ORIENTED_EDGE('',*,*,#194009,.F.); #258269=ORIENTED_EDGE('',*,*,#194012,.F.); #258270=ORIENTED_EDGE('',*,*,#194015,.F.); #258271=ORIENTED_EDGE('',*,*,#194018,.F.); #258272=ORIENTED_EDGE('',*,*,#194021,.F.); #258273=ORIENTED_EDGE('',*,*,#194024,.F.); #258274=ORIENTED_EDGE('',*,*,#194027,.F.); #258275=ORIENTED_EDGE('',*,*,#194030,.F.); #258276=ORIENTED_EDGE('',*,*,#194033,.F.); #258277=ORIENTED_EDGE('',*,*,#194036,.F.); #258278=ORIENTED_EDGE('',*,*,#194039,.F.); #258279=ORIENTED_EDGE('',*,*,#194042,.F.); #258280=ORIENTED_EDGE('',*,*,#194045,.F.); #258281=ORIENTED_EDGE('',*,*,#194048,.F.); #258282=ORIENTED_EDGE('',*,*,#194051,.F.); #258283=ORIENTED_EDGE('',*,*,#194054,.F.); #258284=ORIENTED_EDGE('',*,*,#194057,.F.); #258285=ORIENTED_EDGE('',*,*,#194060,.F.); #258286=ORIENTED_EDGE('',*,*,#194063,.F.); #258287=ORIENTED_EDGE('',*,*,#194066,.F.); #258288=ORIENTED_EDGE('',*,*,#194069,.F.); #258289=ORIENTED_EDGE('',*,*,#194072,.F.); #258290=ORIENTED_EDGE('',*,*,#194075,.F.); #258291=ORIENTED_EDGE('',*,*,#194078,.F.); #258292=ORIENTED_EDGE('',*,*,#194081,.F.); #258293=ORIENTED_EDGE('',*,*,#194084,.F.); #258294=ORIENTED_EDGE('',*,*,#194087,.F.); #258295=ORIENTED_EDGE('',*,*,#194090,.F.); #258296=ORIENTED_EDGE('',*,*,#194093,.F.); #258297=ORIENTED_EDGE('',*,*,#194096,.F.); #258298=ORIENTED_EDGE('',*,*,#194099,.F.); #258299=ORIENTED_EDGE('',*,*,#194102,.F.); #258300=ORIENTED_EDGE('',*,*,#194105,.F.); #258301=ORIENTED_EDGE('',*,*,#194108,.F.); #258302=ORIENTED_EDGE('',*,*,#194111,.F.); #258303=ORIENTED_EDGE('',*,*,#194114,.F.); #258304=ORIENTED_EDGE('',*,*,#194117,.F.); #258305=ORIENTED_EDGE('',*,*,#194120,.F.); #258306=ORIENTED_EDGE('',*,*,#194123,.F.); #258307=ORIENTED_EDGE('',*,*,#194126,.F.); #258308=ORIENTED_EDGE('',*,*,#194129,.F.); #258309=ORIENTED_EDGE('',*,*,#194132,.F.); #258310=ORIENTED_EDGE('',*,*,#194135,.F.); #258311=ORIENTED_EDGE('',*,*,#194138,.F.); #258312=ORIENTED_EDGE('',*,*,#194141,.F.); #258313=ORIENTED_EDGE('',*,*,#194144,.F.); #258314=ORIENTED_EDGE('',*,*,#194147,.F.); #258315=ORIENTED_EDGE('',*,*,#194150,.F.); #258316=ORIENTED_EDGE('',*,*,#194153,.F.); #258317=ORIENTED_EDGE('',*,*,#194156,.F.); #258318=ORIENTED_EDGE('',*,*,#194159,.F.); #258319=ORIENTED_EDGE('',*,*,#194162,.F.); #258320=ORIENTED_EDGE('',*,*,#194165,.F.); #258321=ORIENTED_EDGE('',*,*,#194168,.F.); #258322=ORIENTED_EDGE('',*,*,#194171,.F.); #258323=ORIENTED_EDGE('',*,*,#194174,.F.); #258324=ORIENTED_EDGE('',*,*,#194177,.F.); #258325=ORIENTED_EDGE('',*,*,#194180,.F.); #258326=ORIENTED_EDGE('',*,*,#194183,.F.); #258327=ORIENTED_EDGE('',*,*,#194186,.F.); #258328=ORIENTED_EDGE('',*,*,#194189,.F.); #258329=ORIENTED_EDGE('',*,*,#194192,.F.); #258330=ORIENTED_EDGE('',*,*,#194195,.F.); #258331=ORIENTED_EDGE('',*,*,#194198,.F.); #258332=ORIENTED_EDGE('',*,*,#194201,.F.); #258333=ORIENTED_EDGE('',*,*,#194204,.F.); #258334=ORIENTED_EDGE('',*,*,#194207,.F.); #258335=ORIENTED_EDGE('',*,*,#194210,.F.); #258336=ORIENTED_EDGE('',*,*,#194213,.F.); #258337=ORIENTED_EDGE('',*,*,#194216,.F.); #258338=ORIENTED_EDGE('',*,*,#194219,.F.); #258339=ORIENTED_EDGE('',*,*,#194222,.F.); #258340=ORIENTED_EDGE('',*,*,#194225,.F.); #258341=ORIENTED_EDGE('',*,*,#194228,.F.); #258342=ORIENTED_EDGE('',*,*,#194231,.F.); #258343=ORIENTED_EDGE('',*,*,#194234,.F.); #258344=ORIENTED_EDGE('',*,*,#194237,.F.); #258345=ORIENTED_EDGE('',*,*,#194240,.F.); #258346=ORIENTED_EDGE('',*,*,#194243,.F.); #258347=ORIENTED_EDGE('',*,*,#194246,.F.); #258348=ORIENTED_EDGE('',*,*,#194249,.F.); #258349=ORIENTED_EDGE('',*,*,#194252,.F.); #258350=ORIENTED_EDGE('',*,*,#194255,.F.); #258351=ORIENTED_EDGE('',*,*,#194258,.F.); #258352=ORIENTED_EDGE('',*,*,#194261,.F.); #258353=ORIENTED_EDGE('',*,*,#194264,.F.); #258354=ORIENTED_EDGE('',*,*,#194267,.F.); #258355=ORIENTED_EDGE('',*,*,#194270,.F.); #258356=ORIENTED_EDGE('',*,*,#194273,.F.); #258357=ORIENTED_EDGE('',*,*,#194276,.F.); #258358=ORIENTED_EDGE('',*,*,#194279,.F.); #258359=ORIENTED_EDGE('',*,*,#194282,.F.); #258360=ORIENTED_EDGE('',*,*,#194285,.F.); #258361=ORIENTED_EDGE('',*,*,#194288,.F.); #258362=ORIENTED_EDGE('',*,*,#194291,.F.); #258363=ORIENTED_EDGE('',*,*,#194294,.F.); #258364=ORIENTED_EDGE('',*,*,#194297,.F.); #258365=ORIENTED_EDGE('',*,*,#194300,.F.); #258366=ORIENTED_EDGE('',*,*,#194303,.F.); #258367=ORIENTED_EDGE('',*,*,#194306,.F.); #258368=ORIENTED_EDGE('',*,*,#194309,.F.); #258369=ORIENTED_EDGE('',*,*,#194312,.F.); #258370=ORIENTED_EDGE('',*,*,#194315,.F.); #258371=ORIENTED_EDGE('',*,*,#194318,.F.); #258372=ORIENTED_EDGE('',*,*,#194321,.F.); #258373=ORIENTED_EDGE('',*,*,#194324,.F.); #258374=ORIENTED_EDGE('',*,*,#194327,.F.); #258375=ORIENTED_EDGE('',*,*,#194330,.F.); #258376=ORIENTED_EDGE('',*,*,#194333,.F.); #258377=ORIENTED_EDGE('',*,*,#194336,.F.); #258378=ORIENTED_EDGE('',*,*,#194339,.F.); #258379=ORIENTED_EDGE('',*,*,#194342,.F.); #258380=ORIENTED_EDGE('',*,*,#194345,.F.); #258381=ORIENTED_EDGE('',*,*,#194348,.F.); #258382=ORIENTED_EDGE('',*,*,#194351,.F.); #258383=ORIENTED_EDGE('',*,*,#194354,.F.); #258384=ORIENTED_EDGE('',*,*,#194357,.F.); #258385=ORIENTED_EDGE('',*,*,#194360,.F.); #258386=ORIENTED_EDGE('',*,*,#194363,.F.); #258387=ORIENTED_EDGE('',*,*,#194366,.F.); #258388=ORIENTED_EDGE('',*,*,#194369,.F.); #258389=ORIENTED_EDGE('',*,*,#194372,.F.); #258390=ORIENTED_EDGE('',*,*,#194375,.F.); #258391=ORIENTED_EDGE('',*,*,#194378,.F.); #258392=ORIENTED_EDGE('',*,*,#194381,.F.); #258393=ORIENTED_EDGE('',*,*,#194384,.F.); #258394=ORIENTED_EDGE('',*,*,#194387,.F.); #258395=ORIENTED_EDGE('',*,*,#194390,.F.); #258396=ORIENTED_EDGE('',*,*,#194393,.F.); #258397=ORIENTED_EDGE('',*,*,#194396,.F.); #258398=ORIENTED_EDGE('',*,*,#194399,.F.); #258399=ORIENTED_EDGE('',*,*,#194402,.F.); #258400=ORIENTED_EDGE('',*,*,#194405,.F.); #258401=ORIENTED_EDGE('',*,*,#194408,.F.); #258402=ORIENTED_EDGE('',*,*,#194411,.F.); #258403=ORIENTED_EDGE('',*,*,#194414,.F.); #258404=ORIENTED_EDGE('',*,*,#194417,.F.); #258405=ORIENTED_EDGE('',*,*,#194420,.F.); #258406=ORIENTED_EDGE('',*,*,#194423,.F.); #258407=ORIENTED_EDGE('',*,*,#194426,.F.); #258408=ORIENTED_EDGE('',*,*,#194429,.F.); #258409=ORIENTED_EDGE('',*,*,#194432,.F.); #258410=ORIENTED_EDGE('',*,*,#194435,.F.); #258411=ORIENTED_EDGE('',*,*,#194438,.F.); #258412=ORIENTED_EDGE('',*,*,#194441,.F.); #258413=ORIENTED_EDGE('',*,*,#194444,.F.); #258414=ORIENTED_EDGE('',*,*,#194447,.F.); #258415=ORIENTED_EDGE('',*,*,#194450,.F.); #258416=ORIENTED_EDGE('',*,*,#194453,.F.); #258417=ORIENTED_EDGE('',*,*,#194456,.F.); #258418=ORIENTED_EDGE('',*,*,#194459,.F.); #258419=ORIENTED_EDGE('',*,*,#194462,.F.); #258420=ORIENTED_EDGE('',*,*,#194465,.F.); #258421=ORIENTED_EDGE('',*,*,#194468,.F.); #258422=ORIENTED_EDGE('',*,*,#194471,.F.); #258423=ORIENTED_EDGE('',*,*,#194474,.F.); #258424=ORIENTED_EDGE('',*,*,#194477,.F.); #258425=ORIENTED_EDGE('',*,*,#194480,.F.); #258426=ORIENTED_EDGE('',*,*,#194483,.F.); #258427=ORIENTED_EDGE('',*,*,#194486,.F.); #258428=ORIENTED_EDGE('',*,*,#194489,.F.); #258429=ORIENTED_EDGE('',*,*,#194492,.F.); #258430=ORIENTED_EDGE('',*,*,#194495,.F.); #258431=ORIENTED_EDGE('',*,*,#194498,.F.); #258432=ORIENTED_EDGE('',*,*,#194501,.F.); #258433=ORIENTED_EDGE('',*,*,#194504,.F.); #258434=ORIENTED_EDGE('',*,*,#194507,.F.); #258435=ORIENTED_EDGE('',*,*,#194510,.F.); #258436=ORIENTED_EDGE('',*,*,#194513,.F.); #258437=ORIENTED_EDGE('',*,*,#194516,.F.); #258438=ORIENTED_EDGE('',*,*,#194519,.F.); #258439=ORIENTED_EDGE('',*,*,#194522,.F.); #258440=ORIENTED_EDGE('',*,*,#194525,.F.); #258441=ORIENTED_EDGE('',*,*,#194528,.F.); #258442=ORIENTED_EDGE('',*,*,#194531,.F.); #258443=ORIENTED_EDGE('',*,*,#194534,.F.); #258444=ORIENTED_EDGE('',*,*,#194537,.F.); #258445=ORIENTED_EDGE('',*,*,#194540,.F.); #258446=ORIENTED_EDGE('',*,*,#194543,.F.); #258447=ORIENTED_EDGE('',*,*,#194546,.F.); #258448=ORIENTED_EDGE('',*,*,#194549,.F.); #258449=ORIENTED_EDGE('',*,*,#194552,.F.); #258450=ORIENTED_EDGE('',*,*,#194555,.F.); #258451=ORIENTED_EDGE('',*,*,#194558,.F.); #258452=ORIENTED_EDGE('',*,*,#194561,.F.); #258453=ORIENTED_EDGE('',*,*,#194564,.F.); #258454=ORIENTED_EDGE('',*,*,#194567,.F.); #258455=ORIENTED_EDGE('',*,*,#194570,.F.); #258456=ORIENTED_EDGE('',*,*,#194573,.F.); #258457=ORIENTED_EDGE('',*,*,#194576,.F.); #258458=ORIENTED_EDGE('',*,*,#194579,.F.); #258459=ORIENTED_EDGE('',*,*,#194582,.F.); #258460=ORIENTED_EDGE('',*,*,#194585,.F.); #258461=ORIENTED_EDGE('',*,*,#194588,.F.); #258462=ORIENTED_EDGE('',*,*,#194591,.F.); #258463=ORIENTED_EDGE('',*,*,#194594,.F.); #258464=ORIENTED_EDGE('',*,*,#194597,.F.); #258465=ORIENTED_EDGE('',*,*,#194600,.F.); #258466=ORIENTED_EDGE('',*,*,#194603,.F.); #258467=ORIENTED_EDGE('',*,*,#194606,.F.); #258468=ORIENTED_EDGE('',*,*,#194609,.F.); #258469=ORIENTED_EDGE('',*,*,#194612,.F.); #258470=ORIENTED_EDGE('',*,*,#194615,.F.); #258471=ORIENTED_EDGE('',*,*,#194618,.F.); #258472=ORIENTED_EDGE('',*,*,#194621,.F.); #258473=ORIENTED_EDGE('',*,*,#194624,.F.); #258474=ORIENTED_EDGE('',*,*,#194627,.F.); #258475=ORIENTED_EDGE('',*,*,#194630,.F.); #258476=ORIENTED_EDGE('',*,*,#194633,.F.); #258477=ORIENTED_EDGE('',*,*,#194636,.F.); #258478=ORIENTED_EDGE('',*,*,#194639,.F.); #258479=ORIENTED_EDGE('',*,*,#194642,.F.); #258480=ORIENTED_EDGE('',*,*,#194645,.F.); #258481=ORIENTED_EDGE('',*,*,#194648,.F.); #258482=ORIENTED_EDGE('',*,*,#194651,.F.); #258483=ORIENTED_EDGE('',*,*,#194654,.F.); #258484=ORIENTED_EDGE('',*,*,#194657,.F.); #258485=ORIENTED_EDGE('',*,*,#194660,.F.); #258486=ORIENTED_EDGE('',*,*,#194663,.F.); #258487=ORIENTED_EDGE('',*,*,#194666,.F.); #258488=ORIENTED_EDGE('',*,*,#194669,.F.); #258489=ORIENTED_EDGE('',*,*,#194672,.F.); #258490=ORIENTED_EDGE('',*,*,#194675,.F.); #258491=ORIENTED_EDGE('',*,*,#194678,.F.); #258492=ORIENTED_EDGE('',*,*,#194681,.F.); #258493=ORIENTED_EDGE('',*,*,#194684,.F.); #258494=ORIENTED_EDGE('',*,*,#194687,.F.); #258495=ORIENTED_EDGE('',*,*,#194690,.F.); #258496=ORIENTED_EDGE('',*,*,#194693,.F.); #258497=ORIENTED_EDGE('',*,*,#194696,.F.); #258498=ORIENTED_EDGE('',*,*,#194699,.F.); #258499=ORIENTED_EDGE('',*,*,#194702,.F.); #258500=ORIENTED_EDGE('',*,*,#194705,.F.); #258501=ORIENTED_EDGE('',*,*,#194708,.F.); #258502=ORIENTED_EDGE('',*,*,#194711,.F.); #258503=ORIENTED_EDGE('',*,*,#194714,.F.); #258504=ORIENTED_EDGE('',*,*,#194717,.F.); #258505=ORIENTED_EDGE('',*,*,#194720,.F.); #258506=ORIENTED_EDGE('',*,*,#194723,.F.); #258507=ORIENTED_EDGE('',*,*,#194726,.F.); #258508=ORIENTED_EDGE('',*,*,#194729,.F.); #258509=ORIENTED_EDGE('',*,*,#194732,.F.); #258510=ORIENTED_EDGE('',*,*,#194735,.F.); #258511=ORIENTED_EDGE('',*,*,#194738,.F.); #258512=ORIENTED_EDGE('',*,*,#194741,.F.); #258513=ORIENTED_EDGE('',*,*,#194744,.F.); #258514=ORIENTED_EDGE('',*,*,#194747,.F.); #258515=ORIENTED_EDGE('',*,*,#194750,.F.); #258516=ORIENTED_EDGE('',*,*,#194753,.F.); #258517=ORIENTED_EDGE('',*,*,#194756,.F.); #258518=ORIENTED_EDGE('',*,*,#194759,.F.); #258519=ORIENTED_EDGE('',*,*,#194762,.F.); #258520=ORIENTED_EDGE('',*,*,#194765,.F.); #258521=ORIENTED_EDGE('',*,*,#194768,.F.); #258522=ORIENTED_EDGE('',*,*,#194771,.F.); #258523=ORIENTED_EDGE('',*,*,#194774,.F.); #258524=ORIENTED_EDGE('',*,*,#194777,.F.); #258525=ORIENTED_EDGE('',*,*,#194780,.F.); #258526=ORIENTED_EDGE('',*,*,#194783,.F.); #258527=ORIENTED_EDGE('',*,*,#194786,.F.); #258528=ORIENTED_EDGE('',*,*,#194789,.F.); #258529=ORIENTED_EDGE('',*,*,#194792,.F.); #258530=ORIENTED_EDGE('',*,*,#194795,.F.); #258531=ORIENTED_EDGE('',*,*,#194798,.F.); #258532=ORIENTED_EDGE('',*,*,#194801,.F.); #258533=ORIENTED_EDGE('',*,*,#194804,.F.); #258534=ORIENTED_EDGE('',*,*,#194807,.F.); #258535=ORIENTED_EDGE('',*,*,#194810,.F.); #258536=ORIENTED_EDGE('',*,*,#194813,.F.); #258537=ORIENTED_EDGE('',*,*,#194816,.F.); #258538=ORIENTED_EDGE('',*,*,#194819,.F.); #258539=ORIENTED_EDGE('',*,*,#194822,.F.); #258540=ORIENTED_EDGE('',*,*,#194825,.F.); #258541=ORIENTED_EDGE('',*,*,#194828,.F.); #258542=ORIENTED_EDGE('',*,*,#194831,.F.); #258543=ORIENTED_EDGE('',*,*,#194834,.F.); #258544=ORIENTED_EDGE('',*,*,#194837,.F.); #258545=ORIENTED_EDGE('',*,*,#194840,.F.); #258546=ORIENTED_EDGE('',*,*,#194843,.F.); #258547=ORIENTED_EDGE('',*,*,#194846,.F.); #258548=ORIENTED_EDGE('',*,*,#194849,.F.); #258549=ORIENTED_EDGE('',*,*,#194852,.F.); #258550=ORIENTED_EDGE('',*,*,#194855,.F.); #258551=ORIENTED_EDGE('',*,*,#194858,.F.); #258552=ORIENTED_EDGE('',*,*,#194861,.F.); #258553=ORIENTED_EDGE('',*,*,#194864,.F.); #258554=ORIENTED_EDGE('',*,*,#194867,.F.); #258555=ORIENTED_EDGE('',*,*,#194870,.F.); #258556=ORIENTED_EDGE('',*,*,#194873,.F.); #258557=ORIENTED_EDGE('',*,*,#194876,.F.); #258558=ORIENTED_EDGE('',*,*,#194879,.F.); #258559=ORIENTED_EDGE('',*,*,#194882,.F.); #258560=ORIENTED_EDGE('',*,*,#194885,.F.); #258561=ORIENTED_EDGE('',*,*,#194888,.F.); #258562=ORIENTED_EDGE('',*,*,#194891,.F.); #258563=ORIENTED_EDGE('',*,*,#194894,.F.); #258564=ORIENTED_EDGE('',*,*,#194897,.F.); #258565=ORIENTED_EDGE('',*,*,#194900,.F.); #258566=ORIENTED_EDGE('',*,*,#194903,.F.); #258567=ORIENTED_EDGE('',*,*,#194906,.F.); #258568=ORIENTED_EDGE('',*,*,#194909,.F.); #258569=ORIENTED_EDGE('',*,*,#194912,.F.); #258570=ORIENTED_EDGE('',*,*,#194915,.F.); #258571=ORIENTED_EDGE('',*,*,#194918,.F.); #258572=ORIENTED_EDGE('',*,*,#194921,.F.); #258573=ORIENTED_EDGE('',*,*,#194924,.F.); #258574=ORIENTED_EDGE('',*,*,#194927,.F.); #258575=ORIENTED_EDGE('',*,*,#194930,.F.); #258576=ORIENTED_EDGE('',*,*,#194933,.F.); #258577=ORIENTED_EDGE('',*,*,#194936,.F.); #258578=ORIENTED_EDGE('',*,*,#194939,.F.); #258579=ORIENTED_EDGE('',*,*,#194942,.F.); #258580=ORIENTED_EDGE('',*,*,#194945,.F.); #258581=ORIENTED_EDGE('',*,*,#194948,.F.); #258582=ORIENTED_EDGE('',*,*,#194951,.F.); #258583=ORIENTED_EDGE('',*,*,#194954,.F.); #258584=ORIENTED_EDGE('',*,*,#194957,.F.); #258585=ORIENTED_EDGE('',*,*,#194960,.F.); #258586=ORIENTED_EDGE('',*,*,#194963,.F.); #258587=ORIENTED_EDGE('',*,*,#194966,.F.); #258588=ORIENTED_EDGE('',*,*,#194969,.F.); #258589=ORIENTED_EDGE('',*,*,#194972,.F.); #258590=ORIENTED_EDGE('',*,*,#194975,.F.); #258591=ORIENTED_EDGE('',*,*,#194978,.F.); #258592=ORIENTED_EDGE('',*,*,#194981,.F.); #258593=ORIENTED_EDGE('',*,*,#194984,.F.); #258594=ORIENTED_EDGE('',*,*,#194987,.F.); #258595=ORIENTED_EDGE('',*,*,#194990,.F.); #258596=ORIENTED_EDGE('',*,*,#194993,.F.); #258597=ORIENTED_EDGE('',*,*,#194996,.F.); #258598=ORIENTED_EDGE('',*,*,#194999,.F.); #258599=ORIENTED_EDGE('',*,*,#195002,.F.); #258600=ORIENTED_EDGE('',*,*,#195005,.F.); #258601=ORIENTED_EDGE('',*,*,#195008,.F.); #258602=ORIENTED_EDGE('',*,*,#195011,.F.); #258603=ORIENTED_EDGE('',*,*,#195014,.F.); #258604=ORIENTED_EDGE('',*,*,#195017,.F.); #258605=ORIENTED_EDGE('',*,*,#195020,.F.); #258606=ORIENTED_EDGE('',*,*,#195023,.F.); #258607=ORIENTED_EDGE('',*,*,#195026,.F.); #258608=ORIENTED_EDGE('',*,*,#195029,.F.); #258609=ORIENTED_EDGE('',*,*,#195032,.F.); #258610=ORIENTED_EDGE('',*,*,#195035,.F.); #258611=ORIENTED_EDGE('',*,*,#195038,.F.); #258612=ORIENTED_EDGE('',*,*,#195041,.F.); #258613=ORIENTED_EDGE('',*,*,#195044,.F.); #258614=ORIENTED_EDGE('',*,*,#195047,.F.); #258615=ORIENTED_EDGE('',*,*,#195050,.F.); #258616=ORIENTED_EDGE('',*,*,#195053,.F.); #258617=ORIENTED_EDGE('',*,*,#195056,.F.); #258618=ORIENTED_EDGE('',*,*,#195059,.F.); #258619=ORIENTED_EDGE('',*,*,#195062,.F.); #258620=ORIENTED_EDGE('',*,*,#195065,.F.); #258621=ORIENTED_EDGE('',*,*,#195068,.F.); #258622=ORIENTED_EDGE('',*,*,#195071,.F.); #258623=ORIENTED_EDGE('',*,*,#195074,.F.); #258624=ORIENTED_EDGE('',*,*,#195077,.F.); #258625=ORIENTED_EDGE('',*,*,#195080,.F.); #258626=ORIENTED_EDGE('',*,*,#195083,.F.); #258627=ORIENTED_EDGE('',*,*,#195086,.F.); #258628=ORIENTED_EDGE('',*,*,#195089,.F.); #258629=ORIENTED_EDGE('',*,*,#195092,.F.); #258630=ORIENTED_EDGE('',*,*,#195095,.F.); #258631=ORIENTED_EDGE('',*,*,#195098,.F.); #258632=ORIENTED_EDGE('',*,*,#195101,.F.); #258633=ORIENTED_EDGE('',*,*,#195104,.F.); #258634=ORIENTED_EDGE('',*,*,#195107,.F.); #258635=ORIENTED_EDGE('',*,*,#195110,.F.); #258636=ORIENTED_EDGE('',*,*,#195113,.F.); #258637=ORIENTED_EDGE('',*,*,#195116,.F.); #258638=ORIENTED_EDGE('',*,*,#195119,.F.); #258639=ORIENTED_EDGE('',*,*,#195122,.F.); #258640=ORIENTED_EDGE('',*,*,#195125,.F.); #258641=ORIENTED_EDGE('',*,*,#195128,.F.); #258642=ORIENTED_EDGE('',*,*,#195131,.F.); #258643=ORIENTED_EDGE('',*,*,#195134,.F.); #258644=ORIENTED_EDGE('',*,*,#195137,.F.); #258645=ORIENTED_EDGE('',*,*,#195140,.F.); #258646=ORIENTED_EDGE('',*,*,#195143,.F.); #258647=ORIENTED_EDGE('',*,*,#195146,.F.); #258648=ORIENTED_EDGE('',*,*,#195149,.F.); #258649=ORIENTED_EDGE('',*,*,#195152,.F.); #258650=ORIENTED_EDGE('',*,*,#195155,.F.); #258651=ORIENTED_EDGE('',*,*,#195158,.F.); #258652=ORIENTED_EDGE('',*,*,#195161,.F.); #258653=ORIENTED_EDGE('',*,*,#195164,.F.); #258654=ORIENTED_EDGE('',*,*,#195167,.F.); #258655=ORIENTED_EDGE('',*,*,#195170,.F.); #258656=ORIENTED_EDGE('',*,*,#195173,.F.); #258657=ORIENTED_EDGE('',*,*,#195176,.F.); #258658=ORIENTED_EDGE('',*,*,#195179,.F.); #258659=ORIENTED_EDGE('',*,*,#195182,.F.); #258660=ORIENTED_EDGE('',*,*,#195185,.F.); #258661=ORIENTED_EDGE('',*,*,#195188,.F.); #258662=ORIENTED_EDGE('',*,*,#195191,.F.); #258663=ORIENTED_EDGE('',*,*,#195194,.F.); #258664=ORIENTED_EDGE('',*,*,#195197,.F.); #258665=ORIENTED_EDGE('',*,*,#195200,.F.); #258666=ORIENTED_EDGE('',*,*,#195203,.F.); #258667=ORIENTED_EDGE('',*,*,#195206,.F.); #258668=ORIENTED_EDGE('',*,*,#195209,.F.); #258669=ORIENTED_EDGE('',*,*,#195212,.F.); #258670=ORIENTED_EDGE('',*,*,#195215,.F.); #258671=ORIENTED_EDGE('',*,*,#195218,.F.); #258672=ORIENTED_EDGE('',*,*,#195221,.F.); #258673=ORIENTED_EDGE('',*,*,#195224,.F.); #258674=ORIENTED_EDGE('',*,*,#195227,.F.); #258675=ORIENTED_EDGE('',*,*,#195230,.F.); #258676=ORIENTED_EDGE('',*,*,#195233,.F.); #258677=ORIENTED_EDGE('',*,*,#195236,.F.); #258678=ORIENTED_EDGE('',*,*,#195239,.F.); #258679=ORIENTED_EDGE('',*,*,#195242,.F.); #258680=ORIENTED_EDGE('',*,*,#195245,.F.); #258681=ORIENTED_EDGE('',*,*,#195248,.F.); #258682=ORIENTED_EDGE('',*,*,#195251,.F.); #258683=ORIENTED_EDGE('',*,*,#195254,.F.); #258684=ORIENTED_EDGE('',*,*,#195257,.F.); #258685=ORIENTED_EDGE('',*,*,#195260,.F.); #258686=ORIENTED_EDGE('',*,*,#195263,.F.); #258687=ORIENTED_EDGE('',*,*,#195266,.F.); #258688=ORIENTED_EDGE('',*,*,#195269,.F.); #258689=ORIENTED_EDGE('',*,*,#195272,.F.); #258690=ORIENTED_EDGE('',*,*,#195275,.F.); #258691=ORIENTED_EDGE('',*,*,#195278,.F.); #258692=ORIENTED_EDGE('',*,*,#195281,.F.); #258693=ORIENTED_EDGE('',*,*,#195284,.F.); #258694=ORIENTED_EDGE('',*,*,#195287,.F.); #258695=ORIENTED_EDGE('',*,*,#195290,.F.); #258696=ORIENTED_EDGE('',*,*,#195293,.F.); #258697=ORIENTED_EDGE('',*,*,#195296,.F.); #258698=ORIENTED_EDGE('',*,*,#195299,.F.); #258699=ORIENTED_EDGE('',*,*,#195302,.F.); #258700=ORIENTED_EDGE('',*,*,#195305,.F.); #258701=ORIENTED_EDGE('',*,*,#195308,.F.); #258702=ORIENTED_EDGE('',*,*,#195311,.F.); #258703=ORIENTED_EDGE('',*,*,#195314,.F.); #258704=ORIENTED_EDGE('',*,*,#195317,.F.); #258705=ORIENTED_EDGE('',*,*,#195320,.F.); #258706=ORIENTED_EDGE('',*,*,#195323,.F.); #258707=ORIENTED_EDGE('',*,*,#195326,.F.); #258708=ORIENTED_EDGE('',*,*,#195329,.F.); #258709=ORIENTED_EDGE('',*,*,#195332,.F.); #258710=ORIENTED_EDGE('',*,*,#195335,.F.); #258711=ORIENTED_EDGE('',*,*,#195338,.F.); #258712=ORIENTED_EDGE('',*,*,#195341,.F.); #258713=ORIENTED_EDGE('',*,*,#195344,.F.); #258714=ORIENTED_EDGE('',*,*,#195347,.F.); #258715=ORIENTED_EDGE('',*,*,#195350,.F.); #258716=ORIENTED_EDGE('',*,*,#195353,.F.); #258717=ORIENTED_EDGE('',*,*,#195356,.F.); #258718=ORIENTED_EDGE('',*,*,#195359,.F.); #258719=ORIENTED_EDGE('',*,*,#195362,.F.); #258720=ORIENTED_EDGE('',*,*,#195365,.F.); #258721=ORIENTED_EDGE('',*,*,#195368,.F.); #258722=ORIENTED_EDGE('',*,*,#195371,.F.); #258723=ORIENTED_EDGE('',*,*,#195374,.F.); #258724=ORIENTED_EDGE('',*,*,#195377,.F.); #258725=ORIENTED_EDGE('',*,*,#195380,.F.); #258726=ORIENTED_EDGE('',*,*,#195383,.F.); #258727=ORIENTED_EDGE('',*,*,#195386,.F.); #258728=ORIENTED_EDGE('',*,*,#195389,.F.); #258729=ORIENTED_EDGE('',*,*,#195392,.F.); #258730=ORIENTED_EDGE('',*,*,#195395,.F.); #258731=ORIENTED_EDGE('',*,*,#195398,.F.); #258732=ORIENTED_EDGE('',*,*,#195401,.F.); #258733=ORIENTED_EDGE('',*,*,#195404,.F.); #258734=ORIENTED_EDGE('',*,*,#195407,.F.); #258735=ORIENTED_EDGE('',*,*,#195410,.F.); #258736=ORIENTED_EDGE('',*,*,#195413,.F.); #258737=ORIENTED_EDGE('',*,*,#195416,.F.); #258738=ORIENTED_EDGE('',*,*,#195419,.F.); #258739=ORIENTED_EDGE('',*,*,#195422,.F.); #258740=ORIENTED_EDGE('',*,*,#195425,.F.); #258741=ORIENTED_EDGE('',*,*,#195428,.F.); #258742=ORIENTED_EDGE('',*,*,#195431,.F.); #258743=ORIENTED_EDGE('',*,*,#195434,.F.); #258744=ORIENTED_EDGE('',*,*,#195437,.F.); #258745=ORIENTED_EDGE('',*,*,#195440,.F.); #258746=ORIENTED_EDGE('',*,*,#195443,.F.); #258747=ORIENTED_EDGE('',*,*,#195446,.F.); #258748=ORIENTED_EDGE('',*,*,#195449,.F.); #258749=ORIENTED_EDGE('',*,*,#195452,.F.); #258750=ORIENTED_EDGE('',*,*,#195455,.F.); #258751=ORIENTED_EDGE('',*,*,#195458,.F.); #258752=ORIENTED_EDGE('',*,*,#195461,.F.); #258753=ORIENTED_EDGE('',*,*,#195464,.F.); #258754=ORIENTED_EDGE('',*,*,#195467,.F.); #258755=ORIENTED_EDGE('',*,*,#195470,.F.); #258756=ORIENTED_EDGE('',*,*,#195473,.F.); #258757=ORIENTED_EDGE('',*,*,#195476,.F.); #258758=ORIENTED_EDGE('',*,*,#195479,.F.); #258759=ORIENTED_EDGE('',*,*,#195482,.F.); #258760=ORIENTED_EDGE('',*,*,#195485,.F.); #258761=ORIENTED_EDGE('',*,*,#195488,.F.); #258762=ORIENTED_EDGE('',*,*,#195491,.F.); #258763=ORIENTED_EDGE('',*,*,#195494,.F.); #258764=ORIENTED_EDGE('',*,*,#195497,.F.); #258765=ORIENTED_EDGE('',*,*,#195500,.F.); #258766=ORIENTED_EDGE('',*,*,#195503,.F.); #258767=ORIENTED_EDGE('',*,*,#195506,.F.); #258768=ORIENTED_EDGE('',*,*,#195509,.F.); #258769=ORIENTED_EDGE('',*,*,#195512,.F.); #258770=ORIENTED_EDGE('',*,*,#195515,.F.); #258771=ORIENTED_EDGE('',*,*,#195518,.F.); #258772=ORIENTED_EDGE('',*,*,#195521,.F.); #258773=ORIENTED_EDGE('',*,*,#195524,.F.); #258774=ORIENTED_EDGE('',*,*,#195527,.F.); #258775=ORIENTED_EDGE('',*,*,#195530,.F.); #258776=ORIENTED_EDGE('',*,*,#195533,.F.); #258777=ORIENTED_EDGE('',*,*,#195536,.F.); #258778=ORIENTED_EDGE('',*,*,#195539,.F.); #258779=ORIENTED_EDGE('',*,*,#195542,.F.); #258780=ORIENTED_EDGE('',*,*,#195545,.F.); #258781=ORIENTED_EDGE('',*,*,#195548,.F.); #258782=ORIENTED_EDGE('',*,*,#195551,.F.); #258783=ORIENTED_EDGE('',*,*,#195554,.F.); #258784=ORIENTED_EDGE('',*,*,#195557,.F.); #258785=ORIENTED_EDGE('',*,*,#195560,.F.); #258786=ORIENTED_EDGE('',*,*,#195563,.F.); #258787=ORIENTED_EDGE('',*,*,#195566,.F.); #258788=ORIENTED_EDGE('',*,*,#195569,.F.); #258789=ORIENTED_EDGE('',*,*,#195572,.F.); #258790=ORIENTED_EDGE('',*,*,#195575,.F.); #258791=ORIENTED_EDGE('',*,*,#195578,.F.); #258792=ORIENTED_EDGE('',*,*,#195581,.F.); #258793=ORIENTED_EDGE('',*,*,#195584,.F.); #258794=ORIENTED_EDGE('',*,*,#195587,.F.); #258795=ORIENTED_EDGE('',*,*,#195590,.F.); #258796=ORIENTED_EDGE('',*,*,#195593,.F.); #258797=ORIENTED_EDGE('',*,*,#195596,.F.); #258798=ORIENTED_EDGE('',*,*,#195599,.F.); #258799=ORIENTED_EDGE('',*,*,#195602,.F.); #258800=ORIENTED_EDGE('',*,*,#195605,.F.); #258801=ORIENTED_EDGE('',*,*,#195608,.F.); #258802=ORIENTED_EDGE('',*,*,#195611,.F.); #258803=ORIENTED_EDGE('',*,*,#195614,.F.); #258804=ORIENTED_EDGE('',*,*,#195617,.F.); #258805=ORIENTED_EDGE('',*,*,#195620,.F.); #258806=ORIENTED_EDGE('',*,*,#195623,.F.); #258807=ORIENTED_EDGE('',*,*,#195626,.F.); #258808=ORIENTED_EDGE('',*,*,#195629,.F.); #258809=ORIENTED_EDGE('',*,*,#195632,.F.); #258810=ORIENTED_EDGE('',*,*,#195635,.F.); #258811=ORIENTED_EDGE('',*,*,#195638,.F.); #258812=ORIENTED_EDGE('',*,*,#195641,.F.); #258813=ORIENTED_EDGE('',*,*,#195644,.F.); #258814=ORIENTED_EDGE('',*,*,#195647,.F.); #258815=ORIENTED_EDGE('',*,*,#195650,.F.); #258816=ORIENTED_EDGE('',*,*,#195653,.F.); #258817=ORIENTED_EDGE('',*,*,#195656,.F.); #258818=ORIENTED_EDGE('',*,*,#195659,.F.); #258819=ORIENTED_EDGE('',*,*,#195662,.F.); #258820=ORIENTED_EDGE('',*,*,#195665,.F.); #258821=ORIENTED_EDGE('',*,*,#195668,.F.); #258822=ORIENTED_EDGE('',*,*,#195671,.F.); #258823=ORIENTED_EDGE('',*,*,#195674,.F.); #258824=ORIENTED_EDGE('',*,*,#195677,.F.); #258825=ORIENTED_EDGE('',*,*,#195680,.F.); #258826=ORIENTED_EDGE('',*,*,#195683,.F.); #258827=ORIENTED_EDGE('',*,*,#195686,.F.); #258828=ORIENTED_EDGE('',*,*,#195689,.F.); #258829=ORIENTED_EDGE('',*,*,#195692,.F.); #258830=ORIENTED_EDGE('',*,*,#195695,.F.); #258831=ORIENTED_EDGE('',*,*,#195698,.F.); #258832=ORIENTED_EDGE('',*,*,#195701,.F.); #258833=ORIENTED_EDGE('',*,*,#195704,.F.); #258834=ORIENTED_EDGE('',*,*,#195707,.F.); #258835=ORIENTED_EDGE('',*,*,#195710,.F.); #258836=ORIENTED_EDGE('',*,*,#195713,.F.); #258837=ORIENTED_EDGE('',*,*,#195716,.F.); #258838=ORIENTED_EDGE('',*,*,#195719,.F.); #258839=ORIENTED_EDGE('',*,*,#195722,.F.); #258840=ORIENTED_EDGE('',*,*,#195725,.F.); #258841=ORIENTED_EDGE('',*,*,#193994,.F.); #258842=ORIENTED_EDGE('',*,*,#193888,.F.); #258843=ORIENTED_EDGE('',*,*,#193892,.F.); #258844=ORIENTED_EDGE('',*,*,#193895,.F.); #258845=ORIENTED_EDGE('',*,*,#193898,.F.); #258846=ORIENTED_EDGE('',*,*,#193901,.F.); #258847=ORIENTED_EDGE('',*,*,#193904,.F.); #258848=ORIENTED_EDGE('',*,*,#193907,.F.); #258849=ORIENTED_EDGE('',*,*,#193910,.F.); #258850=ORIENTED_EDGE('',*,*,#193913,.F.); #258851=ORIENTED_EDGE('',*,*,#193916,.F.); #258852=ORIENTED_EDGE('',*,*,#193919,.F.); #258853=ORIENTED_EDGE('',*,*,#193922,.F.); #258854=ORIENTED_EDGE('',*,*,#193925,.F.); #258855=ORIENTED_EDGE('',*,*,#193928,.F.); #258856=ORIENTED_EDGE('',*,*,#193931,.F.); #258857=ORIENTED_EDGE('',*,*,#193934,.F.); #258858=ORIENTED_EDGE('',*,*,#193937,.F.); #258859=ORIENTED_EDGE('',*,*,#193940,.F.); #258860=ORIENTED_EDGE('',*,*,#193943,.F.); #258861=ORIENTED_EDGE('',*,*,#193946,.F.); #258862=ORIENTED_EDGE('',*,*,#193949,.F.); #258863=ORIENTED_EDGE('',*,*,#193952,.F.); #258864=ORIENTED_EDGE('',*,*,#193955,.F.); #258865=ORIENTED_EDGE('',*,*,#193958,.F.); #258866=ORIENTED_EDGE('',*,*,#193961,.F.); #258867=ORIENTED_EDGE('',*,*,#193964,.F.); #258868=ORIENTED_EDGE('',*,*,#193967,.F.); #258869=ORIENTED_EDGE('',*,*,#193970,.F.); #258870=ORIENTED_EDGE('',*,*,#193973,.F.); #258871=ORIENTED_EDGE('',*,*,#193976,.F.); #258872=ORIENTED_EDGE('',*,*,#193979,.F.); #258873=ORIENTED_EDGE('',*,*,#193982,.F.); #258874=ORIENTED_EDGE('',*,*,#193985,.F.); #258875=ORIENTED_EDGE('',*,*,#193988,.F.); #258876=ORIENTED_EDGE('',*,*,#193991,.F.); #258877=ORIENTED_EDGE('',*,*,#193886,.F.); #258878=ORIENTED_EDGE('',*,*,#193780,.F.); #258879=ORIENTED_EDGE('',*,*,#193784,.F.); #258880=ORIENTED_EDGE('',*,*,#193787,.F.); #258881=ORIENTED_EDGE('',*,*,#193790,.F.); #258882=ORIENTED_EDGE('',*,*,#193793,.F.); #258883=ORIENTED_EDGE('',*,*,#193796,.F.); #258884=ORIENTED_EDGE('',*,*,#193799,.F.); #258885=ORIENTED_EDGE('',*,*,#193802,.F.); #258886=ORIENTED_EDGE('',*,*,#193805,.F.); #258887=ORIENTED_EDGE('',*,*,#193808,.F.); #258888=ORIENTED_EDGE('',*,*,#193811,.F.); #258889=ORIENTED_EDGE('',*,*,#193814,.F.); #258890=ORIENTED_EDGE('',*,*,#193817,.F.); #258891=ORIENTED_EDGE('',*,*,#193820,.F.); #258892=ORIENTED_EDGE('',*,*,#193823,.F.); #258893=ORIENTED_EDGE('',*,*,#193826,.F.); #258894=ORIENTED_EDGE('',*,*,#193829,.F.); #258895=ORIENTED_EDGE('',*,*,#193832,.F.); #258896=ORIENTED_EDGE('',*,*,#193835,.F.); #258897=ORIENTED_EDGE('',*,*,#193838,.F.); #258898=ORIENTED_EDGE('',*,*,#193841,.F.); #258899=ORIENTED_EDGE('',*,*,#193844,.F.); #258900=ORIENTED_EDGE('',*,*,#193847,.F.); #258901=ORIENTED_EDGE('',*,*,#193850,.F.); #258902=ORIENTED_EDGE('',*,*,#193853,.F.); #258903=ORIENTED_EDGE('',*,*,#193856,.F.); #258904=ORIENTED_EDGE('',*,*,#193859,.F.); #258905=ORIENTED_EDGE('',*,*,#193862,.F.); #258906=ORIENTED_EDGE('',*,*,#193865,.F.); #258907=ORIENTED_EDGE('',*,*,#193868,.F.); #258908=ORIENTED_EDGE('',*,*,#193871,.F.); #258909=ORIENTED_EDGE('',*,*,#193874,.F.); #258910=ORIENTED_EDGE('',*,*,#193877,.F.); #258911=ORIENTED_EDGE('',*,*,#193880,.F.); #258912=ORIENTED_EDGE('',*,*,#193883,.F.); #258913=ORIENTED_EDGE('',*,*,#193778,.F.); #258914=ORIENTED_EDGE('',*,*,#193732,.F.); #258915=ORIENTED_EDGE('',*,*,#193736,.F.); #258916=ORIENTED_EDGE('',*,*,#193739,.F.); #258917=ORIENTED_EDGE('',*,*,#193742,.F.); #258918=ORIENTED_EDGE('',*,*,#193745,.F.); #258919=ORIENTED_EDGE('',*,*,#193748,.F.); #258920=ORIENTED_EDGE('',*,*,#193751,.F.); #258921=ORIENTED_EDGE('',*,*,#193754,.F.); #258922=ORIENTED_EDGE('',*,*,#193757,.F.); #258923=ORIENTED_EDGE('',*,*,#193760,.F.); #258924=ORIENTED_EDGE('',*,*,#193763,.F.); #258925=ORIENTED_EDGE('',*,*,#193766,.F.); #258926=ORIENTED_EDGE('',*,*,#193769,.F.); #258927=ORIENTED_EDGE('',*,*,#193772,.F.); #258928=ORIENTED_EDGE('',*,*,#193775,.F.); #258929=ORIENTED_EDGE('',*,*,#193730,.F.); #258930=ORIENTED_EDGE('',*,*,#193684,.F.); #258931=ORIENTED_EDGE('',*,*,#193688,.F.); #258932=ORIENTED_EDGE('',*,*,#193691,.F.); #258933=ORIENTED_EDGE('',*,*,#193694,.F.); #258934=ORIENTED_EDGE('',*,*,#193697,.F.); #258935=ORIENTED_EDGE('',*,*,#193700,.F.); #258936=ORIENTED_EDGE('',*,*,#193703,.F.); #258937=ORIENTED_EDGE('',*,*,#193706,.F.); #258938=ORIENTED_EDGE('',*,*,#193709,.F.); #258939=ORIENTED_EDGE('',*,*,#193712,.F.); #258940=ORIENTED_EDGE('',*,*,#193715,.F.); #258941=ORIENTED_EDGE('',*,*,#193718,.F.); #258942=ORIENTED_EDGE('',*,*,#193721,.F.); #258943=ORIENTED_EDGE('',*,*,#193724,.F.); #258944=ORIENTED_EDGE('',*,*,#193727,.F.); #258945=ORIENTED_EDGE('',*,*,#193682,.F.); #258946=ORIENTED_EDGE('',*,*,#193660,.F.); #258947=ORIENTED_EDGE('',*,*,#193664,.F.); #258948=ORIENTED_EDGE('',*,*,#193667,.F.); #258949=ORIENTED_EDGE('',*,*,#193670,.F.); #258950=ORIENTED_EDGE('',*,*,#193673,.F.); #258951=ORIENTED_EDGE('',*,*,#193676,.F.); #258952=ORIENTED_EDGE('',*,*,#193679,.F.); #258953=ORIENTED_EDGE('',*,*,#196471,.T.); #258954=ORIENTED_EDGE('',*,*,#196472,.T.); #258955=ORIENTED_EDGE('',*,*,#196473,.F.); #258956=ORIENTED_EDGE('',*,*,#196474,.F.); #258957=ORIENTED_EDGE('',*,*,#196475,.T.); #258958=ORIENTED_EDGE('',*,*,#196474,.T.); #258959=ORIENTED_EDGE('',*,*,#196476,.F.); #258960=ORIENTED_EDGE('',*,*,#196477,.F.); #258961=ORIENTED_EDGE('',*,*,#196478,.T.); #258962=ORIENTED_EDGE('',*,*,#196477,.T.); #258963=ORIENTED_EDGE('',*,*,#196479,.F.); #258964=ORIENTED_EDGE('',*,*,#196480,.F.); #258965=ORIENTED_EDGE('',*,*,#196481,.T.); #258966=ORIENTED_EDGE('',*,*,#196480,.T.); #258967=ORIENTED_EDGE('',*,*,#196482,.F.); #258968=ORIENTED_EDGE('',*,*,#196483,.F.); #258969=ORIENTED_EDGE('',*,*,#196484,.T.); #258970=ORIENTED_EDGE('',*,*,#196483,.T.); #258971=ORIENTED_EDGE('',*,*,#196485,.F.); #258972=ORIENTED_EDGE('',*,*,#196486,.F.); #258973=ORIENTED_EDGE('',*,*,#196487,.T.); #258974=ORIENTED_EDGE('',*,*,#196486,.T.); #258975=ORIENTED_EDGE('',*,*,#196488,.F.); #258976=ORIENTED_EDGE('',*,*,#196489,.F.); #258977=ORIENTED_EDGE('',*,*,#196490,.T.); #258978=ORIENTED_EDGE('',*,*,#196489,.T.); #258979=ORIENTED_EDGE('',*,*,#196491,.F.); #258980=ORIENTED_EDGE('',*,*,#196492,.F.); #258981=ORIENTED_EDGE('',*,*,#196493,.T.); #258982=ORIENTED_EDGE('',*,*,#196492,.T.); #258983=ORIENTED_EDGE('',*,*,#196494,.F.); #258984=ORIENTED_EDGE('',*,*,#196495,.F.); #258985=ORIENTED_EDGE('',*,*,#196496,.T.); #258986=ORIENTED_EDGE('',*,*,#196495,.T.); #258987=ORIENTED_EDGE('',*,*,#196497,.F.); #258988=ORIENTED_EDGE('',*,*,#196498,.F.); #258989=ORIENTED_EDGE('',*,*,#196499,.T.); #258990=ORIENTED_EDGE('',*,*,#196498,.T.); #258991=ORIENTED_EDGE('',*,*,#196500,.F.); #258992=ORIENTED_EDGE('',*,*,#196501,.F.); #258993=ORIENTED_EDGE('',*,*,#196502,.T.); #258994=ORIENTED_EDGE('',*,*,#196501,.T.); #258995=ORIENTED_EDGE('',*,*,#196503,.F.); #258996=ORIENTED_EDGE('',*,*,#196504,.F.); #258997=ORIENTED_EDGE('',*,*,#196505,.T.); #258998=ORIENTED_EDGE('',*,*,#196504,.T.); #258999=ORIENTED_EDGE('',*,*,#196506,.F.); #259000=ORIENTED_EDGE('',*,*,#196507,.F.); #259001=ORIENTED_EDGE('',*,*,#196508,.T.); #259002=ORIENTED_EDGE('',*,*,#196507,.T.); #259003=ORIENTED_EDGE('',*,*,#196509,.F.); #259004=ORIENTED_EDGE('',*,*,#196510,.F.); #259005=ORIENTED_EDGE('',*,*,#196511,.T.); #259006=ORIENTED_EDGE('',*,*,#196510,.T.); #259007=ORIENTED_EDGE('',*,*,#196512,.F.); #259008=ORIENTED_EDGE('',*,*,#196513,.F.); #259009=ORIENTED_EDGE('',*,*,#196514,.T.); #259010=ORIENTED_EDGE('',*,*,#196513,.T.); #259011=ORIENTED_EDGE('',*,*,#196515,.F.); #259012=ORIENTED_EDGE('',*,*,#196516,.F.); #259013=ORIENTED_EDGE('',*,*,#196517,.T.); #259014=ORIENTED_EDGE('',*,*,#196516,.T.); #259015=ORIENTED_EDGE('',*,*,#196518,.F.); #259016=ORIENTED_EDGE('',*,*,#196519,.F.); #259017=ORIENTED_EDGE('',*,*,#196520,.T.); #259018=ORIENTED_EDGE('',*,*,#196519,.T.); #259019=ORIENTED_EDGE('',*,*,#196521,.F.); #259020=ORIENTED_EDGE('',*,*,#196522,.F.); #259021=ORIENTED_EDGE('',*,*,#196523,.T.); #259022=ORIENTED_EDGE('',*,*,#196522,.T.); #259023=ORIENTED_EDGE('',*,*,#196524,.F.); #259024=ORIENTED_EDGE('',*,*,#196525,.F.); #259025=ORIENTED_EDGE('',*,*,#196526,.T.); #259026=ORIENTED_EDGE('',*,*,#196525,.T.); #259027=ORIENTED_EDGE('',*,*,#196527,.F.); #259028=ORIENTED_EDGE('',*,*,#196528,.F.); #259029=ORIENTED_EDGE('',*,*,#196529,.T.); #259030=ORIENTED_EDGE('',*,*,#196528,.T.); #259031=ORIENTED_EDGE('',*,*,#196530,.F.); #259032=ORIENTED_EDGE('',*,*,#196531,.F.); #259033=ORIENTED_EDGE('',*,*,#196532,.T.); #259034=ORIENTED_EDGE('',*,*,#196531,.T.); #259035=ORIENTED_EDGE('',*,*,#196533,.F.); #259036=ORIENTED_EDGE('',*,*,#196472,.F.); #259037=ORIENTED_EDGE('',*,*,#196533,.T.); #259038=ORIENTED_EDGE('',*,*,#196530,.T.); #259039=ORIENTED_EDGE('',*,*,#196527,.T.); #259040=ORIENTED_EDGE('',*,*,#196524,.T.); #259041=ORIENTED_EDGE('',*,*,#196521,.T.); #259042=ORIENTED_EDGE('',*,*,#196518,.T.); #259043=ORIENTED_EDGE('',*,*,#196515,.T.); #259044=ORIENTED_EDGE('',*,*,#196512,.T.); #259045=ORIENTED_EDGE('',*,*,#196509,.T.); #259046=ORIENTED_EDGE('',*,*,#196506,.T.); #259047=ORIENTED_EDGE('',*,*,#196503,.T.); #259048=ORIENTED_EDGE('',*,*,#196500,.T.); #259049=ORIENTED_EDGE('',*,*,#196497,.T.); #259050=ORIENTED_EDGE('',*,*,#196494,.T.); #259051=ORIENTED_EDGE('',*,*,#196491,.T.); #259052=ORIENTED_EDGE('',*,*,#196488,.T.); #259053=ORIENTED_EDGE('',*,*,#196485,.T.); #259054=ORIENTED_EDGE('',*,*,#196482,.T.); #259055=ORIENTED_EDGE('',*,*,#196479,.T.); #259056=ORIENTED_EDGE('',*,*,#196476,.T.); #259057=ORIENTED_EDGE('',*,*,#196473,.T.); #259058=ORIENTED_EDGE('',*,*,#196532,.F.); #259059=ORIENTED_EDGE('',*,*,#196471,.F.); #259060=ORIENTED_EDGE('',*,*,#196475,.F.); #259061=ORIENTED_EDGE('',*,*,#196478,.F.); #259062=ORIENTED_EDGE('',*,*,#196481,.F.); #259063=ORIENTED_EDGE('',*,*,#196484,.F.); #259064=ORIENTED_EDGE('',*,*,#196487,.F.); #259065=ORIENTED_EDGE('',*,*,#196490,.F.); #259066=ORIENTED_EDGE('',*,*,#196493,.F.); #259067=ORIENTED_EDGE('',*,*,#196496,.F.); #259068=ORIENTED_EDGE('',*,*,#196499,.F.); #259069=ORIENTED_EDGE('',*,*,#196502,.F.); #259070=ORIENTED_EDGE('',*,*,#196505,.F.); #259071=ORIENTED_EDGE('',*,*,#196508,.F.); #259072=ORIENTED_EDGE('',*,*,#196511,.F.); #259073=ORIENTED_EDGE('',*,*,#196514,.F.); #259074=ORIENTED_EDGE('',*,*,#196517,.F.); #259075=ORIENTED_EDGE('',*,*,#196520,.F.); #259076=ORIENTED_EDGE('',*,*,#196523,.F.); #259077=ORIENTED_EDGE('',*,*,#196526,.F.); #259078=ORIENTED_EDGE('',*,*,#196529,.F.); #259079=ORIENTED_EDGE('',*,*,#196534,.T.); #259080=ORIENTED_EDGE('',*,*,#196535,.T.); #259081=ORIENTED_EDGE('',*,*,#196536,.F.); #259082=ORIENTED_EDGE('',*,*,#196537,.F.); #259083=ORIENTED_EDGE('',*,*,#196538,.T.); #259084=ORIENTED_EDGE('',*,*,#196537,.T.); #259085=ORIENTED_EDGE('',*,*,#196539,.F.); #259086=ORIENTED_EDGE('',*,*,#196540,.F.); #259087=ORIENTED_EDGE('',*,*,#196541,.T.); #259088=ORIENTED_EDGE('',*,*,#196540,.T.); #259089=ORIENTED_EDGE('',*,*,#196542,.F.); #259090=ORIENTED_EDGE('',*,*,#196543,.F.); #259091=ORIENTED_EDGE('',*,*,#196544,.T.); #259092=ORIENTED_EDGE('',*,*,#196543,.T.); #259093=ORIENTED_EDGE('',*,*,#196545,.F.); #259094=ORIENTED_EDGE('',*,*,#196546,.F.); #259095=ORIENTED_EDGE('',*,*,#196547,.T.); #259096=ORIENTED_EDGE('',*,*,#196546,.T.); #259097=ORIENTED_EDGE('',*,*,#196548,.F.); #259098=ORIENTED_EDGE('',*,*,#196549,.F.); #259099=ORIENTED_EDGE('',*,*,#196550,.T.); #259100=ORIENTED_EDGE('',*,*,#196549,.T.); #259101=ORIENTED_EDGE('',*,*,#196551,.F.); #259102=ORIENTED_EDGE('',*,*,#196552,.F.); #259103=ORIENTED_EDGE('',*,*,#196553,.T.); #259104=ORIENTED_EDGE('',*,*,#196552,.T.); #259105=ORIENTED_EDGE('',*,*,#196554,.F.); #259106=ORIENTED_EDGE('',*,*,#196555,.F.); #259107=ORIENTED_EDGE('',*,*,#196556,.T.); #259108=ORIENTED_EDGE('',*,*,#196555,.T.); #259109=ORIENTED_EDGE('',*,*,#196557,.F.); #259110=ORIENTED_EDGE('',*,*,#196558,.F.); #259111=ORIENTED_EDGE('',*,*,#196559,.T.); #259112=ORIENTED_EDGE('',*,*,#196558,.T.); #259113=ORIENTED_EDGE('',*,*,#196560,.F.); #259114=ORIENTED_EDGE('',*,*,#196561,.F.); #259115=ORIENTED_EDGE('',*,*,#196562,.T.); #259116=ORIENTED_EDGE('',*,*,#196561,.T.); #259117=ORIENTED_EDGE('',*,*,#196563,.F.); #259118=ORIENTED_EDGE('',*,*,#196564,.F.); #259119=ORIENTED_EDGE('',*,*,#196565,.T.); #259120=ORIENTED_EDGE('',*,*,#196564,.T.); #259121=ORIENTED_EDGE('',*,*,#196566,.F.); #259122=ORIENTED_EDGE('',*,*,#196567,.F.); #259123=ORIENTED_EDGE('',*,*,#196568,.T.); #259124=ORIENTED_EDGE('',*,*,#196567,.T.); #259125=ORIENTED_EDGE('',*,*,#196569,.F.); #259126=ORIENTED_EDGE('',*,*,#196570,.F.); #259127=ORIENTED_EDGE('',*,*,#196571,.T.); #259128=ORIENTED_EDGE('',*,*,#196570,.T.); #259129=ORIENTED_EDGE('',*,*,#196572,.F.); #259130=ORIENTED_EDGE('',*,*,#196573,.F.); #259131=ORIENTED_EDGE('',*,*,#196574,.T.); #259132=ORIENTED_EDGE('',*,*,#196573,.T.); #259133=ORIENTED_EDGE('',*,*,#196575,.F.); #259134=ORIENTED_EDGE('',*,*,#196576,.F.); #259135=ORIENTED_EDGE('',*,*,#196577,.T.); #259136=ORIENTED_EDGE('',*,*,#196576,.T.); #259137=ORIENTED_EDGE('',*,*,#196578,.F.); #259138=ORIENTED_EDGE('',*,*,#196579,.F.); #259139=ORIENTED_EDGE('',*,*,#196580,.T.); #259140=ORIENTED_EDGE('',*,*,#196579,.T.); #259141=ORIENTED_EDGE('',*,*,#196581,.F.); #259142=ORIENTED_EDGE('',*,*,#196582,.F.); #259143=ORIENTED_EDGE('',*,*,#196583,.T.); #259144=ORIENTED_EDGE('',*,*,#196582,.T.); #259145=ORIENTED_EDGE('',*,*,#196584,.F.); #259146=ORIENTED_EDGE('',*,*,#196585,.F.); #259147=ORIENTED_EDGE('',*,*,#196586,.T.); #259148=ORIENTED_EDGE('',*,*,#196585,.T.); #259149=ORIENTED_EDGE('',*,*,#196587,.F.); #259150=ORIENTED_EDGE('',*,*,#196588,.F.); #259151=ORIENTED_EDGE('',*,*,#196589,.T.); #259152=ORIENTED_EDGE('',*,*,#196588,.T.); #259153=ORIENTED_EDGE('',*,*,#196590,.F.); #259154=ORIENTED_EDGE('',*,*,#196591,.F.); #259155=ORIENTED_EDGE('',*,*,#196592,.T.); #259156=ORIENTED_EDGE('',*,*,#196591,.T.); #259157=ORIENTED_EDGE('',*,*,#196593,.F.); #259158=ORIENTED_EDGE('',*,*,#196594,.F.); #259159=ORIENTED_EDGE('',*,*,#196595,.T.); #259160=ORIENTED_EDGE('',*,*,#196594,.T.); #259161=ORIENTED_EDGE('',*,*,#196596,.F.); #259162=ORIENTED_EDGE('',*,*,#196597,.F.); #259163=ORIENTED_EDGE('',*,*,#196598,.T.); #259164=ORIENTED_EDGE('',*,*,#196597,.T.); #259165=ORIENTED_EDGE('',*,*,#196599,.F.); #259166=ORIENTED_EDGE('',*,*,#196600,.F.); #259167=ORIENTED_EDGE('',*,*,#196601,.T.); #259168=ORIENTED_EDGE('',*,*,#196600,.T.); #259169=ORIENTED_EDGE('',*,*,#196602,.F.); #259170=ORIENTED_EDGE('',*,*,#196603,.F.); #259171=ORIENTED_EDGE('',*,*,#196604,.T.); #259172=ORIENTED_EDGE('',*,*,#196603,.T.); #259173=ORIENTED_EDGE('',*,*,#196605,.F.); #259174=ORIENTED_EDGE('',*,*,#196606,.F.); #259175=ORIENTED_EDGE('',*,*,#196607,.T.); #259176=ORIENTED_EDGE('',*,*,#196606,.T.); #259177=ORIENTED_EDGE('',*,*,#196608,.F.); #259178=ORIENTED_EDGE('',*,*,#196609,.F.); #259179=ORIENTED_EDGE('',*,*,#196610,.T.); #259180=ORIENTED_EDGE('',*,*,#196609,.T.); #259181=ORIENTED_EDGE('',*,*,#196611,.F.); #259182=ORIENTED_EDGE('',*,*,#196535,.F.); #259183=ORIENTED_EDGE('',*,*,#196611,.T.); #259184=ORIENTED_EDGE('',*,*,#196608,.T.); #259185=ORIENTED_EDGE('',*,*,#196605,.T.); #259186=ORIENTED_EDGE('',*,*,#196602,.T.); #259187=ORIENTED_EDGE('',*,*,#196599,.T.); #259188=ORIENTED_EDGE('',*,*,#196596,.T.); #259189=ORIENTED_EDGE('',*,*,#196593,.T.); #259190=ORIENTED_EDGE('',*,*,#196590,.T.); #259191=ORIENTED_EDGE('',*,*,#196587,.T.); #259192=ORIENTED_EDGE('',*,*,#196584,.T.); #259193=ORIENTED_EDGE('',*,*,#196581,.T.); #259194=ORIENTED_EDGE('',*,*,#196578,.T.); #259195=ORIENTED_EDGE('',*,*,#196575,.T.); #259196=ORIENTED_EDGE('',*,*,#196572,.T.); #259197=ORIENTED_EDGE('',*,*,#196569,.T.); #259198=ORIENTED_EDGE('',*,*,#196566,.T.); #259199=ORIENTED_EDGE('',*,*,#196563,.T.); #259200=ORIENTED_EDGE('',*,*,#196560,.T.); #259201=ORIENTED_EDGE('',*,*,#196557,.T.); #259202=ORIENTED_EDGE('',*,*,#196554,.T.); #259203=ORIENTED_EDGE('',*,*,#196551,.T.); #259204=ORIENTED_EDGE('',*,*,#196548,.T.); #259205=ORIENTED_EDGE('',*,*,#196545,.T.); #259206=ORIENTED_EDGE('',*,*,#196542,.T.); #259207=ORIENTED_EDGE('',*,*,#196539,.T.); #259208=ORIENTED_EDGE('',*,*,#196536,.T.); #259209=ORIENTED_EDGE('',*,*,#196610,.F.); #259210=ORIENTED_EDGE('',*,*,#196534,.F.); #259211=ORIENTED_EDGE('',*,*,#196538,.F.); #259212=ORIENTED_EDGE('',*,*,#196541,.F.); #259213=ORIENTED_EDGE('',*,*,#196544,.F.); #259214=ORIENTED_EDGE('',*,*,#196547,.F.); #259215=ORIENTED_EDGE('',*,*,#196550,.F.); #259216=ORIENTED_EDGE('',*,*,#196553,.F.); #259217=ORIENTED_EDGE('',*,*,#196556,.F.); #259218=ORIENTED_EDGE('',*,*,#196559,.F.); #259219=ORIENTED_EDGE('',*,*,#196562,.F.); #259220=ORIENTED_EDGE('',*,*,#196565,.F.); #259221=ORIENTED_EDGE('',*,*,#196568,.F.); #259222=ORIENTED_EDGE('',*,*,#196571,.F.); #259223=ORIENTED_EDGE('',*,*,#196574,.F.); #259224=ORIENTED_EDGE('',*,*,#196577,.F.); #259225=ORIENTED_EDGE('',*,*,#196580,.F.); #259226=ORIENTED_EDGE('',*,*,#196583,.F.); #259227=ORIENTED_EDGE('',*,*,#196586,.F.); #259228=ORIENTED_EDGE('',*,*,#196589,.F.); #259229=ORIENTED_EDGE('',*,*,#196592,.F.); #259230=ORIENTED_EDGE('',*,*,#196595,.F.); #259231=ORIENTED_EDGE('',*,*,#196598,.F.); #259232=ORIENTED_EDGE('',*,*,#196601,.F.); #259233=ORIENTED_EDGE('',*,*,#196604,.F.); #259234=ORIENTED_EDGE('',*,*,#196607,.F.); #259235=ORIENTED_EDGE('',*,*,#196612,.T.); #259236=ORIENTED_EDGE('',*,*,#196613,.T.); #259237=ORIENTED_EDGE('',*,*,#196614,.F.); #259238=ORIENTED_EDGE('',*,*,#196615,.F.); #259239=ORIENTED_EDGE('',*,*,#196616,.T.); #259240=ORIENTED_EDGE('',*,*,#196615,.T.); #259241=ORIENTED_EDGE('',*,*,#196617,.F.); #259242=ORIENTED_EDGE('',*,*,#196618,.F.); #259243=ORIENTED_EDGE('',*,*,#196619,.T.); #259244=ORIENTED_EDGE('',*,*,#196618,.T.); #259245=ORIENTED_EDGE('',*,*,#196620,.F.); #259246=ORIENTED_EDGE('',*,*,#196621,.F.); #259247=ORIENTED_EDGE('',*,*,#196622,.T.); #259248=ORIENTED_EDGE('',*,*,#196621,.T.); #259249=ORIENTED_EDGE('',*,*,#196623,.F.); #259250=ORIENTED_EDGE('',*,*,#196624,.F.); #259251=ORIENTED_EDGE('',*,*,#196625,.T.); #259252=ORIENTED_EDGE('',*,*,#196624,.T.); #259253=ORIENTED_EDGE('',*,*,#196626,.F.); #259254=ORIENTED_EDGE('',*,*,#196627,.F.); #259255=ORIENTED_EDGE('',*,*,#196628,.T.); #259256=ORIENTED_EDGE('',*,*,#196627,.T.); #259257=ORIENTED_EDGE('',*,*,#196629,.F.); #259258=ORIENTED_EDGE('',*,*,#196630,.F.); #259259=ORIENTED_EDGE('',*,*,#196631,.T.); #259260=ORIENTED_EDGE('',*,*,#196630,.T.); #259261=ORIENTED_EDGE('',*,*,#196632,.F.); #259262=ORIENTED_EDGE('',*,*,#196633,.F.); #259263=ORIENTED_EDGE('',*,*,#196634,.T.); #259264=ORIENTED_EDGE('',*,*,#196633,.T.); #259265=ORIENTED_EDGE('',*,*,#196635,.F.); #259266=ORIENTED_EDGE('',*,*,#196636,.F.); #259267=ORIENTED_EDGE('',*,*,#196637,.T.); #259268=ORIENTED_EDGE('',*,*,#196636,.T.); #259269=ORIENTED_EDGE('',*,*,#196638,.F.); #259270=ORIENTED_EDGE('',*,*,#196639,.F.); #259271=ORIENTED_EDGE('',*,*,#196640,.T.); #259272=ORIENTED_EDGE('',*,*,#196639,.T.); #259273=ORIENTED_EDGE('',*,*,#196641,.F.); #259274=ORIENTED_EDGE('',*,*,#196642,.F.); #259275=ORIENTED_EDGE('',*,*,#196643,.T.); #259276=ORIENTED_EDGE('',*,*,#196642,.T.); #259277=ORIENTED_EDGE('',*,*,#196644,.F.); #259278=ORIENTED_EDGE('',*,*,#196645,.F.); #259279=ORIENTED_EDGE('',*,*,#196646,.T.); #259280=ORIENTED_EDGE('',*,*,#196645,.T.); #259281=ORIENTED_EDGE('',*,*,#196647,.F.); #259282=ORIENTED_EDGE('',*,*,#196648,.F.); #259283=ORIENTED_EDGE('',*,*,#196649,.T.); #259284=ORIENTED_EDGE('',*,*,#196648,.T.); #259285=ORIENTED_EDGE('',*,*,#196650,.F.); #259286=ORIENTED_EDGE('',*,*,#196651,.F.); #259287=ORIENTED_EDGE('',*,*,#196652,.T.); #259288=ORIENTED_EDGE('',*,*,#196651,.T.); #259289=ORIENTED_EDGE('',*,*,#196653,.F.); #259290=ORIENTED_EDGE('',*,*,#196654,.F.); #259291=ORIENTED_EDGE('',*,*,#196655,.T.); #259292=ORIENTED_EDGE('',*,*,#196654,.T.); #259293=ORIENTED_EDGE('',*,*,#196656,.F.); #259294=ORIENTED_EDGE('',*,*,#196657,.F.); #259295=ORIENTED_EDGE('',*,*,#196658,.T.); #259296=ORIENTED_EDGE('',*,*,#196657,.T.); #259297=ORIENTED_EDGE('',*,*,#196659,.F.); #259298=ORIENTED_EDGE('',*,*,#196660,.F.); #259299=ORIENTED_EDGE('',*,*,#196661,.T.); #259300=ORIENTED_EDGE('',*,*,#196660,.T.); #259301=ORIENTED_EDGE('',*,*,#196662,.F.); #259302=ORIENTED_EDGE('',*,*,#196663,.F.); #259303=ORIENTED_EDGE('',*,*,#196664,.T.); #259304=ORIENTED_EDGE('',*,*,#196663,.T.); #259305=ORIENTED_EDGE('',*,*,#196665,.F.); #259306=ORIENTED_EDGE('',*,*,#196666,.F.); #259307=ORIENTED_EDGE('',*,*,#196667,.T.); #259308=ORIENTED_EDGE('',*,*,#196666,.T.); #259309=ORIENTED_EDGE('',*,*,#196668,.F.); #259310=ORIENTED_EDGE('',*,*,#196669,.F.); #259311=ORIENTED_EDGE('',*,*,#196670,.T.); #259312=ORIENTED_EDGE('',*,*,#196669,.T.); #259313=ORIENTED_EDGE('',*,*,#196671,.F.); #259314=ORIENTED_EDGE('',*,*,#196613,.F.); #259315=ORIENTED_EDGE('',*,*,#196671,.T.); #259316=ORIENTED_EDGE('',*,*,#196668,.T.); #259317=ORIENTED_EDGE('',*,*,#196665,.T.); #259318=ORIENTED_EDGE('',*,*,#196662,.T.); #259319=ORIENTED_EDGE('',*,*,#196659,.T.); #259320=ORIENTED_EDGE('',*,*,#196656,.T.); #259321=ORIENTED_EDGE('',*,*,#196653,.T.); #259322=ORIENTED_EDGE('',*,*,#196650,.T.); #259323=ORIENTED_EDGE('',*,*,#196647,.T.); #259324=ORIENTED_EDGE('',*,*,#196644,.T.); #259325=ORIENTED_EDGE('',*,*,#196641,.T.); #259326=ORIENTED_EDGE('',*,*,#196638,.T.); #259327=ORIENTED_EDGE('',*,*,#196635,.T.); #259328=ORIENTED_EDGE('',*,*,#196632,.T.); #259329=ORIENTED_EDGE('',*,*,#196629,.T.); #259330=ORIENTED_EDGE('',*,*,#196626,.T.); #259331=ORIENTED_EDGE('',*,*,#196623,.T.); #259332=ORIENTED_EDGE('',*,*,#196620,.T.); #259333=ORIENTED_EDGE('',*,*,#196617,.T.); #259334=ORIENTED_EDGE('',*,*,#196614,.T.); #259335=ORIENTED_EDGE('',*,*,#196670,.F.); #259336=ORIENTED_EDGE('',*,*,#196612,.F.); #259337=ORIENTED_EDGE('',*,*,#196616,.F.); #259338=ORIENTED_EDGE('',*,*,#196619,.F.); #259339=ORIENTED_EDGE('',*,*,#196622,.F.); #259340=ORIENTED_EDGE('',*,*,#196625,.F.); #259341=ORIENTED_EDGE('',*,*,#196628,.F.); #259342=ORIENTED_EDGE('',*,*,#196631,.F.); #259343=ORIENTED_EDGE('',*,*,#196634,.F.); #259344=ORIENTED_EDGE('',*,*,#196637,.F.); #259345=ORIENTED_EDGE('',*,*,#196640,.F.); #259346=ORIENTED_EDGE('',*,*,#196643,.F.); #259347=ORIENTED_EDGE('',*,*,#196646,.F.); #259348=ORIENTED_EDGE('',*,*,#196649,.F.); #259349=ORIENTED_EDGE('',*,*,#196652,.F.); #259350=ORIENTED_EDGE('',*,*,#196655,.F.); #259351=ORIENTED_EDGE('',*,*,#196658,.F.); #259352=ORIENTED_EDGE('',*,*,#196661,.F.); #259353=ORIENTED_EDGE('',*,*,#196664,.F.); #259354=ORIENTED_EDGE('',*,*,#196667,.F.); #259355=ORIENTED_EDGE('',*,*,#196672,.T.); #259356=ORIENTED_EDGE('',*,*,#196673,.T.); #259357=ORIENTED_EDGE('',*,*,#196674,.F.); #259358=ORIENTED_EDGE('',*,*,#196675,.F.); #259359=ORIENTED_EDGE('',*,*,#196676,.T.); #259360=ORIENTED_EDGE('',*,*,#196675,.T.); #259361=ORIENTED_EDGE('',*,*,#196677,.F.); #259362=ORIENTED_EDGE('',*,*,#196678,.F.); #259363=ORIENTED_EDGE('',*,*,#196679,.T.); #259364=ORIENTED_EDGE('',*,*,#196678,.T.); #259365=ORIENTED_EDGE('',*,*,#196680,.F.); #259366=ORIENTED_EDGE('',*,*,#196681,.F.); #259367=ORIENTED_EDGE('',*,*,#196682,.T.); #259368=ORIENTED_EDGE('',*,*,#196681,.T.); #259369=ORIENTED_EDGE('',*,*,#196683,.F.); #259370=ORIENTED_EDGE('',*,*,#196684,.F.); #259371=ORIENTED_EDGE('',*,*,#196685,.T.); #259372=ORIENTED_EDGE('',*,*,#196684,.T.); #259373=ORIENTED_EDGE('',*,*,#196686,.F.); #259374=ORIENTED_EDGE('',*,*,#196687,.F.); #259375=ORIENTED_EDGE('',*,*,#196688,.T.); #259376=ORIENTED_EDGE('',*,*,#196687,.T.); #259377=ORIENTED_EDGE('',*,*,#196689,.F.); #259378=ORIENTED_EDGE('',*,*,#196690,.F.); #259379=ORIENTED_EDGE('',*,*,#196691,.T.); #259380=ORIENTED_EDGE('',*,*,#196690,.T.); #259381=ORIENTED_EDGE('',*,*,#196692,.F.); #259382=ORIENTED_EDGE('',*,*,#196693,.F.); #259383=ORIENTED_EDGE('',*,*,#196694,.T.); #259384=ORIENTED_EDGE('',*,*,#196693,.T.); #259385=ORIENTED_EDGE('',*,*,#196695,.F.); #259386=ORIENTED_EDGE('',*,*,#196696,.F.); #259387=ORIENTED_EDGE('',*,*,#196697,.T.); #259388=ORIENTED_EDGE('',*,*,#196696,.T.); #259389=ORIENTED_EDGE('',*,*,#196698,.F.); #259390=ORIENTED_EDGE('',*,*,#196699,.F.); #259391=ORIENTED_EDGE('',*,*,#196700,.T.); #259392=ORIENTED_EDGE('',*,*,#196699,.T.); #259393=ORIENTED_EDGE('',*,*,#196701,.F.); #259394=ORIENTED_EDGE('',*,*,#196702,.F.); #259395=ORIENTED_EDGE('',*,*,#196703,.T.); #259396=ORIENTED_EDGE('',*,*,#196702,.T.); #259397=ORIENTED_EDGE('',*,*,#196704,.F.); #259398=ORIENTED_EDGE('',*,*,#196705,.F.); #259399=ORIENTED_EDGE('',*,*,#196706,.T.); #259400=ORIENTED_EDGE('',*,*,#196705,.T.); #259401=ORIENTED_EDGE('',*,*,#196707,.F.); #259402=ORIENTED_EDGE('',*,*,#196708,.F.); #259403=ORIENTED_EDGE('',*,*,#196709,.T.); #259404=ORIENTED_EDGE('',*,*,#196708,.T.); #259405=ORIENTED_EDGE('',*,*,#196710,.F.); #259406=ORIENTED_EDGE('',*,*,#196711,.F.); #259407=ORIENTED_EDGE('',*,*,#196712,.T.); #259408=ORIENTED_EDGE('',*,*,#196711,.T.); #259409=ORIENTED_EDGE('',*,*,#196713,.F.); #259410=ORIENTED_EDGE('',*,*,#196714,.F.); #259411=ORIENTED_EDGE('',*,*,#196715,.T.); #259412=ORIENTED_EDGE('',*,*,#196714,.T.); #259413=ORIENTED_EDGE('',*,*,#196716,.F.); #259414=ORIENTED_EDGE('',*,*,#196717,.F.); #259415=ORIENTED_EDGE('',*,*,#196718,.T.); #259416=ORIENTED_EDGE('',*,*,#196717,.T.); #259417=ORIENTED_EDGE('',*,*,#196719,.F.); #259418=ORIENTED_EDGE('',*,*,#196720,.F.); #259419=ORIENTED_EDGE('',*,*,#196721,.T.); #259420=ORIENTED_EDGE('',*,*,#196720,.T.); #259421=ORIENTED_EDGE('',*,*,#196722,.F.); #259422=ORIENTED_EDGE('',*,*,#196723,.F.); #259423=ORIENTED_EDGE('',*,*,#196724,.T.); #259424=ORIENTED_EDGE('',*,*,#196723,.T.); #259425=ORIENTED_EDGE('',*,*,#196725,.F.); #259426=ORIENTED_EDGE('',*,*,#196726,.F.); #259427=ORIENTED_EDGE('',*,*,#196727,.T.); #259428=ORIENTED_EDGE('',*,*,#196726,.T.); #259429=ORIENTED_EDGE('',*,*,#196728,.F.); #259430=ORIENTED_EDGE('',*,*,#196729,.F.); #259431=ORIENTED_EDGE('',*,*,#196730,.T.); #259432=ORIENTED_EDGE('',*,*,#196729,.T.); #259433=ORIENTED_EDGE('',*,*,#196731,.F.); #259434=ORIENTED_EDGE('',*,*,#196732,.F.); #259435=ORIENTED_EDGE('',*,*,#196733,.T.); #259436=ORIENTED_EDGE('',*,*,#196732,.T.); #259437=ORIENTED_EDGE('',*,*,#196734,.F.); #259438=ORIENTED_EDGE('',*,*,#196735,.F.); #259439=ORIENTED_EDGE('',*,*,#196736,.T.); #259440=ORIENTED_EDGE('',*,*,#196735,.T.); #259441=ORIENTED_EDGE('',*,*,#196737,.F.); #259442=ORIENTED_EDGE('',*,*,#196738,.F.); #259443=ORIENTED_EDGE('',*,*,#196739,.T.); #259444=ORIENTED_EDGE('',*,*,#196738,.T.); #259445=ORIENTED_EDGE('',*,*,#196740,.F.); #259446=ORIENTED_EDGE('',*,*,#196673,.F.); #259447=ORIENTED_EDGE('',*,*,#196740,.T.); #259448=ORIENTED_EDGE('',*,*,#196737,.T.); #259449=ORIENTED_EDGE('',*,*,#196734,.T.); #259450=ORIENTED_EDGE('',*,*,#196731,.T.); #259451=ORIENTED_EDGE('',*,*,#196728,.T.); #259452=ORIENTED_EDGE('',*,*,#196725,.T.); #259453=ORIENTED_EDGE('',*,*,#196722,.T.); #259454=ORIENTED_EDGE('',*,*,#196719,.T.); #259455=ORIENTED_EDGE('',*,*,#196716,.T.); #259456=ORIENTED_EDGE('',*,*,#196713,.T.); #259457=ORIENTED_EDGE('',*,*,#196710,.T.); #259458=ORIENTED_EDGE('',*,*,#196707,.T.); #259459=ORIENTED_EDGE('',*,*,#196704,.T.); #259460=ORIENTED_EDGE('',*,*,#196701,.T.); #259461=ORIENTED_EDGE('',*,*,#196698,.T.); #259462=ORIENTED_EDGE('',*,*,#196695,.T.); #259463=ORIENTED_EDGE('',*,*,#196692,.T.); #259464=ORIENTED_EDGE('',*,*,#196689,.T.); #259465=ORIENTED_EDGE('',*,*,#196686,.T.); #259466=ORIENTED_EDGE('',*,*,#196683,.T.); #259467=ORIENTED_EDGE('',*,*,#196680,.T.); #259468=ORIENTED_EDGE('',*,*,#196677,.T.); #259469=ORIENTED_EDGE('',*,*,#196674,.T.); #259470=ORIENTED_EDGE('',*,*,#196739,.F.); #259471=ORIENTED_EDGE('',*,*,#196672,.F.); #259472=ORIENTED_EDGE('',*,*,#196676,.F.); #259473=ORIENTED_EDGE('',*,*,#196679,.F.); #259474=ORIENTED_EDGE('',*,*,#196682,.F.); #259475=ORIENTED_EDGE('',*,*,#196685,.F.); #259476=ORIENTED_EDGE('',*,*,#196688,.F.); #259477=ORIENTED_EDGE('',*,*,#196691,.F.); #259478=ORIENTED_EDGE('',*,*,#196694,.F.); #259479=ORIENTED_EDGE('',*,*,#196697,.F.); #259480=ORIENTED_EDGE('',*,*,#196700,.F.); #259481=ORIENTED_EDGE('',*,*,#196703,.F.); #259482=ORIENTED_EDGE('',*,*,#196706,.F.); #259483=ORIENTED_EDGE('',*,*,#196709,.F.); #259484=ORIENTED_EDGE('',*,*,#196712,.F.); #259485=ORIENTED_EDGE('',*,*,#196715,.F.); #259486=ORIENTED_EDGE('',*,*,#196718,.F.); #259487=ORIENTED_EDGE('',*,*,#196721,.F.); #259488=ORIENTED_EDGE('',*,*,#196724,.F.); #259489=ORIENTED_EDGE('',*,*,#196727,.F.); #259490=ORIENTED_EDGE('',*,*,#196730,.F.); #259491=ORIENTED_EDGE('',*,*,#196733,.F.); #259492=ORIENTED_EDGE('',*,*,#196736,.F.); #259493=ORIENTED_EDGE('',*,*,#196741,.T.); #259494=ORIENTED_EDGE('',*,*,#196742,.T.); #259495=ORIENTED_EDGE('',*,*,#196743,.F.); #259496=ORIENTED_EDGE('',*,*,#196744,.F.); #259497=ORIENTED_EDGE('',*,*,#196745,.T.); #259498=ORIENTED_EDGE('',*,*,#196744,.T.); #259499=ORIENTED_EDGE('',*,*,#196746,.F.); #259500=ORIENTED_EDGE('',*,*,#196747,.F.); #259501=ORIENTED_EDGE('',*,*,#196748,.T.); #259502=ORIENTED_EDGE('',*,*,#196747,.T.); #259503=ORIENTED_EDGE('',*,*,#196749,.F.); #259504=ORIENTED_EDGE('',*,*,#196750,.F.); #259505=ORIENTED_EDGE('',*,*,#196751,.T.); #259506=ORIENTED_EDGE('',*,*,#196750,.T.); #259507=ORIENTED_EDGE('',*,*,#196752,.F.); #259508=ORIENTED_EDGE('',*,*,#196753,.F.); #259509=ORIENTED_EDGE('',*,*,#196754,.T.); #259510=ORIENTED_EDGE('',*,*,#196753,.T.); #259511=ORIENTED_EDGE('',*,*,#196755,.F.); #259512=ORIENTED_EDGE('',*,*,#196756,.F.); #259513=ORIENTED_EDGE('',*,*,#196757,.T.); #259514=ORIENTED_EDGE('',*,*,#196756,.T.); #259515=ORIENTED_EDGE('',*,*,#196758,.F.); #259516=ORIENTED_EDGE('',*,*,#196759,.F.); #259517=ORIENTED_EDGE('',*,*,#196760,.T.); #259518=ORIENTED_EDGE('',*,*,#196759,.T.); #259519=ORIENTED_EDGE('',*,*,#196761,.F.); #259520=ORIENTED_EDGE('',*,*,#196762,.F.); #259521=ORIENTED_EDGE('',*,*,#196763,.T.); #259522=ORIENTED_EDGE('',*,*,#196762,.T.); #259523=ORIENTED_EDGE('',*,*,#196764,.F.); #259524=ORIENTED_EDGE('',*,*,#196765,.F.); #259525=ORIENTED_EDGE('',*,*,#196766,.T.); #259526=ORIENTED_EDGE('',*,*,#196765,.T.); #259527=ORIENTED_EDGE('',*,*,#196767,.F.); #259528=ORIENTED_EDGE('',*,*,#196768,.F.); #259529=ORIENTED_EDGE('',*,*,#196769,.T.); #259530=ORIENTED_EDGE('',*,*,#196768,.T.); #259531=ORIENTED_EDGE('',*,*,#196770,.F.); #259532=ORIENTED_EDGE('',*,*,#196771,.F.); #259533=ORIENTED_EDGE('',*,*,#196772,.T.); #259534=ORIENTED_EDGE('',*,*,#196771,.T.); #259535=ORIENTED_EDGE('',*,*,#196773,.F.); #259536=ORIENTED_EDGE('',*,*,#196774,.F.); #259537=ORIENTED_EDGE('',*,*,#196775,.T.); #259538=ORIENTED_EDGE('',*,*,#196774,.T.); #259539=ORIENTED_EDGE('',*,*,#196776,.F.); #259540=ORIENTED_EDGE('',*,*,#196777,.F.); #259541=ORIENTED_EDGE('',*,*,#196778,.T.); #259542=ORIENTED_EDGE('',*,*,#196777,.T.); #259543=ORIENTED_EDGE('',*,*,#196779,.F.); #259544=ORIENTED_EDGE('',*,*,#196780,.F.); #259545=ORIENTED_EDGE('',*,*,#196781,.T.); #259546=ORIENTED_EDGE('',*,*,#196780,.T.); #259547=ORIENTED_EDGE('',*,*,#196782,.F.); #259548=ORIENTED_EDGE('',*,*,#196783,.F.); #259549=ORIENTED_EDGE('',*,*,#196784,.T.); #259550=ORIENTED_EDGE('',*,*,#196783,.T.); #259551=ORIENTED_EDGE('',*,*,#196785,.F.); #259552=ORIENTED_EDGE('',*,*,#196786,.F.); #259553=ORIENTED_EDGE('',*,*,#196787,.T.); #259554=ORIENTED_EDGE('',*,*,#196786,.T.); #259555=ORIENTED_EDGE('',*,*,#196788,.F.); #259556=ORIENTED_EDGE('',*,*,#196789,.F.); #259557=ORIENTED_EDGE('',*,*,#196790,.T.); #259558=ORIENTED_EDGE('',*,*,#196789,.T.); #259559=ORIENTED_EDGE('',*,*,#196791,.F.); #259560=ORIENTED_EDGE('',*,*,#196792,.F.); #259561=ORIENTED_EDGE('',*,*,#196793,.T.); #259562=ORIENTED_EDGE('',*,*,#196792,.T.); #259563=ORIENTED_EDGE('',*,*,#196794,.F.); #259564=ORIENTED_EDGE('',*,*,#196795,.F.); #259565=ORIENTED_EDGE('',*,*,#196796,.T.); #259566=ORIENTED_EDGE('',*,*,#196795,.T.); #259567=ORIENTED_EDGE('',*,*,#196797,.F.); #259568=ORIENTED_EDGE('',*,*,#196798,.F.); #259569=ORIENTED_EDGE('',*,*,#196799,.T.); #259570=ORIENTED_EDGE('',*,*,#196798,.T.); #259571=ORIENTED_EDGE('',*,*,#196800,.F.); #259572=ORIENTED_EDGE('',*,*,#196801,.F.); #259573=ORIENTED_EDGE('',*,*,#196802,.T.); #259574=ORIENTED_EDGE('',*,*,#196801,.T.); #259575=ORIENTED_EDGE('',*,*,#196803,.F.); #259576=ORIENTED_EDGE('',*,*,#196742,.F.); #259577=ORIENTED_EDGE('',*,*,#196803,.T.); #259578=ORIENTED_EDGE('',*,*,#196800,.T.); #259579=ORIENTED_EDGE('',*,*,#196797,.T.); #259580=ORIENTED_EDGE('',*,*,#196794,.T.); #259581=ORIENTED_EDGE('',*,*,#196791,.T.); #259582=ORIENTED_EDGE('',*,*,#196788,.T.); #259583=ORIENTED_EDGE('',*,*,#196785,.T.); #259584=ORIENTED_EDGE('',*,*,#196782,.T.); #259585=ORIENTED_EDGE('',*,*,#196779,.T.); #259586=ORIENTED_EDGE('',*,*,#196776,.T.); #259587=ORIENTED_EDGE('',*,*,#196773,.T.); #259588=ORIENTED_EDGE('',*,*,#196770,.T.); #259589=ORIENTED_EDGE('',*,*,#196767,.T.); #259590=ORIENTED_EDGE('',*,*,#196764,.T.); #259591=ORIENTED_EDGE('',*,*,#196761,.T.); #259592=ORIENTED_EDGE('',*,*,#196758,.T.); #259593=ORIENTED_EDGE('',*,*,#196755,.T.); #259594=ORIENTED_EDGE('',*,*,#196752,.T.); #259595=ORIENTED_EDGE('',*,*,#196749,.T.); #259596=ORIENTED_EDGE('',*,*,#196746,.T.); #259597=ORIENTED_EDGE('',*,*,#196743,.T.); #259598=ORIENTED_EDGE('',*,*,#196802,.F.); #259599=ORIENTED_EDGE('',*,*,#196741,.F.); #259600=ORIENTED_EDGE('',*,*,#196745,.F.); #259601=ORIENTED_EDGE('',*,*,#196748,.F.); #259602=ORIENTED_EDGE('',*,*,#196751,.F.); #259603=ORIENTED_EDGE('',*,*,#196754,.F.); #259604=ORIENTED_EDGE('',*,*,#196757,.F.); #259605=ORIENTED_EDGE('',*,*,#196760,.F.); #259606=ORIENTED_EDGE('',*,*,#196763,.F.); #259607=ORIENTED_EDGE('',*,*,#196766,.F.); #259608=ORIENTED_EDGE('',*,*,#196769,.F.); #259609=ORIENTED_EDGE('',*,*,#196772,.F.); #259610=ORIENTED_EDGE('',*,*,#196775,.F.); #259611=ORIENTED_EDGE('',*,*,#196778,.F.); #259612=ORIENTED_EDGE('',*,*,#196781,.F.); #259613=ORIENTED_EDGE('',*,*,#196784,.F.); #259614=ORIENTED_EDGE('',*,*,#196787,.F.); #259615=ORIENTED_EDGE('',*,*,#196790,.F.); #259616=ORIENTED_EDGE('',*,*,#196793,.F.); #259617=ORIENTED_EDGE('',*,*,#196796,.F.); #259618=ORIENTED_EDGE('',*,*,#196799,.F.); #259619=ORIENTED_EDGE('',*,*,#196804,.T.); #259620=ORIENTED_EDGE('',*,*,#196805,.T.); #259621=ORIENTED_EDGE('',*,*,#196806,.F.); #259622=ORIENTED_EDGE('',*,*,#196807,.F.); #259623=ORIENTED_EDGE('',*,*,#196808,.T.); #259624=ORIENTED_EDGE('',*,*,#196807,.T.); #259625=ORIENTED_EDGE('',*,*,#196809,.F.); #259626=ORIENTED_EDGE('',*,*,#196810,.F.); #259627=ORIENTED_EDGE('',*,*,#196811,.T.); #259628=ORIENTED_EDGE('',*,*,#196810,.T.); #259629=ORIENTED_EDGE('',*,*,#196812,.F.); #259630=ORIENTED_EDGE('',*,*,#196813,.F.); #259631=ORIENTED_EDGE('',*,*,#196814,.T.); #259632=ORIENTED_EDGE('',*,*,#196813,.T.); #259633=ORIENTED_EDGE('',*,*,#196815,.F.); #259634=ORIENTED_EDGE('',*,*,#196816,.F.); #259635=ORIENTED_EDGE('',*,*,#196817,.T.); #259636=ORIENTED_EDGE('',*,*,#196816,.T.); #259637=ORIENTED_EDGE('',*,*,#196818,.F.); #259638=ORIENTED_EDGE('',*,*,#196819,.F.); #259639=ORIENTED_EDGE('',*,*,#196820,.T.); #259640=ORIENTED_EDGE('',*,*,#196819,.T.); #259641=ORIENTED_EDGE('',*,*,#196821,.F.); #259642=ORIENTED_EDGE('',*,*,#196822,.F.); #259643=ORIENTED_EDGE('',*,*,#196823,.T.); #259644=ORIENTED_EDGE('',*,*,#196822,.T.); #259645=ORIENTED_EDGE('',*,*,#196824,.F.); #259646=ORIENTED_EDGE('',*,*,#196825,.F.); #259647=ORIENTED_EDGE('',*,*,#196826,.T.); #259648=ORIENTED_EDGE('',*,*,#196825,.T.); #259649=ORIENTED_EDGE('',*,*,#196827,.F.); #259650=ORIENTED_EDGE('',*,*,#196828,.F.); #259651=ORIENTED_EDGE('',*,*,#196829,.T.); #259652=ORIENTED_EDGE('',*,*,#196828,.T.); #259653=ORIENTED_EDGE('',*,*,#196830,.F.); #259654=ORIENTED_EDGE('',*,*,#196831,.F.); #259655=ORIENTED_EDGE('',*,*,#196832,.T.); #259656=ORIENTED_EDGE('',*,*,#196831,.T.); #259657=ORIENTED_EDGE('',*,*,#196833,.F.); #259658=ORIENTED_EDGE('',*,*,#196834,.F.); #259659=ORIENTED_EDGE('',*,*,#196835,.T.); #259660=ORIENTED_EDGE('',*,*,#196834,.T.); #259661=ORIENTED_EDGE('',*,*,#196836,.F.); #259662=ORIENTED_EDGE('',*,*,#196837,.F.); #259663=ORIENTED_EDGE('',*,*,#196838,.T.); #259664=ORIENTED_EDGE('',*,*,#196837,.T.); #259665=ORIENTED_EDGE('',*,*,#196839,.F.); #259666=ORIENTED_EDGE('',*,*,#196840,.F.); #259667=ORIENTED_EDGE('',*,*,#196841,.T.); #259668=ORIENTED_EDGE('',*,*,#196840,.T.); #259669=ORIENTED_EDGE('',*,*,#196842,.F.); #259670=ORIENTED_EDGE('',*,*,#196843,.F.); #259671=ORIENTED_EDGE('',*,*,#196844,.T.); #259672=ORIENTED_EDGE('',*,*,#196843,.T.); #259673=ORIENTED_EDGE('',*,*,#196845,.F.); #259674=ORIENTED_EDGE('',*,*,#196846,.F.); #259675=ORIENTED_EDGE('',*,*,#196847,.T.); #259676=ORIENTED_EDGE('',*,*,#196846,.T.); #259677=ORIENTED_EDGE('',*,*,#196848,.F.); #259678=ORIENTED_EDGE('',*,*,#196849,.F.); #259679=ORIENTED_EDGE('',*,*,#196850,.T.); #259680=ORIENTED_EDGE('',*,*,#196849,.T.); #259681=ORIENTED_EDGE('',*,*,#196851,.F.); #259682=ORIENTED_EDGE('',*,*,#196852,.F.); #259683=ORIENTED_EDGE('',*,*,#196853,.T.); #259684=ORIENTED_EDGE('',*,*,#196852,.T.); #259685=ORIENTED_EDGE('',*,*,#196854,.F.); #259686=ORIENTED_EDGE('',*,*,#196855,.F.); #259687=ORIENTED_EDGE('',*,*,#196856,.T.); #259688=ORIENTED_EDGE('',*,*,#196855,.T.); #259689=ORIENTED_EDGE('',*,*,#196857,.F.); #259690=ORIENTED_EDGE('',*,*,#196858,.F.); #259691=ORIENTED_EDGE('',*,*,#196859,.T.); #259692=ORIENTED_EDGE('',*,*,#196858,.T.); #259693=ORIENTED_EDGE('',*,*,#196860,.F.); #259694=ORIENTED_EDGE('',*,*,#196861,.F.); #259695=ORIENTED_EDGE('',*,*,#196862,.T.); #259696=ORIENTED_EDGE('',*,*,#196861,.T.); #259697=ORIENTED_EDGE('',*,*,#196863,.F.); #259698=ORIENTED_EDGE('',*,*,#196864,.F.); #259699=ORIENTED_EDGE('',*,*,#196865,.T.); #259700=ORIENTED_EDGE('',*,*,#196864,.T.); #259701=ORIENTED_EDGE('',*,*,#196866,.F.); #259702=ORIENTED_EDGE('',*,*,#196867,.F.); #259703=ORIENTED_EDGE('',*,*,#196868,.T.); #259704=ORIENTED_EDGE('',*,*,#196867,.T.); #259705=ORIENTED_EDGE('',*,*,#196869,.F.); #259706=ORIENTED_EDGE('',*,*,#196870,.F.); #259707=ORIENTED_EDGE('',*,*,#196871,.T.); #259708=ORIENTED_EDGE('',*,*,#196870,.T.); #259709=ORIENTED_EDGE('',*,*,#196872,.F.); #259710=ORIENTED_EDGE('',*,*,#196873,.F.); #259711=ORIENTED_EDGE('',*,*,#196874,.T.); #259712=ORIENTED_EDGE('',*,*,#196873,.T.); #259713=ORIENTED_EDGE('',*,*,#196875,.F.); #259714=ORIENTED_EDGE('',*,*,#196876,.F.); #259715=ORIENTED_EDGE('',*,*,#196877,.T.); #259716=ORIENTED_EDGE('',*,*,#196876,.T.); #259717=ORIENTED_EDGE('',*,*,#196878,.F.); #259718=ORIENTED_EDGE('',*,*,#196879,.F.); #259719=ORIENTED_EDGE('',*,*,#196880,.T.); #259720=ORIENTED_EDGE('',*,*,#196879,.T.); #259721=ORIENTED_EDGE('',*,*,#196881,.F.); #259722=ORIENTED_EDGE('',*,*,#196882,.F.); #259723=ORIENTED_EDGE('',*,*,#196883,.T.); #259724=ORIENTED_EDGE('',*,*,#196882,.T.); #259725=ORIENTED_EDGE('',*,*,#196884,.F.); #259726=ORIENTED_EDGE('',*,*,#196885,.F.); #259727=ORIENTED_EDGE('',*,*,#196886,.T.); #259728=ORIENTED_EDGE('',*,*,#196885,.T.); #259729=ORIENTED_EDGE('',*,*,#196887,.F.); #259730=ORIENTED_EDGE('',*,*,#196888,.F.); #259731=ORIENTED_EDGE('',*,*,#196889,.T.); #259732=ORIENTED_EDGE('',*,*,#196888,.T.); #259733=ORIENTED_EDGE('',*,*,#196890,.F.); #259734=ORIENTED_EDGE('',*,*,#196891,.F.); #259735=ORIENTED_EDGE('',*,*,#196892,.T.); #259736=ORIENTED_EDGE('',*,*,#196891,.T.); #259737=ORIENTED_EDGE('',*,*,#196893,.F.); #259738=ORIENTED_EDGE('',*,*,#196805,.F.); #259739=ORIENTED_EDGE('',*,*,#196893,.T.); #259740=ORIENTED_EDGE('',*,*,#196890,.T.); #259741=ORIENTED_EDGE('',*,*,#196887,.T.); #259742=ORIENTED_EDGE('',*,*,#196884,.T.); #259743=ORIENTED_EDGE('',*,*,#196881,.T.); #259744=ORIENTED_EDGE('',*,*,#196878,.T.); #259745=ORIENTED_EDGE('',*,*,#196875,.T.); #259746=ORIENTED_EDGE('',*,*,#196872,.T.); #259747=ORIENTED_EDGE('',*,*,#196869,.T.); #259748=ORIENTED_EDGE('',*,*,#196866,.T.); #259749=ORIENTED_EDGE('',*,*,#196863,.T.); #259750=ORIENTED_EDGE('',*,*,#196860,.T.); #259751=ORIENTED_EDGE('',*,*,#196857,.T.); #259752=ORIENTED_EDGE('',*,*,#196854,.T.); #259753=ORIENTED_EDGE('',*,*,#196851,.T.); #259754=ORIENTED_EDGE('',*,*,#196848,.T.); #259755=ORIENTED_EDGE('',*,*,#196845,.T.); #259756=ORIENTED_EDGE('',*,*,#196842,.T.); #259757=ORIENTED_EDGE('',*,*,#196839,.T.); #259758=ORIENTED_EDGE('',*,*,#196836,.T.); #259759=ORIENTED_EDGE('',*,*,#196833,.T.); #259760=ORIENTED_EDGE('',*,*,#196830,.T.); #259761=ORIENTED_EDGE('',*,*,#196827,.T.); #259762=ORIENTED_EDGE('',*,*,#196824,.T.); #259763=ORIENTED_EDGE('',*,*,#196821,.T.); #259764=ORIENTED_EDGE('',*,*,#196818,.T.); #259765=ORIENTED_EDGE('',*,*,#196815,.T.); #259766=ORIENTED_EDGE('',*,*,#196812,.T.); #259767=ORIENTED_EDGE('',*,*,#196809,.T.); #259768=ORIENTED_EDGE('',*,*,#196806,.T.); #259769=ORIENTED_EDGE('',*,*,#196892,.F.); #259770=ORIENTED_EDGE('',*,*,#196804,.F.); #259771=ORIENTED_EDGE('',*,*,#196808,.F.); #259772=ORIENTED_EDGE('',*,*,#196811,.F.); #259773=ORIENTED_EDGE('',*,*,#196814,.F.); #259774=ORIENTED_EDGE('',*,*,#196817,.F.); #259775=ORIENTED_EDGE('',*,*,#196820,.F.); #259776=ORIENTED_EDGE('',*,*,#196823,.F.); #259777=ORIENTED_EDGE('',*,*,#196826,.F.); #259778=ORIENTED_EDGE('',*,*,#196829,.F.); #259779=ORIENTED_EDGE('',*,*,#196832,.F.); #259780=ORIENTED_EDGE('',*,*,#196835,.F.); #259781=ORIENTED_EDGE('',*,*,#196838,.F.); #259782=ORIENTED_EDGE('',*,*,#196841,.F.); #259783=ORIENTED_EDGE('',*,*,#196844,.F.); #259784=ORIENTED_EDGE('',*,*,#196847,.F.); #259785=ORIENTED_EDGE('',*,*,#196850,.F.); #259786=ORIENTED_EDGE('',*,*,#196853,.F.); #259787=ORIENTED_EDGE('',*,*,#196856,.F.); #259788=ORIENTED_EDGE('',*,*,#196859,.F.); #259789=ORIENTED_EDGE('',*,*,#196862,.F.); #259790=ORIENTED_EDGE('',*,*,#196865,.F.); #259791=ORIENTED_EDGE('',*,*,#196868,.F.); #259792=ORIENTED_EDGE('',*,*,#196871,.F.); #259793=ORIENTED_EDGE('',*,*,#196874,.F.); #259794=ORIENTED_EDGE('',*,*,#196877,.F.); #259795=ORIENTED_EDGE('',*,*,#196880,.F.); #259796=ORIENTED_EDGE('',*,*,#196883,.F.); #259797=ORIENTED_EDGE('',*,*,#196886,.F.); #259798=ORIENTED_EDGE('',*,*,#196889,.F.); #259799=ORIENTED_EDGE('',*,*,#196894,.T.); #259800=ORIENTED_EDGE('',*,*,#196895,.T.); #259801=ORIENTED_EDGE('',*,*,#196896,.F.); #259802=ORIENTED_EDGE('',*,*,#196897,.F.); #259803=ORIENTED_EDGE('',*,*,#196898,.T.); #259804=ORIENTED_EDGE('',*,*,#196897,.T.); #259805=ORIENTED_EDGE('',*,*,#196899,.F.); #259806=ORIENTED_EDGE('',*,*,#196900,.F.); #259807=ORIENTED_EDGE('',*,*,#196901,.T.); #259808=ORIENTED_EDGE('',*,*,#196900,.T.); #259809=ORIENTED_EDGE('',*,*,#196902,.F.); #259810=ORIENTED_EDGE('',*,*,#196903,.F.); #259811=ORIENTED_EDGE('',*,*,#196904,.T.); #259812=ORIENTED_EDGE('',*,*,#196903,.T.); #259813=ORIENTED_EDGE('',*,*,#196905,.F.); #259814=ORIENTED_EDGE('',*,*,#196906,.F.); #259815=ORIENTED_EDGE('',*,*,#196907,.T.); #259816=ORIENTED_EDGE('',*,*,#196906,.T.); #259817=ORIENTED_EDGE('',*,*,#196908,.F.); #259818=ORIENTED_EDGE('',*,*,#196909,.F.); #259819=ORIENTED_EDGE('',*,*,#196910,.T.); #259820=ORIENTED_EDGE('',*,*,#196909,.T.); #259821=ORIENTED_EDGE('',*,*,#196911,.F.); #259822=ORIENTED_EDGE('',*,*,#196912,.F.); #259823=ORIENTED_EDGE('',*,*,#196913,.T.); #259824=ORIENTED_EDGE('',*,*,#196912,.T.); #259825=ORIENTED_EDGE('',*,*,#196914,.F.); #259826=ORIENTED_EDGE('',*,*,#196915,.F.); #259827=ORIENTED_EDGE('',*,*,#196916,.T.); #259828=ORIENTED_EDGE('',*,*,#196915,.T.); #259829=ORIENTED_EDGE('',*,*,#196917,.F.); #259830=ORIENTED_EDGE('',*,*,#196918,.F.); #259831=ORIENTED_EDGE('',*,*,#196919,.T.); #259832=ORIENTED_EDGE('',*,*,#196918,.T.); #259833=ORIENTED_EDGE('',*,*,#196920,.F.); #259834=ORIENTED_EDGE('',*,*,#196921,.F.); #259835=ORIENTED_EDGE('',*,*,#196922,.T.); #259836=ORIENTED_EDGE('',*,*,#196921,.T.); #259837=ORIENTED_EDGE('',*,*,#196923,.F.); #259838=ORIENTED_EDGE('',*,*,#196924,.F.); #259839=ORIENTED_EDGE('',*,*,#196925,.T.); #259840=ORIENTED_EDGE('',*,*,#196924,.T.); #259841=ORIENTED_EDGE('',*,*,#196926,.F.); #259842=ORIENTED_EDGE('',*,*,#196927,.F.); #259843=ORIENTED_EDGE('',*,*,#196928,.T.); #259844=ORIENTED_EDGE('',*,*,#196927,.T.); #259845=ORIENTED_EDGE('',*,*,#196929,.F.); #259846=ORIENTED_EDGE('',*,*,#196930,.F.); #259847=ORIENTED_EDGE('',*,*,#196931,.T.); #259848=ORIENTED_EDGE('',*,*,#196930,.T.); #259849=ORIENTED_EDGE('',*,*,#196932,.F.); #259850=ORIENTED_EDGE('',*,*,#196933,.F.); #259851=ORIENTED_EDGE('',*,*,#196934,.T.); #259852=ORIENTED_EDGE('',*,*,#196933,.T.); #259853=ORIENTED_EDGE('',*,*,#196935,.F.); #259854=ORIENTED_EDGE('',*,*,#196936,.F.); #259855=ORIENTED_EDGE('',*,*,#196937,.T.); #259856=ORIENTED_EDGE('',*,*,#196936,.T.); #259857=ORIENTED_EDGE('',*,*,#196938,.F.); #259858=ORIENTED_EDGE('',*,*,#196939,.F.); #259859=ORIENTED_EDGE('',*,*,#196940,.T.); #259860=ORIENTED_EDGE('',*,*,#196939,.T.); #259861=ORIENTED_EDGE('',*,*,#196941,.F.); #259862=ORIENTED_EDGE('',*,*,#196942,.F.); #259863=ORIENTED_EDGE('',*,*,#196943,.T.); #259864=ORIENTED_EDGE('',*,*,#196942,.T.); #259865=ORIENTED_EDGE('',*,*,#196944,.F.); #259866=ORIENTED_EDGE('',*,*,#196945,.F.); #259867=ORIENTED_EDGE('',*,*,#196946,.T.); #259868=ORIENTED_EDGE('',*,*,#196945,.T.); #259869=ORIENTED_EDGE('',*,*,#196947,.F.); #259870=ORIENTED_EDGE('',*,*,#196948,.F.); #259871=ORIENTED_EDGE('',*,*,#196949,.T.); #259872=ORIENTED_EDGE('',*,*,#196948,.T.); #259873=ORIENTED_EDGE('',*,*,#196950,.F.); #259874=ORIENTED_EDGE('',*,*,#196951,.F.); #259875=ORIENTED_EDGE('',*,*,#196952,.T.); #259876=ORIENTED_EDGE('',*,*,#196951,.T.); #259877=ORIENTED_EDGE('',*,*,#196953,.F.); #259878=ORIENTED_EDGE('',*,*,#196895,.F.); #259879=ORIENTED_EDGE('',*,*,#196953,.T.); #259880=ORIENTED_EDGE('',*,*,#196950,.T.); #259881=ORIENTED_EDGE('',*,*,#196947,.T.); #259882=ORIENTED_EDGE('',*,*,#196944,.T.); #259883=ORIENTED_EDGE('',*,*,#196941,.T.); #259884=ORIENTED_EDGE('',*,*,#196938,.T.); #259885=ORIENTED_EDGE('',*,*,#196935,.T.); #259886=ORIENTED_EDGE('',*,*,#196932,.T.); #259887=ORIENTED_EDGE('',*,*,#196929,.T.); #259888=ORIENTED_EDGE('',*,*,#196926,.T.); #259889=ORIENTED_EDGE('',*,*,#196923,.T.); #259890=ORIENTED_EDGE('',*,*,#196920,.T.); #259891=ORIENTED_EDGE('',*,*,#196917,.T.); #259892=ORIENTED_EDGE('',*,*,#196914,.T.); #259893=ORIENTED_EDGE('',*,*,#196911,.T.); #259894=ORIENTED_EDGE('',*,*,#196908,.T.); #259895=ORIENTED_EDGE('',*,*,#196905,.T.); #259896=ORIENTED_EDGE('',*,*,#196902,.T.); #259897=ORIENTED_EDGE('',*,*,#196899,.T.); #259898=ORIENTED_EDGE('',*,*,#196896,.T.); #259899=ORIENTED_EDGE('',*,*,#196952,.F.); #259900=ORIENTED_EDGE('',*,*,#196894,.F.); #259901=ORIENTED_EDGE('',*,*,#196898,.F.); #259902=ORIENTED_EDGE('',*,*,#196901,.F.); #259903=ORIENTED_EDGE('',*,*,#196904,.F.); #259904=ORIENTED_EDGE('',*,*,#196907,.F.); #259905=ORIENTED_EDGE('',*,*,#196910,.F.); #259906=ORIENTED_EDGE('',*,*,#196913,.F.); #259907=ORIENTED_EDGE('',*,*,#196916,.F.); #259908=ORIENTED_EDGE('',*,*,#196919,.F.); #259909=ORIENTED_EDGE('',*,*,#196922,.F.); #259910=ORIENTED_EDGE('',*,*,#196925,.F.); #259911=ORIENTED_EDGE('',*,*,#196928,.F.); #259912=ORIENTED_EDGE('',*,*,#196931,.F.); #259913=ORIENTED_EDGE('',*,*,#196934,.F.); #259914=ORIENTED_EDGE('',*,*,#196937,.F.); #259915=ORIENTED_EDGE('',*,*,#196940,.F.); #259916=ORIENTED_EDGE('',*,*,#196943,.F.); #259917=ORIENTED_EDGE('',*,*,#196946,.F.); #259918=ORIENTED_EDGE('',*,*,#196949,.F.); #259919=ORIENTED_EDGE('',*,*,#196954,.T.); #259920=ORIENTED_EDGE('',*,*,#196955,.T.); #259921=ORIENTED_EDGE('',*,*,#196956,.F.); #259922=ORIENTED_EDGE('',*,*,#196957,.F.); #259923=ORIENTED_EDGE('',*,*,#196958,.T.); #259924=ORIENTED_EDGE('',*,*,#196957,.T.); #259925=ORIENTED_EDGE('',*,*,#196959,.F.); #259926=ORIENTED_EDGE('',*,*,#196960,.F.); #259927=ORIENTED_EDGE('',*,*,#196961,.T.); #259928=ORIENTED_EDGE('',*,*,#196960,.T.); #259929=ORIENTED_EDGE('',*,*,#196962,.F.); #259930=ORIENTED_EDGE('',*,*,#196963,.F.); #259931=ORIENTED_EDGE('',*,*,#196964,.T.); #259932=ORIENTED_EDGE('',*,*,#196963,.T.); #259933=ORIENTED_EDGE('',*,*,#196965,.F.); #259934=ORIENTED_EDGE('',*,*,#196966,.F.); #259935=ORIENTED_EDGE('',*,*,#196967,.T.); #259936=ORIENTED_EDGE('',*,*,#196966,.T.); #259937=ORIENTED_EDGE('',*,*,#196968,.F.); #259938=ORIENTED_EDGE('',*,*,#196969,.F.); #259939=ORIENTED_EDGE('',*,*,#196970,.T.); #259940=ORIENTED_EDGE('',*,*,#196969,.T.); #259941=ORIENTED_EDGE('',*,*,#196971,.F.); #259942=ORIENTED_EDGE('',*,*,#196972,.F.); #259943=ORIENTED_EDGE('',*,*,#196973,.T.); #259944=ORIENTED_EDGE('',*,*,#196972,.T.); #259945=ORIENTED_EDGE('',*,*,#196974,.F.); #259946=ORIENTED_EDGE('',*,*,#196975,.F.); #259947=ORIENTED_EDGE('',*,*,#196976,.T.); #259948=ORIENTED_EDGE('',*,*,#196975,.T.); #259949=ORIENTED_EDGE('',*,*,#196977,.F.); #259950=ORIENTED_EDGE('',*,*,#196978,.F.); #259951=ORIENTED_EDGE('',*,*,#196979,.T.); #259952=ORIENTED_EDGE('',*,*,#196978,.T.); #259953=ORIENTED_EDGE('',*,*,#196980,.F.); #259954=ORIENTED_EDGE('',*,*,#196981,.F.); #259955=ORIENTED_EDGE('',*,*,#196982,.T.); #259956=ORIENTED_EDGE('',*,*,#196981,.T.); #259957=ORIENTED_EDGE('',*,*,#196983,.F.); #259958=ORIENTED_EDGE('',*,*,#196984,.F.); #259959=ORIENTED_EDGE('',*,*,#196985,.T.); #259960=ORIENTED_EDGE('',*,*,#196984,.T.); #259961=ORIENTED_EDGE('',*,*,#196986,.F.); #259962=ORIENTED_EDGE('',*,*,#196987,.F.); #259963=ORIENTED_EDGE('',*,*,#196988,.T.); #259964=ORIENTED_EDGE('',*,*,#196987,.T.); #259965=ORIENTED_EDGE('',*,*,#196989,.F.); #259966=ORIENTED_EDGE('',*,*,#196990,.F.); #259967=ORIENTED_EDGE('',*,*,#196991,.T.); #259968=ORIENTED_EDGE('',*,*,#196990,.T.); #259969=ORIENTED_EDGE('',*,*,#196992,.F.); #259970=ORIENTED_EDGE('',*,*,#196993,.F.); #259971=ORIENTED_EDGE('',*,*,#196994,.T.); #259972=ORIENTED_EDGE('',*,*,#196993,.T.); #259973=ORIENTED_EDGE('',*,*,#196995,.F.); #259974=ORIENTED_EDGE('',*,*,#196996,.F.); #259975=ORIENTED_EDGE('',*,*,#196997,.T.); #259976=ORIENTED_EDGE('',*,*,#196996,.T.); #259977=ORIENTED_EDGE('',*,*,#196998,.F.); #259978=ORIENTED_EDGE('',*,*,#196999,.F.); #259979=ORIENTED_EDGE('',*,*,#197000,.T.); #259980=ORIENTED_EDGE('',*,*,#196999,.T.); #259981=ORIENTED_EDGE('',*,*,#197001,.F.); #259982=ORIENTED_EDGE('',*,*,#197002,.F.); #259983=ORIENTED_EDGE('',*,*,#197003,.T.); #259984=ORIENTED_EDGE('',*,*,#197002,.T.); #259985=ORIENTED_EDGE('',*,*,#197004,.F.); #259986=ORIENTED_EDGE('',*,*,#197005,.F.); #259987=ORIENTED_EDGE('',*,*,#197006,.T.); #259988=ORIENTED_EDGE('',*,*,#197005,.T.); #259989=ORIENTED_EDGE('',*,*,#197007,.F.); #259990=ORIENTED_EDGE('',*,*,#197008,.F.); #259991=ORIENTED_EDGE('',*,*,#197009,.T.); #259992=ORIENTED_EDGE('',*,*,#197008,.T.); #259993=ORIENTED_EDGE('',*,*,#197010,.F.); #259994=ORIENTED_EDGE('',*,*,#197011,.F.); #259995=ORIENTED_EDGE('',*,*,#197012,.T.); #259996=ORIENTED_EDGE('',*,*,#197011,.T.); #259997=ORIENTED_EDGE('',*,*,#197013,.F.); #259998=ORIENTED_EDGE('',*,*,#197014,.F.); #259999=ORIENTED_EDGE('',*,*,#197015,.T.); #260000=ORIENTED_EDGE('',*,*,#197014,.T.); #260001=ORIENTED_EDGE('',*,*,#197016,.F.); #260002=ORIENTED_EDGE('',*,*,#197017,.F.); #260003=ORIENTED_EDGE('',*,*,#197018,.T.); #260004=ORIENTED_EDGE('',*,*,#197017,.T.); #260005=ORIENTED_EDGE('',*,*,#197019,.F.); #260006=ORIENTED_EDGE('',*,*,#197020,.F.); #260007=ORIENTED_EDGE('',*,*,#197021,.T.); #260008=ORIENTED_EDGE('',*,*,#197020,.T.); #260009=ORIENTED_EDGE('',*,*,#197022,.F.); #260010=ORIENTED_EDGE('',*,*,#197023,.F.); #260011=ORIENTED_EDGE('',*,*,#197024,.T.); #260012=ORIENTED_EDGE('',*,*,#197023,.T.); #260013=ORIENTED_EDGE('',*,*,#197025,.F.); #260014=ORIENTED_EDGE('',*,*,#197026,.F.); #260015=ORIENTED_EDGE('',*,*,#197027,.T.); #260016=ORIENTED_EDGE('',*,*,#197026,.T.); #260017=ORIENTED_EDGE('',*,*,#197028,.F.); #260018=ORIENTED_EDGE('',*,*,#197029,.F.); #260019=ORIENTED_EDGE('',*,*,#197030,.T.); #260020=ORIENTED_EDGE('',*,*,#197029,.T.); #260021=ORIENTED_EDGE('',*,*,#197031,.F.); #260022=ORIENTED_EDGE('',*,*,#197032,.F.); #260023=ORIENTED_EDGE('',*,*,#197033,.T.); #260024=ORIENTED_EDGE('',*,*,#197032,.T.); #260025=ORIENTED_EDGE('',*,*,#197034,.F.); #260026=ORIENTED_EDGE('',*,*,#197035,.F.); #260027=ORIENTED_EDGE('',*,*,#197036,.T.); #260028=ORIENTED_EDGE('',*,*,#197035,.T.); #260029=ORIENTED_EDGE('',*,*,#197037,.F.); #260030=ORIENTED_EDGE('',*,*,#197038,.F.); #260031=ORIENTED_EDGE('',*,*,#197039,.T.); #260032=ORIENTED_EDGE('',*,*,#197038,.T.); #260033=ORIENTED_EDGE('',*,*,#197040,.F.); #260034=ORIENTED_EDGE('',*,*,#197041,.F.); #260035=ORIENTED_EDGE('',*,*,#197042,.T.); #260036=ORIENTED_EDGE('',*,*,#197041,.T.); #260037=ORIENTED_EDGE('',*,*,#197043,.F.); #260038=ORIENTED_EDGE('',*,*,#197044,.F.); #260039=ORIENTED_EDGE('',*,*,#197045,.T.); #260040=ORIENTED_EDGE('',*,*,#197044,.T.); #260041=ORIENTED_EDGE('',*,*,#197046,.F.); #260042=ORIENTED_EDGE('',*,*,#197047,.F.); #260043=ORIENTED_EDGE('',*,*,#197048,.T.); #260044=ORIENTED_EDGE('',*,*,#197047,.T.); #260045=ORIENTED_EDGE('',*,*,#197049,.F.); #260046=ORIENTED_EDGE('',*,*,#197050,.F.); #260047=ORIENTED_EDGE('',*,*,#197051,.T.); #260048=ORIENTED_EDGE('',*,*,#197050,.T.); #260049=ORIENTED_EDGE('',*,*,#197052,.F.); #260050=ORIENTED_EDGE('',*,*,#196955,.F.); #260051=ORIENTED_EDGE('',*,*,#197052,.T.); #260052=ORIENTED_EDGE('',*,*,#197049,.T.); #260053=ORIENTED_EDGE('',*,*,#197046,.T.); #260054=ORIENTED_EDGE('',*,*,#197043,.T.); #260055=ORIENTED_EDGE('',*,*,#197040,.T.); #260056=ORIENTED_EDGE('',*,*,#197037,.T.); #260057=ORIENTED_EDGE('',*,*,#197034,.T.); #260058=ORIENTED_EDGE('',*,*,#197031,.T.); #260059=ORIENTED_EDGE('',*,*,#197028,.T.); #260060=ORIENTED_EDGE('',*,*,#197025,.T.); #260061=ORIENTED_EDGE('',*,*,#197022,.T.); #260062=ORIENTED_EDGE('',*,*,#197019,.T.); #260063=ORIENTED_EDGE('',*,*,#197016,.T.); #260064=ORIENTED_EDGE('',*,*,#197013,.T.); #260065=ORIENTED_EDGE('',*,*,#197010,.T.); #260066=ORIENTED_EDGE('',*,*,#197007,.T.); #260067=ORIENTED_EDGE('',*,*,#197004,.T.); #260068=ORIENTED_EDGE('',*,*,#197001,.T.); #260069=ORIENTED_EDGE('',*,*,#196998,.T.); #260070=ORIENTED_EDGE('',*,*,#196995,.T.); #260071=ORIENTED_EDGE('',*,*,#196992,.T.); #260072=ORIENTED_EDGE('',*,*,#196989,.T.); #260073=ORIENTED_EDGE('',*,*,#196986,.T.); #260074=ORIENTED_EDGE('',*,*,#196983,.T.); #260075=ORIENTED_EDGE('',*,*,#196980,.T.); #260076=ORIENTED_EDGE('',*,*,#196977,.T.); #260077=ORIENTED_EDGE('',*,*,#196974,.T.); #260078=ORIENTED_EDGE('',*,*,#196971,.T.); #260079=ORIENTED_EDGE('',*,*,#196968,.T.); #260080=ORIENTED_EDGE('',*,*,#196965,.T.); #260081=ORIENTED_EDGE('',*,*,#196962,.T.); #260082=ORIENTED_EDGE('',*,*,#196959,.T.); #260083=ORIENTED_EDGE('',*,*,#196956,.T.); #260084=ORIENTED_EDGE('',*,*,#197051,.F.); #260085=ORIENTED_EDGE('',*,*,#196954,.F.); #260086=ORIENTED_EDGE('',*,*,#196958,.F.); #260087=ORIENTED_EDGE('',*,*,#196961,.F.); #260088=ORIENTED_EDGE('',*,*,#196964,.F.); #260089=ORIENTED_EDGE('',*,*,#196967,.F.); #260090=ORIENTED_EDGE('',*,*,#196970,.F.); #260091=ORIENTED_EDGE('',*,*,#196973,.F.); #260092=ORIENTED_EDGE('',*,*,#196976,.F.); #260093=ORIENTED_EDGE('',*,*,#196979,.F.); #260094=ORIENTED_EDGE('',*,*,#196982,.F.); #260095=ORIENTED_EDGE('',*,*,#196985,.F.); #260096=ORIENTED_EDGE('',*,*,#196988,.F.); #260097=ORIENTED_EDGE('',*,*,#196991,.F.); #260098=ORIENTED_EDGE('',*,*,#196994,.F.); #260099=ORIENTED_EDGE('',*,*,#196997,.F.); #260100=ORIENTED_EDGE('',*,*,#197000,.F.); #260101=ORIENTED_EDGE('',*,*,#197003,.F.); #260102=ORIENTED_EDGE('',*,*,#197006,.F.); #260103=ORIENTED_EDGE('',*,*,#197009,.F.); #260104=ORIENTED_EDGE('',*,*,#197012,.F.); #260105=ORIENTED_EDGE('',*,*,#197015,.F.); #260106=ORIENTED_EDGE('',*,*,#197018,.F.); #260107=ORIENTED_EDGE('',*,*,#197021,.F.); #260108=ORIENTED_EDGE('',*,*,#197024,.F.); #260109=ORIENTED_EDGE('',*,*,#197027,.F.); #260110=ORIENTED_EDGE('',*,*,#197030,.F.); #260111=ORIENTED_EDGE('',*,*,#197033,.F.); #260112=ORIENTED_EDGE('',*,*,#197036,.F.); #260113=ORIENTED_EDGE('',*,*,#197039,.F.); #260114=ORIENTED_EDGE('',*,*,#197042,.F.); #260115=ORIENTED_EDGE('',*,*,#197045,.F.); #260116=ORIENTED_EDGE('',*,*,#197048,.F.); #260117=ORIENTED_EDGE('',*,*,#197053,.F.); #260118=ORIENTED_EDGE('',*,*,#197054,.T.); #260119=ORIENTED_EDGE('',*,*,#197055,.F.); #260120=ORIENTED_EDGE('',*,*,#197054,.F.); #260121=ORIENTED_EDGE('',*,*,#197056,.F.); #260122=ORIENTED_EDGE('',*,*,#197057,.T.); #260123=ORIENTED_EDGE('',*,*,#197058,.F.); #260124=ORIENTED_EDGE('',*,*,#197057,.F.); #260125=ORIENTED_EDGE('',*,*,#197059,.F.); #260126=ORIENTED_EDGE('',*,*,#197060,.T.); #260127=ORIENTED_EDGE('',*,*,#197061,.F.); #260128=ORIENTED_EDGE('',*,*,#197060,.F.); #260129=ORIENTED_EDGE('',*,*,#197062,.T.); #260130=ORIENTED_EDGE('',*,*,#197063,.T.); #260131=ORIENTED_EDGE('',*,*,#197064,.F.); #260132=ORIENTED_EDGE('',*,*,#197065,.F.); #260133=ORIENTED_EDGE('',*,*,#197066,.T.); #260134=ORIENTED_EDGE('',*,*,#197065,.T.); #260135=ORIENTED_EDGE('',*,*,#197067,.F.); #260136=ORIENTED_EDGE('',*,*,#197068,.F.); #260137=ORIENTED_EDGE('',*,*,#197069,.T.); #260138=ORIENTED_EDGE('',*,*,#197068,.T.); #260139=ORIENTED_EDGE('',*,*,#197070,.F.); #260140=ORIENTED_EDGE('',*,*,#197071,.F.); #260141=ORIENTED_EDGE('',*,*,#197072,.T.); #260142=ORIENTED_EDGE('',*,*,#197071,.T.); #260143=ORIENTED_EDGE('',*,*,#197073,.F.); #260144=ORIENTED_EDGE('',*,*,#197074,.F.); #260145=ORIENTED_EDGE('',*,*,#197075,.T.); #260146=ORIENTED_EDGE('',*,*,#197074,.T.); #260147=ORIENTED_EDGE('',*,*,#197076,.F.); #260148=ORIENTED_EDGE('',*,*,#197077,.F.); #260149=ORIENTED_EDGE('',*,*,#197078,.T.); #260150=ORIENTED_EDGE('',*,*,#197077,.T.); #260151=ORIENTED_EDGE('',*,*,#197079,.F.); #260152=ORIENTED_EDGE('',*,*,#197080,.F.); #260153=ORIENTED_EDGE('',*,*,#197081,.T.); #260154=ORIENTED_EDGE('',*,*,#197080,.T.); #260155=ORIENTED_EDGE('',*,*,#197082,.F.); #260156=ORIENTED_EDGE('',*,*,#197083,.F.); #260157=ORIENTED_EDGE('',*,*,#197084,.T.); #260158=ORIENTED_EDGE('',*,*,#197083,.T.); #260159=ORIENTED_EDGE('',*,*,#197085,.F.); #260160=ORIENTED_EDGE('',*,*,#197086,.F.); #260161=ORIENTED_EDGE('',*,*,#197087,.T.); #260162=ORIENTED_EDGE('',*,*,#197086,.T.); #260163=ORIENTED_EDGE('',*,*,#197088,.F.); #260164=ORIENTED_EDGE('',*,*,#197089,.F.); #260165=ORIENTED_EDGE('',*,*,#197090,.T.); #260166=ORIENTED_EDGE('',*,*,#197089,.T.); #260167=ORIENTED_EDGE('',*,*,#197091,.F.); #260168=ORIENTED_EDGE('',*,*,#197092,.F.); #260169=ORIENTED_EDGE('',*,*,#197093,.T.); #260170=ORIENTED_EDGE('',*,*,#197092,.T.); #260171=ORIENTED_EDGE('',*,*,#197094,.F.); #260172=ORIENTED_EDGE('',*,*,#197095,.F.); #260173=ORIENTED_EDGE('',*,*,#197096,.T.); #260174=ORIENTED_EDGE('',*,*,#197095,.T.); #260175=ORIENTED_EDGE('',*,*,#197097,.F.); #260176=ORIENTED_EDGE('',*,*,#197098,.F.); #260177=ORIENTED_EDGE('',*,*,#197099,.T.); #260178=ORIENTED_EDGE('',*,*,#197098,.T.); #260179=ORIENTED_EDGE('',*,*,#197100,.F.); #260180=ORIENTED_EDGE('',*,*,#197101,.F.); #260181=ORIENTED_EDGE('',*,*,#197102,.T.); #260182=ORIENTED_EDGE('',*,*,#197101,.T.); #260183=ORIENTED_EDGE('',*,*,#197103,.F.); #260184=ORIENTED_EDGE('',*,*,#197104,.F.); #260185=ORIENTED_EDGE('',*,*,#197105,.T.); #260186=ORIENTED_EDGE('',*,*,#197104,.T.); #260187=ORIENTED_EDGE('',*,*,#197106,.F.); #260188=ORIENTED_EDGE('',*,*,#197107,.F.); #260189=ORIENTED_EDGE('',*,*,#197108,.T.); #260190=ORIENTED_EDGE('',*,*,#197107,.T.); #260191=ORIENTED_EDGE('',*,*,#197109,.F.); #260192=ORIENTED_EDGE('',*,*,#197110,.F.); #260193=ORIENTED_EDGE('',*,*,#197111,.T.); #260194=ORIENTED_EDGE('',*,*,#197110,.T.); #260195=ORIENTED_EDGE('',*,*,#197112,.F.); #260196=ORIENTED_EDGE('',*,*,#197113,.F.); #260197=ORIENTED_EDGE('',*,*,#197114,.T.); #260198=ORIENTED_EDGE('',*,*,#197113,.T.); #260199=ORIENTED_EDGE('',*,*,#197115,.F.); #260200=ORIENTED_EDGE('',*,*,#197116,.F.); #260201=ORIENTED_EDGE('',*,*,#197117,.T.); #260202=ORIENTED_EDGE('',*,*,#197116,.T.); #260203=ORIENTED_EDGE('',*,*,#197118,.F.); #260204=ORIENTED_EDGE('',*,*,#197119,.F.); #260205=ORIENTED_EDGE('',*,*,#197120,.T.); #260206=ORIENTED_EDGE('',*,*,#197119,.T.); #260207=ORIENTED_EDGE('',*,*,#197121,.F.); #260208=ORIENTED_EDGE('',*,*,#197122,.F.); #260209=ORIENTED_EDGE('',*,*,#197123,.T.); #260210=ORIENTED_EDGE('',*,*,#197122,.T.); #260211=ORIENTED_EDGE('',*,*,#197124,.F.); #260212=ORIENTED_EDGE('',*,*,#197125,.F.); #260213=ORIENTED_EDGE('',*,*,#197126,.T.); #260214=ORIENTED_EDGE('',*,*,#197125,.T.); #260215=ORIENTED_EDGE('',*,*,#197127,.F.); #260216=ORIENTED_EDGE('',*,*,#197128,.F.); #260217=ORIENTED_EDGE('',*,*,#197129,.T.); #260218=ORIENTED_EDGE('',*,*,#197128,.T.); #260219=ORIENTED_EDGE('',*,*,#197130,.F.); #260220=ORIENTED_EDGE('',*,*,#197131,.F.); #260221=ORIENTED_EDGE('',*,*,#197132,.T.); #260222=ORIENTED_EDGE('',*,*,#197131,.T.); #260223=ORIENTED_EDGE('',*,*,#197133,.F.); #260224=ORIENTED_EDGE('',*,*,#197134,.F.); #260225=ORIENTED_EDGE('',*,*,#197135,.T.); #260226=ORIENTED_EDGE('',*,*,#197134,.T.); #260227=ORIENTED_EDGE('',*,*,#197136,.F.); #260228=ORIENTED_EDGE('',*,*,#197137,.F.); #260229=ORIENTED_EDGE('',*,*,#197138,.T.); #260230=ORIENTED_EDGE('',*,*,#197137,.T.); #260231=ORIENTED_EDGE('',*,*,#197139,.F.); #260232=ORIENTED_EDGE('',*,*,#197140,.F.); #260233=ORIENTED_EDGE('',*,*,#197141,.T.); #260234=ORIENTED_EDGE('',*,*,#197140,.T.); #260235=ORIENTED_EDGE('',*,*,#197142,.F.); #260236=ORIENTED_EDGE('',*,*,#197143,.F.); #260237=ORIENTED_EDGE('',*,*,#197144,.T.); #260238=ORIENTED_EDGE('',*,*,#197143,.T.); #260239=ORIENTED_EDGE('',*,*,#197145,.F.); #260240=ORIENTED_EDGE('',*,*,#197146,.F.); #260241=ORIENTED_EDGE('',*,*,#197147,.T.); #260242=ORIENTED_EDGE('',*,*,#197146,.T.); #260243=ORIENTED_EDGE('',*,*,#197148,.F.); #260244=ORIENTED_EDGE('',*,*,#197149,.F.); #260245=ORIENTED_EDGE('',*,*,#197150,.T.); #260246=ORIENTED_EDGE('',*,*,#197149,.T.); #260247=ORIENTED_EDGE('',*,*,#197151,.F.); #260248=ORIENTED_EDGE('',*,*,#197152,.F.); #260249=ORIENTED_EDGE('',*,*,#197153,.T.); #260250=ORIENTED_EDGE('',*,*,#197152,.T.); #260251=ORIENTED_EDGE('',*,*,#197154,.F.); #260252=ORIENTED_EDGE('',*,*,#197155,.F.); #260253=ORIENTED_EDGE('',*,*,#197156,.T.); #260254=ORIENTED_EDGE('',*,*,#197155,.T.); #260255=ORIENTED_EDGE('',*,*,#197157,.F.); #260256=ORIENTED_EDGE('',*,*,#197158,.F.); #260257=ORIENTED_EDGE('',*,*,#197159,.T.); #260258=ORIENTED_EDGE('',*,*,#197158,.T.); #260259=ORIENTED_EDGE('',*,*,#197160,.F.); #260260=ORIENTED_EDGE('',*,*,#197161,.F.); #260261=ORIENTED_EDGE('',*,*,#197162,.T.); #260262=ORIENTED_EDGE('',*,*,#197161,.T.); #260263=ORIENTED_EDGE('',*,*,#197163,.F.); #260264=ORIENTED_EDGE('',*,*,#197164,.F.); #260265=ORIENTED_EDGE('',*,*,#197165,.T.); #260266=ORIENTED_EDGE('',*,*,#197164,.T.); #260267=ORIENTED_EDGE('',*,*,#197166,.F.); #260268=ORIENTED_EDGE('',*,*,#197167,.F.); #260269=ORIENTED_EDGE('',*,*,#197168,.T.); #260270=ORIENTED_EDGE('',*,*,#197167,.T.); #260271=ORIENTED_EDGE('',*,*,#197169,.F.); #260272=ORIENTED_EDGE('',*,*,#197170,.F.); #260273=ORIENTED_EDGE('',*,*,#197171,.T.); #260274=ORIENTED_EDGE('',*,*,#197170,.T.); #260275=ORIENTED_EDGE('',*,*,#197172,.F.); #260276=ORIENTED_EDGE('',*,*,#197173,.F.); #260277=ORIENTED_EDGE('',*,*,#197174,.T.); #260278=ORIENTED_EDGE('',*,*,#197173,.T.); #260279=ORIENTED_EDGE('',*,*,#197175,.F.); #260280=ORIENTED_EDGE('',*,*,#197176,.F.); #260281=ORIENTED_EDGE('',*,*,#197177,.T.); #260282=ORIENTED_EDGE('',*,*,#197176,.T.); #260283=ORIENTED_EDGE('',*,*,#197178,.F.); #260284=ORIENTED_EDGE('',*,*,#197179,.F.); #260285=ORIENTED_EDGE('',*,*,#197180,.T.); #260286=ORIENTED_EDGE('',*,*,#197179,.T.); #260287=ORIENTED_EDGE('',*,*,#197181,.F.); #260288=ORIENTED_EDGE('',*,*,#197182,.F.); #260289=ORIENTED_EDGE('',*,*,#197183,.T.); #260290=ORIENTED_EDGE('',*,*,#197182,.T.); #260291=ORIENTED_EDGE('',*,*,#197184,.F.); #260292=ORIENTED_EDGE('',*,*,#197185,.F.); #260293=ORIENTED_EDGE('',*,*,#197186,.T.); #260294=ORIENTED_EDGE('',*,*,#197185,.T.); #260295=ORIENTED_EDGE('',*,*,#197187,.F.); #260296=ORIENTED_EDGE('',*,*,#197188,.F.); #260297=ORIENTED_EDGE('',*,*,#197189,.T.); #260298=ORIENTED_EDGE('',*,*,#197188,.T.); #260299=ORIENTED_EDGE('',*,*,#197190,.F.); #260300=ORIENTED_EDGE('',*,*,#197191,.F.); #260301=ORIENTED_EDGE('',*,*,#197192,.T.); #260302=ORIENTED_EDGE('',*,*,#197191,.T.); #260303=ORIENTED_EDGE('',*,*,#197193,.F.); #260304=ORIENTED_EDGE('',*,*,#197194,.F.); #260305=ORIENTED_EDGE('',*,*,#197195,.T.); #260306=ORIENTED_EDGE('',*,*,#197194,.T.); #260307=ORIENTED_EDGE('',*,*,#197196,.F.); #260308=ORIENTED_EDGE('',*,*,#197197,.F.); #260309=ORIENTED_EDGE('',*,*,#197198,.T.); #260310=ORIENTED_EDGE('',*,*,#197197,.T.); #260311=ORIENTED_EDGE('',*,*,#197199,.F.); #260312=ORIENTED_EDGE('',*,*,#197200,.F.); #260313=ORIENTED_EDGE('',*,*,#197201,.T.); #260314=ORIENTED_EDGE('',*,*,#197200,.T.); #260315=ORIENTED_EDGE('',*,*,#197202,.F.); #260316=ORIENTED_EDGE('',*,*,#197203,.F.); #260317=ORIENTED_EDGE('',*,*,#197204,.T.); #260318=ORIENTED_EDGE('',*,*,#197203,.T.); #260319=ORIENTED_EDGE('',*,*,#197205,.F.); #260320=ORIENTED_EDGE('',*,*,#197206,.F.); #260321=ORIENTED_EDGE('',*,*,#197207,.T.); #260322=ORIENTED_EDGE('',*,*,#197206,.T.); #260323=ORIENTED_EDGE('',*,*,#197208,.F.); #260324=ORIENTED_EDGE('',*,*,#197209,.F.); #260325=ORIENTED_EDGE('',*,*,#197210,.T.); #260326=ORIENTED_EDGE('',*,*,#197209,.T.); #260327=ORIENTED_EDGE('',*,*,#197211,.F.); #260328=ORIENTED_EDGE('',*,*,#197212,.F.); #260329=ORIENTED_EDGE('',*,*,#197213,.T.); #260330=ORIENTED_EDGE('',*,*,#197212,.T.); #260331=ORIENTED_EDGE('',*,*,#197214,.F.); #260332=ORIENTED_EDGE('',*,*,#197215,.F.); #260333=ORIENTED_EDGE('',*,*,#197216,.T.); #260334=ORIENTED_EDGE('',*,*,#197215,.T.); #260335=ORIENTED_EDGE('',*,*,#197217,.F.); #260336=ORIENTED_EDGE('',*,*,#197218,.F.); #260337=ORIENTED_EDGE('',*,*,#197219,.T.); #260338=ORIENTED_EDGE('',*,*,#197218,.T.); #260339=ORIENTED_EDGE('',*,*,#197220,.F.); #260340=ORIENTED_EDGE('',*,*,#197221,.F.); #260341=ORIENTED_EDGE('',*,*,#197222,.T.); #260342=ORIENTED_EDGE('',*,*,#197221,.T.); #260343=ORIENTED_EDGE('',*,*,#197223,.F.); #260344=ORIENTED_EDGE('',*,*,#197224,.F.); #260345=ORIENTED_EDGE('',*,*,#197225,.T.); #260346=ORIENTED_EDGE('',*,*,#197224,.T.); #260347=ORIENTED_EDGE('',*,*,#197226,.F.); #260348=ORIENTED_EDGE('',*,*,#197227,.F.); #260349=ORIENTED_EDGE('',*,*,#197228,.T.); #260350=ORIENTED_EDGE('',*,*,#197227,.T.); #260351=ORIENTED_EDGE('',*,*,#197229,.F.); #260352=ORIENTED_EDGE('',*,*,#197230,.F.); #260353=ORIENTED_EDGE('',*,*,#197231,.T.); #260354=ORIENTED_EDGE('',*,*,#197230,.T.); #260355=ORIENTED_EDGE('',*,*,#197232,.F.); #260356=ORIENTED_EDGE('',*,*,#197233,.F.); #260357=ORIENTED_EDGE('',*,*,#197234,.T.); #260358=ORIENTED_EDGE('',*,*,#197233,.T.); #260359=ORIENTED_EDGE('',*,*,#197235,.F.); #260360=ORIENTED_EDGE('',*,*,#197236,.F.); #260361=ORIENTED_EDGE('',*,*,#197237,.T.); #260362=ORIENTED_EDGE('',*,*,#197236,.T.); #260363=ORIENTED_EDGE('',*,*,#197238,.F.); #260364=ORIENTED_EDGE('',*,*,#197239,.F.); #260365=ORIENTED_EDGE('',*,*,#197240,.T.); #260366=ORIENTED_EDGE('',*,*,#197239,.T.); #260367=ORIENTED_EDGE('',*,*,#197241,.F.); #260368=ORIENTED_EDGE('',*,*,#197242,.F.); #260369=ORIENTED_EDGE('',*,*,#197243,.T.); #260370=ORIENTED_EDGE('',*,*,#197242,.T.); #260371=ORIENTED_EDGE('',*,*,#197244,.F.); #260372=ORIENTED_EDGE('',*,*,#197245,.F.); #260373=ORIENTED_EDGE('',*,*,#197246,.T.); #260374=ORIENTED_EDGE('',*,*,#197245,.T.); #260375=ORIENTED_EDGE('',*,*,#197247,.F.); #260376=ORIENTED_EDGE('',*,*,#197248,.F.); #260377=ORIENTED_EDGE('',*,*,#197249,.T.); #260378=ORIENTED_EDGE('',*,*,#197248,.T.); #260379=ORIENTED_EDGE('',*,*,#197250,.F.); #260380=ORIENTED_EDGE('',*,*,#197251,.F.); #260381=ORIENTED_EDGE('',*,*,#197252,.T.); #260382=ORIENTED_EDGE('',*,*,#197251,.T.); #260383=ORIENTED_EDGE('',*,*,#197253,.F.); #260384=ORIENTED_EDGE('',*,*,#197254,.F.); #260385=ORIENTED_EDGE('',*,*,#197255,.T.); #260386=ORIENTED_EDGE('',*,*,#197254,.T.); #260387=ORIENTED_EDGE('',*,*,#197256,.F.); #260388=ORIENTED_EDGE('',*,*,#197257,.F.); #260389=ORIENTED_EDGE('',*,*,#197258,.T.); #260390=ORIENTED_EDGE('',*,*,#197257,.T.); #260391=ORIENTED_EDGE('',*,*,#197259,.F.); #260392=ORIENTED_EDGE('',*,*,#197260,.F.); #260393=ORIENTED_EDGE('',*,*,#197261,.T.); #260394=ORIENTED_EDGE('',*,*,#197260,.T.); #260395=ORIENTED_EDGE('',*,*,#197262,.F.); #260396=ORIENTED_EDGE('',*,*,#197263,.F.); #260397=ORIENTED_EDGE('',*,*,#197264,.T.); #260398=ORIENTED_EDGE('',*,*,#197263,.T.); #260399=ORIENTED_EDGE('',*,*,#197265,.F.); #260400=ORIENTED_EDGE('',*,*,#197266,.F.); #260401=ORIENTED_EDGE('',*,*,#197267,.T.); #260402=ORIENTED_EDGE('',*,*,#197266,.T.); #260403=ORIENTED_EDGE('',*,*,#197268,.F.); #260404=ORIENTED_EDGE('',*,*,#197269,.F.); #260405=ORIENTED_EDGE('',*,*,#197270,.T.); #260406=ORIENTED_EDGE('',*,*,#197269,.T.); #260407=ORIENTED_EDGE('',*,*,#197271,.F.); #260408=ORIENTED_EDGE('',*,*,#197272,.F.); #260409=ORIENTED_EDGE('',*,*,#197273,.T.); #260410=ORIENTED_EDGE('',*,*,#197272,.T.); #260411=ORIENTED_EDGE('',*,*,#197274,.F.); #260412=ORIENTED_EDGE('',*,*,#197275,.F.); #260413=ORIENTED_EDGE('',*,*,#197276,.T.); #260414=ORIENTED_EDGE('',*,*,#197275,.T.); #260415=ORIENTED_EDGE('',*,*,#197277,.F.); #260416=ORIENTED_EDGE('',*,*,#197278,.F.); #260417=ORIENTED_EDGE('',*,*,#197279,.T.); #260418=ORIENTED_EDGE('',*,*,#197278,.T.); #260419=ORIENTED_EDGE('',*,*,#197280,.F.); #260420=ORIENTED_EDGE('',*,*,#197281,.F.); #260421=ORIENTED_EDGE('',*,*,#197282,.T.); #260422=ORIENTED_EDGE('',*,*,#197281,.T.); #260423=ORIENTED_EDGE('',*,*,#197283,.F.); #260424=ORIENTED_EDGE('',*,*,#197284,.F.); #260425=ORIENTED_EDGE('',*,*,#197285,.T.); #260426=ORIENTED_EDGE('',*,*,#197284,.T.); #260427=ORIENTED_EDGE('',*,*,#197286,.F.); #260428=ORIENTED_EDGE('',*,*,#197287,.F.); #260429=ORIENTED_EDGE('',*,*,#197288,.T.); #260430=ORIENTED_EDGE('',*,*,#197287,.T.); #260431=ORIENTED_EDGE('',*,*,#197289,.F.); #260432=ORIENTED_EDGE('',*,*,#197290,.F.); #260433=ORIENTED_EDGE('',*,*,#197291,.T.); #260434=ORIENTED_EDGE('',*,*,#197290,.T.); #260435=ORIENTED_EDGE('',*,*,#197292,.F.); #260436=ORIENTED_EDGE('',*,*,#197293,.F.); #260437=ORIENTED_EDGE('',*,*,#197294,.T.); #260438=ORIENTED_EDGE('',*,*,#197293,.T.); #260439=ORIENTED_EDGE('',*,*,#197295,.F.); #260440=ORIENTED_EDGE('',*,*,#197296,.F.); #260441=ORIENTED_EDGE('',*,*,#197297,.T.); #260442=ORIENTED_EDGE('',*,*,#197296,.T.); #260443=ORIENTED_EDGE('',*,*,#197298,.F.); #260444=ORIENTED_EDGE('',*,*,#197299,.F.); #260445=ORIENTED_EDGE('',*,*,#197300,.T.); #260446=ORIENTED_EDGE('',*,*,#197299,.T.); #260447=ORIENTED_EDGE('',*,*,#197301,.F.); #260448=ORIENTED_EDGE('',*,*,#197302,.F.); #260449=ORIENTED_EDGE('',*,*,#197303,.T.); #260450=ORIENTED_EDGE('',*,*,#197302,.T.); #260451=ORIENTED_EDGE('',*,*,#197304,.F.); #260452=ORIENTED_EDGE('',*,*,#197305,.F.); #260453=ORIENTED_EDGE('',*,*,#197306,.T.); #260454=ORIENTED_EDGE('',*,*,#197305,.T.); #260455=ORIENTED_EDGE('',*,*,#197307,.F.); #260456=ORIENTED_EDGE('',*,*,#197308,.F.); #260457=ORIENTED_EDGE('',*,*,#197309,.T.); #260458=ORIENTED_EDGE('',*,*,#197308,.T.); #260459=ORIENTED_EDGE('',*,*,#197310,.F.); #260460=ORIENTED_EDGE('',*,*,#197311,.F.); #260461=ORIENTED_EDGE('',*,*,#197312,.T.); #260462=ORIENTED_EDGE('',*,*,#197311,.T.); #260463=ORIENTED_EDGE('',*,*,#197313,.F.); #260464=ORIENTED_EDGE('',*,*,#197314,.F.); #260465=ORIENTED_EDGE('',*,*,#197315,.T.); #260466=ORIENTED_EDGE('',*,*,#197314,.T.); #260467=ORIENTED_EDGE('',*,*,#197316,.F.); #260468=ORIENTED_EDGE('',*,*,#197317,.F.); #260469=ORIENTED_EDGE('',*,*,#197318,.T.); #260470=ORIENTED_EDGE('',*,*,#197317,.T.); #260471=ORIENTED_EDGE('',*,*,#197319,.F.); #260472=ORIENTED_EDGE('',*,*,#197320,.F.); #260473=ORIENTED_EDGE('',*,*,#197321,.T.); #260474=ORIENTED_EDGE('',*,*,#197320,.T.); #260475=ORIENTED_EDGE('',*,*,#197322,.F.); #260476=ORIENTED_EDGE('',*,*,#197063,.F.); #260477=ORIENTED_EDGE('',*,*,#197322,.T.); #260478=ORIENTED_EDGE('',*,*,#197319,.T.); #260479=ORIENTED_EDGE('',*,*,#197316,.T.); #260480=ORIENTED_EDGE('',*,*,#197313,.T.); #260481=ORIENTED_EDGE('',*,*,#197310,.T.); #260482=ORIENTED_EDGE('',*,*,#197307,.T.); #260483=ORIENTED_EDGE('',*,*,#197304,.T.); #260484=ORIENTED_EDGE('',*,*,#197301,.T.); #260485=ORIENTED_EDGE('',*,*,#197298,.T.); #260486=ORIENTED_EDGE('',*,*,#197295,.T.); #260487=ORIENTED_EDGE('',*,*,#197292,.T.); #260488=ORIENTED_EDGE('',*,*,#197289,.T.); #260489=ORIENTED_EDGE('',*,*,#197286,.T.); #260490=ORIENTED_EDGE('',*,*,#197283,.T.); #260491=ORIENTED_EDGE('',*,*,#197280,.T.); #260492=ORIENTED_EDGE('',*,*,#197277,.T.); #260493=ORIENTED_EDGE('',*,*,#197274,.T.); #260494=ORIENTED_EDGE('',*,*,#197271,.T.); #260495=ORIENTED_EDGE('',*,*,#197268,.T.); #260496=ORIENTED_EDGE('',*,*,#197265,.T.); #260497=ORIENTED_EDGE('',*,*,#197262,.T.); #260498=ORIENTED_EDGE('',*,*,#197259,.T.); #260499=ORIENTED_EDGE('',*,*,#197256,.T.); #260500=ORIENTED_EDGE('',*,*,#197253,.T.); #260501=ORIENTED_EDGE('',*,*,#197250,.T.); #260502=ORIENTED_EDGE('',*,*,#197247,.T.); #260503=ORIENTED_EDGE('',*,*,#197244,.T.); #260504=ORIENTED_EDGE('',*,*,#197241,.T.); #260505=ORIENTED_EDGE('',*,*,#197238,.T.); #260506=ORIENTED_EDGE('',*,*,#197235,.T.); #260507=ORIENTED_EDGE('',*,*,#197232,.T.); #260508=ORIENTED_EDGE('',*,*,#197229,.T.); #260509=ORIENTED_EDGE('',*,*,#197226,.T.); #260510=ORIENTED_EDGE('',*,*,#197223,.T.); #260511=ORIENTED_EDGE('',*,*,#197220,.T.); #260512=ORIENTED_EDGE('',*,*,#197217,.T.); #260513=ORIENTED_EDGE('',*,*,#197214,.T.); #260514=ORIENTED_EDGE('',*,*,#197211,.T.); #260515=ORIENTED_EDGE('',*,*,#197208,.T.); #260516=ORIENTED_EDGE('',*,*,#197205,.T.); #260517=ORIENTED_EDGE('',*,*,#197202,.T.); #260518=ORIENTED_EDGE('',*,*,#197199,.T.); #260519=ORIENTED_EDGE('',*,*,#197196,.T.); #260520=ORIENTED_EDGE('',*,*,#197193,.T.); #260521=ORIENTED_EDGE('',*,*,#197190,.T.); #260522=ORIENTED_EDGE('',*,*,#197187,.T.); #260523=ORIENTED_EDGE('',*,*,#197184,.T.); #260524=ORIENTED_EDGE('',*,*,#197181,.T.); #260525=ORIENTED_EDGE('',*,*,#197178,.T.); #260526=ORIENTED_EDGE('',*,*,#197175,.T.); #260527=ORIENTED_EDGE('',*,*,#197172,.T.); #260528=ORIENTED_EDGE('',*,*,#197169,.T.); #260529=ORIENTED_EDGE('',*,*,#197166,.T.); #260530=ORIENTED_EDGE('',*,*,#197163,.T.); #260531=ORIENTED_EDGE('',*,*,#197160,.T.); #260532=ORIENTED_EDGE('',*,*,#197157,.T.); #260533=ORIENTED_EDGE('',*,*,#197154,.T.); #260534=ORIENTED_EDGE('',*,*,#197151,.T.); #260535=ORIENTED_EDGE('',*,*,#197148,.T.); #260536=ORIENTED_EDGE('',*,*,#197145,.T.); #260537=ORIENTED_EDGE('',*,*,#197142,.T.); #260538=ORIENTED_EDGE('',*,*,#197139,.T.); #260539=ORIENTED_EDGE('',*,*,#197136,.T.); #260540=ORIENTED_EDGE('',*,*,#197133,.T.); #260541=ORIENTED_EDGE('',*,*,#197130,.T.); #260542=ORIENTED_EDGE('',*,*,#197127,.T.); #260543=ORIENTED_EDGE('',*,*,#197124,.T.); #260544=ORIENTED_EDGE('',*,*,#197121,.T.); #260545=ORIENTED_EDGE('',*,*,#197118,.T.); #260546=ORIENTED_EDGE('',*,*,#197115,.T.); #260547=ORIENTED_EDGE('',*,*,#197112,.T.); #260548=ORIENTED_EDGE('',*,*,#197109,.T.); #260549=ORIENTED_EDGE('',*,*,#197106,.T.); #260550=ORIENTED_EDGE('',*,*,#197103,.T.); #260551=ORIENTED_EDGE('',*,*,#197100,.T.); #260552=ORIENTED_EDGE('',*,*,#197097,.T.); #260553=ORIENTED_EDGE('',*,*,#197094,.T.); #260554=ORIENTED_EDGE('',*,*,#197091,.T.); #260555=ORIENTED_EDGE('',*,*,#197088,.T.); #260556=ORIENTED_EDGE('',*,*,#197085,.T.); #260557=ORIENTED_EDGE('',*,*,#197082,.T.); #260558=ORIENTED_EDGE('',*,*,#197079,.T.); #260559=ORIENTED_EDGE('',*,*,#197076,.T.); #260560=ORIENTED_EDGE('',*,*,#197073,.T.); #260561=ORIENTED_EDGE('',*,*,#197070,.T.); #260562=ORIENTED_EDGE('',*,*,#197067,.T.); #260563=ORIENTED_EDGE('',*,*,#197064,.T.); #260564=ORIENTED_EDGE('',*,*,#197055,.T.); #260565=ORIENTED_EDGE('',*,*,#197058,.T.); #260566=ORIENTED_EDGE('',*,*,#197061,.T.); #260567=ORIENTED_EDGE('',*,*,#197321,.F.); #260568=ORIENTED_EDGE('',*,*,#197062,.F.); #260569=ORIENTED_EDGE('',*,*,#197066,.F.); #260570=ORIENTED_EDGE('',*,*,#197069,.F.); #260571=ORIENTED_EDGE('',*,*,#197072,.F.); #260572=ORIENTED_EDGE('',*,*,#197075,.F.); #260573=ORIENTED_EDGE('',*,*,#197078,.F.); #260574=ORIENTED_EDGE('',*,*,#197081,.F.); #260575=ORIENTED_EDGE('',*,*,#197084,.F.); #260576=ORIENTED_EDGE('',*,*,#197087,.F.); #260577=ORIENTED_EDGE('',*,*,#197090,.F.); #260578=ORIENTED_EDGE('',*,*,#197093,.F.); #260579=ORIENTED_EDGE('',*,*,#197096,.F.); #260580=ORIENTED_EDGE('',*,*,#197099,.F.); #260581=ORIENTED_EDGE('',*,*,#197102,.F.); #260582=ORIENTED_EDGE('',*,*,#197105,.F.); #260583=ORIENTED_EDGE('',*,*,#197108,.F.); #260584=ORIENTED_EDGE('',*,*,#197111,.F.); #260585=ORIENTED_EDGE('',*,*,#197114,.F.); #260586=ORIENTED_EDGE('',*,*,#197117,.F.); #260587=ORIENTED_EDGE('',*,*,#197120,.F.); #260588=ORIENTED_EDGE('',*,*,#197123,.F.); #260589=ORIENTED_EDGE('',*,*,#197126,.F.); #260590=ORIENTED_EDGE('',*,*,#197129,.F.); #260591=ORIENTED_EDGE('',*,*,#197132,.F.); #260592=ORIENTED_EDGE('',*,*,#197135,.F.); #260593=ORIENTED_EDGE('',*,*,#197138,.F.); #260594=ORIENTED_EDGE('',*,*,#197141,.F.); #260595=ORIENTED_EDGE('',*,*,#197144,.F.); #260596=ORIENTED_EDGE('',*,*,#197147,.F.); #260597=ORIENTED_EDGE('',*,*,#197150,.F.); #260598=ORIENTED_EDGE('',*,*,#197153,.F.); #260599=ORIENTED_EDGE('',*,*,#197156,.F.); #260600=ORIENTED_EDGE('',*,*,#197159,.F.); #260601=ORIENTED_EDGE('',*,*,#197162,.F.); #260602=ORIENTED_EDGE('',*,*,#197165,.F.); #260603=ORIENTED_EDGE('',*,*,#197168,.F.); #260604=ORIENTED_EDGE('',*,*,#197171,.F.); #260605=ORIENTED_EDGE('',*,*,#197174,.F.); #260606=ORIENTED_EDGE('',*,*,#197177,.F.); #260607=ORIENTED_EDGE('',*,*,#197180,.F.); #260608=ORIENTED_EDGE('',*,*,#197183,.F.); #260609=ORIENTED_EDGE('',*,*,#197186,.F.); #260610=ORIENTED_EDGE('',*,*,#197189,.F.); #260611=ORIENTED_EDGE('',*,*,#197192,.F.); #260612=ORIENTED_EDGE('',*,*,#197195,.F.); #260613=ORIENTED_EDGE('',*,*,#197198,.F.); #260614=ORIENTED_EDGE('',*,*,#197201,.F.); #260615=ORIENTED_EDGE('',*,*,#197204,.F.); #260616=ORIENTED_EDGE('',*,*,#197207,.F.); #260617=ORIENTED_EDGE('',*,*,#197210,.F.); #260618=ORIENTED_EDGE('',*,*,#197213,.F.); #260619=ORIENTED_EDGE('',*,*,#197216,.F.); #260620=ORIENTED_EDGE('',*,*,#197219,.F.); #260621=ORIENTED_EDGE('',*,*,#197222,.F.); #260622=ORIENTED_EDGE('',*,*,#197225,.F.); #260623=ORIENTED_EDGE('',*,*,#197228,.F.); #260624=ORIENTED_EDGE('',*,*,#197231,.F.); #260625=ORIENTED_EDGE('',*,*,#197234,.F.); #260626=ORIENTED_EDGE('',*,*,#197237,.F.); #260627=ORIENTED_EDGE('',*,*,#197240,.F.); #260628=ORIENTED_EDGE('',*,*,#197243,.F.); #260629=ORIENTED_EDGE('',*,*,#197246,.F.); #260630=ORIENTED_EDGE('',*,*,#197249,.F.); #260631=ORIENTED_EDGE('',*,*,#197252,.F.); #260632=ORIENTED_EDGE('',*,*,#197255,.F.); #260633=ORIENTED_EDGE('',*,*,#197258,.F.); #260634=ORIENTED_EDGE('',*,*,#197261,.F.); #260635=ORIENTED_EDGE('',*,*,#197264,.F.); #260636=ORIENTED_EDGE('',*,*,#197267,.F.); #260637=ORIENTED_EDGE('',*,*,#197270,.F.); #260638=ORIENTED_EDGE('',*,*,#197273,.F.); #260639=ORIENTED_EDGE('',*,*,#197276,.F.); #260640=ORIENTED_EDGE('',*,*,#197279,.F.); #260641=ORIENTED_EDGE('',*,*,#197282,.F.); #260642=ORIENTED_EDGE('',*,*,#197285,.F.); #260643=ORIENTED_EDGE('',*,*,#197288,.F.); #260644=ORIENTED_EDGE('',*,*,#197291,.F.); #260645=ORIENTED_EDGE('',*,*,#197294,.F.); #260646=ORIENTED_EDGE('',*,*,#197297,.F.); #260647=ORIENTED_EDGE('',*,*,#197300,.F.); #260648=ORIENTED_EDGE('',*,*,#197303,.F.); #260649=ORIENTED_EDGE('',*,*,#197306,.F.); #260650=ORIENTED_EDGE('',*,*,#197309,.F.); #260651=ORIENTED_EDGE('',*,*,#197312,.F.); #260652=ORIENTED_EDGE('',*,*,#197315,.F.); #260653=ORIENTED_EDGE('',*,*,#197318,.F.); #260654=ORIENTED_EDGE('',*,*,#197053,.T.); #260655=ORIENTED_EDGE('',*,*,#197056,.T.); #260656=ORIENTED_EDGE('',*,*,#197059,.T.); #260657=ORIENTED_EDGE('',*,*,#197323,.T.); #260658=ORIENTED_EDGE('',*,*,#197324,.T.); #260659=ORIENTED_EDGE('',*,*,#197325,.F.); #260660=ORIENTED_EDGE('',*,*,#197326,.F.); #260661=ORIENTED_EDGE('',*,*,#197327,.T.); #260662=ORIENTED_EDGE('',*,*,#197326,.T.); #260663=ORIENTED_EDGE('',*,*,#197328,.F.); #260664=ORIENTED_EDGE('',*,*,#197329,.F.); #260665=ORIENTED_EDGE('',*,*,#197330,.T.); #260666=ORIENTED_EDGE('',*,*,#197329,.T.); #260667=ORIENTED_EDGE('',*,*,#197331,.F.); #260668=ORIENTED_EDGE('',*,*,#197332,.F.); #260669=ORIENTED_EDGE('',*,*,#197333,.T.); #260670=ORIENTED_EDGE('',*,*,#197332,.T.); #260671=ORIENTED_EDGE('',*,*,#197334,.F.); #260672=ORIENTED_EDGE('',*,*,#197335,.F.); #260673=ORIENTED_EDGE('',*,*,#197336,.T.); #260674=ORIENTED_EDGE('',*,*,#197335,.T.); #260675=ORIENTED_EDGE('',*,*,#197337,.F.); #260676=ORIENTED_EDGE('',*,*,#197338,.F.); #260677=ORIENTED_EDGE('',*,*,#197339,.T.); #260678=ORIENTED_EDGE('',*,*,#197338,.T.); #260679=ORIENTED_EDGE('',*,*,#197340,.F.); #260680=ORIENTED_EDGE('',*,*,#197341,.F.); #260681=ORIENTED_EDGE('',*,*,#197342,.T.); #260682=ORIENTED_EDGE('',*,*,#197341,.T.); #260683=ORIENTED_EDGE('',*,*,#197343,.F.); #260684=ORIENTED_EDGE('',*,*,#197344,.F.); #260685=ORIENTED_EDGE('',*,*,#197345,.T.); #260686=ORIENTED_EDGE('',*,*,#197344,.T.); #260687=ORIENTED_EDGE('',*,*,#197346,.F.); #260688=ORIENTED_EDGE('',*,*,#197347,.F.); #260689=ORIENTED_EDGE('',*,*,#197348,.T.); #260690=ORIENTED_EDGE('',*,*,#197347,.T.); #260691=ORIENTED_EDGE('',*,*,#197349,.F.); #260692=ORIENTED_EDGE('',*,*,#197350,.F.); #260693=ORIENTED_EDGE('',*,*,#197351,.T.); #260694=ORIENTED_EDGE('',*,*,#197350,.T.); #260695=ORIENTED_EDGE('',*,*,#197352,.F.); #260696=ORIENTED_EDGE('',*,*,#197353,.F.); #260697=ORIENTED_EDGE('',*,*,#197354,.T.); #260698=ORIENTED_EDGE('',*,*,#197353,.T.); #260699=ORIENTED_EDGE('',*,*,#197355,.F.); #260700=ORIENTED_EDGE('',*,*,#197356,.F.); #260701=ORIENTED_EDGE('',*,*,#197357,.T.); #260702=ORIENTED_EDGE('',*,*,#197356,.T.); #260703=ORIENTED_EDGE('',*,*,#197358,.F.); #260704=ORIENTED_EDGE('',*,*,#197359,.F.); #260705=ORIENTED_EDGE('',*,*,#197360,.T.); #260706=ORIENTED_EDGE('',*,*,#197359,.T.); #260707=ORIENTED_EDGE('',*,*,#197361,.F.); #260708=ORIENTED_EDGE('',*,*,#197362,.F.); #260709=ORIENTED_EDGE('',*,*,#197363,.T.); #260710=ORIENTED_EDGE('',*,*,#197362,.T.); #260711=ORIENTED_EDGE('',*,*,#197364,.F.); #260712=ORIENTED_EDGE('',*,*,#197365,.F.); #260713=ORIENTED_EDGE('',*,*,#197366,.T.); #260714=ORIENTED_EDGE('',*,*,#197365,.T.); #260715=ORIENTED_EDGE('',*,*,#197367,.F.); #260716=ORIENTED_EDGE('',*,*,#197368,.F.); #260717=ORIENTED_EDGE('',*,*,#197369,.T.); #260718=ORIENTED_EDGE('',*,*,#197368,.T.); #260719=ORIENTED_EDGE('',*,*,#197370,.F.); #260720=ORIENTED_EDGE('',*,*,#197371,.F.); #260721=ORIENTED_EDGE('',*,*,#197372,.T.); #260722=ORIENTED_EDGE('',*,*,#197371,.T.); #260723=ORIENTED_EDGE('',*,*,#197373,.F.); #260724=ORIENTED_EDGE('',*,*,#197374,.F.); #260725=ORIENTED_EDGE('',*,*,#197375,.T.); #260726=ORIENTED_EDGE('',*,*,#197374,.T.); #260727=ORIENTED_EDGE('',*,*,#197376,.F.); #260728=ORIENTED_EDGE('',*,*,#197377,.F.); #260729=ORIENTED_EDGE('',*,*,#197378,.T.); #260730=ORIENTED_EDGE('',*,*,#197377,.T.); #260731=ORIENTED_EDGE('',*,*,#197379,.F.); #260732=ORIENTED_EDGE('',*,*,#197380,.F.); #260733=ORIENTED_EDGE('',*,*,#197381,.T.); #260734=ORIENTED_EDGE('',*,*,#197380,.T.); #260735=ORIENTED_EDGE('',*,*,#197382,.F.); #260736=ORIENTED_EDGE('',*,*,#197383,.F.); #260737=ORIENTED_EDGE('',*,*,#197384,.T.); #260738=ORIENTED_EDGE('',*,*,#197383,.T.); #260739=ORIENTED_EDGE('',*,*,#197385,.F.); #260740=ORIENTED_EDGE('',*,*,#197386,.F.); #260741=ORIENTED_EDGE('',*,*,#197387,.T.); #260742=ORIENTED_EDGE('',*,*,#197386,.T.); #260743=ORIENTED_EDGE('',*,*,#197388,.F.); #260744=ORIENTED_EDGE('',*,*,#197389,.F.); #260745=ORIENTED_EDGE('',*,*,#197390,.T.); #260746=ORIENTED_EDGE('',*,*,#197389,.T.); #260747=ORIENTED_EDGE('',*,*,#197391,.F.); #260748=ORIENTED_EDGE('',*,*,#197392,.F.); #260749=ORIENTED_EDGE('',*,*,#197393,.T.); #260750=ORIENTED_EDGE('',*,*,#197392,.T.); #260751=ORIENTED_EDGE('',*,*,#197394,.F.); #260752=ORIENTED_EDGE('',*,*,#197395,.F.); #260753=ORIENTED_EDGE('',*,*,#197396,.T.); #260754=ORIENTED_EDGE('',*,*,#197395,.T.); #260755=ORIENTED_EDGE('',*,*,#197397,.F.); #260756=ORIENTED_EDGE('',*,*,#197398,.F.); #260757=ORIENTED_EDGE('',*,*,#197399,.T.); #260758=ORIENTED_EDGE('',*,*,#197398,.T.); #260759=ORIENTED_EDGE('',*,*,#197400,.F.); #260760=ORIENTED_EDGE('',*,*,#197401,.F.); #260761=ORIENTED_EDGE('',*,*,#197402,.T.); #260762=ORIENTED_EDGE('',*,*,#197401,.T.); #260763=ORIENTED_EDGE('',*,*,#197403,.F.); #260764=ORIENTED_EDGE('',*,*,#197404,.F.); #260765=ORIENTED_EDGE('',*,*,#197405,.T.); #260766=ORIENTED_EDGE('',*,*,#197404,.T.); #260767=ORIENTED_EDGE('',*,*,#197406,.F.); #260768=ORIENTED_EDGE('',*,*,#197407,.F.); #260769=ORIENTED_EDGE('',*,*,#197408,.T.); #260770=ORIENTED_EDGE('',*,*,#197407,.T.); #260771=ORIENTED_EDGE('',*,*,#197409,.F.); #260772=ORIENTED_EDGE('',*,*,#197324,.F.); #260773=ORIENTED_EDGE('',*,*,#197409,.T.); #260774=ORIENTED_EDGE('',*,*,#197406,.T.); #260775=ORIENTED_EDGE('',*,*,#197403,.T.); #260776=ORIENTED_EDGE('',*,*,#197400,.T.); #260777=ORIENTED_EDGE('',*,*,#197397,.T.); #260778=ORIENTED_EDGE('',*,*,#197394,.T.); #260779=ORIENTED_EDGE('',*,*,#197391,.T.); #260780=ORIENTED_EDGE('',*,*,#197388,.T.); #260781=ORIENTED_EDGE('',*,*,#197385,.T.); #260782=ORIENTED_EDGE('',*,*,#197382,.T.); #260783=ORIENTED_EDGE('',*,*,#197379,.T.); #260784=ORIENTED_EDGE('',*,*,#197376,.T.); #260785=ORIENTED_EDGE('',*,*,#197373,.T.); #260786=ORIENTED_EDGE('',*,*,#197370,.T.); #260787=ORIENTED_EDGE('',*,*,#197367,.T.); #260788=ORIENTED_EDGE('',*,*,#197364,.T.); #260789=ORIENTED_EDGE('',*,*,#197361,.T.); #260790=ORIENTED_EDGE('',*,*,#197358,.T.); #260791=ORIENTED_EDGE('',*,*,#197355,.T.); #260792=ORIENTED_EDGE('',*,*,#197352,.T.); #260793=ORIENTED_EDGE('',*,*,#197349,.T.); #260794=ORIENTED_EDGE('',*,*,#197346,.T.); #260795=ORIENTED_EDGE('',*,*,#197343,.T.); #260796=ORIENTED_EDGE('',*,*,#197340,.T.); #260797=ORIENTED_EDGE('',*,*,#197337,.T.); #260798=ORIENTED_EDGE('',*,*,#197334,.T.); #260799=ORIENTED_EDGE('',*,*,#197331,.T.); #260800=ORIENTED_EDGE('',*,*,#197328,.T.); #260801=ORIENTED_EDGE('',*,*,#197325,.T.); #260802=ORIENTED_EDGE('',*,*,#197408,.F.); #260803=ORIENTED_EDGE('',*,*,#197323,.F.); #260804=ORIENTED_EDGE('',*,*,#197327,.F.); #260805=ORIENTED_EDGE('',*,*,#197330,.F.); #260806=ORIENTED_EDGE('',*,*,#197333,.F.); #260807=ORIENTED_EDGE('',*,*,#197336,.F.); #260808=ORIENTED_EDGE('',*,*,#197339,.F.); #260809=ORIENTED_EDGE('',*,*,#197342,.F.); #260810=ORIENTED_EDGE('',*,*,#197345,.F.); #260811=ORIENTED_EDGE('',*,*,#197348,.F.); #260812=ORIENTED_EDGE('',*,*,#197351,.F.); #260813=ORIENTED_EDGE('',*,*,#197354,.F.); #260814=ORIENTED_EDGE('',*,*,#197357,.F.); #260815=ORIENTED_EDGE('',*,*,#197360,.F.); #260816=ORIENTED_EDGE('',*,*,#197363,.F.); #260817=ORIENTED_EDGE('',*,*,#197366,.F.); #260818=ORIENTED_EDGE('',*,*,#197369,.F.); #260819=ORIENTED_EDGE('',*,*,#197372,.F.); #260820=ORIENTED_EDGE('',*,*,#197375,.F.); #260821=ORIENTED_EDGE('',*,*,#197378,.F.); #260822=ORIENTED_EDGE('',*,*,#197381,.F.); #260823=ORIENTED_EDGE('',*,*,#197384,.F.); #260824=ORIENTED_EDGE('',*,*,#197387,.F.); #260825=ORIENTED_EDGE('',*,*,#197390,.F.); #260826=ORIENTED_EDGE('',*,*,#197393,.F.); #260827=ORIENTED_EDGE('',*,*,#197396,.F.); #260828=ORIENTED_EDGE('',*,*,#197399,.F.); #260829=ORIENTED_EDGE('',*,*,#197402,.F.); #260830=ORIENTED_EDGE('',*,*,#197405,.F.); #260831=ORIENTED_EDGE('',*,*,#197410,.T.); #260832=ORIENTED_EDGE('',*,*,#197411,.T.); #260833=ORIENTED_EDGE('',*,*,#197412,.F.); #260834=ORIENTED_EDGE('',*,*,#197413,.F.); #260835=ORIENTED_EDGE('',*,*,#197414,.T.); #260836=ORIENTED_EDGE('',*,*,#197413,.T.); #260837=ORIENTED_EDGE('',*,*,#197415,.F.); #260838=ORIENTED_EDGE('',*,*,#197416,.F.); #260839=ORIENTED_EDGE('',*,*,#197417,.T.); #260840=ORIENTED_EDGE('',*,*,#197416,.T.); #260841=ORIENTED_EDGE('',*,*,#197418,.F.); #260842=ORIENTED_EDGE('',*,*,#197419,.F.); #260843=ORIENTED_EDGE('',*,*,#197420,.T.); #260844=ORIENTED_EDGE('',*,*,#197419,.T.); #260845=ORIENTED_EDGE('',*,*,#197421,.F.); #260846=ORIENTED_EDGE('',*,*,#197422,.F.); #260847=ORIENTED_EDGE('',*,*,#197423,.T.); #260848=ORIENTED_EDGE('',*,*,#197422,.T.); #260849=ORIENTED_EDGE('',*,*,#197424,.F.); #260850=ORIENTED_EDGE('',*,*,#197425,.F.); #260851=ORIENTED_EDGE('',*,*,#197426,.T.); #260852=ORIENTED_EDGE('',*,*,#197425,.T.); #260853=ORIENTED_EDGE('',*,*,#197427,.F.); #260854=ORIENTED_EDGE('',*,*,#197428,.F.); #260855=ORIENTED_EDGE('',*,*,#197429,.T.); #260856=ORIENTED_EDGE('',*,*,#197428,.T.); #260857=ORIENTED_EDGE('',*,*,#197430,.F.); #260858=ORIENTED_EDGE('',*,*,#197431,.F.); #260859=ORIENTED_EDGE('',*,*,#197432,.T.); #260860=ORIENTED_EDGE('',*,*,#197431,.T.); #260861=ORIENTED_EDGE('',*,*,#197433,.F.); #260862=ORIENTED_EDGE('',*,*,#197434,.F.); #260863=ORIENTED_EDGE('',*,*,#197435,.T.); #260864=ORIENTED_EDGE('',*,*,#197434,.T.); #260865=ORIENTED_EDGE('',*,*,#197436,.F.); #260866=ORIENTED_EDGE('',*,*,#197437,.F.); #260867=ORIENTED_EDGE('',*,*,#197438,.T.); #260868=ORIENTED_EDGE('',*,*,#197437,.T.); #260869=ORIENTED_EDGE('',*,*,#197439,.F.); #260870=ORIENTED_EDGE('',*,*,#197440,.F.); #260871=ORIENTED_EDGE('',*,*,#197441,.T.); #260872=ORIENTED_EDGE('',*,*,#197440,.T.); #260873=ORIENTED_EDGE('',*,*,#197442,.F.); #260874=ORIENTED_EDGE('',*,*,#197443,.F.); #260875=ORIENTED_EDGE('',*,*,#197444,.T.); #260876=ORIENTED_EDGE('',*,*,#197443,.T.); #260877=ORIENTED_EDGE('',*,*,#197445,.F.); #260878=ORIENTED_EDGE('',*,*,#197446,.F.); #260879=ORIENTED_EDGE('',*,*,#197447,.T.); #260880=ORIENTED_EDGE('',*,*,#197446,.T.); #260881=ORIENTED_EDGE('',*,*,#197448,.F.); #260882=ORIENTED_EDGE('',*,*,#197449,.F.); #260883=ORIENTED_EDGE('',*,*,#197450,.T.); #260884=ORIENTED_EDGE('',*,*,#197449,.T.); #260885=ORIENTED_EDGE('',*,*,#197451,.F.); #260886=ORIENTED_EDGE('',*,*,#197452,.F.); #260887=ORIENTED_EDGE('',*,*,#197453,.T.); #260888=ORIENTED_EDGE('',*,*,#197452,.T.); #260889=ORIENTED_EDGE('',*,*,#197454,.F.); #260890=ORIENTED_EDGE('',*,*,#197455,.F.); #260891=ORIENTED_EDGE('',*,*,#197456,.T.); #260892=ORIENTED_EDGE('',*,*,#197455,.T.); #260893=ORIENTED_EDGE('',*,*,#197457,.F.); #260894=ORIENTED_EDGE('',*,*,#197458,.F.); #260895=ORIENTED_EDGE('',*,*,#197459,.T.); #260896=ORIENTED_EDGE('',*,*,#197458,.T.); #260897=ORIENTED_EDGE('',*,*,#197460,.F.); #260898=ORIENTED_EDGE('',*,*,#197461,.F.); #260899=ORIENTED_EDGE('',*,*,#197462,.T.); #260900=ORIENTED_EDGE('',*,*,#197461,.T.); #260901=ORIENTED_EDGE('',*,*,#197463,.F.); #260902=ORIENTED_EDGE('',*,*,#197464,.F.); #260903=ORIENTED_EDGE('',*,*,#197465,.T.); #260904=ORIENTED_EDGE('',*,*,#197464,.T.); #260905=ORIENTED_EDGE('',*,*,#197466,.F.); #260906=ORIENTED_EDGE('',*,*,#197411,.F.); #260907=ORIENTED_EDGE('',*,*,#197466,.T.); #260908=ORIENTED_EDGE('',*,*,#197463,.T.); #260909=ORIENTED_EDGE('',*,*,#197460,.T.); #260910=ORIENTED_EDGE('',*,*,#197457,.T.); #260911=ORIENTED_EDGE('',*,*,#197454,.T.); #260912=ORIENTED_EDGE('',*,*,#197451,.T.); #260913=ORIENTED_EDGE('',*,*,#197448,.T.); #260914=ORIENTED_EDGE('',*,*,#197445,.T.); #260915=ORIENTED_EDGE('',*,*,#197442,.T.); #260916=ORIENTED_EDGE('',*,*,#197439,.T.); #260917=ORIENTED_EDGE('',*,*,#197436,.T.); #260918=ORIENTED_EDGE('',*,*,#197433,.T.); #260919=ORIENTED_EDGE('',*,*,#197430,.T.); #260920=ORIENTED_EDGE('',*,*,#197427,.T.); #260921=ORIENTED_EDGE('',*,*,#197424,.T.); #260922=ORIENTED_EDGE('',*,*,#197421,.T.); #260923=ORIENTED_EDGE('',*,*,#197418,.T.); #260924=ORIENTED_EDGE('',*,*,#197415,.T.); #260925=ORIENTED_EDGE('',*,*,#197412,.T.); #260926=ORIENTED_EDGE('',*,*,#197465,.F.); #260927=ORIENTED_EDGE('',*,*,#197410,.F.); #260928=ORIENTED_EDGE('',*,*,#197414,.F.); #260929=ORIENTED_EDGE('',*,*,#197417,.F.); #260930=ORIENTED_EDGE('',*,*,#197420,.F.); #260931=ORIENTED_EDGE('',*,*,#197423,.F.); #260932=ORIENTED_EDGE('',*,*,#197426,.F.); #260933=ORIENTED_EDGE('',*,*,#197429,.F.); #260934=ORIENTED_EDGE('',*,*,#197432,.F.); #260935=ORIENTED_EDGE('',*,*,#197435,.F.); #260936=ORIENTED_EDGE('',*,*,#197438,.F.); #260937=ORIENTED_EDGE('',*,*,#197441,.F.); #260938=ORIENTED_EDGE('',*,*,#197444,.F.); #260939=ORIENTED_EDGE('',*,*,#197447,.F.); #260940=ORIENTED_EDGE('',*,*,#197450,.F.); #260941=ORIENTED_EDGE('',*,*,#197453,.F.); #260942=ORIENTED_EDGE('',*,*,#197456,.F.); #260943=ORIENTED_EDGE('',*,*,#197459,.F.); #260944=ORIENTED_EDGE('',*,*,#197462,.F.); #260945=ORIENTED_EDGE('',*,*,#197467,.F.); #260946=ORIENTED_EDGE('',*,*,#197468,.T.); #260947=ORIENTED_EDGE('',*,*,#197469,.F.); #260948=ORIENTED_EDGE('',*,*,#197468,.F.); #260949=ORIENTED_EDGE('',*,*,#197470,.F.); #260950=ORIENTED_EDGE('',*,*,#197471,.T.); #260951=ORIENTED_EDGE('',*,*,#197472,.F.); #260952=ORIENTED_EDGE('',*,*,#197471,.F.); #260953=ORIENTED_EDGE('',*,*,#197473,.F.); #260954=ORIENTED_EDGE('',*,*,#197474,.T.); #260955=ORIENTED_EDGE('',*,*,#197475,.F.); #260956=ORIENTED_EDGE('',*,*,#197474,.F.); #260957=ORIENTED_EDGE('',*,*,#197476,.F.); #260958=ORIENTED_EDGE('',*,*,#197477,.T.); #260959=ORIENTED_EDGE('',*,*,#197478,.F.); #260960=ORIENTED_EDGE('',*,*,#197477,.F.); #260961=ORIENTED_EDGE('',*,*,#197479,.F.); #260962=ORIENTED_EDGE('',*,*,#197480,.T.); #260963=ORIENTED_EDGE('',*,*,#197481,.F.); #260964=ORIENTED_EDGE('',*,*,#197480,.F.); #260965=ORIENTED_EDGE('',*,*,#197482,.F.); #260966=ORIENTED_EDGE('',*,*,#197483,.T.); #260967=ORIENTED_EDGE('',*,*,#197484,.F.); #260968=ORIENTED_EDGE('',*,*,#197483,.F.); #260969=ORIENTED_EDGE('',*,*,#197485,.F.); #260970=ORIENTED_EDGE('',*,*,#197486,.T.); #260971=ORIENTED_EDGE('',*,*,#197487,.F.); #260972=ORIENTED_EDGE('',*,*,#197486,.F.); #260973=ORIENTED_EDGE('',*,*,#197488,.F.); #260974=ORIENTED_EDGE('',*,*,#197489,.T.); #260975=ORIENTED_EDGE('',*,*,#197490,.F.); #260976=ORIENTED_EDGE('',*,*,#197489,.F.); #260977=ORIENTED_EDGE('',*,*,#197491,.F.); #260978=ORIENTED_EDGE('',*,*,#197492,.T.); #260979=ORIENTED_EDGE('',*,*,#197493,.F.); #260980=ORIENTED_EDGE('',*,*,#197492,.F.); #260981=ORIENTED_EDGE('',*,*,#197494,.F.); #260982=ORIENTED_EDGE('',*,*,#197495,.T.); #260983=ORIENTED_EDGE('',*,*,#197496,.F.); #260984=ORIENTED_EDGE('',*,*,#197495,.F.); #260985=ORIENTED_EDGE('',*,*,#197497,.F.); #260986=ORIENTED_EDGE('',*,*,#197498,.T.); #260987=ORIENTED_EDGE('',*,*,#197499,.F.); #260988=ORIENTED_EDGE('',*,*,#197498,.F.); #260989=ORIENTED_EDGE('',*,*,#197500,.F.); #260990=ORIENTED_EDGE('',*,*,#197501,.T.); #260991=ORIENTED_EDGE('',*,*,#197502,.F.); #260992=ORIENTED_EDGE('',*,*,#197501,.F.); #260993=ORIENTED_EDGE('',*,*,#197503,.F.); #260994=ORIENTED_EDGE('',*,*,#197504,.T.); #260995=ORIENTED_EDGE('',*,*,#197505,.F.); #260996=ORIENTED_EDGE('',*,*,#197504,.F.); #260997=ORIENTED_EDGE('',*,*,#197506,.F.); #260998=ORIENTED_EDGE('',*,*,#197507,.T.); #260999=ORIENTED_EDGE('',*,*,#197508,.F.); #261000=ORIENTED_EDGE('',*,*,#197507,.F.); #261001=ORIENTED_EDGE('',*,*,#197509,.F.); #261002=ORIENTED_EDGE('',*,*,#197510,.T.); #261003=ORIENTED_EDGE('',*,*,#197511,.F.); #261004=ORIENTED_EDGE('',*,*,#197510,.F.); #261005=ORIENTED_EDGE('',*,*,#197512,.F.); #261006=ORIENTED_EDGE('',*,*,#197513,.T.); #261007=ORIENTED_EDGE('',*,*,#197514,.F.); #261008=ORIENTED_EDGE('',*,*,#197513,.F.); #261009=ORIENTED_EDGE('',*,*,#197515,.F.); #261010=ORIENTED_EDGE('',*,*,#197516,.T.); #261011=ORIENTED_EDGE('',*,*,#197517,.F.); #261012=ORIENTED_EDGE('',*,*,#197516,.F.); #261013=ORIENTED_EDGE('',*,*,#197518,.F.); #261014=ORIENTED_EDGE('',*,*,#197519,.T.); #261015=ORIENTED_EDGE('',*,*,#197520,.F.); #261016=ORIENTED_EDGE('',*,*,#197519,.F.); #261017=ORIENTED_EDGE('',*,*,#197521,.F.); #261018=ORIENTED_EDGE('',*,*,#197522,.T.); #261019=ORIENTED_EDGE('',*,*,#197523,.F.); #261020=ORIENTED_EDGE('',*,*,#197522,.F.); #261021=ORIENTED_EDGE('',*,*,#197524,.F.); #261022=ORIENTED_EDGE('',*,*,#197525,.T.); #261023=ORIENTED_EDGE('',*,*,#197526,.F.); #261024=ORIENTED_EDGE('',*,*,#197525,.F.); #261025=ORIENTED_EDGE('',*,*,#197527,.F.); #261026=ORIENTED_EDGE('',*,*,#197528,.T.); #261027=ORIENTED_EDGE('',*,*,#197529,.F.); #261028=ORIENTED_EDGE('',*,*,#197528,.F.); #261029=ORIENTED_EDGE('',*,*,#197530,.F.); #261030=ORIENTED_EDGE('',*,*,#197531,.T.); #261031=ORIENTED_EDGE('',*,*,#197532,.F.); #261032=ORIENTED_EDGE('',*,*,#197531,.F.); #261033=ORIENTED_EDGE('',*,*,#197533,.F.); #261034=ORIENTED_EDGE('',*,*,#197534,.T.); #261035=ORIENTED_EDGE('',*,*,#197535,.F.); #261036=ORIENTED_EDGE('',*,*,#197534,.F.); #261037=ORIENTED_EDGE('',*,*,#197536,.F.); #261038=ORIENTED_EDGE('',*,*,#197537,.T.); #261039=ORIENTED_EDGE('',*,*,#197538,.F.); #261040=ORIENTED_EDGE('',*,*,#197537,.F.); #261041=ORIENTED_EDGE('',*,*,#197539,.F.); #261042=ORIENTED_EDGE('',*,*,#197540,.T.); #261043=ORIENTED_EDGE('',*,*,#197541,.F.); #261044=ORIENTED_EDGE('',*,*,#197540,.F.); #261045=ORIENTED_EDGE('',*,*,#197542,.F.); #261046=ORIENTED_EDGE('',*,*,#197543,.T.); #261047=ORIENTED_EDGE('',*,*,#197544,.F.); #261048=ORIENTED_EDGE('',*,*,#197543,.F.); #261049=ORIENTED_EDGE('',*,*,#197545,.F.); #261050=ORIENTED_EDGE('',*,*,#197546,.T.); #261051=ORIENTED_EDGE('',*,*,#197547,.F.); #261052=ORIENTED_EDGE('',*,*,#197546,.F.); #261053=ORIENTED_EDGE('',*,*,#197548,.F.); #261054=ORIENTED_EDGE('',*,*,#197549,.T.); #261055=ORIENTED_EDGE('',*,*,#197550,.F.); #261056=ORIENTED_EDGE('',*,*,#197549,.F.); #261057=ORIENTED_EDGE('',*,*,#197551,.F.); #261058=ORIENTED_EDGE('',*,*,#197552,.T.); #261059=ORIENTED_EDGE('',*,*,#197553,.F.); #261060=ORIENTED_EDGE('',*,*,#197552,.F.); #261061=ORIENTED_EDGE('',*,*,#197554,.F.); #261062=ORIENTED_EDGE('',*,*,#197555,.T.); #261063=ORIENTED_EDGE('',*,*,#197556,.F.); #261064=ORIENTED_EDGE('',*,*,#197555,.F.); #261065=ORIENTED_EDGE('',*,*,#197557,.F.); #261066=ORIENTED_EDGE('',*,*,#197558,.T.); #261067=ORIENTED_EDGE('',*,*,#197559,.F.); #261068=ORIENTED_EDGE('',*,*,#197558,.F.); #261069=ORIENTED_EDGE('',*,*,#197560,.F.); #261070=ORIENTED_EDGE('',*,*,#197561,.T.); #261071=ORIENTED_EDGE('',*,*,#197562,.F.); #261072=ORIENTED_EDGE('',*,*,#197561,.F.); #261073=ORIENTED_EDGE('',*,*,#197563,.F.); #261074=ORIENTED_EDGE('',*,*,#197564,.T.); #261075=ORIENTED_EDGE('',*,*,#197565,.F.); #261076=ORIENTED_EDGE('',*,*,#197564,.F.); #261077=ORIENTED_EDGE('',*,*,#197566,.F.); #261078=ORIENTED_EDGE('',*,*,#197567,.T.); #261079=ORIENTED_EDGE('',*,*,#197568,.F.); #261080=ORIENTED_EDGE('',*,*,#197567,.F.); #261081=ORIENTED_EDGE('',*,*,#197569,.F.); #261082=ORIENTED_EDGE('',*,*,#197570,.T.); #261083=ORIENTED_EDGE('',*,*,#197571,.F.); #261084=ORIENTED_EDGE('',*,*,#197570,.F.); #261085=ORIENTED_EDGE('',*,*,#197572,.F.); #261086=ORIENTED_EDGE('',*,*,#197573,.T.); #261087=ORIENTED_EDGE('',*,*,#197574,.F.); #261088=ORIENTED_EDGE('',*,*,#197573,.F.); #261089=ORIENTED_EDGE('',*,*,#197575,.F.); #261090=ORIENTED_EDGE('',*,*,#197576,.T.); #261091=ORIENTED_EDGE('',*,*,#197577,.F.); #261092=ORIENTED_EDGE('',*,*,#197576,.F.); #261093=ORIENTED_EDGE('',*,*,#197578,.F.); #261094=ORIENTED_EDGE('',*,*,#197579,.T.); #261095=ORIENTED_EDGE('',*,*,#197580,.F.); #261096=ORIENTED_EDGE('',*,*,#197579,.F.); #261097=ORIENTED_EDGE('',*,*,#197581,.F.); #261098=ORIENTED_EDGE('',*,*,#197582,.T.); #261099=ORIENTED_EDGE('',*,*,#197583,.F.); #261100=ORIENTED_EDGE('',*,*,#197582,.F.); #261101=ORIENTED_EDGE('',*,*,#197584,.F.); #261102=ORIENTED_EDGE('',*,*,#197585,.T.); #261103=ORIENTED_EDGE('',*,*,#197586,.F.); #261104=ORIENTED_EDGE('',*,*,#197585,.F.); #261105=ORIENTED_EDGE('',*,*,#197587,.F.); #261106=ORIENTED_EDGE('',*,*,#197588,.T.); #261107=ORIENTED_EDGE('',*,*,#197589,.F.); #261108=ORIENTED_EDGE('',*,*,#197588,.F.); #261109=ORIENTED_EDGE('',*,*,#197590,.F.); #261110=ORIENTED_EDGE('',*,*,#197591,.T.); #261111=ORIENTED_EDGE('',*,*,#197592,.F.); #261112=ORIENTED_EDGE('',*,*,#197591,.F.); #261113=ORIENTED_EDGE('',*,*,#197593,.F.); #261114=ORIENTED_EDGE('',*,*,#197594,.T.); #261115=ORIENTED_EDGE('',*,*,#197595,.F.); #261116=ORIENTED_EDGE('',*,*,#197594,.F.); #261117=ORIENTED_EDGE('',*,*,#197596,.F.); #261118=ORIENTED_EDGE('',*,*,#197597,.T.); #261119=ORIENTED_EDGE('',*,*,#197598,.F.); #261120=ORIENTED_EDGE('',*,*,#197597,.F.); #261121=ORIENTED_EDGE('',*,*,#197599,.F.); #261122=ORIENTED_EDGE('',*,*,#197600,.T.); #261123=ORIENTED_EDGE('',*,*,#197601,.F.); #261124=ORIENTED_EDGE('',*,*,#197600,.F.); #261125=ORIENTED_EDGE('',*,*,#197602,.F.); #261126=ORIENTED_EDGE('',*,*,#197603,.T.); #261127=ORIENTED_EDGE('',*,*,#197604,.F.); #261128=ORIENTED_EDGE('',*,*,#197603,.F.); #261129=ORIENTED_EDGE('',*,*,#197605,.F.); #261130=ORIENTED_EDGE('',*,*,#197606,.T.); #261131=ORIENTED_EDGE('',*,*,#197607,.F.); #261132=ORIENTED_EDGE('',*,*,#197606,.F.); #261133=ORIENTED_EDGE('',*,*,#197608,.F.); #261134=ORIENTED_EDGE('',*,*,#197609,.T.); #261135=ORIENTED_EDGE('',*,*,#197610,.F.); #261136=ORIENTED_EDGE('',*,*,#197609,.F.); #261137=ORIENTED_EDGE('',*,*,#197611,.F.); #261138=ORIENTED_EDGE('',*,*,#197612,.T.); #261139=ORIENTED_EDGE('',*,*,#197613,.F.); #261140=ORIENTED_EDGE('',*,*,#197612,.F.); #261141=ORIENTED_EDGE('',*,*,#197614,.T.); #261142=ORIENTED_EDGE('',*,*,#197615,.T.); #261143=ORIENTED_EDGE('',*,*,#197616,.F.); #261144=ORIENTED_EDGE('',*,*,#197617,.F.); #261145=ORIENTED_EDGE('',*,*,#197618,.T.); #261146=ORIENTED_EDGE('',*,*,#197617,.T.); #261147=ORIENTED_EDGE('',*,*,#197619,.F.); #261148=ORIENTED_EDGE('',*,*,#197620,.F.); #261149=ORIENTED_EDGE('',*,*,#197621,.T.); #261150=ORIENTED_EDGE('',*,*,#197620,.T.); #261151=ORIENTED_EDGE('',*,*,#197622,.F.); #261152=ORIENTED_EDGE('',*,*,#197623,.F.); #261153=ORIENTED_EDGE('',*,*,#197624,.T.); #261154=ORIENTED_EDGE('',*,*,#197623,.T.); #261155=ORIENTED_EDGE('',*,*,#197625,.F.); #261156=ORIENTED_EDGE('',*,*,#197626,.F.); #261157=ORIENTED_EDGE('',*,*,#197627,.T.); #261158=ORIENTED_EDGE('',*,*,#197626,.T.); #261159=ORIENTED_EDGE('',*,*,#197628,.F.); #261160=ORIENTED_EDGE('',*,*,#197629,.F.); #261161=ORIENTED_EDGE('',*,*,#197630,.T.); #261162=ORIENTED_EDGE('',*,*,#197629,.T.); #261163=ORIENTED_EDGE('',*,*,#197631,.F.); #261164=ORIENTED_EDGE('',*,*,#197632,.F.); #261165=ORIENTED_EDGE('',*,*,#197633,.T.); #261166=ORIENTED_EDGE('',*,*,#197632,.T.); #261167=ORIENTED_EDGE('',*,*,#197634,.F.); #261168=ORIENTED_EDGE('',*,*,#197635,.F.); #261169=ORIENTED_EDGE('',*,*,#197636,.T.); #261170=ORIENTED_EDGE('',*,*,#197635,.T.); #261171=ORIENTED_EDGE('',*,*,#197637,.F.); #261172=ORIENTED_EDGE('',*,*,#197638,.F.); #261173=ORIENTED_EDGE('',*,*,#197639,.T.); #261174=ORIENTED_EDGE('',*,*,#197638,.T.); #261175=ORIENTED_EDGE('',*,*,#197640,.F.); #261176=ORIENTED_EDGE('',*,*,#197641,.F.); #261177=ORIENTED_EDGE('',*,*,#197642,.T.); #261178=ORIENTED_EDGE('',*,*,#197641,.T.); #261179=ORIENTED_EDGE('',*,*,#197643,.F.); #261180=ORIENTED_EDGE('',*,*,#197644,.F.); #261181=ORIENTED_EDGE('',*,*,#197645,.T.); #261182=ORIENTED_EDGE('',*,*,#197644,.T.); #261183=ORIENTED_EDGE('',*,*,#197646,.F.); #261184=ORIENTED_EDGE('',*,*,#197647,.F.); #261185=ORIENTED_EDGE('',*,*,#197648,.T.); #261186=ORIENTED_EDGE('',*,*,#197647,.T.); #261187=ORIENTED_EDGE('',*,*,#197649,.F.); #261188=ORIENTED_EDGE('',*,*,#197650,.F.); #261189=ORIENTED_EDGE('',*,*,#197651,.T.); #261190=ORIENTED_EDGE('',*,*,#197650,.T.); #261191=ORIENTED_EDGE('',*,*,#197652,.F.); #261192=ORIENTED_EDGE('',*,*,#197653,.F.); #261193=ORIENTED_EDGE('',*,*,#197654,.T.); #261194=ORIENTED_EDGE('',*,*,#197653,.T.); #261195=ORIENTED_EDGE('',*,*,#197655,.F.); #261196=ORIENTED_EDGE('',*,*,#197656,.F.); #261197=ORIENTED_EDGE('',*,*,#197657,.T.); #261198=ORIENTED_EDGE('',*,*,#197656,.T.); #261199=ORIENTED_EDGE('',*,*,#197658,.F.); #261200=ORIENTED_EDGE('',*,*,#197659,.F.); #261201=ORIENTED_EDGE('',*,*,#197660,.T.); #261202=ORIENTED_EDGE('',*,*,#197659,.T.); #261203=ORIENTED_EDGE('',*,*,#197661,.F.); #261204=ORIENTED_EDGE('',*,*,#197662,.F.); #261205=ORIENTED_EDGE('',*,*,#197663,.T.); #261206=ORIENTED_EDGE('',*,*,#197662,.T.); #261207=ORIENTED_EDGE('',*,*,#197664,.F.); #261208=ORIENTED_EDGE('',*,*,#197665,.F.); #261209=ORIENTED_EDGE('',*,*,#197666,.T.); #261210=ORIENTED_EDGE('',*,*,#197665,.T.); #261211=ORIENTED_EDGE('',*,*,#197667,.F.); #261212=ORIENTED_EDGE('',*,*,#197668,.F.); #261213=ORIENTED_EDGE('',*,*,#197669,.T.); #261214=ORIENTED_EDGE('',*,*,#197668,.T.); #261215=ORIENTED_EDGE('',*,*,#197670,.F.); #261216=ORIENTED_EDGE('',*,*,#197671,.F.); #261217=ORIENTED_EDGE('',*,*,#197672,.T.); #261218=ORIENTED_EDGE('',*,*,#197671,.T.); #261219=ORIENTED_EDGE('',*,*,#197673,.F.); #261220=ORIENTED_EDGE('',*,*,#197674,.F.); #261221=ORIENTED_EDGE('',*,*,#197675,.T.); #261222=ORIENTED_EDGE('',*,*,#197674,.T.); #261223=ORIENTED_EDGE('',*,*,#197676,.F.); #261224=ORIENTED_EDGE('',*,*,#197677,.F.); #261225=ORIENTED_EDGE('',*,*,#197678,.T.); #261226=ORIENTED_EDGE('',*,*,#197677,.T.); #261227=ORIENTED_EDGE('',*,*,#197679,.F.); #261228=ORIENTED_EDGE('',*,*,#197680,.F.); #261229=ORIENTED_EDGE('',*,*,#197681,.T.); #261230=ORIENTED_EDGE('',*,*,#197680,.T.); #261231=ORIENTED_EDGE('',*,*,#197682,.F.); #261232=ORIENTED_EDGE('',*,*,#197683,.F.); #261233=ORIENTED_EDGE('',*,*,#197684,.T.); #261234=ORIENTED_EDGE('',*,*,#197683,.T.); #261235=ORIENTED_EDGE('',*,*,#197685,.F.); #261236=ORIENTED_EDGE('',*,*,#197686,.F.); #261237=ORIENTED_EDGE('',*,*,#197687,.T.); #261238=ORIENTED_EDGE('',*,*,#197686,.T.); #261239=ORIENTED_EDGE('',*,*,#197688,.F.); #261240=ORIENTED_EDGE('',*,*,#197689,.F.); #261241=ORIENTED_EDGE('',*,*,#197690,.T.); #261242=ORIENTED_EDGE('',*,*,#197689,.T.); #261243=ORIENTED_EDGE('',*,*,#197691,.F.); #261244=ORIENTED_EDGE('',*,*,#197692,.F.); #261245=ORIENTED_EDGE('',*,*,#197693,.T.); #261246=ORIENTED_EDGE('',*,*,#197692,.T.); #261247=ORIENTED_EDGE('',*,*,#197694,.F.); #261248=ORIENTED_EDGE('',*,*,#197695,.F.); #261249=ORIENTED_EDGE('',*,*,#197696,.T.); #261250=ORIENTED_EDGE('',*,*,#197695,.T.); #261251=ORIENTED_EDGE('',*,*,#197697,.F.); #261252=ORIENTED_EDGE('',*,*,#197698,.F.); #261253=ORIENTED_EDGE('',*,*,#197699,.T.); #261254=ORIENTED_EDGE('',*,*,#197698,.T.); #261255=ORIENTED_EDGE('',*,*,#197700,.F.); #261256=ORIENTED_EDGE('',*,*,#197701,.F.); #261257=ORIENTED_EDGE('',*,*,#197702,.T.); #261258=ORIENTED_EDGE('',*,*,#197701,.T.); #261259=ORIENTED_EDGE('',*,*,#197703,.F.); #261260=ORIENTED_EDGE('',*,*,#197704,.F.); #261261=ORIENTED_EDGE('',*,*,#197705,.T.); #261262=ORIENTED_EDGE('',*,*,#197704,.T.); #261263=ORIENTED_EDGE('',*,*,#197706,.F.); #261264=ORIENTED_EDGE('',*,*,#197707,.F.); #261265=ORIENTED_EDGE('',*,*,#197708,.T.); #261266=ORIENTED_EDGE('',*,*,#197707,.T.); #261267=ORIENTED_EDGE('',*,*,#197709,.F.); #261268=ORIENTED_EDGE('',*,*,#197710,.F.); #261269=ORIENTED_EDGE('',*,*,#197711,.T.); #261270=ORIENTED_EDGE('',*,*,#197710,.T.); #261271=ORIENTED_EDGE('',*,*,#197712,.F.); #261272=ORIENTED_EDGE('',*,*,#197713,.F.); #261273=ORIENTED_EDGE('',*,*,#197714,.T.); #261274=ORIENTED_EDGE('',*,*,#197713,.T.); #261275=ORIENTED_EDGE('',*,*,#197715,.F.); #261276=ORIENTED_EDGE('',*,*,#197716,.F.); #261277=ORIENTED_EDGE('',*,*,#197717,.T.); #261278=ORIENTED_EDGE('',*,*,#197716,.T.); #261279=ORIENTED_EDGE('',*,*,#197718,.F.); #261280=ORIENTED_EDGE('',*,*,#197719,.F.); #261281=ORIENTED_EDGE('',*,*,#197720,.T.); #261282=ORIENTED_EDGE('',*,*,#197719,.T.); #261283=ORIENTED_EDGE('',*,*,#197721,.F.); #261284=ORIENTED_EDGE('',*,*,#197722,.F.); #261285=ORIENTED_EDGE('',*,*,#197723,.T.); #261286=ORIENTED_EDGE('',*,*,#197722,.T.); #261287=ORIENTED_EDGE('',*,*,#197724,.F.); #261288=ORIENTED_EDGE('',*,*,#197725,.F.); #261289=ORIENTED_EDGE('',*,*,#197726,.T.); #261290=ORIENTED_EDGE('',*,*,#197725,.T.); #261291=ORIENTED_EDGE('',*,*,#197727,.F.); #261292=ORIENTED_EDGE('',*,*,#197728,.F.); #261293=ORIENTED_EDGE('',*,*,#197729,.T.); #261294=ORIENTED_EDGE('',*,*,#197728,.T.); #261295=ORIENTED_EDGE('',*,*,#197730,.F.); #261296=ORIENTED_EDGE('',*,*,#197731,.F.); #261297=ORIENTED_EDGE('',*,*,#197732,.T.); #261298=ORIENTED_EDGE('',*,*,#197731,.T.); #261299=ORIENTED_EDGE('',*,*,#197733,.F.); #261300=ORIENTED_EDGE('',*,*,#197734,.F.); #261301=ORIENTED_EDGE('',*,*,#197735,.T.); #261302=ORIENTED_EDGE('',*,*,#197734,.T.); #261303=ORIENTED_EDGE('',*,*,#197736,.F.); #261304=ORIENTED_EDGE('',*,*,#197737,.F.); #261305=ORIENTED_EDGE('',*,*,#197738,.T.); #261306=ORIENTED_EDGE('',*,*,#197737,.T.); #261307=ORIENTED_EDGE('',*,*,#197739,.F.); #261308=ORIENTED_EDGE('',*,*,#197740,.F.); #261309=ORIENTED_EDGE('',*,*,#197741,.T.); #261310=ORIENTED_EDGE('',*,*,#197740,.T.); #261311=ORIENTED_EDGE('',*,*,#197742,.F.); #261312=ORIENTED_EDGE('',*,*,#197743,.F.); #261313=ORIENTED_EDGE('',*,*,#197744,.T.); #261314=ORIENTED_EDGE('',*,*,#197743,.T.); #261315=ORIENTED_EDGE('',*,*,#197745,.F.); #261316=ORIENTED_EDGE('',*,*,#197746,.F.); #261317=ORIENTED_EDGE('',*,*,#197747,.T.); #261318=ORIENTED_EDGE('',*,*,#197746,.T.); #261319=ORIENTED_EDGE('',*,*,#197748,.F.); #261320=ORIENTED_EDGE('',*,*,#197749,.F.); #261321=ORIENTED_EDGE('',*,*,#197750,.T.); #261322=ORIENTED_EDGE('',*,*,#197749,.T.); #261323=ORIENTED_EDGE('',*,*,#197751,.F.); #261324=ORIENTED_EDGE('',*,*,#197752,.F.); #261325=ORIENTED_EDGE('',*,*,#197753,.T.); #261326=ORIENTED_EDGE('',*,*,#197752,.T.); #261327=ORIENTED_EDGE('',*,*,#197754,.F.); #261328=ORIENTED_EDGE('',*,*,#197755,.F.); #261329=ORIENTED_EDGE('',*,*,#197756,.T.); #261330=ORIENTED_EDGE('',*,*,#197755,.T.); #261331=ORIENTED_EDGE('',*,*,#197757,.F.); #261332=ORIENTED_EDGE('',*,*,#197758,.F.); #261333=ORIENTED_EDGE('',*,*,#197759,.T.); #261334=ORIENTED_EDGE('',*,*,#197758,.T.); #261335=ORIENTED_EDGE('',*,*,#197760,.F.); #261336=ORIENTED_EDGE('',*,*,#197761,.F.); #261337=ORIENTED_EDGE('',*,*,#197762,.T.); #261338=ORIENTED_EDGE('',*,*,#197761,.T.); #261339=ORIENTED_EDGE('',*,*,#197763,.F.); #261340=ORIENTED_EDGE('',*,*,#197764,.F.); #261341=ORIENTED_EDGE('',*,*,#197765,.T.); #261342=ORIENTED_EDGE('',*,*,#197764,.T.); #261343=ORIENTED_EDGE('',*,*,#197766,.F.); #261344=ORIENTED_EDGE('',*,*,#197767,.F.); #261345=ORIENTED_EDGE('',*,*,#197768,.T.); #261346=ORIENTED_EDGE('',*,*,#197767,.T.); #261347=ORIENTED_EDGE('',*,*,#197769,.F.); #261348=ORIENTED_EDGE('',*,*,#197770,.F.); #261349=ORIENTED_EDGE('',*,*,#197771,.T.); #261350=ORIENTED_EDGE('',*,*,#197770,.T.); #261351=ORIENTED_EDGE('',*,*,#197772,.F.); #261352=ORIENTED_EDGE('',*,*,#197773,.F.); #261353=ORIENTED_EDGE('',*,*,#197774,.T.); #261354=ORIENTED_EDGE('',*,*,#197773,.T.); #261355=ORIENTED_EDGE('',*,*,#197775,.F.); #261356=ORIENTED_EDGE('',*,*,#197776,.F.); #261357=ORIENTED_EDGE('',*,*,#197777,.T.); #261358=ORIENTED_EDGE('',*,*,#197776,.T.); #261359=ORIENTED_EDGE('',*,*,#197778,.F.); #261360=ORIENTED_EDGE('',*,*,#197779,.F.); #261361=ORIENTED_EDGE('',*,*,#197780,.T.); #261362=ORIENTED_EDGE('',*,*,#197779,.T.); #261363=ORIENTED_EDGE('',*,*,#197781,.F.); #261364=ORIENTED_EDGE('',*,*,#197782,.F.); #261365=ORIENTED_EDGE('',*,*,#197783,.T.); #261366=ORIENTED_EDGE('',*,*,#197782,.T.); #261367=ORIENTED_EDGE('',*,*,#197784,.F.); #261368=ORIENTED_EDGE('',*,*,#197785,.F.); #261369=ORIENTED_EDGE('',*,*,#197786,.T.); #261370=ORIENTED_EDGE('',*,*,#197785,.T.); #261371=ORIENTED_EDGE('',*,*,#197787,.F.); #261372=ORIENTED_EDGE('',*,*,#197788,.F.); #261373=ORIENTED_EDGE('',*,*,#197789,.T.); #261374=ORIENTED_EDGE('',*,*,#197788,.T.); #261375=ORIENTED_EDGE('',*,*,#197790,.F.); #261376=ORIENTED_EDGE('',*,*,#197791,.F.); #261377=ORIENTED_EDGE('',*,*,#197792,.T.); #261378=ORIENTED_EDGE('',*,*,#197791,.T.); #261379=ORIENTED_EDGE('',*,*,#197793,.F.); #261380=ORIENTED_EDGE('',*,*,#197794,.F.); #261381=ORIENTED_EDGE('',*,*,#197795,.T.); #261382=ORIENTED_EDGE('',*,*,#197794,.T.); #261383=ORIENTED_EDGE('',*,*,#197796,.F.); #261384=ORIENTED_EDGE('',*,*,#197797,.F.); #261385=ORIENTED_EDGE('',*,*,#197798,.T.); #261386=ORIENTED_EDGE('',*,*,#197797,.T.); #261387=ORIENTED_EDGE('',*,*,#197799,.F.); #261388=ORIENTED_EDGE('',*,*,#197800,.F.); #261389=ORIENTED_EDGE('',*,*,#197801,.T.); #261390=ORIENTED_EDGE('',*,*,#197800,.T.); #261391=ORIENTED_EDGE('',*,*,#197802,.F.); #261392=ORIENTED_EDGE('',*,*,#197803,.F.); #261393=ORIENTED_EDGE('',*,*,#197804,.T.); #261394=ORIENTED_EDGE('',*,*,#197803,.T.); #261395=ORIENTED_EDGE('',*,*,#197805,.F.); #261396=ORIENTED_EDGE('',*,*,#197806,.F.); #261397=ORIENTED_EDGE('',*,*,#197807,.T.); #261398=ORIENTED_EDGE('',*,*,#197806,.T.); #261399=ORIENTED_EDGE('',*,*,#197808,.F.); #261400=ORIENTED_EDGE('',*,*,#197809,.F.); #261401=ORIENTED_EDGE('',*,*,#197810,.T.); #261402=ORIENTED_EDGE('',*,*,#197809,.T.); #261403=ORIENTED_EDGE('',*,*,#197811,.F.); #261404=ORIENTED_EDGE('',*,*,#197812,.F.); #261405=ORIENTED_EDGE('',*,*,#197813,.T.); #261406=ORIENTED_EDGE('',*,*,#197812,.T.); #261407=ORIENTED_EDGE('',*,*,#197814,.F.); #261408=ORIENTED_EDGE('',*,*,#197815,.F.); #261409=ORIENTED_EDGE('',*,*,#197816,.T.); #261410=ORIENTED_EDGE('',*,*,#197815,.T.); #261411=ORIENTED_EDGE('',*,*,#197817,.F.); #261412=ORIENTED_EDGE('',*,*,#197818,.F.); #261413=ORIENTED_EDGE('',*,*,#197819,.T.); #261414=ORIENTED_EDGE('',*,*,#197818,.T.); #261415=ORIENTED_EDGE('',*,*,#197820,.F.); #261416=ORIENTED_EDGE('',*,*,#197821,.F.); #261417=ORIENTED_EDGE('',*,*,#197822,.T.); #261418=ORIENTED_EDGE('',*,*,#197821,.T.); #261419=ORIENTED_EDGE('',*,*,#197823,.F.); #261420=ORIENTED_EDGE('',*,*,#197824,.F.); #261421=ORIENTED_EDGE('',*,*,#197825,.T.); #261422=ORIENTED_EDGE('',*,*,#197824,.T.); #261423=ORIENTED_EDGE('',*,*,#197826,.F.); #261424=ORIENTED_EDGE('',*,*,#197827,.F.); #261425=ORIENTED_EDGE('',*,*,#197828,.T.); #261426=ORIENTED_EDGE('',*,*,#197827,.T.); #261427=ORIENTED_EDGE('',*,*,#197829,.F.); #261428=ORIENTED_EDGE('',*,*,#197830,.F.); #261429=ORIENTED_EDGE('',*,*,#197831,.T.); #261430=ORIENTED_EDGE('',*,*,#197830,.T.); #261431=ORIENTED_EDGE('',*,*,#197832,.F.); #261432=ORIENTED_EDGE('',*,*,#197833,.F.); #261433=ORIENTED_EDGE('',*,*,#197834,.T.); #261434=ORIENTED_EDGE('',*,*,#197833,.T.); #261435=ORIENTED_EDGE('',*,*,#197835,.F.); #261436=ORIENTED_EDGE('',*,*,#197836,.F.); #261437=ORIENTED_EDGE('',*,*,#197837,.T.); #261438=ORIENTED_EDGE('',*,*,#197836,.T.); #261439=ORIENTED_EDGE('',*,*,#197838,.F.); #261440=ORIENTED_EDGE('',*,*,#197839,.F.); #261441=ORIENTED_EDGE('',*,*,#197840,.T.); #261442=ORIENTED_EDGE('',*,*,#197839,.T.); #261443=ORIENTED_EDGE('',*,*,#197841,.F.); #261444=ORIENTED_EDGE('',*,*,#197842,.F.); #261445=ORIENTED_EDGE('',*,*,#197843,.T.); #261446=ORIENTED_EDGE('',*,*,#197842,.T.); #261447=ORIENTED_EDGE('',*,*,#197844,.F.); #261448=ORIENTED_EDGE('',*,*,#197845,.F.); #261449=ORIENTED_EDGE('',*,*,#197846,.T.); #261450=ORIENTED_EDGE('',*,*,#197845,.T.); #261451=ORIENTED_EDGE('',*,*,#197847,.F.); #261452=ORIENTED_EDGE('',*,*,#197848,.F.); #261453=ORIENTED_EDGE('',*,*,#197849,.T.); #261454=ORIENTED_EDGE('',*,*,#197848,.T.); #261455=ORIENTED_EDGE('',*,*,#197850,.F.); #261456=ORIENTED_EDGE('',*,*,#197851,.F.); #261457=ORIENTED_EDGE('',*,*,#197852,.T.); #261458=ORIENTED_EDGE('',*,*,#197851,.T.); #261459=ORIENTED_EDGE('',*,*,#197853,.F.); #261460=ORIENTED_EDGE('',*,*,#197854,.F.); #261461=ORIENTED_EDGE('',*,*,#197855,.T.); #261462=ORIENTED_EDGE('',*,*,#197854,.T.); #261463=ORIENTED_EDGE('',*,*,#197856,.F.); #261464=ORIENTED_EDGE('',*,*,#197857,.F.); #261465=ORIENTED_EDGE('',*,*,#197858,.T.); #261466=ORIENTED_EDGE('',*,*,#197857,.T.); #261467=ORIENTED_EDGE('',*,*,#197859,.F.); #261468=ORIENTED_EDGE('',*,*,#197860,.F.); #261469=ORIENTED_EDGE('',*,*,#197861,.T.); #261470=ORIENTED_EDGE('',*,*,#197860,.T.); #261471=ORIENTED_EDGE('',*,*,#197862,.F.); #261472=ORIENTED_EDGE('',*,*,#197863,.F.); #261473=ORIENTED_EDGE('',*,*,#197864,.T.); #261474=ORIENTED_EDGE('',*,*,#197863,.T.); #261475=ORIENTED_EDGE('',*,*,#197865,.F.); #261476=ORIENTED_EDGE('',*,*,#197866,.F.); #261477=ORIENTED_EDGE('',*,*,#197867,.T.); #261478=ORIENTED_EDGE('',*,*,#197866,.T.); #261479=ORIENTED_EDGE('',*,*,#197868,.F.); #261480=ORIENTED_EDGE('',*,*,#197869,.F.); #261481=ORIENTED_EDGE('',*,*,#197870,.T.); #261482=ORIENTED_EDGE('',*,*,#197869,.T.); #261483=ORIENTED_EDGE('',*,*,#197871,.F.); #261484=ORIENTED_EDGE('',*,*,#197872,.F.); #261485=ORIENTED_EDGE('',*,*,#197873,.T.); #261486=ORIENTED_EDGE('',*,*,#197872,.T.); #261487=ORIENTED_EDGE('',*,*,#197874,.F.); #261488=ORIENTED_EDGE('',*,*,#197875,.F.); #261489=ORIENTED_EDGE('',*,*,#197876,.T.); #261490=ORIENTED_EDGE('',*,*,#197875,.T.); #261491=ORIENTED_EDGE('',*,*,#197877,.F.); #261492=ORIENTED_EDGE('',*,*,#197878,.F.); #261493=ORIENTED_EDGE('',*,*,#197879,.T.); #261494=ORIENTED_EDGE('',*,*,#197878,.T.); #261495=ORIENTED_EDGE('',*,*,#197880,.F.); #261496=ORIENTED_EDGE('',*,*,#197881,.F.); #261497=ORIENTED_EDGE('',*,*,#197882,.T.); #261498=ORIENTED_EDGE('',*,*,#197881,.T.); #261499=ORIENTED_EDGE('',*,*,#197883,.F.); #261500=ORIENTED_EDGE('',*,*,#197884,.F.); #261501=ORIENTED_EDGE('',*,*,#197885,.T.); #261502=ORIENTED_EDGE('',*,*,#197884,.T.); #261503=ORIENTED_EDGE('',*,*,#197886,.F.); #261504=ORIENTED_EDGE('',*,*,#197887,.F.); #261505=ORIENTED_EDGE('',*,*,#197888,.T.); #261506=ORIENTED_EDGE('',*,*,#197887,.T.); #261507=ORIENTED_EDGE('',*,*,#197889,.F.); #261508=ORIENTED_EDGE('',*,*,#197890,.F.); #261509=ORIENTED_EDGE('',*,*,#197891,.T.); #261510=ORIENTED_EDGE('',*,*,#197890,.T.); #261511=ORIENTED_EDGE('',*,*,#197892,.F.); #261512=ORIENTED_EDGE('',*,*,#197893,.F.); #261513=ORIENTED_EDGE('',*,*,#197894,.T.); #261514=ORIENTED_EDGE('',*,*,#197893,.T.); #261515=ORIENTED_EDGE('',*,*,#197895,.F.); #261516=ORIENTED_EDGE('',*,*,#197896,.F.); #261517=ORIENTED_EDGE('',*,*,#197897,.T.); #261518=ORIENTED_EDGE('',*,*,#197896,.T.); #261519=ORIENTED_EDGE('',*,*,#197898,.F.); #261520=ORIENTED_EDGE('',*,*,#197899,.F.); #261521=ORIENTED_EDGE('',*,*,#197900,.T.); #261522=ORIENTED_EDGE('',*,*,#197899,.T.); #261523=ORIENTED_EDGE('',*,*,#197901,.F.); #261524=ORIENTED_EDGE('',*,*,#197902,.F.); #261525=ORIENTED_EDGE('',*,*,#197903,.T.); #261526=ORIENTED_EDGE('',*,*,#197902,.T.); #261527=ORIENTED_EDGE('',*,*,#197904,.F.); #261528=ORIENTED_EDGE('',*,*,#197905,.F.); #261529=ORIENTED_EDGE('',*,*,#197906,.T.); #261530=ORIENTED_EDGE('',*,*,#197905,.T.); #261531=ORIENTED_EDGE('',*,*,#197907,.F.); #261532=ORIENTED_EDGE('',*,*,#197908,.F.); #261533=ORIENTED_EDGE('',*,*,#197909,.T.); #261534=ORIENTED_EDGE('',*,*,#197908,.T.); #261535=ORIENTED_EDGE('',*,*,#197910,.F.); #261536=ORIENTED_EDGE('',*,*,#197911,.F.); #261537=ORIENTED_EDGE('',*,*,#197912,.T.); #261538=ORIENTED_EDGE('',*,*,#197911,.T.); #261539=ORIENTED_EDGE('',*,*,#197913,.F.); #261540=ORIENTED_EDGE('',*,*,#197914,.F.); #261541=ORIENTED_EDGE('',*,*,#197915,.T.); #261542=ORIENTED_EDGE('',*,*,#197914,.T.); #261543=ORIENTED_EDGE('',*,*,#197916,.F.); #261544=ORIENTED_EDGE('',*,*,#197917,.F.); #261545=ORIENTED_EDGE('',*,*,#197918,.T.); #261546=ORIENTED_EDGE('',*,*,#197917,.T.); #261547=ORIENTED_EDGE('',*,*,#197919,.F.); #261548=ORIENTED_EDGE('',*,*,#197920,.F.); #261549=ORIENTED_EDGE('',*,*,#197921,.T.); #261550=ORIENTED_EDGE('',*,*,#197920,.T.); #261551=ORIENTED_EDGE('',*,*,#197922,.F.); #261552=ORIENTED_EDGE('',*,*,#197923,.F.); #261553=ORIENTED_EDGE('',*,*,#197924,.T.); #261554=ORIENTED_EDGE('',*,*,#197923,.T.); #261555=ORIENTED_EDGE('',*,*,#197925,.F.); #261556=ORIENTED_EDGE('',*,*,#197926,.F.); #261557=ORIENTED_EDGE('',*,*,#197927,.T.); #261558=ORIENTED_EDGE('',*,*,#197926,.T.); #261559=ORIENTED_EDGE('',*,*,#197928,.F.); #261560=ORIENTED_EDGE('',*,*,#197929,.F.); #261561=ORIENTED_EDGE('',*,*,#197930,.T.); #261562=ORIENTED_EDGE('',*,*,#197929,.T.); #261563=ORIENTED_EDGE('',*,*,#197931,.F.); #261564=ORIENTED_EDGE('',*,*,#197932,.F.); #261565=ORIENTED_EDGE('',*,*,#197933,.T.); #261566=ORIENTED_EDGE('',*,*,#197932,.T.); #261567=ORIENTED_EDGE('',*,*,#197934,.F.); #261568=ORIENTED_EDGE('',*,*,#197935,.F.); #261569=ORIENTED_EDGE('',*,*,#197936,.T.); #261570=ORIENTED_EDGE('',*,*,#197935,.T.); #261571=ORIENTED_EDGE('',*,*,#197937,.F.); #261572=ORIENTED_EDGE('',*,*,#197938,.F.); #261573=ORIENTED_EDGE('',*,*,#197939,.T.); #261574=ORIENTED_EDGE('',*,*,#197938,.T.); #261575=ORIENTED_EDGE('',*,*,#197940,.F.); #261576=ORIENTED_EDGE('',*,*,#197941,.F.); #261577=ORIENTED_EDGE('',*,*,#197942,.T.); #261578=ORIENTED_EDGE('',*,*,#197941,.T.); #261579=ORIENTED_EDGE('',*,*,#197943,.F.); #261580=ORIENTED_EDGE('',*,*,#197944,.F.); #261581=ORIENTED_EDGE('',*,*,#197945,.T.); #261582=ORIENTED_EDGE('',*,*,#197944,.T.); #261583=ORIENTED_EDGE('',*,*,#197946,.F.); #261584=ORIENTED_EDGE('',*,*,#197947,.F.); #261585=ORIENTED_EDGE('',*,*,#197948,.T.); #261586=ORIENTED_EDGE('',*,*,#197947,.T.); #261587=ORIENTED_EDGE('',*,*,#197949,.F.); #261588=ORIENTED_EDGE('',*,*,#197950,.F.); #261589=ORIENTED_EDGE('',*,*,#197951,.T.); #261590=ORIENTED_EDGE('',*,*,#197950,.T.); #261591=ORIENTED_EDGE('',*,*,#197952,.F.); #261592=ORIENTED_EDGE('',*,*,#197953,.F.); #261593=ORIENTED_EDGE('',*,*,#197954,.T.); #261594=ORIENTED_EDGE('',*,*,#197953,.T.); #261595=ORIENTED_EDGE('',*,*,#197955,.F.); #261596=ORIENTED_EDGE('',*,*,#197956,.F.); #261597=ORIENTED_EDGE('',*,*,#197957,.T.); #261598=ORIENTED_EDGE('',*,*,#197956,.T.); #261599=ORIENTED_EDGE('',*,*,#197958,.F.); #261600=ORIENTED_EDGE('',*,*,#197959,.F.); #261601=ORIENTED_EDGE('',*,*,#197960,.T.); #261602=ORIENTED_EDGE('',*,*,#197959,.T.); #261603=ORIENTED_EDGE('',*,*,#197961,.F.); #261604=ORIENTED_EDGE('',*,*,#197962,.F.); #261605=ORIENTED_EDGE('',*,*,#197963,.T.); #261606=ORIENTED_EDGE('',*,*,#197962,.T.); #261607=ORIENTED_EDGE('',*,*,#197964,.F.); #261608=ORIENTED_EDGE('',*,*,#197965,.F.); #261609=ORIENTED_EDGE('',*,*,#197966,.T.); #261610=ORIENTED_EDGE('',*,*,#197965,.T.); #261611=ORIENTED_EDGE('',*,*,#197967,.F.); #261612=ORIENTED_EDGE('',*,*,#197968,.F.); #261613=ORIENTED_EDGE('',*,*,#197969,.T.); #261614=ORIENTED_EDGE('',*,*,#197968,.T.); #261615=ORIENTED_EDGE('',*,*,#197970,.F.); #261616=ORIENTED_EDGE('',*,*,#197971,.F.); #261617=ORIENTED_EDGE('',*,*,#197972,.T.); #261618=ORIENTED_EDGE('',*,*,#197971,.T.); #261619=ORIENTED_EDGE('',*,*,#197973,.F.); #261620=ORIENTED_EDGE('',*,*,#197974,.F.); #261621=ORIENTED_EDGE('',*,*,#197975,.T.); #261622=ORIENTED_EDGE('',*,*,#197974,.T.); #261623=ORIENTED_EDGE('',*,*,#197976,.F.); #261624=ORIENTED_EDGE('',*,*,#197977,.F.); #261625=ORIENTED_EDGE('',*,*,#197978,.T.); #261626=ORIENTED_EDGE('',*,*,#197977,.T.); #261627=ORIENTED_EDGE('',*,*,#197979,.F.); #261628=ORIENTED_EDGE('',*,*,#197980,.F.); #261629=ORIENTED_EDGE('',*,*,#197981,.T.); #261630=ORIENTED_EDGE('',*,*,#197980,.T.); #261631=ORIENTED_EDGE('',*,*,#197982,.F.); #261632=ORIENTED_EDGE('',*,*,#197983,.F.); #261633=ORIENTED_EDGE('',*,*,#197984,.T.); #261634=ORIENTED_EDGE('',*,*,#197983,.T.); #261635=ORIENTED_EDGE('',*,*,#197985,.F.); #261636=ORIENTED_EDGE('',*,*,#197986,.F.); #261637=ORIENTED_EDGE('',*,*,#197987,.T.); #261638=ORIENTED_EDGE('',*,*,#197986,.T.); #261639=ORIENTED_EDGE('',*,*,#197988,.F.); #261640=ORIENTED_EDGE('',*,*,#197989,.F.); #261641=ORIENTED_EDGE('',*,*,#197990,.T.); #261642=ORIENTED_EDGE('',*,*,#197989,.T.); #261643=ORIENTED_EDGE('',*,*,#197991,.F.); #261644=ORIENTED_EDGE('',*,*,#197992,.F.); #261645=ORIENTED_EDGE('',*,*,#197993,.T.); #261646=ORIENTED_EDGE('',*,*,#197992,.T.); #261647=ORIENTED_EDGE('',*,*,#197994,.F.); #261648=ORIENTED_EDGE('',*,*,#197995,.F.); #261649=ORIENTED_EDGE('',*,*,#197996,.T.); #261650=ORIENTED_EDGE('',*,*,#197995,.T.); #261651=ORIENTED_EDGE('',*,*,#197997,.F.); #261652=ORIENTED_EDGE('',*,*,#197998,.F.); #261653=ORIENTED_EDGE('',*,*,#197999,.T.); #261654=ORIENTED_EDGE('',*,*,#197998,.T.); #261655=ORIENTED_EDGE('',*,*,#198000,.F.); #261656=ORIENTED_EDGE('',*,*,#198001,.F.); #261657=ORIENTED_EDGE('',*,*,#198002,.T.); #261658=ORIENTED_EDGE('',*,*,#198001,.T.); #261659=ORIENTED_EDGE('',*,*,#198003,.F.); #261660=ORIENTED_EDGE('',*,*,#198004,.F.); #261661=ORIENTED_EDGE('',*,*,#198005,.T.); #261662=ORIENTED_EDGE('',*,*,#198004,.T.); #261663=ORIENTED_EDGE('',*,*,#198006,.F.); #261664=ORIENTED_EDGE('',*,*,#198007,.F.); #261665=ORIENTED_EDGE('',*,*,#198008,.T.); #261666=ORIENTED_EDGE('',*,*,#198007,.T.); #261667=ORIENTED_EDGE('',*,*,#198009,.F.); #261668=ORIENTED_EDGE('',*,*,#198010,.F.); #261669=ORIENTED_EDGE('',*,*,#198011,.T.); #261670=ORIENTED_EDGE('',*,*,#198010,.T.); #261671=ORIENTED_EDGE('',*,*,#198012,.F.); #261672=ORIENTED_EDGE('',*,*,#198013,.F.); #261673=ORIENTED_EDGE('',*,*,#198014,.T.); #261674=ORIENTED_EDGE('',*,*,#198013,.T.); #261675=ORIENTED_EDGE('',*,*,#198015,.F.); #261676=ORIENTED_EDGE('',*,*,#198016,.F.); #261677=ORIENTED_EDGE('',*,*,#198017,.T.); #261678=ORIENTED_EDGE('',*,*,#198016,.T.); #261679=ORIENTED_EDGE('',*,*,#198018,.F.); #261680=ORIENTED_EDGE('',*,*,#198019,.F.); #261681=ORIENTED_EDGE('',*,*,#198020,.T.); #261682=ORIENTED_EDGE('',*,*,#198019,.T.); #261683=ORIENTED_EDGE('',*,*,#198021,.F.); #261684=ORIENTED_EDGE('',*,*,#198022,.F.); #261685=ORIENTED_EDGE('',*,*,#198023,.T.); #261686=ORIENTED_EDGE('',*,*,#198022,.T.); #261687=ORIENTED_EDGE('',*,*,#198024,.F.); #261688=ORIENTED_EDGE('',*,*,#198025,.F.); #261689=ORIENTED_EDGE('',*,*,#198026,.T.); #261690=ORIENTED_EDGE('',*,*,#198025,.T.); #261691=ORIENTED_EDGE('',*,*,#198027,.F.); #261692=ORIENTED_EDGE('',*,*,#198028,.F.); #261693=ORIENTED_EDGE('',*,*,#198029,.T.); #261694=ORIENTED_EDGE('',*,*,#198028,.T.); #261695=ORIENTED_EDGE('',*,*,#198030,.F.); #261696=ORIENTED_EDGE('',*,*,#198031,.F.); #261697=ORIENTED_EDGE('',*,*,#198032,.T.); #261698=ORIENTED_EDGE('',*,*,#198031,.T.); #261699=ORIENTED_EDGE('',*,*,#198033,.F.); #261700=ORIENTED_EDGE('',*,*,#198034,.F.); #261701=ORIENTED_EDGE('',*,*,#198035,.T.); #261702=ORIENTED_EDGE('',*,*,#198034,.T.); #261703=ORIENTED_EDGE('',*,*,#198036,.F.); #261704=ORIENTED_EDGE('',*,*,#198037,.F.); #261705=ORIENTED_EDGE('',*,*,#198038,.T.); #261706=ORIENTED_EDGE('',*,*,#198037,.T.); #261707=ORIENTED_EDGE('',*,*,#198039,.F.); #261708=ORIENTED_EDGE('',*,*,#198040,.F.); #261709=ORIENTED_EDGE('',*,*,#198041,.T.); #261710=ORIENTED_EDGE('',*,*,#198040,.T.); #261711=ORIENTED_EDGE('',*,*,#198042,.F.); #261712=ORIENTED_EDGE('',*,*,#198043,.F.); #261713=ORIENTED_EDGE('',*,*,#198044,.T.); #261714=ORIENTED_EDGE('',*,*,#198043,.T.); #261715=ORIENTED_EDGE('',*,*,#198045,.F.); #261716=ORIENTED_EDGE('',*,*,#198046,.F.); #261717=ORIENTED_EDGE('',*,*,#198047,.T.); #261718=ORIENTED_EDGE('',*,*,#198046,.T.); #261719=ORIENTED_EDGE('',*,*,#198048,.F.); #261720=ORIENTED_EDGE('',*,*,#198049,.F.); #261721=ORIENTED_EDGE('',*,*,#198050,.T.); #261722=ORIENTED_EDGE('',*,*,#198049,.T.); #261723=ORIENTED_EDGE('',*,*,#198051,.F.); #261724=ORIENTED_EDGE('',*,*,#198052,.F.); #261725=ORIENTED_EDGE('',*,*,#198053,.T.); #261726=ORIENTED_EDGE('',*,*,#198052,.T.); #261727=ORIENTED_EDGE('',*,*,#198054,.F.); #261728=ORIENTED_EDGE('',*,*,#198055,.F.); #261729=ORIENTED_EDGE('',*,*,#198056,.T.); #261730=ORIENTED_EDGE('',*,*,#198055,.T.); #261731=ORIENTED_EDGE('',*,*,#198057,.F.); #261732=ORIENTED_EDGE('',*,*,#198058,.F.); #261733=ORIENTED_EDGE('',*,*,#198059,.T.); #261734=ORIENTED_EDGE('',*,*,#198058,.T.); #261735=ORIENTED_EDGE('',*,*,#198060,.F.); #261736=ORIENTED_EDGE('',*,*,#198061,.F.); #261737=ORIENTED_EDGE('',*,*,#198062,.T.); #261738=ORIENTED_EDGE('',*,*,#198061,.T.); #261739=ORIENTED_EDGE('',*,*,#198063,.F.); #261740=ORIENTED_EDGE('',*,*,#198064,.F.); #261741=ORIENTED_EDGE('',*,*,#198065,.T.); #261742=ORIENTED_EDGE('',*,*,#198064,.T.); #261743=ORIENTED_EDGE('',*,*,#198066,.F.); #261744=ORIENTED_EDGE('',*,*,#198067,.F.); #261745=ORIENTED_EDGE('',*,*,#198068,.T.); #261746=ORIENTED_EDGE('',*,*,#198067,.T.); #261747=ORIENTED_EDGE('',*,*,#198069,.F.); #261748=ORIENTED_EDGE('',*,*,#198070,.F.); #261749=ORIENTED_EDGE('',*,*,#198071,.T.); #261750=ORIENTED_EDGE('',*,*,#198070,.T.); #261751=ORIENTED_EDGE('',*,*,#198072,.F.); #261752=ORIENTED_EDGE('',*,*,#198073,.F.); #261753=ORIENTED_EDGE('',*,*,#198074,.T.); #261754=ORIENTED_EDGE('',*,*,#198073,.T.); #261755=ORIENTED_EDGE('',*,*,#198075,.F.); #261756=ORIENTED_EDGE('',*,*,#198076,.F.); #261757=ORIENTED_EDGE('',*,*,#198077,.T.); #261758=ORIENTED_EDGE('',*,*,#198076,.T.); #261759=ORIENTED_EDGE('',*,*,#198078,.F.); #261760=ORIENTED_EDGE('',*,*,#198079,.F.); #261761=ORIENTED_EDGE('',*,*,#198080,.T.); #261762=ORIENTED_EDGE('',*,*,#198079,.T.); #261763=ORIENTED_EDGE('',*,*,#198081,.F.); #261764=ORIENTED_EDGE('',*,*,#198082,.F.); #261765=ORIENTED_EDGE('',*,*,#198083,.T.); #261766=ORIENTED_EDGE('',*,*,#198082,.T.); #261767=ORIENTED_EDGE('',*,*,#198084,.F.); #261768=ORIENTED_EDGE('',*,*,#198085,.F.); #261769=ORIENTED_EDGE('',*,*,#198086,.T.); #261770=ORIENTED_EDGE('',*,*,#198085,.T.); #261771=ORIENTED_EDGE('',*,*,#198087,.F.); #261772=ORIENTED_EDGE('',*,*,#198088,.F.); #261773=ORIENTED_EDGE('',*,*,#198089,.T.); #261774=ORIENTED_EDGE('',*,*,#198088,.T.); #261775=ORIENTED_EDGE('',*,*,#198090,.F.); #261776=ORIENTED_EDGE('',*,*,#198091,.F.); #261777=ORIENTED_EDGE('',*,*,#198092,.T.); #261778=ORIENTED_EDGE('',*,*,#198091,.T.); #261779=ORIENTED_EDGE('',*,*,#198093,.F.); #261780=ORIENTED_EDGE('',*,*,#198094,.F.); #261781=ORIENTED_EDGE('',*,*,#198095,.T.); #261782=ORIENTED_EDGE('',*,*,#198094,.T.); #261783=ORIENTED_EDGE('',*,*,#198096,.F.); #261784=ORIENTED_EDGE('',*,*,#198097,.F.); #261785=ORIENTED_EDGE('',*,*,#198098,.T.); #261786=ORIENTED_EDGE('',*,*,#198097,.T.); #261787=ORIENTED_EDGE('',*,*,#198099,.F.); #261788=ORIENTED_EDGE('',*,*,#198100,.F.); #261789=ORIENTED_EDGE('',*,*,#198101,.T.); #261790=ORIENTED_EDGE('',*,*,#198100,.T.); #261791=ORIENTED_EDGE('',*,*,#198102,.F.); #261792=ORIENTED_EDGE('',*,*,#198103,.F.); #261793=ORIENTED_EDGE('',*,*,#198104,.T.); #261794=ORIENTED_EDGE('',*,*,#198103,.T.); #261795=ORIENTED_EDGE('',*,*,#198105,.F.); #261796=ORIENTED_EDGE('',*,*,#198106,.F.); #261797=ORIENTED_EDGE('',*,*,#198107,.T.); #261798=ORIENTED_EDGE('',*,*,#198106,.T.); #261799=ORIENTED_EDGE('',*,*,#198108,.F.); #261800=ORIENTED_EDGE('',*,*,#198109,.F.); #261801=ORIENTED_EDGE('',*,*,#198110,.T.); #261802=ORIENTED_EDGE('',*,*,#198109,.T.); #261803=ORIENTED_EDGE('',*,*,#198111,.F.); #261804=ORIENTED_EDGE('',*,*,#198112,.F.); #261805=ORIENTED_EDGE('',*,*,#198113,.T.); #261806=ORIENTED_EDGE('',*,*,#198112,.T.); #261807=ORIENTED_EDGE('',*,*,#198114,.F.); #261808=ORIENTED_EDGE('',*,*,#198115,.F.); #261809=ORIENTED_EDGE('',*,*,#198116,.T.); #261810=ORIENTED_EDGE('',*,*,#198115,.T.); #261811=ORIENTED_EDGE('',*,*,#198117,.F.); #261812=ORIENTED_EDGE('',*,*,#198118,.F.); #261813=ORIENTED_EDGE('',*,*,#198119,.T.); #261814=ORIENTED_EDGE('',*,*,#198118,.T.); #261815=ORIENTED_EDGE('',*,*,#198120,.F.); #261816=ORIENTED_EDGE('',*,*,#198121,.F.); #261817=ORIENTED_EDGE('',*,*,#198122,.T.); #261818=ORIENTED_EDGE('',*,*,#198121,.T.); #261819=ORIENTED_EDGE('',*,*,#198123,.F.); #261820=ORIENTED_EDGE('',*,*,#198124,.F.); #261821=ORIENTED_EDGE('',*,*,#198125,.T.); #261822=ORIENTED_EDGE('',*,*,#198124,.T.); #261823=ORIENTED_EDGE('',*,*,#198126,.F.); #261824=ORIENTED_EDGE('',*,*,#198127,.F.); #261825=ORIENTED_EDGE('',*,*,#198128,.T.); #261826=ORIENTED_EDGE('',*,*,#198127,.T.); #261827=ORIENTED_EDGE('',*,*,#198129,.F.); #261828=ORIENTED_EDGE('',*,*,#198130,.F.); #261829=ORIENTED_EDGE('',*,*,#198131,.T.); #261830=ORIENTED_EDGE('',*,*,#198130,.T.); #261831=ORIENTED_EDGE('',*,*,#198132,.F.); #261832=ORIENTED_EDGE('',*,*,#198133,.F.); #261833=ORIENTED_EDGE('',*,*,#198134,.T.); #261834=ORIENTED_EDGE('',*,*,#198133,.T.); #261835=ORIENTED_EDGE('',*,*,#198135,.F.); #261836=ORIENTED_EDGE('',*,*,#198136,.F.); #261837=ORIENTED_EDGE('',*,*,#198137,.T.); #261838=ORIENTED_EDGE('',*,*,#198136,.T.); #261839=ORIENTED_EDGE('',*,*,#198138,.F.); #261840=ORIENTED_EDGE('',*,*,#198139,.F.); #261841=ORIENTED_EDGE('',*,*,#198140,.T.); #261842=ORIENTED_EDGE('',*,*,#198139,.T.); #261843=ORIENTED_EDGE('',*,*,#198141,.F.); #261844=ORIENTED_EDGE('',*,*,#198142,.F.); #261845=ORIENTED_EDGE('',*,*,#198143,.T.); #261846=ORIENTED_EDGE('',*,*,#198142,.T.); #261847=ORIENTED_EDGE('',*,*,#198144,.F.); #261848=ORIENTED_EDGE('',*,*,#198145,.F.); #261849=ORIENTED_EDGE('',*,*,#198146,.T.); #261850=ORIENTED_EDGE('',*,*,#198145,.T.); #261851=ORIENTED_EDGE('',*,*,#198147,.F.); #261852=ORIENTED_EDGE('',*,*,#198148,.F.); #261853=ORIENTED_EDGE('',*,*,#198149,.T.); #261854=ORIENTED_EDGE('',*,*,#198148,.T.); #261855=ORIENTED_EDGE('',*,*,#198150,.F.); #261856=ORIENTED_EDGE('',*,*,#198151,.F.); #261857=ORIENTED_EDGE('',*,*,#198152,.T.); #261858=ORIENTED_EDGE('',*,*,#198151,.T.); #261859=ORIENTED_EDGE('',*,*,#198153,.F.); #261860=ORIENTED_EDGE('',*,*,#198154,.F.); #261861=ORIENTED_EDGE('',*,*,#198155,.T.); #261862=ORIENTED_EDGE('',*,*,#198154,.T.); #261863=ORIENTED_EDGE('',*,*,#198156,.F.); #261864=ORIENTED_EDGE('',*,*,#198157,.F.); #261865=ORIENTED_EDGE('',*,*,#198158,.T.); #261866=ORIENTED_EDGE('',*,*,#198157,.T.); #261867=ORIENTED_EDGE('',*,*,#198159,.F.); #261868=ORIENTED_EDGE('',*,*,#198160,.F.); #261869=ORIENTED_EDGE('',*,*,#198161,.T.); #261870=ORIENTED_EDGE('',*,*,#198160,.T.); #261871=ORIENTED_EDGE('',*,*,#198162,.F.); #261872=ORIENTED_EDGE('',*,*,#198163,.F.); #261873=ORIENTED_EDGE('',*,*,#198164,.T.); #261874=ORIENTED_EDGE('',*,*,#198163,.T.); #261875=ORIENTED_EDGE('',*,*,#198165,.F.); #261876=ORIENTED_EDGE('',*,*,#198166,.F.); #261877=ORIENTED_EDGE('',*,*,#198167,.T.); #261878=ORIENTED_EDGE('',*,*,#198166,.T.); #261879=ORIENTED_EDGE('',*,*,#198168,.F.); #261880=ORIENTED_EDGE('',*,*,#198169,.F.); #261881=ORIENTED_EDGE('',*,*,#198170,.T.); #261882=ORIENTED_EDGE('',*,*,#198169,.T.); #261883=ORIENTED_EDGE('',*,*,#198171,.F.); #261884=ORIENTED_EDGE('',*,*,#198172,.F.); #261885=ORIENTED_EDGE('',*,*,#198173,.T.); #261886=ORIENTED_EDGE('',*,*,#198172,.T.); #261887=ORIENTED_EDGE('',*,*,#198174,.F.); #261888=ORIENTED_EDGE('',*,*,#198175,.F.); #261889=ORIENTED_EDGE('',*,*,#198176,.T.); #261890=ORIENTED_EDGE('',*,*,#198175,.T.); #261891=ORIENTED_EDGE('',*,*,#198177,.F.); #261892=ORIENTED_EDGE('',*,*,#198178,.F.); #261893=ORIENTED_EDGE('',*,*,#198179,.T.); #261894=ORIENTED_EDGE('',*,*,#198178,.T.); #261895=ORIENTED_EDGE('',*,*,#198180,.F.); #261896=ORIENTED_EDGE('',*,*,#198181,.F.); #261897=ORIENTED_EDGE('',*,*,#198182,.T.); #261898=ORIENTED_EDGE('',*,*,#198181,.T.); #261899=ORIENTED_EDGE('',*,*,#198183,.F.); #261900=ORIENTED_EDGE('',*,*,#198184,.F.); #261901=ORIENTED_EDGE('',*,*,#198185,.T.); #261902=ORIENTED_EDGE('',*,*,#198184,.T.); #261903=ORIENTED_EDGE('',*,*,#198186,.F.); #261904=ORIENTED_EDGE('',*,*,#198187,.F.); #261905=ORIENTED_EDGE('',*,*,#198188,.T.); #261906=ORIENTED_EDGE('',*,*,#198187,.T.); #261907=ORIENTED_EDGE('',*,*,#198189,.F.); #261908=ORIENTED_EDGE('',*,*,#198190,.F.); #261909=ORIENTED_EDGE('',*,*,#198191,.T.); #261910=ORIENTED_EDGE('',*,*,#198190,.T.); #261911=ORIENTED_EDGE('',*,*,#198192,.F.); #261912=ORIENTED_EDGE('',*,*,#198193,.F.); #261913=ORIENTED_EDGE('',*,*,#198194,.T.); #261914=ORIENTED_EDGE('',*,*,#198193,.T.); #261915=ORIENTED_EDGE('',*,*,#198195,.F.); #261916=ORIENTED_EDGE('',*,*,#198196,.F.); #261917=ORIENTED_EDGE('',*,*,#198197,.T.); #261918=ORIENTED_EDGE('',*,*,#198196,.T.); #261919=ORIENTED_EDGE('',*,*,#198198,.F.); #261920=ORIENTED_EDGE('',*,*,#198199,.F.); #261921=ORIENTED_EDGE('',*,*,#198200,.T.); #261922=ORIENTED_EDGE('',*,*,#198199,.T.); #261923=ORIENTED_EDGE('',*,*,#198201,.F.); #261924=ORIENTED_EDGE('',*,*,#198202,.F.); #261925=ORIENTED_EDGE('',*,*,#198203,.T.); #261926=ORIENTED_EDGE('',*,*,#198202,.T.); #261927=ORIENTED_EDGE('',*,*,#198204,.F.); #261928=ORIENTED_EDGE('',*,*,#198205,.F.); #261929=ORIENTED_EDGE('',*,*,#198206,.T.); #261930=ORIENTED_EDGE('',*,*,#198205,.T.); #261931=ORIENTED_EDGE('',*,*,#198207,.F.); #261932=ORIENTED_EDGE('',*,*,#198208,.F.); #261933=ORIENTED_EDGE('',*,*,#198209,.T.); #261934=ORIENTED_EDGE('',*,*,#198208,.T.); #261935=ORIENTED_EDGE('',*,*,#198210,.F.); #261936=ORIENTED_EDGE('',*,*,#198211,.F.); #261937=ORIENTED_EDGE('',*,*,#198212,.T.); #261938=ORIENTED_EDGE('',*,*,#198211,.T.); #261939=ORIENTED_EDGE('',*,*,#198213,.F.); #261940=ORIENTED_EDGE('',*,*,#198214,.F.); #261941=ORIENTED_EDGE('',*,*,#198215,.T.); #261942=ORIENTED_EDGE('',*,*,#198214,.T.); #261943=ORIENTED_EDGE('',*,*,#198216,.F.); #261944=ORIENTED_EDGE('',*,*,#198217,.F.); #261945=ORIENTED_EDGE('',*,*,#198218,.T.); #261946=ORIENTED_EDGE('',*,*,#198217,.T.); #261947=ORIENTED_EDGE('',*,*,#198219,.F.); #261948=ORIENTED_EDGE('',*,*,#198220,.F.); #261949=ORIENTED_EDGE('',*,*,#198221,.T.); #261950=ORIENTED_EDGE('',*,*,#198220,.T.); #261951=ORIENTED_EDGE('',*,*,#198222,.F.); #261952=ORIENTED_EDGE('',*,*,#198223,.F.); #261953=ORIENTED_EDGE('',*,*,#198224,.T.); #261954=ORIENTED_EDGE('',*,*,#198223,.T.); #261955=ORIENTED_EDGE('',*,*,#198225,.F.); #261956=ORIENTED_EDGE('',*,*,#198226,.F.); #261957=ORIENTED_EDGE('',*,*,#198227,.T.); #261958=ORIENTED_EDGE('',*,*,#198226,.T.); #261959=ORIENTED_EDGE('',*,*,#198228,.F.); #261960=ORIENTED_EDGE('',*,*,#198229,.F.); #261961=ORIENTED_EDGE('',*,*,#198230,.T.); #261962=ORIENTED_EDGE('',*,*,#198229,.T.); #261963=ORIENTED_EDGE('',*,*,#198231,.F.); #261964=ORIENTED_EDGE('',*,*,#198232,.F.); #261965=ORIENTED_EDGE('',*,*,#198233,.T.); #261966=ORIENTED_EDGE('',*,*,#198232,.T.); #261967=ORIENTED_EDGE('',*,*,#198234,.F.); #261968=ORIENTED_EDGE('',*,*,#198235,.F.); #261969=ORIENTED_EDGE('',*,*,#198236,.T.); #261970=ORIENTED_EDGE('',*,*,#198235,.T.); #261971=ORIENTED_EDGE('',*,*,#198237,.F.); #261972=ORIENTED_EDGE('',*,*,#198238,.F.); #261973=ORIENTED_EDGE('',*,*,#198239,.T.); #261974=ORIENTED_EDGE('',*,*,#198238,.T.); #261975=ORIENTED_EDGE('',*,*,#198240,.F.); #261976=ORIENTED_EDGE('',*,*,#198241,.F.); #261977=ORIENTED_EDGE('',*,*,#198242,.T.); #261978=ORIENTED_EDGE('',*,*,#198241,.T.); #261979=ORIENTED_EDGE('',*,*,#198243,.F.); #261980=ORIENTED_EDGE('',*,*,#198244,.F.); #261981=ORIENTED_EDGE('',*,*,#198245,.T.); #261982=ORIENTED_EDGE('',*,*,#198244,.T.); #261983=ORIENTED_EDGE('',*,*,#198246,.F.); #261984=ORIENTED_EDGE('',*,*,#198247,.F.); #261985=ORIENTED_EDGE('',*,*,#198248,.T.); #261986=ORIENTED_EDGE('',*,*,#198247,.T.); #261987=ORIENTED_EDGE('',*,*,#198249,.F.); #261988=ORIENTED_EDGE('',*,*,#198250,.F.); #261989=ORIENTED_EDGE('',*,*,#198251,.T.); #261990=ORIENTED_EDGE('',*,*,#198250,.T.); #261991=ORIENTED_EDGE('',*,*,#198252,.F.); #261992=ORIENTED_EDGE('',*,*,#198253,.F.); #261993=ORIENTED_EDGE('',*,*,#198254,.T.); #261994=ORIENTED_EDGE('',*,*,#198253,.T.); #261995=ORIENTED_EDGE('',*,*,#198255,.F.); #261996=ORIENTED_EDGE('',*,*,#198256,.F.); #261997=ORIENTED_EDGE('',*,*,#198257,.T.); #261998=ORIENTED_EDGE('',*,*,#198256,.T.); #261999=ORIENTED_EDGE('',*,*,#198258,.F.); #262000=ORIENTED_EDGE('',*,*,#198259,.F.); #262001=ORIENTED_EDGE('',*,*,#198260,.T.); #262002=ORIENTED_EDGE('',*,*,#198259,.T.); #262003=ORIENTED_EDGE('',*,*,#198261,.F.); #262004=ORIENTED_EDGE('',*,*,#198262,.F.); #262005=ORIENTED_EDGE('',*,*,#198263,.T.); #262006=ORIENTED_EDGE('',*,*,#198262,.T.); #262007=ORIENTED_EDGE('',*,*,#198264,.F.); #262008=ORIENTED_EDGE('',*,*,#198265,.F.); #262009=ORIENTED_EDGE('',*,*,#198266,.T.); #262010=ORIENTED_EDGE('',*,*,#198265,.T.); #262011=ORIENTED_EDGE('',*,*,#198267,.F.); #262012=ORIENTED_EDGE('',*,*,#198268,.F.); #262013=ORIENTED_EDGE('',*,*,#198269,.T.); #262014=ORIENTED_EDGE('',*,*,#198268,.T.); #262015=ORIENTED_EDGE('',*,*,#198270,.F.); #262016=ORIENTED_EDGE('',*,*,#198271,.F.); #262017=ORIENTED_EDGE('',*,*,#198272,.T.); #262018=ORIENTED_EDGE('',*,*,#198271,.T.); #262019=ORIENTED_EDGE('',*,*,#198273,.F.); #262020=ORIENTED_EDGE('',*,*,#198274,.F.); #262021=ORIENTED_EDGE('',*,*,#198275,.T.); #262022=ORIENTED_EDGE('',*,*,#198274,.T.); #262023=ORIENTED_EDGE('',*,*,#198276,.F.); #262024=ORIENTED_EDGE('',*,*,#198277,.F.); #262025=ORIENTED_EDGE('',*,*,#198278,.T.); #262026=ORIENTED_EDGE('',*,*,#198277,.T.); #262027=ORIENTED_EDGE('',*,*,#198279,.F.); #262028=ORIENTED_EDGE('',*,*,#198280,.F.); #262029=ORIENTED_EDGE('',*,*,#198281,.T.); #262030=ORIENTED_EDGE('',*,*,#198280,.T.); #262031=ORIENTED_EDGE('',*,*,#198282,.F.); #262032=ORIENTED_EDGE('',*,*,#198283,.F.); #262033=ORIENTED_EDGE('',*,*,#198284,.T.); #262034=ORIENTED_EDGE('',*,*,#198283,.T.); #262035=ORIENTED_EDGE('',*,*,#198285,.F.); #262036=ORIENTED_EDGE('',*,*,#198286,.F.); #262037=ORIENTED_EDGE('',*,*,#198287,.T.); #262038=ORIENTED_EDGE('',*,*,#198286,.T.); #262039=ORIENTED_EDGE('',*,*,#198288,.F.); #262040=ORIENTED_EDGE('',*,*,#198289,.F.); #262041=ORIENTED_EDGE('',*,*,#198290,.T.); #262042=ORIENTED_EDGE('',*,*,#198289,.T.); #262043=ORIENTED_EDGE('',*,*,#198291,.F.); #262044=ORIENTED_EDGE('',*,*,#198292,.F.); #262045=ORIENTED_EDGE('',*,*,#198293,.T.); #262046=ORIENTED_EDGE('',*,*,#198292,.T.); #262047=ORIENTED_EDGE('',*,*,#198294,.F.); #262048=ORIENTED_EDGE('',*,*,#198295,.F.); #262049=ORIENTED_EDGE('',*,*,#198296,.T.); #262050=ORIENTED_EDGE('',*,*,#198295,.T.); #262051=ORIENTED_EDGE('',*,*,#198297,.F.); #262052=ORIENTED_EDGE('',*,*,#198298,.F.); #262053=ORIENTED_EDGE('',*,*,#198299,.T.); #262054=ORIENTED_EDGE('',*,*,#198298,.T.); #262055=ORIENTED_EDGE('',*,*,#198300,.F.); #262056=ORIENTED_EDGE('',*,*,#198301,.F.); #262057=ORIENTED_EDGE('',*,*,#198302,.T.); #262058=ORIENTED_EDGE('',*,*,#198301,.T.); #262059=ORIENTED_EDGE('',*,*,#198303,.F.); #262060=ORIENTED_EDGE('',*,*,#198304,.F.); #262061=ORIENTED_EDGE('',*,*,#198305,.T.); #262062=ORIENTED_EDGE('',*,*,#198304,.T.); #262063=ORIENTED_EDGE('',*,*,#198306,.F.); #262064=ORIENTED_EDGE('',*,*,#198307,.F.); #262065=ORIENTED_EDGE('',*,*,#198308,.T.); #262066=ORIENTED_EDGE('',*,*,#198307,.T.); #262067=ORIENTED_EDGE('',*,*,#198309,.F.); #262068=ORIENTED_EDGE('',*,*,#198310,.F.); #262069=ORIENTED_EDGE('',*,*,#198311,.T.); #262070=ORIENTED_EDGE('',*,*,#198310,.T.); #262071=ORIENTED_EDGE('',*,*,#198312,.F.); #262072=ORIENTED_EDGE('',*,*,#198313,.F.); #262073=ORIENTED_EDGE('',*,*,#198314,.T.); #262074=ORIENTED_EDGE('',*,*,#198313,.T.); #262075=ORIENTED_EDGE('',*,*,#198315,.F.); #262076=ORIENTED_EDGE('',*,*,#198316,.F.); #262077=ORIENTED_EDGE('',*,*,#198317,.T.); #262078=ORIENTED_EDGE('',*,*,#198316,.T.); #262079=ORIENTED_EDGE('',*,*,#198318,.F.); #262080=ORIENTED_EDGE('',*,*,#198319,.F.); #262081=ORIENTED_EDGE('',*,*,#198320,.T.); #262082=ORIENTED_EDGE('',*,*,#198319,.T.); #262083=ORIENTED_EDGE('',*,*,#198321,.F.); #262084=ORIENTED_EDGE('',*,*,#198322,.F.); #262085=ORIENTED_EDGE('',*,*,#198323,.T.); #262086=ORIENTED_EDGE('',*,*,#198322,.T.); #262087=ORIENTED_EDGE('',*,*,#198324,.F.); #262088=ORIENTED_EDGE('',*,*,#198325,.F.); #262089=ORIENTED_EDGE('',*,*,#198326,.T.); #262090=ORIENTED_EDGE('',*,*,#198325,.T.); #262091=ORIENTED_EDGE('',*,*,#198327,.F.); #262092=ORIENTED_EDGE('',*,*,#198328,.F.); #262093=ORIENTED_EDGE('',*,*,#198329,.T.); #262094=ORIENTED_EDGE('',*,*,#198328,.T.); #262095=ORIENTED_EDGE('',*,*,#198330,.F.); #262096=ORIENTED_EDGE('',*,*,#198331,.F.); #262097=ORIENTED_EDGE('',*,*,#198332,.T.); #262098=ORIENTED_EDGE('',*,*,#198331,.T.); #262099=ORIENTED_EDGE('',*,*,#198333,.F.); #262100=ORIENTED_EDGE('',*,*,#198334,.F.); #262101=ORIENTED_EDGE('',*,*,#198335,.T.); #262102=ORIENTED_EDGE('',*,*,#198334,.T.); #262103=ORIENTED_EDGE('',*,*,#198336,.F.); #262104=ORIENTED_EDGE('',*,*,#198337,.F.); #262105=ORIENTED_EDGE('',*,*,#198338,.T.); #262106=ORIENTED_EDGE('',*,*,#198337,.T.); #262107=ORIENTED_EDGE('',*,*,#198339,.F.); #262108=ORIENTED_EDGE('',*,*,#198340,.F.); #262109=ORIENTED_EDGE('',*,*,#198341,.T.); #262110=ORIENTED_EDGE('',*,*,#198340,.T.); #262111=ORIENTED_EDGE('',*,*,#198342,.F.); #262112=ORIENTED_EDGE('',*,*,#198343,.F.); #262113=ORIENTED_EDGE('',*,*,#198344,.T.); #262114=ORIENTED_EDGE('',*,*,#198343,.T.); #262115=ORIENTED_EDGE('',*,*,#198345,.F.); #262116=ORIENTED_EDGE('',*,*,#198346,.F.); #262117=ORIENTED_EDGE('',*,*,#198347,.T.); #262118=ORIENTED_EDGE('',*,*,#198346,.T.); #262119=ORIENTED_EDGE('',*,*,#198348,.F.); #262120=ORIENTED_EDGE('',*,*,#198349,.F.); #262121=ORIENTED_EDGE('',*,*,#198350,.T.); #262122=ORIENTED_EDGE('',*,*,#198349,.T.); #262123=ORIENTED_EDGE('',*,*,#198351,.F.); #262124=ORIENTED_EDGE('',*,*,#198352,.F.); #262125=ORIENTED_EDGE('',*,*,#198353,.T.); #262126=ORIENTED_EDGE('',*,*,#198352,.T.); #262127=ORIENTED_EDGE('',*,*,#198354,.F.); #262128=ORIENTED_EDGE('',*,*,#198355,.F.); #262129=ORIENTED_EDGE('',*,*,#198356,.T.); #262130=ORIENTED_EDGE('',*,*,#198355,.T.); #262131=ORIENTED_EDGE('',*,*,#198357,.F.); #262132=ORIENTED_EDGE('',*,*,#198358,.F.); #262133=ORIENTED_EDGE('',*,*,#198359,.T.); #262134=ORIENTED_EDGE('',*,*,#198358,.T.); #262135=ORIENTED_EDGE('',*,*,#198360,.F.); #262136=ORIENTED_EDGE('',*,*,#198361,.F.); #262137=ORIENTED_EDGE('',*,*,#198362,.T.); #262138=ORIENTED_EDGE('',*,*,#198361,.T.); #262139=ORIENTED_EDGE('',*,*,#198363,.F.); #262140=ORIENTED_EDGE('',*,*,#198364,.F.); #262141=ORIENTED_EDGE('',*,*,#198365,.T.); #262142=ORIENTED_EDGE('',*,*,#198364,.T.); #262143=ORIENTED_EDGE('',*,*,#198366,.F.); #262144=ORIENTED_EDGE('',*,*,#198367,.F.); #262145=ORIENTED_EDGE('',*,*,#198368,.T.); #262146=ORIENTED_EDGE('',*,*,#198367,.T.); #262147=ORIENTED_EDGE('',*,*,#198369,.F.); #262148=ORIENTED_EDGE('',*,*,#198370,.F.); #262149=ORIENTED_EDGE('',*,*,#198371,.T.); #262150=ORIENTED_EDGE('',*,*,#198370,.T.); #262151=ORIENTED_EDGE('',*,*,#198372,.F.); #262152=ORIENTED_EDGE('',*,*,#198373,.F.); #262153=ORIENTED_EDGE('',*,*,#198374,.T.); #262154=ORIENTED_EDGE('',*,*,#198373,.T.); #262155=ORIENTED_EDGE('',*,*,#198375,.F.); #262156=ORIENTED_EDGE('',*,*,#198376,.F.); #262157=ORIENTED_EDGE('',*,*,#198377,.T.); #262158=ORIENTED_EDGE('',*,*,#198376,.T.); #262159=ORIENTED_EDGE('',*,*,#198378,.F.); #262160=ORIENTED_EDGE('',*,*,#198379,.F.); #262161=ORIENTED_EDGE('',*,*,#198380,.T.); #262162=ORIENTED_EDGE('',*,*,#198379,.T.); #262163=ORIENTED_EDGE('',*,*,#198381,.F.); #262164=ORIENTED_EDGE('',*,*,#198382,.F.); #262165=ORIENTED_EDGE('',*,*,#198383,.T.); #262166=ORIENTED_EDGE('',*,*,#198382,.T.); #262167=ORIENTED_EDGE('',*,*,#198384,.F.); #262168=ORIENTED_EDGE('',*,*,#198385,.F.); #262169=ORIENTED_EDGE('',*,*,#198386,.T.); #262170=ORIENTED_EDGE('',*,*,#198385,.T.); #262171=ORIENTED_EDGE('',*,*,#198387,.F.); #262172=ORIENTED_EDGE('',*,*,#198388,.F.); #262173=ORIENTED_EDGE('',*,*,#198389,.T.); #262174=ORIENTED_EDGE('',*,*,#198388,.T.); #262175=ORIENTED_EDGE('',*,*,#198390,.F.); #262176=ORIENTED_EDGE('',*,*,#198391,.F.); #262177=ORIENTED_EDGE('',*,*,#198392,.T.); #262178=ORIENTED_EDGE('',*,*,#198391,.T.); #262179=ORIENTED_EDGE('',*,*,#198393,.F.); #262180=ORIENTED_EDGE('',*,*,#198394,.F.); #262181=ORIENTED_EDGE('',*,*,#198395,.T.); #262182=ORIENTED_EDGE('',*,*,#198394,.T.); #262183=ORIENTED_EDGE('',*,*,#198396,.F.); #262184=ORIENTED_EDGE('',*,*,#198397,.F.); #262185=ORIENTED_EDGE('',*,*,#198398,.T.); #262186=ORIENTED_EDGE('',*,*,#198397,.T.); #262187=ORIENTED_EDGE('',*,*,#198399,.F.); #262188=ORIENTED_EDGE('',*,*,#198400,.F.); #262189=ORIENTED_EDGE('',*,*,#198401,.T.); #262190=ORIENTED_EDGE('',*,*,#198400,.T.); #262191=ORIENTED_EDGE('',*,*,#198402,.F.); #262192=ORIENTED_EDGE('',*,*,#198403,.F.); #262193=ORIENTED_EDGE('',*,*,#198404,.T.); #262194=ORIENTED_EDGE('',*,*,#198403,.T.); #262195=ORIENTED_EDGE('',*,*,#198405,.F.); #262196=ORIENTED_EDGE('',*,*,#198406,.F.); #262197=ORIENTED_EDGE('',*,*,#198407,.T.); #262198=ORIENTED_EDGE('',*,*,#198406,.T.); #262199=ORIENTED_EDGE('',*,*,#198408,.F.); #262200=ORIENTED_EDGE('',*,*,#198409,.F.); #262201=ORIENTED_EDGE('',*,*,#198410,.T.); #262202=ORIENTED_EDGE('',*,*,#198409,.T.); #262203=ORIENTED_EDGE('',*,*,#198411,.F.); #262204=ORIENTED_EDGE('',*,*,#198412,.F.); #262205=ORIENTED_EDGE('',*,*,#198413,.T.); #262206=ORIENTED_EDGE('',*,*,#198412,.T.); #262207=ORIENTED_EDGE('',*,*,#198414,.F.); #262208=ORIENTED_EDGE('',*,*,#198415,.F.); #262209=ORIENTED_EDGE('',*,*,#198416,.T.); #262210=ORIENTED_EDGE('',*,*,#198415,.T.); #262211=ORIENTED_EDGE('',*,*,#198417,.F.); #262212=ORIENTED_EDGE('',*,*,#198418,.F.); #262213=ORIENTED_EDGE('',*,*,#198419,.T.); #262214=ORIENTED_EDGE('',*,*,#198418,.T.); #262215=ORIENTED_EDGE('',*,*,#198420,.F.); #262216=ORIENTED_EDGE('',*,*,#198421,.F.); #262217=ORIENTED_EDGE('',*,*,#198422,.T.); #262218=ORIENTED_EDGE('',*,*,#198421,.T.); #262219=ORIENTED_EDGE('',*,*,#198423,.F.); #262220=ORIENTED_EDGE('',*,*,#198424,.F.); #262221=ORIENTED_EDGE('',*,*,#198425,.T.); #262222=ORIENTED_EDGE('',*,*,#198424,.T.); #262223=ORIENTED_EDGE('',*,*,#198426,.F.); #262224=ORIENTED_EDGE('',*,*,#198427,.F.); #262225=ORIENTED_EDGE('',*,*,#198428,.T.); #262226=ORIENTED_EDGE('',*,*,#198427,.T.); #262227=ORIENTED_EDGE('',*,*,#198429,.F.); #262228=ORIENTED_EDGE('',*,*,#198430,.F.); #262229=ORIENTED_EDGE('',*,*,#198431,.T.); #262230=ORIENTED_EDGE('',*,*,#198430,.T.); #262231=ORIENTED_EDGE('',*,*,#198432,.F.); #262232=ORIENTED_EDGE('',*,*,#198433,.F.); #262233=ORIENTED_EDGE('',*,*,#198434,.T.); #262234=ORIENTED_EDGE('',*,*,#198433,.T.); #262235=ORIENTED_EDGE('',*,*,#198435,.F.); #262236=ORIENTED_EDGE('',*,*,#198436,.F.); #262237=ORIENTED_EDGE('',*,*,#198437,.T.); #262238=ORIENTED_EDGE('',*,*,#198436,.T.); #262239=ORIENTED_EDGE('',*,*,#198438,.F.); #262240=ORIENTED_EDGE('',*,*,#198439,.F.); #262241=ORIENTED_EDGE('',*,*,#198440,.T.); #262242=ORIENTED_EDGE('',*,*,#198439,.T.); #262243=ORIENTED_EDGE('',*,*,#198441,.F.); #262244=ORIENTED_EDGE('',*,*,#198442,.F.); #262245=ORIENTED_EDGE('',*,*,#198443,.T.); #262246=ORIENTED_EDGE('',*,*,#198442,.T.); #262247=ORIENTED_EDGE('',*,*,#198444,.F.); #262248=ORIENTED_EDGE('',*,*,#198445,.F.); #262249=ORIENTED_EDGE('',*,*,#198446,.T.); #262250=ORIENTED_EDGE('',*,*,#198445,.T.); #262251=ORIENTED_EDGE('',*,*,#198447,.F.); #262252=ORIENTED_EDGE('',*,*,#198448,.F.); #262253=ORIENTED_EDGE('',*,*,#198449,.T.); #262254=ORIENTED_EDGE('',*,*,#198448,.T.); #262255=ORIENTED_EDGE('',*,*,#198450,.F.); #262256=ORIENTED_EDGE('',*,*,#198451,.F.); #262257=ORIENTED_EDGE('',*,*,#198452,.T.); #262258=ORIENTED_EDGE('',*,*,#198451,.T.); #262259=ORIENTED_EDGE('',*,*,#198453,.F.); #262260=ORIENTED_EDGE('',*,*,#198454,.F.); #262261=ORIENTED_EDGE('',*,*,#198455,.T.); #262262=ORIENTED_EDGE('',*,*,#198454,.T.); #262263=ORIENTED_EDGE('',*,*,#198456,.F.); #262264=ORIENTED_EDGE('',*,*,#198457,.F.); #262265=ORIENTED_EDGE('',*,*,#198458,.T.); #262266=ORIENTED_EDGE('',*,*,#198457,.T.); #262267=ORIENTED_EDGE('',*,*,#198459,.F.); #262268=ORIENTED_EDGE('',*,*,#198460,.F.); #262269=ORIENTED_EDGE('',*,*,#198461,.T.); #262270=ORIENTED_EDGE('',*,*,#198460,.T.); #262271=ORIENTED_EDGE('',*,*,#198462,.F.); #262272=ORIENTED_EDGE('',*,*,#198463,.F.); #262273=ORIENTED_EDGE('',*,*,#198464,.T.); #262274=ORIENTED_EDGE('',*,*,#198463,.T.); #262275=ORIENTED_EDGE('',*,*,#198465,.F.); #262276=ORIENTED_EDGE('',*,*,#198466,.F.); #262277=ORIENTED_EDGE('',*,*,#198467,.T.); #262278=ORIENTED_EDGE('',*,*,#198466,.T.); #262279=ORIENTED_EDGE('',*,*,#198468,.F.); #262280=ORIENTED_EDGE('',*,*,#198469,.F.); #262281=ORIENTED_EDGE('',*,*,#198470,.T.); #262282=ORIENTED_EDGE('',*,*,#198469,.T.); #262283=ORIENTED_EDGE('',*,*,#198471,.F.); #262284=ORIENTED_EDGE('',*,*,#198472,.F.); #262285=ORIENTED_EDGE('',*,*,#198473,.T.); #262286=ORIENTED_EDGE('',*,*,#198472,.T.); #262287=ORIENTED_EDGE('',*,*,#198474,.F.); #262288=ORIENTED_EDGE('',*,*,#198475,.F.); #262289=ORIENTED_EDGE('',*,*,#198476,.T.); #262290=ORIENTED_EDGE('',*,*,#198475,.T.); #262291=ORIENTED_EDGE('',*,*,#198477,.F.); #262292=ORIENTED_EDGE('',*,*,#198478,.F.); #262293=ORIENTED_EDGE('',*,*,#198479,.T.); #262294=ORIENTED_EDGE('',*,*,#198478,.T.); #262295=ORIENTED_EDGE('',*,*,#198480,.F.); #262296=ORIENTED_EDGE('',*,*,#198481,.F.); #262297=ORIENTED_EDGE('',*,*,#198482,.T.); #262298=ORIENTED_EDGE('',*,*,#198481,.T.); #262299=ORIENTED_EDGE('',*,*,#198483,.F.); #262300=ORIENTED_EDGE('',*,*,#198484,.F.); #262301=ORIENTED_EDGE('',*,*,#198485,.T.); #262302=ORIENTED_EDGE('',*,*,#198484,.T.); #262303=ORIENTED_EDGE('',*,*,#198486,.F.); #262304=ORIENTED_EDGE('',*,*,#198487,.F.); #262305=ORIENTED_EDGE('',*,*,#198488,.T.); #262306=ORIENTED_EDGE('',*,*,#198487,.T.); #262307=ORIENTED_EDGE('',*,*,#198489,.F.); #262308=ORIENTED_EDGE('',*,*,#198490,.F.); #262309=ORIENTED_EDGE('',*,*,#198491,.T.); #262310=ORIENTED_EDGE('',*,*,#198490,.T.); #262311=ORIENTED_EDGE('',*,*,#198492,.F.); #262312=ORIENTED_EDGE('',*,*,#198493,.F.); #262313=ORIENTED_EDGE('',*,*,#198494,.T.); #262314=ORIENTED_EDGE('',*,*,#198493,.T.); #262315=ORIENTED_EDGE('',*,*,#198495,.F.); #262316=ORIENTED_EDGE('',*,*,#198496,.F.); #262317=ORIENTED_EDGE('',*,*,#198497,.T.); #262318=ORIENTED_EDGE('',*,*,#198496,.T.); #262319=ORIENTED_EDGE('',*,*,#198498,.F.); #262320=ORIENTED_EDGE('',*,*,#198499,.F.); #262321=ORIENTED_EDGE('',*,*,#198500,.T.); #262322=ORIENTED_EDGE('',*,*,#198499,.T.); #262323=ORIENTED_EDGE('',*,*,#198501,.F.); #262324=ORIENTED_EDGE('',*,*,#197615,.F.); #262325=ORIENTED_EDGE('',*,*,#198501,.T.); #262326=ORIENTED_EDGE('',*,*,#198498,.T.); #262327=ORIENTED_EDGE('',*,*,#198495,.T.); #262328=ORIENTED_EDGE('',*,*,#198492,.T.); #262329=ORIENTED_EDGE('',*,*,#198489,.T.); #262330=ORIENTED_EDGE('',*,*,#198486,.T.); #262331=ORIENTED_EDGE('',*,*,#198483,.T.); #262332=ORIENTED_EDGE('',*,*,#198480,.T.); #262333=ORIENTED_EDGE('',*,*,#198477,.T.); #262334=ORIENTED_EDGE('',*,*,#198474,.T.); #262335=ORIENTED_EDGE('',*,*,#198471,.T.); #262336=ORIENTED_EDGE('',*,*,#198468,.T.); #262337=ORIENTED_EDGE('',*,*,#198465,.T.); #262338=ORIENTED_EDGE('',*,*,#198462,.T.); #262339=ORIENTED_EDGE('',*,*,#198459,.T.); #262340=ORIENTED_EDGE('',*,*,#198456,.T.); #262341=ORIENTED_EDGE('',*,*,#198453,.T.); #262342=ORIENTED_EDGE('',*,*,#198450,.T.); #262343=ORIENTED_EDGE('',*,*,#198447,.T.); #262344=ORIENTED_EDGE('',*,*,#198444,.T.); #262345=ORIENTED_EDGE('',*,*,#198441,.T.); #262346=ORIENTED_EDGE('',*,*,#198438,.T.); #262347=ORIENTED_EDGE('',*,*,#198435,.T.); #262348=ORIENTED_EDGE('',*,*,#198432,.T.); #262349=ORIENTED_EDGE('',*,*,#198429,.T.); #262350=ORIENTED_EDGE('',*,*,#198426,.T.); #262351=ORIENTED_EDGE('',*,*,#198423,.T.); #262352=ORIENTED_EDGE('',*,*,#198420,.T.); #262353=ORIENTED_EDGE('',*,*,#198417,.T.); #262354=ORIENTED_EDGE('',*,*,#198414,.T.); #262355=ORIENTED_EDGE('',*,*,#198411,.T.); #262356=ORIENTED_EDGE('',*,*,#198408,.T.); #262357=ORIENTED_EDGE('',*,*,#198405,.T.); #262358=ORIENTED_EDGE('',*,*,#198402,.T.); #262359=ORIENTED_EDGE('',*,*,#198399,.T.); #262360=ORIENTED_EDGE('',*,*,#198396,.T.); #262361=ORIENTED_EDGE('',*,*,#198393,.T.); #262362=ORIENTED_EDGE('',*,*,#198390,.T.); #262363=ORIENTED_EDGE('',*,*,#198387,.T.); #262364=ORIENTED_EDGE('',*,*,#198384,.T.); #262365=ORIENTED_EDGE('',*,*,#198381,.T.); #262366=ORIENTED_EDGE('',*,*,#198378,.T.); #262367=ORIENTED_EDGE('',*,*,#198375,.T.); #262368=ORIENTED_EDGE('',*,*,#198372,.T.); #262369=ORIENTED_EDGE('',*,*,#198369,.T.); #262370=ORIENTED_EDGE('',*,*,#198366,.T.); #262371=ORIENTED_EDGE('',*,*,#198363,.T.); #262372=ORIENTED_EDGE('',*,*,#198360,.T.); #262373=ORIENTED_EDGE('',*,*,#198357,.T.); #262374=ORIENTED_EDGE('',*,*,#198354,.T.); #262375=ORIENTED_EDGE('',*,*,#198351,.T.); #262376=ORIENTED_EDGE('',*,*,#198348,.T.); #262377=ORIENTED_EDGE('',*,*,#198345,.T.); #262378=ORIENTED_EDGE('',*,*,#198342,.T.); #262379=ORIENTED_EDGE('',*,*,#198339,.T.); #262380=ORIENTED_EDGE('',*,*,#198336,.T.); #262381=ORIENTED_EDGE('',*,*,#198333,.T.); #262382=ORIENTED_EDGE('',*,*,#198330,.T.); #262383=ORIENTED_EDGE('',*,*,#198327,.T.); #262384=ORIENTED_EDGE('',*,*,#198324,.T.); #262385=ORIENTED_EDGE('',*,*,#198321,.T.); #262386=ORIENTED_EDGE('',*,*,#198318,.T.); #262387=ORIENTED_EDGE('',*,*,#198315,.T.); #262388=ORIENTED_EDGE('',*,*,#198312,.T.); #262389=ORIENTED_EDGE('',*,*,#198309,.T.); #262390=ORIENTED_EDGE('',*,*,#198306,.T.); #262391=ORIENTED_EDGE('',*,*,#198303,.T.); #262392=ORIENTED_EDGE('',*,*,#198300,.T.); #262393=ORIENTED_EDGE('',*,*,#198297,.T.); #262394=ORIENTED_EDGE('',*,*,#198294,.T.); #262395=ORIENTED_EDGE('',*,*,#198291,.T.); #262396=ORIENTED_EDGE('',*,*,#198288,.T.); #262397=ORIENTED_EDGE('',*,*,#198285,.T.); #262398=ORIENTED_EDGE('',*,*,#198282,.T.); #262399=ORIENTED_EDGE('',*,*,#198279,.T.); #262400=ORIENTED_EDGE('',*,*,#198276,.T.); #262401=ORIENTED_EDGE('',*,*,#198273,.T.); #262402=ORIENTED_EDGE('',*,*,#198270,.T.); #262403=ORIENTED_EDGE('',*,*,#198267,.T.); #262404=ORIENTED_EDGE('',*,*,#198264,.T.); #262405=ORIENTED_EDGE('',*,*,#198261,.T.); #262406=ORIENTED_EDGE('',*,*,#198258,.T.); #262407=ORIENTED_EDGE('',*,*,#198255,.T.); #262408=ORIENTED_EDGE('',*,*,#198252,.T.); #262409=ORIENTED_EDGE('',*,*,#198249,.T.); #262410=ORIENTED_EDGE('',*,*,#198246,.T.); #262411=ORIENTED_EDGE('',*,*,#198243,.T.); #262412=ORIENTED_EDGE('',*,*,#198240,.T.); #262413=ORIENTED_EDGE('',*,*,#198237,.T.); #262414=ORIENTED_EDGE('',*,*,#198234,.T.); #262415=ORIENTED_EDGE('',*,*,#198231,.T.); #262416=ORIENTED_EDGE('',*,*,#198228,.T.); #262417=ORIENTED_EDGE('',*,*,#198225,.T.); #262418=ORIENTED_EDGE('',*,*,#198222,.T.); #262419=ORIENTED_EDGE('',*,*,#198219,.T.); #262420=ORIENTED_EDGE('',*,*,#198216,.T.); #262421=ORIENTED_EDGE('',*,*,#198213,.T.); #262422=ORIENTED_EDGE('',*,*,#198210,.T.); #262423=ORIENTED_EDGE('',*,*,#198207,.T.); #262424=ORIENTED_EDGE('',*,*,#198204,.T.); #262425=ORIENTED_EDGE('',*,*,#198201,.T.); #262426=ORIENTED_EDGE('',*,*,#198198,.T.); #262427=ORIENTED_EDGE('',*,*,#198195,.T.); #262428=ORIENTED_EDGE('',*,*,#198192,.T.); #262429=ORIENTED_EDGE('',*,*,#198189,.T.); #262430=ORIENTED_EDGE('',*,*,#198186,.T.); #262431=ORIENTED_EDGE('',*,*,#198183,.T.); #262432=ORIENTED_EDGE('',*,*,#198180,.T.); #262433=ORIENTED_EDGE('',*,*,#198177,.T.); #262434=ORIENTED_EDGE('',*,*,#198174,.T.); #262435=ORIENTED_EDGE('',*,*,#198171,.T.); #262436=ORIENTED_EDGE('',*,*,#198168,.T.); #262437=ORIENTED_EDGE('',*,*,#198165,.T.); #262438=ORIENTED_EDGE('',*,*,#198162,.T.); #262439=ORIENTED_EDGE('',*,*,#198159,.T.); #262440=ORIENTED_EDGE('',*,*,#198156,.T.); #262441=ORIENTED_EDGE('',*,*,#198153,.T.); #262442=ORIENTED_EDGE('',*,*,#198150,.T.); #262443=ORIENTED_EDGE('',*,*,#198147,.T.); #262444=ORIENTED_EDGE('',*,*,#198144,.T.); #262445=ORIENTED_EDGE('',*,*,#198141,.T.); #262446=ORIENTED_EDGE('',*,*,#198138,.T.); #262447=ORIENTED_EDGE('',*,*,#198135,.T.); #262448=ORIENTED_EDGE('',*,*,#198132,.T.); #262449=ORIENTED_EDGE('',*,*,#198129,.T.); #262450=ORIENTED_EDGE('',*,*,#198126,.T.); #262451=ORIENTED_EDGE('',*,*,#198123,.T.); #262452=ORIENTED_EDGE('',*,*,#198120,.T.); #262453=ORIENTED_EDGE('',*,*,#198117,.T.); #262454=ORIENTED_EDGE('',*,*,#198114,.T.); #262455=ORIENTED_EDGE('',*,*,#198111,.T.); #262456=ORIENTED_EDGE('',*,*,#198108,.T.); #262457=ORIENTED_EDGE('',*,*,#198105,.T.); #262458=ORIENTED_EDGE('',*,*,#198102,.T.); #262459=ORIENTED_EDGE('',*,*,#198099,.T.); #262460=ORIENTED_EDGE('',*,*,#198096,.T.); #262461=ORIENTED_EDGE('',*,*,#198093,.T.); #262462=ORIENTED_EDGE('',*,*,#198090,.T.); #262463=ORIENTED_EDGE('',*,*,#198087,.T.); #262464=ORIENTED_EDGE('',*,*,#198084,.T.); #262465=ORIENTED_EDGE('',*,*,#198081,.T.); #262466=ORIENTED_EDGE('',*,*,#198078,.T.); #262467=ORIENTED_EDGE('',*,*,#198075,.T.); #262468=ORIENTED_EDGE('',*,*,#198072,.T.); #262469=ORIENTED_EDGE('',*,*,#198069,.T.); #262470=ORIENTED_EDGE('',*,*,#198066,.T.); #262471=ORIENTED_EDGE('',*,*,#198063,.T.); #262472=ORIENTED_EDGE('',*,*,#198060,.T.); #262473=ORIENTED_EDGE('',*,*,#198057,.T.); #262474=ORIENTED_EDGE('',*,*,#198054,.T.); #262475=ORIENTED_EDGE('',*,*,#198051,.T.); #262476=ORIENTED_EDGE('',*,*,#198048,.T.); #262477=ORIENTED_EDGE('',*,*,#198045,.T.); #262478=ORIENTED_EDGE('',*,*,#198042,.T.); #262479=ORIENTED_EDGE('',*,*,#198039,.T.); #262480=ORIENTED_EDGE('',*,*,#198036,.T.); #262481=ORIENTED_EDGE('',*,*,#198033,.T.); #262482=ORIENTED_EDGE('',*,*,#198030,.T.); #262483=ORIENTED_EDGE('',*,*,#198027,.T.); #262484=ORIENTED_EDGE('',*,*,#198024,.T.); #262485=ORIENTED_EDGE('',*,*,#198021,.T.); #262486=ORIENTED_EDGE('',*,*,#198018,.T.); #262487=ORIENTED_EDGE('',*,*,#198015,.T.); #262488=ORIENTED_EDGE('',*,*,#198012,.T.); #262489=ORIENTED_EDGE('',*,*,#198009,.T.); #262490=ORIENTED_EDGE('',*,*,#198006,.T.); #262491=ORIENTED_EDGE('',*,*,#198003,.T.); #262492=ORIENTED_EDGE('',*,*,#198000,.T.); #262493=ORIENTED_EDGE('',*,*,#197997,.T.); #262494=ORIENTED_EDGE('',*,*,#197994,.T.); #262495=ORIENTED_EDGE('',*,*,#197991,.T.); #262496=ORIENTED_EDGE('',*,*,#197988,.T.); #262497=ORIENTED_EDGE('',*,*,#197985,.T.); #262498=ORIENTED_EDGE('',*,*,#197982,.T.); #262499=ORIENTED_EDGE('',*,*,#197979,.T.); #262500=ORIENTED_EDGE('',*,*,#197976,.T.); #262501=ORIENTED_EDGE('',*,*,#197973,.T.); #262502=ORIENTED_EDGE('',*,*,#197970,.T.); #262503=ORIENTED_EDGE('',*,*,#197967,.T.); #262504=ORIENTED_EDGE('',*,*,#197964,.T.); #262505=ORIENTED_EDGE('',*,*,#197961,.T.); #262506=ORIENTED_EDGE('',*,*,#197958,.T.); #262507=ORIENTED_EDGE('',*,*,#197955,.T.); #262508=ORIENTED_EDGE('',*,*,#197952,.T.); #262509=ORIENTED_EDGE('',*,*,#197949,.T.); #262510=ORIENTED_EDGE('',*,*,#197946,.T.); #262511=ORIENTED_EDGE('',*,*,#197943,.T.); #262512=ORIENTED_EDGE('',*,*,#197940,.T.); #262513=ORIENTED_EDGE('',*,*,#197937,.T.); #262514=ORIENTED_EDGE('',*,*,#197934,.T.); #262515=ORIENTED_EDGE('',*,*,#197931,.T.); #262516=ORIENTED_EDGE('',*,*,#197928,.T.); #262517=ORIENTED_EDGE('',*,*,#197925,.T.); #262518=ORIENTED_EDGE('',*,*,#197922,.T.); #262519=ORIENTED_EDGE('',*,*,#197919,.T.); #262520=ORIENTED_EDGE('',*,*,#197916,.T.); #262521=ORIENTED_EDGE('',*,*,#197913,.T.); #262522=ORIENTED_EDGE('',*,*,#197910,.T.); #262523=ORIENTED_EDGE('',*,*,#197907,.T.); #262524=ORIENTED_EDGE('',*,*,#197904,.T.); #262525=ORIENTED_EDGE('',*,*,#197901,.T.); #262526=ORIENTED_EDGE('',*,*,#197898,.T.); #262527=ORIENTED_EDGE('',*,*,#197895,.T.); #262528=ORIENTED_EDGE('',*,*,#197892,.T.); #262529=ORIENTED_EDGE('',*,*,#197889,.T.); #262530=ORIENTED_EDGE('',*,*,#197886,.T.); #262531=ORIENTED_EDGE('',*,*,#197883,.T.); #262532=ORIENTED_EDGE('',*,*,#197880,.T.); #262533=ORIENTED_EDGE('',*,*,#197877,.T.); #262534=ORIENTED_EDGE('',*,*,#197874,.T.); #262535=ORIENTED_EDGE('',*,*,#197871,.T.); #262536=ORIENTED_EDGE('',*,*,#197868,.T.); #262537=ORIENTED_EDGE('',*,*,#197865,.T.); #262538=ORIENTED_EDGE('',*,*,#197862,.T.); #262539=ORIENTED_EDGE('',*,*,#197859,.T.); #262540=ORIENTED_EDGE('',*,*,#197856,.T.); #262541=ORIENTED_EDGE('',*,*,#197853,.T.); #262542=ORIENTED_EDGE('',*,*,#197850,.T.); #262543=ORIENTED_EDGE('',*,*,#197847,.T.); #262544=ORIENTED_EDGE('',*,*,#197844,.T.); #262545=ORIENTED_EDGE('',*,*,#197841,.T.); #262546=ORIENTED_EDGE('',*,*,#197838,.T.); #262547=ORIENTED_EDGE('',*,*,#197835,.T.); #262548=ORIENTED_EDGE('',*,*,#197832,.T.); #262549=ORIENTED_EDGE('',*,*,#197829,.T.); #262550=ORIENTED_EDGE('',*,*,#197826,.T.); #262551=ORIENTED_EDGE('',*,*,#197823,.T.); #262552=ORIENTED_EDGE('',*,*,#197820,.T.); #262553=ORIENTED_EDGE('',*,*,#197817,.T.); #262554=ORIENTED_EDGE('',*,*,#197814,.T.); #262555=ORIENTED_EDGE('',*,*,#197811,.T.); #262556=ORIENTED_EDGE('',*,*,#197808,.T.); #262557=ORIENTED_EDGE('',*,*,#197805,.T.); #262558=ORIENTED_EDGE('',*,*,#197802,.T.); #262559=ORIENTED_EDGE('',*,*,#197799,.T.); #262560=ORIENTED_EDGE('',*,*,#197796,.T.); #262561=ORIENTED_EDGE('',*,*,#197793,.T.); #262562=ORIENTED_EDGE('',*,*,#197790,.T.); #262563=ORIENTED_EDGE('',*,*,#197787,.T.); #262564=ORIENTED_EDGE('',*,*,#197784,.T.); #262565=ORIENTED_EDGE('',*,*,#197781,.T.); #262566=ORIENTED_EDGE('',*,*,#197778,.T.); #262567=ORIENTED_EDGE('',*,*,#197775,.T.); #262568=ORIENTED_EDGE('',*,*,#197772,.T.); #262569=ORIENTED_EDGE('',*,*,#197769,.T.); #262570=ORIENTED_EDGE('',*,*,#197766,.T.); #262571=ORIENTED_EDGE('',*,*,#197763,.T.); #262572=ORIENTED_EDGE('',*,*,#197760,.T.); #262573=ORIENTED_EDGE('',*,*,#197757,.T.); #262574=ORIENTED_EDGE('',*,*,#197754,.T.); #262575=ORIENTED_EDGE('',*,*,#197751,.T.); #262576=ORIENTED_EDGE('',*,*,#197748,.T.); #262577=ORIENTED_EDGE('',*,*,#197745,.T.); #262578=ORIENTED_EDGE('',*,*,#197742,.T.); #262579=ORIENTED_EDGE('',*,*,#197739,.T.); #262580=ORIENTED_EDGE('',*,*,#197736,.T.); #262581=ORIENTED_EDGE('',*,*,#197733,.T.); #262582=ORIENTED_EDGE('',*,*,#197730,.T.); #262583=ORIENTED_EDGE('',*,*,#197727,.T.); #262584=ORIENTED_EDGE('',*,*,#197724,.T.); #262585=ORIENTED_EDGE('',*,*,#197721,.T.); #262586=ORIENTED_EDGE('',*,*,#197718,.T.); #262587=ORIENTED_EDGE('',*,*,#197715,.T.); #262588=ORIENTED_EDGE('',*,*,#197712,.T.); #262589=ORIENTED_EDGE('',*,*,#197709,.T.); #262590=ORIENTED_EDGE('',*,*,#197706,.T.); #262591=ORIENTED_EDGE('',*,*,#197703,.T.); #262592=ORIENTED_EDGE('',*,*,#197700,.T.); #262593=ORIENTED_EDGE('',*,*,#197697,.T.); #262594=ORIENTED_EDGE('',*,*,#197694,.T.); #262595=ORIENTED_EDGE('',*,*,#197691,.T.); #262596=ORIENTED_EDGE('',*,*,#197688,.T.); #262597=ORIENTED_EDGE('',*,*,#197685,.T.); #262598=ORIENTED_EDGE('',*,*,#197682,.T.); #262599=ORIENTED_EDGE('',*,*,#197679,.T.); #262600=ORIENTED_EDGE('',*,*,#197676,.T.); #262601=ORIENTED_EDGE('',*,*,#197673,.T.); #262602=ORIENTED_EDGE('',*,*,#197670,.T.); #262603=ORIENTED_EDGE('',*,*,#197667,.T.); #262604=ORIENTED_EDGE('',*,*,#197664,.T.); #262605=ORIENTED_EDGE('',*,*,#197661,.T.); #262606=ORIENTED_EDGE('',*,*,#197658,.T.); #262607=ORIENTED_EDGE('',*,*,#197655,.T.); #262608=ORIENTED_EDGE('',*,*,#197652,.T.); #262609=ORIENTED_EDGE('',*,*,#197649,.T.); #262610=ORIENTED_EDGE('',*,*,#197646,.T.); #262611=ORIENTED_EDGE('',*,*,#197643,.T.); #262612=ORIENTED_EDGE('',*,*,#197640,.T.); #262613=ORIENTED_EDGE('',*,*,#197637,.T.); #262614=ORIENTED_EDGE('',*,*,#197634,.T.); #262615=ORIENTED_EDGE('',*,*,#197631,.T.); #262616=ORIENTED_EDGE('',*,*,#197628,.T.); #262617=ORIENTED_EDGE('',*,*,#197625,.T.); #262618=ORIENTED_EDGE('',*,*,#197622,.T.); #262619=ORIENTED_EDGE('',*,*,#197619,.T.); #262620=ORIENTED_EDGE('',*,*,#197616,.T.); #262621=ORIENTED_EDGE('',*,*,#197469,.T.); #262622=ORIENTED_EDGE('',*,*,#197472,.T.); #262623=ORIENTED_EDGE('',*,*,#197475,.T.); #262624=ORIENTED_EDGE('',*,*,#197478,.T.); #262625=ORIENTED_EDGE('',*,*,#197481,.T.); #262626=ORIENTED_EDGE('',*,*,#197484,.T.); #262627=ORIENTED_EDGE('',*,*,#197487,.T.); #262628=ORIENTED_EDGE('',*,*,#197490,.T.); #262629=ORIENTED_EDGE('',*,*,#197493,.T.); #262630=ORIENTED_EDGE('',*,*,#197496,.T.); #262631=ORIENTED_EDGE('',*,*,#197499,.T.); #262632=ORIENTED_EDGE('',*,*,#197502,.T.); #262633=ORIENTED_EDGE('',*,*,#197505,.T.); #262634=ORIENTED_EDGE('',*,*,#197508,.T.); #262635=ORIENTED_EDGE('',*,*,#197511,.T.); #262636=ORIENTED_EDGE('',*,*,#197514,.T.); #262637=ORIENTED_EDGE('',*,*,#197517,.T.); #262638=ORIENTED_EDGE('',*,*,#197520,.T.); #262639=ORIENTED_EDGE('',*,*,#197523,.T.); #262640=ORIENTED_EDGE('',*,*,#197526,.T.); #262641=ORIENTED_EDGE('',*,*,#197529,.T.); #262642=ORIENTED_EDGE('',*,*,#197532,.T.); #262643=ORIENTED_EDGE('',*,*,#197535,.T.); #262644=ORIENTED_EDGE('',*,*,#197538,.T.); #262645=ORIENTED_EDGE('',*,*,#197541,.T.); #262646=ORIENTED_EDGE('',*,*,#197544,.T.); #262647=ORIENTED_EDGE('',*,*,#197547,.T.); #262648=ORIENTED_EDGE('',*,*,#197550,.T.); #262649=ORIENTED_EDGE('',*,*,#197553,.T.); #262650=ORIENTED_EDGE('',*,*,#197556,.T.); #262651=ORIENTED_EDGE('',*,*,#197559,.T.); #262652=ORIENTED_EDGE('',*,*,#197562,.T.); #262653=ORIENTED_EDGE('',*,*,#197565,.T.); #262654=ORIENTED_EDGE('',*,*,#197568,.T.); #262655=ORIENTED_EDGE('',*,*,#197571,.T.); #262656=ORIENTED_EDGE('',*,*,#197574,.T.); #262657=ORIENTED_EDGE('',*,*,#197577,.T.); #262658=ORIENTED_EDGE('',*,*,#197580,.T.); #262659=ORIENTED_EDGE('',*,*,#197583,.T.); #262660=ORIENTED_EDGE('',*,*,#197586,.T.); #262661=ORIENTED_EDGE('',*,*,#197589,.T.); #262662=ORIENTED_EDGE('',*,*,#197592,.T.); #262663=ORIENTED_EDGE('',*,*,#197595,.T.); #262664=ORIENTED_EDGE('',*,*,#197598,.T.); #262665=ORIENTED_EDGE('',*,*,#197601,.T.); #262666=ORIENTED_EDGE('',*,*,#197604,.T.); #262667=ORIENTED_EDGE('',*,*,#197607,.T.); #262668=ORIENTED_EDGE('',*,*,#197610,.T.); #262669=ORIENTED_EDGE('',*,*,#197613,.T.); #262670=ORIENTED_EDGE('',*,*,#198500,.F.); #262671=ORIENTED_EDGE('',*,*,#197614,.F.); #262672=ORIENTED_EDGE('',*,*,#197618,.F.); #262673=ORIENTED_EDGE('',*,*,#197621,.F.); #262674=ORIENTED_EDGE('',*,*,#197624,.F.); #262675=ORIENTED_EDGE('',*,*,#197627,.F.); #262676=ORIENTED_EDGE('',*,*,#197630,.F.); #262677=ORIENTED_EDGE('',*,*,#197633,.F.); #262678=ORIENTED_EDGE('',*,*,#197636,.F.); #262679=ORIENTED_EDGE('',*,*,#197639,.F.); #262680=ORIENTED_EDGE('',*,*,#197642,.F.); #262681=ORIENTED_EDGE('',*,*,#197645,.F.); #262682=ORIENTED_EDGE('',*,*,#197648,.F.); #262683=ORIENTED_EDGE('',*,*,#197651,.F.); #262684=ORIENTED_EDGE('',*,*,#197654,.F.); #262685=ORIENTED_EDGE('',*,*,#197657,.F.); #262686=ORIENTED_EDGE('',*,*,#197660,.F.); #262687=ORIENTED_EDGE('',*,*,#197663,.F.); #262688=ORIENTED_EDGE('',*,*,#197666,.F.); #262689=ORIENTED_EDGE('',*,*,#197669,.F.); #262690=ORIENTED_EDGE('',*,*,#197672,.F.); #262691=ORIENTED_EDGE('',*,*,#197675,.F.); #262692=ORIENTED_EDGE('',*,*,#197678,.F.); #262693=ORIENTED_EDGE('',*,*,#197681,.F.); #262694=ORIENTED_EDGE('',*,*,#197684,.F.); #262695=ORIENTED_EDGE('',*,*,#197687,.F.); #262696=ORIENTED_EDGE('',*,*,#197690,.F.); #262697=ORIENTED_EDGE('',*,*,#197693,.F.); #262698=ORIENTED_EDGE('',*,*,#197696,.F.); #262699=ORIENTED_EDGE('',*,*,#197699,.F.); #262700=ORIENTED_EDGE('',*,*,#197702,.F.); #262701=ORIENTED_EDGE('',*,*,#197705,.F.); #262702=ORIENTED_EDGE('',*,*,#197708,.F.); #262703=ORIENTED_EDGE('',*,*,#197711,.F.); #262704=ORIENTED_EDGE('',*,*,#197714,.F.); #262705=ORIENTED_EDGE('',*,*,#197717,.F.); #262706=ORIENTED_EDGE('',*,*,#197720,.F.); #262707=ORIENTED_EDGE('',*,*,#197723,.F.); #262708=ORIENTED_EDGE('',*,*,#197726,.F.); #262709=ORIENTED_EDGE('',*,*,#197729,.F.); #262710=ORIENTED_EDGE('',*,*,#197732,.F.); #262711=ORIENTED_EDGE('',*,*,#197735,.F.); #262712=ORIENTED_EDGE('',*,*,#197738,.F.); #262713=ORIENTED_EDGE('',*,*,#197741,.F.); #262714=ORIENTED_EDGE('',*,*,#197744,.F.); #262715=ORIENTED_EDGE('',*,*,#197747,.F.); #262716=ORIENTED_EDGE('',*,*,#197750,.F.); #262717=ORIENTED_EDGE('',*,*,#197753,.F.); #262718=ORIENTED_EDGE('',*,*,#197756,.F.); #262719=ORIENTED_EDGE('',*,*,#197759,.F.); #262720=ORIENTED_EDGE('',*,*,#197762,.F.); #262721=ORIENTED_EDGE('',*,*,#197765,.F.); #262722=ORIENTED_EDGE('',*,*,#197768,.F.); #262723=ORIENTED_EDGE('',*,*,#197771,.F.); #262724=ORIENTED_EDGE('',*,*,#197774,.F.); #262725=ORIENTED_EDGE('',*,*,#197777,.F.); #262726=ORIENTED_EDGE('',*,*,#197780,.F.); #262727=ORIENTED_EDGE('',*,*,#197783,.F.); #262728=ORIENTED_EDGE('',*,*,#197786,.F.); #262729=ORIENTED_EDGE('',*,*,#197789,.F.); #262730=ORIENTED_EDGE('',*,*,#197792,.F.); #262731=ORIENTED_EDGE('',*,*,#197795,.F.); #262732=ORIENTED_EDGE('',*,*,#197798,.F.); #262733=ORIENTED_EDGE('',*,*,#197801,.F.); #262734=ORIENTED_EDGE('',*,*,#197804,.F.); #262735=ORIENTED_EDGE('',*,*,#197807,.F.); #262736=ORIENTED_EDGE('',*,*,#197810,.F.); #262737=ORIENTED_EDGE('',*,*,#197813,.F.); #262738=ORIENTED_EDGE('',*,*,#197816,.F.); #262739=ORIENTED_EDGE('',*,*,#197819,.F.); #262740=ORIENTED_EDGE('',*,*,#197822,.F.); #262741=ORIENTED_EDGE('',*,*,#197825,.F.); #262742=ORIENTED_EDGE('',*,*,#197828,.F.); #262743=ORIENTED_EDGE('',*,*,#197831,.F.); #262744=ORIENTED_EDGE('',*,*,#197834,.F.); #262745=ORIENTED_EDGE('',*,*,#197837,.F.); #262746=ORIENTED_EDGE('',*,*,#197840,.F.); #262747=ORIENTED_EDGE('',*,*,#197843,.F.); #262748=ORIENTED_EDGE('',*,*,#197846,.F.); #262749=ORIENTED_EDGE('',*,*,#197849,.F.); #262750=ORIENTED_EDGE('',*,*,#197852,.F.); #262751=ORIENTED_EDGE('',*,*,#197855,.F.); #262752=ORIENTED_EDGE('',*,*,#197858,.F.); #262753=ORIENTED_EDGE('',*,*,#197861,.F.); #262754=ORIENTED_EDGE('',*,*,#197864,.F.); #262755=ORIENTED_EDGE('',*,*,#197867,.F.); #262756=ORIENTED_EDGE('',*,*,#197870,.F.); #262757=ORIENTED_EDGE('',*,*,#197873,.F.); #262758=ORIENTED_EDGE('',*,*,#197876,.F.); #262759=ORIENTED_EDGE('',*,*,#197879,.F.); #262760=ORIENTED_EDGE('',*,*,#197882,.F.); #262761=ORIENTED_EDGE('',*,*,#197885,.F.); #262762=ORIENTED_EDGE('',*,*,#197888,.F.); #262763=ORIENTED_EDGE('',*,*,#197891,.F.); #262764=ORIENTED_EDGE('',*,*,#197894,.F.); #262765=ORIENTED_EDGE('',*,*,#197897,.F.); #262766=ORIENTED_EDGE('',*,*,#197900,.F.); #262767=ORIENTED_EDGE('',*,*,#197903,.F.); #262768=ORIENTED_EDGE('',*,*,#197906,.F.); #262769=ORIENTED_EDGE('',*,*,#197909,.F.); #262770=ORIENTED_EDGE('',*,*,#197912,.F.); #262771=ORIENTED_EDGE('',*,*,#197915,.F.); #262772=ORIENTED_EDGE('',*,*,#197918,.F.); #262773=ORIENTED_EDGE('',*,*,#197921,.F.); #262774=ORIENTED_EDGE('',*,*,#197924,.F.); #262775=ORIENTED_EDGE('',*,*,#197927,.F.); #262776=ORIENTED_EDGE('',*,*,#197930,.F.); #262777=ORIENTED_EDGE('',*,*,#197933,.F.); #262778=ORIENTED_EDGE('',*,*,#197936,.F.); #262779=ORIENTED_EDGE('',*,*,#197939,.F.); #262780=ORIENTED_EDGE('',*,*,#197942,.F.); #262781=ORIENTED_EDGE('',*,*,#197945,.F.); #262782=ORIENTED_EDGE('',*,*,#197948,.F.); #262783=ORIENTED_EDGE('',*,*,#197951,.F.); #262784=ORIENTED_EDGE('',*,*,#197954,.F.); #262785=ORIENTED_EDGE('',*,*,#197957,.F.); #262786=ORIENTED_EDGE('',*,*,#197960,.F.); #262787=ORIENTED_EDGE('',*,*,#197963,.F.); #262788=ORIENTED_EDGE('',*,*,#197966,.F.); #262789=ORIENTED_EDGE('',*,*,#197969,.F.); #262790=ORIENTED_EDGE('',*,*,#197972,.F.); #262791=ORIENTED_EDGE('',*,*,#197975,.F.); #262792=ORIENTED_EDGE('',*,*,#197978,.F.); #262793=ORIENTED_EDGE('',*,*,#197981,.F.); #262794=ORIENTED_EDGE('',*,*,#197984,.F.); #262795=ORIENTED_EDGE('',*,*,#197987,.F.); #262796=ORIENTED_EDGE('',*,*,#197990,.F.); #262797=ORIENTED_EDGE('',*,*,#197993,.F.); #262798=ORIENTED_EDGE('',*,*,#197996,.F.); #262799=ORIENTED_EDGE('',*,*,#197999,.F.); #262800=ORIENTED_EDGE('',*,*,#198002,.F.); #262801=ORIENTED_EDGE('',*,*,#198005,.F.); #262802=ORIENTED_EDGE('',*,*,#198008,.F.); #262803=ORIENTED_EDGE('',*,*,#198011,.F.); #262804=ORIENTED_EDGE('',*,*,#198014,.F.); #262805=ORIENTED_EDGE('',*,*,#198017,.F.); #262806=ORIENTED_EDGE('',*,*,#198020,.F.); #262807=ORIENTED_EDGE('',*,*,#198023,.F.); #262808=ORIENTED_EDGE('',*,*,#198026,.F.); #262809=ORIENTED_EDGE('',*,*,#198029,.F.); #262810=ORIENTED_EDGE('',*,*,#198032,.F.); #262811=ORIENTED_EDGE('',*,*,#198035,.F.); #262812=ORIENTED_EDGE('',*,*,#198038,.F.); #262813=ORIENTED_EDGE('',*,*,#198041,.F.); #262814=ORIENTED_EDGE('',*,*,#198044,.F.); #262815=ORIENTED_EDGE('',*,*,#198047,.F.); #262816=ORIENTED_EDGE('',*,*,#198050,.F.); #262817=ORIENTED_EDGE('',*,*,#198053,.F.); #262818=ORIENTED_EDGE('',*,*,#198056,.F.); #262819=ORIENTED_EDGE('',*,*,#198059,.F.); #262820=ORIENTED_EDGE('',*,*,#198062,.F.); #262821=ORIENTED_EDGE('',*,*,#198065,.F.); #262822=ORIENTED_EDGE('',*,*,#198068,.F.); #262823=ORIENTED_EDGE('',*,*,#198071,.F.); #262824=ORIENTED_EDGE('',*,*,#198074,.F.); #262825=ORIENTED_EDGE('',*,*,#198077,.F.); #262826=ORIENTED_EDGE('',*,*,#198080,.F.); #262827=ORIENTED_EDGE('',*,*,#198083,.F.); #262828=ORIENTED_EDGE('',*,*,#198086,.F.); #262829=ORIENTED_EDGE('',*,*,#198089,.F.); #262830=ORIENTED_EDGE('',*,*,#198092,.F.); #262831=ORIENTED_EDGE('',*,*,#198095,.F.); #262832=ORIENTED_EDGE('',*,*,#198098,.F.); #262833=ORIENTED_EDGE('',*,*,#198101,.F.); #262834=ORIENTED_EDGE('',*,*,#198104,.F.); #262835=ORIENTED_EDGE('',*,*,#198107,.F.); #262836=ORIENTED_EDGE('',*,*,#198110,.F.); #262837=ORIENTED_EDGE('',*,*,#198113,.F.); #262838=ORIENTED_EDGE('',*,*,#198116,.F.); #262839=ORIENTED_EDGE('',*,*,#198119,.F.); #262840=ORIENTED_EDGE('',*,*,#198122,.F.); #262841=ORIENTED_EDGE('',*,*,#198125,.F.); #262842=ORIENTED_EDGE('',*,*,#198128,.F.); #262843=ORIENTED_EDGE('',*,*,#198131,.F.); #262844=ORIENTED_EDGE('',*,*,#198134,.F.); #262845=ORIENTED_EDGE('',*,*,#198137,.F.); #262846=ORIENTED_EDGE('',*,*,#198140,.F.); #262847=ORIENTED_EDGE('',*,*,#198143,.F.); #262848=ORIENTED_EDGE('',*,*,#198146,.F.); #262849=ORIENTED_EDGE('',*,*,#198149,.F.); #262850=ORIENTED_EDGE('',*,*,#198152,.F.); #262851=ORIENTED_EDGE('',*,*,#198155,.F.); #262852=ORIENTED_EDGE('',*,*,#198158,.F.); #262853=ORIENTED_EDGE('',*,*,#198161,.F.); #262854=ORIENTED_EDGE('',*,*,#198164,.F.); #262855=ORIENTED_EDGE('',*,*,#198167,.F.); #262856=ORIENTED_EDGE('',*,*,#198170,.F.); #262857=ORIENTED_EDGE('',*,*,#198173,.F.); #262858=ORIENTED_EDGE('',*,*,#198176,.F.); #262859=ORIENTED_EDGE('',*,*,#198179,.F.); #262860=ORIENTED_EDGE('',*,*,#198182,.F.); #262861=ORIENTED_EDGE('',*,*,#198185,.F.); #262862=ORIENTED_EDGE('',*,*,#198188,.F.); #262863=ORIENTED_EDGE('',*,*,#198191,.F.); #262864=ORIENTED_EDGE('',*,*,#198194,.F.); #262865=ORIENTED_EDGE('',*,*,#198197,.F.); #262866=ORIENTED_EDGE('',*,*,#198200,.F.); #262867=ORIENTED_EDGE('',*,*,#198203,.F.); #262868=ORIENTED_EDGE('',*,*,#198206,.F.); #262869=ORIENTED_EDGE('',*,*,#198209,.F.); #262870=ORIENTED_EDGE('',*,*,#198212,.F.); #262871=ORIENTED_EDGE('',*,*,#198215,.F.); #262872=ORIENTED_EDGE('',*,*,#198218,.F.); #262873=ORIENTED_EDGE('',*,*,#198221,.F.); #262874=ORIENTED_EDGE('',*,*,#198224,.F.); #262875=ORIENTED_EDGE('',*,*,#198227,.F.); #262876=ORIENTED_EDGE('',*,*,#198230,.F.); #262877=ORIENTED_EDGE('',*,*,#198233,.F.); #262878=ORIENTED_EDGE('',*,*,#198236,.F.); #262879=ORIENTED_EDGE('',*,*,#198239,.F.); #262880=ORIENTED_EDGE('',*,*,#198242,.F.); #262881=ORIENTED_EDGE('',*,*,#198245,.F.); #262882=ORIENTED_EDGE('',*,*,#198248,.F.); #262883=ORIENTED_EDGE('',*,*,#198251,.F.); #262884=ORIENTED_EDGE('',*,*,#198254,.F.); #262885=ORIENTED_EDGE('',*,*,#198257,.F.); #262886=ORIENTED_EDGE('',*,*,#198260,.F.); #262887=ORIENTED_EDGE('',*,*,#198263,.F.); #262888=ORIENTED_EDGE('',*,*,#198266,.F.); #262889=ORIENTED_EDGE('',*,*,#198269,.F.); #262890=ORIENTED_EDGE('',*,*,#198272,.F.); #262891=ORIENTED_EDGE('',*,*,#198275,.F.); #262892=ORIENTED_EDGE('',*,*,#198278,.F.); #262893=ORIENTED_EDGE('',*,*,#198281,.F.); #262894=ORIENTED_EDGE('',*,*,#198284,.F.); #262895=ORIENTED_EDGE('',*,*,#198287,.F.); #262896=ORIENTED_EDGE('',*,*,#198290,.F.); #262897=ORIENTED_EDGE('',*,*,#198293,.F.); #262898=ORIENTED_EDGE('',*,*,#198296,.F.); #262899=ORIENTED_EDGE('',*,*,#198299,.F.); #262900=ORIENTED_EDGE('',*,*,#198302,.F.); #262901=ORIENTED_EDGE('',*,*,#198305,.F.); #262902=ORIENTED_EDGE('',*,*,#198308,.F.); #262903=ORIENTED_EDGE('',*,*,#198311,.F.); #262904=ORIENTED_EDGE('',*,*,#198314,.F.); #262905=ORIENTED_EDGE('',*,*,#198317,.F.); #262906=ORIENTED_EDGE('',*,*,#198320,.F.); #262907=ORIENTED_EDGE('',*,*,#198323,.F.); #262908=ORIENTED_EDGE('',*,*,#198326,.F.); #262909=ORIENTED_EDGE('',*,*,#198329,.F.); #262910=ORIENTED_EDGE('',*,*,#198332,.F.); #262911=ORIENTED_EDGE('',*,*,#198335,.F.); #262912=ORIENTED_EDGE('',*,*,#198338,.F.); #262913=ORIENTED_EDGE('',*,*,#198341,.F.); #262914=ORIENTED_EDGE('',*,*,#198344,.F.); #262915=ORIENTED_EDGE('',*,*,#198347,.F.); #262916=ORIENTED_EDGE('',*,*,#198350,.F.); #262917=ORIENTED_EDGE('',*,*,#198353,.F.); #262918=ORIENTED_EDGE('',*,*,#198356,.F.); #262919=ORIENTED_EDGE('',*,*,#198359,.F.); #262920=ORIENTED_EDGE('',*,*,#198362,.F.); #262921=ORIENTED_EDGE('',*,*,#198365,.F.); #262922=ORIENTED_EDGE('',*,*,#198368,.F.); #262923=ORIENTED_EDGE('',*,*,#198371,.F.); #262924=ORIENTED_EDGE('',*,*,#198374,.F.); #262925=ORIENTED_EDGE('',*,*,#198377,.F.); #262926=ORIENTED_EDGE('',*,*,#198380,.F.); #262927=ORIENTED_EDGE('',*,*,#198383,.F.); #262928=ORIENTED_EDGE('',*,*,#198386,.F.); #262929=ORIENTED_EDGE('',*,*,#198389,.F.); #262930=ORIENTED_EDGE('',*,*,#198392,.F.); #262931=ORIENTED_EDGE('',*,*,#198395,.F.); #262932=ORIENTED_EDGE('',*,*,#198398,.F.); #262933=ORIENTED_EDGE('',*,*,#198401,.F.); #262934=ORIENTED_EDGE('',*,*,#198404,.F.); #262935=ORIENTED_EDGE('',*,*,#198407,.F.); #262936=ORIENTED_EDGE('',*,*,#198410,.F.); #262937=ORIENTED_EDGE('',*,*,#198413,.F.); #262938=ORIENTED_EDGE('',*,*,#198416,.F.); #262939=ORIENTED_EDGE('',*,*,#198419,.F.); #262940=ORIENTED_EDGE('',*,*,#198422,.F.); #262941=ORIENTED_EDGE('',*,*,#198425,.F.); #262942=ORIENTED_EDGE('',*,*,#198428,.F.); #262943=ORIENTED_EDGE('',*,*,#198431,.F.); #262944=ORIENTED_EDGE('',*,*,#198434,.F.); #262945=ORIENTED_EDGE('',*,*,#198437,.F.); #262946=ORIENTED_EDGE('',*,*,#198440,.F.); #262947=ORIENTED_EDGE('',*,*,#198443,.F.); #262948=ORIENTED_EDGE('',*,*,#198446,.F.); #262949=ORIENTED_EDGE('',*,*,#198449,.F.); #262950=ORIENTED_EDGE('',*,*,#198452,.F.); #262951=ORIENTED_EDGE('',*,*,#198455,.F.); #262952=ORIENTED_EDGE('',*,*,#198458,.F.); #262953=ORIENTED_EDGE('',*,*,#198461,.F.); #262954=ORIENTED_EDGE('',*,*,#198464,.F.); #262955=ORIENTED_EDGE('',*,*,#198467,.F.); #262956=ORIENTED_EDGE('',*,*,#198470,.F.); #262957=ORIENTED_EDGE('',*,*,#198473,.F.); #262958=ORIENTED_EDGE('',*,*,#198476,.F.); #262959=ORIENTED_EDGE('',*,*,#198479,.F.); #262960=ORIENTED_EDGE('',*,*,#198482,.F.); #262961=ORIENTED_EDGE('',*,*,#198485,.F.); #262962=ORIENTED_EDGE('',*,*,#198488,.F.); #262963=ORIENTED_EDGE('',*,*,#198491,.F.); #262964=ORIENTED_EDGE('',*,*,#198494,.F.); #262965=ORIENTED_EDGE('',*,*,#198497,.F.); #262966=ORIENTED_EDGE('',*,*,#197467,.T.); #262967=ORIENTED_EDGE('',*,*,#197470,.T.); #262968=ORIENTED_EDGE('',*,*,#197473,.T.); #262969=ORIENTED_EDGE('',*,*,#197476,.T.); #262970=ORIENTED_EDGE('',*,*,#197479,.T.); #262971=ORIENTED_EDGE('',*,*,#197482,.T.); #262972=ORIENTED_EDGE('',*,*,#197485,.T.); #262973=ORIENTED_EDGE('',*,*,#197488,.T.); #262974=ORIENTED_EDGE('',*,*,#197491,.T.); #262975=ORIENTED_EDGE('',*,*,#197494,.T.); #262976=ORIENTED_EDGE('',*,*,#197497,.T.); #262977=ORIENTED_EDGE('',*,*,#197500,.T.); #262978=ORIENTED_EDGE('',*,*,#197503,.T.); #262979=ORIENTED_EDGE('',*,*,#197506,.T.); #262980=ORIENTED_EDGE('',*,*,#197509,.T.); #262981=ORIENTED_EDGE('',*,*,#197512,.T.); #262982=ORIENTED_EDGE('',*,*,#197515,.T.); #262983=ORIENTED_EDGE('',*,*,#197518,.T.); #262984=ORIENTED_EDGE('',*,*,#197521,.T.); #262985=ORIENTED_EDGE('',*,*,#197524,.T.); #262986=ORIENTED_EDGE('',*,*,#197527,.T.); #262987=ORIENTED_EDGE('',*,*,#197530,.T.); #262988=ORIENTED_EDGE('',*,*,#197533,.T.); #262989=ORIENTED_EDGE('',*,*,#197536,.T.); #262990=ORIENTED_EDGE('',*,*,#197539,.T.); #262991=ORIENTED_EDGE('',*,*,#197542,.T.); #262992=ORIENTED_EDGE('',*,*,#197545,.T.); #262993=ORIENTED_EDGE('',*,*,#197548,.T.); #262994=ORIENTED_EDGE('',*,*,#197551,.T.); #262995=ORIENTED_EDGE('',*,*,#197554,.T.); #262996=ORIENTED_EDGE('',*,*,#197557,.T.); #262997=ORIENTED_EDGE('',*,*,#197560,.T.); #262998=ORIENTED_EDGE('',*,*,#197563,.T.); #262999=ORIENTED_EDGE('',*,*,#197566,.T.); #263000=ORIENTED_EDGE('',*,*,#197569,.T.); #263001=ORIENTED_EDGE('',*,*,#197572,.T.); #263002=ORIENTED_EDGE('',*,*,#197575,.T.); #263003=ORIENTED_EDGE('',*,*,#197578,.T.); #263004=ORIENTED_EDGE('',*,*,#197581,.T.); #263005=ORIENTED_EDGE('',*,*,#197584,.T.); #263006=ORIENTED_EDGE('',*,*,#197587,.T.); #263007=ORIENTED_EDGE('',*,*,#197590,.T.); #263008=ORIENTED_EDGE('',*,*,#197593,.T.); #263009=ORIENTED_EDGE('',*,*,#197596,.T.); #263010=ORIENTED_EDGE('',*,*,#197599,.T.); #263011=ORIENTED_EDGE('',*,*,#197602,.T.); #263012=ORIENTED_EDGE('',*,*,#197605,.T.); #263013=ORIENTED_EDGE('',*,*,#197608,.T.); #263014=ORIENTED_EDGE('',*,*,#197611,.T.); #263015=ORIENTED_EDGE('',*,*,#198502,.T.); #263016=ORIENTED_EDGE('',*,*,#198503,.T.); #263017=ORIENTED_EDGE('',*,*,#198504,.F.); #263018=ORIENTED_EDGE('',*,*,#198505,.F.); #263019=ORIENTED_EDGE('',*,*,#198506,.T.); #263020=ORIENTED_EDGE('',*,*,#198505,.T.); #263021=ORIENTED_EDGE('',*,*,#198507,.F.); #263022=ORIENTED_EDGE('',*,*,#198508,.F.); #263023=ORIENTED_EDGE('',*,*,#198509,.T.); #263024=ORIENTED_EDGE('',*,*,#198508,.T.); #263025=ORIENTED_EDGE('',*,*,#198510,.F.); #263026=ORIENTED_EDGE('',*,*,#198511,.F.); #263027=ORIENTED_EDGE('',*,*,#198512,.T.); #263028=ORIENTED_EDGE('',*,*,#198511,.T.); #263029=ORIENTED_EDGE('',*,*,#198513,.F.); #263030=ORIENTED_EDGE('',*,*,#198514,.F.); #263031=ORIENTED_EDGE('',*,*,#198515,.T.); #263032=ORIENTED_EDGE('',*,*,#198514,.T.); #263033=ORIENTED_EDGE('',*,*,#198516,.F.); #263034=ORIENTED_EDGE('',*,*,#198517,.F.); #263035=ORIENTED_EDGE('',*,*,#198518,.T.); #263036=ORIENTED_EDGE('',*,*,#198517,.T.); #263037=ORIENTED_EDGE('',*,*,#198519,.F.); #263038=ORIENTED_EDGE('',*,*,#198520,.F.); #263039=ORIENTED_EDGE('',*,*,#198521,.T.); #263040=ORIENTED_EDGE('',*,*,#198520,.T.); #263041=ORIENTED_EDGE('',*,*,#198522,.F.); #263042=ORIENTED_EDGE('',*,*,#198523,.F.); #263043=ORIENTED_EDGE('',*,*,#198524,.T.); #263044=ORIENTED_EDGE('',*,*,#198523,.T.); #263045=ORIENTED_EDGE('',*,*,#198525,.F.); #263046=ORIENTED_EDGE('',*,*,#198526,.F.); #263047=ORIENTED_EDGE('',*,*,#198527,.T.); #263048=ORIENTED_EDGE('',*,*,#198526,.T.); #263049=ORIENTED_EDGE('',*,*,#198528,.F.); #263050=ORIENTED_EDGE('',*,*,#198529,.F.); #263051=ORIENTED_EDGE('',*,*,#198530,.T.); #263052=ORIENTED_EDGE('',*,*,#198529,.T.); #263053=ORIENTED_EDGE('',*,*,#198531,.F.); #263054=ORIENTED_EDGE('',*,*,#198532,.F.); #263055=ORIENTED_EDGE('',*,*,#198533,.T.); #263056=ORIENTED_EDGE('',*,*,#198532,.T.); #263057=ORIENTED_EDGE('',*,*,#198534,.F.); #263058=ORIENTED_EDGE('',*,*,#198535,.F.); #263059=ORIENTED_EDGE('',*,*,#198536,.T.); #263060=ORIENTED_EDGE('',*,*,#198535,.T.); #263061=ORIENTED_EDGE('',*,*,#198537,.F.); #263062=ORIENTED_EDGE('',*,*,#198538,.F.); #263063=ORIENTED_EDGE('',*,*,#198539,.T.); #263064=ORIENTED_EDGE('',*,*,#198538,.T.); #263065=ORIENTED_EDGE('',*,*,#198540,.F.); #263066=ORIENTED_EDGE('',*,*,#198541,.F.); #263067=ORIENTED_EDGE('',*,*,#198542,.T.); #263068=ORIENTED_EDGE('',*,*,#198541,.T.); #263069=ORIENTED_EDGE('',*,*,#198543,.F.); #263070=ORIENTED_EDGE('',*,*,#198544,.F.); #263071=ORIENTED_EDGE('',*,*,#198545,.T.); #263072=ORIENTED_EDGE('',*,*,#198544,.T.); #263073=ORIENTED_EDGE('',*,*,#198546,.F.); #263074=ORIENTED_EDGE('',*,*,#198547,.F.); #263075=ORIENTED_EDGE('',*,*,#198548,.T.); #263076=ORIENTED_EDGE('',*,*,#198547,.T.); #263077=ORIENTED_EDGE('',*,*,#198549,.F.); #263078=ORIENTED_EDGE('',*,*,#198550,.F.); #263079=ORIENTED_EDGE('',*,*,#198551,.T.); #263080=ORIENTED_EDGE('',*,*,#198550,.T.); #263081=ORIENTED_EDGE('',*,*,#198552,.F.); #263082=ORIENTED_EDGE('',*,*,#198553,.F.); #263083=ORIENTED_EDGE('',*,*,#198554,.T.); #263084=ORIENTED_EDGE('',*,*,#198553,.T.); #263085=ORIENTED_EDGE('',*,*,#198555,.F.); #263086=ORIENTED_EDGE('',*,*,#198556,.F.); #263087=ORIENTED_EDGE('',*,*,#198557,.T.); #263088=ORIENTED_EDGE('',*,*,#198556,.T.); #263089=ORIENTED_EDGE('',*,*,#198558,.F.); #263090=ORIENTED_EDGE('',*,*,#198559,.F.); #263091=ORIENTED_EDGE('',*,*,#198560,.T.); #263092=ORIENTED_EDGE('',*,*,#198559,.T.); #263093=ORIENTED_EDGE('',*,*,#198561,.F.); #263094=ORIENTED_EDGE('',*,*,#198562,.F.); #263095=ORIENTED_EDGE('',*,*,#198563,.T.); #263096=ORIENTED_EDGE('',*,*,#198562,.T.); #263097=ORIENTED_EDGE('',*,*,#198564,.F.); #263098=ORIENTED_EDGE('',*,*,#198503,.F.); #263099=ORIENTED_EDGE('',*,*,#198564,.T.); #263100=ORIENTED_EDGE('',*,*,#198561,.T.); #263101=ORIENTED_EDGE('',*,*,#198558,.T.); #263102=ORIENTED_EDGE('',*,*,#198555,.T.); #263103=ORIENTED_EDGE('',*,*,#198552,.T.); #263104=ORIENTED_EDGE('',*,*,#198549,.T.); #263105=ORIENTED_EDGE('',*,*,#198546,.T.); #263106=ORIENTED_EDGE('',*,*,#198543,.T.); #263107=ORIENTED_EDGE('',*,*,#198540,.T.); #263108=ORIENTED_EDGE('',*,*,#198537,.T.); #263109=ORIENTED_EDGE('',*,*,#198534,.T.); #263110=ORIENTED_EDGE('',*,*,#198531,.T.); #263111=ORIENTED_EDGE('',*,*,#198528,.T.); #263112=ORIENTED_EDGE('',*,*,#198525,.T.); #263113=ORIENTED_EDGE('',*,*,#198522,.T.); #263114=ORIENTED_EDGE('',*,*,#198519,.T.); #263115=ORIENTED_EDGE('',*,*,#198516,.T.); #263116=ORIENTED_EDGE('',*,*,#198513,.T.); #263117=ORIENTED_EDGE('',*,*,#198510,.T.); #263118=ORIENTED_EDGE('',*,*,#198507,.T.); #263119=ORIENTED_EDGE('',*,*,#198504,.T.); #263120=ORIENTED_EDGE('',*,*,#198563,.F.); #263121=ORIENTED_EDGE('',*,*,#198502,.F.); #263122=ORIENTED_EDGE('',*,*,#198506,.F.); #263123=ORIENTED_EDGE('',*,*,#198509,.F.); #263124=ORIENTED_EDGE('',*,*,#198512,.F.); #263125=ORIENTED_EDGE('',*,*,#198515,.F.); #263126=ORIENTED_EDGE('',*,*,#198518,.F.); #263127=ORIENTED_EDGE('',*,*,#198521,.F.); #263128=ORIENTED_EDGE('',*,*,#198524,.F.); #263129=ORIENTED_EDGE('',*,*,#198527,.F.); #263130=ORIENTED_EDGE('',*,*,#198530,.F.); #263131=ORIENTED_EDGE('',*,*,#198533,.F.); #263132=ORIENTED_EDGE('',*,*,#198536,.F.); #263133=ORIENTED_EDGE('',*,*,#198539,.F.); #263134=ORIENTED_EDGE('',*,*,#198542,.F.); #263135=ORIENTED_EDGE('',*,*,#198545,.F.); #263136=ORIENTED_EDGE('',*,*,#198548,.F.); #263137=ORIENTED_EDGE('',*,*,#198551,.F.); #263138=ORIENTED_EDGE('',*,*,#198554,.F.); #263139=ORIENTED_EDGE('',*,*,#198557,.F.); #263140=ORIENTED_EDGE('',*,*,#198560,.F.); #263141=ORIENTED_EDGE('',*,*,#198565,.T.); #263142=ORIENTED_EDGE('',*,*,#198566,.T.); #263143=ORIENTED_EDGE('',*,*,#198567,.F.); #263144=ORIENTED_EDGE('',*,*,#198568,.F.); #263145=ORIENTED_EDGE('',*,*,#198569,.T.); #263146=ORIENTED_EDGE('',*,*,#198568,.T.); #263147=ORIENTED_EDGE('',*,*,#198570,.F.); #263148=ORIENTED_EDGE('',*,*,#198571,.F.); #263149=ORIENTED_EDGE('',*,*,#198572,.T.); #263150=ORIENTED_EDGE('',*,*,#198571,.T.); #263151=ORIENTED_EDGE('',*,*,#198573,.F.); #263152=ORIENTED_EDGE('',*,*,#198574,.F.); #263153=ORIENTED_EDGE('',*,*,#198575,.T.); #263154=ORIENTED_EDGE('',*,*,#198574,.T.); #263155=ORIENTED_EDGE('',*,*,#198576,.F.); #263156=ORIENTED_EDGE('',*,*,#198577,.F.); #263157=ORIENTED_EDGE('',*,*,#198578,.T.); #263158=ORIENTED_EDGE('',*,*,#198577,.T.); #263159=ORIENTED_EDGE('',*,*,#198579,.F.); #263160=ORIENTED_EDGE('',*,*,#198580,.F.); #263161=ORIENTED_EDGE('',*,*,#198581,.T.); #263162=ORIENTED_EDGE('',*,*,#198580,.T.); #263163=ORIENTED_EDGE('',*,*,#198582,.F.); #263164=ORIENTED_EDGE('',*,*,#198583,.F.); #263165=ORIENTED_EDGE('',*,*,#198584,.T.); #263166=ORIENTED_EDGE('',*,*,#198583,.T.); #263167=ORIENTED_EDGE('',*,*,#198585,.F.); #263168=ORIENTED_EDGE('',*,*,#198586,.F.); #263169=ORIENTED_EDGE('',*,*,#198587,.T.); #263170=ORIENTED_EDGE('',*,*,#198586,.T.); #263171=ORIENTED_EDGE('',*,*,#198588,.F.); #263172=ORIENTED_EDGE('',*,*,#198589,.F.); #263173=ORIENTED_EDGE('',*,*,#198590,.T.); #263174=ORIENTED_EDGE('',*,*,#198589,.T.); #263175=ORIENTED_EDGE('',*,*,#198591,.F.); #263176=ORIENTED_EDGE('',*,*,#198592,.F.); #263177=ORIENTED_EDGE('',*,*,#198593,.T.); #263178=ORIENTED_EDGE('',*,*,#198592,.T.); #263179=ORIENTED_EDGE('',*,*,#198594,.F.); #263180=ORIENTED_EDGE('',*,*,#198595,.F.); #263181=ORIENTED_EDGE('',*,*,#198596,.T.); #263182=ORIENTED_EDGE('',*,*,#198595,.T.); #263183=ORIENTED_EDGE('',*,*,#198597,.F.); #263184=ORIENTED_EDGE('',*,*,#198598,.F.); #263185=ORIENTED_EDGE('',*,*,#198599,.T.); #263186=ORIENTED_EDGE('',*,*,#198598,.T.); #263187=ORIENTED_EDGE('',*,*,#198600,.F.); #263188=ORIENTED_EDGE('',*,*,#198601,.F.); #263189=ORIENTED_EDGE('',*,*,#198602,.T.); #263190=ORIENTED_EDGE('',*,*,#198601,.T.); #263191=ORIENTED_EDGE('',*,*,#198603,.F.); #263192=ORIENTED_EDGE('',*,*,#198604,.F.); #263193=ORIENTED_EDGE('',*,*,#198605,.T.); #263194=ORIENTED_EDGE('',*,*,#198604,.T.); #263195=ORIENTED_EDGE('',*,*,#198606,.F.); #263196=ORIENTED_EDGE('',*,*,#198607,.F.); #263197=ORIENTED_EDGE('',*,*,#198608,.T.); #263198=ORIENTED_EDGE('',*,*,#198607,.T.); #263199=ORIENTED_EDGE('',*,*,#198609,.F.); #263200=ORIENTED_EDGE('',*,*,#198610,.F.); #263201=ORIENTED_EDGE('',*,*,#198611,.T.); #263202=ORIENTED_EDGE('',*,*,#198610,.T.); #263203=ORIENTED_EDGE('',*,*,#198612,.F.); #263204=ORIENTED_EDGE('',*,*,#198613,.F.); #263205=ORIENTED_EDGE('',*,*,#198614,.T.); #263206=ORIENTED_EDGE('',*,*,#198613,.T.); #263207=ORIENTED_EDGE('',*,*,#198615,.F.); #263208=ORIENTED_EDGE('',*,*,#198616,.F.); #263209=ORIENTED_EDGE('',*,*,#198617,.T.); #263210=ORIENTED_EDGE('',*,*,#198616,.T.); #263211=ORIENTED_EDGE('',*,*,#198618,.F.); #263212=ORIENTED_EDGE('',*,*,#198619,.F.); #263213=ORIENTED_EDGE('',*,*,#198620,.T.); #263214=ORIENTED_EDGE('',*,*,#198619,.T.); #263215=ORIENTED_EDGE('',*,*,#198621,.F.); #263216=ORIENTED_EDGE('',*,*,#198622,.F.); #263217=ORIENTED_EDGE('',*,*,#198623,.T.); #263218=ORIENTED_EDGE('',*,*,#198622,.T.); #263219=ORIENTED_EDGE('',*,*,#198624,.F.); #263220=ORIENTED_EDGE('',*,*,#198625,.F.); #263221=ORIENTED_EDGE('',*,*,#198626,.T.); #263222=ORIENTED_EDGE('',*,*,#198625,.T.); #263223=ORIENTED_EDGE('',*,*,#198627,.F.); #263224=ORIENTED_EDGE('',*,*,#198566,.F.); #263225=ORIENTED_EDGE('',*,*,#198627,.T.); #263226=ORIENTED_EDGE('',*,*,#198624,.T.); #263227=ORIENTED_EDGE('',*,*,#198621,.T.); #263228=ORIENTED_EDGE('',*,*,#198618,.T.); #263229=ORIENTED_EDGE('',*,*,#198615,.T.); #263230=ORIENTED_EDGE('',*,*,#198612,.T.); #263231=ORIENTED_EDGE('',*,*,#198609,.T.); #263232=ORIENTED_EDGE('',*,*,#198606,.T.); #263233=ORIENTED_EDGE('',*,*,#198603,.T.); #263234=ORIENTED_EDGE('',*,*,#198600,.T.); #263235=ORIENTED_EDGE('',*,*,#198597,.T.); #263236=ORIENTED_EDGE('',*,*,#198594,.T.); #263237=ORIENTED_EDGE('',*,*,#198591,.T.); #263238=ORIENTED_EDGE('',*,*,#198588,.T.); #263239=ORIENTED_EDGE('',*,*,#198585,.T.); #263240=ORIENTED_EDGE('',*,*,#198582,.T.); #263241=ORIENTED_EDGE('',*,*,#198579,.T.); #263242=ORIENTED_EDGE('',*,*,#198576,.T.); #263243=ORIENTED_EDGE('',*,*,#198573,.T.); #263244=ORIENTED_EDGE('',*,*,#198570,.T.); #263245=ORIENTED_EDGE('',*,*,#198567,.T.); #263246=ORIENTED_EDGE('',*,*,#198626,.F.); #263247=ORIENTED_EDGE('',*,*,#198565,.F.); #263248=ORIENTED_EDGE('',*,*,#198569,.F.); #263249=ORIENTED_EDGE('',*,*,#198572,.F.); #263250=ORIENTED_EDGE('',*,*,#198575,.F.); #263251=ORIENTED_EDGE('',*,*,#198578,.F.); #263252=ORIENTED_EDGE('',*,*,#198581,.F.); #263253=ORIENTED_EDGE('',*,*,#198584,.F.); #263254=ORIENTED_EDGE('',*,*,#198587,.F.); #263255=ORIENTED_EDGE('',*,*,#198590,.F.); #263256=ORIENTED_EDGE('',*,*,#198593,.F.); #263257=ORIENTED_EDGE('',*,*,#198596,.F.); #263258=ORIENTED_EDGE('',*,*,#198599,.F.); #263259=ORIENTED_EDGE('',*,*,#198602,.F.); #263260=ORIENTED_EDGE('',*,*,#198605,.F.); #263261=ORIENTED_EDGE('',*,*,#198608,.F.); #263262=ORIENTED_EDGE('',*,*,#198611,.F.); #263263=ORIENTED_EDGE('',*,*,#198614,.F.); #263264=ORIENTED_EDGE('',*,*,#198617,.F.); #263265=ORIENTED_EDGE('',*,*,#198620,.F.); #263266=ORIENTED_EDGE('',*,*,#198623,.F.); #263267=ORIENTED_EDGE('',*,*,#198628,.T.); #263268=ORIENTED_EDGE('',*,*,#198629,.T.); #263269=ORIENTED_EDGE('',*,*,#198630,.F.); #263270=ORIENTED_EDGE('',*,*,#198631,.F.); #263271=ORIENTED_EDGE('',*,*,#198632,.T.); #263272=ORIENTED_EDGE('',*,*,#198631,.T.); #263273=ORIENTED_EDGE('',*,*,#198633,.F.); #263274=ORIENTED_EDGE('',*,*,#198634,.F.); #263275=ORIENTED_EDGE('',*,*,#198635,.T.); #263276=ORIENTED_EDGE('',*,*,#198634,.T.); #263277=ORIENTED_EDGE('',*,*,#198636,.F.); #263278=ORIENTED_EDGE('',*,*,#198637,.F.); #263279=ORIENTED_EDGE('',*,*,#198638,.T.); #263280=ORIENTED_EDGE('',*,*,#198637,.T.); #263281=ORIENTED_EDGE('',*,*,#198639,.F.); #263282=ORIENTED_EDGE('',*,*,#198640,.F.); #263283=ORIENTED_EDGE('',*,*,#198641,.T.); #263284=ORIENTED_EDGE('',*,*,#198640,.T.); #263285=ORIENTED_EDGE('',*,*,#198642,.F.); #263286=ORIENTED_EDGE('',*,*,#198643,.F.); #263287=ORIENTED_EDGE('',*,*,#198644,.T.); #263288=ORIENTED_EDGE('',*,*,#198643,.T.); #263289=ORIENTED_EDGE('',*,*,#198645,.F.); #263290=ORIENTED_EDGE('',*,*,#198646,.F.); #263291=ORIENTED_EDGE('',*,*,#198647,.T.); #263292=ORIENTED_EDGE('',*,*,#198646,.T.); #263293=ORIENTED_EDGE('',*,*,#198648,.F.); #263294=ORIENTED_EDGE('',*,*,#198649,.F.); #263295=ORIENTED_EDGE('',*,*,#198650,.T.); #263296=ORIENTED_EDGE('',*,*,#198649,.T.); #263297=ORIENTED_EDGE('',*,*,#198651,.F.); #263298=ORIENTED_EDGE('',*,*,#198652,.F.); #263299=ORIENTED_EDGE('',*,*,#198653,.T.); #263300=ORIENTED_EDGE('',*,*,#198652,.T.); #263301=ORIENTED_EDGE('',*,*,#198654,.F.); #263302=ORIENTED_EDGE('',*,*,#198655,.F.); #263303=ORIENTED_EDGE('',*,*,#198656,.T.); #263304=ORIENTED_EDGE('',*,*,#198655,.T.); #263305=ORIENTED_EDGE('',*,*,#198657,.F.); #263306=ORIENTED_EDGE('',*,*,#198658,.F.); #263307=ORIENTED_EDGE('',*,*,#198659,.T.); #263308=ORIENTED_EDGE('',*,*,#198658,.T.); #263309=ORIENTED_EDGE('',*,*,#198660,.F.); #263310=ORIENTED_EDGE('',*,*,#198661,.F.); #263311=ORIENTED_EDGE('',*,*,#198662,.T.); #263312=ORIENTED_EDGE('',*,*,#198661,.T.); #263313=ORIENTED_EDGE('',*,*,#198663,.F.); #263314=ORIENTED_EDGE('',*,*,#198664,.F.); #263315=ORIENTED_EDGE('',*,*,#198665,.T.); #263316=ORIENTED_EDGE('',*,*,#198664,.T.); #263317=ORIENTED_EDGE('',*,*,#198666,.F.); #263318=ORIENTED_EDGE('',*,*,#198667,.F.); #263319=ORIENTED_EDGE('',*,*,#198668,.T.); #263320=ORIENTED_EDGE('',*,*,#198667,.T.); #263321=ORIENTED_EDGE('',*,*,#198669,.F.); #263322=ORIENTED_EDGE('',*,*,#198670,.F.); #263323=ORIENTED_EDGE('',*,*,#198671,.T.); #263324=ORIENTED_EDGE('',*,*,#198670,.T.); #263325=ORIENTED_EDGE('',*,*,#198672,.F.); #263326=ORIENTED_EDGE('',*,*,#198673,.F.); #263327=ORIENTED_EDGE('',*,*,#198674,.T.); #263328=ORIENTED_EDGE('',*,*,#198673,.T.); #263329=ORIENTED_EDGE('',*,*,#198675,.F.); #263330=ORIENTED_EDGE('',*,*,#198676,.F.); #263331=ORIENTED_EDGE('',*,*,#198677,.T.); #263332=ORIENTED_EDGE('',*,*,#198676,.T.); #263333=ORIENTED_EDGE('',*,*,#198678,.F.); #263334=ORIENTED_EDGE('',*,*,#198679,.F.); #263335=ORIENTED_EDGE('',*,*,#198680,.T.); #263336=ORIENTED_EDGE('',*,*,#198679,.T.); #263337=ORIENTED_EDGE('',*,*,#198681,.F.); #263338=ORIENTED_EDGE('',*,*,#198682,.F.); #263339=ORIENTED_EDGE('',*,*,#198683,.T.); #263340=ORIENTED_EDGE('',*,*,#198682,.T.); #263341=ORIENTED_EDGE('',*,*,#198684,.F.); #263342=ORIENTED_EDGE('',*,*,#198629,.F.); #263343=ORIENTED_EDGE('',*,*,#198684,.T.); #263344=ORIENTED_EDGE('',*,*,#198681,.T.); #263345=ORIENTED_EDGE('',*,*,#198678,.T.); #263346=ORIENTED_EDGE('',*,*,#198675,.T.); #263347=ORIENTED_EDGE('',*,*,#198672,.T.); #263348=ORIENTED_EDGE('',*,*,#198669,.T.); #263349=ORIENTED_EDGE('',*,*,#198666,.T.); #263350=ORIENTED_EDGE('',*,*,#198663,.T.); #263351=ORIENTED_EDGE('',*,*,#198660,.T.); #263352=ORIENTED_EDGE('',*,*,#198657,.T.); #263353=ORIENTED_EDGE('',*,*,#198654,.T.); #263354=ORIENTED_EDGE('',*,*,#198651,.T.); #263355=ORIENTED_EDGE('',*,*,#198648,.T.); #263356=ORIENTED_EDGE('',*,*,#198645,.T.); #263357=ORIENTED_EDGE('',*,*,#198642,.T.); #263358=ORIENTED_EDGE('',*,*,#198639,.T.); #263359=ORIENTED_EDGE('',*,*,#198636,.T.); #263360=ORIENTED_EDGE('',*,*,#198633,.T.); #263361=ORIENTED_EDGE('',*,*,#198630,.T.); #263362=ORIENTED_EDGE('',*,*,#198683,.F.); #263363=ORIENTED_EDGE('',*,*,#198628,.F.); #263364=ORIENTED_EDGE('',*,*,#198632,.F.); #263365=ORIENTED_EDGE('',*,*,#198635,.F.); #263366=ORIENTED_EDGE('',*,*,#198638,.F.); #263367=ORIENTED_EDGE('',*,*,#198641,.F.); #263368=ORIENTED_EDGE('',*,*,#198644,.F.); #263369=ORIENTED_EDGE('',*,*,#198647,.F.); #263370=ORIENTED_EDGE('',*,*,#198650,.F.); #263371=ORIENTED_EDGE('',*,*,#198653,.F.); #263372=ORIENTED_EDGE('',*,*,#198656,.F.); #263373=ORIENTED_EDGE('',*,*,#198659,.F.); #263374=ORIENTED_EDGE('',*,*,#198662,.F.); #263375=ORIENTED_EDGE('',*,*,#198665,.F.); #263376=ORIENTED_EDGE('',*,*,#198668,.F.); #263377=ORIENTED_EDGE('',*,*,#198671,.F.); #263378=ORIENTED_EDGE('',*,*,#198674,.F.); #263379=ORIENTED_EDGE('',*,*,#198677,.F.); #263380=ORIENTED_EDGE('',*,*,#198680,.F.); #263381=ORIENTED_EDGE('',*,*,#198685,.T.); #263382=ORIENTED_EDGE('',*,*,#198686,.T.); #263383=ORIENTED_EDGE('',*,*,#198687,.F.); #263384=ORIENTED_EDGE('',*,*,#198688,.F.); #263385=ORIENTED_EDGE('',*,*,#198689,.T.); #263386=ORIENTED_EDGE('',*,*,#198688,.T.); #263387=ORIENTED_EDGE('',*,*,#198690,.F.); #263388=ORIENTED_EDGE('',*,*,#198691,.F.); #263389=ORIENTED_EDGE('',*,*,#198692,.T.); #263390=ORIENTED_EDGE('',*,*,#198691,.T.); #263391=ORIENTED_EDGE('',*,*,#198693,.F.); #263392=ORIENTED_EDGE('',*,*,#198694,.F.); #263393=ORIENTED_EDGE('',*,*,#198695,.T.); #263394=ORIENTED_EDGE('',*,*,#198694,.T.); #263395=ORIENTED_EDGE('',*,*,#198696,.F.); #263396=ORIENTED_EDGE('',*,*,#198697,.F.); #263397=ORIENTED_EDGE('',*,*,#198698,.T.); #263398=ORIENTED_EDGE('',*,*,#198697,.T.); #263399=ORIENTED_EDGE('',*,*,#198699,.F.); #263400=ORIENTED_EDGE('',*,*,#198700,.F.); #263401=ORIENTED_EDGE('',*,*,#198701,.T.); #263402=ORIENTED_EDGE('',*,*,#198700,.T.); #263403=ORIENTED_EDGE('',*,*,#198702,.F.); #263404=ORIENTED_EDGE('',*,*,#198703,.F.); #263405=ORIENTED_EDGE('',*,*,#198704,.T.); #263406=ORIENTED_EDGE('',*,*,#198703,.T.); #263407=ORIENTED_EDGE('',*,*,#198705,.F.); #263408=ORIENTED_EDGE('',*,*,#198706,.F.); #263409=ORIENTED_EDGE('',*,*,#198707,.T.); #263410=ORIENTED_EDGE('',*,*,#198706,.T.); #263411=ORIENTED_EDGE('',*,*,#198708,.F.); #263412=ORIENTED_EDGE('',*,*,#198709,.F.); #263413=ORIENTED_EDGE('',*,*,#198710,.T.); #263414=ORIENTED_EDGE('',*,*,#198709,.T.); #263415=ORIENTED_EDGE('',*,*,#198711,.F.); #263416=ORIENTED_EDGE('',*,*,#198712,.F.); #263417=ORIENTED_EDGE('',*,*,#198713,.T.); #263418=ORIENTED_EDGE('',*,*,#198712,.T.); #263419=ORIENTED_EDGE('',*,*,#198714,.F.); #263420=ORIENTED_EDGE('',*,*,#198715,.F.); #263421=ORIENTED_EDGE('',*,*,#198716,.T.); #263422=ORIENTED_EDGE('',*,*,#198715,.T.); #263423=ORIENTED_EDGE('',*,*,#198717,.F.); #263424=ORIENTED_EDGE('',*,*,#198718,.F.); #263425=ORIENTED_EDGE('',*,*,#198719,.T.); #263426=ORIENTED_EDGE('',*,*,#198718,.T.); #263427=ORIENTED_EDGE('',*,*,#198720,.F.); #263428=ORIENTED_EDGE('',*,*,#198721,.F.); #263429=ORIENTED_EDGE('',*,*,#198722,.T.); #263430=ORIENTED_EDGE('',*,*,#198721,.T.); #263431=ORIENTED_EDGE('',*,*,#198723,.F.); #263432=ORIENTED_EDGE('',*,*,#198724,.F.); #263433=ORIENTED_EDGE('',*,*,#198725,.T.); #263434=ORIENTED_EDGE('',*,*,#198724,.T.); #263435=ORIENTED_EDGE('',*,*,#198726,.F.); #263436=ORIENTED_EDGE('',*,*,#198727,.F.); #263437=ORIENTED_EDGE('',*,*,#198728,.T.); #263438=ORIENTED_EDGE('',*,*,#198727,.T.); #263439=ORIENTED_EDGE('',*,*,#198729,.F.); #263440=ORIENTED_EDGE('',*,*,#198730,.F.); #263441=ORIENTED_EDGE('',*,*,#198731,.T.); #263442=ORIENTED_EDGE('',*,*,#198730,.T.); #263443=ORIENTED_EDGE('',*,*,#198732,.F.); #263444=ORIENTED_EDGE('',*,*,#198733,.F.); #263445=ORIENTED_EDGE('',*,*,#198734,.T.); #263446=ORIENTED_EDGE('',*,*,#198733,.T.); #263447=ORIENTED_EDGE('',*,*,#198735,.F.); #263448=ORIENTED_EDGE('',*,*,#198736,.F.); #263449=ORIENTED_EDGE('',*,*,#198737,.T.); #263450=ORIENTED_EDGE('',*,*,#198736,.T.); #263451=ORIENTED_EDGE('',*,*,#198738,.F.); #263452=ORIENTED_EDGE('',*,*,#198739,.F.); #263453=ORIENTED_EDGE('',*,*,#198740,.T.); #263454=ORIENTED_EDGE('',*,*,#198739,.T.); #263455=ORIENTED_EDGE('',*,*,#198741,.F.); #263456=ORIENTED_EDGE('',*,*,#198686,.F.); #263457=ORIENTED_EDGE('',*,*,#198741,.T.); #263458=ORIENTED_EDGE('',*,*,#198738,.T.); #263459=ORIENTED_EDGE('',*,*,#198735,.T.); #263460=ORIENTED_EDGE('',*,*,#198732,.T.); #263461=ORIENTED_EDGE('',*,*,#198729,.T.); #263462=ORIENTED_EDGE('',*,*,#198726,.T.); #263463=ORIENTED_EDGE('',*,*,#198723,.T.); #263464=ORIENTED_EDGE('',*,*,#198720,.T.); #263465=ORIENTED_EDGE('',*,*,#198717,.T.); #263466=ORIENTED_EDGE('',*,*,#198714,.T.); #263467=ORIENTED_EDGE('',*,*,#198711,.T.); #263468=ORIENTED_EDGE('',*,*,#198708,.T.); #263469=ORIENTED_EDGE('',*,*,#198705,.T.); #263470=ORIENTED_EDGE('',*,*,#198702,.T.); #263471=ORIENTED_EDGE('',*,*,#198699,.T.); #263472=ORIENTED_EDGE('',*,*,#198696,.T.); #263473=ORIENTED_EDGE('',*,*,#198693,.T.); #263474=ORIENTED_EDGE('',*,*,#198690,.T.); #263475=ORIENTED_EDGE('',*,*,#198687,.T.); #263476=ORIENTED_EDGE('',*,*,#198740,.F.); #263477=ORIENTED_EDGE('',*,*,#198685,.F.); #263478=ORIENTED_EDGE('',*,*,#198689,.F.); #263479=ORIENTED_EDGE('',*,*,#198692,.F.); #263480=ORIENTED_EDGE('',*,*,#198695,.F.); #263481=ORIENTED_EDGE('',*,*,#198698,.F.); #263482=ORIENTED_EDGE('',*,*,#198701,.F.); #263483=ORIENTED_EDGE('',*,*,#198704,.F.); #263484=ORIENTED_EDGE('',*,*,#198707,.F.); #263485=ORIENTED_EDGE('',*,*,#198710,.F.); #263486=ORIENTED_EDGE('',*,*,#198713,.F.); #263487=ORIENTED_EDGE('',*,*,#198716,.F.); #263488=ORIENTED_EDGE('',*,*,#198719,.F.); #263489=ORIENTED_EDGE('',*,*,#198722,.F.); #263490=ORIENTED_EDGE('',*,*,#198725,.F.); #263491=ORIENTED_EDGE('',*,*,#198728,.F.); #263492=ORIENTED_EDGE('',*,*,#198731,.F.); #263493=ORIENTED_EDGE('',*,*,#198734,.F.); #263494=ORIENTED_EDGE('',*,*,#198737,.F.); #263495=ORIENTED_EDGE('',*,*,#198742,.T.); #263496=ORIENTED_EDGE('',*,*,#198743,.T.); #263497=ORIENTED_EDGE('',*,*,#198744,.F.); #263498=ORIENTED_EDGE('',*,*,#198745,.F.); #263499=ORIENTED_EDGE('',*,*,#198746,.T.); #263500=ORIENTED_EDGE('',*,*,#198745,.T.); #263501=ORIENTED_EDGE('',*,*,#198747,.F.); #263502=ORIENTED_EDGE('',*,*,#198748,.F.); #263503=ORIENTED_EDGE('',*,*,#198749,.T.); #263504=ORIENTED_EDGE('',*,*,#198748,.T.); #263505=ORIENTED_EDGE('',*,*,#198750,.F.); #263506=ORIENTED_EDGE('',*,*,#198751,.F.); #263507=ORIENTED_EDGE('',*,*,#198752,.T.); #263508=ORIENTED_EDGE('',*,*,#198751,.T.); #263509=ORIENTED_EDGE('',*,*,#198753,.F.); #263510=ORIENTED_EDGE('',*,*,#198754,.F.); #263511=ORIENTED_EDGE('',*,*,#198755,.T.); #263512=ORIENTED_EDGE('',*,*,#198754,.T.); #263513=ORIENTED_EDGE('',*,*,#198756,.F.); #263514=ORIENTED_EDGE('',*,*,#198757,.F.); #263515=ORIENTED_EDGE('',*,*,#198758,.T.); #263516=ORIENTED_EDGE('',*,*,#198757,.T.); #263517=ORIENTED_EDGE('',*,*,#198759,.F.); #263518=ORIENTED_EDGE('',*,*,#198760,.F.); #263519=ORIENTED_EDGE('',*,*,#198761,.T.); #263520=ORIENTED_EDGE('',*,*,#198760,.T.); #263521=ORIENTED_EDGE('',*,*,#198762,.F.); #263522=ORIENTED_EDGE('',*,*,#198763,.F.); #263523=ORIENTED_EDGE('',*,*,#198764,.T.); #263524=ORIENTED_EDGE('',*,*,#198763,.T.); #263525=ORIENTED_EDGE('',*,*,#198765,.F.); #263526=ORIENTED_EDGE('',*,*,#198766,.F.); #263527=ORIENTED_EDGE('',*,*,#198767,.T.); #263528=ORIENTED_EDGE('',*,*,#198766,.T.); #263529=ORIENTED_EDGE('',*,*,#198768,.F.); #263530=ORIENTED_EDGE('',*,*,#198769,.F.); #263531=ORIENTED_EDGE('',*,*,#198770,.T.); #263532=ORIENTED_EDGE('',*,*,#198769,.T.); #263533=ORIENTED_EDGE('',*,*,#198771,.F.); #263534=ORIENTED_EDGE('',*,*,#198772,.F.); #263535=ORIENTED_EDGE('',*,*,#198773,.T.); #263536=ORIENTED_EDGE('',*,*,#198772,.T.); #263537=ORIENTED_EDGE('',*,*,#198774,.F.); #263538=ORIENTED_EDGE('',*,*,#198775,.F.); #263539=ORIENTED_EDGE('',*,*,#198776,.T.); #263540=ORIENTED_EDGE('',*,*,#198775,.T.); #263541=ORIENTED_EDGE('',*,*,#198777,.F.); #263542=ORIENTED_EDGE('',*,*,#198778,.F.); #263543=ORIENTED_EDGE('',*,*,#198779,.T.); #263544=ORIENTED_EDGE('',*,*,#198778,.T.); #263545=ORIENTED_EDGE('',*,*,#198780,.F.); #263546=ORIENTED_EDGE('',*,*,#198781,.F.); #263547=ORIENTED_EDGE('',*,*,#198782,.T.); #263548=ORIENTED_EDGE('',*,*,#198781,.T.); #263549=ORIENTED_EDGE('',*,*,#198783,.F.); #263550=ORIENTED_EDGE('',*,*,#198784,.F.); #263551=ORIENTED_EDGE('',*,*,#198785,.T.); #263552=ORIENTED_EDGE('',*,*,#198784,.T.); #263553=ORIENTED_EDGE('',*,*,#198786,.F.); #263554=ORIENTED_EDGE('',*,*,#198787,.F.); #263555=ORIENTED_EDGE('',*,*,#198788,.T.); #263556=ORIENTED_EDGE('',*,*,#198787,.T.); #263557=ORIENTED_EDGE('',*,*,#198789,.F.); #263558=ORIENTED_EDGE('',*,*,#198790,.F.); #263559=ORIENTED_EDGE('',*,*,#198791,.T.); #263560=ORIENTED_EDGE('',*,*,#198790,.T.); #263561=ORIENTED_EDGE('',*,*,#198792,.F.); #263562=ORIENTED_EDGE('',*,*,#198793,.F.); #263563=ORIENTED_EDGE('',*,*,#198794,.T.); #263564=ORIENTED_EDGE('',*,*,#198793,.T.); #263565=ORIENTED_EDGE('',*,*,#198795,.F.); #263566=ORIENTED_EDGE('',*,*,#198796,.F.); #263567=ORIENTED_EDGE('',*,*,#198797,.T.); #263568=ORIENTED_EDGE('',*,*,#198796,.T.); #263569=ORIENTED_EDGE('',*,*,#198798,.F.); #263570=ORIENTED_EDGE('',*,*,#198743,.F.); #263571=ORIENTED_EDGE('',*,*,#198798,.T.); #263572=ORIENTED_EDGE('',*,*,#198795,.T.); #263573=ORIENTED_EDGE('',*,*,#198792,.T.); #263574=ORIENTED_EDGE('',*,*,#198789,.T.); #263575=ORIENTED_EDGE('',*,*,#198786,.T.); #263576=ORIENTED_EDGE('',*,*,#198783,.T.); #263577=ORIENTED_EDGE('',*,*,#198780,.T.); #263578=ORIENTED_EDGE('',*,*,#198777,.T.); #263579=ORIENTED_EDGE('',*,*,#198774,.T.); #263580=ORIENTED_EDGE('',*,*,#198771,.T.); #263581=ORIENTED_EDGE('',*,*,#198768,.T.); #263582=ORIENTED_EDGE('',*,*,#198765,.T.); #263583=ORIENTED_EDGE('',*,*,#198762,.T.); #263584=ORIENTED_EDGE('',*,*,#198759,.T.); #263585=ORIENTED_EDGE('',*,*,#198756,.T.); #263586=ORIENTED_EDGE('',*,*,#198753,.T.); #263587=ORIENTED_EDGE('',*,*,#198750,.T.); #263588=ORIENTED_EDGE('',*,*,#198747,.T.); #263589=ORIENTED_EDGE('',*,*,#198744,.T.); #263590=ORIENTED_EDGE('',*,*,#198797,.F.); #263591=ORIENTED_EDGE('',*,*,#198742,.F.); #263592=ORIENTED_EDGE('',*,*,#198746,.F.); #263593=ORIENTED_EDGE('',*,*,#198749,.F.); #263594=ORIENTED_EDGE('',*,*,#198752,.F.); #263595=ORIENTED_EDGE('',*,*,#198755,.F.); #263596=ORIENTED_EDGE('',*,*,#198758,.F.); #263597=ORIENTED_EDGE('',*,*,#198761,.F.); #263598=ORIENTED_EDGE('',*,*,#198764,.F.); #263599=ORIENTED_EDGE('',*,*,#198767,.F.); #263600=ORIENTED_EDGE('',*,*,#198770,.F.); #263601=ORIENTED_EDGE('',*,*,#198773,.F.); #263602=ORIENTED_EDGE('',*,*,#198776,.F.); #263603=ORIENTED_EDGE('',*,*,#198779,.F.); #263604=ORIENTED_EDGE('',*,*,#198782,.F.); #263605=ORIENTED_EDGE('',*,*,#198785,.F.); #263606=ORIENTED_EDGE('',*,*,#198788,.F.); #263607=ORIENTED_EDGE('',*,*,#198791,.F.); #263608=ORIENTED_EDGE('',*,*,#198794,.F.); #263609=ORIENTED_EDGE('',*,*,#198799,.T.); #263610=ORIENTED_EDGE('',*,*,#198800,.T.); #263611=ORIENTED_EDGE('',*,*,#198801,.F.); #263612=ORIENTED_EDGE('',*,*,#198802,.F.); #263613=ORIENTED_EDGE('',*,*,#198803,.T.); #263614=ORIENTED_EDGE('',*,*,#198802,.T.); #263615=ORIENTED_EDGE('',*,*,#198804,.F.); #263616=ORIENTED_EDGE('',*,*,#198805,.F.); #263617=ORIENTED_EDGE('',*,*,#198806,.T.); #263618=ORIENTED_EDGE('',*,*,#198805,.T.); #263619=ORIENTED_EDGE('',*,*,#198807,.F.); #263620=ORIENTED_EDGE('',*,*,#198808,.F.); #263621=ORIENTED_EDGE('',*,*,#198809,.T.); #263622=ORIENTED_EDGE('',*,*,#198808,.T.); #263623=ORIENTED_EDGE('',*,*,#198810,.F.); #263624=ORIENTED_EDGE('',*,*,#198811,.F.); #263625=ORIENTED_EDGE('',*,*,#198812,.T.); #263626=ORIENTED_EDGE('',*,*,#198811,.T.); #263627=ORIENTED_EDGE('',*,*,#198813,.F.); #263628=ORIENTED_EDGE('',*,*,#198814,.F.); #263629=ORIENTED_EDGE('',*,*,#198815,.T.); #263630=ORIENTED_EDGE('',*,*,#198814,.T.); #263631=ORIENTED_EDGE('',*,*,#198816,.F.); #263632=ORIENTED_EDGE('',*,*,#198817,.F.); #263633=ORIENTED_EDGE('',*,*,#198818,.T.); #263634=ORIENTED_EDGE('',*,*,#198817,.T.); #263635=ORIENTED_EDGE('',*,*,#198819,.F.); #263636=ORIENTED_EDGE('',*,*,#198820,.F.); #263637=ORIENTED_EDGE('',*,*,#198821,.T.); #263638=ORIENTED_EDGE('',*,*,#198820,.T.); #263639=ORIENTED_EDGE('',*,*,#198822,.F.); #263640=ORIENTED_EDGE('',*,*,#198823,.F.); #263641=ORIENTED_EDGE('',*,*,#198824,.T.); #263642=ORIENTED_EDGE('',*,*,#198823,.T.); #263643=ORIENTED_EDGE('',*,*,#198825,.F.); #263644=ORIENTED_EDGE('',*,*,#198826,.F.); #263645=ORIENTED_EDGE('',*,*,#198827,.T.); #263646=ORIENTED_EDGE('',*,*,#198826,.T.); #263647=ORIENTED_EDGE('',*,*,#198828,.F.); #263648=ORIENTED_EDGE('',*,*,#198829,.F.); #263649=ORIENTED_EDGE('',*,*,#198830,.T.); #263650=ORIENTED_EDGE('',*,*,#198829,.T.); #263651=ORIENTED_EDGE('',*,*,#198831,.F.); #263652=ORIENTED_EDGE('',*,*,#198832,.F.); #263653=ORIENTED_EDGE('',*,*,#198833,.T.); #263654=ORIENTED_EDGE('',*,*,#198832,.T.); #263655=ORIENTED_EDGE('',*,*,#198834,.F.); #263656=ORIENTED_EDGE('',*,*,#198835,.F.); #263657=ORIENTED_EDGE('',*,*,#198836,.T.); #263658=ORIENTED_EDGE('',*,*,#198835,.T.); #263659=ORIENTED_EDGE('',*,*,#198837,.F.); #263660=ORIENTED_EDGE('',*,*,#198838,.F.); #263661=ORIENTED_EDGE('',*,*,#198839,.T.); #263662=ORIENTED_EDGE('',*,*,#198838,.T.); #263663=ORIENTED_EDGE('',*,*,#198840,.F.); #263664=ORIENTED_EDGE('',*,*,#198841,.F.); #263665=ORIENTED_EDGE('',*,*,#198842,.T.); #263666=ORIENTED_EDGE('',*,*,#198841,.T.); #263667=ORIENTED_EDGE('',*,*,#198843,.F.); #263668=ORIENTED_EDGE('',*,*,#198844,.F.); #263669=ORIENTED_EDGE('',*,*,#198845,.T.); #263670=ORIENTED_EDGE('',*,*,#198844,.T.); #263671=ORIENTED_EDGE('',*,*,#198846,.F.); #263672=ORIENTED_EDGE('',*,*,#198847,.F.); #263673=ORIENTED_EDGE('',*,*,#198848,.T.); #263674=ORIENTED_EDGE('',*,*,#198847,.T.); #263675=ORIENTED_EDGE('',*,*,#198849,.F.); #263676=ORIENTED_EDGE('',*,*,#198850,.F.); #263677=ORIENTED_EDGE('',*,*,#198851,.T.); #263678=ORIENTED_EDGE('',*,*,#198850,.T.); #263679=ORIENTED_EDGE('',*,*,#198852,.F.); #263680=ORIENTED_EDGE('',*,*,#198853,.F.); #263681=ORIENTED_EDGE('',*,*,#198854,.T.); #263682=ORIENTED_EDGE('',*,*,#198853,.T.); #263683=ORIENTED_EDGE('',*,*,#198855,.F.); #263684=ORIENTED_EDGE('',*,*,#198856,.F.); #263685=ORIENTED_EDGE('',*,*,#198857,.T.); #263686=ORIENTED_EDGE('',*,*,#198856,.T.); #263687=ORIENTED_EDGE('',*,*,#198858,.F.); #263688=ORIENTED_EDGE('',*,*,#198859,.F.); #263689=ORIENTED_EDGE('',*,*,#198860,.T.); #263690=ORIENTED_EDGE('',*,*,#198859,.T.); #263691=ORIENTED_EDGE('',*,*,#198861,.F.); #263692=ORIENTED_EDGE('',*,*,#198862,.F.); #263693=ORIENTED_EDGE('',*,*,#198863,.T.); #263694=ORIENTED_EDGE('',*,*,#198862,.T.); #263695=ORIENTED_EDGE('',*,*,#198864,.F.); #263696=ORIENTED_EDGE('',*,*,#198865,.F.); #263697=ORIENTED_EDGE('',*,*,#198866,.T.); #263698=ORIENTED_EDGE('',*,*,#198865,.T.); #263699=ORIENTED_EDGE('',*,*,#198867,.F.); #263700=ORIENTED_EDGE('',*,*,#198868,.F.); #263701=ORIENTED_EDGE('',*,*,#198869,.T.); #263702=ORIENTED_EDGE('',*,*,#198868,.T.); #263703=ORIENTED_EDGE('',*,*,#198870,.F.); #263704=ORIENTED_EDGE('',*,*,#198871,.F.); #263705=ORIENTED_EDGE('',*,*,#198872,.T.); #263706=ORIENTED_EDGE('',*,*,#198871,.T.); #263707=ORIENTED_EDGE('',*,*,#198873,.F.); #263708=ORIENTED_EDGE('',*,*,#198874,.F.); #263709=ORIENTED_EDGE('',*,*,#198875,.T.); #263710=ORIENTED_EDGE('',*,*,#198874,.T.); #263711=ORIENTED_EDGE('',*,*,#198876,.F.); #263712=ORIENTED_EDGE('',*,*,#198877,.F.); #263713=ORIENTED_EDGE('',*,*,#198878,.T.); #263714=ORIENTED_EDGE('',*,*,#198877,.T.); #263715=ORIENTED_EDGE('',*,*,#198879,.F.); #263716=ORIENTED_EDGE('',*,*,#198880,.F.); #263717=ORIENTED_EDGE('',*,*,#198881,.T.); #263718=ORIENTED_EDGE('',*,*,#198880,.T.); #263719=ORIENTED_EDGE('',*,*,#198882,.F.); #263720=ORIENTED_EDGE('',*,*,#198883,.F.); #263721=ORIENTED_EDGE('',*,*,#198884,.T.); #263722=ORIENTED_EDGE('',*,*,#198883,.T.); #263723=ORIENTED_EDGE('',*,*,#198885,.F.); #263724=ORIENTED_EDGE('',*,*,#198886,.F.); #263725=ORIENTED_EDGE('',*,*,#198887,.T.); #263726=ORIENTED_EDGE('',*,*,#198886,.T.); #263727=ORIENTED_EDGE('',*,*,#198888,.F.); #263728=ORIENTED_EDGE('',*,*,#198889,.F.); #263729=ORIENTED_EDGE('',*,*,#198890,.T.); #263730=ORIENTED_EDGE('',*,*,#198889,.T.); #263731=ORIENTED_EDGE('',*,*,#198891,.F.); #263732=ORIENTED_EDGE('',*,*,#198892,.F.); #263733=ORIENTED_EDGE('',*,*,#198893,.T.); #263734=ORIENTED_EDGE('',*,*,#198892,.T.); #263735=ORIENTED_EDGE('',*,*,#198894,.F.); #263736=ORIENTED_EDGE('',*,*,#198895,.F.); #263737=ORIENTED_EDGE('',*,*,#198896,.T.); #263738=ORIENTED_EDGE('',*,*,#198895,.T.); #263739=ORIENTED_EDGE('',*,*,#198897,.F.); #263740=ORIENTED_EDGE('',*,*,#198898,.F.); #263741=ORIENTED_EDGE('',*,*,#198899,.T.); #263742=ORIENTED_EDGE('',*,*,#198898,.T.); #263743=ORIENTED_EDGE('',*,*,#198900,.F.); #263744=ORIENTED_EDGE('',*,*,#198901,.F.); #263745=ORIENTED_EDGE('',*,*,#198902,.T.); #263746=ORIENTED_EDGE('',*,*,#198901,.T.); #263747=ORIENTED_EDGE('',*,*,#198903,.F.); #263748=ORIENTED_EDGE('',*,*,#198904,.F.); #263749=ORIENTED_EDGE('',*,*,#198905,.T.); #263750=ORIENTED_EDGE('',*,*,#198904,.T.); #263751=ORIENTED_EDGE('',*,*,#198906,.F.); #263752=ORIENTED_EDGE('',*,*,#198907,.F.); #263753=ORIENTED_EDGE('',*,*,#198908,.T.); #263754=ORIENTED_EDGE('',*,*,#198907,.T.); #263755=ORIENTED_EDGE('',*,*,#198909,.F.); #263756=ORIENTED_EDGE('',*,*,#198800,.F.); #263757=ORIENTED_EDGE('',*,*,#198909,.T.); #263758=ORIENTED_EDGE('',*,*,#198906,.T.); #263759=ORIENTED_EDGE('',*,*,#198903,.T.); #263760=ORIENTED_EDGE('',*,*,#198900,.T.); #263761=ORIENTED_EDGE('',*,*,#198897,.T.); #263762=ORIENTED_EDGE('',*,*,#198894,.T.); #263763=ORIENTED_EDGE('',*,*,#198891,.T.); #263764=ORIENTED_EDGE('',*,*,#198888,.T.); #263765=ORIENTED_EDGE('',*,*,#198885,.T.); #263766=ORIENTED_EDGE('',*,*,#198882,.T.); #263767=ORIENTED_EDGE('',*,*,#198879,.T.); #263768=ORIENTED_EDGE('',*,*,#198876,.T.); #263769=ORIENTED_EDGE('',*,*,#198873,.T.); #263770=ORIENTED_EDGE('',*,*,#198870,.T.); #263771=ORIENTED_EDGE('',*,*,#198867,.T.); #263772=ORIENTED_EDGE('',*,*,#198864,.T.); #263773=ORIENTED_EDGE('',*,*,#198861,.T.); #263774=ORIENTED_EDGE('',*,*,#198858,.T.); #263775=ORIENTED_EDGE('',*,*,#198855,.T.); #263776=ORIENTED_EDGE('',*,*,#198852,.T.); #263777=ORIENTED_EDGE('',*,*,#198849,.T.); #263778=ORIENTED_EDGE('',*,*,#198846,.T.); #263779=ORIENTED_EDGE('',*,*,#198843,.T.); #263780=ORIENTED_EDGE('',*,*,#198840,.T.); #263781=ORIENTED_EDGE('',*,*,#198837,.T.); #263782=ORIENTED_EDGE('',*,*,#198834,.T.); #263783=ORIENTED_EDGE('',*,*,#198831,.T.); #263784=ORIENTED_EDGE('',*,*,#198828,.T.); #263785=ORIENTED_EDGE('',*,*,#198825,.T.); #263786=ORIENTED_EDGE('',*,*,#198822,.T.); #263787=ORIENTED_EDGE('',*,*,#198819,.T.); #263788=ORIENTED_EDGE('',*,*,#198816,.T.); #263789=ORIENTED_EDGE('',*,*,#198813,.T.); #263790=ORIENTED_EDGE('',*,*,#198810,.T.); #263791=ORIENTED_EDGE('',*,*,#198807,.T.); #263792=ORIENTED_EDGE('',*,*,#198804,.T.); #263793=ORIENTED_EDGE('',*,*,#198801,.T.); #263794=ORIENTED_EDGE('',*,*,#198908,.F.); #263795=ORIENTED_EDGE('',*,*,#198799,.F.); #263796=ORIENTED_EDGE('',*,*,#198803,.F.); #263797=ORIENTED_EDGE('',*,*,#198806,.F.); #263798=ORIENTED_EDGE('',*,*,#198809,.F.); #263799=ORIENTED_EDGE('',*,*,#198812,.F.); #263800=ORIENTED_EDGE('',*,*,#198815,.F.); #263801=ORIENTED_EDGE('',*,*,#198818,.F.); #263802=ORIENTED_EDGE('',*,*,#198821,.F.); #263803=ORIENTED_EDGE('',*,*,#198824,.F.); #263804=ORIENTED_EDGE('',*,*,#198827,.F.); #263805=ORIENTED_EDGE('',*,*,#198830,.F.); #263806=ORIENTED_EDGE('',*,*,#198833,.F.); #263807=ORIENTED_EDGE('',*,*,#198836,.F.); #263808=ORIENTED_EDGE('',*,*,#198839,.F.); #263809=ORIENTED_EDGE('',*,*,#198842,.F.); #263810=ORIENTED_EDGE('',*,*,#198845,.F.); #263811=ORIENTED_EDGE('',*,*,#198848,.F.); #263812=ORIENTED_EDGE('',*,*,#198851,.F.); #263813=ORIENTED_EDGE('',*,*,#198854,.F.); #263814=ORIENTED_EDGE('',*,*,#198857,.F.); #263815=ORIENTED_EDGE('',*,*,#198860,.F.); #263816=ORIENTED_EDGE('',*,*,#198863,.F.); #263817=ORIENTED_EDGE('',*,*,#198866,.F.); #263818=ORIENTED_EDGE('',*,*,#198869,.F.); #263819=ORIENTED_EDGE('',*,*,#198872,.F.); #263820=ORIENTED_EDGE('',*,*,#198875,.F.); #263821=ORIENTED_EDGE('',*,*,#198878,.F.); #263822=ORIENTED_EDGE('',*,*,#198881,.F.); #263823=ORIENTED_EDGE('',*,*,#198884,.F.); #263824=ORIENTED_EDGE('',*,*,#198887,.F.); #263825=ORIENTED_EDGE('',*,*,#198890,.F.); #263826=ORIENTED_EDGE('',*,*,#198893,.F.); #263827=ORIENTED_EDGE('',*,*,#198896,.F.); #263828=ORIENTED_EDGE('',*,*,#198899,.F.); #263829=ORIENTED_EDGE('',*,*,#198902,.F.); #263830=ORIENTED_EDGE('',*,*,#198905,.F.); #263831=ORIENTED_EDGE('',*,*,#198910,.T.); #263832=ORIENTED_EDGE('',*,*,#198911,.T.); #263833=ORIENTED_EDGE('',*,*,#198912,.F.); #263834=ORIENTED_EDGE('',*,*,#198913,.F.); #263835=ORIENTED_EDGE('',*,*,#198914,.T.); #263836=ORIENTED_EDGE('',*,*,#198913,.T.); #263837=ORIENTED_EDGE('',*,*,#198915,.F.); #263838=ORIENTED_EDGE('',*,*,#198916,.F.); #263839=ORIENTED_EDGE('',*,*,#198917,.T.); #263840=ORIENTED_EDGE('',*,*,#198916,.T.); #263841=ORIENTED_EDGE('',*,*,#198918,.F.); #263842=ORIENTED_EDGE('',*,*,#198919,.F.); #263843=ORIENTED_EDGE('',*,*,#198920,.T.); #263844=ORIENTED_EDGE('',*,*,#198919,.T.); #263845=ORIENTED_EDGE('',*,*,#198921,.F.); #263846=ORIENTED_EDGE('',*,*,#198922,.F.); #263847=ORIENTED_EDGE('',*,*,#198923,.T.); #263848=ORIENTED_EDGE('',*,*,#198922,.T.); #263849=ORIENTED_EDGE('',*,*,#198924,.F.); #263850=ORIENTED_EDGE('',*,*,#198925,.F.); #263851=ORIENTED_EDGE('',*,*,#198926,.T.); #263852=ORIENTED_EDGE('',*,*,#198925,.T.); #263853=ORIENTED_EDGE('',*,*,#198927,.F.); #263854=ORIENTED_EDGE('',*,*,#198928,.F.); #263855=ORIENTED_EDGE('',*,*,#198929,.T.); #263856=ORIENTED_EDGE('',*,*,#198928,.T.); #263857=ORIENTED_EDGE('',*,*,#198930,.F.); #263858=ORIENTED_EDGE('',*,*,#198931,.F.); #263859=ORIENTED_EDGE('',*,*,#198932,.T.); #263860=ORIENTED_EDGE('',*,*,#198931,.T.); #263861=ORIENTED_EDGE('',*,*,#198933,.F.); #263862=ORIENTED_EDGE('',*,*,#198934,.F.); #263863=ORIENTED_EDGE('',*,*,#198935,.T.); #263864=ORIENTED_EDGE('',*,*,#198934,.T.); #263865=ORIENTED_EDGE('',*,*,#198936,.F.); #263866=ORIENTED_EDGE('',*,*,#198937,.F.); #263867=ORIENTED_EDGE('',*,*,#198938,.T.); #263868=ORIENTED_EDGE('',*,*,#198937,.T.); #263869=ORIENTED_EDGE('',*,*,#198939,.F.); #263870=ORIENTED_EDGE('',*,*,#198940,.F.); #263871=ORIENTED_EDGE('',*,*,#198941,.T.); #263872=ORIENTED_EDGE('',*,*,#198940,.T.); #263873=ORIENTED_EDGE('',*,*,#198942,.F.); #263874=ORIENTED_EDGE('',*,*,#198943,.F.); #263875=ORIENTED_EDGE('',*,*,#198944,.T.); #263876=ORIENTED_EDGE('',*,*,#198943,.T.); #263877=ORIENTED_EDGE('',*,*,#198945,.F.); #263878=ORIENTED_EDGE('',*,*,#198946,.F.); #263879=ORIENTED_EDGE('',*,*,#198947,.T.); #263880=ORIENTED_EDGE('',*,*,#198946,.T.); #263881=ORIENTED_EDGE('',*,*,#198948,.F.); #263882=ORIENTED_EDGE('',*,*,#198949,.F.); #263883=ORIENTED_EDGE('',*,*,#198950,.T.); #263884=ORIENTED_EDGE('',*,*,#198949,.T.); #263885=ORIENTED_EDGE('',*,*,#198951,.F.); #263886=ORIENTED_EDGE('',*,*,#198952,.F.); #263887=ORIENTED_EDGE('',*,*,#198953,.T.); #263888=ORIENTED_EDGE('',*,*,#198952,.T.); #263889=ORIENTED_EDGE('',*,*,#198954,.F.); #263890=ORIENTED_EDGE('',*,*,#198955,.F.); #263891=ORIENTED_EDGE('',*,*,#198956,.T.); #263892=ORIENTED_EDGE('',*,*,#198955,.T.); #263893=ORIENTED_EDGE('',*,*,#198957,.F.); #263894=ORIENTED_EDGE('',*,*,#198958,.F.); #263895=ORIENTED_EDGE('',*,*,#198959,.T.); #263896=ORIENTED_EDGE('',*,*,#198958,.T.); #263897=ORIENTED_EDGE('',*,*,#198960,.F.); #263898=ORIENTED_EDGE('',*,*,#198961,.F.); #263899=ORIENTED_EDGE('',*,*,#198962,.T.); #263900=ORIENTED_EDGE('',*,*,#198961,.T.); #263901=ORIENTED_EDGE('',*,*,#198963,.F.); #263902=ORIENTED_EDGE('',*,*,#198964,.F.); #263903=ORIENTED_EDGE('',*,*,#198965,.T.); #263904=ORIENTED_EDGE('',*,*,#198964,.T.); #263905=ORIENTED_EDGE('',*,*,#198966,.F.); #263906=ORIENTED_EDGE('',*,*,#198967,.F.); #263907=ORIENTED_EDGE('',*,*,#198968,.T.); #263908=ORIENTED_EDGE('',*,*,#198967,.T.); #263909=ORIENTED_EDGE('',*,*,#198969,.F.); #263910=ORIENTED_EDGE('',*,*,#198911,.F.); #263911=ORIENTED_EDGE('',*,*,#198969,.T.); #263912=ORIENTED_EDGE('',*,*,#198966,.T.); #263913=ORIENTED_EDGE('',*,*,#198963,.T.); #263914=ORIENTED_EDGE('',*,*,#198960,.T.); #263915=ORIENTED_EDGE('',*,*,#198957,.T.); #263916=ORIENTED_EDGE('',*,*,#198954,.T.); #263917=ORIENTED_EDGE('',*,*,#198951,.T.); #263918=ORIENTED_EDGE('',*,*,#198948,.T.); #263919=ORIENTED_EDGE('',*,*,#198945,.T.); #263920=ORIENTED_EDGE('',*,*,#198942,.T.); #263921=ORIENTED_EDGE('',*,*,#198939,.T.); #263922=ORIENTED_EDGE('',*,*,#198936,.T.); #263923=ORIENTED_EDGE('',*,*,#198933,.T.); #263924=ORIENTED_EDGE('',*,*,#198930,.T.); #263925=ORIENTED_EDGE('',*,*,#198927,.T.); #263926=ORIENTED_EDGE('',*,*,#198924,.T.); #263927=ORIENTED_EDGE('',*,*,#198921,.T.); #263928=ORIENTED_EDGE('',*,*,#198918,.T.); #263929=ORIENTED_EDGE('',*,*,#198915,.T.); #263930=ORIENTED_EDGE('',*,*,#198912,.T.); #263931=ORIENTED_EDGE('',*,*,#198968,.F.); #263932=ORIENTED_EDGE('',*,*,#198910,.F.); #263933=ORIENTED_EDGE('',*,*,#198914,.F.); #263934=ORIENTED_EDGE('',*,*,#198917,.F.); #263935=ORIENTED_EDGE('',*,*,#198920,.F.); #263936=ORIENTED_EDGE('',*,*,#198923,.F.); #263937=ORIENTED_EDGE('',*,*,#198926,.F.); #263938=ORIENTED_EDGE('',*,*,#198929,.F.); #263939=ORIENTED_EDGE('',*,*,#198932,.F.); #263940=ORIENTED_EDGE('',*,*,#198935,.F.); #263941=ORIENTED_EDGE('',*,*,#198938,.F.); #263942=ORIENTED_EDGE('',*,*,#198941,.F.); #263943=ORIENTED_EDGE('',*,*,#198944,.F.); #263944=ORIENTED_EDGE('',*,*,#198947,.F.); #263945=ORIENTED_EDGE('',*,*,#198950,.F.); #263946=ORIENTED_EDGE('',*,*,#198953,.F.); #263947=ORIENTED_EDGE('',*,*,#198956,.F.); #263948=ORIENTED_EDGE('',*,*,#198959,.F.); #263949=ORIENTED_EDGE('',*,*,#198962,.F.); #263950=ORIENTED_EDGE('',*,*,#198965,.F.); #263951=ORIENTED_EDGE('',*,*,#198970,.T.); #263952=ORIENTED_EDGE('',*,*,#198971,.T.); #263953=ORIENTED_EDGE('',*,*,#198972,.F.); #263954=ORIENTED_EDGE('',*,*,#198973,.F.); #263955=ORIENTED_EDGE('',*,*,#198974,.T.); #263956=ORIENTED_EDGE('',*,*,#198973,.T.); #263957=ORIENTED_EDGE('',*,*,#198975,.F.); #263958=ORIENTED_EDGE('',*,*,#198976,.F.); #263959=ORIENTED_EDGE('',*,*,#198977,.T.); #263960=ORIENTED_EDGE('',*,*,#198976,.T.); #263961=ORIENTED_EDGE('',*,*,#198978,.F.); #263962=ORIENTED_EDGE('',*,*,#198979,.F.); #263963=ORIENTED_EDGE('',*,*,#198980,.T.); #263964=ORIENTED_EDGE('',*,*,#198979,.T.); #263965=ORIENTED_EDGE('',*,*,#198981,.F.); #263966=ORIENTED_EDGE('',*,*,#198982,.F.); #263967=ORIENTED_EDGE('',*,*,#198983,.T.); #263968=ORIENTED_EDGE('',*,*,#198982,.T.); #263969=ORIENTED_EDGE('',*,*,#198984,.F.); #263970=ORIENTED_EDGE('',*,*,#198985,.F.); #263971=ORIENTED_EDGE('',*,*,#198986,.T.); #263972=ORIENTED_EDGE('',*,*,#198985,.T.); #263973=ORIENTED_EDGE('',*,*,#198987,.F.); #263974=ORIENTED_EDGE('',*,*,#198988,.F.); #263975=ORIENTED_EDGE('',*,*,#198989,.T.); #263976=ORIENTED_EDGE('',*,*,#198988,.T.); #263977=ORIENTED_EDGE('',*,*,#198990,.F.); #263978=ORIENTED_EDGE('',*,*,#198991,.F.); #263979=ORIENTED_EDGE('',*,*,#198992,.T.); #263980=ORIENTED_EDGE('',*,*,#198991,.T.); #263981=ORIENTED_EDGE('',*,*,#198993,.F.); #263982=ORIENTED_EDGE('',*,*,#198994,.F.); #263983=ORIENTED_EDGE('',*,*,#198995,.T.); #263984=ORIENTED_EDGE('',*,*,#198994,.T.); #263985=ORIENTED_EDGE('',*,*,#198996,.F.); #263986=ORIENTED_EDGE('',*,*,#198997,.F.); #263987=ORIENTED_EDGE('',*,*,#198998,.T.); #263988=ORIENTED_EDGE('',*,*,#198997,.T.); #263989=ORIENTED_EDGE('',*,*,#198999,.F.); #263990=ORIENTED_EDGE('',*,*,#199000,.F.); #263991=ORIENTED_EDGE('',*,*,#199001,.T.); #263992=ORIENTED_EDGE('',*,*,#199000,.T.); #263993=ORIENTED_EDGE('',*,*,#199002,.F.); #263994=ORIENTED_EDGE('',*,*,#199003,.F.); #263995=ORIENTED_EDGE('',*,*,#199004,.T.); #263996=ORIENTED_EDGE('',*,*,#199003,.T.); #263997=ORIENTED_EDGE('',*,*,#199005,.F.); #263998=ORIENTED_EDGE('',*,*,#199006,.F.); #263999=ORIENTED_EDGE('',*,*,#199007,.T.); #264000=ORIENTED_EDGE('',*,*,#199006,.T.); #264001=ORIENTED_EDGE('',*,*,#199008,.F.); #264002=ORIENTED_EDGE('',*,*,#199009,.F.); #264003=ORIENTED_EDGE('',*,*,#199010,.T.); #264004=ORIENTED_EDGE('',*,*,#199009,.T.); #264005=ORIENTED_EDGE('',*,*,#199011,.F.); #264006=ORIENTED_EDGE('',*,*,#199012,.F.); #264007=ORIENTED_EDGE('',*,*,#199013,.T.); #264008=ORIENTED_EDGE('',*,*,#199012,.T.); #264009=ORIENTED_EDGE('',*,*,#199014,.F.); #264010=ORIENTED_EDGE('',*,*,#199015,.F.); #264011=ORIENTED_EDGE('',*,*,#199016,.T.); #264012=ORIENTED_EDGE('',*,*,#199015,.T.); #264013=ORIENTED_EDGE('',*,*,#199017,.F.); #264014=ORIENTED_EDGE('',*,*,#199018,.F.); #264015=ORIENTED_EDGE('',*,*,#199019,.T.); #264016=ORIENTED_EDGE('',*,*,#199018,.T.); #264017=ORIENTED_EDGE('',*,*,#199020,.F.); #264018=ORIENTED_EDGE('',*,*,#199021,.F.); #264019=ORIENTED_EDGE('',*,*,#199022,.T.); #264020=ORIENTED_EDGE('',*,*,#199021,.T.); #264021=ORIENTED_EDGE('',*,*,#199023,.F.); #264022=ORIENTED_EDGE('',*,*,#199024,.F.); #264023=ORIENTED_EDGE('',*,*,#199025,.T.); #264024=ORIENTED_EDGE('',*,*,#199024,.T.); #264025=ORIENTED_EDGE('',*,*,#199026,.F.); #264026=ORIENTED_EDGE('',*,*,#199027,.F.); #264027=ORIENTED_EDGE('',*,*,#199028,.T.); #264028=ORIENTED_EDGE('',*,*,#199027,.T.); #264029=ORIENTED_EDGE('',*,*,#199029,.F.); #264030=ORIENTED_EDGE('',*,*,#199030,.F.); #264031=ORIENTED_EDGE('',*,*,#199031,.T.); #264032=ORIENTED_EDGE('',*,*,#199030,.T.); #264033=ORIENTED_EDGE('',*,*,#199032,.F.); #264034=ORIENTED_EDGE('',*,*,#199033,.F.); #264035=ORIENTED_EDGE('',*,*,#199034,.T.); #264036=ORIENTED_EDGE('',*,*,#199033,.T.); #264037=ORIENTED_EDGE('',*,*,#199035,.F.); #264038=ORIENTED_EDGE('',*,*,#199036,.F.); #264039=ORIENTED_EDGE('',*,*,#199037,.T.); #264040=ORIENTED_EDGE('',*,*,#199036,.T.); #264041=ORIENTED_EDGE('',*,*,#199038,.F.); #264042=ORIENTED_EDGE('',*,*,#199039,.F.); #264043=ORIENTED_EDGE('',*,*,#199040,.T.); #264044=ORIENTED_EDGE('',*,*,#199039,.T.); #264045=ORIENTED_EDGE('',*,*,#199041,.F.); #264046=ORIENTED_EDGE('',*,*,#199042,.F.); #264047=ORIENTED_EDGE('',*,*,#199043,.T.); #264048=ORIENTED_EDGE('',*,*,#199042,.T.); #264049=ORIENTED_EDGE('',*,*,#199044,.F.); #264050=ORIENTED_EDGE('',*,*,#199045,.F.); #264051=ORIENTED_EDGE('',*,*,#199046,.T.); #264052=ORIENTED_EDGE('',*,*,#199045,.T.); #264053=ORIENTED_EDGE('',*,*,#199047,.F.); #264054=ORIENTED_EDGE('',*,*,#199048,.F.); #264055=ORIENTED_EDGE('',*,*,#199049,.T.); #264056=ORIENTED_EDGE('',*,*,#199048,.T.); #264057=ORIENTED_EDGE('',*,*,#199050,.F.); #264058=ORIENTED_EDGE('',*,*,#199051,.F.); #264059=ORIENTED_EDGE('',*,*,#199052,.T.); #264060=ORIENTED_EDGE('',*,*,#199051,.T.); #264061=ORIENTED_EDGE('',*,*,#199053,.F.); #264062=ORIENTED_EDGE('',*,*,#199054,.F.); #264063=ORIENTED_EDGE('',*,*,#199055,.T.); #264064=ORIENTED_EDGE('',*,*,#199054,.T.); #264065=ORIENTED_EDGE('',*,*,#199056,.F.); #264066=ORIENTED_EDGE('',*,*,#199057,.F.); #264067=ORIENTED_EDGE('',*,*,#199058,.T.); #264068=ORIENTED_EDGE('',*,*,#199057,.T.); #264069=ORIENTED_EDGE('',*,*,#199059,.F.); #264070=ORIENTED_EDGE('',*,*,#199060,.F.); #264071=ORIENTED_EDGE('',*,*,#199061,.T.); #264072=ORIENTED_EDGE('',*,*,#199060,.T.); #264073=ORIENTED_EDGE('',*,*,#199062,.F.); #264074=ORIENTED_EDGE('',*,*,#199063,.F.); #264075=ORIENTED_EDGE('',*,*,#199064,.T.); #264076=ORIENTED_EDGE('',*,*,#199063,.T.); #264077=ORIENTED_EDGE('',*,*,#199065,.F.); #264078=ORIENTED_EDGE('',*,*,#199066,.F.); #264079=ORIENTED_EDGE('',*,*,#199067,.T.); #264080=ORIENTED_EDGE('',*,*,#199066,.T.); #264081=ORIENTED_EDGE('',*,*,#199068,.F.); #264082=ORIENTED_EDGE('',*,*,#199069,.F.); #264083=ORIENTED_EDGE('',*,*,#199070,.T.); #264084=ORIENTED_EDGE('',*,*,#199069,.T.); #264085=ORIENTED_EDGE('',*,*,#199071,.F.); #264086=ORIENTED_EDGE('',*,*,#199072,.F.); #264087=ORIENTED_EDGE('',*,*,#199073,.T.); #264088=ORIENTED_EDGE('',*,*,#199072,.T.); #264089=ORIENTED_EDGE('',*,*,#199074,.F.); #264090=ORIENTED_EDGE('',*,*,#199075,.F.); #264091=ORIENTED_EDGE('',*,*,#199076,.T.); #264092=ORIENTED_EDGE('',*,*,#199075,.T.); #264093=ORIENTED_EDGE('',*,*,#199077,.F.); #264094=ORIENTED_EDGE('',*,*,#199078,.F.); #264095=ORIENTED_EDGE('',*,*,#199079,.T.); #264096=ORIENTED_EDGE('',*,*,#199078,.T.); #264097=ORIENTED_EDGE('',*,*,#199080,.F.); #264098=ORIENTED_EDGE('',*,*,#199081,.F.); #264099=ORIENTED_EDGE('',*,*,#199082,.T.); #264100=ORIENTED_EDGE('',*,*,#199081,.T.); #264101=ORIENTED_EDGE('',*,*,#199083,.F.); #264102=ORIENTED_EDGE('',*,*,#199084,.F.); #264103=ORIENTED_EDGE('',*,*,#199085,.T.); #264104=ORIENTED_EDGE('',*,*,#199084,.T.); #264105=ORIENTED_EDGE('',*,*,#199086,.F.); #264106=ORIENTED_EDGE('',*,*,#199087,.F.); #264107=ORIENTED_EDGE('',*,*,#199088,.T.); #264108=ORIENTED_EDGE('',*,*,#199087,.T.); #264109=ORIENTED_EDGE('',*,*,#199089,.F.); #264110=ORIENTED_EDGE('',*,*,#199090,.F.); #264111=ORIENTED_EDGE('',*,*,#199091,.T.); #264112=ORIENTED_EDGE('',*,*,#199090,.T.); #264113=ORIENTED_EDGE('',*,*,#199092,.F.); #264114=ORIENTED_EDGE('',*,*,#199093,.F.); #264115=ORIENTED_EDGE('',*,*,#199094,.T.); #264116=ORIENTED_EDGE('',*,*,#199093,.T.); #264117=ORIENTED_EDGE('',*,*,#199095,.F.); #264118=ORIENTED_EDGE('',*,*,#199096,.F.); #264119=ORIENTED_EDGE('',*,*,#199097,.T.); #264120=ORIENTED_EDGE('',*,*,#199096,.T.); #264121=ORIENTED_EDGE('',*,*,#199098,.F.); #264122=ORIENTED_EDGE('',*,*,#199099,.F.); #264123=ORIENTED_EDGE('',*,*,#199100,.T.); #264124=ORIENTED_EDGE('',*,*,#199099,.T.); #264125=ORIENTED_EDGE('',*,*,#199101,.F.); #264126=ORIENTED_EDGE('',*,*,#199102,.F.); #264127=ORIENTED_EDGE('',*,*,#199103,.T.); #264128=ORIENTED_EDGE('',*,*,#199102,.T.); #264129=ORIENTED_EDGE('',*,*,#199104,.F.); #264130=ORIENTED_EDGE('',*,*,#199105,.F.); #264131=ORIENTED_EDGE('',*,*,#199106,.T.); #264132=ORIENTED_EDGE('',*,*,#199105,.T.); #264133=ORIENTED_EDGE('',*,*,#199107,.F.); #264134=ORIENTED_EDGE('',*,*,#199108,.F.); #264135=ORIENTED_EDGE('',*,*,#199109,.T.); #264136=ORIENTED_EDGE('',*,*,#199108,.T.); #264137=ORIENTED_EDGE('',*,*,#199110,.F.); #264138=ORIENTED_EDGE('',*,*,#199111,.F.); #264139=ORIENTED_EDGE('',*,*,#199112,.T.); #264140=ORIENTED_EDGE('',*,*,#199111,.T.); #264141=ORIENTED_EDGE('',*,*,#199113,.F.); #264142=ORIENTED_EDGE('',*,*,#198971,.F.); #264143=ORIENTED_EDGE('',*,*,#199113,.T.); #264144=ORIENTED_EDGE('',*,*,#199110,.T.); #264145=ORIENTED_EDGE('',*,*,#199107,.T.); #264146=ORIENTED_EDGE('',*,*,#199104,.T.); #264147=ORIENTED_EDGE('',*,*,#199101,.T.); #264148=ORIENTED_EDGE('',*,*,#199098,.T.); #264149=ORIENTED_EDGE('',*,*,#199095,.T.); #264150=ORIENTED_EDGE('',*,*,#199092,.T.); #264151=ORIENTED_EDGE('',*,*,#199089,.T.); #264152=ORIENTED_EDGE('',*,*,#199086,.T.); #264153=ORIENTED_EDGE('',*,*,#199083,.T.); #264154=ORIENTED_EDGE('',*,*,#199080,.T.); #264155=ORIENTED_EDGE('',*,*,#199077,.T.); #264156=ORIENTED_EDGE('',*,*,#199074,.T.); #264157=ORIENTED_EDGE('',*,*,#199071,.T.); #264158=ORIENTED_EDGE('',*,*,#199068,.T.); #264159=ORIENTED_EDGE('',*,*,#199065,.T.); #264160=ORIENTED_EDGE('',*,*,#199062,.T.); #264161=ORIENTED_EDGE('',*,*,#199059,.T.); #264162=ORIENTED_EDGE('',*,*,#199056,.T.); #264163=ORIENTED_EDGE('',*,*,#199053,.T.); #264164=ORIENTED_EDGE('',*,*,#199050,.T.); #264165=ORIENTED_EDGE('',*,*,#199047,.T.); #264166=ORIENTED_EDGE('',*,*,#199044,.T.); #264167=ORIENTED_EDGE('',*,*,#199041,.T.); #264168=ORIENTED_EDGE('',*,*,#199038,.T.); #264169=ORIENTED_EDGE('',*,*,#199035,.T.); #264170=ORIENTED_EDGE('',*,*,#199032,.T.); #264171=ORIENTED_EDGE('',*,*,#199029,.T.); #264172=ORIENTED_EDGE('',*,*,#199026,.T.); #264173=ORIENTED_EDGE('',*,*,#199023,.T.); #264174=ORIENTED_EDGE('',*,*,#199020,.T.); #264175=ORIENTED_EDGE('',*,*,#199017,.T.); #264176=ORIENTED_EDGE('',*,*,#199014,.T.); #264177=ORIENTED_EDGE('',*,*,#199011,.T.); #264178=ORIENTED_EDGE('',*,*,#199008,.T.); #264179=ORIENTED_EDGE('',*,*,#199005,.T.); #264180=ORIENTED_EDGE('',*,*,#199002,.T.); #264181=ORIENTED_EDGE('',*,*,#198999,.T.); #264182=ORIENTED_EDGE('',*,*,#198996,.T.); #264183=ORIENTED_EDGE('',*,*,#198993,.T.); #264184=ORIENTED_EDGE('',*,*,#198990,.T.); #264185=ORIENTED_EDGE('',*,*,#198987,.T.); #264186=ORIENTED_EDGE('',*,*,#198984,.T.); #264187=ORIENTED_EDGE('',*,*,#198981,.T.); #264188=ORIENTED_EDGE('',*,*,#198978,.T.); #264189=ORIENTED_EDGE('',*,*,#198975,.T.); #264190=ORIENTED_EDGE('',*,*,#198972,.T.); #264191=ORIENTED_EDGE('',*,*,#199112,.F.); #264192=ORIENTED_EDGE('',*,*,#198970,.F.); #264193=ORIENTED_EDGE('',*,*,#198974,.F.); #264194=ORIENTED_EDGE('',*,*,#198977,.F.); #264195=ORIENTED_EDGE('',*,*,#198980,.F.); #264196=ORIENTED_EDGE('',*,*,#198983,.F.); #264197=ORIENTED_EDGE('',*,*,#198986,.F.); #264198=ORIENTED_EDGE('',*,*,#198989,.F.); #264199=ORIENTED_EDGE('',*,*,#198992,.F.); #264200=ORIENTED_EDGE('',*,*,#198995,.F.); #264201=ORIENTED_EDGE('',*,*,#198998,.F.); #264202=ORIENTED_EDGE('',*,*,#199001,.F.); #264203=ORIENTED_EDGE('',*,*,#199004,.F.); #264204=ORIENTED_EDGE('',*,*,#199007,.F.); #264205=ORIENTED_EDGE('',*,*,#199010,.F.); #264206=ORIENTED_EDGE('',*,*,#199013,.F.); #264207=ORIENTED_EDGE('',*,*,#199016,.F.); #264208=ORIENTED_EDGE('',*,*,#199019,.F.); #264209=ORIENTED_EDGE('',*,*,#199022,.F.); #264210=ORIENTED_EDGE('',*,*,#199025,.F.); #264211=ORIENTED_EDGE('',*,*,#199028,.F.); #264212=ORIENTED_EDGE('',*,*,#199031,.F.); #264213=ORIENTED_EDGE('',*,*,#199034,.F.); #264214=ORIENTED_EDGE('',*,*,#199037,.F.); #264215=ORIENTED_EDGE('',*,*,#199040,.F.); #264216=ORIENTED_EDGE('',*,*,#199043,.F.); #264217=ORIENTED_EDGE('',*,*,#199046,.F.); #264218=ORIENTED_EDGE('',*,*,#199049,.F.); #264219=ORIENTED_EDGE('',*,*,#199052,.F.); #264220=ORIENTED_EDGE('',*,*,#199055,.F.); #264221=ORIENTED_EDGE('',*,*,#199058,.F.); #264222=ORIENTED_EDGE('',*,*,#199061,.F.); #264223=ORIENTED_EDGE('',*,*,#199064,.F.); #264224=ORIENTED_EDGE('',*,*,#199067,.F.); #264225=ORIENTED_EDGE('',*,*,#199070,.F.); #264226=ORIENTED_EDGE('',*,*,#199073,.F.); #264227=ORIENTED_EDGE('',*,*,#199076,.F.); #264228=ORIENTED_EDGE('',*,*,#199079,.F.); #264229=ORIENTED_EDGE('',*,*,#199082,.F.); #264230=ORIENTED_EDGE('',*,*,#199085,.F.); #264231=ORIENTED_EDGE('',*,*,#199088,.F.); #264232=ORIENTED_EDGE('',*,*,#199091,.F.); #264233=ORIENTED_EDGE('',*,*,#199094,.F.); #264234=ORIENTED_EDGE('',*,*,#199097,.F.); #264235=ORIENTED_EDGE('',*,*,#199100,.F.); #264236=ORIENTED_EDGE('',*,*,#199103,.F.); #264237=ORIENTED_EDGE('',*,*,#199106,.F.); #264238=ORIENTED_EDGE('',*,*,#199109,.F.); #264239=ORIENTED_EDGE('',*,*,#199114,.T.); #264240=ORIENTED_EDGE('',*,*,#199115,.T.); #264241=ORIENTED_EDGE('',*,*,#199116,.F.); #264242=ORIENTED_EDGE('',*,*,#199117,.F.); #264243=ORIENTED_EDGE('',*,*,#199118,.T.); #264244=ORIENTED_EDGE('',*,*,#199117,.T.); #264245=ORIENTED_EDGE('',*,*,#199119,.F.); #264246=ORIENTED_EDGE('',*,*,#199120,.F.); #264247=ORIENTED_EDGE('',*,*,#199121,.T.); #264248=ORIENTED_EDGE('',*,*,#199120,.T.); #264249=ORIENTED_EDGE('',*,*,#199122,.F.); #264250=ORIENTED_EDGE('',*,*,#199123,.F.); #264251=ORIENTED_EDGE('',*,*,#199124,.T.); #264252=ORIENTED_EDGE('',*,*,#199123,.T.); #264253=ORIENTED_EDGE('',*,*,#199125,.F.); #264254=ORIENTED_EDGE('',*,*,#199126,.F.); #264255=ORIENTED_EDGE('',*,*,#199127,.T.); #264256=ORIENTED_EDGE('',*,*,#199126,.T.); #264257=ORIENTED_EDGE('',*,*,#199128,.F.); #264258=ORIENTED_EDGE('',*,*,#199129,.F.); #264259=ORIENTED_EDGE('',*,*,#199130,.T.); #264260=ORIENTED_EDGE('',*,*,#199129,.T.); #264261=ORIENTED_EDGE('',*,*,#199131,.F.); #264262=ORIENTED_EDGE('',*,*,#199132,.F.); #264263=ORIENTED_EDGE('',*,*,#199133,.T.); #264264=ORIENTED_EDGE('',*,*,#199132,.T.); #264265=ORIENTED_EDGE('',*,*,#199134,.F.); #264266=ORIENTED_EDGE('',*,*,#199135,.F.); #264267=ORIENTED_EDGE('',*,*,#199136,.T.); #264268=ORIENTED_EDGE('',*,*,#199135,.T.); #264269=ORIENTED_EDGE('',*,*,#199137,.F.); #264270=ORIENTED_EDGE('',*,*,#199138,.F.); #264271=ORIENTED_EDGE('',*,*,#199139,.T.); #264272=ORIENTED_EDGE('',*,*,#199138,.T.); #264273=ORIENTED_EDGE('',*,*,#199140,.F.); #264274=ORIENTED_EDGE('',*,*,#199141,.F.); #264275=ORIENTED_EDGE('',*,*,#199142,.T.); #264276=ORIENTED_EDGE('',*,*,#199141,.T.); #264277=ORIENTED_EDGE('',*,*,#199143,.F.); #264278=ORIENTED_EDGE('',*,*,#199144,.F.); #264279=ORIENTED_EDGE('',*,*,#199145,.T.); #264280=ORIENTED_EDGE('',*,*,#199144,.T.); #264281=ORIENTED_EDGE('',*,*,#199146,.F.); #264282=ORIENTED_EDGE('',*,*,#199147,.F.); #264283=ORIENTED_EDGE('',*,*,#199148,.T.); #264284=ORIENTED_EDGE('',*,*,#199147,.T.); #264285=ORIENTED_EDGE('',*,*,#199149,.F.); #264286=ORIENTED_EDGE('',*,*,#199150,.F.); #264287=ORIENTED_EDGE('',*,*,#199151,.T.); #264288=ORIENTED_EDGE('',*,*,#199150,.T.); #264289=ORIENTED_EDGE('',*,*,#199152,.F.); #264290=ORIENTED_EDGE('',*,*,#199153,.F.); #264291=ORIENTED_EDGE('',*,*,#199154,.T.); #264292=ORIENTED_EDGE('',*,*,#199153,.T.); #264293=ORIENTED_EDGE('',*,*,#199155,.F.); #264294=ORIENTED_EDGE('',*,*,#199156,.F.); #264295=ORIENTED_EDGE('',*,*,#199157,.T.); #264296=ORIENTED_EDGE('',*,*,#199156,.T.); #264297=ORIENTED_EDGE('',*,*,#199158,.F.); #264298=ORIENTED_EDGE('',*,*,#199159,.F.); #264299=ORIENTED_EDGE('',*,*,#199160,.T.); #264300=ORIENTED_EDGE('',*,*,#199159,.T.); #264301=ORIENTED_EDGE('',*,*,#199161,.F.); #264302=ORIENTED_EDGE('',*,*,#199162,.F.); #264303=ORIENTED_EDGE('',*,*,#199163,.T.); #264304=ORIENTED_EDGE('',*,*,#199162,.T.); #264305=ORIENTED_EDGE('',*,*,#199164,.F.); #264306=ORIENTED_EDGE('',*,*,#199165,.F.); #264307=ORIENTED_EDGE('',*,*,#199166,.T.); #264308=ORIENTED_EDGE('',*,*,#199165,.T.); #264309=ORIENTED_EDGE('',*,*,#199167,.F.); #264310=ORIENTED_EDGE('',*,*,#199168,.F.); #264311=ORIENTED_EDGE('',*,*,#199169,.T.); #264312=ORIENTED_EDGE('',*,*,#199168,.T.); #264313=ORIENTED_EDGE('',*,*,#199170,.F.); #264314=ORIENTED_EDGE('',*,*,#199171,.F.); #264315=ORIENTED_EDGE('',*,*,#199172,.T.); #264316=ORIENTED_EDGE('',*,*,#199171,.T.); #264317=ORIENTED_EDGE('',*,*,#199173,.F.); #264318=ORIENTED_EDGE('',*,*,#199115,.F.); #264319=ORIENTED_EDGE('',*,*,#199173,.T.); #264320=ORIENTED_EDGE('',*,*,#199170,.T.); #264321=ORIENTED_EDGE('',*,*,#199167,.T.); #264322=ORIENTED_EDGE('',*,*,#199164,.T.); #264323=ORIENTED_EDGE('',*,*,#199161,.T.); #264324=ORIENTED_EDGE('',*,*,#199158,.T.); #264325=ORIENTED_EDGE('',*,*,#199155,.T.); #264326=ORIENTED_EDGE('',*,*,#199152,.T.); #264327=ORIENTED_EDGE('',*,*,#199149,.T.); #264328=ORIENTED_EDGE('',*,*,#199146,.T.); #264329=ORIENTED_EDGE('',*,*,#199143,.T.); #264330=ORIENTED_EDGE('',*,*,#199140,.T.); #264331=ORIENTED_EDGE('',*,*,#199137,.T.); #264332=ORIENTED_EDGE('',*,*,#199134,.T.); #264333=ORIENTED_EDGE('',*,*,#199131,.T.); #264334=ORIENTED_EDGE('',*,*,#199128,.T.); #264335=ORIENTED_EDGE('',*,*,#199125,.T.); #264336=ORIENTED_EDGE('',*,*,#199122,.T.); #264337=ORIENTED_EDGE('',*,*,#199119,.T.); #264338=ORIENTED_EDGE('',*,*,#199116,.T.); #264339=ORIENTED_EDGE('',*,*,#199172,.F.); #264340=ORIENTED_EDGE('',*,*,#199114,.F.); #264341=ORIENTED_EDGE('',*,*,#199118,.F.); #264342=ORIENTED_EDGE('',*,*,#199121,.F.); #264343=ORIENTED_EDGE('',*,*,#199124,.F.); #264344=ORIENTED_EDGE('',*,*,#199127,.F.); #264345=ORIENTED_EDGE('',*,*,#199130,.F.); #264346=ORIENTED_EDGE('',*,*,#199133,.F.); #264347=ORIENTED_EDGE('',*,*,#199136,.F.); #264348=ORIENTED_EDGE('',*,*,#199139,.F.); #264349=ORIENTED_EDGE('',*,*,#199142,.F.); #264350=ORIENTED_EDGE('',*,*,#199145,.F.); #264351=ORIENTED_EDGE('',*,*,#199148,.F.); #264352=ORIENTED_EDGE('',*,*,#199151,.F.); #264353=ORIENTED_EDGE('',*,*,#199154,.F.); #264354=ORIENTED_EDGE('',*,*,#199157,.F.); #264355=ORIENTED_EDGE('',*,*,#199160,.F.); #264356=ORIENTED_EDGE('',*,*,#199163,.F.); #264357=ORIENTED_EDGE('',*,*,#199166,.F.); #264358=ORIENTED_EDGE('',*,*,#199169,.F.); #264359=ORIENTED_EDGE('',*,*,#199174,.T.); #264360=ORIENTED_EDGE('',*,*,#199175,.T.); #264361=ORIENTED_EDGE('',*,*,#199176,.F.); #264362=ORIENTED_EDGE('',*,*,#199177,.F.); #264363=ORIENTED_EDGE('',*,*,#199178,.T.); #264364=ORIENTED_EDGE('',*,*,#199177,.T.); #264365=ORIENTED_EDGE('',*,*,#199179,.F.); #264366=ORIENTED_EDGE('',*,*,#199180,.F.); #264367=ORIENTED_EDGE('',*,*,#199181,.T.); #264368=ORIENTED_EDGE('',*,*,#199180,.T.); #264369=ORIENTED_EDGE('',*,*,#199182,.F.); #264370=ORIENTED_EDGE('',*,*,#199183,.F.); #264371=ORIENTED_EDGE('',*,*,#199184,.T.); #264372=ORIENTED_EDGE('',*,*,#199183,.T.); #264373=ORIENTED_EDGE('',*,*,#199185,.F.); #264374=ORIENTED_EDGE('',*,*,#199186,.F.); #264375=ORIENTED_EDGE('',*,*,#199187,.T.); #264376=ORIENTED_EDGE('',*,*,#199186,.T.); #264377=ORIENTED_EDGE('',*,*,#199188,.F.); #264378=ORIENTED_EDGE('',*,*,#199189,.F.); #264379=ORIENTED_EDGE('',*,*,#199190,.T.); #264380=ORIENTED_EDGE('',*,*,#199189,.T.); #264381=ORIENTED_EDGE('',*,*,#199191,.F.); #264382=ORIENTED_EDGE('',*,*,#199192,.F.); #264383=ORIENTED_EDGE('',*,*,#199193,.T.); #264384=ORIENTED_EDGE('',*,*,#199192,.T.); #264385=ORIENTED_EDGE('',*,*,#199194,.F.); #264386=ORIENTED_EDGE('',*,*,#199195,.F.); #264387=ORIENTED_EDGE('',*,*,#199196,.T.); #264388=ORIENTED_EDGE('',*,*,#199195,.T.); #264389=ORIENTED_EDGE('',*,*,#199197,.F.); #264390=ORIENTED_EDGE('',*,*,#199198,.F.); #264391=ORIENTED_EDGE('',*,*,#199199,.T.); #264392=ORIENTED_EDGE('',*,*,#199198,.T.); #264393=ORIENTED_EDGE('',*,*,#199200,.F.); #264394=ORIENTED_EDGE('',*,*,#199201,.F.); #264395=ORIENTED_EDGE('',*,*,#199202,.T.); #264396=ORIENTED_EDGE('',*,*,#199201,.T.); #264397=ORIENTED_EDGE('',*,*,#199203,.F.); #264398=ORIENTED_EDGE('',*,*,#199204,.F.); #264399=ORIENTED_EDGE('',*,*,#199205,.T.); #264400=ORIENTED_EDGE('',*,*,#199204,.T.); #264401=ORIENTED_EDGE('',*,*,#199206,.F.); #264402=ORIENTED_EDGE('',*,*,#199207,.F.); #264403=ORIENTED_EDGE('',*,*,#199208,.T.); #264404=ORIENTED_EDGE('',*,*,#199207,.T.); #264405=ORIENTED_EDGE('',*,*,#199209,.F.); #264406=ORIENTED_EDGE('',*,*,#199210,.F.); #264407=ORIENTED_EDGE('',*,*,#199211,.T.); #264408=ORIENTED_EDGE('',*,*,#199210,.T.); #264409=ORIENTED_EDGE('',*,*,#199212,.F.); #264410=ORIENTED_EDGE('',*,*,#199213,.F.); #264411=ORIENTED_EDGE('',*,*,#199214,.T.); #264412=ORIENTED_EDGE('',*,*,#199213,.T.); #264413=ORIENTED_EDGE('',*,*,#199215,.F.); #264414=ORIENTED_EDGE('',*,*,#199216,.F.); #264415=ORIENTED_EDGE('',*,*,#199217,.T.); #264416=ORIENTED_EDGE('',*,*,#199216,.T.); #264417=ORIENTED_EDGE('',*,*,#199218,.F.); #264418=ORIENTED_EDGE('',*,*,#199219,.F.); #264419=ORIENTED_EDGE('',*,*,#199220,.T.); #264420=ORIENTED_EDGE('',*,*,#199219,.T.); #264421=ORIENTED_EDGE('',*,*,#199221,.F.); #264422=ORIENTED_EDGE('',*,*,#199222,.F.); #264423=ORIENTED_EDGE('',*,*,#199223,.T.); #264424=ORIENTED_EDGE('',*,*,#199222,.T.); #264425=ORIENTED_EDGE('',*,*,#199224,.F.); #264426=ORIENTED_EDGE('',*,*,#199225,.F.); #264427=ORIENTED_EDGE('',*,*,#199226,.T.); #264428=ORIENTED_EDGE('',*,*,#199225,.T.); #264429=ORIENTED_EDGE('',*,*,#199227,.F.); #264430=ORIENTED_EDGE('',*,*,#199228,.F.); #264431=ORIENTED_EDGE('',*,*,#199229,.T.); #264432=ORIENTED_EDGE('',*,*,#199228,.T.); #264433=ORIENTED_EDGE('',*,*,#199230,.F.); #264434=ORIENTED_EDGE('',*,*,#199231,.F.); #264435=ORIENTED_EDGE('',*,*,#199232,.T.); #264436=ORIENTED_EDGE('',*,*,#199231,.T.); #264437=ORIENTED_EDGE('',*,*,#199233,.F.); #264438=ORIENTED_EDGE('',*,*,#199234,.F.); #264439=ORIENTED_EDGE('',*,*,#199235,.T.); #264440=ORIENTED_EDGE('',*,*,#199234,.T.); #264441=ORIENTED_EDGE('',*,*,#199236,.F.); #264442=ORIENTED_EDGE('',*,*,#199237,.F.); #264443=ORIENTED_EDGE('',*,*,#199238,.T.); #264444=ORIENTED_EDGE('',*,*,#199237,.T.); #264445=ORIENTED_EDGE('',*,*,#199239,.F.); #264446=ORIENTED_EDGE('',*,*,#199240,.F.); #264447=ORIENTED_EDGE('',*,*,#199241,.T.); #264448=ORIENTED_EDGE('',*,*,#199240,.T.); #264449=ORIENTED_EDGE('',*,*,#199242,.F.); #264450=ORIENTED_EDGE('',*,*,#199243,.F.); #264451=ORIENTED_EDGE('',*,*,#199244,.T.); #264452=ORIENTED_EDGE('',*,*,#199243,.T.); #264453=ORIENTED_EDGE('',*,*,#199245,.F.); #264454=ORIENTED_EDGE('',*,*,#199246,.F.); #264455=ORIENTED_EDGE('',*,*,#199247,.T.); #264456=ORIENTED_EDGE('',*,*,#199246,.T.); #264457=ORIENTED_EDGE('',*,*,#199248,.F.); #264458=ORIENTED_EDGE('',*,*,#199249,.F.); #264459=ORIENTED_EDGE('',*,*,#199250,.T.); #264460=ORIENTED_EDGE('',*,*,#199249,.T.); #264461=ORIENTED_EDGE('',*,*,#199251,.F.); #264462=ORIENTED_EDGE('',*,*,#199252,.F.); #264463=ORIENTED_EDGE('',*,*,#199253,.T.); #264464=ORIENTED_EDGE('',*,*,#199252,.T.); #264465=ORIENTED_EDGE('',*,*,#199254,.F.); #264466=ORIENTED_EDGE('',*,*,#199255,.F.); #264467=ORIENTED_EDGE('',*,*,#199256,.T.); #264468=ORIENTED_EDGE('',*,*,#199255,.T.); #264469=ORIENTED_EDGE('',*,*,#199257,.F.); #264470=ORIENTED_EDGE('',*,*,#199258,.F.); #264471=ORIENTED_EDGE('',*,*,#199259,.T.); #264472=ORIENTED_EDGE('',*,*,#199258,.T.); #264473=ORIENTED_EDGE('',*,*,#199260,.F.); #264474=ORIENTED_EDGE('',*,*,#199261,.F.); #264475=ORIENTED_EDGE('',*,*,#199262,.T.); #264476=ORIENTED_EDGE('',*,*,#199261,.T.); #264477=ORIENTED_EDGE('',*,*,#199263,.F.); #264478=ORIENTED_EDGE('',*,*,#199264,.F.); #264479=ORIENTED_EDGE('',*,*,#199265,.T.); #264480=ORIENTED_EDGE('',*,*,#199264,.T.); #264481=ORIENTED_EDGE('',*,*,#199266,.F.); #264482=ORIENTED_EDGE('',*,*,#199175,.F.); #264483=ORIENTED_EDGE('',*,*,#199266,.T.); #264484=ORIENTED_EDGE('',*,*,#199263,.T.); #264485=ORIENTED_EDGE('',*,*,#199260,.T.); #264486=ORIENTED_EDGE('',*,*,#199257,.T.); #264487=ORIENTED_EDGE('',*,*,#199254,.T.); #264488=ORIENTED_EDGE('',*,*,#199251,.T.); #264489=ORIENTED_EDGE('',*,*,#199248,.T.); #264490=ORIENTED_EDGE('',*,*,#199245,.T.); #264491=ORIENTED_EDGE('',*,*,#199242,.T.); #264492=ORIENTED_EDGE('',*,*,#199239,.T.); #264493=ORIENTED_EDGE('',*,*,#199236,.T.); #264494=ORIENTED_EDGE('',*,*,#199233,.T.); #264495=ORIENTED_EDGE('',*,*,#199230,.T.); #264496=ORIENTED_EDGE('',*,*,#199227,.T.); #264497=ORIENTED_EDGE('',*,*,#199224,.T.); #264498=ORIENTED_EDGE('',*,*,#199221,.T.); #264499=ORIENTED_EDGE('',*,*,#199218,.T.); #264500=ORIENTED_EDGE('',*,*,#199215,.T.); #264501=ORIENTED_EDGE('',*,*,#199212,.T.); #264502=ORIENTED_EDGE('',*,*,#199209,.T.); #264503=ORIENTED_EDGE('',*,*,#199206,.T.); #264504=ORIENTED_EDGE('',*,*,#199203,.T.); #264505=ORIENTED_EDGE('',*,*,#199200,.T.); #264506=ORIENTED_EDGE('',*,*,#199197,.T.); #264507=ORIENTED_EDGE('',*,*,#199194,.T.); #264508=ORIENTED_EDGE('',*,*,#199191,.T.); #264509=ORIENTED_EDGE('',*,*,#199188,.T.); #264510=ORIENTED_EDGE('',*,*,#199185,.T.); #264511=ORIENTED_EDGE('',*,*,#199182,.T.); #264512=ORIENTED_EDGE('',*,*,#199179,.T.); #264513=ORIENTED_EDGE('',*,*,#199176,.T.); #264514=ORIENTED_EDGE('',*,*,#199265,.F.); #264515=ORIENTED_EDGE('',*,*,#199174,.F.); #264516=ORIENTED_EDGE('',*,*,#199178,.F.); #264517=ORIENTED_EDGE('',*,*,#199181,.F.); #264518=ORIENTED_EDGE('',*,*,#199184,.F.); #264519=ORIENTED_EDGE('',*,*,#199187,.F.); #264520=ORIENTED_EDGE('',*,*,#199190,.F.); #264521=ORIENTED_EDGE('',*,*,#199193,.F.); #264522=ORIENTED_EDGE('',*,*,#199196,.F.); #264523=ORIENTED_EDGE('',*,*,#199199,.F.); #264524=ORIENTED_EDGE('',*,*,#199202,.F.); #264525=ORIENTED_EDGE('',*,*,#199205,.F.); #264526=ORIENTED_EDGE('',*,*,#199208,.F.); #264527=ORIENTED_EDGE('',*,*,#199211,.F.); #264528=ORIENTED_EDGE('',*,*,#199214,.F.); #264529=ORIENTED_EDGE('',*,*,#199217,.F.); #264530=ORIENTED_EDGE('',*,*,#199220,.F.); #264531=ORIENTED_EDGE('',*,*,#199223,.F.); #264532=ORIENTED_EDGE('',*,*,#199226,.F.); #264533=ORIENTED_EDGE('',*,*,#199229,.F.); #264534=ORIENTED_EDGE('',*,*,#199232,.F.); #264535=ORIENTED_EDGE('',*,*,#199235,.F.); #264536=ORIENTED_EDGE('',*,*,#199238,.F.); #264537=ORIENTED_EDGE('',*,*,#199241,.F.); #264538=ORIENTED_EDGE('',*,*,#199244,.F.); #264539=ORIENTED_EDGE('',*,*,#199247,.F.); #264540=ORIENTED_EDGE('',*,*,#199250,.F.); #264541=ORIENTED_EDGE('',*,*,#199253,.F.); #264542=ORIENTED_EDGE('',*,*,#199256,.F.); #264543=ORIENTED_EDGE('',*,*,#199259,.F.); #264544=ORIENTED_EDGE('',*,*,#199262,.F.); #264545=ORIENTED_EDGE('',*,*,#199267,.T.); #264546=ORIENTED_EDGE('',*,*,#199268,.T.); #264547=ORIENTED_EDGE('',*,*,#199269,.F.); #264548=ORIENTED_EDGE('',*,*,#199270,.F.); #264549=ORIENTED_EDGE('',*,*,#199271,.T.); #264550=ORIENTED_EDGE('',*,*,#199270,.T.); #264551=ORIENTED_EDGE('',*,*,#199272,.F.); #264552=ORIENTED_EDGE('',*,*,#199273,.F.); #264553=ORIENTED_EDGE('',*,*,#199274,.T.); #264554=ORIENTED_EDGE('',*,*,#199273,.T.); #264555=ORIENTED_EDGE('',*,*,#199275,.F.); #264556=ORIENTED_EDGE('',*,*,#199276,.F.); #264557=ORIENTED_EDGE('',*,*,#199277,.T.); #264558=ORIENTED_EDGE('',*,*,#199276,.T.); #264559=ORIENTED_EDGE('',*,*,#199278,.F.); #264560=ORIENTED_EDGE('',*,*,#199279,.F.); #264561=ORIENTED_EDGE('',*,*,#199280,.T.); #264562=ORIENTED_EDGE('',*,*,#199279,.T.); #264563=ORIENTED_EDGE('',*,*,#199281,.F.); #264564=ORIENTED_EDGE('',*,*,#199282,.F.); #264565=ORIENTED_EDGE('',*,*,#199283,.T.); #264566=ORIENTED_EDGE('',*,*,#199282,.T.); #264567=ORIENTED_EDGE('',*,*,#199284,.F.); #264568=ORIENTED_EDGE('',*,*,#199285,.F.); #264569=ORIENTED_EDGE('',*,*,#199286,.T.); #264570=ORIENTED_EDGE('',*,*,#199285,.T.); #264571=ORIENTED_EDGE('',*,*,#199287,.F.); #264572=ORIENTED_EDGE('',*,*,#199288,.F.); #264573=ORIENTED_EDGE('',*,*,#199289,.T.); #264574=ORIENTED_EDGE('',*,*,#199288,.T.); #264575=ORIENTED_EDGE('',*,*,#199290,.F.); #264576=ORIENTED_EDGE('',*,*,#199291,.F.); #264577=ORIENTED_EDGE('',*,*,#199292,.T.); #264578=ORIENTED_EDGE('',*,*,#199291,.T.); #264579=ORIENTED_EDGE('',*,*,#199293,.F.); #264580=ORIENTED_EDGE('',*,*,#199294,.F.); #264581=ORIENTED_EDGE('',*,*,#199295,.T.); #264582=ORIENTED_EDGE('',*,*,#199294,.T.); #264583=ORIENTED_EDGE('',*,*,#199296,.F.); #264584=ORIENTED_EDGE('',*,*,#199297,.F.); #264585=ORIENTED_EDGE('',*,*,#199298,.T.); #264586=ORIENTED_EDGE('',*,*,#199297,.T.); #264587=ORIENTED_EDGE('',*,*,#199299,.F.); #264588=ORIENTED_EDGE('',*,*,#199300,.F.); #264589=ORIENTED_EDGE('',*,*,#199301,.T.); #264590=ORIENTED_EDGE('',*,*,#199300,.T.); #264591=ORIENTED_EDGE('',*,*,#199302,.F.); #264592=ORIENTED_EDGE('',*,*,#199303,.F.); #264593=ORIENTED_EDGE('',*,*,#199304,.T.); #264594=ORIENTED_EDGE('',*,*,#199303,.T.); #264595=ORIENTED_EDGE('',*,*,#199305,.F.); #264596=ORIENTED_EDGE('',*,*,#199306,.F.); #264597=ORIENTED_EDGE('',*,*,#199307,.T.); #264598=ORIENTED_EDGE('',*,*,#199306,.T.); #264599=ORIENTED_EDGE('',*,*,#199308,.F.); #264600=ORIENTED_EDGE('',*,*,#199309,.F.); #264601=ORIENTED_EDGE('',*,*,#199310,.T.); #264602=ORIENTED_EDGE('',*,*,#199309,.T.); #264603=ORIENTED_EDGE('',*,*,#199311,.F.); #264604=ORIENTED_EDGE('',*,*,#199312,.F.); #264605=ORIENTED_EDGE('',*,*,#199313,.T.); #264606=ORIENTED_EDGE('',*,*,#199312,.T.); #264607=ORIENTED_EDGE('',*,*,#199314,.F.); #264608=ORIENTED_EDGE('',*,*,#199315,.F.); #264609=ORIENTED_EDGE('',*,*,#199316,.T.); #264610=ORIENTED_EDGE('',*,*,#199315,.T.); #264611=ORIENTED_EDGE('',*,*,#199317,.F.); #264612=ORIENTED_EDGE('',*,*,#199318,.F.); #264613=ORIENTED_EDGE('',*,*,#199319,.T.); #264614=ORIENTED_EDGE('',*,*,#199318,.T.); #264615=ORIENTED_EDGE('',*,*,#199320,.F.); #264616=ORIENTED_EDGE('',*,*,#199321,.F.); #264617=ORIENTED_EDGE('',*,*,#199322,.T.); #264618=ORIENTED_EDGE('',*,*,#199321,.T.); #264619=ORIENTED_EDGE('',*,*,#199323,.F.); #264620=ORIENTED_EDGE('',*,*,#199324,.F.); #264621=ORIENTED_EDGE('',*,*,#199325,.T.); #264622=ORIENTED_EDGE('',*,*,#199324,.T.); #264623=ORIENTED_EDGE('',*,*,#199326,.F.); #264624=ORIENTED_EDGE('',*,*,#199268,.F.); #264625=ORIENTED_EDGE('',*,*,#199326,.T.); #264626=ORIENTED_EDGE('',*,*,#199323,.T.); #264627=ORIENTED_EDGE('',*,*,#199320,.T.); #264628=ORIENTED_EDGE('',*,*,#199317,.T.); #264629=ORIENTED_EDGE('',*,*,#199314,.T.); #264630=ORIENTED_EDGE('',*,*,#199311,.T.); #264631=ORIENTED_EDGE('',*,*,#199308,.T.); #264632=ORIENTED_EDGE('',*,*,#199305,.T.); #264633=ORIENTED_EDGE('',*,*,#199302,.T.); #264634=ORIENTED_EDGE('',*,*,#199299,.T.); #264635=ORIENTED_EDGE('',*,*,#199296,.T.); #264636=ORIENTED_EDGE('',*,*,#199293,.T.); #264637=ORIENTED_EDGE('',*,*,#199290,.T.); #264638=ORIENTED_EDGE('',*,*,#199287,.T.); #264639=ORIENTED_EDGE('',*,*,#199284,.T.); #264640=ORIENTED_EDGE('',*,*,#199281,.T.); #264641=ORIENTED_EDGE('',*,*,#199278,.T.); #264642=ORIENTED_EDGE('',*,*,#199275,.T.); #264643=ORIENTED_EDGE('',*,*,#199272,.T.); #264644=ORIENTED_EDGE('',*,*,#199269,.T.); #264645=ORIENTED_EDGE('',*,*,#199325,.F.); #264646=ORIENTED_EDGE('',*,*,#199267,.F.); #264647=ORIENTED_EDGE('',*,*,#199271,.F.); #264648=ORIENTED_EDGE('',*,*,#199274,.F.); #264649=ORIENTED_EDGE('',*,*,#199277,.F.); #264650=ORIENTED_EDGE('',*,*,#199280,.F.); #264651=ORIENTED_EDGE('',*,*,#199283,.F.); #264652=ORIENTED_EDGE('',*,*,#199286,.F.); #264653=ORIENTED_EDGE('',*,*,#199289,.F.); #264654=ORIENTED_EDGE('',*,*,#199292,.F.); #264655=ORIENTED_EDGE('',*,*,#199295,.F.); #264656=ORIENTED_EDGE('',*,*,#199298,.F.); #264657=ORIENTED_EDGE('',*,*,#199301,.F.); #264658=ORIENTED_EDGE('',*,*,#199304,.F.); #264659=ORIENTED_EDGE('',*,*,#199307,.F.); #264660=ORIENTED_EDGE('',*,*,#199310,.F.); #264661=ORIENTED_EDGE('',*,*,#199313,.F.); #264662=ORIENTED_EDGE('',*,*,#199316,.F.); #264663=ORIENTED_EDGE('',*,*,#199319,.F.); #264664=ORIENTED_EDGE('',*,*,#199322,.F.); #264665=ORIENTED_EDGE('',*,*,#199327,.T.); #264666=ORIENTED_EDGE('',*,*,#199328,.T.); #264667=ORIENTED_EDGE('',*,*,#199329,.F.); #264668=ORIENTED_EDGE('',*,*,#199330,.F.); #264669=ORIENTED_EDGE('',*,*,#199331,.T.); #264670=ORIENTED_EDGE('',*,*,#199330,.T.); #264671=ORIENTED_EDGE('',*,*,#199332,.F.); #264672=ORIENTED_EDGE('',*,*,#199333,.F.); #264673=ORIENTED_EDGE('',*,*,#199334,.T.); #264674=ORIENTED_EDGE('',*,*,#199333,.T.); #264675=ORIENTED_EDGE('',*,*,#199335,.F.); #264676=ORIENTED_EDGE('',*,*,#199336,.F.); #264677=ORIENTED_EDGE('',*,*,#199337,.T.); #264678=ORIENTED_EDGE('',*,*,#199336,.T.); #264679=ORIENTED_EDGE('',*,*,#199338,.F.); #264680=ORIENTED_EDGE('',*,*,#199339,.F.); #264681=ORIENTED_EDGE('',*,*,#199340,.T.); #264682=ORIENTED_EDGE('',*,*,#199339,.T.); #264683=ORIENTED_EDGE('',*,*,#199341,.F.); #264684=ORIENTED_EDGE('',*,*,#199342,.F.); #264685=ORIENTED_EDGE('',*,*,#199343,.T.); #264686=ORIENTED_EDGE('',*,*,#199342,.T.); #264687=ORIENTED_EDGE('',*,*,#199344,.F.); #264688=ORIENTED_EDGE('',*,*,#199345,.F.); #264689=ORIENTED_EDGE('',*,*,#199346,.T.); #264690=ORIENTED_EDGE('',*,*,#199345,.T.); #264691=ORIENTED_EDGE('',*,*,#199347,.F.); #264692=ORIENTED_EDGE('',*,*,#199348,.F.); #264693=ORIENTED_EDGE('',*,*,#199349,.T.); #264694=ORIENTED_EDGE('',*,*,#199348,.T.); #264695=ORIENTED_EDGE('',*,*,#199350,.F.); #264696=ORIENTED_EDGE('',*,*,#199351,.F.); #264697=ORIENTED_EDGE('',*,*,#199352,.T.); #264698=ORIENTED_EDGE('',*,*,#199351,.T.); #264699=ORIENTED_EDGE('',*,*,#199353,.F.); #264700=ORIENTED_EDGE('',*,*,#199354,.F.); #264701=ORIENTED_EDGE('',*,*,#199355,.T.); #264702=ORIENTED_EDGE('',*,*,#199354,.T.); #264703=ORIENTED_EDGE('',*,*,#199356,.F.); #264704=ORIENTED_EDGE('',*,*,#199357,.F.); #264705=ORIENTED_EDGE('',*,*,#199358,.T.); #264706=ORIENTED_EDGE('',*,*,#199357,.T.); #264707=ORIENTED_EDGE('',*,*,#199359,.F.); #264708=ORIENTED_EDGE('',*,*,#199360,.F.); #264709=ORIENTED_EDGE('',*,*,#199361,.T.); #264710=ORIENTED_EDGE('',*,*,#199360,.T.); #264711=ORIENTED_EDGE('',*,*,#199362,.F.); #264712=ORIENTED_EDGE('',*,*,#199363,.F.); #264713=ORIENTED_EDGE('',*,*,#199364,.T.); #264714=ORIENTED_EDGE('',*,*,#199363,.T.); #264715=ORIENTED_EDGE('',*,*,#199365,.F.); #264716=ORIENTED_EDGE('',*,*,#199366,.F.); #264717=ORIENTED_EDGE('',*,*,#199367,.T.); #264718=ORIENTED_EDGE('',*,*,#199366,.T.); #264719=ORIENTED_EDGE('',*,*,#199368,.F.); #264720=ORIENTED_EDGE('',*,*,#199369,.F.); #264721=ORIENTED_EDGE('',*,*,#199370,.T.); #264722=ORIENTED_EDGE('',*,*,#199369,.T.); #264723=ORIENTED_EDGE('',*,*,#199371,.F.); #264724=ORIENTED_EDGE('',*,*,#199372,.F.); #264725=ORIENTED_EDGE('',*,*,#199373,.T.); #264726=ORIENTED_EDGE('',*,*,#199372,.T.); #264727=ORIENTED_EDGE('',*,*,#199374,.F.); #264728=ORIENTED_EDGE('',*,*,#199375,.F.); #264729=ORIENTED_EDGE('',*,*,#199376,.T.); #264730=ORIENTED_EDGE('',*,*,#199375,.T.); #264731=ORIENTED_EDGE('',*,*,#199377,.F.); #264732=ORIENTED_EDGE('',*,*,#199378,.F.); #264733=ORIENTED_EDGE('',*,*,#199379,.T.); #264734=ORIENTED_EDGE('',*,*,#199378,.T.); #264735=ORIENTED_EDGE('',*,*,#199380,.F.); #264736=ORIENTED_EDGE('',*,*,#199381,.F.); #264737=ORIENTED_EDGE('',*,*,#199382,.T.); #264738=ORIENTED_EDGE('',*,*,#199381,.T.); #264739=ORIENTED_EDGE('',*,*,#199383,.F.); #264740=ORIENTED_EDGE('',*,*,#199384,.F.); #264741=ORIENTED_EDGE('',*,*,#199385,.T.); #264742=ORIENTED_EDGE('',*,*,#199384,.T.); #264743=ORIENTED_EDGE('',*,*,#199386,.F.); #264744=ORIENTED_EDGE('',*,*,#199387,.F.); #264745=ORIENTED_EDGE('',*,*,#199388,.T.); #264746=ORIENTED_EDGE('',*,*,#199387,.T.); #264747=ORIENTED_EDGE('',*,*,#199389,.F.); #264748=ORIENTED_EDGE('',*,*,#199390,.F.); #264749=ORIENTED_EDGE('',*,*,#199391,.T.); #264750=ORIENTED_EDGE('',*,*,#199390,.T.); #264751=ORIENTED_EDGE('',*,*,#199392,.F.); #264752=ORIENTED_EDGE('',*,*,#199393,.F.); #264753=ORIENTED_EDGE('',*,*,#199394,.T.); #264754=ORIENTED_EDGE('',*,*,#199393,.T.); #264755=ORIENTED_EDGE('',*,*,#199395,.F.); #264756=ORIENTED_EDGE('',*,*,#199396,.F.); #264757=ORIENTED_EDGE('',*,*,#199397,.T.); #264758=ORIENTED_EDGE('',*,*,#199396,.T.); #264759=ORIENTED_EDGE('',*,*,#199398,.F.); #264760=ORIENTED_EDGE('',*,*,#199399,.F.); #264761=ORIENTED_EDGE('',*,*,#199400,.T.); #264762=ORIENTED_EDGE('',*,*,#199399,.T.); #264763=ORIENTED_EDGE('',*,*,#199401,.F.); #264764=ORIENTED_EDGE('',*,*,#199402,.F.); #264765=ORIENTED_EDGE('',*,*,#199403,.T.); #264766=ORIENTED_EDGE('',*,*,#199402,.T.); #264767=ORIENTED_EDGE('',*,*,#199404,.F.); #264768=ORIENTED_EDGE('',*,*,#199405,.F.); #264769=ORIENTED_EDGE('',*,*,#199406,.T.); #264770=ORIENTED_EDGE('',*,*,#199405,.T.); #264771=ORIENTED_EDGE('',*,*,#199407,.F.); #264772=ORIENTED_EDGE('',*,*,#199408,.F.); #264773=ORIENTED_EDGE('',*,*,#199409,.T.); #264774=ORIENTED_EDGE('',*,*,#199408,.T.); #264775=ORIENTED_EDGE('',*,*,#199410,.F.); #264776=ORIENTED_EDGE('',*,*,#199411,.F.); #264777=ORIENTED_EDGE('',*,*,#199412,.T.); #264778=ORIENTED_EDGE('',*,*,#199411,.T.); #264779=ORIENTED_EDGE('',*,*,#199413,.F.); #264780=ORIENTED_EDGE('',*,*,#199414,.F.); #264781=ORIENTED_EDGE('',*,*,#199415,.T.); #264782=ORIENTED_EDGE('',*,*,#199414,.T.); #264783=ORIENTED_EDGE('',*,*,#199416,.F.); #264784=ORIENTED_EDGE('',*,*,#199417,.F.); #264785=ORIENTED_EDGE('',*,*,#199418,.T.); #264786=ORIENTED_EDGE('',*,*,#199417,.T.); #264787=ORIENTED_EDGE('',*,*,#199419,.F.); #264788=ORIENTED_EDGE('',*,*,#199328,.F.); #264789=ORIENTED_EDGE('',*,*,#199419,.T.); #264790=ORIENTED_EDGE('',*,*,#199416,.T.); #264791=ORIENTED_EDGE('',*,*,#199413,.T.); #264792=ORIENTED_EDGE('',*,*,#199410,.T.); #264793=ORIENTED_EDGE('',*,*,#199407,.T.); #264794=ORIENTED_EDGE('',*,*,#199404,.T.); #264795=ORIENTED_EDGE('',*,*,#199401,.T.); #264796=ORIENTED_EDGE('',*,*,#199398,.T.); #264797=ORIENTED_EDGE('',*,*,#199395,.T.); #264798=ORIENTED_EDGE('',*,*,#199392,.T.); #264799=ORIENTED_EDGE('',*,*,#199389,.T.); #264800=ORIENTED_EDGE('',*,*,#199386,.T.); #264801=ORIENTED_EDGE('',*,*,#199383,.T.); #264802=ORIENTED_EDGE('',*,*,#199380,.T.); #264803=ORIENTED_EDGE('',*,*,#199377,.T.); #264804=ORIENTED_EDGE('',*,*,#199374,.T.); #264805=ORIENTED_EDGE('',*,*,#199371,.T.); #264806=ORIENTED_EDGE('',*,*,#199368,.T.); #264807=ORIENTED_EDGE('',*,*,#199365,.T.); #264808=ORIENTED_EDGE('',*,*,#199362,.T.); #264809=ORIENTED_EDGE('',*,*,#199359,.T.); #264810=ORIENTED_EDGE('',*,*,#199356,.T.); #264811=ORIENTED_EDGE('',*,*,#199353,.T.); #264812=ORIENTED_EDGE('',*,*,#199350,.T.); #264813=ORIENTED_EDGE('',*,*,#199347,.T.); #264814=ORIENTED_EDGE('',*,*,#199344,.T.); #264815=ORIENTED_EDGE('',*,*,#199341,.T.); #264816=ORIENTED_EDGE('',*,*,#199338,.T.); #264817=ORIENTED_EDGE('',*,*,#199335,.T.); #264818=ORIENTED_EDGE('',*,*,#199332,.T.); #264819=ORIENTED_EDGE('',*,*,#199329,.T.); #264820=ORIENTED_EDGE('',*,*,#199418,.F.); #264821=ORIENTED_EDGE('',*,*,#199327,.F.); #264822=ORIENTED_EDGE('',*,*,#199331,.F.); #264823=ORIENTED_EDGE('',*,*,#199334,.F.); #264824=ORIENTED_EDGE('',*,*,#199337,.F.); #264825=ORIENTED_EDGE('',*,*,#199340,.F.); #264826=ORIENTED_EDGE('',*,*,#199343,.F.); #264827=ORIENTED_EDGE('',*,*,#199346,.F.); #264828=ORIENTED_EDGE('',*,*,#199349,.F.); #264829=ORIENTED_EDGE('',*,*,#199352,.F.); #264830=ORIENTED_EDGE('',*,*,#199355,.F.); #264831=ORIENTED_EDGE('',*,*,#199358,.F.); #264832=ORIENTED_EDGE('',*,*,#199361,.F.); #264833=ORIENTED_EDGE('',*,*,#199364,.F.); #264834=ORIENTED_EDGE('',*,*,#199367,.F.); #264835=ORIENTED_EDGE('',*,*,#199370,.F.); #264836=ORIENTED_EDGE('',*,*,#199373,.F.); #264837=ORIENTED_EDGE('',*,*,#199376,.F.); #264838=ORIENTED_EDGE('',*,*,#199379,.F.); #264839=ORIENTED_EDGE('',*,*,#199382,.F.); #264840=ORIENTED_EDGE('',*,*,#199385,.F.); #264841=ORIENTED_EDGE('',*,*,#199388,.F.); #264842=ORIENTED_EDGE('',*,*,#199391,.F.); #264843=ORIENTED_EDGE('',*,*,#199394,.F.); #264844=ORIENTED_EDGE('',*,*,#199397,.F.); #264845=ORIENTED_EDGE('',*,*,#199400,.F.); #264846=ORIENTED_EDGE('',*,*,#199403,.F.); #264847=ORIENTED_EDGE('',*,*,#199406,.F.); #264848=ORIENTED_EDGE('',*,*,#199409,.F.); #264849=ORIENTED_EDGE('',*,*,#199412,.F.); #264850=ORIENTED_EDGE('',*,*,#199415,.F.); #264851=ORIENTED_EDGE('',*,*,#199420,.T.); #264852=ORIENTED_EDGE('',*,*,#199421,.T.); #264853=ORIENTED_EDGE('',*,*,#199422,.F.); #264854=ORIENTED_EDGE('',*,*,#199423,.F.); #264855=ORIENTED_EDGE('',*,*,#199424,.T.); #264856=ORIENTED_EDGE('',*,*,#199423,.T.); #264857=ORIENTED_EDGE('',*,*,#199425,.F.); #264858=ORIENTED_EDGE('',*,*,#199426,.F.); #264859=ORIENTED_EDGE('',*,*,#199427,.T.); #264860=ORIENTED_EDGE('',*,*,#199426,.T.); #264861=ORIENTED_EDGE('',*,*,#199428,.F.); #264862=ORIENTED_EDGE('',*,*,#199429,.F.); #264863=ORIENTED_EDGE('',*,*,#199430,.T.); #264864=ORIENTED_EDGE('',*,*,#199429,.T.); #264865=ORIENTED_EDGE('',*,*,#199431,.F.); #264866=ORIENTED_EDGE('',*,*,#199432,.F.); #264867=ORIENTED_EDGE('',*,*,#199433,.T.); #264868=ORIENTED_EDGE('',*,*,#199432,.T.); #264869=ORIENTED_EDGE('',*,*,#199434,.F.); #264870=ORIENTED_EDGE('',*,*,#199435,.F.); #264871=ORIENTED_EDGE('',*,*,#199436,.T.); #264872=ORIENTED_EDGE('',*,*,#199435,.T.); #264873=ORIENTED_EDGE('',*,*,#199437,.F.); #264874=ORIENTED_EDGE('',*,*,#199438,.F.); #264875=ORIENTED_EDGE('',*,*,#199439,.T.); #264876=ORIENTED_EDGE('',*,*,#199438,.T.); #264877=ORIENTED_EDGE('',*,*,#199440,.F.); #264878=ORIENTED_EDGE('',*,*,#199441,.F.); #264879=ORIENTED_EDGE('',*,*,#199442,.T.); #264880=ORIENTED_EDGE('',*,*,#199441,.T.); #264881=ORIENTED_EDGE('',*,*,#199443,.F.); #264882=ORIENTED_EDGE('',*,*,#199444,.F.); #264883=ORIENTED_EDGE('',*,*,#199445,.T.); #264884=ORIENTED_EDGE('',*,*,#199444,.T.); #264885=ORIENTED_EDGE('',*,*,#199446,.F.); #264886=ORIENTED_EDGE('',*,*,#199447,.F.); #264887=ORIENTED_EDGE('',*,*,#199448,.T.); #264888=ORIENTED_EDGE('',*,*,#199447,.T.); #264889=ORIENTED_EDGE('',*,*,#199449,.F.); #264890=ORIENTED_EDGE('',*,*,#199450,.F.); #264891=ORIENTED_EDGE('',*,*,#199451,.T.); #264892=ORIENTED_EDGE('',*,*,#199450,.T.); #264893=ORIENTED_EDGE('',*,*,#199452,.F.); #264894=ORIENTED_EDGE('',*,*,#199453,.F.); #264895=ORIENTED_EDGE('',*,*,#199454,.T.); #264896=ORIENTED_EDGE('',*,*,#199453,.T.); #264897=ORIENTED_EDGE('',*,*,#199455,.F.); #264898=ORIENTED_EDGE('',*,*,#199456,.F.); #264899=ORIENTED_EDGE('',*,*,#199457,.T.); #264900=ORIENTED_EDGE('',*,*,#199456,.T.); #264901=ORIENTED_EDGE('',*,*,#199458,.F.); #264902=ORIENTED_EDGE('',*,*,#199459,.F.); #264903=ORIENTED_EDGE('',*,*,#199460,.T.); #264904=ORIENTED_EDGE('',*,*,#199459,.T.); #264905=ORIENTED_EDGE('',*,*,#199461,.F.); #264906=ORIENTED_EDGE('',*,*,#199462,.F.); #264907=ORIENTED_EDGE('',*,*,#199463,.T.); #264908=ORIENTED_EDGE('',*,*,#199462,.T.); #264909=ORIENTED_EDGE('',*,*,#199464,.F.); #264910=ORIENTED_EDGE('',*,*,#199465,.F.); #264911=ORIENTED_EDGE('',*,*,#199466,.T.); #264912=ORIENTED_EDGE('',*,*,#199465,.T.); #264913=ORIENTED_EDGE('',*,*,#199467,.F.); #264914=ORIENTED_EDGE('',*,*,#199468,.F.); #264915=ORIENTED_EDGE('',*,*,#199469,.T.); #264916=ORIENTED_EDGE('',*,*,#199468,.T.); #264917=ORIENTED_EDGE('',*,*,#199470,.F.); #264918=ORIENTED_EDGE('',*,*,#199471,.F.); #264919=ORIENTED_EDGE('',*,*,#199472,.T.); #264920=ORIENTED_EDGE('',*,*,#199471,.T.); #264921=ORIENTED_EDGE('',*,*,#199473,.F.); #264922=ORIENTED_EDGE('',*,*,#199474,.F.); #264923=ORIENTED_EDGE('',*,*,#199475,.T.); #264924=ORIENTED_EDGE('',*,*,#199474,.T.); #264925=ORIENTED_EDGE('',*,*,#199476,.F.); #264926=ORIENTED_EDGE('',*,*,#199477,.F.); #264927=ORIENTED_EDGE('',*,*,#199478,.T.); #264928=ORIENTED_EDGE('',*,*,#199477,.T.); #264929=ORIENTED_EDGE('',*,*,#199479,.F.); #264930=ORIENTED_EDGE('',*,*,#199480,.F.); #264931=ORIENTED_EDGE('',*,*,#199481,.T.); #264932=ORIENTED_EDGE('',*,*,#199480,.T.); #264933=ORIENTED_EDGE('',*,*,#199482,.F.); #264934=ORIENTED_EDGE('',*,*,#199421,.F.); #264935=ORIENTED_EDGE('',*,*,#199482,.T.); #264936=ORIENTED_EDGE('',*,*,#199479,.T.); #264937=ORIENTED_EDGE('',*,*,#199476,.T.); #264938=ORIENTED_EDGE('',*,*,#199473,.T.); #264939=ORIENTED_EDGE('',*,*,#199470,.T.); #264940=ORIENTED_EDGE('',*,*,#199467,.T.); #264941=ORIENTED_EDGE('',*,*,#199464,.T.); #264942=ORIENTED_EDGE('',*,*,#199461,.T.); #264943=ORIENTED_EDGE('',*,*,#199458,.T.); #264944=ORIENTED_EDGE('',*,*,#199455,.T.); #264945=ORIENTED_EDGE('',*,*,#199452,.T.); #264946=ORIENTED_EDGE('',*,*,#199449,.T.); #264947=ORIENTED_EDGE('',*,*,#199446,.T.); #264948=ORIENTED_EDGE('',*,*,#199443,.T.); #264949=ORIENTED_EDGE('',*,*,#199440,.T.); #264950=ORIENTED_EDGE('',*,*,#199437,.T.); #264951=ORIENTED_EDGE('',*,*,#199434,.T.); #264952=ORIENTED_EDGE('',*,*,#199431,.T.); #264953=ORIENTED_EDGE('',*,*,#199428,.T.); #264954=ORIENTED_EDGE('',*,*,#199425,.T.); #264955=ORIENTED_EDGE('',*,*,#199422,.T.); #264956=ORIENTED_EDGE('',*,*,#199481,.F.); #264957=ORIENTED_EDGE('',*,*,#199420,.F.); #264958=ORIENTED_EDGE('',*,*,#199424,.F.); #264959=ORIENTED_EDGE('',*,*,#199427,.F.); #264960=ORIENTED_EDGE('',*,*,#199430,.F.); #264961=ORIENTED_EDGE('',*,*,#199433,.F.); #264962=ORIENTED_EDGE('',*,*,#199436,.F.); #264963=ORIENTED_EDGE('',*,*,#199439,.F.); #264964=ORIENTED_EDGE('',*,*,#199442,.F.); #264965=ORIENTED_EDGE('',*,*,#199445,.F.); #264966=ORIENTED_EDGE('',*,*,#199448,.F.); #264967=ORIENTED_EDGE('',*,*,#199451,.F.); #264968=ORIENTED_EDGE('',*,*,#199454,.F.); #264969=ORIENTED_EDGE('',*,*,#199457,.F.); #264970=ORIENTED_EDGE('',*,*,#199460,.F.); #264971=ORIENTED_EDGE('',*,*,#199463,.F.); #264972=ORIENTED_EDGE('',*,*,#199466,.F.); #264973=ORIENTED_EDGE('',*,*,#199469,.F.); #264974=ORIENTED_EDGE('',*,*,#199472,.F.); #264975=ORIENTED_EDGE('',*,*,#199475,.F.); #264976=ORIENTED_EDGE('',*,*,#199478,.F.); #264977=ORIENTED_EDGE('',*,*,#199483,.T.); #264978=ORIENTED_EDGE('',*,*,#199484,.T.); #264979=ORIENTED_EDGE('',*,*,#199485,.F.); #264980=ORIENTED_EDGE('',*,*,#199486,.F.); #264981=ORIENTED_EDGE('',*,*,#199487,.T.); #264982=ORIENTED_EDGE('',*,*,#199486,.T.); #264983=ORIENTED_EDGE('',*,*,#199488,.F.); #264984=ORIENTED_EDGE('',*,*,#199489,.F.); #264985=ORIENTED_EDGE('',*,*,#199490,.T.); #264986=ORIENTED_EDGE('',*,*,#199489,.T.); #264987=ORIENTED_EDGE('',*,*,#199491,.F.); #264988=ORIENTED_EDGE('',*,*,#199492,.F.); #264989=ORIENTED_EDGE('',*,*,#199493,.T.); #264990=ORIENTED_EDGE('',*,*,#199492,.T.); #264991=ORIENTED_EDGE('',*,*,#199494,.F.); #264992=ORIENTED_EDGE('',*,*,#199495,.F.); #264993=ORIENTED_EDGE('',*,*,#199496,.T.); #264994=ORIENTED_EDGE('',*,*,#199495,.T.); #264995=ORIENTED_EDGE('',*,*,#199497,.F.); #264996=ORIENTED_EDGE('',*,*,#199498,.F.); #264997=ORIENTED_EDGE('',*,*,#199499,.T.); #264998=ORIENTED_EDGE('',*,*,#199498,.T.); #264999=ORIENTED_EDGE('',*,*,#199500,.F.); #265000=ORIENTED_EDGE('',*,*,#199501,.F.); #265001=ORIENTED_EDGE('',*,*,#199502,.T.); #265002=ORIENTED_EDGE('',*,*,#199501,.T.); #265003=ORIENTED_EDGE('',*,*,#199503,.F.); #265004=ORIENTED_EDGE('',*,*,#199504,.F.); #265005=ORIENTED_EDGE('',*,*,#199505,.T.); #265006=ORIENTED_EDGE('',*,*,#199504,.T.); #265007=ORIENTED_EDGE('',*,*,#199506,.F.); #265008=ORIENTED_EDGE('',*,*,#199507,.F.); #265009=ORIENTED_EDGE('',*,*,#199508,.T.); #265010=ORIENTED_EDGE('',*,*,#199507,.T.); #265011=ORIENTED_EDGE('',*,*,#199509,.F.); #265012=ORIENTED_EDGE('',*,*,#199510,.F.); #265013=ORIENTED_EDGE('',*,*,#199511,.T.); #265014=ORIENTED_EDGE('',*,*,#199510,.T.); #265015=ORIENTED_EDGE('',*,*,#199512,.F.); #265016=ORIENTED_EDGE('',*,*,#199513,.F.); #265017=ORIENTED_EDGE('',*,*,#199514,.T.); #265018=ORIENTED_EDGE('',*,*,#199513,.T.); #265019=ORIENTED_EDGE('',*,*,#199515,.F.); #265020=ORIENTED_EDGE('',*,*,#199516,.F.); #265021=ORIENTED_EDGE('',*,*,#199517,.T.); #265022=ORIENTED_EDGE('',*,*,#199516,.T.); #265023=ORIENTED_EDGE('',*,*,#199518,.F.); #265024=ORIENTED_EDGE('',*,*,#199519,.F.); #265025=ORIENTED_EDGE('',*,*,#199520,.T.); #265026=ORIENTED_EDGE('',*,*,#199519,.T.); #265027=ORIENTED_EDGE('',*,*,#199521,.F.); #265028=ORIENTED_EDGE('',*,*,#199522,.F.); #265029=ORIENTED_EDGE('',*,*,#199523,.T.); #265030=ORIENTED_EDGE('',*,*,#199522,.T.); #265031=ORIENTED_EDGE('',*,*,#199524,.F.); #265032=ORIENTED_EDGE('',*,*,#199525,.F.); #265033=ORIENTED_EDGE('',*,*,#199526,.T.); #265034=ORIENTED_EDGE('',*,*,#199525,.T.); #265035=ORIENTED_EDGE('',*,*,#199527,.F.); #265036=ORIENTED_EDGE('',*,*,#199528,.F.); #265037=ORIENTED_EDGE('',*,*,#199529,.T.); #265038=ORIENTED_EDGE('',*,*,#199528,.T.); #265039=ORIENTED_EDGE('',*,*,#199530,.F.); #265040=ORIENTED_EDGE('',*,*,#199531,.F.); #265041=ORIENTED_EDGE('',*,*,#199532,.T.); #265042=ORIENTED_EDGE('',*,*,#199531,.T.); #265043=ORIENTED_EDGE('',*,*,#199533,.F.); #265044=ORIENTED_EDGE('',*,*,#199534,.F.); #265045=ORIENTED_EDGE('',*,*,#199535,.T.); #265046=ORIENTED_EDGE('',*,*,#199534,.T.); #265047=ORIENTED_EDGE('',*,*,#199536,.F.); #265048=ORIENTED_EDGE('',*,*,#199537,.F.); #265049=ORIENTED_EDGE('',*,*,#199538,.T.); #265050=ORIENTED_EDGE('',*,*,#199537,.T.); #265051=ORIENTED_EDGE('',*,*,#199539,.F.); #265052=ORIENTED_EDGE('',*,*,#199540,.F.); #265053=ORIENTED_EDGE('',*,*,#199541,.T.); #265054=ORIENTED_EDGE('',*,*,#199540,.T.); #265055=ORIENTED_EDGE('',*,*,#199542,.F.); #265056=ORIENTED_EDGE('',*,*,#199543,.F.); #265057=ORIENTED_EDGE('',*,*,#199544,.T.); #265058=ORIENTED_EDGE('',*,*,#199543,.T.); #265059=ORIENTED_EDGE('',*,*,#199545,.F.); #265060=ORIENTED_EDGE('',*,*,#199546,.F.); #265061=ORIENTED_EDGE('',*,*,#199547,.T.); #265062=ORIENTED_EDGE('',*,*,#199546,.T.); #265063=ORIENTED_EDGE('',*,*,#199548,.F.); #265064=ORIENTED_EDGE('',*,*,#199549,.F.); #265065=ORIENTED_EDGE('',*,*,#199550,.T.); #265066=ORIENTED_EDGE('',*,*,#199549,.T.); #265067=ORIENTED_EDGE('',*,*,#199551,.F.); #265068=ORIENTED_EDGE('',*,*,#199552,.F.); #265069=ORIENTED_EDGE('',*,*,#199553,.T.); #265070=ORIENTED_EDGE('',*,*,#199552,.T.); #265071=ORIENTED_EDGE('',*,*,#199554,.F.); #265072=ORIENTED_EDGE('',*,*,#199555,.F.); #265073=ORIENTED_EDGE('',*,*,#199556,.T.); #265074=ORIENTED_EDGE('',*,*,#199555,.T.); #265075=ORIENTED_EDGE('',*,*,#199557,.F.); #265076=ORIENTED_EDGE('',*,*,#199558,.F.); #265077=ORIENTED_EDGE('',*,*,#199559,.T.); #265078=ORIENTED_EDGE('',*,*,#199558,.T.); #265079=ORIENTED_EDGE('',*,*,#199560,.F.); #265080=ORIENTED_EDGE('',*,*,#199561,.F.); #265081=ORIENTED_EDGE('',*,*,#199562,.T.); #265082=ORIENTED_EDGE('',*,*,#199561,.T.); #265083=ORIENTED_EDGE('',*,*,#199563,.F.); #265084=ORIENTED_EDGE('',*,*,#199564,.F.); #265085=ORIENTED_EDGE('',*,*,#199565,.T.); #265086=ORIENTED_EDGE('',*,*,#199564,.T.); #265087=ORIENTED_EDGE('',*,*,#199566,.F.); #265088=ORIENTED_EDGE('',*,*,#199567,.F.); #265089=ORIENTED_EDGE('',*,*,#199568,.T.); #265090=ORIENTED_EDGE('',*,*,#199567,.T.); #265091=ORIENTED_EDGE('',*,*,#199569,.F.); #265092=ORIENTED_EDGE('',*,*,#199570,.F.); #265093=ORIENTED_EDGE('',*,*,#199571,.T.); #265094=ORIENTED_EDGE('',*,*,#199570,.T.); #265095=ORIENTED_EDGE('',*,*,#199572,.F.); #265096=ORIENTED_EDGE('',*,*,#199573,.F.); #265097=ORIENTED_EDGE('',*,*,#199574,.T.); #265098=ORIENTED_EDGE('',*,*,#199573,.T.); #265099=ORIENTED_EDGE('',*,*,#199575,.F.); #265100=ORIENTED_EDGE('',*,*,#199576,.F.); #265101=ORIENTED_EDGE('',*,*,#199577,.T.); #265102=ORIENTED_EDGE('',*,*,#199576,.T.); #265103=ORIENTED_EDGE('',*,*,#199578,.F.); #265104=ORIENTED_EDGE('',*,*,#199579,.F.); #265105=ORIENTED_EDGE('',*,*,#199580,.T.); #265106=ORIENTED_EDGE('',*,*,#199579,.T.); #265107=ORIENTED_EDGE('',*,*,#199581,.F.); #265108=ORIENTED_EDGE('',*,*,#199582,.F.); #265109=ORIENTED_EDGE('',*,*,#199583,.T.); #265110=ORIENTED_EDGE('',*,*,#199582,.T.); #265111=ORIENTED_EDGE('',*,*,#199584,.F.); #265112=ORIENTED_EDGE('',*,*,#199585,.F.); #265113=ORIENTED_EDGE('',*,*,#199586,.T.); #265114=ORIENTED_EDGE('',*,*,#199585,.T.); #265115=ORIENTED_EDGE('',*,*,#199587,.F.); #265116=ORIENTED_EDGE('',*,*,#199588,.F.); #265117=ORIENTED_EDGE('',*,*,#199589,.T.); #265118=ORIENTED_EDGE('',*,*,#199588,.T.); #265119=ORIENTED_EDGE('',*,*,#199590,.F.); #265120=ORIENTED_EDGE('',*,*,#199591,.F.); #265121=ORIENTED_EDGE('',*,*,#199592,.T.); #265122=ORIENTED_EDGE('',*,*,#199591,.T.); #265123=ORIENTED_EDGE('',*,*,#199593,.F.); #265124=ORIENTED_EDGE('',*,*,#199594,.F.); #265125=ORIENTED_EDGE('',*,*,#199595,.T.); #265126=ORIENTED_EDGE('',*,*,#199594,.T.); #265127=ORIENTED_EDGE('',*,*,#199596,.F.); #265128=ORIENTED_EDGE('',*,*,#199597,.F.); #265129=ORIENTED_EDGE('',*,*,#199598,.T.); #265130=ORIENTED_EDGE('',*,*,#199597,.T.); #265131=ORIENTED_EDGE('',*,*,#199599,.F.); #265132=ORIENTED_EDGE('',*,*,#199600,.F.); #265133=ORIENTED_EDGE('',*,*,#199601,.T.); #265134=ORIENTED_EDGE('',*,*,#199600,.T.); #265135=ORIENTED_EDGE('',*,*,#199602,.F.); #265136=ORIENTED_EDGE('',*,*,#199484,.F.); #265137=ORIENTED_EDGE('',*,*,#199602,.T.); #265138=ORIENTED_EDGE('',*,*,#199599,.T.); #265139=ORIENTED_EDGE('',*,*,#199596,.T.); #265140=ORIENTED_EDGE('',*,*,#199593,.T.); #265141=ORIENTED_EDGE('',*,*,#199590,.T.); #265142=ORIENTED_EDGE('',*,*,#199587,.T.); #265143=ORIENTED_EDGE('',*,*,#199584,.T.); #265144=ORIENTED_EDGE('',*,*,#199581,.T.); #265145=ORIENTED_EDGE('',*,*,#199578,.T.); #265146=ORIENTED_EDGE('',*,*,#199575,.T.); #265147=ORIENTED_EDGE('',*,*,#199572,.T.); #265148=ORIENTED_EDGE('',*,*,#199569,.T.); #265149=ORIENTED_EDGE('',*,*,#199566,.T.); #265150=ORIENTED_EDGE('',*,*,#199563,.T.); #265151=ORIENTED_EDGE('',*,*,#199560,.T.); #265152=ORIENTED_EDGE('',*,*,#199557,.T.); #265153=ORIENTED_EDGE('',*,*,#199554,.T.); #265154=ORIENTED_EDGE('',*,*,#199551,.T.); #265155=ORIENTED_EDGE('',*,*,#199548,.T.); #265156=ORIENTED_EDGE('',*,*,#199545,.T.); #265157=ORIENTED_EDGE('',*,*,#199542,.T.); #265158=ORIENTED_EDGE('',*,*,#199539,.T.); #265159=ORIENTED_EDGE('',*,*,#199536,.T.); #265160=ORIENTED_EDGE('',*,*,#199533,.T.); #265161=ORIENTED_EDGE('',*,*,#199530,.T.); #265162=ORIENTED_EDGE('',*,*,#199527,.T.); #265163=ORIENTED_EDGE('',*,*,#199524,.T.); #265164=ORIENTED_EDGE('',*,*,#199521,.T.); #265165=ORIENTED_EDGE('',*,*,#199518,.T.); #265166=ORIENTED_EDGE('',*,*,#199515,.T.); #265167=ORIENTED_EDGE('',*,*,#199512,.T.); #265168=ORIENTED_EDGE('',*,*,#199509,.T.); #265169=ORIENTED_EDGE('',*,*,#199506,.T.); #265170=ORIENTED_EDGE('',*,*,#199503,.T.); #265171=ORIENTED_EDGE('',*,*,#199500,.T.); #265172=ORIENTED_EDGE('',*,*,#199497,.T.); #265173=ORIENTED_EDGE('',*,*,#199494,.T.); #265174=ORIENTED_EDGE('',*,*,#199491,.T.); #265175=ORIENTED_EDGE('',*,*,#199488,.T.); #265176=ORIENTED_EDGE('',*,*,#199485,.T.); #265177=ORIENTED_EDGE('',*,*,#199601,.F.); #265178=ORIENTED_EDGE('',*,*,#199483,.F.); #265179=ORIENTED_EDGE('',*,*,#199487,.F.); #265180=ORIENTED_EDGE('',*,*,#199490,.F.); #265181=ORIENTED_EDGE('',*,*,#199493,.F.); #265182=ORIENTED_EDGE('',*,*,#199496,.F.); #265183=ORIENTED_EDGE('',*,*,#199499,.F.); #265184=ORIENTED_EDGE('',*,*,#199502,.F.); #265185=ORIENTED_EDGE('',*,*,#199505,.F.); #265186=ORIENTED_EDGE('',*,*,#199508,.F.); #265187=ORIENTED_EDGE('',*,*,#199511,.F.); #265188=ORIENTED_EDGE('',*,*,#199514,.F.); #265189=ORIENTED_EDGE('',*,*,#199517,.F.); #265190=ORIENTED_EDGE('',*,*,#199520,.F.); #265191=ORIENTED_EDGE('',*,*,#199523,.F.); #265192=ORIENTED_EDGE('',*,*,#199526,.F.); #265193=ORIENTED_EDGE('',*,*,#199529,.F.); #265194=ORIENTED_EDGE('',*,*,#199532,.F.); #265195=ORIENTED_EDGE('',*,*,#199535,.F.); #265196=ORIENTED_EDGE('',*,*,#199538,.F.); #265197=ORIENTED_EDGE('',*,*,#199541,.F.); #265198=ORIENTED_EDGE('',*,*,#199544,.F.); #265199=ORIENTED_EDGE('',*,*,#199547,.F.); #265200=ORIENTED_EDGE('',*,*,#199550,.F.); #265201=ORIENTED_EDGE('',*,*,#199553,.F.); #265202=ORIENTED_EDGE('',*,*,#199556,.F.); #265203=ORIENTED_EDGE('',*,*,#199559,.F.); #265204=ORIENTED_EDGE('',*,*,#199562,.F.); #265205=ORIENTED_EDGE('',*,*,#199565,.F.); #265206=ORIENTED_EDGE('',*,*,#199568,.F.); #265207=ORIENTED_EDGE('',*,*,#199571,.F.); #265208=ORIENTED_EDGE('',*,*,#199574,.F.); #265209=ORIENTED_EDGE('',*,*,#199577,.F.); #265210=ORIENTED_EDGE('',*,*,#199580,.F.); #265211=ORIENTED_EDGE('',*,*,#199583,.F.); #265212=ORIENTED_EDGE('',*,*,#199586,.F.); #265213=ORIENTED_EDGE('',*,*,#199589,.F.); #265214=ORIENTED_EDGE('',*,*,#199592,.F.); #265215=ORIENTED_EDGE('',*,*,#199595,.F.); #265216=ORIENTED_EDGE('',*,*,#199598,.F.); #265217=ORIENTED_EDGE('',*,*,#199603,.T.); #265218=ORIENTED_EDGE('',*,*,#199604,.T.); #265219=ORIENTED_EDGE('',*,*,#199605,.F.); #265220=ORIENTED_EDGE('',*,*,#199606,.F.); #265221=ORIENTED_EDGE('',*,*,#199607,.T.); #265222=ORIENTED_EDGE('',*,*,#199606,.T.); #265223=ORIENTED_EDGE('',*,*,#199608,.F.); #265224=ORIENTED_EDGE('',*,*,#199609,.F.); #265225=ORIENTED_EDGE('',*,*,#199610,.T.); #265226=ORIENTED_EDGE('',*,*,#199609,.T.); #265227=ORIENTED_EDGE('',*,*,#199611,.F.); #265228=ORIENTED_EDGE('',*,*,#199612,.F.); #265229=ORIENTED_EDGE('',*,*,#199613,.T.); #265230=ORIENTED_EDGE('',*,*,#199612,.T.); #265231=ORIENTED_EDGE('',*,*,#199614,.F.); #265232=ORIENTED_EDGE('',*,*,#199615,.F.); #265233=ORIENTED_EDGE('',*,*,#199616,.T.); #265234=ORIENTED_EDGE('',*,*,#199615,.T.); #265235=ORIENTED_EDGE('',*,*,#199617,.F.); #265236=ORIENTED_EDGE('',*,*,#199618,.F.); #265237=ORIENTED_EDGE('',*,*,#199619,.T.); #265238=ORIENTED_EDGE('',*,*,#199618,.T.); #265239=ORIENTED_EDGE('',*,*,#199620,.F.); #265240=ORIENTED_EDGE('',*,*,#199621,.F.); #265241=ORIENTED_EDGE('',*,*,#199622,.T.); #265242=ORIENTED_EDGE('',*,*,#199621,.T.); #265243=ORIENTED_EDGE('',*,*,#199623,.F.); #265244=ORIENTED_EDGE('',*,*,#199624,.F.); #265245=ORIENTED_EDGE('',*,*,#199625,.T.); #265246=ORIENTED_EDGE('',*,*,#199624,.T.); #265247=ORIENTED_EDGE('',*,*,#199626,.F.); #265248=ORIENTED_EDGE('',*,*,#199627,.F.); #265249=ORIENTED_EDGE('',*,*,#199628,.T.); #265250=ORIENTED_EDGE('',*,*,#199627,.T.); #265251=ORIENTED_EDGE('',*,*,#199629,.F.); #265252=ORIENTED_EDGE('',*,*,#199630,.F.); #265253=ORIENTED_EDGE('',*,*,#199631,.T.); #265254=ORIENTED_EDGE('',*,*,#199630,.T.); #265255=ORIENTED_EDGE('',*,*,#199632,.F.); #265256=ORIENTED_EDGE('',*,*,#199633,.F.); #265257=ORIENTED_EDGE('',*,*,#199634,.T.); #265258=ORIENTED_EDGE('',*,*,#199633,.T.); #265259=ORIENTED_EDGE('',*,*,#199635,.F.); #265260=ORIENTED_EDGE('',*,*,#199636,.F.); #265261=ORIENTED_EDGE('',*,*,#199637,.T.); #265262=ORIENTED_EDGE('',*,*,#199636,.T.); #265263=ORIENTED_EDGE('',*,*,#199638,.F.); #265264=ORIENTED_EDGE('',*,*,#199639,.F.); #265265=ORIENTED_EDGE('',*,*,#199640,.T.); #265266=ORIENTED_EDGE('',*,*,#199639,.T.); #265267=ORIENTED_EDGE('',*,*,#199641,.F.); #265268=ORIENTED_EDGE('',*,*,#199642,.F.); #265269=ORIENTED_EDGE('',*,*,#199643,.T.); #265270=ORIENTED_EDGE('',*,*,#199642,.T.); #265271=ORIENTED_EDGE('',*,*,#199644,.F.); #265272=ORIENTED_EDGE('',*,*,#199645,.F.); #265273=ORIENTED_EDGE('',*,*,#199646,.T.); #265274=ORIENTED_EDGE('',*,*,#199645,.T.); #265275=ORIENTED_EDGE('',*,*,#199647,.F.); #265276=ORIENTED_EDGE('',*,*,#199648,.F.); #265277=ORIENTED_EDGE('',*,*,#199649,.T.); #265278=ORIENTED_EDGE('',*,*,#199648,.T.); #265279=ORIENTED_EDGE('',*,*,#199650,.F.); #265280=ORIENTED_EDGE('',*,*,#199651,.F.); #265281=ORIENTED_EDGE('',*,*,#199652,.T.); #265282=ORIENTED_EDGE('',*,*,#199651,.T.); #265283=ORIENTED_EDGE('',*,*,#199653,.F.); #265284=ORIENTED_EDGE('',*,*,#199654,.F.); #265285=ORIENTED_EDGE('',*,*,#199655,.T.); #265286=ORIENTED_EDGE('',*,*,#199654,.T.); #265287=ORIENTED_EDGE('',*,*,#199656,.F.); #265288=ORIENTED_EDGE('',*,*,#199657,.F.); #265289=ORIENTED_EDGE('',*,*,#199658,.T.); #265290=ORIENTED_EDGE('',*,*,#199657,.T.); #265291=ORIENTED_EDGE('',*,*,#199659,.F.); #265292=ORIENTED_EDGE('',*,*,#199660,.F.); #265293=ORIENTED_EDGE('',*,*,#199661,.T.); #265294=ORIENTED_EDGE('',*,*,#199660,.T.); #265295=ORIENTED_EDGE('',*,*,#199662,.F.); #265296=ORIENTED_EDGE('',*,*,#199604,.F.); #265297=ORIENTED_EDGE('',*,*,#199662,.T.); #265298=ORIENTED_EDGE('',*,*,#199659,.T.); #265299=ORIENTED_EDGE('',*,*,#199656,.T.); #265300=ORIENTED_EDGE('',*,*,#199653,.T.); #265301=ORIENTED_EDGE('',*,*,#199650,.T.); #265302=ORIENTED_EDGE('',*,*,#199647,.T.); #265303=ORIENTED_EDGE('',*,*,#199644,.T.); #265304=ORIENTED_EDGE('',*,*,#199641,.T.); #265305=ORIENTED_EDGE('',*,*,#199638,.T.); #265306=ORIENTED_EDGE('',*,*,#199635,.T.); #265307=ORIENTED_EDGE('',*,*,#199632,.T.); #265308=ORIENTED_EDGE('',*,*,#199629,.T.); #265309=ORIENTED_EDGE('',*,*,#199626,.T.); #265310=ORIENTED_EDGE('',*,*,#199623,.T.); #265311=ORIENTED_EDGE('',*,*,#199620,.T.); #265312=ORIENTED_EDGE('',*,*,#199617,.T.); #265313=ORIENTED_EDGE('',*,*,#199614,.T.); #265314=ORIENTED_EDGE('',*,*,#199611,.T.); #265315=ORIENTED_EDGE('',*,*,#199608,.T.); #265316=ORIENTED_EDGE('',*,*,#199605,.T.); #265317=ORIENTED_EDGE('',*,*,#199661,.F.); #265318=ORIENTED_EDGE('',*,*,#199603,.F.); #265319=ORIENTED_EDGE('',*,*,#199607,.F.); #265320=ORIENTED_EDGE('',*,*,#199610,.F.); #265321=ORIENTED_EDGE('',*,*,#199613,.F.); #265322=ORIENTED_EDGE('',*,*,#199616,.F.); #265323=ORIENTED_EDGE('',*,*,#199619,.F.); #265324=ORIENTED_EDGE('',*,*,#199622,.F.); #265325=ORIENTED_EDGE('',*,*,#199625,.F.); #265326=ORIENTED_EDGE('',*,*,#199628,.F.); #265327=ORIENTED_EDGE('',*,*,#199631,.F.); #265328=ORIENTED_EDGE('',*,*,#199634,.F.); #265329=ORIENTED_EDGE('',*,*,#199637,.F.); #265330=ORIENTED_EDGE('',*,*,#199640,.F.); #265331=ORIENTED_EDGE('',*,*,#199643,.F.); #265332=ORIENTED_EDGE('',*,*,#199646,.F.); #265333=ORIENTED_EDGE('',*,*,#199649,.F.); #265334=ORIENTED_EDGE('',*,*,#199652,.F.); #265335=ORIENTED_EDGE('',*,*,#199655,.F.); #265336=ORIENTED_EDGE('',*,*,#199658,.F.); #265337=ORIENTED_EDGE('',*,*,#199663,.T.); #265338=ORIENTED_EDGE('',*,*,#199664,.T.); #265339=ORIENTED_EDGE('',*,*,#199665,.F.); #265340=ORIENTED_EDGE('',*,*,#199666,.F.); #265341=ORIENTED_EDGE('',*,*,#199667,.T.); #265342=ORIENTED_EDGE('',*,*,#199666,.T.); #265343=ORIENTED_EDGE('',*,*,#199668,.F.); #265344=ORIENTED_EDGE('',*,*,#199669,.F.); #265345=ORIENTED_EDGE('',*,*,#199670,.T.); #265346=ORIENTED_EDGE('',*,*,#199669,.T.); #265347=ORIENTED_EDGE('',*,*,#199671,.F.); #265348=ORIENTED_EDGE('',*,*,#199672,.F.); #265349=ORIENTED_EDGE('',*,*,#199673,.T.); #265350=ORIENTED_EDGE('',*,*,#199672,.T.); #265351=ORIENTED_EDGE('',*,*,#199674,.F.); #265352=ORIENTED_EDGE('',*,*,#199675,.F.); #265353=ORIENTED_EDGE('',*,*,#199676,.T.); #265354=ORIENTED_EDGE('',*,*,#199675,.T.); #265355=ORIENTED_EDGE('',*,*,#199677,.F.); #265356=ORIENTED_EDGE('',*,*,#199678,.F.); #265357=ORIENTED_EDGE('',*,*,#199679,.T.); #265358=ORIENTED_EDGE('',*,*,#199678,.T.); #265359=ORIENTED_EDGE('',*,*,#199680,.F.); #265360=ORIENTED_EDGE('',*,*,#199681,.F.); #265361=ORIENTED_EDGE('',*,*,#199682,.T.); #265362=ORIENTED_EDGE('',*,*,#199681,.T.); #265363=ORIENTED_EDGE('',*,*,#199683,.F.); #265364=ORIENTED_EDGE('',*,*,#199684,.F.); #265365=ORIENTED_EDGE('',*,*,#199685,.T.); #265366=ORIENTED_EDGE('',*,*,#199684,.T.); #265367=ORIENTED_EDGE('',*,*,#199686,.F.); #265368=ORIENTED_EDGE('',*,*,#199687,.F.); #265369=ORIENTED_EDGE('',*,*,#199688,.T.); #265370=ORIENTED_EDGE('',*,*,#199687,.T.); #265371=ORIENTED_EDGE('',*,*,#199689,.F.); #265372=ORIENTED_EDGE('',*,*,#199690,.F.); #265373=ORIENTED_EDGE('',*,*,#199691,.T.); #265374=ORIENTED_EDGE('',*,*,#199690,.T.); #265375=ORIENTED_EDGE('',*,*,#199692,.F.); #265376=ORIENTED_EDGE('',*,*,#199693,.F.); #265377=ORIENTED_EDGE('',*,*,#199694,.T.); #265378=ORIENTED_EDGE('',*,*,#199693,.T.); #265379=ORIENTED_EDGE('',*,*,#199695,.F.); #265380=ORIENTED_EDGE('',*,*,#199696,.F.); #265381=ORIENTED_EDGE('',*,*,#199697,.T.); #265382=ORIENTED_EDGE('',*,*,#199696,.T.); #265383=ORIENTED_EDGE('',*,*,#199698,.F.); #265384=ORIENTED_EDGE('',*,*,#199699,.F.); #265385=ORIENTED_EDGE('',*,*,#199700,.T.); #265386=ORIENTED_EDGE('',*,*,#199699,.T.); #265387=ORIENTED_EDGE('',*,*,#199701,.F.); #265388=ORIENTED_EDGE('',*,*,#199702,.F.); #265389=ORIENTED_EDGE('',*,*,#199703,.T.); #265390=ORIENTED_EDGE('',*,*,#199702,.T.); #265391=ORIENTED_EDGE('',*,*,#199704,.F.); #265392=ORIENTED_EDGE('',*,*,#199705,.F.); #265393=ORIENTED_EDGE('',*,*,#199706,.T.); #265394=ORIENTED_EDGE('',*,*,#199705,.T.); #265395=ORIENTED_EDGE('',*,*,#199707,.F.); #265396=ORIENTED_EDGE('',*,*,#199708,.F.); #265397=ORIENTED_EDGE('',*,*,#199709,.T.); #265398=ORIENTED_EDGE('',*,*,#199708,.T.); #265399=ORIENTED_EDGE('',*,*,#199710,.F.); #265400=ORIENTED_EDGE('',*,*,#199711,.F.); #265401=ORIENTED_EDGE('',*,*,#199712,.T.); #265402=ORIENTED_EDGE('',*,*,#199711,.T.); #265403=ORIENTED_EDGE('',*,*,#199713,.F.); #265404=ORIENTED_EDGE('',*,*,#199714,.F.); #265405=ORIENTED_EDGE('',*,*,#199715,.T.); #265406=ORIENTED_EDGE('',*,*,#199714,.T.); #265407=ORIENTED_EDGE('',*,*,#199716,.F.); #265408=ORIENTED_EDGE('',*,*,#199717,.F.); #265409=ORIENTED_EDGE('',*,*,#199718,.T.); #265410=ORIENTED_EDGE('',*,*,#199717,.T.); #265411=ORIENTED_EDGE('',*,*,#199719,.F.); #265412=ORIENTED_EDGE('',*,*,#199720,.F.); #265413=ORIENTED_EDGE('',*,*,#199721,.T.); #265414=ORIENTED_EDGE('',*,*,#199720,.T.); #265415=ORIENTED_EDGE('',*,*,#199722,.F.); #265416=ORIENTED_EDGE('',*,*,#199664,.F.); #265417=ORIENTED_EDGE('',*,*,#199722,.T.); #265418=ORIENTED_EDGE('',*,*,#199719,.T.); #265419=ORIENTED_EDGE('',*,*,#199716,.T.); #265420=ORIENTED_EDGE('',*,*,#199713,.T.); #265421=ORIENTED_EDGE('',*,*,#199710,.T.); #265422=ORIENTED_EDGE('',*,*,#199707,.T.); #265423=ORIENTED_EDGE('',*,*,#199704,.T.); #265424=ORIENTED_EDGE('',*,*,#199701,.T.); #265425=ORIENTED_EDGE('',*,*,#199698,.T.); #265426=ORIENTED_EDGE('',*,*,#199695,.T.); #265427=ORIENTED_EDGE('',*,*,#199692,.T.); #265428=ORIENTED_EDGE('',*,*,#199689,.T.); #265429=ORIENTED_EDGE('',*,*,#199686,.T.); #265430=ORIENTED_EDGE('',*,*,#199683,.T.); #265431=ORIENTED_EDGE('',*,*,#199680,.T.); #265432=ORIENTED_EDGE('',*,*,#199677,.T.); #265433=ORIENTED_EDGE('',*,*,#199674,.T.); #265434=ORIENTED_EDGE('',*,*,#199671,.T.); #265435=ORIENTED_EDGE('',*,*,#199668,.T.); #265436=ORIENTED_EDGE('',*,*,#199665,.T.); #265437=ORIENTED_EDGE('',*,*,#199721,.F.); #265438=ORIENTED_EDGE('',*,*,#199663,.F.); #265439=ORIENTED_EDGE('',*,*,#199667,.F.); #265440=ORIENTED_EDGE('',*,*,#199670,.F.); #265441=ORIENTED_EDGE('',*,*,#199673,.F.); #265442=ORIENTED_EDGE('',*,*,#199676,.F.); #265443=ORIENTED_EDGE('',*,*,#199679,.F.); #265444=ORIENTED_EDGE('',*,*,#199682,.F.); #265445=ORIENTED_EDGE('',*,*,#199685,.F.); #265446=ORIENTED_EDGE('',*,*,#199688,.F.); #265447=ORIENTED_EDGE('',*,*,#199691,.F.); #265448=ORIENTED_EDGE('',*,*,#199694,.F.); #265449=ORIENTED_EDGE('',*,*,#199697,.F.); #265450=ORIENTED_EDGE('',*,*,#199700,.F.); #265451=ORIENTED_EDGE('',*,*,#199703,.F.); #265452=ORIENTED_EDGE('',*,*,#199706,.F.); #265453=ORIENTED_EDGE('',*,*,#199709,.F.); #265454=ORIENTED_EDGE('',*,*,#199712,.F.); #265455=ORIENTED_EDGE('',*,*,#199715,.F.); #265456=ORIENTED_EDGE('',*,*,#199718,.F.); #265457=ORIENTED_EDGE('',*,*,#199723,.T.); #265458=ORIENTED_EDGE('',*,*,#199724,.T.); #265459=ORIENTED_EDGE('',*,*,#199725,.F.); #265460=ORIENTED_EDGE('',*,*,#199726,.F.); #265461=ORIENTED_EDGE('',*,*,#199727,.T.); #265462=ORIENTED_EDGE('',*,*,#199726,.T.); #265463=ORIENTED_EDGE('',*,*,#199728,.F.); #265464=ORIENTED_EDGE('',*,*,#199729,.F.); #265465=ORIENTED_EDGE('',*,*,#199730,.T.); #265466=ORIENTED_EDGE('',*,*,#199729,.T.); #265467=ORIENTED_EDGE('',*,*,#199731,.F.); #265468=ORIENTED_EDGE('',*,*,#199732,.F.); #265469=ORIENTED_EDGE('',*,*,#199733,.T.); #265470=ORIENTED_EDGE('',*,*,#199732,.T.); #265471=ORIENTED_EDGE('',*,*,#199734,.F.); #265472=ORIENTED_EDGE('',*,*,#199735,.F.); #265473=ORIENTED_EDGE('',*,*,#199736,.T.); #265474=ORIENTED_EDGE('',*,*,#199735,.T.); #265475=ORIENTED_EDGE('',*,*,#199737,.F.); #265476=ORIENTED_EDGE('',*,*,#199738,.F.); #265477=ORIENTED_EDGE('',*,*,#199739,.T.); #265478=ORIENTED_EDGE('',*,*,#199738,.T.); #265479=ORIENTED_EDGE('',*,*,#199740,.F.); #265480=ORIENTED_EDGE('',*,*,#199741,.F.); #265481=ORIENTED_EDGE('',*,*,#199742,.T.); #265482=ORIENTED_EDGE('',*,*,#199741,.T.); #265483=ORIENTED_EDGE('',*,*,#199743,.F.); #265484=ORIENTED_EDGE('',*,*,#199744,.F.); #265485=ORIENTED_EDGE('',*,*,#199745,.T.); #265486=ORIENTED_EDGE('',*,*,#199744,.T.); #265487=ORIENTED_EDGE('',*,*,#199746,.F.); #265488=ORIENTED_EDGE('',*,*,#199747,.F.); #265489=ORIENTED_EDGE('',*,*,#199748,.T.); #265490=ORIENTED_EDGE('',*,*,#199747,.T.); #265491=ORIENTED_EDGE('',*,*,#199749,.F.); #265492=ORIENTED_EDGE('',*,*,#199750,.F.); #265493=ORIENTED_EDGE('',*,*,#199751,.T.); #265494=ORIENTED_EDGE('',*,*,#199750,.T.); #265495=ORIENTED_EDGE('',*,*,#199752,.F.); #265496=ORIENTED_EDGE('',*,*,#199753,.F.); #265497=ORIENTED_EDGE('',*,*,#199754,.T.); #265498=ORIENTED_EDGE('',*,*,#199753,.T.); #265499=ORIENTED_EDGE('',*,*,#199755,.F.); #265500=ORIENTED_EDGE('',*,*,#199756,.F.); #265501=ORIENTED_EDGE('',*,*,#199757,.T.); #265502=ORIENTED_EDGE('',*,*,#199756,.T.); #265503=ORIENTED_EDGE('',*,*,#199758,.F.); #265504=ORIENTED_EDGE('',*,*,#199759,.F.); #265505=ORIENTED_EDGE('',*,*,#199760,.T.); #265506=ORIENTED_EDGE('',*,*,#199759,.T.); #265507=ORIENTED_EDGE('',*,*,#199761,.F.); #265508=ORIENTED_EDGE('',*,*,#199762,.F.); #265509=ORIENTED_EDGE('',*,*,#199763,.T.); #265510=ORIENTED_EDGE('',*,*,#199762,.T.); #265511=ORIENTED_EDGE('',*,*,#199764,.F.); #265512=ORIENTED_EDGE('',*,*,#199765,.F.); #265513=ORIENTED_EDGE('',*,*,#199766,.T.); #265514=ORIENTED_EDGE('',*,*,#199765,.T.); #265515=ORIENTED_EDGE('',*,*,#199767,.F.); #265516=ORIENTED_EDGE('',*,*,#199768,.F.); #265517=ORIENTED_EDGE('',*,*,#199769,.T.); #265518=ORIENTED_EDGE('',*,*,#199768,.T.); #265519=ORIENTED_EDGE('',*,*,#199770,.F.); #265520=ORIENTED_EDGE('',*,*,#199771,.F.); #265521=ORIENTED_EDGE('',*,*,#199772,.T.); #265522=ORIENTED_EDGE('',*,*,#199771,.T.); #265523=ORIENTED_EDGE('',*,*,#199773,.F.); #265524=ORIENTED_EDGE('',*,*,#199774,.F.); #265525=ORIENTED_EDGE('',*,*,#199775,.T.); #265526=ORIENTED_EDGE('',*,*,#199774,.T.); #265527=ORIENTED_EDGE('',*,*,#199776,.F.); #265528=ORIENTED_EDGE('',*,*,#199777,.F.); #265529=ORIENTED_EDGE('',*,*,#199778,.T.); #265530=ORIENTED_EDGE('',*,*,#199777,.T.); #265531=ORIENTED_EDGE('',*,*,#199779,.F.); #265532=ORIENTED_EDGE('',*,*,#199780,.F.); #265533=ORIENTED_EDGE('',*,*,#199781,.T.); #265534=ORIENTED_EDGE('',*,*,#199780,.T.); #265535=ORIENTED_EDGE('',*,*,#199782,.F.); #265536=ORIENTED_EDGE('',*,*,#199724,.F.); #265537=ORIENTED_EDGE('',*,*,#199782,.T.); #265538=ORIENTED_EDGE('',*,*,#199779,.T.); #265539=ORIENTED_EDGE('',*,*,#199776,.T.); #265540=ORIENTED_EDGE('',*,*,#199773,.T.); #265541=ORIENTED_EDGE('',*,*,#199770,.T.); #265542=ORIENTED_EDGE('',*,*,#199767,.T.); #265543=ORIENTED_EDGE('',*,*,#199764,.T.); #265544=ORIENTED_EDGE('',*,*,#199761,.T.); #265545=ORIENTED_EDGE('',*,*,#199758,.T.); #265546=ORIENTED_EDGE('',*,*,#199755,.T.); #265547=ORIENTED_EDGE('',*,*,#199752,.T.); #265548=ORIENTED_EDGE('',*,*,#199749,.T.); #265549=ORIENTED_EDGE('',*,*,#199746,.T.); #265550=ORIENTED_EDGE('',*,*,#199743,.T.); #265551=ORIENTED_EDGE('',*,*,#199740,.T.); #265552=ORIENTED_EDGE('',*,*,#199737,.T.); #265553=ORIENTED_EDGE('',*,*,#199734,.T.); #265554=ORIENTED_EDGE('',*,*,#199731,.T.); #265555=ORIENTED_EDGE('',*,*,#199728,.T.); #265556=ORIENTED_EDGE('',*,*,#199725,.T.); #265557=ORIENTED_EDGE('',*,*,#199781,.F.); #265558=ORIENTED_EDGE('',*,*,#199723,.F.); #265559=ORIENTED_EDGE('',*,*,#199727,.F.); #265560=ORIENTED_EDGE('',*,*,#199730,.F.); #265561=ORIENTED_EDGE('',*,*,#199733,.F.); #265562=ORIENTED_EDGE('',*,*,#199736,.F.); #265563=ORIENTED_EDGE('',*,*,#199739,.F.); #265564=ORIENTED_EDGE('',*,*,#199742,.F.); #265565=ORIENTED_EDGE('',*,*,#199745,.F.); #265566=ORIENTED_EDGE('',*,*,#199748,.F.); #265567=ORIENTED_EDGE('',*,*,#199751,.F.); #265568=ORIENTED_EDGE('',*,*,#199754,.F.); #265569=ORIENTED_EDGE('',*,*,#199757,.F.); #265570=ORIENTED_EDGE('',*,*,#199760,.F.); #265571=ORIENTED_EDGE('',*,*,#199763,.F.); #265572=ORIENTED_EDGE('',*,*,#199766,.F.); #265573=ORIENTED_EDGE('',*,*,#199769,.F.); #265574=ORIENTED_EDGE('',*,*,#199772,.F.); #265575=ORIENTED_EDGE('',*,*,#199775,.F.); #265576=ORIENTED_EDGE('',*,*,#199778,.F.); #265577=ORIENTED_EDGE('',*,*,#199783,.T.); #265578=ORIENTED_EDGE('',*,*,#199784,.T.); #265579=ORIENTED_EDGE('',*,*,#199785,.F.); #265580=ORIENTED_EDGE('',*,*,#199786,.F.); #265581=ORIENTED_EDGE('',*,*,#199787,.T.); #265582=ORIENTED_EDGE('',*,*,#199786,.T.); #265583=ORIENTED_EDGE('',*,*,#199788,.F.); #265584=ORIENTED_EDGE('',*,*,#199789,.F.); #265585=ORIENTED_EDGE('',*,*,#199790,.T.); #265586=ORIENTED_EDGE('',*,*,#199789,.T.); #265587=ORIENTED_EDGE('',*,*,#199791,.F.); #265588=ORIENTED_EDGE('',*,*,#199792,.F.); #265589=ORIENTED_EDGE('',*,*,#199793,.T.); #265590=ORIENTED_EDGE('',*,*,#199792,.T.); #265591=ORIENTED_EDGE('',*,*,#199794,.F.); #265592=ORIENTED_EDGE('',*,*,#199795,.F.); #265593=ORIENTED_EDGE('',*,*,#199796,.T.); #265594=ORIENTED_EDGE('',*,*,#199795,.T.); #265595=ORIENTED_EDGE('',*,*,#199797,.F.); #265596=ORIENTED_EDGE('',*,*,#199798,.F.); #265597=ORIENTED_EDGE('',*,*,#199799,.T.); #265598=ORIENTED_EDGE('',*,*,#199798,.T.); #265599=ORIENTED_EDGE('',*,*,#199800,.F.); #265600=ORIENTED_EDGE('',*,*,#199801,.F.); #265601=ORIENTED_EDGE('',*,*,#199802,.T.); #265602=ORIENTED_EDGE('',*,*,#199801,.T.); #265603=ORIENTED_EDGE('',*,*,#199803,.F.); #265604=ORIENTED_EDGE('',*,*,#199804,.F.); #265605=ORIENTED_EDGE('',*,*,#199805,.T.); #265606=ORIENTED_EDGE('',*,*,#199804,.T.); #265607=ORIENTED_EDGE('',*,*,#199806,.F.); #265608=ORIENTED_EDGE('',*,*,#199807,.F.); #265609=ORIENTED_EDGE('',*,*,#199808,.T.); #265610=ORIENTED_EDGE('',*,*,#199807,.T.); #265611=ORIENTED_EDGE('',*,*,#199809,.F.); #265612=ORIENTED_EDGE('',*,*,#199810,.F.); #265613=ORIENTED_EDGE('',*,*,#199811,.T.); #265614=ORIENTED_EDGE('',*,*,#199810,.T.); #265615=ORIENTED_EDGE('',*,*,#199812,.F.); #265616=ORIENTED_EDGE('',*,*,#199813,.F.); #265617=ORIENTED_EDGE('',*,*,#199814,.T.); #265618=ORIENTED_EDGE('',*,*,#199813,.T.); #265619=ORIENTED_EDGE('',*,*,#199815,.F.); #265620=ORIENTED_EDGE('',*,*,#199816,.F.); #265621=ORIENTED_EDGE('',*,*,#199817,.T.); #265622=ORIENTED_EDGE('',*,*,#199816,.T.); #265623=ORIENTED_EDGE('',*,*,#199818,.F.); #265624=ORIENTED_EDGE('',*,*,#199819,.F.); #265625=ORIENTED_EDGE('',*,*,#199820,.T.); #265626=ORIENTED_EDGE('',*,*,#199819,.T.); #265627=ORIENTED_EDGE('',*,*,#199821,.F.); #265628=ORIENTED_EDGE('',*,*,#199822,.F.); #265629=ORIENTED_EDGE('',*,*,#199823,.T.); #265630=ORIENTED_EDGE('',*,*,#199822,.T.); #265631=ORIENTED_EDGE('',*,*,#199824,.F.); #265632=ORIENTED_EDGE('',*,*,#199825,.F.); #265633=ORIENTED_EDGE('',*,*,#199826,.T.); #265634=ORIENTED_EDGE('',*,*,#199825,.T.); #265635=ORIENTED_EDGE('',*,*,#199827,.F.); #265636=ORIENTED_EDGE('',*,*,#199828,.F.); #265637=ORIENTED_EDGE('',*,*,#199829,.T.); #265638=ORIENTED_EDGE('',*,*,#199828,.T.); #265639=ORIENTED_EDGE('',*,*,#199830,.F.); #265640=ORIENTED_EDGE('',*,*,#199831,.F.); #265641=ORIENTED_EDGE('',*,*,#199832,.T.); #265642=ORIENTED_EDGE('',*,*,#199831,.T.); #265643=ORIENTED_EDGE('',*,*,#199833,.F.); #265644=ORIENTED_EDGE('',*,*,#199834,.F.); #265645=ORIENTED_EDGE('',*,*,#199835,.T.); #265646=ORIENTED_EDGE('',*,*,#199834,.T.); #265647=ORIENTED_EDGE('',*,*,#199836,.F.); #265648=ORIENTED_EDGE('',*,*,#199837,.F.); #265649=ORIENTED_EDGE('',*,*,#199838,.T.); #265650=ORIENTED_EDGE('',*,*,#199837,.T.); #265651=ORIENTED_EDGE('',*,*,#199839,.F.); #265652=ORIENTED_EDGE('',*,*,#199840,.F.); #265653=ORIENTED_EDGE('',*,*,#199841,.T.); #265654=ORIENTED_EDGE('',*,*,#199840,.T.); #265655=ORIENTED_EDGE('',*,*,#199842,.F.); #265656=ORIENTED_EDGE('',*,*,#199843,.F.); #265657=ORIENTED_EDGE('',*,*,#199844,.T.); #265658=ORIENTED_EDGE('',*,*,#199843,.T.); #265659=ORIENTED_EDGE('',*,*,#199845,.F.); #265660=ORIENTED_EDGE('',*,*,#199846,.F.); #265661=ORIENTED_EDGE('',*,*,#199847,.T.); #265662=ORIENTED_EDGE('',*,*,#199846,.T.); #265663=ORIENTED_EDGE('',*,*,#199848,.F.); #265664=ORIENTED_EDGE('',*,*,#199849,.F.); #265665=ORIENTED_EDGE('',*,*,#199850,.T.); #265666=ORIENTED_EDGE('',*,*,#199849,.T.); #265667=ORIENTED_EDGE('',*,*,#199851,.F.); #265668=ORIENTED_EDGE('',*,*,#199852,.F.); #265669=ORIENTED_EDGE('',*,*,#199853,.T.); #265670=ORIENTED_EDGE('',*,*,#199852,.T.); #265671=ORIENTED_EDGE('',*,*,#199854,.F.); #265672=ORIENTED_EDGE('',*,*,#199855,.F.); #265673=ORIENTED_EDGE('',*,*,#199856,.T.); #265674=ORIENTED_EDGE('',*,*,#199855,.T.); #265675=ORIENTED_EDGE('',*,*,#199857,.F.); #265676=ORIENTED_EDGE('',*,*,#199858,.F.); #265677=ORIENTED_EDGE('',*,*,#199859,.T.); #265678=ORIENTED_EDGE('',*,*,#199858,.T.); #265679=ORIENTED_EDGE('',*,*,#199860,.F.); #265680=ORIENTED_EDGE('',*,*,#199861,.F.); #265681=ORIENTED_EDGE('',*,*,#199862,.T.); #265682=ORIENTED_EDGE('',*,*,#199861,.T.); #265683=ORIENTED_EDGE('',*,*,#199863,.F.); #265684=ORIENTED_EDGE('',*,*,#199864,.F.); #265685=ORIENTED_EDGE('',*,*,#199865,.T.); #265686=ORIENTED_EDGE('',*,*,#199864,.T.); #265687=ORIENTED_EDGE('',*,*,#199866,.F.); #265688=ORIENTED_EDGE('',*,*,#199867,.F.); #265689=ORIENTED_EDGE('',*,*,#199868,.T.); #265690=ORIENTED_EDGE('',*,*,#199867,.T.); #265691=ORIENTED_EDGE('',*,*,#199869,.F.); #265692=ORIENTED_EDGE('',*,*,#199870,.F.); #265693=ORIENTED_EDGE('',*,*,#199871,.T.); #265694=ORIENTED_EDGE('',*,*,#199870,.T.); #265695=ORIENTED_EDGE('',*,*,#199872,.F.); #265696=ORIENTED_EDGE('',*,*,#199873,.F.); #265697=ORIENTED_EDGE('',*,*,#199874,.T.); #265698=ORIENTED_EDGE('',*,*,#199873,.T.); #265699=ORIENTED_EDGE('',*,*,#199875,.F.); #265700=ORIENTED_EDGE('',*,*,#199876,.F.); #265701=ORIENTED_EDGE('',*,*,#199877,.T.); #265702=ORIENTED_EDGE('',*,*,#199876,.T.); #265703=ORIENTED_EDGE('',*,*,#199878,.F.); #265704=ORIENTED_EDGE('',*,*,#199879,.F.); #265705=ORIENTED_EDGE('',*,*,#199880,.T.); #265706=ORIENTED_EDGE('',*,*,#199879,.T.); #265707=ORIENTED_EDGE('',*,*,#199881,.F.); #265708=ORIENTED_EDGE('',*,*,#199882,.F.); #265709=ORIENTED_EDGE('',*,*,#199883,.T.); #265710=ORIENTED_EDGE('',*,*,#199882,.T.); #265711=ORIENTED_EDGE('',*,*,#199884,.F.); #265712=ORIENTED_EDGE('',*,*,#199885,.F.); #265713=ORIENTED_EDGE('',*,*,#199886,.T.); #265714=ORIENTED_EDGE('',*,*,#199885,.T.); #265715=ORIENTED_EDGE('',*,*,#199887,.F.); #265716=ORIENTED_EDGE('',*,*,#199888,.F.); #265717=ORIENTED_EDGE('',*,*,#199889,.T.); #265718=ORIENTED_EDGE('',*,*,#199888,.T.); #265719=ORIENTED_EDGE('',*,*,#199890,.F.); #265720=ORIENTED_EDGE('',*,*,#199891,.F.); #265721=ORIENTED_EDGE('',*,*,#199892,.T.); #265722=ORIENTED_EDGE('',*,*,#199891,.T.); #265723=ORIENTED_EDGE('',*,*,#199893,.F.); #265724=ORIENTED_EDGE('',*,*,#199894,.F.); #265725=ORIENTED_EDGE('',*,*,#199895,.T.); #265726=ORIENTED_EDGE('',*,*,#199894,.T.); #265727=ORIENTED_EDGE('',*,*,#199896,.F.); #265728=ORIENTED_EDGE('',*,*,#199897,.F.); #265729=ORIENTED_EDGE('',*,*,#199898,.T.); #265730=ORIENTED_EDGE('',*,*,#199897,.T.); #265731=ORIENTED_EDGE('',*,*,#199899,.F.); #265732=ORIENTED_EDGE('',*,*,#199900,.F.); #265733=ORIENTED_EDGE('',*,*,#199901,.T.); #265734=ORIENTED_EDGE('',*,*,#199900,.T.); #265735=ORIENTED_EDGE('',*,*,#199902,.F.); #265736=ORIENTED_EDGE('',*,*,#199784,.F.); #265737=ORIENTED_EDGE('',*,*,#199902,.T.); #265738=ORIENTED_EDGE('',*,*,#199899,.T.); #265739=ORIENTED_EDGE('',*,*,#199896,.T.); #265740=ORIENTED_EDGE('',*,*,#199893,.T.); #265741=ORIENTED_EDGE('',*,*,#199890,.T.); #265742=ORIENTED_EDGE('',*,*,#199887,.T.); #265743=ORIENTED_EDGE('',*,*,#199884,.T.); #265744=ORIENTED_EDGE('',*,*,#199881,.T.); #265745=ORIENTED_EDGE('',*,*,#199878,.T.); #265746=ORIENTED_EDGE('',*,*,#199875,.T.); #265747=ORIENTED_EDGE('',*,*,#199872,.T.); #265748=ORIENTED_EDGE('',*,*,#199869,.T.); #265749=ORIENTED_EDGE('',*,*,#199866,.T.); #265750=ORIENTED_EDGE('',*,*,#199863,.T.); #265751=ORIENTED_EDGE('',*,*,#199860,.T.); #265752=ORIENTED_EDGE('',*,*,#199857,.T.); #265753=ORIENTED_EDGE('',*,*,#199854,.T.); #265754=ORIENTED_EDGE('',*,*,#199851,.T.); #265755=ORIENTED_EDGE('',*,*,#199848,.T.); #265756=ORIENTED_EDGE('',*,*,#199845,.T.); #265757=ORIENTED_EDGE('',*,*,#199842,.T.); #265758=ORIENTED_EDGE('',*,*,#199839,.T.); #265759=ORIENTED_EDGE('',*,*,#199836,.T.); #265760=ORIENTED_EDGE('',*,*,#199833,.T.); #265761=ORIENTED_EDGE('',*,*,#199830,.T.); #265762=ORIENTED_EDGE('',*,*,#199827,.T.); #265763=ORIENTED_EDGE('',*,*,#199824,.T.); #265764=ORIENTED_EDGE('',*,*,#199821,.T.); #265765=ORIENTED_EDGE('',*,*,#199818,.T.); #265766=ORIENTED_EDGE('',*,*,#199815,.T.); #265767=ORIENTED_EDGE('',*,*,#199812,.T.); #265768=ORIENTED_EDGE('',*,*,#199809,.T.); #265769=ORIENTED_EDGE('',*,*,#199806,.T.); #265770=ORIENTED_EDGE('',*,*,#199803,.T.); #265771=ORIENTED_EDGE('',*,*,#199800,.T.); #265772=ORIENTED_EDGE('',*,*,#199797,.T.); #265773=ORIENTED_EDGE('',*,*,#199794,.T.); #265774=ORIENTED_EDGE('',*,*,#199791,.T.); #265775=ORIENTED_EDGE('',*,*,#199788,.T.); #265776=ORIENTED_EDGE('',*,*,#199785,.T.); #265777=ORIENTED_EDGE('',*,*,#199901,.F.); #265778=ORIENTED_EDGE('',*,*,#199783,.F.); #265779=ORIENTED_EDGE('',*,*,#199787,.F.); #265780=ORIENTED_EDGE('',*,*,#199790,.F.); #265781=ORIENTED_EDGE('',*,*,#199793,.F.); #265782=ORIENTED_EDGE('',*,*,#199796,.F.); #265783=ORIENTED_EDGE('',*,*,#199799,.F.); #265784=ORIENTED_EDGE('',*,*,#199802,.F.); #265785=ORIENTED_EDGE('',*,*,#199805,.F.); #265786=ORIENTED_EDGE('',*,*,#199808,.F.); #265787=ORIENTED_EDGE('',*,*,#199811,.F.); #265788=ORIENTED_EDGE('',*,*,#199814,.F.); #265789=ORIENTED_EDGE('',*,*,#199817,.F.); #265790=ORIENTED_EDGE('',*,*,#199820,.F.); #265791=ORIENTED_EDGE('',*,*,#199823,.F.); #265792=ORIENTED_EDGE('',*,*,#199826,.F.); #265793=ORIENTED_EDGE('',*,*,#199829,.F.); #265794=ORIENTED_EDGE('',*,*,#199832,.F.); #265795=ORIENTED_EDGE('',*,*,#199835,.F.); #265796=ORIENTED_EDGE('',*,*,#199838,.F.); #265797=ORIENTED_EDGE('',*,*,#199841,.F.); #265798=ORIENTED_EDGE('',*,*,#199844,.F.); #265799=ORIENTED_EDGE('',*,*,#199847,.F.); #265800=ORIENTED_EDGE('',*,*,#199850,.F.); #265801=ORIENTED_EDGE('',*,*,#199853,.F.); #265802=ORIENTED_EDGE('',*,*,#199856,.F.); #265803=ORIENTED_EDGE('',*,*,#199859,.F.); #265804=ORIENTED_EDGE('',*,*,#199862,.F.); #265805=ORIENTED_EDGE('',*,*,#199865,.F.); #265806=ORIENTED_EDGE('',*,*,#199868,.F.); #265807=ORIENTED_EDGE('',*,*,#199871,.F.); #265808=ORIENTED_EDGE('',*,*,#199874,.F.); #265809=ORIENTED_EDGE('',*,*,#199877,.F.); #265810=ORIENTED_EDGE('',*,*,#199880,.F.); #265811=ORIENTED_EDGE('',*,*,#199883,.F.); #265812=ORIENTED_EDGE('',*,*,#199886,.F.); #265813=ORIENTED_EDGE('',*,*,#199889,.F.); #265814=ORIENTED_EDGE('',*,*,#199892,.F.); #265815=ORIENTED_EDGE('',*,*,#199895,.F.); #265816=ORIENTED_EDGE('',*,*,#199898,.F.); #265817=ORIENTED_EDGE('',*,*,#199903,.F.); #265818=ORIENTED_EDGE('',*,*,#199904,.T.); #265819=ORIENTED_EDGE('',*,*,#199905,.F.); #265820=ORIENTED_EDGE('',*,*,#199904,.F.); #265821=ORIENTED_EDGE('',*,*,#199906,.F.); #265822=ORIENTED_EDGE('',*,*,#199907,.F.); #265823=ORIENTED_EDGE('',*,*,#199908,.F.); #265824=ORIENTED_EDGE('',*,*,#199909,.T.); #265825=ORIENTED_EDGE('',*,*,#199910,.F.); #265826=ORIENTED_EDGE('',*,*,#199909,.F.); #265827=ORIENTED_EDGE('',*,*,#199911,.F.); #265828=ORIENTED_EDGE('',*,*,#199912,.T.); #265829=ORIENTED_EDGE('',*,*,#199913,.F.); #265830=ORIENTED_EDGE('',*,*,#199912,.F.); #265831=ORIENTED_EDGE('',*,*,#199914,.F.); #265832=ORIENTED_EDGE('',*,*,#199915,.T.); #265833=ORIENTED_EDGE('',*,*,#199916,.F.); #265834=ORIENTED_EDGE('',*,*,#199915,.F.); #265835=ORIENTED_EDGE('',*,*,#199917,.F.); #265836=ORIENTED_EDGE('',*,*,#199907,.T.); #265837=ORIENTED_EDGE('',*,*,#199918,.T.); #265838=ORIENTED_EDGE('',*,*,#199919,.T.); #265839=ORIENTED_EDGE('',*,*,#199920,.F.); #265840=ORIENTED_EDGE('',*,*,#199921,.F.); #265841=ORIENTED_EDGE('',*,*,#199922,.T.); #265842=ORIENTED_EDGE('',*,*,#199921,.T.); #265843=ORIENTED_EDGE('',*,*,#199923,.F.); #265844=ORIENTED_EDGE('',*,*,#199924,.F.); #265845=ORIENTED_EDGE('',*,*,#199925,.T.); #265846=ORIENTED_EDGE('',*,*,#199924,.T.); #265847=ORIENTED_EDGE('',*,*,#199926,.F.); #265848=ORIENTED_EDGE('',*,*,#199927,.F.); #265849=ORIENTED_EDGE('',*,*,#199928,.T.); #265850=ORIENTED_EDGE('',*,*,#199927,.T.); #265851=ORIENTED_EDGE('',*,*,#199929,.F.); #265852=ORIENTED_EDGE('',*,*,#199930,.F.); #265853=ORIENTED_EDGE('',*,*,#199931,.T.); #265854=ORIENTED_EDGE('',*,*,#199930,.T.); #265855=ORIENTED_EDGE('',*,*,#199932,.F.); #265856=ORIENTED_EDGE('',*,*,#199933,.F.); #265857=ORIENTED_EDGE('',*,*,#199934,.T.); #265858=ORIENTED_EDGE('',*,*,#199933,.T.); #265859=ORIENTED_EDGE('',*,*,#199935,.F.); #265860=ORIENTED_EDGE('',*,*,#199936,.F.); #265861=ORIENTED_EDGE('',*,*,#199937,.T.); #265862=ORIENTED_EDGE('',*,*,#199936,.T.); #265863=ORIENTED_EDGE('',*,*,#199938,.F.); #265864=ORIENTED_EDGE('',*,*,#199939,.F.); #265865=ORIENTED_EDGE('',*,*,#199940,.T.); #265866=ORIENTED_EDGE('',*,*,#199939,.T.); #265867=ORIENTED_EDGE('',*,*,#199941,.F.); #265868=ORIENTED_EDGE('',*,*,#199942,.F.); #265869=ORIENTED_EDGE('',*,*,#199943,.T.); #265870=ORIENTED_EDGE('',*,*,#199942,.T.); #265871=ORIENTED_EDGE('',*,*,#199944,.F.); #265872=ORIENTED_EDGE('',*,*,#199945,.F.); #265873=ORIENTED_EDGE('',*,*,#199946,.T.); #265874=ORIENTED_EDGE('',*,*,#199945,.T.); #265875=ORIENTED_EDGE('',*,*,#199947,.F.); #265876=ORIENTED_EDGE('',*,*,#199948,.F.); #265877=ORIENTED_EDGE('',*,*,#199949,.T.); #265878=ORIENTED_EDGE('',*,*,#199948,.T.); #265879=ORIENTED_EDGE('',*,*,#199950,.F.); #265880=ORIENTED_EDGE('',*,*,#199951,.F.); #265881=ORIENTED_EDGE('',*,*,#199952,.T.); #265882=ORIENTED_EDGE('',*,*,#199951,.T.); #265883=ORIENTED_EDGE('',*,*,#199953,.F.); #265884=ORIENTED_EDGE('',*,*,#199954,.F.); #265885=ORIENTED_EDGE('',*,*,#199955,.T.); #265886=ORIENTED_EDGE('',*,*,#199954,.T.); #265887=ORIENTED_EDGE('',*,*,#199956,.F.); #265888=ORIENTED_EDGE('',*,*,#199957,.F.); #265889=ORIENTED_EDGE('',*,*,#199958,.T.); #265890=ORIENTED_EDGE('',*,*,#199957,.T.); #265891=ORIENTED_EDGE('',*,*,#199959,.F.); #265892=ORIENTED_EDGE('',*,*,#199960,.F.); #265893=ORIENTED_EDGE('',*,*,#199961,.T.); #265894=ORIENTED_EDGE('',*,*,#199960,.T.); #265895=ORIENTED_EDGE('',*,*,#199962,.F.); #265896=ORIENTED_EDGE('',*,*,#199963,.F.); #265897=ORIENTED_EDGE('',*,*,#199964,.T.); #265898=ORIENTED_EDGE('',*,*,#199963,.T.); #265899=ORIENTED_EDGE('',*,*,#199965,.F.); #265900=ORIENTED_EDGE('',*,*,#199966,.F.); #265901=ORIENTED_EDGE('',*,*,#199967,.T.); #265902=ORIENTED_EDGE('',*,*,#199966,.T.); #265903=ORIENTED_EDGE('',*,*,#199968,.F.); #265904=ORIENTED_EDGE('',*,*,#199969,.F.); #265905=ORIENTED_EDGE('',*,*,#199970,.T.); #265906=ORIENTED_EDGE('',*,*,#199969,.T.); #265907=ORIENTED_EDGE('',*,*,#199971,.F.); #265908=ORIENTED_EDGE('',*,*,#199972,.F.); #265909=ORIENTED_EDGE('',*,*,#199973,.T.); #265910=ORIENTED_EDGE('',*,*,#199972,.T.); #265911=ORIENTED_EDGE('',*,*,#199974,.F.); #265912=ORIENTED_EDGE('',*,*,#199975,.F.); #265913=ORIENTED_EDGE('',*,*,#199976,.T.); #265914=ORIENTED_EDGE('',*,*,#199975,.T.); #265915=ORIENTED_EDGE('',*,*,#199977,.F.); #265916=ORIENTED_EDGE('',*,*,#199978,.F.); #265917=ORIENTED_EDGE('',*,*,#199979,.T.); #265918=ORIENTED_EDGE('',*,*,#199978,.T.); #265919=ORIENTED_EDGE('',*,*,#199980,.F.); #265920=ORIENTED_EDGE('',*,*,#199981,.F.); #265921=ORIENTED_EDGE('',*,*,#199982,.T.); #265922=ORIENTED_EDGE('',*,*,#199981,.T.); #265923=ORIENTED_EDGE('',*,*,#199983,.F.); #265924=ORIENTED_EDGE('',*,*,#199984,.F.); #265925=ORIENTED_EDGE('',*,*,#199985,.T.); #265926=ORIENTED_EDGE('',*,*,#199984,.T.); #265927=ORIENTED_EDGE('',*,*,#199986,.F.); #265928=ORIENTED_EDGE('',*,*,#199987,.F.); #265929=ORIENTED_EDGE('',*,*,#199988,.T.); #265930=ORIENTED_EDGE('',*,*,#199987,.T.); #265931=ORIENTED_EDGE('',*,*,#199989,.F.); #265932=ORIENTED_EDGE('',*,*,#199990,.F.); #265933=ORIENTED_EDGE('',*,*,#199991,.T.); #265934=ORIENTED_EDGE('',*,*,#199990,.T.); #265935=ORIENTED_EDGE('',*,*,#199992,.F.); #265936=ORIENTED_EDGE('',*,*,#199993,.F.); #265937=ORIENTED_EDGE('',*,*,#199994,.T.); #265938=ORIENTED_EDGE('',*,*,#199993,.T.); #265939=ORIENTED_EDGE('',*,*,#199995,.F.); #265940=ORIENTED_EDGE('',*,*,#199996,.F.); #265941=ORIENTED_EDGE('',*,*,#199997,.T.); #265942=ORIENTED_EDGE('',*,*,#199996,.T.); #265943=ORIENTED_EDGE('',*,*,#199998,.F.); #265944=ORIENTED_EDGE('',*,*,#199999,.F.); #265945=ORIENTED_EDGE('',*,*,#200000,.T.); #265946=ORIENTED_EDGE('',*,*,#199999,.T.); #265947=ORIENTED_EDGE('',*,*,#200001,.F.); #265948=ORIENTED_EDGE('',*,*,#200002,.F.); #265949=ORIENTED_EDGE('',*,*,#200003,.T.); #265950=ORIENTED_EDGE('',*,*,#200002,.T.); #265951=ORIENTED_EDGE('',*,*,#200004,.F.); #265952=ORIENTED_EDGE('',*,*,#200005,.F.); #265953=ORIENTED_EDGE('',*,*,#200006,.T.); #265954=ORIENTED_EDGE('',*,*,#200005,.T.); #265955=ORIENTED_EDGE('',*,*,#200007,.F.); #265956=ORIENTED_EDGE('',*,*,#200008,.F.); #265957=ORIENTED_EDGE('',*,*,#200009,.T.); #265958=ORIENTED_EDGE('',*,*,#200008,.T.); #265959=ORIENTED_EDGE('',*,*,#200010,.F.); #265960=ORIENTED_EDGE('',*,*,#200011,.F.); #265961=ORIENTED_EDGE('',*,*,#200012,.T.); #265962=ORIENTED_EDGE('',*,*,#200011,.T.); #265963=ORIENTED_EDGE('',*,*,#200013,.F.); #265964=ORIENTED_EDGE('',*,*,#200014,.F.); #265965=ORIENTED_EDGE('',*,*,#200015,.T.); #265966=ORIENTED_EDGE('',*,*,#200014,.T.); #265967=ORIENTED_EDGE('',*,*,#200016,.F.); #265968=ORIENTED_EDGE('',*,*,#200017,.F.); #265969=ORIENTED_EDGE('',*,*,#200018,.T.); #265970=ORIENTED_EDGE('',*,*,#200017,.T.); #265971=ORIENTED_EDGE('',*,*,#200019,.F.); #265972=ORIENTED_EDGE('',*,*,#200020,.F.); #265973=ORIENTED_EDGE('',*,*,#200021,.T.); #265974=ORIENTED_EDGE('',*,*,#200020,.T.); #265975=ORIENTED_EDGE('',*,*,#200022,.F.); #265976=ORIENTED_EDGE('',*,*,#200023,.F.); #265977=ORIENTED_EDGE('',*,*,#200024,.T.); #265978=ORIENTED_EDGE('',*,*,#200023,.T.); #265979=ORIENTED_EDGE('',*,*,#200025,.F.); #265980=ORIENTED_EDGE('',*,*,#200026,.F.); #265981=ORIENTED_EDGE('',*,*,#200027,.T.); #265982=ORIENTED_EDGE('',*,*,#200026,.T.); #265983=ORIENTED_EDGE('',*,*,#200028,.F.); #265984=ORIENTED_EDGE('',*,*,#200029,.F.); #265985=ORIENTED_EDGE('',*,*,#200030,.T.); #265986=ORIENTED_EDGE('',*,*,#200029,.T.); #265987=ORIENTED_EDGE('',*,*,#200031,.F.); #265988=ORIENTED_EDGE('',*,*,#200032,.F.); #265989=ORIENTED_EDGE('',*,*,#200033,.T.); #265990=ORIENTED_EDGE('',*,*,#200032,.T.); #265991=ORIENTED_EDGE('',*,*,#200034,.F.); #265992=ORIENTED_EDGE('',*,*,#200035,.F.); #265993=ORIENTED_EDGE('',*,*,#200036,.T.); #265994=ORIENTED_EDGE('',*,*,#200035,.T.); #265995=ORIENTED_EDGE('',*,*,#200037,.F.); #265996=ORIENTED_EDGE('',*,*,#200038,.F.); #265997=ORIENTED_EDGE('',*,*,#200039,.T.); #265998=ORIENTED_EDGE('',*,*,#200038,.T.); #265999=ORIENTED_EDGE('',*,*,#200040,.F.); #266000=ORIENTED_EDGE('',*,*,#200041,.F.); #266001=ORIENTED_EDGE('',*,*,#200042,.T.); #266002=ORIENTED_EDGE('',*,*,#200041,.T.); #266003=ORIENTED_EDGE('',*,*,#200043,.F.); #266004=ORIENTED_EDGE('',*,*,#200044,.F.); #266005=ORIENTED_EDGE('',*,*,#200045,.T.); #266006=ORIENTED_EDGE('',*,*,#200044,.T.); #266007=ORIENTED_EDGE('',*,*,#200046,.F.); #266008=ORIENTED_EDGE('',*,*,#200047,.F.); #266009=ORIENTED_EDGE('',*,*,#200048,.T.); #266010=ORIENTED_EDGE('',*,*,#200047,.T.); #266011=ORIENTED_EDGE('',*,*,#200049,.F.); #266012=ORIENTED_EDGE('',*,*,#200050,.F.); #266013=ORIENTED_EDGE('',*,*,#200051,.T.); #266014=ORIENTED_EDGE('',*,*,#200050,.T.); #266015=ORIENTED_EDGE('',*,*,#200052,.F.); #266016=ORIENTED_EDGE('',*,*,#200053,.F.); #266017=ORIENTED_EDGE('',*,*,#200054,.T.); #266018=ORIENTED_EDGE('',*,*,#200053,.T.); #266019=ORIENTED_EDGE('',*,*,#200055,.F.); #266020=ORIENTED_EDGE('',*,*,#200056,.F.); #266021=ORIENTED_EDGE('',*,*,#200057,.T.); #266022=ORIENTED_EDGE('',*,*,#200056,.T.); #266023=ORIENTED_EDGE('',*,*,#200058,.F.); #266024=ORIENTED_EDGE('',*,*,#200059,.F.); #266025=ORIENTED_EDGE('',*,*,#200060,.T.); #266026=ORIENTED_EDGE('',*,*,#200059,.T.); #266027=ORIENTED_EDGE('',*,*,#200061,.F.); #266028=ORIENTED_EDGE('',*,*,#200062,.F.); #266029=ORIENTED_EDGE('',*,*,#200063,.T.); #266030=ORIENTED_EDGE('',*,*,#200062,.T.); #266031=ORIENTED_EDGE('',*,*,#200064,.F.); #266032=ORIENTED_EDGE('',*,*,#200065,.F.); #266033=ORIENTED_EDGE('',*,*,#200066,.T.); #266034=ORIENTED_EDGE('',*,*,#200065,.T.); #266035=ORIENTED_EDGE('',*,*,#200067,.F.); #266036=ORIENTED_EDGE('',*,*,#200068,.F.); #266037=ORIENTED_EDGE('',*,*,#200069,.T.); #266038=ORIENTED_EDGE('',*,*,#200068,.T.); #266039=ORIENTED_EDGE('',*,*,#200070,.F.); #266040=ORIENTED_EDGE('',*,*,#200071,.F.); #266041=ORIENTED_EDGE('',*,*,#200072,.T.); #266042=ORIENTED_EDGE('',*,*,#200071,.T.); #266043=ORIENTED_EDGE('',*,*,#200073,.F.); #266044=ORIENTED_EDGE('',*,*,#200074,.F.); #266045=ORIENTED_EDGE('',*,*,#200075,.T.); #266046=ORIENTED_EDGE('',*,*,#200074,.T.); #266047=ORIENTED_EDGE('',*,*,#200076,.F.); #266048=ORIENTED_EDGE('',*,*,#200077,.F.); #266049=ORIENTED_EDGE('',*,*,#200078,.T.); #266050=ORIENTED_EDGE('',*,*,#200077,.T.); #266051=ORIENTED_EDGE('',*,*,#200079,.F.); #266052=ORIENTED_EDGE('',*,*,#200080,.F.); #266053=ORIENTED_EDGE('',*,*,#200081,.T.); #266054=ORIENTED_EDGE('',*,*,#200080,.T.); #266055=ORIENTED_EDGE('',*,*,#200082,.F.); #266056=ORIENTED_EDGE('',*,*,#200083,.F.); #266057=ORIENTED_EDGE('',*,*,#200084,.T.); #266058=ORIENTED_EDGE('',*,*,#200083,.T.); #266059=ORIENTED_EDGE('',*,*,#200085,.F.); #266060=ORIENTED_EDGE('',*,*,#200086,.F.); #266061=ORIENTED_EDGE('',*,*,#200087,.T.); #266062=ORIENTED_EDGE('',*,*,#200086,.T.); #266063=ORIENTED_EDGE('',*,*,#200088,.F.); #266064=ORIENTED_EDGE('',*,*,#200089,.F.); #266065=ORIENTED_EDGE('',*,*,#200090,.T.); #266066=ORIENTED_EDGE('',*,*,#200089,.T.); #266067=ORIENTED_EDGE('',*,*,#200091,.F.); #266068=ORIENTED_EDGE('',*,*,#200092,.F.); #266069=ORIENTED_EDGE('',*,*,#200093,.T.); #266070=ORIENTED_EDGE('',*,*,#200092,.T.); #266071=ORIENTED_EDGE('',*,*,#200094,.F.); #266072=ORIENTED_EDGE('',*,*,#200095,.F.); #266073=ORIENTED_EDGE('',*,*,#200096,.T.); #266074=ORIENTED_EDGE('',*,*,#200095,.T.); #266075=ORIENTED_EDGE('',*,*,#200097,.F.); #266076=ORIENTED_EDGE('',*,*,#200098,.F.); #266077=ORIENTED_EDGE('',*,*,#200099,.T.); #266078=ORIENTED_EDGE('',*,*,#200098,.T.); #266079=ORIENTED_EDGE('',*,*,#200100,.F.); #266080=ORIENTED_EDGE('',*,*,#200101,.F.); #266081=ORIENTED_EDGE('',*,*,#200102,.T.); #266082=ORIENTED_EDGE('',*,*,#200101,.T.); #266083=ORIENTED_EDGE('',*,*,#200103,.F.); #266084=ORIENTED_EDGE('',*,*,#200104,.F.); #266085=ORIENTED_EDGE('',*,*,#200105,.T.); #266086=ORIENTED_EDGE('',*,*,#200104,.T.); #266087=ORIENTED_EDGE('',*,*,#200106,.F.); #266088=ORIENTED_EDGE('',*,*,#200107,.F.); #266089=ORIENTED_EDGE('',*,*,#200108,.T.); #266090=ORIENTED_EDGE('',*,*,#200107,.T.); #266091=ORIENTED_EDGE('',*,*,#200109,.F.); #266092=ORIENTED_EDGE('',*,*,#200110,.F.); #266093=ORIENTED_EDGE('',*,*,#200111,.T.); #266094=ORIENTED_EDGE('',*,*,#200110,.T.); #266095=ORIENTED_EDGE('',*,*,#200112,.F.); #266096=ORIENTED_EDGE('',*,*,#200113,.F.); #266097=ORIENTED_EDGE('',*,*,#200114,.T.); #266098=ORIENTED_EDGE('',*,*,#200113,.T.); #266099=ORIENTED_EDGE('',*,*,#200115,.F.); #266100=ORIENTED_EDGE('',*,*,#200116,.F.); #266101=ORIENTED_EDGE('',*,*,#200117,.T.); #266102=ORIENTED_EDGE('',*,*,#200116,.T.); #266103=ORIENTED_EDGE('',*,*,#200118,.F.); #266104=ORIENTED_EDGE('',*,*,#200119,.F.); #266105=ORIENTED_EDGE('',*,*,#200120,.T.); #266106=ORIENTED_EDGE('',*,*,#200119,.T.); #266107=ORIENTED_EDGE('',*,*,#200121,.F.); #266108=ORIENTED_EDGE('',*,*,#200122,.F.); #266109=ORIENTED_EDGE('',*,*,#200123,.T.); #266110=ORIENTED_EDGE('',*,*,#200122,.T.); #266111=ORIENTED_EDGE('',*,*,#200124,.F.); #266112=ORIENTED_EDGE('',*,*,#200125,.F.); #266113=ORIENTED_EDGE('',*,*,#200126,.T.); #266114=ORIENTED_EDGE('',*,*,#200125,.T.); #266115=ORIENTED_EDGE('',*,*,#200127,.F.); #266116=ORIENTED_EDGE('',*,*,#200128,.F.); #266117=ORIENTED_EDGE('',*,*,#200129,.T.); #266118=ORIENTED_EDGE('',*,*,#200128,.T.); #266119=ORIENTED_EDGE('',*,*,#200130,.F.); #266120=ORIENTED_EDGE('',*,*,#200131,.F.); #266121=ORIENTED_EDGE('',*,*,#200132,.T.); #266122=ORIENTED_EDGE('',*,*,#200131,.T.); #266123=ORIENTED_EDGE('',*,*,#200133,.F.); #266124=ORIENTED_EDGE('',*,*,#200134,.F.); #266125=ORIENTED_EDGE('',*,*,#200135,.T.); #266126=ORIENTED_EDGE('',*,*,#200134,.T.); #266127=ORIENTED_EDGE('',*,*,#200136,.F.); #266128=ORIENTED_EDGE('',*,*,#200137,.F.); #266129=ORIENTED_EDGE('',*,*,#200138,.T.); #266130=ORIENTED_EDGE('',*,*,#200137,.T.); #266131=ORIENTED_EDGE('',*,*,#200139,.F.); #266132=ORIENTED_EDGE('',*,*,#200140,.F.); #266133=ORIENTED_EDGE('',*,*,#200141,.T.); #266134=ORIENTED_EDGE('',*,*,#200140,.T.); #266135=ORIENTED_EDGE('',*,*,#200142,.F.); #266136=ORIENTED_EDGE('',*,*,#200143,.F.); #266137=ORIENTED_EDGE('',*,*,#200144,.T.); #266138=ORIENTED_EDGE('',*,*,#200143,.T.); #266139=ORIENTED_EDGE('',*,*,#200145,.F.); #266140=ORIENTED_EDGE('',*,*,#200146,.F.); #266141=ORIENTED_EDGE('',*,*,#200147,.T.); #266142=ORIENTED_EDGE('',*,*,#200146,.T.); #266143=ORIENTED_EDGE('',*,*,#200148,.F.); #266144=ORIENTED_EDGE('',*,*,#200149,.F.); #266145=ORIENTED_EDGE('',*,*,#200150,.T.); #266146=ORIENTED_EDGE('',*,*,#200149,.T.); #266147=ORIENTED_EDGE('',*,*,#200151,.F.); #266148=ORIENTED_EDGE('',*,*,#200152,.F.); #266149=ORIENTED_EDGE('',*,*,#200153,.T.); #266150=ORIENTED_EDGE('',*,*,#200152,.T.); #266151=ORIENTED_EDGE('',*,*,#200154,.F.); #266152=ORIENTED_EDGE('',*,*,#200155,.F.); #266153=ORIENTED_EDGE('',*,*,#200156,.T.); #266154=ORIENTED_EDGE('',*,*,#200155,.T.); #266155=ORIENTED_EDGE('',*,*,#200157,.F.); #266156=ORIENTED_EDGE('',*,*,#200158,.F.); #266157=ORIENTED_EDGE('',*,*,#200159,.T.); #266158=ORIENTED_EDGE('',*,*,#200158,.T.); #266159=ORIENTED_EDGE('',*,*,#200160,.F.); #266160=ORIENTED_EDGE('',*,*,#200161,.F.); #266161=ORIENTED_EDGE('',*,*,#200162,.T.); #266162=ORIENTED_EDGE('',*,*,#200161,.T.); #266163=ORIENTED_EDGE('',*,*,#200163,.F.); #266164=ORIENTED_EDGE('',*,*,#200164,.F.); #266165=ORIENTED_EDGE('',*,*,#200165,.T.); #266166=ORIENTED_EDGE('',*,*,#200164,.T.); #266167=ORIENTED_EDGE('',*,*,#200166,.F.); #266168=ORIENTED_EDGE('',*,*,#200167,.F.); #266169=ORIENTED_EDGE('',*,*,#200168,.T.); #266170=ORIENTED_EDGE('',*,*,#200167,.T.); #266171=ORIENTED_EDGE('',*,*,#200169,.F.); #266172=ORIENTED_EDGE('',*,*,#200170,.F.); #266173=ORIENTED_EDGE('',*,*,#200171,.T.); #266174=ORIENTED_EDGE('',*,*,#200170,.T.); #266175=ORIENTED_EDGE('',*,*,#200172,.F.); #266176=ORIENTED_EDGE('',*,*,#200173,.F.); #266177=ORIENTED_EDGE('',*,*,#200174,.T.); #266178=ORIENTED_EDGE('',*,*,#200173,.T.); #266179=ORIENTED_EDGE('',*,*,#200175,.F.); #266180=ORIENTED_EDGE('',*,*,#200176,.F.); #266181=ORIENTED_EDGE('',*,*,#200177,.T.); #266182=ORIENTED_EDGE('',*,*,#200176,.T.); #266183=ORIENTED_EDGE('',*,*,#200178,.F.); #266184=ORIENTED_EDGE('',*,*,#200179,.F.); #266185=ORIENTED_EDGE('',*,*,#200180,.T.); #266186=ORIENTED_EDGE('',*,*,#200179,.T.); #266187=ORIENTED_EDGE('',*,*,#200181,.F.); #266188=ORIENTED_EDGE('',*,*,#200182,.F.); #266189=ORIENTED_EDGE('',*,*,#200183,.T.); #266190=ORIENTED_EDGE('',*,*,#200182,.T.); #266191=ORIENTED_EDGE('',*,*,#200184,.F.); #266192=ORIENTED_EDGE('',*,*,#200185,.F.); #266193=ORIENTED_EDGE('',*,*,#200186,.T.); #266194=ORIENTED_EDGE('',*,*,#200185,.T.); #266195=ORIENTED_EDGE('',*,*,#200187,.F.); #266196=ORIENTED_EDGE('',*,*,#200188,.F.); #266197=ORIENTED_EDGE('',*,*,#200189,.T.); #266198=ORIENTED_EDGE('',*,*,#200188,.T.); #266199=ORIENTED_EDGE('',*,*,#200190,.F.); #266200=ORIENTED_EDGE('',*,*,#200191,.F.); #266201=ORIENTED_EDGE('',*,*,#200192,.T.); #266202=ORIENTED_EDGE('',*,*,#200191,.T.); #266203=ORIENTED_EDGE('',*,*,#200193,.F.); #266204=ORIENTED_EDGE('',*,*,#200194,.F.); #266205=ORIENTED_EDGE('',*,*,#200195,.T.); #266206=ORIENTED_EDGE('',*,*,#200194,.T.); #266207=ORIENTED_EDGE('',*,*,#200196,.F.); #266208=ORIENTED_EDGE('',*,*,#199919,.F.); #266209=ORIENTED_EDGE('',*,*,#200196,.T.); #266210=ORIENTED_EDGE('',*,*,#200193,.T.); #266211=ORIENTED_EDGE('',*,*,#200190,.T.); #266212=ORIENTED_EDGE('',*,*,#200187,.T.); #266213=ORIENTED_EDGE('',*,*,#200184,.T.); #266214=ORIENTED_EDGE('',*,*,#200181,.T.); #266215=ORIENTED_EDGE('',*,*,#200178,.T.); #266216=ORIENTED_EDGE('',*,*,#200175,.T.); #266217=ORIENTED_EDGE('',*,*,#200172,.T.); #266218=ORIENTED_EDGE('',*,*,#200169,.T.); #266219=ORIENTED_EDGE('',*,*,#200166,.T.); #266220=ORIENTED_EDGE('',*,*,#200163,.T.); #266221=ORIENTED_EDGE('',*,*,#200160,.T.); #266222=ORIENTED_EDGE('',*,*,#200157,.T.); #266223=ORIENTED_EDGE('',*,*,#200154,.T.); #266224=ORIENTED_EDGE('',*,*,#200151,.T.); #266225=ORIENTED_EDGE('',*,*,#200148,.T.); #266226=ORIENTED_EDGE('',*,*,#200145,.T.); #266227=ORIENTED_EDGE('',*,*,#200142,.T.); #266228=ORIENTED_EDGE('',*,*,#200139,.T.); #266229=ORIENTED_EDGE('',*,*,#200136,.T.); #266230=ORIENTED_EDGE('',*,*,#200133,.T.); #266231=ORIENTED_EDGE('',*,*,#200130,.T.); #266232=ORIENTED_EDGE('',*,*,#200127,.T.); #266233=ORIENTED_EDGE('',*,*,#200124,.T.); #266234=ORIENTED_EDGE('',*,*,#200121,.T.); #266235=ORIENTED_EDGE('',*,*,#200118,.T.); #266236=ORIENTED_EDGE('',*,*,#200115,.T.); #266237=ORIENTED_EDGE('',*,*,#200112,.T.); #266238=ORIENTED_EDGE('',*,*,#200109,.T.); #266239=ORIENTED_EDGE('',*,*,#200106,.T.); #266240=ORIENTED_EDGE('',*,*,#200103,.T.); #266241=ORIENTED_EDGE('',*,*,#200100,.T.); #266242=ORIENTED_EDGE('',*,*,#200097,.T.); #266243=ORIENTED_EDGE('',*,*,#200094,.T.); #266244=ORIENTED_EDGE('',*,*,#200091,.T.); #266245=ORIENTED_EDGE('',*,*,#200088,.T.); #266246=ORIENTED_EDGE('',*,*,#200085,.T.); #266247=ORIENTED_EDGE('',*,*,#200082,.T.); #266248=ORIENTED_EDGE('',*,*,#200079,.T.); #266249=ORIENTED_EDGE('',*,*,#200076,.T.); #266250=ORIENTED_EDGE('',*,*,#200073,.T.); #266251=ORIENTED_EDGE('',*,*,#200070,.T.); #266252=ORIENTED_EDGE('',*,*,#200067,.T.); #266253=ORIENTED_EDGE('',*,*,#200064,.T.); #266254=ORIENTED_EDGE('',*,*,#200061,.T.); #266255=ORIENTED_EDGE('',*,*,#200058,.T.); #266256=ORIENTED_EDGE('',*,*,#200055,.T.); #266257=ORIENTED_EDGE('',*,*,#200052,.T.); #266258=ORIENTED_EDGE('',*,*,#200049,.T.); #266259=ORIENTED_EDGE('',*,*,#200046,.T.); #266260=ORIENTED_EDGE('',*,*,#200043,.T.); #266261=ORIENTED_EDGE('',*,*,#200040,.T.); #266262=ORIENTED_EDGE('',*,*,#200037,.T.); #266263=ORIENTED_EDGE('',*,*,#200034,.T.); #266264=ORIENTED_EDGE('',*,*,#200031,.T.); #266265=ORIENTED_EDGE('',*,*,#200028,.T.); #266266=ORIENTED_EDGE('',*,*,#200025,.T.); #266267=ORIENTED_EDGE('',*,*,#200022,.T.); #266268=ORIENTED_EDGE('',*,*,#200019,.T.); #266269=ORIENTED_EDGE('',*,*,#200016,.T.); #266270=ORIENTED_EDGE('',*,*,#200013,.T.); #266271=ORIENTED_EDGE('',*,*,#200010,.T.); #266272=ORIENTED_EDGE('',*,*,#200007,.T.); #266273=ORIENTED_EDGE('',*,*,#200004,.T.); #266274=ORIENTED_EDGE('',*,*,#200001,.T.); #266275=ORIENTED_EDGE('',*,*,#199998,.T.); #266276=ORIENTED_EDGE('',*,*,#199995,.T.); #266277=ORIENTED_EDGE('',*,*,#199992,.T.); #266278=ORIENTED_EDGE('',*,*,#199989,.T.); #266279=ORIENTED_EDGE('',*,*,#199986,.T.); #266280=ORIENTED_EDGE('',*,*,#199983,.T.); #266281=ORIENTED_EDGE('',*,*,#199980,.T.); #266282=ORIENTED_EDGE('',*,*,#199977,.T.); #266283=ORIENTED_EDGE('',*,*,#199974,.T.); #266284=ORIENTED_EDGE('',*,*,#199971,.T.); #266285=ORIENTED_EDGE('',*,*,#199968,.T.); #266286=ORIENTED_EDGE('',*,*,#199965,.T.); #266287=ORIENTED_EDGE('',*,*,#199962,.T.); #266288=ORIENTED_EDGE('',*,*,#199959,.T.); #266289=ORIENTED_EDGE('',*,*,#199956,.T.); #266290=ORIENTED_EDGE('',*,*,#199953,.T.); #266291=ORIENTED_EDGE('',*,*,#199950,.T.); #266292=ORIENTED_EDGE('',*,*,#199947,.T.); #266293=ORIENTED_EDGE('',*,*,#199944,.T.); #266294=ORIENTED_EDGE('',*,*,#199941,.T.); #266295=ORIENTED_EDGE('',*,*,#199938,.T.); #266296=ORIENTED_EDGE('',*,*,#199935,.T.); #266297=ORIENTED_EDGE('',*,*,#199932,.T.); #266298=ORIENTED_EDGE('',*,*,#199929,.T.); #266299=ORIENTED_EDGE('',*,*,#199926,.T.); #266300=ORIENTED_EDGE('',*,*,#199923,.T.); #266301=ORIENTED_EDGE('',*,*,#199920,.T.); #266302=ORIENTED_EDGE('',*,*,#199905,.T.); #266303=ORIENTED_EDGE('',*,*,#199913,.T.); #266304=ORIENTED_EDGE('',*,*,#199916,.T.); #266305=ORIENTED_EDGE('',*,*,#199906,.T.); #266306=ORIENTED_EDGE('',*,*,#199910,.T.); #266307=ORIENTED_EDGE('',*,*,#200195,.F.); #266308=ORIENTED_EDGE('',*,*,#199918,.F.); #266309=ORIENTED_EDGE('',*,*,#199922,.F.); #266310=ORIENTED_EDGE('',*,*,#199925,.F.); #266311=ORIENTED_EDGE('',*,*,#199928,.F.); #266312=ORIENTED_EDGE('',*,*,#199931,.F.); #266313=ORIENTED_EDGE('',*,*,#199934,.F.); #266314=ORIENTED_EDGE('',*,*,#199937,.F.); #266315=ORIENTED_EDGE('',*,*,#199940,.F.); #266316=ORIENTED_EDGE('',*,*,#199943,.F.); #266317=ORIENTED_EDGE('',*,*,#199946,.F.); #266318=ORIENTED_EDGE('',*,*,#199949,.F.); #266319=ORIENTED_EDGE('',*,*,#199952,.F.); #266320=ORIENTED_EDGE('',*,*,#199955,.F.); #266321=ORIENTED_EDGE('',*,*,#199958,.F.); #266322=ORIENTED_EDGE('',*,*,#199961,.F.); #266323=ORIENTED_EDGE('',*,*,#199964,.F.); #266324=ORIENTED_EDGE('',*,*,#199967,.F.); #266325=ORIENTED_EDGE('',*,*,#199970,.F.); #266326=ORIENTED_EDGE('',*,*,#199973,.F.); #266327=ORIENTED_EDGE('',*,*,#199976,.F.); #266328=ORIENTED_EDGE('',*,*,#199979,.F.); #266329=ORIENTED_EDGE('',*,*,#199982,.F.); #266330=ORIENTED_EDGE('',*,*,#199985,.F.); #266331=ORIENTED_EDGE('',*,*,#199988,.F.); #266332=ORIENTED_EDGE('',*,*,#199991,.F.); #266333=ORIENTED_EDGE('',*,*,#199994,.F.); #266334=ORIENTED_EDGE('',*,*,#199997,.F.); #266335=ORIENTED_EDGE('',*,*,#200000,.F.); #266336=ORIENTED_EDGE('',*,*,#200003,.F.); #266337=ORIENTED_EDGE('',*,*,#200006,.F.); #266338=ORIENTED_EDGE('',*,*,#200009,.F.); #266339=ORIENTED_EDGE('',*,*,#200012,.F.); #266340=ORIENTED_EDGE('',*,*,#200015,.F.); #266341=ORIENTED_EDGE('',*,*,#200018,.F.); #266342=ORIENTED_EDGE('',*,*,#200021,.F.); #266343=ORIENTED_EDGE('',*,*,#200024,.F.); #266344=ORIENTED_EDGE('',*,*,#200027,.F.); #266345=ORIENTED_EDGE('',*,*,#200030,.F.); #266346=ORIENTED_EDGE('',*,*,#200033,.F.); #266347=ORIENTED_EDGE('',*,*,#200036,.F.); #266348=ORIENTED_EDGE('',*,*,#200039,.F.); #266349=ORIENTED_EDGE('',*,*,#200042,.F.); #266350=ORIENTED_EDGE('',*,*,#200045,.F.); #266351=ORIENTED_EDGE('',*,*,#200048,.F.); #266352=ORIENTED_EDGE('',*,*,#200051,.F.); #266353=ORIENTED_EDGE('',*,*,#200054,.F.); #266354=ORIENTED_EDGE('',*,*,#200057,.F.); #266355=ORIENTED_EDGE('',*,*,#200060,.F.); #266356=ORIENTED_EDGE('',*,*,#200063,.F.); #266357=ORIENTED_EDGE('',*,*,#200066,.F.); #266358=ORIENTED_EDGE('',*,*,#200069,.F.); #266359=ORIENTED_EDGE('',*,*,#200072,.F.); #266360=ORIENTED_EDGE('',*,*,#200075,.F.); #266361=ORIENTED_EDGE('',*,*,#200078,.F.); #266362=ORIENTED_EDGE('',*,*,#200081,.F.); #266363=ORIENTED_EDGE('',*,*,#200084,.F.); #266364=ORIENTED_EDGE('',*,*,#200087,.F.); #266365=ORIENTED_EDGE('',*,*,#200090,.F.); #266366=ORIENTED_EDGE('',*,*,#200093,.F.); #266367=ORIENTED_EDGE('',*,*,#200096,.F.); #266368=ORIENTED_EDGE('',*,*,#200099,.F.); #266369=ORIENTED_EDGE('',*,*,#200102,.F.); #266370=ORIENTED_EDGE('',*,*,#200105,.F.); #266371=ORIENTED_EDGE('',*,*,#200108,.F.); #266372=ORIENTED_EDGE('',*,*,#200111,.F.); #266373=ORIENTED_EDGE('',*,*,#200114,.F.); #266374=ORIENTED_EDGE('',*,*,#200117,.F.); #266375=ORIENTED_EDGE('',*,*,#200120,.F.); #266376=ORIENTED_EDGE('',*,*,#200123,.F.); #266377=ORIENTED_EDGE('',*,*,#200126,.F.); #266378=ORIENTED_EDGE('',*,*,#200129,.F.); #266379=ORIENTED_EDGE('',*,*,#200132,.F.); #266380=ORIENTED_EDGE('',*,*,#200135,.F.); #266381=ORIENTED_EDGE('',*,*,#200138,.F.); #266382=ORIENTED_EDGE('',*,*,#200141,.F.); #266383=ORIENTED_EDGE('',*,*,#200144,.F.); #266384=ORIENTED_EDGE('',*,*,#200147,.F.); #266385=ORIENTED_EDGE('',*,*,#200150,.F.); #266386=ORIENTED_EDGE('',*,*,#200153,.F.); #266387=ORIENTED_EDGE('',*,*,#200156,.F.); #266388=ORIENTED_EDGE('',*,*,#200159,.F.); #266389=ORIENTED_EDGE('',*,*,#200162,.F.); #266390=ORIENTED_EDGE('',*,*,#200165,.F.); #266391=ORIENTED_EDGE('',*,*,#200168,.F.); #266392=ORIENTED_EDGE('',*,*,#200171,.F.); #266393=ORIENTED_EDGE('',*,*,#200174,.F.); #266394=ORIENTED_EDGE('',*,*,#200177,.F.); #266395=ORIENTED_EDGE('',*,*,#200180,.F.); #266396=ORIENTED_EDGE('',*,*,#200183,.F.); #266397=ORIENTED_EDGE('',*,*,#200186,.F.); #266398=ORIENTED_EDGE('',*,*,#200189,.F.); #266399=ORIENTED_EDGE('',*,*,#200192,.F.); #266400=ORIENTED_EDGE('',*,*,#199903,.T.); #266401=ORIENTED_EDGE('',*,*,#199914,.T.); #266402=ORIENTED_EDGE('',*,*,#199911,.T.); #266403=ORIENTED_EDGE('',*,*,#199908,.T.); #266404=ORIENTED_EDGE('',*,*,#199917,.T.); #266405=ORIENTED_EDGE('',*,*,#200197,.T.); #266406=ORIENTED_EDGE('',*,*,#200198,.T.); #266407=ORIENTED_EDGE('',*,*,#200199,.F.); #266408=ORIENTED_EDGE('',*,*,#200200,.F.); #266409=ORIENTED_EDGE('',*,*,#200201,.T.); #266410=ORIENTED_EDGE('',*,*,#200200,.T.); #266411=ORIENTED_EDGE('',*,*,#200202,.F.); #266412=ORIENTED_EDGE('',*,*,#200203,.F.); #266413=ORIENTED_EDGE('',*,*,#200204,.T.); #266414=ORIENTED_EDGE('',*,*,#200203,.T.); #266415=ORIENTED_EDGE('',*,*,#200205,.F.); #266416=ORIENTED_EDGE('',*,*,#200206,.F.); #266417=ORIENTED_EDGE('',*,*,#200207,.T.); #266418=ORIENTED_EDGE('',*,*,#200206,.T.); #266419=ORIENTED_EDGE('',*,*,#200208,.F.); #266420=ORIENTED_EDGE('',*,*,#200209,.F.); #266421=ORIENTED_EDGE('',*,*,#200210,.T.); #266422=ORIENTED_EDGE('',*,*,#200209,.T.); #266423=ORIENTED_EDGE('',*,*,#200211,.F.); #266424=ORIENTED_EDGE('',*,*,#200212,.F.); #266425=ORIENTED_EDGE('',*,*,#200213,.T.); #266426=ORIENTED_EDGE('',*,*,#200212,.T.); #266427=ORIENTED_EDGE('',*,*,#200214,.F.); #266428=ORIENTED_EDGE('',*,*,#200215,.F.); #266429=ORIENTED_EDGE('',*,*,#200216,.T.); #266430=ORIENTED_EDGE('',*,*,#200215,.T.); #266431=ORIENTED_EDGE('',*,*,#200217,.F.); #266432=ORIENTED_EDGE('',*,*,#200218,.F.); #266433=ORIENTED_EDGE('',*,*,#200219,.T.); #266434=ORIENTED_EDGE('',*,*,#200218,.T.); #266435=ORIENTED_EDGE('',*,*,#200220,.F.); #266436=ORIENTED_EDGE('',*,*,#200221,.F.); #266437=ORIENTED_EDGE('',*,*,#200222,.T.); #266438=ORIENTED_EDGE('',*,*,#200221,.T.); #266439=ORIENTED_EDGE('',*,*,#200223,.F.); #266440=ORIENTED_EDGE('',*,*,#200224,.F.); #266441=ORIENTED_EDGE('',*,*,#200225,.T.); #266442=ORIENTED_EDGE('',*,*,#200224,.T.); #266443=ORIENTED_EDGE('',*,*,#200226,.F.); #266444=ORIENTED_EDGE('',*,*,#200227,.F.); #266445=ORIENTED_EDGE('',*,*,#200228,.T.); #266446=ORIENTED_EDGE('',*,*,#200227,.T.); #266447=ORIENTED_EDGE('',*,*,#200229,.F.); #266448=ORIENTED_EDGE('',*,*,#200230,.F.); #266449=ORIENTED_EDGE('',*,*,#200231,.T.); #266450=ORIENTED_EDGE('',*,*,#200230,.T.); #266451=ORIENTED_EDGE('',*,*,#200232,.F.); #266452=ORIENTED_EDGE('',*,*,#200233,.F.); #266453=ORIENTED_EDGE('',*,*,#200234,.T.); #266454=ORIENTED_EDGE('',*,*,#200233,.T.); #266455=ORIENTED_EDGE('',*,*,#200235,.F.); #266456=ORIENTED_EDGE('',*,*,#200236,.F.); #266457=ORIENTED_EDGE('',*,*,#200237,.T.); #266458=ORIENTED_EDGE('',*,*,#200236,.T.); #266459=ORIENTED_EDGE('',*,*,#200238,.F.); #266460=ORIENTED_EDGE('',*,*,#200239,.F.); #266461=ORIENTED_EDGE('',*,*,#200240,.T.); #266462=ORIENTED_EDGE('',*,*,#200239,.T.); #266463=ORIENTED_EDGE('',*,*,#200241,.F.); #266464=ORIENTED_EDGE('',*,*,#200242,.F.); #266465=ORIENTED_EDGE('',*,*,#200243,.T.); #266466=ORIENTED_EDGE('',*,*,#200242,.T.); #266467=ORIENTED_EDGE('',*,*,#200244,.F.); #266468=ORIENTED_EDGE('',*,*,#200245,.F.); #266469=ORIENTED_EDGE('',*,*,#200246,.T.); #266470=ORIENTED_EDGE('',*,*,#200245,.T.); #266471=ORIENTED_EDGE('',*,*,#200247,.F.); #266472=ORIENTED_EDGE('',*,*,#200248,.F.); #266473=ORIENTED_EDGE('',*,*,#200249,.T.); #266474=ORIENTED_EDGE('',*,*,#200248,.T.); #266475=ORIENTED_EDGE('',*,*,#200250,.F.); #266476=ORIENTED_EDGE('',*,*,#200251,.F.); #266477=ORIENTED_EDGE('',*,*,#200252,.T.); #266478=ORIENTED_EDGE('',*,*,#200251,.T.); #266479=ORIENTED_EDGE('',*,*,#200253,.F.); #266480=ORIENTED_EDGE('',*,*,#200254,.F.); #266481=ORIENTED_EDGE('',*,*,#200255,.T.); #266482=ORIENTED_EDGE('',*,*,#200254,.T.); #266483=ORIENTED_EDGE('',*,*,#200256,.F.); #266484=ORIENTED_EDGE('',*,*,#200198,.F.); #266485=ORIENTED_EDGE('',*,*,#200256,.T.); #266486=ORIENTED_EDGE('',*,*,#200253,.T.); #266487=ORIENTED_EDGE('',*,*,#200250,.T.); #266488=ORIENTED_EDGE('',*,*,#200247,.T.); #266489=ORIENTED_EDGE('',*,*,#200244,.T.); #266490=ORIENTED_EDGE('',*,*,#200241,.T.); #266491=ORIENTED_EDGE('',*,*,#200238,.T.); #266492=ORIENTED_EDGE('',*,*,#200235,.T.); #266493=ORIENTED_EDGE('',*,*,#200232,.T.); #266494=ORIENTED_EDGE('',*,*,#200229,.T.); #266495=ORIENTED_EDGE('',*,*,#200226,.T.); #266496=ORIENTED_EDGE('',*,*,#200223,.T.); #266497=ORIENTED_EDGE('',*,*,#200220,.T.); #266498=ORIENTED_EDGE('',*,*,#200217,.T.); #266499=ORIENTED_EDGE('',*,*,#200214,.T.); #266500=ORIENTED_EDGE('',*,*,#200211,.T.); #266501=ORIENTED_EDGE('',*,*,#200208,.T.); #266502=ORIENTED_EDGE('',*,*,#200205,.T.); #266503=ORIENTED_EDGE('',*,*,#200202,.T.); #266504=ORIENTED_EDGE('',*,*,#200199,.T.); #266505=ORIENTED_EDGE('',*,*,#200255,.F.); #266506=ORIENTED_EDGE('',*,*,#200197,.F.); #266507=ORIENTED_EDGE('',*,*,#200201,.F.); #266508=ORIENTED_EDGE('',*,*,#200204,.F.); #266509=ORIENTED_EDGE('',*,*,#200207,.F.); #266510=ORIENTED_EDGE('',*,*,#200210,.F.); #266511=ORIENTED_EDGE('',*,*,#200213,.F.); #266512=ORIENTED_EDGE('',*,*,#200216,.F.); #266513=ORIENTED_EDGE('',*,*,#200219,.F.); #266514=ORIENTED_EDGE('',*,*,#200222,.F.); #266515=ORIENTED_EDGE('',*,*,#200225,.F.); #266516=ORIENTED_EDGE('',*,*,#200228,.F.); #266517=ORIENTED_EDGE('',*,*,#200231,.F.); #266518=ORIENTED_EDGE('',*,*,#200234,.F.); #266519=ORIENTED_EDGE('',*,*,#200237,.F.); #266520=ORIENTED_EDGE('',*,*,#200240,.F.); #266521=ORIENTED_EDGE('',*,*,#200243,.F.); #266522=ORIENTED_EDGE('',*,*,#200246,.F.); #266523=ORIENTED_EDGE('',*,*,#200249,.F.); #266524=ORIENTED_EDGE('',*,*,#200252,.F.); #266525=ORIENTED_EDGE('',*,*,#200257,.F.); #266526=ORIENTED_EDGE('',*,*,#200258,.T.); #266527=ORIENTED_EDGE('',*,*,#200259,.F.); #266528=ORIENTED_EDGE('',*,*,#200258,.F.); #266529=ORIENTED_EDGE('',*,*,#200260,.F.); #266530=ORIENTED_EDGE('',*,*,#200261,.T.); #266531=ORIENTED_EDGE('',*,*,#200262,.F.); #266532=ORIENTED_EDGE('',*,*,#200261,.F.); #266533=ORIENTED_EDGE('',*,*,#200263,.F.); #266534=ORIENTED_EDGE('',*,*,#200264,.T.); #266535=ORIENTED_EDGE('',*,*,#200265,.F.); #266536=ORIENTED_EDGE('',*,*,#200264,.F.); #266537=ORIENTED_EDGE('',*,*,#200266,.F.); #266538=ORIENTED_EDGE('',*,*,#200267,.T.); #266539=ORIENTED_EDGE('',*,*,#200268,.F.); #266540=ORIENTED_EDGE('',*,*,#200267,.F.); #266541=ORIENTED_EDGE('',*,*,#200269,.F.); #266542=ORIENTED_EDGE('',*,*,#200270,.T.); #266543=ORIENTED_EDGE('',*,*,#200271,.F.); #266544=ORIENTED_EDGE('',*,*,#200270,.F.); #266545=ORIENTED_EDGE('',*,*,#200272,.F.); #266546=ORIENTED_EDGE('',*,*,#200273,.T.); #266547=ORIENTED_EDGE('',*,*,#200274,.F.); #266548=ORIENTED_EDGE('',*,*,#200273,.F.); #266549=ORIENTED_EDGE('',*,*,#200275,.F.); #266550=ORIENTED_EDGE('',*,*,#200276,.T.); #266551=ORIENTED_EDGE('',*,*,#200277,.F.); #266552=ORIENTED_EDGE('',*,*,#200276,.F.); #266553=ORIENTED_EDGE('',*,*,#200278,.F.); #266554=ORIENTED_EDGE('',*,*,#200279,.T.); #266555=ORIENTED_EDGE('',*,*,#200280,.F.); #266556=ORIENTED_EDGE('',*,*,#200279,.F.); #266557=ORIENTED_EDGE('',*,*,#200281,.F.); #266558=ORIENTED_EDGE('',*,*,#200282,.T.); #266559=ORIENTED_EDGE('',*,*,#200283,.F.); #266560=ORIENTED_EDGE('',*,*,#200282,.F.); #266561=ORIENTED_EDGE('',*,*,#200284,.F.); #266562=ORIENTED_EDGE('',*,*,#200285,.T.); #266563=ORIENTED_EDGE('',*,*,#200286,.F.); #266564=ORIENTED_EDGE('',*,*,#200285,.F.); #266565=ORIENTED_EDGE('',*,*,#200287,.F.); #266566=ORIENTED_EDGE('',*,*,#200288,.T.); #266567=ORIENTED_EDGE('',*,*,#200289,.F.); #266568=ORIENTED_EDGE('',*,*,#200288,.F.); #266569=ORIENTED_EDGE('',*,*,#200290,.F.); #266570=ORIENTED_EDGE('',*,*,#200291,.T.); #266571=ORIENTED_EDGE('',*,*,#200292,.F.); #266572=ORIENTED_EDGE('',*,*,#200291,.F.); #266573=ORIENTED_EDGE('',*,*,#200293,.F.); #266574=ORIENTED_EDGE('',*,*,#200294,.T.); #266575=ORIENTED_EDGE('',*,*,#200295,.F.); #266576=ORIENTED_EDGE('',*,*,#200294,.F.); #266577=ORIENTED_EDGE('',*,*,#200296,.F.); #266578=ORIENTED_EDGE('',*,*,#200297,.T.); #266579=ORIENTED_EDGE('',*,*,#200298,.F.); #266580=ORIENTED_EDGE('',*,*,#200297,.F.); #266581=ORIENTED_EDGE('',*,*,#200299,.F.); #266582=ORIENTED_EDGE('',*,*,#200300,.T.); #266583=ORIENTED_EDGE('',*,*,#200301,.F.); #266584=ORIENTED_EDGE('',*,*,#200300,.F.); #266585=ORIENTED_EDGE('',*,*,#200302,.T.); #266586=ORIENTED_EDGE('',*,*,#200303,.T.); #266587=ORIENTED_EDGE('',*,*,#200304,.F.); #266588=ORIENTED_EDGE('',*,*,#200305,.F.); #266589=ORIENTED_EDGE('',*,*,#200306,.T.); #266590=ORIENTED_EDGE('',*,*,#200305,.T.); #266591=ORIENTED_EDGE('',*,*,#200307,.F.); #266592=ORIENTED_EDGE('',*,*,#200308,.F.); #266593=ORIENTED_EDGE('',*,*,#200309,.T.); #266594=ORIENTED_EDGE('',*,*,#200308,.T.); #266595=ORIENTED_EDGE('',*,*,#200310,.F.); #266596=ORIENTED_EDGE('',*,*,#200311,.F.); #266597=ORIENTED_EDGE('',*,*,#200312,.T.); #266598=ORIENTED_EDGE('',*,*,#200311,.T.); #266599=ORIENTED_EDGE('',*,*,#200313,.F.); #266600=ORIENTED_EDGE('',*,*,#200314,.F.); #266601=ORIENTED_EDGE('',*,*,#200315,.T.); #266602=ORIENTED_EDGE('',*,*,#200314,.T.); #266603=ORIENTED_EDGE('',*,*,#200316,.F.); #266604=ORIENTED_EDGE('',*,*,#200317,.F.); #266605=ORIENTED_EDGE('',*,*,#200318,.T.); #266606=ORIENTED_EDGE('',*,*,#200317,.T.); #266607=ORIENTED_EDGE('',*,*,#200319,.F.); #266608=ORIENTED_EDGE('',*,*,#200320,.F.); #266609=ORIENTED_EDGE('',*,*,#200321,.T.); #266610=ORIENTED_EDGE('',*,*,#200320,.T.); #266611=ORIENTED_EDGE('',*,*,#200322,.F.); #266612=ORIENTED_EDGE('',*,*,#200323,.F.); #266613=ORIENTED_EDGE('',*,*,#200324,.T.); #266614=ORIENTED_EDGE('',*,*,#200323,.T.); #266615=ORIENTED_EDGE('',*,*,#200325,.F.); #266616=ORIENTED_EDGE('',*,*,#200326,.F.); #266617=ORIENTED_EDGE('',*,*,#200327,.T.); #266618=ORIENTED_EDGE('',*,*,#200326,.T.); #266619=ORIENTED_EDGE('',*,*,#200328,.F.); #266620=ORIENTED_EDGE('',*,*,#200329,.F.); #266621=ORIENTED_EDGE('',*,*,#200330,.T.); #266622=ORIENTED_EDGE('',*,*,#200329,.T.); #266623=ORIENTED_EDGE('',*,*,#200331,.F.); #266624=ORIENTED_EDGE('',*,*,#200332,.F.); #266625=ORIENTED_EDGE('',*,*,#200333,.T.); #266626=ORIENTED_EDGE('',*,*,#200332,.T.); #266627=ORIENTED_EDGE('',*,*,#200334,.F.); #266628=ORIENTED_EDGE('',*,*,#200335,.F.); #266629=ORIENTED_EDGE('',*,*,#200336,.T.); #266630=ORIENTED_EDGE('',*,*,#200335,.T.); #266631=ORIENTED_EDGE('',*,*,#200337,.F.); #266632=ORIENTED_EDGE('',*,*,#200338,.F.); #266633=ORIENTED_EDGE('',*,*,#200339,.T.); #266634=ORIENTED_EDGE('',*,*,#200338,.T.); #266635=ORIENTED_EDGE('',*,*,#200340,.F.); #266636=ORIENTED_EDGE('',*,*,#200341,.F.); #266637=ORIENTED_EDGE('',*,*,#200342,.T.); #266638=ORIENTED_EDGE('',*,*,#200341,.T.); #266639=ORIENTED_EDGE('',*,*,#200343,.F.); #266640=ORIENTED_EDGE('',*,*,#200344,.F.); #266641=ORIENTED_EDGE('',*,*,#200345,.T.); #266642=ORIENTED_EDGE('',*,*,#200344,.T.); #266643=ORIENTED_EDGE('',*,*,#200346,.F.); #266644=ORIENTED_EDGE('',*,*,#200347,.F.); #266645=ORIENTED_EDGE('',*,*,#200348,.T.); #266646=ORIENTED_EDGE('',*,*,#200347,.T.); #266647=ORIENTED_EDGE('',*,*,#200349,.F.); #266648=ORIENTED_EDGE('',*,*,#200350,.F.); #266649=ORIENTED_EDGE('',*,*,#200351,.T.); #266650=ORIENTED_EDGE('',*,*,#200350,.T.); #266651=ORIENTED_EDGE('',*,*,#200352,.F.); #266652=ORIENTED_EDGE('',*,*,#200353,.F.); #266653=ORIENTED_EDGE('',*,*,#200354,.T.); #266654=ORIENTED_EDGE('',*,*,#200353,.T.); #266655=ORIENTED_EDGE('',*,*,#200355,.F.); #266656=ORIENTED_EDGE('',*,*,#200356,.F.); #266657=ORIENTED_EDGE('',*,*,#200357,.T.); #266658=ORIENTED_EDGE('',*,*,#200356,.T.); #266659=ORIENTED_EDGE('',*,*,#200358,.F.); #266660=ORIENTED_EDGE('',*,*,#200359,.F.); #266661=ORIENTED_EDGE('',*,*,#200360,.T.); #266662=ORIENTED_EDGE('',*,*,#200359,.T.); #266663=ORIENTED_EDGE('',*,*,#200361,.F.); #266664=ORIENTED_EDGE('',*,*,#200362,.F.); #266665=ORIENTED_EDGE('',*,*,#200363,.T.); #266666=ORIENTED_EDGE('',*,*,#200362,.T.); #266667=ORIENTED_EDGE('',*,*,#200364,.F.); #266668=ORIENTED_EDGE('',*,*,#200365,.F.); #266669=ORIENTED_EDGE('',*,*,#200366,.T.); #266670=ORIENTED_EDGE('',*,*,#200365,.T.); #266671=ORIENTED_EDGE('',*,*,#200367,.F.); #266672=ORIENTED_EDGE('',*,*,#200368,.F.); #266673=ORIENTED_EDGE('',*,*,#200369,.T.); #266674=ORIENTED_EDGE('',*,*,#200368,.T.); #266675=ORIENTED_EDGE('',*,*,#200370,.F.); #266676=ORIENTED_EDGE('',*,*,#200371,.F.); #266677=ORIENTED_EDGE('',*,*,#200372,.T.); #266678=ORIENTED_EDGE('',*,*,#200371,.T.); #266679=ORIENTED_EDGE('',*,*,#200373,.F.); #266680=ORIENTED_EDGE('',*,*,#200374,.F.); #266681=ORIENTED_EDGE('',*,*,#200375,.T.); #266682=ORIENTED_EDGE('',*,*,#200374,.T.); #266683=ORIENTED_EDGE('',*,*,#200376,.F.); #266684=ORIENTED_EDGE('',*,*,#200377,.F.); #266685=ORIENTED_EDGE('',*,*,#200378,.T.); #266686=ORIENTED_EDGE('',*,*,#200377,.T.); #266687=ORIENTED_EDGE('',*,*,#200379,.F.); #266688=ORIENTED_EDGE('',*,*,#200380,.F.); #266689=ORIENTED_EDGE('',*,*,#200381,.T.); #266690=ORIENTED_EDGE('',*,*,#200380,.T.); #266691=ORIENTED_EDGE('',*,*,#200382,.F.); #266692=ORIENTED_EDGE('',*,*,#200383,.F.); #266693=ORIENTED_EDGE('',*,*,#200384,.T.); #266694=ORIENTED_EDGE('',*,*,#200383,.T.); #266695=ORIENTED_EDGE('',*,*,#200385,.F.); #266696=ORIENTED_EDGE('',*,*,#200386,.F.); #266697=ORIENTED_EDGE('',*,*,#200387,.T.); #266698=ORIENTED_EDGE('',*,*,#200386,.T.); #266699=ORIENTED_EDGE('',*,*,#200388,.F.); #266700=ORIENTED_EDGE('',*,*,#200389,.F.); #266701=ORIENTED_EDGE('',*,*,#200390,.T.); #266702=ORIENTED_EDGE('',*,*,#200389,.T.); #266703=ORIENTED_EDGE('',*,*,#200391,.F.); #266704=ORIENTED_EDGE('',*,*,#200392,.F.); #266705=ORIENTED_EDGE('',*,*,#200393,.T.); #266706=ORIENTED_EDGE('',*,*,#200392,.T.); #266707=ORIENTED_EDGE('',*,*,#200394,.F.); #266708=ORIENTED_EDGE('',*,*,#200395,.F.); #266709=ORIENTED_EDGE('',*,*,#200396,.T.); #266710=ORIENTED_EDGE('',*,*,#200395,.T.); #266711=ORIENTED_EDGE('',*,*,#200397,.F.); #266712=ORIENTED_EDGE('',*,*,#200398,.F.); #266713=ORIENTED_EDGE('',*,*,#200399,.T.); #266714=ORIENTED_EDGE('',*,*,#200398,.T.); #266715=ORIENTED_EDGE('',*,*,#200400,.F.); #266716=ORIENTED_EDGE('',*,*,#200401,.F.); #266717=ORIENTED_EDGE('',*,*,#200402,.T.); #266718=ORIENTED_EDGE('',*,*,#200401,.T.); #266719=ORIENTED_EDGE('',*,*,#200403,.F.); #266720=ORIENTED_EDGE('',*,*,#200404,.F.); #266721=ORIENTED_EDGE('',*,*,#200405,.T.); #266722=ORIENTED_EDGE('',*,*,#200404,.T.); #266723=ORIENTED_EDGE('',*,*,#200406,.F.); #266724=ORIENTED_EDGE('',*,*,#200407,.F.); #266725=ORIENTED_EDGE('',*,*,#200408,.T.); #266726=ORIENTED_EDGE('',*,*,#200407,.T.); #266727=ORIENTED_EDGE('',*,*,#200409,.F.); #266728=ORIENTED_EDGE('',*,*,#200410,.F.); #266729=ORIENTED_EDGE('',*,*,#200411,.T.); #266730=ORIENTED_EDGE('',*,*,#200410,.T.); #266731=ORIENTED_EDGE('',*,*,#200412,.F.); #266732=ORIENTED_EDGE('',*,*,#200413,.F.); #266733=ORIENTED_EDGE('',*,*,#200414,.T.); #266734=ORIENTED_EDGE('',*,*,#200413,.T.); #266735=ORIENTED_EDGE('',*,*,#200415,.F.); #266736=ORIENTED_EDGE('',*,*,#200416,.F.); #266737=ORIENTED_EDGE('',*,*,#200417,.T.); #266738=ORIENTED_EDGE('',*,*,#200416,.T.); #266739=ORIENTED_EDGE('',*,*,#200418,.F.); #266740=ORIENTED_EDGE('',*,*,#200419,.F.); #266741=ORIENTED_EDGE('',*,*,#200420,.T.); #266742=ORIENTED_EDGE('',*,*,#200419,.T.); #266743=ORIENTED_EDGE('',*,*,#200421,.F.); #266744=ORIENTED_EDGE('',*,*,#200422,.F.); #266745=ORIENTED_EDGE('',*,*,#200423,.T.); #266746=ORIENTED_EDGE('',*,*,#200422,.T.); #266747=ORIENTED_EDGE('',*,*,#200424,.F.); #266748=ORIENTED_EDGE('',*,*,#200303,.F.); #266749=ORIENTED_EDGE('',*,*,#200424,.T.); #266750=ORIENTED_EDGE('',*,*,#200421,.T.); #266751=ORIENTED_EDGE('',*,*,#200418,.T.); #266752=ORIENTED_EDGE('',*,*,#200415,.T.); #266753=ORIENTED_EDGE('',*,*,#200412,.T.); #266754=ORIENTED_EDGE('',*,*,#200409,.T.); #266755=ORIENTED_EDGE('',*,*,#200406,.T.); #266756=ORIENTED_EDGE('',*,*,#200403,.T.); #266757=ORIENTED_EDGE('',*,*,#200400,.T.); #266758=ORIENTED_EDGE('',*,*,#200397,.T.); #266759=ORIENTED_EDGE('',*,*,#200394,.T.); #266760=ORIENTED_EDGE('',*,*,#200391,.T.); #266761=ORIENTED_EDGE('',*,*,#200388,.T.); #266762=ORIENTED_EDGE('',*,*,#200385,.T.); #266763=ORIENTED_EDGE('',*,*,#200382,.T.); #266764=ORIENTED_EDGE('',*,*,#200379,.T.); #266765=ORIENTED_EDGE('',*,*,#200376,.T.); #266766=ORIENTED_EDGE('',*,*,#200373,.T.); #266767=ORIENTED_EDGE('',*,*,#200370,.T.); #266768=ORIENTED_EDGE('',*,*,#200367,.T.); #266769=ORIENTED_EDGE('',*,*,#200364,.T.); #266770=ORIENTED_EDGE('',*,*,#200361,.T.); #266771=ORIENTED_EDGE('',*,*,#200358,.T.); #266772=ORIENTED_EDGE('',*,*,#200355,.T.); #266773=ORIENTED_EDGE('',*,*,#200352,.T.); #266774=ORIENTED_EDGE('',*,*,#200349,.T.); #266775=ORIENTED_EDGE('',*,*,#200346,.T.); #266776=ORIENTED_EDGE('',*,*,#200343,.T.); #266777=ORIENTED_EDGE('',*,*,#200340,.T.); #266778=ORIENTED_EDGE('',*,*,#200337,.T.); #266779=ORIENTED_EDGE('',*,*,#200334,.T.); #266780=ORIENTED_EDGE('',*,*,#200331,.T.); #266781=ORIENTED_EDGE('',*,*,#200328,.T.); #266782=ORIENTED_EDGE('',*,*,#200325,.T.); #266783=ORIENTED_EDGE('',*,*,#200322,.T.); #266784=ORIENTED_EDGE('',*,*,#200319,.T.); #266785=ORIENTED_EDGE('',*,*,#200316,.T.); #266786=ORIENTED_EDGE('',*,*,#200313,.T.); #266787=ORIENTED_EDGE('',*,*,#200310,.T.); #266788=ORIENTED_EDGE('',*,*,#200307,.T.); #266789=ORIENTED_EDGE('',*,*,#200304,.T.); #266790=ORIENTED_EDGE('',*,*,#200259,.T.); #266791=ORIENTED_EDGE('',*,*,#200262,.T.); #266792=ORIENTED_EDGE('',*,*,#200265,.T.); #266793=ORIENTED_EDGE('',*,*,#200268,.T.); #266794=ORIENTED_EDGE('',*,*,#200271,.T.); #266795=ORIENTED_EDGE('',*,*,#200274,.T.); #266796=ORIENTED_EDGE('',*,*,#200277,.T.); #266797=ORIENTED_EDGE('',*,*,#200280,.T.); #266798=ORIENTED_EDGE('',*,*,#200283,.T.); #266799=ORIENTED_EDGE('',*,*,#200286,.T.); #266800=ORIENTED_EDGE('',*,*,#200289,.T.); #266801=ORIENTED_EDGE('',*,*,#200292,.T.); #266802=ORIENTED_EDGE('',*,*,#200295,.T.); #266803=ORIENTED_EDGE('',*,*,#200298,.T.); #266804=ORIENTED_EDGE('',*,*,#200301,.T.); #266805=ORIENTED_EDGE('',*,*,#200423,.F.); #266806=ORIENTED_EDGE('',*,*,#200302,.F.); #266807=ORIENTED_EDGE('',*,*,#200306,.F.); #266808=ORIENTED_EDGE('',*,*,#200309,.F.); #266809=ORIENTED_EDGE('',*,*,#200312,.F.); #266810=ORIENTED_EDGE('',*,*,#200315,.F.); #266811=ORIENTED_EDGE('',*,*,#200318,.F.); #266812=ORIENTED_EDGE('',*,*,#200321,.F.); #266813=ORIENTED_EDGE('',*,*,#200324,.F.); #266814=ORIENTED_EDGE('',*,*,#200327,.F.); #266815=ORIENTED_EDGE('',*,*,#200330,.F.); #266816=ORIENTED_EDGE('',*,*,#200333,.F.); #266817=ORIENTED_EDGE('',*,*,#200336,.F.); #266818=ORIENTED_EDGE('',*,*,#200339,.F.); #266819=ORIENTED_EDGE('',*,*,#200342,.F.); #266820=ORIENTED_EDGE('',*,*,#200345,.F.); #266821=ORIENTED_EDGE('',*,*,#200348,.F.); #266822=ORIENTED_EDGE('',*,*,#200351,.F.); #266823=ORIENTED_EDGE('',*,*,#200354,.F.); #266824=ORIENTED_EDGE('',*,*,#200357,.F.); #266825=ORIENTED_EDGE('',*,*,#200360,.F.); #266826=ORIENTED_EDGE('',*,*,#200363,.F.); #266827=ORIENTED_EDGE('',*,*,#200366,.F.); #266828=ORIENTED_EDGE('',*,*,#200369,.F.); #266829=ORIENTED_EDGE('',*,*,#200372,.F.); #266830=ORIENTED_EDGE('',*,*,#200375,.F.); #266831=ORIENTED_EDGE('',*,*,#200378,.F.); #266832=ORIENTED_EDGE('',*,*,#200381,.F.); #266833=ORIENTED_EDGE('',*,*,#200384,.F.); #266834=ORIENTED_EDGE('',*,*,#200387,.F.); #266835=ORIENTED_EDGE('',*,*,#200390,.F.); #266836=ORIENTED_EDGE('',*,*,#200393,.F.); #266837=ORIENTED_EDGE('',*,*,#200396,.F.); #266838=ORIENTED_EDGE('',*,*,#200399,.F.); #266839=ORIENTED_EDGE('',*,*,#200402,.F.); #266840=ORIENTED_EDGE('',*,*,#200405,.F.); #266841=ORIENTED_EDGE('',*,*,#200408,.F.); #266842=ORIENTED_EDGE('',*,*,#200411,.F.); #266843=ORIENTED_EDGE('',*,*,#200414,.F.); #266844=ORIENTED_EDGE('',*,*,#200417,.F.); #266845=ORIENTED_EDGE('',*,*,#200420,.F.); #266846=ORIENTED_EDGE('',*,*,#200257,.T.); #266847=ORIENTED_EDGE('',*,*,#200260,.T.); #266848=ORIENTED_EDGE('',*,*,#200263,.T.); #266849=ORIENTED_EDGE('',*,*,#200266,.T.); #266850=ORIENTED_EDGE('',*,*,#200269,.T.); #266851=ORIENTED_EDGE('',*,*,#200272,.T.); #266852=ORIENTED_EDGE('',*,*,#200275,.T.); #266853=ORIENTED_EDGE('',*,*,#200278,.T.); #266854=ORIENTED_EDGE('',*,*,#200281,.T.); #266855=ORIENTED_EDGE('',*,*,#200284,.T.); #266856=ORIENTED_EDGE('',*,*,#200287,.T.); #266857=ORIENTED_EDGE('',*,*,#200290,.T.); #266858=ORIENTED_EDGE('',*,*,#200293,.T.); #266859=ORIENTED_EDGE('',*,*,#200296,.T.); #266860=ORIENTED_EDGE('',*,*,#200299,.T.); #266861=ORIENTED_EDGE('',*,*,#200425,.F.); #266862=ORIENTED_EDGE('',*,*,#200426,.T.); #266863=ORIENTED_EDGE('',*,*,#200427,.F.); #266864=ORIENTED_EDGE('',*,*,#200426,.F.); #266865=ORIENTED_EDGE('',*,*,#200428,.T.); #266866=ORIENTED_EDGE('',*,*,#200429,.T.); #266867=ORIENTED_EDGE('',*,*,#200430,.F.); #266868=ORIENTED_EDGE('',*,*,#200431,.F.); #266869=ORIENTED_EDGE('',*,*,#200432,.T.); #266870=ORIENTED_EDGE('',*,*,#200431,.T.); #266871=ORIENTED_EDGE('',*,*,#200433,.F.); #266872=ORIENTED_EDGE('',*,*,#200434,.F.); #266873=ORIENTED_EDGE('',*,*,#200435,.T.); #266874=ORIENTED_EDGE('',*,*,#200434,.T.); #266875=ORIENTED_EDGE('',*,*,#200436,.F.); #266876=ORIENTED_EDGE('',*,*,#200437,.F.); #266877=ORIENTED_EDGE('',*,*,#200438,.T.); #266878=ORIENTED_EDGE('',*,*,#200437,.T.); #266879=ORIENTED_EDGE('',*,*,#200439,.F.); #266880=ORIENTED_EDGE('',*,*,#200440,.F.); #266881=ORIENTED_EDGE('',*,*,#200441,.T.); #266882=ORIENTED_EDGE('',*,*,#200440,.T.); #266883=ORIENTED_EDGE('',*,*,#200442,.F.); #266884=ORIENTED_EDGE('',*,*,#200443,.F.); #266885=ORIENTED_EDGE('',*,*,#200444,.T.); #266886=ORIENTED_EDGE('',*,*,#200443,.T.); #266887=ORIENTED_EDGE('',*,*,#200445,.F.); #266888=ORIENTED_EDGE('',*,*,#200446,.F.); #266889=ORIENTED_EDGE('',*,*,#200447,.T.); #266890=ORIENTED_EDGE('',*,*,#200446,.T.); #266891=ORIENTED_EDGE('',*,*,#200448,.F.); #266892=ORIENTED_EDGE('',*,*,#200449,.F.); #266893=ORIENTED_EDGE('',*,*,#200450,.T.); #266894=ORIENTED_EDGE('',*,*,#200449,.T.); #266895=ORIENTED_EDGE('',*,*,#200451,.F.); #266896=ORIENTED_EDGE('',*,*,#200452,.F.); #266897=ORIENTED_EDGE('',*,*,#200453,.T.); #266898=ORIENTED_EDGE('',*,*,#200452,.T.); #266899=ORIENTED_EDGE('',*,*,#200454,.F.); #266900=ORIENTED_EDGE('',*,*,#200455,.F.); #266901=ORIENTED_EDGE('',*,*,#200456,.T.); #266902=ORIENTED_EDGE('',*,*,#200455,.T.); #266903=ORIENTED_EDGE('',*,*,#200457,.F.); #266904=ORIENTED_EDGE('',*,*,#200458,.F.); #266905=ORIENTED_EDGE('',*,*,#200459,.T.); #266906=ORIENTED_EDGE('',*,*,#200458,.T.); #266907=ORIENTED_EDGE('',*,*,#200460,.F.); #266908=ORIENTED_EDGE('',*,*,#200461,.F.); #266909=ORIENTED_EDGE('',*,*,#200462,.T.); #266910=ORIENTED_EDGE('',*,*,#200461,.T.); #266911=ORIENTED_EDGE('',*,*,#200463,.F.); #266912=ORIENTED_EDGE('',*,*,#200464,.F.); #266913=ORIENTED_EDGE('',*,*,#200465,.T.); #266914=ORIENTED_EDGE('',*,*,#200464,.T.); #266915=ORIENTED_EDGE('',*,*,#200466,.F.); #266916=ORIENTED_EDGE('',*,*,#200467,.F.); #266917=ORIENTED_EDGE('',*,*,#200468,.T.); #266918=ORIENTED_EDGE('',*,*,#200467,.T.); #266919=ORIENTED_EDGE('',*,*,#200469,.F.); #266920=ORIENTED_EDGE('',*,*,#200470,.F.); #266921=ORIENTED_EDGE('',*,*,#200471,.T.); #266922=ORIENTED_EDGE('',*,*,#200470,.T.); #266923=ORIENTED_EDGE('',*,*,#200472,.F.); #266924=ORIENTED_EDGE('',*,*,#200473,.F.); #266925=ORIENTED_EDGE('',*,*,#200474,.T.); #266926=ORIENTED_EDGE('',*,*,#200473,.T.); #266927=ORIENTED_EDGE('',*,*,#200475,.F.); #266928=ORIENTED_EDGE('',*,*,#200476,.F.); #266929=ORIENTED_EDGE('',*,*,#200477,.T.); #266930=ORIENTED_EDGE('',*,*,#200476,.T.); #266931=ORIENTED_EDGE('',*,*,#200478,.F.); #266932=ORIENTED_EDGE('',*,*,#200479,.F.); #266933=ORIENTED_EDGE('',*,*,#200480,.T.); #266934=ORIENTED_EDGE('',*,*,#200479,.T.); #266935=ORIENTED_EDGE('',*,*,#200481,.F.); #266936=ORIENTED_EDGE('',*,*,#200482,.F.); #266937=ORIENTED_EDGE('',*,*,#200483,.T.); #266938=ORIENTED_EDGE('',*,*,#200482,.T.); #266939=ORIENTED_EDGE('',*,*,#200484,.F.); #266940=ORIENTED_EDGE('',*,*,#200485,.F.); #266941=ORIENTED_EDGE('',*,*,#200486,.T.); #266942=ORIENTED_EDGE('',*,*,#200485,.T.); #266943=ORIENTED_EDGE('',*,*,#200487,.F.); #266944=ORIENTED_EDGE('',*,*,#200488,.F.); #266945=ORIENTED_EDGE('',*,*,#200489,.T.); #266946=ORIENTED_EDGE('',*,*,#200488,.T.); #266947=ORIENTED_EDGE('',*,*,#200490,.F.); #266948=ORIENTED_EDGE('',*,*,#200491,.F.); #266949=ORIENTED_EDGE('',*,*,#200492,.T.); #266950=ORIENTED_EDGE('',*,*,#200491,.T.); #266951=ORIENTED_EDGE('',*,*,#200493,.F.); #266952=ORIENTED_EDGE('',*,*,#200494,.F.); #266953=ORIENTED_EDGE('',*,*,#200495,.T.); #266954=ORIENTED_EDGE('',*,*,#200494,.T.); #266955=ORIENTED_EDGE('',*,*,#200496,.F.); #266956=ORIENTED_EDGE('',*,*,#200497,.F.); #266957=ORIENTED_EDGE('',*,*,#200498,.T.); #266958=ORIENTED_EDGE('',*,*,#200497,.T.); #266959=ORIENTED_EDGE('',*,*,#200499,.F.); #266960=ORIENTED_EDGE('',*,*,#200500,.F.); #266961=ORIENTED_EDGE('',*,*,#200501,.T.); #266962=ORIENTED_EDGE('',*,*,#200500,.T.); #266963=ORIENTED_EDGE('',*,*,#200502,.F.); #266964=ORIENTED_EDGE('',*,*,#200503,.F.); #266965=ORIENTED_EDGE('',*,*,#200504,.T.); #266966=ORIENTED_EDGE('',*,*,#200503,.T.); #266967=ORIENTED_EDGE('',*,*,#200505,.F.); #266968=ORIENTED_EDGE('',*,*,#200506,.F.); #266969=ORIENTED_EDGE('',*,*,#200507,.T.); #266970=ORIENTED_EDGE('',*,*,#200506,.T.); #266971=ORIENTED_EDGE('',*,*,#200508,.F.); #266972=ORIENTED_EDGE('',*,*,#200509,.F.); #266973=ORIENTED_EDGE('',*,*,#200510,.T.); #266974=ORIENTED_EDGE('',*,*,#200509,.T.); #266975=ORIENTED_EDGE('',*,*,#200511,.F.); #266976=ORIENTED_EDGE('',*,*,#200512,.F.); #266977=ORIENTED_EDGE('',*,*,#200513,.T.); #266978=ORIENTED_EDGE('',*,*,#200512,.T.); #266979=ORIENTED_EDGE('',*,*,#200514,.F.); #266980=ORIENTED_EDGE('',*,*,#200515,.F.); #266981=ORIENTED_EDGE('',*,*,#200516,.T.); #266982=ORIENTED_EDGE('',*,*,#200515,.T.); #266983=ORIENTED_EDGE('',*,*,#200517,.F.); #266984=ORIENTED_EDGE('',*,*,#200518,.F.); #266985=ORIENTED_EDGE('',*,*,#200519,.T.); #266986=ORIENTED_EDGE('',*,*,#200518,.T.); #266987=ORIENTED_EDGE('',*,*,#200520,.F.); #266988=ORIENTED_EDGE('',*,*,#200521,.F.); #266989=ORIENTED_EDGE('',*,*,#200522,.T.); #266990=ORIENTED_EDGE('',*,*,#200521,.T.); #266991=ORIENTED_EDGE('',*,*,#200523,.F.); #266992=ORIENTED_EDGE('',*,*,#200524,.F.); #266993=ORIENTED_EDGE('',*,*,#200525,.T.); #266994=ORIENTED_EDGE('',*,*,#200524,.T.); #266995=ORIENTED_EDGE('',*,*,#200526,.F.); #266996=ORIENTED_EDGE('',*,*,#200527,.F.); #266997=ORIENTED_EDGE('',*,*,#200528,.T.); #266998=ORIENTED_EDGE('',*,*,#200527,.T.); #266999=ORIENTED_EDGE('',*,*,#200529,.F.); #267000=ORIENTED_EDGE('',*,*,#200530,.F.); #267001=ORIENTED_EDGE('',*,*,#200531,.T.); #267002=ORIENTED_EDGE('',*,*,#200530,.T.); #267003=ORIENTED_EDGE('',*,*,#200532,.F.); #267004=ORIENTED_EDGE('',*,*,#200533,.F.); #267005=ORIENTED_EDGE('',*,*,#200534,.T.); #267006=ORIENTED_EDGE('',*,*,#200533,.T.); #267007=ORIENTED_EDGE('',*,*,#200535,.F.); #267008=ORIENTED_EDGE('',*,*,#200536,.F.); #267009=ORIENTED_EDGE('',*,*,#200537,.T.); #267010=ORIENTED_EDGE('',*,*,#200536,.T.); #267011=ORIENTED_EDGE('',*,*,#200538,.F.); #267012=ORIENTED_EDGE('',*,*,#200539,.F.); #267013=ORIENTED_EDGE('',*,*,#200540,.T.); #267014=ORIENTED_EDGE('',*,*,#200539,.T.); #267015=ORIENTED_EDGE('',*,*,#200541,.F.); #267016=ORIENTED_EDGE('',*,*,#200542,.F.); #267017=ORIENTED_EDGE('',*,*,#200543,.T.); #267018=ORIENTED_EDGE('',*,*,#200542,.T.); #267019=ORIENTED_EDGE('',*,*,#200544,.F.); #267020=ORIENTED_EDGE('',*,*,#200545,.F.); #267021=ORIENTED_EDGE('',*,*,#200546,.T.); #267022=ORIENTED_EDGE('',*,*,#200545,.T.); #267023=ORIENTED_EDGE('',*,*,#200547,.F.); #267024=ORIENTED_EDGE('',*,*,#200548,.F.); #267025=ORIENTED_EDGE('',*,*,#200549,.T.); #267026=ORIENTED_EDGE('',*,*,#200548,.T.); #267027=ORIENTED_EDGE('',*,*,#200550,.F.); #267028=ORIENTED_EDGE('',*,*,#200551,.F.); #267029=ORIENTED_EDGE('',*,*,#200552,.T.); #267030=ORIENTED_EDGE('',*,*,#200551,.T.); #267031=ORIENTED_EDGE('',*,*,#200553,.F.); #267032=ORIENTED_EDGE('',*,*,#200429,.F.); #267033=ORIENTED_EDGE('',*,*,#200553,.T.); #267034=ORIENTED_EDGE('',*,*,#200550,.T.); #267035=ORIENTED_EDGE('',*,*,#200547,.T.); #267036=ORIENTED_EDGE('',*,*,#200544,.T.); #267037=ORIENTED_EDGE('',*,*,#200541,.T.); #267038=ORIENTED_EDGE('',*,*,#200538,.T.); #267039=ORIENTED_EDGE('',*,*,#200535,.T.); #267040=ORIENTED_EDGE('',*,*,#200532,.T.); #267041=ORIENTED_EDGE('',*,*,#200529,.T.); #267042=ORIENTED_EDGE('',*,*,#200526,.T.); #267043=ORIENTED_EDGE('',*,*,#200523,.T.); #267044=ORIENTED_EDGE('',*,*,#200520,.T.); #267045=ORIENTED_EDGE('',*,*,#200517,.T.); #267046=ORIENTED_EDGE('',*,*,#200514,.T.); #267047=ORIENTED_EDGE('',*,*,#200511,.T.); #267048=ORIENTED_EDGE('',*,*,#200508,.T.); #267049=ORIENTED_EDGE('',*,*,#200505,.T.); #267050=ORIENTED_EDGE('',*,*,#200502,.T.); #267051=ORIENTED_EDGE('',*,*,#200499,.T.); #267052=ORIENTED_EDGE('',*,*,#200496,.T.); #267053=ORIENTED_EDGE('',*,*,#200493,.T.); #267054=ORIENTED_EDGE('',*,*,#200490,.T.); #267055=ORIENTED_EDGE('',*,*,#200487,.T.); #267056=ORIENTED_EDGE('',*,*,#200484,.T.); #267057=ORIENTED_EDGE('',*,*,#200481,.T.); #267058=ORIENTED_EDGE('',*,*,#200478,.T.); #267059=ORIENTED_EDGE('',*,*,#200475,.T.); #267060=ORIENTED_EDGE('',*,*,#200472,.T.); #267061=ORIENTED_EDGE('',*,*,#200469,.T.); #267062=ORIENTED_EDGE('',*,*,#200466,.T.); #267063=ORIENTED_EDGE('',*,*,#200463,.T.); #267064=ORIENTED_EDGE('',*,*,#200460,.T.); #267065=ORIENTED_EDGE('',*,*,#200457,.T.); #267066=ORIENTED_EDGE('',*,*,#200454,.T.); #267067=ORIENTED_EDGE('',*,*,#200451,.T.); #267068=ORIENTED_EDGE('',*,*,#200448,.T.); #267069=ORIENTED_EDGE('',*,*,#200445,.T.); #267070=ORIENTED_EDGE('',*,*,#200442,.T.); #267071=ORIENTED_EDGE('',*,*,#200439,.T.); #267072=ORIENTED_EDGE('',*,*,#200436,.T.); #267073=ORIENTED_EDGE('',*,*,#200433,.T.); #267074=ORIENTED_EDGE('',*,*,#200430,.T.); #267075=ORIENTED_EDGE('',*,*,#200427,.T.); #267076=ORIENTED_EDGE('',*,*,#200552,.F.); #267077=ORIENTED_EDGE('',*,*,#200428,.F.); #267078=ORIENTED_EDGE('',*,*,#200432,.F.); #267079=ORIENTED_EDGE('',*,*,#200435,.F.); #267080=ORIENTED_EDGE('',*,*,#200438,.F.); #267081=ORIENTED_EDGE('',*,*,#200441,.F.); #267082=ORIENTED_EDGE('',*,*,#200444,.F.); #267083=ORIENTED_EDGE('',*,*,#200447,.F.); #267084=ORIENTED_EDGE('',*,*,#200450,.F.); #267085=ORIENTED_EDGE('',*,*,#200453,.F.); #267086=ORIENTED_EDGE('',*,*,#200456,.F.); #267087=ORIENTED_EDGE('',*,*,#200459,.F.); #267088=ORIENTED_EDGE('',*,*,#200462,.F.); #267089=ORIENTED_EDGE('',*,*,#200465,.F.); #267090=ORIENTED_EDGE('',*,*,#200468,.F.); #267091=ORIENTED_EDGE('',*,*,#200471,.F.); #267092=ORIENTED_EDGE('',*,*,#200474,.F.); #267093=ORIENTED_EDGE('',*,*,#200477,.F.); #267094=ORIENTED_EDGE('',*,*,#200480,.F.); #267095=ORIENTED_EDGE('',*,*,#200483,.F.); #267096=ORIENTED_EDGE('',*,*,#200486,.F.); #267097=ORIENTED_EDGE('',*,*,#200489,.F.); #267098=ORIENTED_EDGE('',*,*,#200492,.F.); #267099=ORIENTED_EDGE('',*,*,#200495,.F.); #267100=ORIENTED_EDGE('',*,*,#200498,.F.); #267101=ORIENTED_EDGE('',*,*,#200501,.F.); #267102=ORIENTED_EDGE('',*,*,#200504,.F.); #267103=ORIENTED_EDGE('',*,*,#200507,.F.); #267104=ORIENTED_EDGE('',*,*,#200510,.F.); #267105=ORIENTED_EDGE('',*,*,#200513,.F.); #267106=ORIENTED_EDGE('',*,*,#200516,.F.); #267107=ORIENTED_EDGE('',*,*,#200519,.F.); #267108=ORIENTED_EDGE('',*,*,#200522,.F.); #267109=ORIENTED_EDGE('',*,*,#200525,.F.); #267110=ORIENTED_EDGE('',*,*,#200528,.F.); #267111=ORIENTED_EDGE('',*,*,#200531,.F.); #267112=ORIENTED_EDGE('',*,*,#200534,.F.); #267113=ORIENTED_EDGE('',*,*,#200537,.F.); #267114=ORIENTED_EDGE('',*,*,#200540,.F.); #267115=ORIENTED_EDGE('',*,*,#200543,.F.); #267116=ORIENTED_EDGE('',*,*,#200546,.F.); #267117=ORIENTED_EDGE('',*,*,#200549,.F.); #267118=ORIENTED_EDGE('',*,*,#200425,.T.); #267119=ORIENTED_EDGE('',*,*,#200554,.F.); #267120=ORIENTED_EDGE('',*,*,#200555,.T.); #267121=ORIENTED_EDGE('',*,*,#200556,.F.); #267122=ORIENTED_EDGE('',*,*,#200555,.F.); #267123=ORIENTED_EDGE('',*,*,#200557,.F.); #267124=ORIENTED_EDGE('',*,*,#200558,.T.); #267125=ORIENTED_EDGE('',*,*,#200559,.F.); #267126=ORIENTED_EDGE('',*,*,#200558,.F.); #267127=ORIENTED_EDGE('',*,*,#200560,.F.); #267128=ORIENTED_EDGE('',*,*,#200561,.T.); #267129=ORIENTED_EDGE('',*,*,#200562,.F.); #267130=ORIENTED_EDGE('',*,*,#200561,.F.); #267131=ORIENTED_EDGE('',*,*,#200563,.F.); #267132=ORIENTED_EDGE('',*,*,#200564,.T.); #267133=ORIENTED_EDGE('',*,*,#200565,.F.); #267134=ORIENTED_EDGE('',*,*,#200564,.F.); #267135=ORIENTED_EDGE('',*,*,#200566,.F.); #267136=ORIENTED_EDGE('',*,*,#200567,.T.); #267137=ORIENTED_EDGE('',*,*,#200568,.F.); #267138=ORIENTED_EDGE('',*,*,#200567,.F.); #267139=ORIENTED_EDGE('',*,*,#200569,.F.); #267140=ORIENTED_EDGE('',*,*,#200570,.T.); #267141=ORIENTED_EDGE('',*,*,#200571,.F.); #267142=ORIENTED_EDGE('',*,*,#200570,.F.); #267143=ORIENTED_EDGE('',*,*,#200572,.F.); #267144=ORIENTED_EDGE('',*,*,#200573,.T.); #267145=ORIENTED_EDGE('',*,*,#200574,.F.); #267146=ORIENTED_EDGE('',*,*,#200573,.F.); #267147=ORIENTED_EDGE('',*,*,#200575,.F.); #267148=ORIENTED_EDGE('',*,*,#200576,.T.); #267149=ORIENTED_EDGE('',*,*,#200577,.F.); #267150=ORIENTED_EDGE('',*,*,#200576,.F.); #267151=ORIENTED_EDGE('',*,*,#200578,.F.); #267152=ORIENTED_EDGE('',*,*,#200579,.T.); #267153=ORIENTED_EDGE('',*,*,#200580,.F.); #267154=ORIENTED_EDGE('',*,*,#200579,.F.); #267155=ORIENTED_EDGE('',*,*,#200581,.F.); #267156=ORIENTED_EDGE('',*,*,#200582,.T.); #267157=ORIENTED_EDGE('',*,*,#200583,.F.); #267158=ORIENTED_EDGE('',*,*,#200582,.F.); #267159=ORIENTED_EDGE('',*,*,#200584,.F.); #267160=ORIENTED_EDGE('',*,*,#200585,.T.); #267161=ORIENTED_EDGE('',*,*,#200586,.F.); #267162=ORIENTED_EDGE('',*,*,#200585,.F.); #267163=ORIENTED_EDGE('',*,*,#200587,.F.); #267164=ORIENTED_EDGE('',*,*,#200588,.T.); #267165=ORIENTED_EDGE('',*,*,#200589,.F.); #267166=ORIENTED_EDGE('',*,*,#200588,.F.); #267167=ORIENTED_EDGE('',*,*,#200590,.F.); #267168=ORIENTED_EDGE('',*,*,#200591,.T.); #267169=ORIENTED_EDGE('',*,*,#200592,.F.); #267170=ORIENTED_EDGE('',*,*,#200591,.F.); #267171=ORIENTED_EDGE('',*,*,#200593,.F.); #267172=ORIENTED_EDGE('',*,*,#200594,.T.); #267173=ORIENTED_EDGE('',*,*,#200595,.F.); #267174=ORIENTED_EDGE('',*,*,#200594,.F.); #267175=ORIENTED_EDGE('',*,*,#200596,.F.); #267176=ORIENTED_EDGE('',*,*,#200597,.T.); #267177=ORIENTED_EDGE('',*,*,#200598,.F.); #267178=ORIENTED_EDGE('',*,*,#200597,.F.); #267179=ORIENTED_EDGE('',*,*,#200599,.T.); #267180=ORIENTED_EDGE('',*,*,#200600,.T.); #267181=ORIENTED_EDGE('',*,*,#200601,.F.); #267182=ORIENTED_EDGE('',*,*,#200602,.F.); #267183=ORIENTED_EDGE('',*,*,#200603,.T.); #267184=ORIENTED_EDGE('',*,*,#200602,.T.); #267185=ORIENTED_EDGE('',*,*,#200604,.F.); #267186=ORIENTED_EDGE('',*,*,#200605,.F.); #267187=ORIENTED_EDGE('',*,*,#200606,.T.); #267188=ORIENTED_EDGE('',*,*,#200605,.T.); #267189=ORIENTED_EDGE('',*,*,#200607,.F.); #267190=ORIENTED_EDGE('',*,*,#200608,.F.); #267191=ORIENTED_EDGE('',*,*,#200609,.T.); #267192=ORIENTED_EDGE('',*,*,#200608,.T.); #267193=ORIENTED_EDGE('',*,*,#200610,.F.); #267194=ORIENTED_EDGE('',*,*,#200611,.F.); #267195=ORIENTED_EDGE('',*,*,#200612,.T.); #267196=ORIENTED_EDGE('',*,*,#200611,.T.); #267197=ORIENTED_EDGE('',*,*,#200613,.F.); #267198=ORIENTED_EDGE('',*,*,#200614,.F.); #267199=ORIENTED_EDGE('',*,*,#200615,.T.); #267200=ORIENTED_EDGE('',*,*,#200614,.T.); #267201=ORIENTED_EDGE('',*,*,#200616,.F.); #267202=ORIENTED_EDGE('',*,*,#200617,.F.); #267203=ORIENTED_EDGE('',*,*,#200618,.T.); #267204=ORIENTED_EDGE('',*,*,#200617,.T.); #267205=ORIENTED_EDGE('',*,*,#200619,.F.); #267206=ORIENTED_EDGE('',*,*,#200620,.F.); #267207=ORIENTED_EDGE('',*,*,#200621,.T.); #267208=ORIENTED_EDGE('',*,*,#200620,.T.); #267209=ORIENTED_EDGE('',*,*,#200622,.F.); #267210=ORIENTED_EDGE('',*,*,#200623,.F.); #267211=ORIENTED_EDGE('',*,*,#200624,.T.); #267212=ORIENTED_EDGE('',*,*,#200623,.T.); #267213=ORIENTED_EDGE('',*,*,#200625,.F.); #267214=ORIENTED_EDGE('',*,*,#200626,.F.); #267215=ORIENTED_EDGE('',*,*,#200627,.T.); #267216=ORIENTED_EDGE('',*,*,#200626,.T.); #267217=ORIENTED_EDGE('',*,*,#200628,.F.); #267218=ORIENTED_EDGE('',*,*,#200629,.F.); #267219=ORIENTED_EDGE('',*,*,#200630,.T.); #267220=ORIENTED_EDGE('',*,*,#200629,.T.); #267221=ORIENTED_EDGE('',*,*,#200631,.F.); #267222=ORIENTED_EDGE('',*,*,#200632,.F.); #267223=ORIENTED_EDGE('',*,*,#200633,.T.); #267224=ORIENTED_EDGE('',*,*,#200632,.T.); #267225=ORIENTED_EDGE('',*,*,#200634,.F.); #267226=ORIENTED_EDGE('',*,*,#200635,.F.); #267227=ORIENTED_EDGE('',*,*,#200636,.T.); #267228=ORIENTED_EDGE('',*,*,#200635,.T.); #267229=ORIENTED_EDGE('',*,*,#200637,.F.); #267230=ORIENTED_EDGE('',*,*,#200638,.F.); #267231=ORIENTED_EDGE('',*,*,#200639,.T.); #267232=ORIENTED_EDGE('',*,*,#200638,.T.); #267233=ORIENTED_EDGE('',*,*,#200640,.F.); #267234=ORIENTED_EDGE('',*,*,#200641,.F.); #267235=ORIENTED_EDGE('',*,*,#200642,.T.); #267236=ORIENTED_EDGE('',*,*,#200641,.T.); #267237=ORIENTED_EDGE('',*,*,#200643,.F.); #267238=ORIENTED_EDGE('',*,*,#200644,.F.); #267239=ORIENTED_EDGE('',*,*,#200645,.T.); #267240=ORIENTED_EDGE('',*,*,#200644,.T.); #267241=ORIENTED_EDGE('',*,*,#200646,.F.); #267242=ORIENTED_EDGE('',*,*,#200647,.F.); #267243=ORIENTED_EDGE('',*,*,#200648,.T.); #267244=ORIENTED_EDGE('',*,*,#200647,.T.); #267245=ORIENTED_EDGE('',*,*,#200649,.F.); #267246=ORIENTED_EDGE('',*,*,#200650,.F.); #267247=ORIENTED_EDGE('',*,*,#200651,.T.); #267248=ORIENTED_EDGE('',*,*,#200650,.T.); #267249=ORIENTED_EDGE('',*,*,#200652,.F.); #267250=ORIENTED_EDGE('',*,*,#200653,.F.); #267251=ORIENTED_EDGE('',*,*,#200654,.T.); #267252=ORIENTED_EDGE('',*,*,#200653,.T.); #267253=ORIENTED_EDGE('',*,*,#200655,.F.); #267254=ORIENTED_EDGE('',*,*,#200656,.F.); #267255=ORIENTED_EDGE('',*,*,#200657,.T.); #267256=ORIENTED_EDGE('',*,*,#200656,.T.); #267257=ORIENTED_EDGE('',*,*,#200658,.F.); #267258=ORIENTED_EDGE('',*,*,#200659,.F.); #267259=ORIENTED_EDGE('',*,*,#200660,.T.); #267260=ORIENTED_EDGE('',*,*,#200659,.T.); #267261=ORIENTED_EDGE('',*,*,#200661,.F.); #267262=ORIENTED_EDGE('',*,*,#200662,.F.); #267263=ORIENTED_EDGE('',*,*,#200663,.T.); #267264=ORIENTED_EDGE('',*,*,#200662,.T.); #267265=ORIENTED_EDGE('',*,*,#200664,.F.); #267266=ORIENTED_EDGE('',*,*,#200665,.F.); #267267=ORIENTED_EDGE('',*,*,#200666,.T.); #267268=ORIENTED_EDGE('',*,*,#200665,.T.); #267269=ORIENTED_EDGE('',*,*,#200667,.F.); #267270=ORIENTED_EDGE('',*,*,#200668,.F.); #267271=ORIENTED_EDGE('',*,*,#200669,.T.); #267272=ORIENTED_EDGE('',*,*,#200668,.T.); #267273=ORIENTED_EDGE('',*,*,#200670,.F.); #267274=ORIENTED_EDGE('',*,*,#200671,.F.); #267275=ORIENTED_EDGE('',*,*,#200672,.T.); #267276=ORIENTED_EDGE('',*,*,#200671,.T.); #267277=ORIENTED_EDGE('',*,*,#200673,.F.); #267278=ORIENTED_EDGE('',*,*,#200674,.F.); #267279=ORIENTED_EDGE('',*,*,#200675,.T.); #267280=ORIENTED_EDGE('',*,*,#200674,.T.); #267281=ORIENTED_EDGE('',*,*,#200676,.F.); #267282=ORIENTED_EDGE('',*,*,#200677,.F.); #267283=ORIENTED_EDGE('',*,*,#200678,.T.); #267284=ORIENTED_EDGE('',*,*,#200677,.T.); #267285=ORIENTED_EDGE('',*,*,#200679,.F.); #267286=ORIENTED_EDGE('',*,*,#200680,.F.); #267287=ORIENTED_EDGE('',*,*,#200681,.T.); #267288=ORIENTED_EDGE('',*,*,#200680,.T.); #267289=ORIENTED_EDGE('',*,*,#200682,.F.); #267290=ORIENTED_EDGE('',*,*,#200683,.F.); #267291=ORIENTED_EDGE('',*,*,#200684,.T.); #267292=ORIENTED_EDGE('',*,*,#200683,.T.); #267293=ORIENTED_EDGE('',*,*,#200685,.F.); #267294=ORIENTED_EDGE('',*,*,#200686,.F.); #267295=ORIENTED_EDGE('',*,*,#200687,.T.); #267296=ORIENTED_EDGE('',*,*,#200686,.T.); #267297=ORIENTED_EDGE('',*,*,#200688,.F.); #267298=ORIENTED_EDGE('',*,*,#200689,.F.); #267299=ORIENTED_EDGE('',*,*,#200690,.T.); #267300=ORIENTED_EDGE('',*,*,#200689,.T.); #267301=ORIENTED_EDGE('',*,*,#200691,.F.); #267302=ORIENTED_EDGE('',*,*,#200692,.F.); #267303=ORIENTED_EDGE('',*,*,#200693,.T.); #267304=ORIENTED_EDGE('',*,*,#200692,.T.); #267305=ORIENTED_EDGE('',*,*,#200694,.F.); #267306=ORIENTED_EDGE('',*,*,#200695,.F.); #267307=ORIENTED_EDGE('',*,*,#200696,.T.); #267308=ORIENTED_EDGE('',*,*,#200695,.T.); #267309=ORIENTED_EDGE('',*,*,#200697,.F.); #267310=ORIENTED_EDGE('',*,*,#200698,.F.); #267311=ORIENTED_EDGE('',*,*,#200699,.T.); #267312=ORIENTED_EDGE('',*,*,#200698,.T.); #267313=ORIENTED_EDGE('',*,*,#200700,.F.); #267314=ORIENTED_EDGE('',*,*,#200701,.F.); #267315=ORIENTED_EDGE('',*,*,#200702,.T.); #267316=ORIENTED_EDGE('',*,*,#200701,.T.); #267317=ORIENTED_EDGE('',*,*,#200703,.F.); #267318=ORIENTED_EDGE('',*,*,#200704,.F.); #267319=ORIENTED_EDGE('',*,*,#200705,.T.); #267320=ORIENTED_EDGE('',*,*,#200704,.T.); #267321=ORIENTED_EDGE('',*,*,#200706,.F.); #267322=ORIENTED_EDGE('',*,*,#200707,.F.); #267323=ORIENTED_EDGE('',*,*,#200708,.T.); #267324=ORIENTED_EDGE('',*,*,#200707,.T.); #267325=ORIENTED_EDGE('',*,*,#200709,.F.); #267326=ORIENTED_EDGE('',*,*,#200710,.F.); #267327=ORIENTED_EDGE('',*,*,#200711,.T.); #267328=ORIENTED_EDGE('',*,*,#200710,.T.); #267329=ORIENTED_EDGE('',*,*,#200712,.F.); #267330=ORIENTED_EDGE('',*,*,#200713,.F.); #267331=ORIENTED_EDGE('',*,*,#200714,.T.); #267332=ORIENTED_EDGE('',*,*,#200713,.T.); #267333=ORIENTED_EDGE('',*,*,#200715,.F.); #267334=ORIENTED_EDGE('',*,*,#200716,.F.); #267335=ORIENTED_EDGE('',*,*,#200717,.T.); #267336=ORIENTED_EDGE('',*,*,#200716,.T.); #267337=ORIENTED_EDGE('',*,*,#200718,.F.); #267338=ORIENTED_EDGE('',*,*,#200719,.F.); #267339=ORIENTED_EDGE('',*,*,#200720,.T.); #267340=ORIENTED_EDGE('',*,*,#200719,.T.); #267341=ORIENTED_EDGE('',*,*,#200721,.F.); #267342=ORIENTED_EDGE('',*,*,#200722,.F.); #267343=ORIENTED_EDGE('',*,*,#200723,.T.); #267344=ORIENTED_EDGE('',*,*,#200722,.T.); #267345=ORIENTED_EDGE('',*,*,#200724,.F.); #267346=ORIENTED_EDGE('',*,*,#200725,.F.); #267347=ORIENTED_EDGE('',*,*,#200726,.T.); #267348=ORIENTED_EDGE('',*,*,#200725,.T.); #267349=ORIENTED_EDGE('',*,*,#200727,.F.); #267350=ORIENTED_EDGE('',*,*,#200728,.F.); #267351=ORIENTED_EDGE('',*,*,#200729,.T.); #267352=ORIENTED_EDGE('',*,*,#200728,.T.); #267353=ORIENTED_EDGE('',*,*,#200730,.F.); #267354=ORIENTED_EDGE('',*,*,#200731,.F.); #267355=ORIENTED_EDGE('',*,*,#200732,.T.); #267356=ORIENTED_EDGE('',*,*,#200731,.T.); #267357=ORIENTED_EDGE('',*,*,#200733,.F.); #267358=ORIENTED_EDGE('',*,*,#200734,.F.); #267359=ORIENTED_EDGE('',*,*,#200735,.T.); #267360=ORIENTED_EDGE('',*,*,#200734,.T.); #267361=ORIENTED_EDGE('',*,*,#200736,.F.); #267362=ORIENTED_EDGE('',*,*,#200737,.F.); #267363=ORIENTED_EDGE('',*,*,#200738,.T.); #267364=ORIENTED_EDGE('',*,*,#200737,.T.); #267365=ORIENTED_EDGE('',*,*,#200739,.F.); #267366=ORIENTED_EDGE('',*,*,#200740,.F.); #267367=ORIENTED_EDGE('',*,*,#200741,.T.); #267368=ORIENTED_EDGE('',*,*,#200740,.T.); #267369=ORIENTED_EDGE('',*,*,#200742,.F.); #267370=ORIENTED_EDGE('',*,*,#200743,.F.); #267371=ORIENTED_EDGE('',*,*,#200744,.T.); #267372=ORIENTED_EDGE('',*,*,#200743,.T.); #267373=ORIENTED_EDGE('',*,*,#200745,.F.); #267374=ORIENTED_EDGE('',*,*,#200600,.F.); #267375=ORIENTED_EDGE('',*,*,#200745,.T.); #267376=ORIENTED_EDGE('',*,*,#200742,.T.); #267377=ORIENTED_EDGE('',*,*,#200739,.T.); #267378=ORIENTED_EDGE('',*,*,#200736,.T.); #267379=ORIENTED_EDGE('',*,*,#200733,.T.); #267380=ORIENTED_EDGE('',*,*,#200730,.T.); #267381=ORIENTED_EDGE('',*,*,#200727,.T.); #267382=ORIENTED_EDGE('',*,*,#200724,.T.); #267383=ORIENTED_EDGE('',*,*,#200721,.T.); #267384=ORIENTED_EDGE('',*,*,#200718,.T.); #267385=ORIENTED_EDGE('',*,*,#200715,.T.); #267386=ORIENTED_EDGE('',*,*,#200712,.T.); #267387=ORIENTED_EDGE('',*,*,#200709,.T.); #267388=ORIENTED_EDGE('',*,*,#200706,.T.); #267389=ORIENTED_EDGE('',*,*,#200703,.T.); #267390=ORIENTED_EDGE('',*,*,#200700,.T.); #267391=ORIENTED_EDGE('',*,*,#200697,.T.); #267392=ORIENTED_EDGE('',*,*,#200694,.T.); #267393=ORIENTED_EDGE('',*,*,#200691,.T.); #267394=ORIENTED_EDGE('',*,*,#200688,.T.); #267395=ORIENTED_EDGE('',*,*,#200685,.T.); #267396=ORIENTED_EDGE('',*,*,#200682,.T.); #267397=ORIENTED_EDGE('',*,*,#200679,.T.); #267398=ORIENTED_EDGE('',*,*,#200676,.T.); #267399=ORIENTED_EDGE('',*,*,#200673,.T.); #267400=ORIENTED_EDGE('',*,*,#200670,.T.); #267401=ORIENTED_EDGE('',*,*,#200667,.T.); #267402=ORIENTED_EDGE('',*,*,#200664,.T.); #267403=ORIENTED_EDGE('',*,*,#200661,.T.); #267404=ORIENTED_EDGE('',*,*,#200658,.T.); #267405=ORIENTED_EDGE('',*,*,#200655,.T.); #267406=ORIENTED_EDGE('',*,*,#200652,.T.); #267407=ORIENTED_EDGE('',*,*,#200649,.T.); #267408=ORIENTED_EDGE('',*,*,#200646,.T.); #267409=ORIENTED_EDGE('',*,*,#200643,.T.); #267410=ORIENTED_EDGE('',*,*,#200640,.T.); #267411=ORIENTED_EDGE('',*,*,#200637,.T.); #267412=ORIENTED_EDGE('',*,*,#200634,.T.); #267413=ORIENTED_EDGE('',*,*,#200631,.T.); #267414=ORIENTED_EDGE('',*,*,#200628,.T.); #267415=ORIENTED_EDGE('',*,*,#200625,.T.); #267416=ORIENTED_EDGE('',*,*,#200622,.T.); #267417=ORIENTED_EDGE('',*,*,#200619,.T.); #267418=ORIENTED_EDGE('',*,*,#200616,.T.); #267419=ORIENTED_EDGE('',*,*,#200613,.T.); #267420=ORIENTED_EDGE('',*,*,#200610,.T.); #267421=ORIENTED_EDGE('',*,*,#200607,.T.); #267422=ORIENTED_EDGE('',*,*,#200604,.T.); #267423=ORIENTED_EDGE('',*,*,#200601,.T.); #267424=ORIENTED_EDGE('',*,*,#200556,.T.); #267425=ORIENTED_EDGE('',*,*,#200559,.T.); #267426=ORIENTED_EDGE('',*,*,#200562,.T.); #267427=ORIENTED_EDGE('',*,*,#200565,.T.); #267428=ORIENTED_EDGE('',*,*,#200568,.T.); #267429=ORIENTED_EDGE('',*,*,#200571,.T.); #267430=ORIENTED_EDGE('',*,*,#200574,.T.); #267431=ORIENTED_EDGE('',*,*,#200577,.T.); #267432=ORIENTED_EDGE('',*,*,#200580,.T.); #267433=ORIENTED_EDGE('',*,*,#200583,.T.); #267434=ORIENTED_EDGE('',*,*,#200586,.T.); #267435=ORIENTED_EDGE('',*,*,#200589,.T.); #267436=ORIENTED_EDGE('',*,*,#200592,.T.); #267437=ORIENTED_EDGE('',*,*,#200595,.T.); #267438=ORIENTED_EDGE('',*,*,#200598,.T.); #267439=ORIENTED_EDGE('',*,*,#200744,.F.); #267440=ORIENTED_EDGE('',*,*,#200599,.F.); #267441=ORIENTED_EDGE('',*,*,#200603,.F.); #267442=ORIENTED_EDGE('',*,*,#200606,.F.); #267443=ORIENTED_EDGE('',*,*,#200609,.F.); #267444=ORIENTED_EDGE('',*,*,#200612,.F.); #267445=ORIENTED_EDGE('',*,*,#200615,.F.); #267446=ORIENTED_EDGE('',*,*,#200618,.F.); #267447=ORIENTED_EDGE('',*,*,#200621,.F.); #267448=ORIENTED_EDGE('',*,*,#200624,.F.); #267449=ORIENTED_EDGE('',*,*,#200627,.F.); #267450=ORIENTED_EDGE('',*,*,#200630,.F.); #267451=ORIENTED_EDGE('',*,*,#200633,.F.); #267452=ORIENTED_EDGE('',*,*,#200636,.F.); #267453=ORIENTED_EDGE('',*,*,#200639,.F.); #267454=ORIENTED_EDGE('',*,*,#200642,.F.); #267455=ORIENTED_EDGE('',*,*,#200645,.F.); #267456=ORIENTED_EDGE('',*,*,#200648,.F.); #267457=ORIENTED_EDGE('',*,*,#200651,.F.); #267458=ORIENTED_EDGE('',*,*,#200654,.F.); #267459=ORIENTED_EDGE('',*,*,#200657,.F.); #267460=ORIENTED_EDGE('',*,*,#200660,.F.); #267461=ORIENTED_EDGE('',*,*,#200663,.F.); #267462=ORIENTED_EDGE('',*,*,#200666,.F.); #267463=ORIENTED_EDGE('',*,*,#200669,.F.); #267464=ORIENTED_EDGE('',*,*,#200672,.F.); #267465=ORIENTED_EDGE('',*,*,#200675,.F.); #267466=ORIENTED_EDGE('',*,*,#200678,.F.); #267467=ORIENTED_EDGE('',*,*,#200681,.F.); #267468=ORIENTED_EDGE('',*,*,#200684,.F.); #267469=ORIENTED_EDGE('',*,*,#200687,.F.); #267470=ORIENTED_EDGE('',*,*,#200690,.F.); #267471=ORIENTED_EDGE('',*,*,#200693,.F.); #267472=ORIENTED_EDGE('',*,*,#200696,.F.); #267473=ORIENTED_EDGE('',*,*,#200699,.F.); #267474=ORIENTED_EDGE('',*,*,#200702,.F.); #267475=ORIENTED_EDGE('',*,*,#200705,.F.); #267476=ORIENTED_EDGE('',*,*,#200708,.F.); #267477=ORIENTED_EDGE('',*,*,#200711,.F.); #267478=ORIENTED_EDGE('',*,*,#200714,.F.); #267479=ORIENTED_EDGE('',*,*,#200717,.F.); #267480=ORIENTED_EDGE('',*,*,#200720,.F.); #267481=ORIENTED_EDGE('',*,*,#200723,.F.); #267482=ORIENTED_EDGE('',*,*,#200726,.F.); #267483=ORIENTED_EDGE('',*,*,#200729,.F.); #267484=ORIENTED_EDGE('',*,*,#200732,.F.); #267485=ORIENTED_EDGE('',*,*,#200735,.F.); #267486=ORIENTED_EDGE('',*,*,#200738,.F.); #267487=ORIENTED_EDGE('',*,*,#200741,.F.); #267488=ORIENTED_EDGE('',*,*,#200554,.T.); #267489=ORIENTED_EDGE('',*,*,#200557,.T.); #267490=ORIENTED_EDGE('',*,*,#200560,.T.); #267491=ORIENTED_EDGE('',*,*,#200563,.T.); #267492=ORIENTED_EDGE('',*,*,#200566,.T.); #267493=ORIENTED_EDGE('',*,*,#200569,.T.); #267494=ORIENTED_EDGE('',*,*,#200572,.T.); #267495=ORIENTED_EDGE('',*,*,#200575,.T.); #267496=ORIENTED_EDGE('',*,*,#200578,.T.); #267497=ORIENTED_EDGE('',*,*,#200581,.T.); #267498=ORIENTED_EDGE('',*,*,#200584,.T.); #267499=ORIENTED_EDGE('',*,*,#200587,.T.); #267500=ORIENTED_EDGE('',*,*,#200590,.T.); #267501=ORIENTED_EDGE('',*,*,#200593,.T.); #267502=ORIENTED_EDGE('',*,*,#200596,.T.); #267503=ORIENTED_EDGE('',*,*,#200746,.F.); #267504=ORIENTED_EDGE('',*,*,#200747,.T.); #267505=ORIENTED_EDGE('',*,*,#200748,.F.); #267506=ORIENTED_EDGE('',*,*,#200747,.F.); #267507=ORIENTED_EDGE('',*,*,#200749,.T.); #267508=ORIENTED_EDGE('',*,*,#200750,.T.); #267509=ORIENTED_EDGE('',*,*,#200751,.F.); #267510=ORIENTED_EDGE('',*,*,#200752,.F.); #267511=ORIENTED_EDGE('',*,*,#200753,.T.); #267512=ORIENTED_EDGE('',*,*,#200752,.T.); #267513=ORIENTED_EDGE('',*,*,#200754,.F.); #267514=ORIENTED_EDGE('',*,*,#200755,.F.); #267515=ORIENTED_EDGE('',*,*,#200756,.T.); #267516=ORIENTED_EDGE('',*,*,#200755,.T.); #267517=ORIENTED_EDGE('',*,*,#200757,.F.); #267518=ORIENTED_EDGE('',*,*,#200758,.F.); #267519=ORIENTED_EDGE('',*,*,#200759,.T.); #267520=ORIENTED_EDGE('',*,*,#200758,.T.); #267521=ORIENTED_EDGE('',*,*,#200760,.F.); #267522=ORIENTED_EDGE('',*,*,#200761,.F.); #267523=ORIENTED_EDGE('',*,*,#200762,.T.); #267524=ORIENTED_EDGE('',*,*,#200761,.T.); #267525=ORIENTED_EDGE('',*,*,#200763,.F.); #267526=ORIENTED_EDGE('',*,*,#200764,.F.); #267527=ORIENTED_EDGE('',*,*,#200765,.T.); #267528=ORIENTED_EDGE('',*,*,#200764,.T.); #267529=ORIENTED_EDGE('',*,*,#200766,.F.); #267530=ORIENTED_EDGE('',*,*,#200767,.F.); #267531=ORIENTED_EDGE('',*,*,#200768,.T.); #267532=ORIENTED_EDGE('',*,*,#200767,.T.); #267533=ORIENTED_EDGE('',*,*,#200769,.F.); #267534=ORIENTED_EDGE('',*,*,#200770,.F.); #267535=ORIENTED_EDGE('',*,*,#200771,.T.); #267536=ORIENTED_EDGE('',*,*,#200770,.T.); #267537=ORIENTED_EDGE('',*,*,#200772,.F.); #267538=ORIENTED_EDGE('',*,*,#200773,.F.); #267539=ORIENTED_EDGE('',*,*,#200774,.T.); #267540=ORIENTED_EDGE('',*,*,#200773,.T.); #267541=ORIENTED_EDGE('',*,*,#200775,.F.); #267542=ORIENTED_EDGE('',*,*,#200776,.F.); #267543=ORIENTED_EDGE('',*,*,#200777,.T.); #267544=ORIENTED_EDGE('',*,*,#200776,.T.); #267545=ORIENTED_EDGE('',*,*,#200778,.F.); #267546=ORIENTED_EDGE('',*,*,#200779,.F.); #267547=ORIENTED_EDGE('',*,*,#200780,.T.); #267548=ORIENTED_EDGE('',*,*,#200779,.T.); #267549=ORIENTED_EDGE('',*,*,#200781,.F.); #267550=ORIENTED_EDGE('',*,*,#200782,.F.); #267551=ORIENTED_EDGE('',*,*,#200783,.T.); #267552=ORIENTED_EDGE('',*,*,#200782,.T.); #267553=ORIENTED_EDGE('',*,*,#200784,.F.); #267554=ORIENTED_EDGE('',*,*,#200785,.F.); #267555=ORIENTED_EDGE('',*,*,#200786,.T.); #267556=ORIENTED_EDGE('',*,*,#200785,.T.); #267557=ORIENTED_EDGE('',*,*,#200787,.F.); #267558=ORIENTED_EDGE('',*,*,#200788,.F.); #267559=ORIENTED_EDGE('',*,*,#200789,.T.); #267560=ORIENTED_EDGE('',*,*,#200788,.T.); #267561=ORIENTED_EDGE('',*,*,#200790,.F.); #267562=ORIENTED_EDGE('',*,*,#200791,.F.); #267563=ORIENTED_EDGE('',*,*,#200792,.T.); #267564=ORIENTED_EDGE('',*,*,#200791,.T.); #267565=ORIENTED_EDGE('',*,*,#200793,.F.); #267566=ORIENTED_EDGE('',*,*,#200794,.F.); #267567=ORIENTED_EDGE('',*,*,#200795,.T.); #267568=ORIENTED_EDGE('',*,*,#200794,.T.); #267569=ORIENTED_EDGE('',*,*,#200796,.F.); #267570=ORIENTED_EDGE('',*,*,#200797,.F.); #267571=ORIENTED_EDGE('',*,*,#200798,.T.); #267572=ORIENTED_EDGE('',*,*,#200797,.T.); #267573=ORIENTED_EDGE('',*,*,#200799,.F.); #267574=ORIENTED_EDGE('',*,*,#200800,.F.); #267575=ORIENTED_EDGE('',*,*,#200801,.T.); #267576=ORIENTED_EDGE('',*,*,#200800,.T.); #267577=ORIENTED_EDGE('',*,*,#200802,.F.); #267578=ORIENTED_EDGE('',*,*,#200803,.F.); #267579=ORIENTED_EDGE('',*,*,#200804,.T.); #267580=ORIENTED_EDGE('',*,*,#200803,.T.); #267581=ORIENTED_EDGE('',*,*,#200805,.F.); #267582=ORIENTED_EDGE('',*,*,#200806,.F.); #267583=ORIENTED_EDGE('',*,*,#200807,.T.); #267584=ORIENTED_EDGE('',*,*,#200806,.T.); #267585=ORIENTED_EDGE('',*,*,#200808,.F.); #267586=ORIENTED_EDGE('',*,*,#200809,.F.); #267587=ORIENTED_EDGE('',*,*,#200810,.T.); #267588=ORIENTED_EDGE('',*,*,#200809,.T.); #267589=ORIENTED_EDGE('',*,*,#200811,.F.); #267590=ORIENTED_EDGE('',*,*,#200812,.F.); #267591=ORIENTED_EDGE('',*,*,#200813,.T.); #267592=ORIENTED_EDGE('',*,*,#200812,.T.); #267593=ORIENTED_EDGE('',*,*,#200814,.F.); #267594=ORIENTED_EDGE('',*,*,#200815,.F.); #267595=ORIENTED_EDGE('',*,*,#200816,.T.); #267596=ORIENTED_EDGE('',*,*,#200815,.T.); #267597=ORIENTED_EDGE('',*,*,#200817,.F.); #267598=ORIENTED_EDGE('',*,*,#200818,.F.); #267599=ORIENTED_EDGE('',*,*,#200819,.T.); #267600=ORIENTED_EDGE('',*,*,#200818,.T.); #267601=ORIENTED_EDGE('',*,*,#200820,.F.); #267602=ORIENTED_EDGE('',*,*,#200821,.F.); #267603=ORIENTED_EDGE('',*,*,#200822,.T.); #267604=ORIENTED_EDGE('',*,*,#200821,.T.); #267605=ORIENTED_EDGE('',*,*,#200823,.F.); #267606=ORIENTED_EDGE('',*,*,#200824,.F.); #267607=ORIENTED_EDGE('',*,*,#200825,.T.); #267608=ORIENTED_EDGE('',*,*,#200824,.T.); #267609=ORIENTED_EDGE('',*,*,#200826,.F.); #267610=ORIENTED_EDGE('',*,*,#200827,.F.); #267611=ORIENTED_EDGE('',*,*,#200828,.T.); #267612=ORIENTED_EDGE('',*,*,#200827,.T.); #267613=ORIENTED_EDGE('',*,*,#200829,.F.); #267614=ORIENTED_EDGE('',*,*,#200830,.F.); #267615=ORIENTED_EDGE('',*,*,#200831,.T.); #267616=ORIENTED_EDGE('',*,*,#200830,.T.); #267617=ORIENTED_EDGE('',*,*,#200832,.F.); #267618=ORIENTED_EDGE('',*,*,#200833,.F.); #267619=ORIENTED_EDGE('',*,*,#200834,.T.); #267620=ORIENTED_EDGE('',*,*,#200833,.T.); #267621=ORIENTED_EDGE('',*,*,#200835,.F.); #267622=ORIENTED_EDGE('',*,*,#200836,.F.); #267623=ORIENTED_EDGE('',*,*,#200837,.T.); #267624=ORIENTED_EDGE('',*,*,#200836,.T.); #267625=ORIENTED_EDGE('',*,*,#200838,.F.); #267626=ORIENTED_EDGE('',*,*,#200839,.F.); #267627=ORIENTED_EDGE('',*,*,#200840,.T.); #267628=ORIENTED_EDGE('',*,*,#200839,.T.); #267629=ORIENTED_EDGE('',*,*,#200841,.F.); #267630=ORIENTED_EDGE('',*,*,#200842,.F.); #267631=ORIENTED_EDGE('',*,*,#200843,.T.); #267632=ORIENTED_EDGE('',*,*,#200842,.T.); #267633=ORIENTED_EDGE('',*,*,#200844,.F.); #267634=ORIENTED_EDGE('',*,*,#200845,.F.); #267635=ORIENTED_EDGE('',*,*,#200846,.T.); #267636=ORIENTED_EDGE('',*,*,#200845,.T.); #267637=ORIENTED_EDGE('',*,*,#200847,.F.); #267638=ORIENTED_EDGE('',*,*,#200848,.F.); #267639=ORIENTED_EDGE('',*,*,#200849,.T.); #267640=ORIENTED_EDGE('',*,*,#200848,.T.); #267641=ORIENTED_EDGE('',*,*,#200850,.F.); #267642=ORIENTED_EDGE('',*,*,#200851,.F.); #267643=ORIENTED_EDGE('',*,*,#200852,.T.); #267644=ORIENTED_EDGE('',*,*,#200851,.T.); #267645=ORIENTED_EDGE('',*,*,#200853,.F.); #267646=ORIENTED_EDGE('',*,*,#200854,.F.); #267647=ORIENTED_EDGE('',*,*,#200855,.T.); #267648=ORIENTED_EDGE('',*,*,#200854,.T.); #267649=ORIENTED_EDGE('',*,*,#200856,.F.); #267650=ORIENTED_EDGE('',*,*,#200857,.F.); #267651=ORIENTED_EDGE('',*,*,#200858,.T.); #267652=ORIENTED_EDGE('',*,*,#200857,.T.); #267653=ORIENTED_EDGE('',*,*,#200859,.F.); #267654=ORIENTED_EDGE('',*,*,#200860,.F.); #267655=ORIENTED_EDGE('',*,*,#200861,.T.); #267656=ORIENTED_EDGE('',*,*,#200860,.T.); #267657=ORIENTED_EDGE('',*,*,#200862,.F.); #267658=ORIENTED_EDGE('',*,*,#200863,.F.); #267659=ORIENTED_EDGE('',*,*,#200864,.T.); #267660=ORIENTED_EDGE('',*,*,#200863,.T.); #267661=ORIENTED_EDGE('',*,*,#200865,.F.); #267662=ORIENTED_EDGE('',*,*,#200866,.F.); #267663=ORIENTED_EDGE('',*,*,#200867,.T.); #267664=ORIENTED_EDGE('',*,*,#200866,.T.); #267665=ORIENTED_EDGE('',*,*,#200868,.F.); #267666=ORIENTED_EDGE('',*,*,#200869,.F.); #267667=ORIENTED_EDGE('',*,*,#200870,.T.); #267668=ORIENTED_EDGE('',*,*,#200869,.T.); #267669=ORIENTED_EDGE('',*,*,#200871,.F.); #267670=ORIENTED_EDGE('',*,*,#200872,.F.); #267671=ORIENTED_EDGE('',*,*,#200873,.T.); #267672=ORIENTED_EDGE('',*,*,#200872,.T.); #267673=ORIENTED_EDGE('',*,*,#200874,.F.); #267674=ORIENTED_EDGE('',*,*,#200750,.F.); #267675=ORIENTED_EDGE('',*,*,#200874,.T.); #267676=ORIENTED_EDGE('',*,*,#200871,.T.); #267677=ORIENTED_EDGE('',*,*,#200868,.T.); #267678=ORIENTED_EDGE('',*,*,#200865,.T.); #267679=ORIENTED_EDGE('',*,*,#200862,.T.); #267680=ORIENTED_EDGE('',*,*,#200859,.T.); #267681=ORIENTED_EDGE('',*,*,#200856,.T.); #267682=ORIENTED_EDGE('',*,*,#200853,.T.); #267683=ORIENTED_EDGE('',*,*,#200850,.T.); #267684=ORIENTED_EDGE('',*,*,#200847,.T.); #267685=ORIENTED_EDGE('',*,*,#200844,.T.); #267686=ORIENTED_EDGE('',*,*,#200841,.T.); #267687=ORIENTED_EDGE('',*,*,#200838,.T.); #267688=ORIENTED_EDGE('',*,*,#200835,.T.); #267689=ORIENTED_EDGE('',*,*,#200832,.T.); #267690=ORIENTED_EDGE('',*,*,#200829,.T.); #267691=ORIENTED_EDGE('',*,*,#200826,.T.); #267692=ORIENTED_EDGE('',*,*,#200823,.T.); #267693=ORIENTED_EDGE('',*,*,#200820,.T.); #267694=ORIENTED_EDGE('',*,*,#200817,.T.); #267695=ORIENTED_EDGE('',*,*,#200814,.T.); #267696=ORIENTED_EDGE('',*,*,#200811,.T.); #267697=ORIENTED_EDGE('',*,*,#200808,.T.); #267698=ORIENTED_EDGE('',*,*,#200805,.T.); #267699=ORIENTED_EDGE('',*,*,#200802,.T.); #267700=ORIENTED_EDGE('',*,*,#200799,.T.); #267701=ORIENTED_EDGE('',*,*,#200796,.T.); #267702=ORIENTED_EDGE('',*,*,#200793,.T.); #267703=ORIENTED_EDGE('',*,*,#200790,.T.); #267704=ORIENTED_EDGE('',*,*,#200787,.T.); #267705=ORIENTED_EDGE('',*,*,#200784,.T.); #267706=ORIENTED_EDGE('',*,*,#200781,.T.); #267707=ORIENTED_EDGE('',*,*,#200778,.T.); #267708=ORIENTED_EDGE('',*,*,#200775,.T.); #267709=ORIENTED_EDGE('',*,*,#200772,.T.); #267710=ORIENTED_EDGE('',*,*,#200769,.T.); #267711=ORIENTED_EDGE('',*,*,#200766,.T.); #267712=ORIENTED_EDGE('',*,*,#200763,.T.); #267713=ORIENTED_EDGE('',*,*,#200760,.T.); #267714=ORIENTED_EDGE('',*,*,#200757,.T.); #267715=ORIENTED_EDGE('',*,*,#200754,.T.); #267716=ORIENTED_EDGE('',*,*,#200751,.T.); #267717=ORIENTED_EDGE('',*,*,#200748,.T.); #267718=ORIENTED_EDGE('',*,*,#200873,.F.); #267719=ORIENTED_EDGE('',*,*,#200749,.F.); #267720=ORIENTED_EDGE('',*,*,#200753,.F.); #267721=ORIENTED_EDGE('',*,*,#200756,.F.); #267722=ORIENTED_EDGE('',*,*,#200759,.F.); #267723=ORIENTED_EDGE('',*,*,#200762,.F.); #267724=ORIENTED_EDGE('',*,*,#200765,.F.); #267725=ORIENTED_EDGE('',*,*,#200768,.F.); #267726=ORIENTED_EDGE('',*,*,#200771,.F.); #267727=ORIENTED_EDGE('',*,*,#200774,.F.); #267728=ORIENTED_EDGE('',*,*,#200777,.F.); #267729=ORIENTED_EDGE('',*,*,#200780,.F.); #267730=ORIENTED_EDGE('',*,*,#200783,.F.); #267731=ORIENTED_EDGE('',*,*,#200786,.F.); #267732=ORIENTED_EDGE('',*,*,#200789,.F.); #267733=ORIENTED_EDGE('',*,*,#200792,.F.); #267734=ORIENTED_EDGE('',*,*,#200795,.F.); #267735=ORIENTED_EDGE('',*,*,#200798,.F.); #267736=ORIENTED_EDGE('',*,*,#200801,.F.); #267737=ORIENTED_EDGE('',*,*,#200804,.F.); #267738=ORIENTED_EDGE('',*,*,#200807,.F.); #267739=ORIENTED_EDGE('',*,*,#200810,.F.); #267740=ORIENTED_EDGE('',*,*,#200813,.F.); #267741=ORIENTED_EDGE('',*,*,#200816,.F.); #267742=ORIENTED_EDGE('',*,*,#200819,.F.); #267743=ORIENTED_EDGE('',*,*,#200822,.F.); #267744=ORIENTED_EDGE('',*,*,#200825,.F.); #267745=ORIENTED_EDGE('',*,*,#200828,.F.); #267746=ORIENTED_EDGE('',*,*,#200831,.F.); #267747=ORIENTED_EDGE('',*,*,#200834,.F.); #267748=ORIENTED_EDGE('',*,*,#200837,.F.); #267749=ORIENTED_EDGE('',*,*,#200840,.F.); #267750=ORIENTED_EDGE('',*,*,#200843,.F.); #267751=ORIENTED_EDGE('',*,*,#200846,.F.); #267752=ORIENTED_EDGE('',*,*,#200849,.F.); #267753=ORIENTED_EDGE('',*,*,#200852,.F.); #267754=ORIENTED_EDGE('',*,*,#200855,.F.); #267755=ORIENTED_EDGE('',*,*,#200858,.F.); #267756=ORIENTED_EDGE('',*,*,#200861,.F.); #267757=ORIENTED_EDGE('',*,*,#200864,.F.); #267758=ORIENTED_EDGE('',*,*,#200867,.F.); #267759=ORIENTED_EDGE('',*,*,#200870,.F.); #267760=ORIENTED_EDGE('',*,*,#200746,.T.); #267761=ORIENTED_EDGE('',*,*,#200875,.F.); #267762=ORIENTED_EDGE('',*,*,#200876,.T.); #267763=ORIENTED_EDGE('',*,*,#200877,.F.); #267764=ORIENTED_EDGE('',*,*,#200876,.F.); #267765=ORIENTED_EDGE('',*,*,#200878,.F.); #267766=ORIENTED_EDGE('',*,*,#200879,.T.); #267767=ORIENTED_EDGE('',*,*,#200880,.F.); #267768=ORIENTED_EDGE('',*,*,#200879,.F.); #267769=ORIENTED_EDGE('',*,*,#200881,.F.); #267770=ORIENTED_EDGE('',*,*,#200882,.T.); #267771=ORIENTED_EDGE('',*,*,#200883,.F.); #267772=ORIENTED_EDGE('',*,*,#200882,.F.); #267773=ORIENTED_EDGE('',*,*,#200884,.F.); #267774=ORIENTED_EDGE('',*,*,#200885,.T.); #267775=ORIENTED_EDGE('',*,*,#200886,.F.); #267776=ORIENTED_EDGE('',*,*,#200885,.F.); #267777=ORIENTED_EDGE('',*,*,#200887,.F.); #267778=ORIENTED_EDGE('',*,*,#200888,.T.); #267779=ORIENTED_EDGE('',*,*,#200889,.F.); #267780=ORIENTED_EDGE('',*,*,#200888,.F.); #267781=ORIENTED_EDGE('',*,*,#200890,.F.); #267782=ORIENTED_EDGE('',*,*,#200891,.T.); #267783=ORIENTED_EDGE('',*,*,#200892,.F.); #267784=ORIENTED_EDGE('',*,*,#200891,.F.); #267785=ORIENTED_EDGE('',*,*,#200893,.F.); #267786=ORIENTED_EDGE('',*,*,#200894,.T.); #267787=ORIENTED_EDGE('',*,*,#200895,.F.); #267788=ORIENTED_EDGE('',*,*,#200894,.F.); #267789=ORIENTED_EDGE('',*,*,#200896,.F.); #267790=ORIENTED_EDGE('',*,*,#200897,.T.); #267791=ORIENTED_EDGE('',*,*,#200898,.F.); #267792=ORIENTED_EDGE('',*,*,#200897,.F.); #267793=ORIENTED_EDGE('',*,*,#200899,.F.); #267794=ORIENTED_EDGE('',*,*,#200900,.T.); #267795=ORIENTED_EDGE('',*,*,#200901,.F.); #267796=ORIENTED_EDGE('',*,*,#200900,.F.); #267797=ORIENTED_EDGE('',*,*,#200902,.F.); #267798=ORIENTED_EDGE('',*,*,#200903,.T.); #267799=ORIENTED_EDGE('',*,*,#200904,.F.); #267800=ORIENTED_EDGE('',*,*,#200903,.F.); #267801=ORIENTED_EDGE('',*,*,#200905,.F.); #267802=ORIENTED_EDGE('',*,*,#200906,.T.); #267803=ORIENTED_EDGE('',*,*,#200907,.F.); #267804=ORIENTED_EDGE('',*,*,#200906,.F.); #267805=ORIENTED_EDGE('',*,*,#200908,.F.); #267806=ORIENTED_EDGE('',*,*,#200909,.T.); #267807=ORIENTED_EDGE('',*,*,#200910,.F.); #267808=ORIENTED_EDGE('',*,*,#200909,.F.); #267809=ORIENTED_EDGE('',*,*,#200911,.F.); #267810=ORIENTED_EDGE('',*,*,#200912,.T.); #267811=ORIENTED_EDGE('',*,*,#200913,.F.); #267812=ORIENTED_EDGE('',*,*,#200912,.F.); #267813=ORIENTED_EDGE('',*,*,#200914,.F.); #267814=ORIENTED_EDGE('',*,*,#200915,.T.); #267815=ORIENTED_EDGE('',*,*,#200916,.F.); #267816=ORIENTED_EDGE('',*,*,#200915,.F.); #267817=ORIENTED_EDGE('',*,*,#200917,.F.); #267818=ORIENTED_EDGE('',*,*,#200918,.T.); #267819=ORIENTED_EDGE('',*,*,#200919,.F.); #267820=ORIENTED_EDGE('',*,*,#200918,.F.); #267821=ORIENTED_EDGE('',*,*,#200920,.F.); #267822=ORIENTED_EDGE('',*,*,#200921,.T.); #267823=ORIENTED_EDGE('',*,*,#200922,.F.); #267824=ORIENTED_EDGE('',*,*,#200921,.F.); #267825=ORIENTED_EDGE('',*,*,#200923,.F.); #267826=ORIENTED_EDGE('',*,*,#200924,.T.); #267827=ORIENTED_EDGE('',*,*,#200925,.F.); #267828=ORIENTED_EDGE('',*,*,#200924,.F.); #267829=ORIENTED_EDGE('',*,*,#200926,.F.); #267830=ORIENTED_EDGE('',*,*,#200927,.T.); #267831=ORIENTED_EDGE('',*,*,#200928,.F.); #267832=ORIENTED_EDGE('',*,*,#200927,.F.); #267833=ORIENTED_EDGE('',*,*,#200929,.F.); #267834=ORIENTED_EDGE('',*,*,#200930,.T.); #267835=ORIENTED_EDGE('',*,*,#200931,.F.); #267836=ORIENTED_EDGE('',*,*,#200930,.F.); #267837=ORIENTED_EDGE('',*,*,#200932,.F.); #267838=ORIENTED_EDGE('',*,*,#200933,.T.); #267839=ORIENTED_EDGE('',*,*,#200934,.F.); #267840=ORIENTED_EDGE('',*,*,#200933,.F.); #267841=ORIENTED_EDGE('',*,*,#200935,.F.); #267842=ORIENTED_EDGE('',*,*,#200936,.T.); #267843=ORIENTED_EDGE('',*,*,#200937,.F.); #267844=ORIENTED_EDGE('',*,*,#200936,.F.); #267845=ORIENTED_EDGE('',*,*,#200938,.F.); #267846=ORIENTED_EDGE('',*,*,#200939,.T.); #267847=ORIENTED_EDGE('',*,*,#200940,.F.); #267848=ORIENTED_EDGE('',*,*,#200939,.F.); #267849=ORIENTED_EDGE('',*,*,#200941,.F.); #267850=ORIENTED_EDGE('',*,*,#200942,.T.); #267851=ORIENTED_EDGE('',*,*,#200943,.F.); #267852=ORIENTED_EDGE('',*,*,#200942,.F.); #267853=ORIENTED_EDGE('',*,*,#200944,.F.); #267854=ORIENTED_EDGE('',*,*,#200945,.T.); #267855=ORIENTED_EDGE('',*,*,#200946,.F.); #267856=ORIENTED_EDGE('',*,*,#200945,.F.); #267857=ORIENTED_EDGE('',*,*,#200947,.F.); #267858=ORIENTED_EDGE('',*,*,#200948,.T.); #267859=ORIENTED_EDGE('',*,*,#200949,.F.); #267860=ORIENTED_EDGE('',*,*,#200948,.F.); #267861=ORIENTED_EDGE('',*,*,#200950,.F.); #267862=ORIENTED_EDGE('',*,*,#200951,.T.); #267863=ORIENTED_EDGE('',*,*,#200952,.F.); #267864=ORIENTED_EDGE('',*,*,#200951,.F.); #267865=ORIENTED_EDGE('',*,*,#200953,.F.); #267866=ORIENTED_EDGE('',*,*,#200954,.T.); #267867=ORIENTED_EDGE('',*,*,#200955,.F.); #267868=ORIENTED_EDGE('',*,*,#200954,.F.); #267869=ORIENTED_EDGE('',*,*,#200956,.F.); #267870=ORIENTED_EDGE('',*,*,#200957,.T.); #267871=ORIENTED_EDGE('',*,*,#200958,.F.); #267872=ORIENTED_EDGE('',*,*,#200957,.F.); #267873=ORIENTED_EDGE('',*,*,#200959,.F.); #267874=ORIENTED_EDGE('',*,*,#200960,.T.); #267875=ORIENTED_EDGE('',*,*,#200961,.F.); #267876=ORIENTED_EDGE('',*,*,#200960,.F.); #267877=ORIENTED_EDGE('',*,*,#200962,.F.); #267878=ORIENTED_EDGE('',*,*,#200963,.T.); #267879=ORIENTED_EDGE('',*,*,#200964,.F.); #267880=ORIENTED_EDGE('',*,*,#200963,.F.); #267881=ORIENTED_EDGE('',*,*,#200965,.F.); #267882=ORIENTED_EDGE('',*,*,#200966,.T.); #267883=ORIENTED_EDGE('',*,*,#200967,.F.); #267884=ORIENTED_EDGE('',*,*,#200966,.F.); #267885=ORIENTED_EDGE('',*,*,#200968,.T.); #267886=ORIENTED_EDGE('',*,*,#200969,.T.); #267887=ORIENTED_EDGE('',*,*,#200970,.F.); #267888=ORIENTED_EDGE('',*,*,#200971,.F.); #267889=ORIENTED_EDGE('',*,*,#200972,.T.); #267890=ORIENTED_EDGE('',*,*,#200971,.T.); #267891=ORIENTED_EDGE('',*,*,#200973,.F.); #267892=ORIENTED_EDGE('',*,*,#200974,.F.); #267893=ORIENTED_EDGE('',*,*,#200975,.T.); #267894=ORIENTED_EDGE('',*,*,#200974,.T.); #267895=ORIENTED_EDGE('',*,*,#200976,.F.); #267896=ORIENTED_EDGE('',*,*,#200977,.F.); #267897=ORIENTED_EDGE('',*,*,#200978,.T.); #267898=ORIENTED_EDGE('',*,*,#200977,.T.); #267899=ORIENTED_EDGE('',*,*,#200979,.F.); #267900=ORIENTED_EDGE('',*,*,#200980,.F.); #267901=ORIENTED_EDGE('',*,*,#200981,.T.); #267902=ORIENTED_EDGE('',*,*,#200980,.T.); #267903=ORIENTED_EDGE('',*,*,#200982,.F.); #267904=ORIENTED_EDGE('',*,*,#200983,.F.); #267905=ORIENTED_EDGE('',*,*,#200984,.T.); #267906=ORIENTED_EDGE('',*,*,#200983,.T.); #267907=ORIENTED_EDGE('',*,*,#200985,.F.); #267908=ORIENTED_EDGE('',*,*,#200986,.F.); #267909=ORIENTED_EDGE('',*,*,#200987,.T.); #267910=ORIENTED_EDGE('',*,*,#200986,.T.); #267911=ORIENTED_EDGE('',*,*,#200988,.F.); #267912=ORIENTED_EDGE('',*,*,#200989,.F.); #267913=ORIENTED_EDGE('',*,*,#200990,.T.); #267914=ORIENTED_EDGE('',*,*,#200989,.T.); #267915=ORIENTED_EDGE('',*,*,#200991,.F.); #267916=ORIENTED_EDGE('',*,*,#200992,.F.); #267917=ORIENTED_EDGE('',*,*,#200993,.T.); #267918=ORIENTED_EDGE('',*,*,#200992,.T.); #267919=ORIENTED_EDGE('',*,*,#200994,.F.); #267920=ORIENTED_EDGE('',*,*,#200995,.F.); #267921=ORIENTED_EDGE('',*,*,#200996,.T.); #267922=ORIENTED_EDGE('',*,*,#200995,.T.); #267923=ORIENTED_EDGE('',*,*,#200997,.F.); #267924=ORIENTED_EDGE('',*,*,#200998,.F.); #267925=ORIENTED_EDGE('',*,*,#200999,.T.); #267926=ORIENTED_EDGE('',*,*,#200998,.T.); #267927=ORIENTED_EDGE('',*,*,#201000,.F.); #267928=ORIENTED_EDGE('',*,*,#201001,.F.); #267929=ORIENTED_EDGE('',*,*,#201002,.T.); #267930=ORIENTED_EDGE('',*,*,#201001,.T.); #267931=ORIENTED_EDGE('',*,*,#201003,.F.); #267932=ORIENTED_EDGE('',*,*,#201004,.F.); #267933=ORIENTED_EDGE('',*,*,#201005,.T.); #267934=ORIENTED_EDGE('',*,*,#201004,.T.); #267935=ORIENTED_EDGE('',*,*,#201006,.F.); #267936=ORIENTED_EDGE('',*,*,#201007,.F.); #267937=ORIENTED_EDGE('',*,*,#201008,.T.); #267938=ORIENTED_EDGE('',*,*,#201007,.T.); #267939=ORIENTED_EDGE('',*,*,#201009,.F.); #267940=ORIENTED_EDGE('',*,*,#201010,.F.); #267941=ORIENTED_EDGE('',*,*,#201011,.T.); #267942=ORIENTED_EDGE('',*,*,#201010,.T.); #267943=ORIENTED_EDGE('',*,*,#201012,.F.); #267944=ORIENTED_EDGE('',*,*,#201013,.F.); #267945=ORIENTED_EDGE('',*,*,#201014,.T.); #267946=ORIENTED_EDGE('',*,*,#201013,.T.); #267947=ORIENTED_EDGE('',*,*,#201015,.F.); #267948=ORIENTED_EDGE('',*,*,#201016,.F.); #267949=ORIENTED_EDGE('',*,*,#201017,.T.); #267950=ORIENTED_EDGE('',*,*,#201016,.T.); #267951=ORIENTED_EDGE('',*,*,#201018,.F.); #267952=ORIENTED_EDGE('',*,*,#201019,.F.); #267953=ORIENTED_EDGE('',*,*,#201020,.T.); #267954=ORIENTED_EDGE('',*,*,#201019,.T.); #267955=ORIENTED_EDGE('',*,*,#201021,.F.); #267956=ORIENTED_EDGE('',*,*,#201022,.F.); #267957=ORIENTED_EDGE('',*,*,#201023,.T.); #267958=ORIENTED_EDGE('',*,*,#201022,.T.); #267959=ORIENTED_EDGE('',*,*,#201024,.F.); #267960=ORIENTED_EDGE('',*,*,#201025,.F.); #267961=ORIENTED_EDGE('',*,*,#201026,.T.); #267962=ORIENTED_EDGE('',*,*,#201025,.T.); #267963=ORIENTED_EDGE('',*,*,#201027,.F.); #267964=ORIENTED_EDGE('',*,*,#201028,.F.); #267965=ORIENTED_EDGE('',*,*,#201029,.T.); #267966=ORIENTED_EDGE('',*,*,#201028,.T.); #267967=ORIENTED_EDGE('',*,*,#201030,.F.); #267968=ORIENTED_EDGE('',*,*,#201031,.F.); #267969=ORIENTED_EDGE('',*,*,#201032,.T.); #267970=ORIENTED_EDGE('',*,*,#201031,.T.); #267971=ORIENTED_EDGE('',*,*,#201033,.F.); #267972=ORIENTED_EDGE('',*,*,#201034,.F.); #267973=ORIENTED_EDGE('',*,*,#201035,.T.); #267974=ORIENTED_EDGE('',*,*,#201034,.T.); #267975=ORIENTED_EDGE('',*,*,#201036,.F.); #267976=ORIENTED_EDGE('',*,*,#201037,.F.); #267977=ORIENTED_EDGE('',*,*,#201038,.T.); #267978=ORIENTED_EDGE('',*,*,#201037,.T.); #267979=ORIENTED_EDGE('',*,*,#201039,.F.); #267980=ORIENTED_EDGE('',*,*,#201040,.F.); #267981=ORIENTED_EDGE('',*,*,#201041,.T.); #267982=ORIENTED_EDGE('',*,*,#201040,.T.); #267983=ORIENTED_EDGE('',*,*,#201042,.F.); #267984=ORIENTED_EDGE('',*,*,#201043,.F.); #267985=ORIENTED_EDGE('',*,*,#201044,.T.); #267986=ORIENTED_EDGE('',*,*,#201043,.T.); #267987=ORIENTED_EDGE('',*,*,#201045,.F.); #267988=ORIENTED_EDGE('',*,*,#201046,.F.); #267989=ORIENTED_EDGE('',*,*,#201047,.T.); #267990=ORIENTED_EDGE('',*,*,#201046,.T.); #267991=ORIENTED_EDGE('',*,*,#201048,.F.); #267992=ORIENTED_EDGE('',*,*,#201049,.F.); #267993=ORIENTED_EDGE('',*,*,#201050,.T.); #267994=ORIENTED_EDGE('',*,*,#201049,.T.); #267995=ORIENTED_EDGE('',*,*,#201051,.F.); #267996=ORIENTED_EDGE('',*,*,#201052,.F.); #267997=ORIENTED_EDGE('',*,*,#201053,.T.); #267998=ORIENTED_EDGE('',*,*,#201052,.T.); #267999=ORIENTED_EDGE('',*,*,#201054,.F.); #268000=ORIENTED_EDGE('',*,*,#201055,.F.); #268001=ORIENTED_EDGE('',*,*,#201056,.T.); #268002=ORIENTED_EDGE('',*,*,#201055,.T.); #268003=ORIENTED_EDGE('',*,*,#201057,.F.); #268004=ORIENTED_EDGE('',*,*,#201058,.F.); #268005=ORIENTED_EDGE('',*,*,#201059,.T.); #268006=ORIENTED_EDGE('',*,*,#201058,.T.); #268007=ORIENTED_EDGE('',*,*,#201060,.F.); #268008=ORIENTED_EDGE('',*,*,#201061,.F.); #268009=ORIENTED_EDGE('',*,*,#201062,.T.); #268010=ORIENTED_EDGE('',*,*,#201061,.T.); #268011=ORIENTED_EDGE('',*,*,#201063,.F.); #268012=ORIENTED_EDGE('',*,*,#201064,.F.); #268013=ORIENTED_EDGE('',*,*,#201065,.T.); #268014=ORIENTED_EDGE('',*,*,#201064,.T.); #268015=ORIENTED_EDGE('',*,*,#201066,.F.); #268016=ORIENTED_EDGE('',*,*,#201067,.F.); #268017=ORIENTED_EDGE('',*,*,#201068,.T.); #268018=ORIENTED_EDGE('',*,*,#201067,.T.); #268019=ORIENTED_EDGE('',*,*,#201069,.F.); #268020=ORIENTED_EDGE('',*,*,#201070,.F.); #268021=ORIENTED_EDGE('',*,*,#201071,.T.); #268022=ORIENTED_EDGE('',*,*,#201070,.T.); #268023=ORIENTED_EDGE('',*,*,#201072,.F.); #268024=ORIENTED_EDGE('',*,*,#201073,.F.); #268025=ORIENTED_EDGE('',*,*,#201074,.T.); #268026=ORIENTED_EDGE('',*,*,#201073,.T.); #268027=ORIENTED_EDGE('',*,*,#201075,.F.); #268028=ORIENTED_EDGE('',*,*,#201076,.F.); #268029=ORIENTED_EDGE('',*,*,#201077,.T.); #268030=ORIENTED_EDGE('',*,*,#201076,.T.); #268031=ORIENTED_EDGE('',*,*,#201078,.F.); #268032=ORIENTED_EDGE('',*,*,#201079,.F.); #268033=ORIENTED_EDGE('',*,*,#201080,.T.); #268034=ORIENTED_EDGE('',*,*,#201079,.T.); #268035=ORIENTED_EDGE('',*,*,#201081,.F.); #268036=ORIENTED_EDGE('',*,*,#201082,.F.); #268037=ORIENTED_EDGE('',*,*,#201083,.T.); #268038=ORIENTED_EDGE('',*,*,#201082,.T.); #268039=ORIENTED_EDGE('',*,*,#201084,.F.); #268040=ORIENTED_EDGE('',*,*,#201085,.F.); #268041=ORIENTED_EDGE('',*,*,#201086,.T.); #268042=ORIENTED_EDGE('',*,*,#201085,.T.); #268043=ORIENTED_EDGE('',*,*,#201087,.F.); #268044=ORIENTED_EDGE('',*,*,#201088,.F.); #268045=ORIENTED_EDGE('',*,*,#201089,.T.); #268046=ORIENTED_EDGE('',*,*,#201088,.T.); #268047=ORIENTED_EDGE('',*,*,#201090,.F.); #268048=ORIENTED_EDGE('',*,*,#201091,.F.); #268049=ORIENTED_EDGE('',*,*,#201092,.T.); #268050=ORIENTED_EDGE('',*,*,#201091,.T.); #268051=ORIENTED_EDGE('',*,*,#201093,.F.); #268052=ORIENTED_EDGE('',*,*,#201094,.F.); #268053=ORIENTED_EDGE('',*,*,#201095,.T.); #268054=ORIENTED_EDGE('',*,*,#201094,.T.); #268055=ORIENTED_EDGE('',*,*,#201096,.F.); #268056=ORIENTED_EDGE('',*,*,#201097,.F.); #268057=ORIENTED_EDGE('',*,*,#201098,.T.); #268058=ORIENTED_EDGE('',*,*,#201097,.T.); #268059=ORIENTED_EDGE('',*,*,#201099,.F.); #268060=ORIENTED_EDGE('',*,*,#201100,.F.); #268061=ORIENTED_EDGE('',*,*,#201101,.T.); #268062=ORIENTED_EDGE('',*,*,#201100,.T.); #268063=ORIENTED_EDGE('',*,*,#201102,.F.); #268064=ORIENTED_EDGE('',*,*,#201103,.F.); #268065=ORIENTED_EDGE('',*,*,#201104,.T.); #268066=ORIENTED_EDGE('',*,*,#201103,.T.); #268067=ORIENTED_EDGE('',*,*,#201105,.F.); #268068=ORIENTED_EDGE('',*,*,#201106,.F.); #268069=ORIENTED_EDGE('',*,*,#201107,.T.); #268070=ORIENTED_EDGE('',*,*,#201106,.T.); #268071=ORIENTED_EDGE('',*,*,#201108,.F.); #268072=ORIENTED_EDGE('',*,*,#201109,.F.); #268073=ORIENTED_EDGE('',*,*,#201110,.T.); #268074=ORIENTED_EDGE('',*,*,#201109,.T.); #268075=ORIENTED_EDGE('',*,*,#201111,.F.); #268076=ORIENTED_EDGE('',*,*,#201112,.F.); #268077=ORIENTED_EDGE('',*,*,#201113,.T.); #268078=ORIENTED_EDGE('',*,*,#201112,.T.); #268079=ORIENTED_EDGE('',*,*,#201114,.F.); #268080=ORIENTED_EDGE('',*,*,#201115,.F.); #268081=ORIENTED_EDGE('',*,*,#201116,.T.); #268082=ORIENTED_EDGE('',*,*,#201115,.T.); #268083=ORIENTED_EDGE('',*,*,#201117,.F.); #268084=ORIENTED_EDGE('',*,*,#201118,.F.); #268085=ORIENTED_EDGE('',*,*,#201119,.T.); #268086=ORIENTED_EDGE('',*,*,#201118,.T.); #268087=ORIENTED_EDGE('',*,*,#201120,.F.); #268088=ORIENTED_EDGE('',*,*,#201121,.F.); #268089=ORIENTED_EDGE('',*,*,#201122,.T.); #268090=ORIENTED_EDGE('',*,*,#201121,.T.); #268091=ORIENTED_EDGE('',*,*,#201123,.F.); #268092=ORIENTED_EDGE('',*,*,#201124,.F.); #268093=ORIENTED_EDGE('',*,*,#201125,.T.); #268094=ORIENTED_EDGE('',*,*,#201124,.T.); #268095=ORIENTED_EDGE('',*,*,#201126,.F.); #268096=ORIENTED_EDGE('',*,*,#201127,.F.); #268097=ORIENTED_EDGE('',*,*,#201128,.T.); #268098=ORIENTED_EDGE('',*,*,#201127,.T.); #268099=ORIENTED_EDGE('',*,*,#201129,.F.); #268100=ORIENTED_EDGE('',*,*,#201130,.F.); #268101=ORIENTED_EDGE('',*,*,#201131,.T.); #268102=ORIENTED_EDGE('',*,*,#201130,.T.); #268103=ORIENTED_EDGE('',*,*,#201132,.F.); #268104=ORIENTED_EDGE('',*,*,#201133,.F.); #268105=ORIENTED_EDGE('',*,*,#201134,.T.); #268106=ORIENTED_EDGE('',*,*,#201133,.T.); #268107=ORIENTED_EDGE('',*,*,#201135,.F.); #268108=ORIENTED_EDGE('',*,*,#201136,.F.); #268109=ORIENTED_EDGE('',*,*,#201137,.T.); #268110=ORIENTED_EDGE('',*,*,#201136,.T.); #268111=ORIENTED_EDGE('',*,*,#201138,.F.); #268112=ORIENTED_EDGE('',*,*,#201139,.F.); #268113=ORIENTED_EDGE('',*,*,#201140,.T.); #268114=ORIENTED_EDGE('',*,*,#201139,.T.); #268115=ORIENTED_EDGE('',*,*,#201141,.F.); #268116=ORIENTED_EDGE('',*,*,#201142,.F.); #268117=ORIENTED_EDGE('',*,*,#201143,.T.); #268118=ORIENTED_EDGE('',*,*,#201142,.T.); #268119=ORIENTED_EDGE('',*,*,#201144,.F.); #268120=ORIENTED_EDGE('',*,*,#201145,.F.); #268121=ORIENTED_EDGE('',*,*,#201146,.T.); #268122=ORIENTED_EDGE('',*,*,#201145,.T.); #268123=ORIENTED_EDGE('',*,*,#201147,.F.); #268124=ORIENTED_EDGE('',*,*,#201148,.F.); #268125=ORIENTED_EDGE('',*,*,#201149,.T.); #268126=ORIENTED_EDGE('',*,*,#201148,.T.); #268127=ORIENTED_EDGE('',*,*,#201150,.F.); #268128=ORIENTED_EDGE('',*,*,#201151,.F.); #268129=ORIENTED_EDGE('',*,*,#201152,.T.); #268130=ORIENTED_EDGE('',*,*,#201151,.T.); #268131=ORIENTED_EDGE('',*,*,#201153,.F.); #268132=ORIENTED_EDGE('',*,*,#201154,.F.); #268133=ORIENTED_EDGE('',*,*,#201155,.T.); #268134=ORIENTED_EDGE('',*,*,#201154,.T.); #268135=ORIENTED_EDGE('',*,*,#201156,.F.); #268136=ORIENTED_EDGE('',*,*,#201157,.F.); #268137=ORIENTED_EDGE('',*,*,#201158,.T.); #268138=ORIENTED_EDGE('',*,*,#201157,.T.); #268139=ORIENTED_EDGE('',*,*,#201159,.F.); #268140=ORIENTED_EDGE('',*,*,#201160,.F.); #268141=ORIENTED_EDGE('',*,*,#201161,.T.); #268142=ORIENTED_EDGE('',*,*,#201160,.T.); #268143=ORIENTED_EDGE('',*,*,#201162,.F.); #268144=ORIENTED_EDGE('',*,*,#201163,.F.); #268145=ORIENTED_EDGE('',*,*,#201164,.T.); #268146=ORIENTED_EDGE('',*,*,#201163,.T.); #268147=ORIENTED_EDGE('',*,*,#201165,.F.); #268148=ORIENTED_EDGE('',*,*,#201166,.F.); #268149=ORIENTED_EDGE('',*,*,#201167,.T.); #268150=ORIENTED_EDGE('',*,*,#201166,.T.); #268151=ORIENTED_EDGE('',*,*,#201168,.F.); #268152=ORIENTED_EDGE('',*,*,#201169,.F.); #268153=ORIENTED_EDGE('',*,*,#201170,.T.); #268154=ORIENTED_EDGE('',*,*,#201169,.T.); #268155=ORIENTED_EDGE('',*,*,#201171,.F.); #268156=ORIENTED_EDGE('',*,*,#201172,.F.); #268157=ORIENTED_EDGE('',*,*,#201173,.T.); #268158=ORIENTED_EDGE('',*,*,#201172,.T.); #268159=ORIENTED_EDGE('',*,*,#201174,.F.); #268160=ORIENTED_EDGE('',*,*,#201175,.F.); #268161=ORIENTED_EDGE('',*,*,#201176,.T.); #268162=ORIENTED_EDGE('',*,*,#201175,.T.); #268163=ORIENTED_EDGE('',*,*,#201177,.F.); #268164=ORIENTED_EDGE('',*,*,#201178,.F.); #268165=ORIENTED_EDGE('',*,*,#201179,.T.); #268166=ORIENTED_EDGE('',*,*,#201178,.T.); #268167=ORIENTED_EDGE('',*,*,#201180,.F.); #268168=ORIENTED_EDGE('',*,*,#201181,.F.); #268169=ORIENTED_EDGE('',*,*,#201182,.T.); #268170=ORIENTED_EDGE('',*,*,#201181,.T.); #268171=ORIENTED_EDGE('',*,*,#201183,.F.); #268172=ORIENTED_EDGE('',*,*,#201184,.F.); #268173=ORIENTED_EDGE('',*,*,#201185,.T.); #268174=ORIENTED_EDGE('',*,*,#201184,.T.); #268175=ORIENTED_EDGE('',*,*,#201186,.F.); #268176=ORIENTED_EDGE('',*,*,#201187,.F.); #268177=ORIENTED_EDGE('',*,*,#201188,.T.); #268178=ORIENTED_EDGE('',*,*,#201187,.T.); #268179=ORIENTED_EDGE('',*,*,#201189,.F.); #268180=ORIENTED_EDGE('',*,*,#201190,.F.); #268181=ORIENTED_EDGE('',*,*,#201191,.T.); #268182=ORIENTED_EDGE('',*,*,#201190,.T.); #268183=ORIENTED_EDGE('',*,*,#201192,.F.); #268184=ORIENTED_EDGE('',*,*,#201193,.F.); #268185=ORIENTED_EDGE('',*,*,#201194,.T.); #268186=ORIENTED_EDGE('',*,*,#201193,.T.); #268187=ORIENTED_EDGE('',*,*,#201195,.F.); #268188=ORIENTED_EDGE('',*,*,#201196,.F.); #268189=ORIENTED_EDGE('',*,*,#201197,.T.); #268190=ORIENTED_EDGE('',*,*,#201196,.T.); #268191=ORIENTED_EDGE('',*,*,#201198,.F.); #268192=ORIENTED_EDGE('',*,*,#201199,.F.); #268193=ORIENTED_EDGE('',*,*,#201200,.T.); #268194=ORIENTED_EDGE('',*,*,#201199,.T.); #268195=ORIENTED_EDGE('',*,*,#201201,.F.); #268196=ORIENTED_EDGE('',*,*,#201202,.F.); #268197=ORIENTED_EDGE('',*,*,#201203,.T.); #268198=ORIENTED_EDGE('',*,*,#201202,.T.); #268199=ORIENTED_EDGE('',*,*,#201204,.F.); #268200=ORIENTED_EDGE('',*,*,#201205,.F.); #268201=ORIENTED_EDGE('',*,*,#201206,.T.); #268202=ORIENTED_EDGE('',*,*,#201205,.T.); #268203=ORIENTED_EDGE('',*,*,#201207,.F.); #268204=ORIENTED_EDGE('',*,*,#201208,.F.); #268205=ORIENTED_EDGE('',*,*,#201209,.T.); #268206=ORIENTED_EDGE('',*,*,#201208,.T.); #268207=ORIENTED_EDGE('',*,*,#201210,.F.); #268208=ORIENTED_EDGE('',*,*,#201211,.F.); #268209=ORIENTED_EDGE('',*,*,#201212,.T.); #268210=ORIENTED_EDGE('',*,*,#201211,.T.); #268211=ORIENTED_EDGE('',*,*,#201213,.F.); #268212=ORIENTED_EDGE('',*,*,#201214,.F.); #268213=ORIENTED_EDGE('',*,*,#201215,.T.); #268214=ORIENTED_EDGE('',*,*,#201214,.T.); #268215=ORIENTED_EDGE('',*,*,#201216,.F.); #268216=ORIENTED_EDGE('',*,*,#201217,.F.); #268217=ORIENTED_EDGE('',*,*,#201218,.T.); #268218=ORIENTED_EDGE('',*,*,#201217,.T.); #268219=ORIENTED_EDGE('',*,*,#201219,.F.); #268220=ORIENTED_EDGE('',*,*,#201220,.F.); #268221=ORIENTED_EDGE('',*,*,#201221,.T.); #268222=ORIENTED_EDGE('',*,*,#201220,.T.); #268223=ORIENTED_EDGE('',*,*,#201222,.F.); #268224=ORIENTED_EDGE('',*,*,#200969,.F.); #268225=ORIENTED_EDGE('',*,*,#201222,.T.); #268226=ORIENTED_EDGE('',*,*,#201219,.T.); #268227=ORIENTED_EDGE('',*,*,#201216,.T.); #268228=ORIENTED_EDGE('',*,*,#201213,.T.); #268229=ORIENTED_EDGE('',*,*,#201210,.T.); #268230=ORIENTED_EDGE('',*,*,#201207,.T.); #268231=ORIENTED_EDGE('',*,*,#201204,.T.); #268232=ORIENTED_EDGE('',*,*,#201201,.T.); #268233=ORIENTED_EDGE('',*,*,#201198,.T.); #268234=ORIENTED_EDGE('',*,*,#201195,.T.); #268235=ORIENTED_EDGE('',*,*,#201192,.T.); #268236=ORIENTED_EDGE('',*,*,#201189,.T.); #268237=ORIENTED_EDGE('',*,*,#201186,.T.); #268238=ORIENTED_EDGE('',*,*,#201183,.T.); #268239=ORIENTED_EDGE('',*,*,#201180,.T.); #268240=ORIENTED_EDGE('',*,*,#201177,.T.); #268241=ORIENTED_EDGE('',*,*,#201174,.T.); #268242=ORIENTED_EDGE('',*,*,#201171,.T.); #268243=ORIENTED_EDGE('',*,*,#201168,.T.); #268244=ORIENTED_EDGE('',*,*,#201165,.T.); #268245=ORIENTED_EDGE('',*,*,#201162,.T.); #268246=ORIENTED_EDGE('',*,*,#201159,.T.); #268247=ORIENTED_EDGE('',*,*,#201156,.T.); #268248=ORIENTED_EDGE('',*,*,#201153,.T.); #268249=ORIENTED_EDGE('',*,*,#201150,.T.); #268250=ORIENTED_EDGE('',*,*,#201147,.T.); #268251=ORIENTED_EDGE('',*,*,#201144,.T.); #268252=ORIENTED_EDGE('',*,*,#201141,.T.); #268253=ORIENTED_EDGE('',*,*,#201138,.T.); #268254=ORIENTED_EDGE('',*,*,#201135,.T.); #268255=ORIENTED_EDGE('',*,*,#201132,.T.); #268256=ORIENTED_EDGE('',*,*,#201129,.T.); #268257=ORIENTED_EDGE('',*,*,#201126,.T.); #268258=ORIENTED_EDGE('',*,*,#201123,.T.); #268259=ORIENTED_EDGE('',*,*,#201120,.T.); #268260=ORIENTED_EDGE('',*,*,#201117,.T.); #268261=ORIENTED_EDGE('',*,*,#201114,.T.); #268262=ORIENTED_EDGE('',*,*,#201111,.T.); #268263=ORIENTED_EDGE('',*,*,#201108,.T.); #268264=ORIENTED_EDGE('',*,*,#201105,.T.); #268265=ORIENTED_EDGE('',*,*,#201102,.T.); #268266=ORIENTED_EDGE('',*,*,#201099,.T.); #268267=ORIENTED_EDGE('',*,*,#201096,.T.); #268268=ORIENTED_EDGE('',*,*,#201093,.T.); #268269=ORIENTED_EDGE('',*,*,#201090,.T.); #268270=ORIENTED_EDGE('',*,*,#201087,.T.); #268271=ORIENTED_EDGE('',*,*,#201084,.T.); #268272=ORIENTED_EDGE('',*,*,#201081,.T.); #268273=ORIENTED_EDGE('',*,*,#201078,.T.); #268274=ORIENTED_EDGE('',*,*,#201075,.T.); #268275=ORIENTED_EDGE('',*,*,#201072,.T.); #268276=ORIENTED_EDGE('',*,*,#201069,.T.); #268277=ORIENTED_EDGE('',*,*,#201066,.T.); #268278=ORIENTED_EDGE('',*,*,#201063,.T.); #268279=ORIENTED_EDGE('',*,*,#201060,.T.); #268280=ORIENTED_EDGE('',*,*,#201057,.T.); #268281=ORIENTED_EDGE('',*,*,#201054,.T.); #268282=ORIENTED_EDGE('',*,*,#201051,.T.); #268283=ORIENTED_EDGE('',*,*,#201048,.T.); #268284=ORIENTED_EDGE('',*,*,#201045,.T.); #268285=ORIENTED_EDGE('',*,*,#201042,.T.); #268286=ORIENTED_EDGE('',*,*,#201039,.T.); #268287=ORIENTED_EDGE('',*,*,#201036,.T.); #268288=ORIENTED_EDGE('',*,*,#201033,.T.); #268289=ORIENTED_EDGE('',*,*,#201030,.T.); #268290=ORIENTED_EDGE('',*,*,#201027,.T.); #268291=ORIENTED_EDGE('',*,*,#201024,.T.); #268292=ORIENTED_EDGE('',*,*,#201021,.T.); #268293=ORIENTED_EDGE('',*,*,#201018,.T.); #268294=ORIENTED_EDGE('',*,*,#201015,.T.); #268295=ORIENTED_EDGE('',*,*,#201012,.T.); #268296=ORIENTED_EDGE('',*,*,#201009,.T.); #268297=ORIENTED_EDGE('',*,*,#201006,.T.); #268298=ORIENTED_EDGE('',*,*,#201003,.T.); #268299=ORIENTED_EDGE('',*,*,#201000,.T.); #268300=ORIENTED_EDGE('',*,*,#200997,.T.); #268301=ORIENTED_EDGE('',*,*,#200994,.T.); #268302=ORIENTED_EDGE('',*,*,#200991,.T.); #268303=ORIENTED_EDGE('',*,*,#200988,.T.); #268304=ORIENTED_EDGE('',*,*,#200985,.T.); #268305=ORIENTED_EDGE('',*,*,#200982,.T.); #268306=ORIENTED_EDGE('',*,*,#200979,.T.); #268307=ORIENTED_EDGE('',*,*,#200976,.T.); #268308=ORIENTED_EDGE('',*,*,#200973,.T.); #268309=ORIENTED_EDGE('',*,*,#200970,.T.); #268310=ORIENTED_EDGE('',*,*,#200877,.T.); #268311=ORIENTED_EDGE('',*,*,#200880,.T.); #268312=ORIENTED_EDGE('',*,*,#200883,.T.); #268313=ORIENTED_EDGE('',*,*,#200886,.T.); #268314=ORIENTED_EDGE('',*,*,#200889,.T.); #268315=ORIENTED_EDGE('',*,*,#200892,.T.); #268316=ORIENTED_EDGE('',*,*,#200895,.T.); #268317=ORIENTED_EDGE('',*,*,#200898,.T.); #268318=ORIENTED_EDGE('',*,*,#200901,.T.); #268319=ORIENTED_EDGE('',*,*,#200904,.T.); #268320=ORIENTED_EDGE('',*,*,#200907,.T.); #268321=ORIENTED_EDGE('',*,*,#200910,.T.); #268322=ORIENTED_EDGE('',*,*,#200913,.T.); #268323=ORIENTED_EDGE('',*,*,#200916,.T.); #268324=ORIENTED_EDGE('',*,*,#200919,.T.); #268325=ORIENTED_EDGE('',*,*,#200922,.T.); #268326=ORIENTED_EDGE('',*,*,#200925,.T.); #268327=ORIENTED_EDGE('',*,*,#200928,.T.); #268328=ORIENTED_EDGE('',*,*,#200931,.T.); #268329=ORIENTED_EDGE('',*,*,#200934,.T.); #268330=ORIENTED_EDGE('',*,*,#200937,.T.); #268331=ORIENTED_EDGE('',*,*,#200940,.T.); #268332=ORIENTED_EDGE('',*,*,#200943,.T.); #268333=ORIENTED_EDGE('',*,*,#200946,.T.); #268334=ORIENTED_EDGE('',*,*,#200949,.T.); #268335=ORIENTED_EDGE('',*,*,#200952,.T.); #268336=ORIENTED_EDGE('',*,*,#200955,.T.); #268337=ORIENTED_EDGE('',*,*,#200958,.T.); #268338=ORIENTED_EDGE('',*,*,#200961,.T.); #268339=ORIENTED_EDGE('',*,*,#200964,.T.); #268340=ORIENTED_EDGE('',*,*,#200967,.T.); #268341=ORIENTED_EDGE('',*,*,#201221,.F.); #268342=ORIENTED_EDGE('',*,*,#200968,.F.); #268343=ORIENTED_EDGE('',*,*,#200972,.F.); #268344=ORIENTED_EDGE('',*,*,#200975,.F.); #268345=ORIENTED_EDGE('',*,*,#200978,.F.); #268346=ORIENTED_EDGE('',*,*,#200981,.F.); #268347=ORIENTED_EDGE('',*,*,#200984,.F.); #268348=ORIENTED_EDGE('',*,*,#200987,.F.); #268349=ORIENTED_EDGE('',*,*,#200990,.F.); #268350=ORIENTED_EDGE('',*,*,#200993,.F.); #268351=ORIENTED_EDGE('',*,*,#200996,.F.); #268352=ORIENTED_EDGE('',*,*,#200999,.F.); #268353=ORIENTED_EDGE('',*,*,#201002,.F.); #268354=ORIENTED_EDGE('',*,*,#201005,.F.); #268355=ORIENTED_EDGE('',*,*,#201008,.F.); #268356=ORIENTED_EDGE('',*,*,#201011,.F.); #268357=ORIENTED_EDGE('',*,*,#201014,.F.); #268358=ORIENTED_EDGE('',*,*,#201017,.F.); #268359=ORIENTED_EDGE('',*,*,#201020,.F.); #268360=ORIENTED_EDGE('',*,*,#201023,.F.); #268361=ORIENTED_EDGE('',*,*,#201026,.F.); #268362=ORIENTED_EDGE('',*,*,#201029,.F.); #268363=ORIENTED_EDGE('',*,*,#201032,.F.); #268364=ORIENTED_EDGE('',*,*,#201035,.F.); #268365=ORIENTED_EDGE('',*,*,#201038,.F.); #268366=ORIENTED_EDGE('',*,*,#201041,.F.); #268367=ORIENTED_EDGE('',*,*,#201044,.F.); #268368=ORIENTED_EDGE('',*,*,#201047,.F.); #268369=ORIENTED_EDGE('',*,*,#201050,.F.); #268370=ORIENTED_EDGE('',*,*,#201053,.F.); #268371=ORIENTED_EDGE('',*,*,#201056,.F.); #268372=ORIENTED_EDGE('',*,*,#201059,.F.); #268373=ORIENTED_EDGE('',*,*,#201062,.F.); #268374=ORIENTED_EDGE('',*,*,#201065,.F.); #268375=ORIENTED_EDGE('',*,*,#201068,.F.); #268376=ORIENTED_EDGE('',*,*,#201071,.F.); #268377=ORIENTED_EDGE('',*,*,#201074,.F.); #268378=ORIENTED_EDGE('',*,*,#201077,.F.); #268379=ORIENTED_EDGE('',*,*,#201080,.F.); #268380=ORIENTED_EDGE('',*,*,#201083,.F.); #268381=ORIENTED_EDGE('',*,*,#201086,.F.); #268382=ORIENTED_EDGE('',*,*,#201089,.F.); #268383=ORIENTED_EDGE('',*,*,#201092,.F.); #268384=ORIENTED_EDGE('',*,*,#201095,.F.); #268385=ORIENTED_EDGE('',*,*,#201098,.F.); #268386=ORIENTED_EDGE('',*,*,#201101,.F.); #268387=ORIENTED_EDGE('',*,*,#201104,.F.); #268388=ORIENTED_EDGE('',*,*,#201107,.F.); #268389=ORIENTED_EDGE('',*,*,#201110,.F.); #268390=ORIENTED_EDGE('',*,*,#201113,.F.); #268391=ORIENTED_EDGE('',*,*,#201116,.F.); #268392=ORIENTED_EDGE('',*,*,#201119,.F.); #268393=ORIENTED_EDGE('',*,*,#201122,.F.); #268394=ORIENTED_EDGE('',*,*,#201125,.F.); #268395=ORIENTED_EDGE('',*,*,#201128,.F.); #268396=ORIENTED_EDGE('',*,*,#201131,.F.); #268397=ORIENTED_EDGE('',*,*,#201134,.F.); #268398=ORIENTED_EDGE('',*,*,#201137,.F.); #268399=ORIENTED_EDGE('',*,*,#201140,.F.); #268400=ORIENTED_EDGE('',*,*,#201143,.F.); #268401=ORIENTED_EDGE('',*,*,#201146,.F.); #268402=ORIENTED_EDGE('',*,*,#201149,.F.); #268403=ORIENTED_EDGE('',*,*,#201152,.F.); #268404=ORIENTED_EDGE('',*,*,#201155,.F.); #268405=ORIENTED_EDGE('',*,*,#201158,.F.); #268406=ORIENTED_EDGE('',*,*,#201161,.F.); #268407=ORIENTED_EDGE('',*,*,#201164,.F.); #268408=ORIENTED_EDGE('',*,*,#201167,.F.); #268409=ORIENTED_EDGE('',*,*,#201170,.F.); #268410=ORIENTED_EDGE('',*,*,#201173,.F.); #268411=ORIENTED_EDGE('',*,*,#201176,.F.); #268412=ORIENTED_EDGE('',*,*,#201179,.F.); #268413=ORIENTED_EDGE('',*,*,#201182,.F.); #268414=ORIENTED_EDGE('',*,*,#201185,.F.); #268415=ORIENTED_EDGE('',*,*,#201188,.F.); #268416=ORIENTED_EDGE('',*,*,#201191,.F.); #268417=ORIENTED_EDGE('',*,*,#201194,.F.); #268418=ORIENTED_EDGE('',*,*,#201197,.F.); #268419=ORIENTED_EDGE('',*,*,#201200,.F.); #268420=ORIENTED_EDGE('',*,*,#201203,.F.); #268421=ORIENTED_EDGE('',*,*,#201206,.F.); #268422=ORIENTED_EDGE('',*,*,#201209,.F.); #268423=ORIENTED_EDGE('',*,*,#201212,.F.); #268424=ORIENTED_EDGE('',*,*,#201215,.F.); #268425=ORIENTED_EDGE('',*,*,#201218,.F.); #268426=ORIENTED_EDGE('',*,*,#200875,.T.); #268427=ORIENTED_EDGE('',*,*,#200878,.T.); #268428=ORIENTED_EDGE('',*,*,#200881,.T.); #268429=ORIENTED_EDGE('',*,*,#200884,.T.); #268430=ORIENTED_EDGE('',*,*,#200887,.T.); #268431=ORIENTED_EDGE('',*,*,#200890,.T.); #268432=ORIENTED_EDGE('',*,*,#200893,.T.); #268433=ORIENTED_EDGE('',*,*,#200896,.T.); #268434=ORIENTED_EDGE('',*,*,#200899,.T.); #268435=ORIENTED_EDGE('',*,*,#200902,.T.); #268436=ORIENTED_EDGE('',*,*,#200905,.T.); #268437=ORIENTED_EDGE('',*,*,#200908,.T.); #268438=ORIENTED_EDGE('',*,*,#200911,.T.); #268439=ORIENTED_EDGE('',*,*,#200914,.T.); #268440=ORIENTED_EDGE('',*,*,#200917,.T.); #268441=ORIENTED_EDGE('',*,*,#200920,.T.); #268442=ORIENTED_EDGE('',*,*,#200923,.T.); #268443=ORIENTED_EDGE('',*,*,#200926,.T.); #268444=ORIENTED_EDGE('',*,*,#200929,.T.); #268445=ORIENTED_EDGE('',*,*,#200932,.T.); #268446=ORIENTED_EDGE('',*,*,#200935,.T.); #268447=ORIENTED_EDGE('',*,*,#200938,.T.); #268448=ORIENTED_EDGE('',*,*,#200941,.T.); #268449=ORIENTED_EDGE('',*,*,#200944,.T.); #268450=ORIENTED_EDGE('',*,*,#200947,.T.); #268451=ORIENTED_EDGE('',*,*,#200950,.T.); #268452=ORIENTED_EDGE('',*,*,#200953,.T.); #268453=ORIENTED_EDGE('',*,*,#200956,.T.); #268454=ORIENTED_EDGE('',*,*,#200959,.T.); #268455=ORIENTED_EDGE('',*,*,#200962,.T.); #268456=ORIENTED_EDGE('',*,*,#200965,.T.); #268457=ORIENTED_EDGE('',*,*,#201223,.F.); #268458=ORIENTED_EDGE('',*,*,#201224,.T.); #268459=ORIENTED_EDGE('',*,*,#201225,.F.); #268460=ORIENTED_EDGE('',*,*,#201224,.F.); #268461=ORIENTED_EDGE('',*,*,#201226,.T.); #268462=ORIENTED_EDGE('',*,*,#201227,.T.); #268463=ORIENTED_EDGE('',*,*,#201228,.F.); #268464=ORIENTED_EDGE('',*,*,#201229,.F.); #268465=ORIENTED_EDGE('',*,*,#201230,.T.); #268466=ORIENTED_EDGE('',*,*,#201229,.T.); #268467=ORIENTED_EDGE('',*,*,#201231,.F.); #268468=ORIENTED_EDGE('',*,*,#201232,.F.); #268469=ORIENTED_EDGE('',*,*,#201233,.T.); #268470=ORIENTED_EDGE('',*,*,#201232,.T.); #268471=ORIENTED_EDGE('',*,*,#201234,.F.); #268472=ORIENTED_EDGE('',*,*,#201235,.F.); #268473=ORIENTED_EDGE('',*,*,#201236,.T.); #268474=ORIENTED_EDGE('',*,*,#201235,.T.); #268475=ORIENTED_EDGE('',*,*,#201237,.F.); #268476=ORIENTED_EDGE('',*,*,#201238,.F.); #268477=ORIENTED_EDGE('',*,*,#201239,.T.); #268478=ORIENTED_EDGE('',*,*,#201238,.T.); #268479=ORIENTED_EDGE('',*,*,#201240,.F.); #268480=ORIENTED_EDGE('',*,*,#201241,.F.); #268481=ORIENTED_EDGE('',*,*,#201242,.T.); #268482=ORIENTED_EDGE('',*,*,#201241,.T.); #268483=ORIENTED_EDGE('',*,*,#201243,.F.); #268484=ORIENTED_EDGE('',*,*,#201244,.F.); #268485=ORIENTED_EDGE('',*,*,#201245,.T.); #268486=ORIENTED_EDGE('',*,*,#201244,.T.); #268487=ORIENTED_EDGE('',*,*,#201246,.F.); #268488=ORIENTED_EDGE('',*,*,#201247,.F.); #268489=ORIENTED_EDGE('',*,*,#201248,.T.); #268490=ORIENTED_EDGE('',*,*,#201247,.T.); #268491=ORIENTED_EDGE('',*,*,#201249,.F.); #268492=ORIENTED_EDGE('',*,*,#201250,.F.); #268493=ORIENTED_EDGE('',*,*,#201251,.T.); #268494=ORIENTED_EDGE('',*,*,#201250,.T.); #268495=ORIENTED_EDGE('',*,*,#201252,.F.); #268496=ORIENTED_EDGE('',*,*,#201253,.F.); #268497=ORIENTED_EDGE('',*,*,#201254,.T.); #268498=ORIENTED_EDGE('',*,*,#201253,.T.); #268499=ORIENTED_EDGE('',*,*,#201255,.F.); #268500=ORIENTED_EDGE('',*,*,#201256,.F.); #268501=ORIENTED_EDGE('',*,*,#201257,.T.); #268502=ORIENTED_EDGE('',*,*,#201256,.T.); #268503=ORIENTED_EDGE('',*,*,#201258,.F.); #268504=ORIENTED_EDGE('',*,*,#201259,.F.); #268505=ORIENTED_EDGE('',*,*,#201260,.T.); #268506=ORIENTED_EDGE('',*,*,#201259,.T.); #268507=ORIENTED_EDGE('',*,*,#201261,.F.); #268508=ORIENTED_EDGE('',*,*,#201262,.F.); #268509=ORIENTED_EDGE('',*,*,#201263,.T.); #268510=ORIENTED_EDGE('',*,*,#201262,.T.); #268511=ORIENTED_EDGE('',*,*,#201264,.F.); #268512=ORIENTED_EDGE('',*,*,#201265,.F.); #268513=ORIENTED_EDGE('',*,*,#201266,.T.); #268514=ORIENTED_EDGE('',*,*,#201265,.T.); #268515=ORIENTED_EDGE('',*,*,#201267,.F.); #268516=ORIENTED_EDGE('',*,*,#201268,.F.); #268517=ORIENTED_EDGE('',*,*,#201269,.T.); #268518=ORIENTED_EDGE('',*,*,#201268,.T.); #268519=ORIENTED_EDGE('',*,*,#201270,.F.); #268520=ORIENTED_EDGE('',*,*,#201271,.F.); #268521=ORIENTED_EDGE('',*,*,#201272,.T.); #268522=ORIENTED_EDGE('',*,*,#201271,.T.); #268523=ORIENTED_EDGE('',*,*,#201273,.F.); #268524=ORIENTED_EDGE('',*,*,#201274,.F.); #268525=ORIENTED_EDGE('',*,*,#201275,.T.); #268526=ORIENTED_EDGE('',*,*,#201274,.T.); #268527=ORIENTED_EDGE('',*,*,#201276,.F.); #268528=ORIENTED_EDGE('',*,*,#201277,.F.); #268529=ORIENTED_EDGE('',*,*,#201278,.T.); #268530=ORIENTED_EDGE('',*,*,#201277,.T.); #268531=ORIENTED_EDGE('',*,*,#201279,.F.); #268532=ORIENTED_EDGE('',*,*,#201280,.F.); #268533=ORIENTED_EDGE('',*,*,#201281,.T.); #268534=ORIENTED_EDGE('',*,*,#201280,.T.); #268535=ORIENTED_EDGE('',*,*,#201282,.F.); #268536=ORIENTED_EDGE('',*,*,#201283,.F.); #268537=ORIENTED_EDGE('',*,*,#201284,.T.); #268538=ORIENTED_EDGE('',*,*,#201283,.T.); #268539=ORIENTED_EDGE('',*,*,#201285,.F.); #268540=ORIENTED_EDGE('',*,*,#201286,.F.); #268541=ORIENTED_EDGE('',*,*,#201287,.T.); #268542=ORIENTED_EDGE('',*,*,#201286,.T.); #268543=ORIENTED_EDGE('',*,*,#201288,.F.); #268544=ORIENTED_EDGE('',*,*,#201227,.F.); #268545=ORIENTED_EDGE('',*,*,#201288,.T.); #268546=ORIENTED_EDGE('',*,*,#201285,.T.); #268547=ORIENTED_EDGE('',*,*,#201282,.T.); #268548=ORIENTED_EDGE('',*,*,#201279,.T.); #268549=ORIENTED_EDGE('',*,*,#201276,.T.); #268550=ORIENTED_EDGE('',*,*,#201273,.T.); #268551=ORIENTED_EDGE('',*,*,#201270,.T.); #268552=ORIENTED_EDGE('',*,*,#201267,.T.); #268553=ORIENTED_EDGE('',*,*,#201264,.T.); #268554=ORIENTED_EDGE('',*,*,#201261,.T.); #268555=ORIENTED_EDGE('',*,*,#201258,.T.); #268556=ORIENTED_EDGE('',*,*,#201255,.T.); #268557=ORIENTED_EDGE('',*,*,#201252,.T.); #268558=ORIENTED_EDGE('',*,*,#201249,.T.); #268559=ORIENTED_EDGE('',*,*,#201246,.T.); #268560=ORIENTED_EDGE('',*,*,#201243,.T.); #268561=ORIENTED_EDGE('',*,*,#201240,.T.); #268562=ORIENTED_EDGE('',*,*,#201237,.T.); #268563=ORIENTED_EDGE('',*,*,#201234,.T.); #268564=ORIENTED_EDGE('',*,*,#201231,.T.); #268565=ORIENTED_EDGE('',*,*,#201228,.T.); #268566=ORIENTED_EDGE('',*,*,#201225,.T.); #268567=ORIENTED_EDGE('',*,*,#201287,.F.); #268568=ORIENTED_EDGE('',*,*,#201226,.F.); #268569=ORIENTED_EDGE('',*,*,#201230,.F.); #268570=ORIENTED_EDGE('',*,*,#201233,.F.); #268571=ORIENTED_EDGE('',*,*,#201236,.F.); #268572=ORIENTED_EDGE('',*,*,#201239,.F.); #268573=ORIENTED_EDGE('',*,*,#201242,.F.); #268574=ORIENTED_EDGE('',*,*,#201245,.F.); #268575=ORIENTED_EDGE('',*,*,#201248,.F.); #268576=ORIENTED_EDGE('',*,*,#201251,.F.); #268577=ORIENTED_EDGE('',*,*,#201254,.F.); #268578=ORIENTED_EDGE('',*,*,#201257,.F.); #268579=ORIENTED_EDGE('',*,*,#201260,.F.); #268580=ORIENTED_EDGE('',*,*,#201263,.F.); #268581=ORIENTED_EDGE('',*,*,#201266,.F.); #268582=ORIENTED_EDGE('',*,*,#201269,.F.); #268583=ORIENTED_EDGE('',*,*,#201272,.F.); #268584=ORIENTED_EDGE('',*,*,#201275,.F.); #268585=ORIENTED_EDGE('',*,*,#201278,.F.); #268586=ORIENTED_EDGE('',*,*,#201281,.F.); #268587=ORIENTED_EDGE('',*,*,#201284,.F.); #268588=ORIENTED_EDGE('',*,*,#201223,.T.); #268589=ORIENTED_EDGE('',*,*,#201289,.F.); #268590=ORIENTED_EDGE('',*,*,#201290,.T.); #268591=ORIENTED_EDGE('',*,*,#201291,.F.); #268592=ORIENTED_EDGE('',*,*,#201290,.F.); #268593=ORIENTED_EDGE('',*,*,#201292,.F.); #268594=ORIENTED_EDGE('',*,*,#201293,.T.); #268595=ORIENTED_EDGE('',*,*,#201294,.F.); #268596=ORIENTED_EDGE('',*,*,#201293,.F.); #268597=ORIENTED_EDGE('',*,*,#201295,.F.); #268598=ORIENTED_EDGE('',*,*,#201296,.T.); #268599=ORIENTED_EDGE('',*,*,#201297,.F.); #268600=ORIENTED_EDGE('',*,*,#201296,.F.); #268601=ORIENTED_EDGE('',*,*,#201298,.F.); #268602=ORIENTED_EDGE('',*,*,#201299,.T.); #268603=ORIENTED_EDGE('',*,*,#201300,.F.); #268604=ORIENTED_EDGE('',*,*,#201299,.F.); #268605=ORIENTED_EDGE('',*,*,#201301,.F.); #268606=ORIENTED_EDGE('',*,*,#201302,.T.); #268607=ORIENTED_EDGE('',*,*,#201303,.F.); #268608=ORIENTED_EDGE('',*,*,#201302,.F.); #268609=ORIENTED_EDGE('',*,*,#201304,.F.); #268610=ORIENTED_EDGE('',*,*,#201305,.T.); #268611=ORIENTED_EDGE('',*,*,#201306,.F.); #268612=ORIENTED_EDGE('',*,*,#201305,.F.); #268613=ORIENTED_EDGE('',*,*,#201307,.F.); #268614=ORIENTED_EDGE('',*,*,#201308,.T.); #268615=ORIENTED_EDGE('',*,*,#201309,.F.); #268616=ORIENTED_EDGE('',*,*,#201308,.F.); #268617=ORIENTED_EDGE('',*,*,#201310,.F.); #268618=ORIENTED_EDGE('',*,*,#201311,.T.); #268619=ORIENTED_EDGE('',*,*,#201312,.F.); #268620=ORIENTED_EDGE('',*,*,#201311,.F.); #268621=ORIENTED_EDGE('',*,*,#201313,.F.); #268622=ORIENTED_EDGE('',*,*,#201314,.T.); #268623=ORIENTED_EDGE('',*,*,#201315,.F.); #268624=ORIENTED_EDGE('',*,*,#201314,.F.); #268625=ORIENTED_EDGE('',*,*,#201316,.F.); #268626=ORIENTED_EDGE('',*,*,#201317,.T.); #268627=ORIENTED_EDGE('',*,*,#201318,.F.); #268628=ORIENTED_EDGE('',*,*,#201317,.F.); #268629=ORIENTED_EDGE('',*,*,#201319,.F.); #268630=ORIENTED_EDGE('',*,*,#201320,.T.); #268631=ORIENTED_EDGE('',*,*,#201321,.F.); #268632=ORIENTED_EDGE('',*,*,#201320,.F.); #268633=ORIENTED_EDGE('',*,*,#201322,.T.); #268634=ORIENTED_EDGE('',*,*,#201323,.T.); #268635=ORIENTED_EDGE('',*,*,#201324,.F.); #268636=ORIENTED_EDGE('',*,*,#201325,.F.); #268637=ORIENTED_EDGE('',*,*,#201326,.T.); #268638=ORIENTED_EDGE('',*,*,#201325,.T.); #268639=ORIENTED_EDGE('',*,*,#201327,.F.); #268640=ORIENTED_EDGE('',*,*,#201328,.F.); #268641=ORIENTED_EDGE('',*,*,#201329,.T.); #268642=ORIENTED_EDGE('',*,*,#201328,.T.); #268643=ORIENTED_EDGE('',*,*,#201330,.F.); #268644=ORIENTED_EDGE('',*,*,#201331,.F.); #268645=ORIENTED_EDGE('',*,*,#201332,.T.); #268646=ORIENTED_EDGE('',*,*,#201331,.T.); #268647=ORIENTED_EDGE('',*,*,#201333,.F.); #268648=ORIENTED_EDGE('',*,*,#201334,.F.); #268649=ORIENTED_EDGE('',*,*,#201335,.T.); #268650=ORIENTED_EDGE('',*,*,#201334,.T.); #268651=ORIENTED_EDGE('',*,*,#201336,.F.); #268652=ORIENTED_EDGE('',*,*,#201337,.F.); #268653=ORIENTED_EDGE('',*,*,#201338,.T.); #268654=ORIENTED_EDGE('',*,*,#201337,.T.); #268655=ORIENTED_EDGE('',*,*,#201339,.F.); #268656=ORIENTED_EDGE('',*,*,#201340,.F.); #268657=ORIENTED_EDGE('',*,*,#201341,.T.); #268658=ORIENTED_EDGE('',*,*,#201340,.T.); #268659=ORIENTED_EDGE('',*,*,#201342,.F.); #268660=ORIENTED_EDGE('',*,*,#201343,.F.); #268661=ORIENTED_EDGE('',*,*,#201344,.T.); #268662=ORIENTED_EDGE('',*,*,#201343,.T.); #268663=ORIENTED_EDGE('',*,*,#201345,.F.); #268664=ORIENTED_EDGE('',*,*,#201346,.F.); #268665=ORIENTED_EDGE('',*,*,#201347,.T.); #268666=ORIENTED_EDGE('',*,*,#201346,.T.); #268667=ORIENTED_EDGE('',*,*,#201348,.F.); #268668=ORIENTED_EDGE('',*,*,#201349,.F.); #268669=ORIENTED_EDGE('',*,*,#201350,.T.); #268670=ORIENTED_EDGE('',*,*,#201349,.T.); #268671=ORIENTED_EDGE('',*,*,#201351,.F.); #268672=ORIENTED_EDGE('',*,*,#201352,.F.); #268673=ORIENTED_EDGE('',*,*,#201353,.T.); #268674=ORIENTED_EDGE('',*,*,#201352,.T.); #268675=ORIENTED_EDGE('',*,*,#201354,.F.); #268676=ORIENTED_EDGE('',*,*,#201355,.F.); #268677=ORIENTED_EDGE('',*,*,#201356,.T.); #268678=ORIENTED_EDGE('',*,*,#201355,.T.); #268679=ORIENTED_EDGE('',*,*,#201357,.F.); #268680=ORIENTED_EDGE('',*,*,#201358,.F.); #268681=ORIENTED_EDGE('',*,*,#201359,.T.); #268682=ORIENTED_EDGE('',*,*,#201358,.T.); #268683=ORIENTED_EDGE('',*,*,#201360,.F.); #268684=ORIENTED_EDGE('',*,*,#201361,.F.); #268685=ORIENTED_EDGE('',*,*,#201362,.T.); #268686=ORIENTED_EDGE('',*,*,#201361,.T.); #268687=ORIENTED_EDGE('',*,*,#201363,.F.); #268688=ORIENTED_EDGE('',*,*,#201364,.F.); #268689=ORIENTED_EDGE('',*,*,#201365,.T.); #268690=ORIENTED_EDGE('',*,*,#201364,.T.); #268691=ORIENTED_EDGE('',*,*,#201366,.F.); #268692=ORIENTED_EDGE('',*,*,#201367,.F.); #268693=ORIENTED_EDGE('',*,*,#201368,.T.); #268694=ORIENTED_EDGE('',*,*,#201367,.T.); #268695=ORIENTED_EDGE('',*,*,#201369,.F.); #268696=ORIENTED_EDGE('',*,*,#201370,.F.); #268697=ORIENTED_EDGE('',*,*,#201371,.T.); #268698=ORIENTED_EDGE('',*,*,#201370,.T.); #268699=ORIENTED_EDGE('',*,*,#201372,.F.); #268700=ORIENTED_EDGE('',*,*,#201373,.F.); #268701=ORIENTED_EDGE('',*,*,#201374,.T.); #268702=ORIENTED_EDGE('',*,*,#201373,.T.); #268703=ORIENTED_EDGE('',*,*,#201375,.F.); #268704=ORIENTED_EDGE('',*,*,#201376,.F.); #268705=ORIENTED_EDGE('',*,*,#201377,.T.); #268706=ORIENTED_EDGE('',*,*,#201376,.T.); #268707=ORIENTED_EDGE('',*,*,#201378,.F.); #268708=ORIENTED_EDGE('',*,*,#201379,.F.); #268709=ORIENTED_EDGE('',*,*,#201380,.T.); #268710=ORIENTED_EDGE('',*,*,#201379,.T.); #268711=ORIENTED_EDGE('',*,*,#201381,.F.); #268712=ORIENTED_EDGE('',*,*,#201382,.F.); #268713=ORIENTED_EDGE('',*,*,#201383,.T.); #268714=ORIENTED_EDGE('',*,*,#201382,.T.); #268715=ORIENTED_EDGE('',*,*,#201384,.F.); #268716=ORIENTED_EDGE('',*,*,#201385,.F.); #268717=ORIENTED_EDGE('',*,*,#201386,.T.); #268718=ORIENTED_EDGE('',*,*,#201385,.T.); #268719=ORIENTED_EDGE('',*,*,#201387,.F.); #268720=ORIENTED_EDGE('',*,*,#201388,.F.); #268721=ORIENTED_EDGE('',*,*,#201389,.T.); #268722=ORIENTED_EDGE('',*,*,#201388,.T.); #268723=ORIENTED_EDGE('',*,*,#201390,.F.); #268724=ORIENTED_EDGE('',*,*,#201391,.F.); #268725=ORIENTED_EDGE('',*,*,#201392,.T.); #268726=ORIENTED_EDGE('',*,*,#201391,.T.); #268727=ORIENTED_EDGE('',*,*,#201393,.F.); #268728=ORIENTED_EDGE('',*,*,#201394,.F.); #268729=ORIENTED_EDGE('',*,*,#201395,.T.); #268730=ORIENTED_EDGE('',*,*,#201394,.T.); #268731=ORIENTED_EDGE('',*,*,#201396,.F.); #268732=ORIENTED_EDGE('',*,*,#201397,.F.); #268733=ORIENTED_EDGE('',*,*,#201398,.T.); #268734=ORIENTED_EDGE('',*,*,#201397,.T.); #268735=ORIENTED_EDGE('',*,*,#201399,.F.); #268736=ORIENTED_EDGE('',*,*,#201400,.F.); #268737=ORIENTED_EDGE('',*,*,#201401,.T.); #268738=ORIENTED_EDGE('',*,*,#201400,.T.); #268739=ORIENTED_EDGE('',*,*,#201402,.F.); #268740=ORIENTED_EDGE('',*,*,#201403,.F.); #268741=ORIENTED_EDGE('',*,*,#201404,.T.); #268742=ORIENTED_EDGE('',*,*,#201403,.T.); #268743=ORIENTED_EDGE('',*,*,#201405,.F.); #268744=ORIENTED_EDGE('',*,*,#201406,.F.); #268745=ORIENTED_EDGE('',*,*,#201407,.T.); #268746=ORIENTED_EDGE('',*,*,#201406,.T.); #268747=ORIENTED_EDGE('',*,*,#201408,.F.); #268748=ORIENTED_EDGE('',*,*,#201409,.F.); #268749=ORIENTED_EDGE('',*,*,#201410,.T.); #268750=ORIENTED_EDGE('',*,*,#201409,.T.); #268751=ORIENTED_EDGE('',*,*,#201411,.F.); #268752=ORIENTED_EDGE('',*,*,#201412,.F.); #268753=ORIENTED_EDGE('',*,*,#201413,.T.); #268754=ORIENTED_EDGE('',*,*,#201412,.T.); #268755=ORIENTED_EDGE('',*,*,#201414,.F.); #268756=ORIENTED_EDGE('',*,*,#201415,.F.); #268757=ORIENTED_EDGE('',*,*,#201416,.T.); #268758=ORIENTED_EDGE('',*,*,#201415,.T.); #268759=ORIENTED_EDGE('',*,*,#201417,.F.); #268760=ORIENTED_EDGE('',*,*,#201418,.F.); #268761=ORIENTED_EDGE('',*,*,#201419,.T.); #268762=ORIENTED_EDGE('',*,*,#201418,.T.); #268763=ORIENTED_EDGE('',*,*,#201420,.F.); #268764=ORIENTED_EDGE('',*,*,#201421,.F.); #268765=ORIENTED_EDGE('',*,*,#201422,.T.); #268766=ORIENTED_EDGE('',*,*,#201421,.T.); #268767=ORIENTED_EDGE('',*,*,#201423,.F.); #268768=ORIENTED_EDGE('',*,*,#201424,.F.); #268769=ORIENTED_EDGE('',*,*,#201425,.T.); #268770=ORIENTED_EDGE('',*,*,#201424,.T.); #268771=ORIENTED_EDGE('',*,*,#201426,.F.); #268772=ORIENTED_EDGE('',*,*,#201427,.F.); #268773=ORIENTED_EDGE('',*,*,#201428,.T.); #268774=ORIENTED_EDGE('',*,*,#201427,.T.); #268775=ORIENTED_EDGE('',*,*,#201429,.F.); #268776=ORIENTED_EDGE('',*,*,#201430,.F.); #268777=ORIENTED_EDGE('',*,*,#201431,.T.); #268778=ORIENTED_EDGE('',*,*,#201430,.T.); #268779=ORIENTED_EDGE('',*,*,#201432,.F.); #268780=ORIENTED_EDGE('',*,*,#201433,.F.); #268781=ORIENTED_EDGE('',*,*,#201434,.T.); #268782=ORIENTED_EDGE('',*,*,#201433,.T.); #268783=ORIENTED_EDGE('',*,*,#201435,.F.); #268784=ORIENTED_EDGE('',*,*,#201436,.F.); #268785=ORIENTED_EDGE('',*,*,#201437,.T.); #268786=ORIENTED_EDGE('',*,*,#201436,.T.); #268787=ORIENTED_EDGE('',*,*,#201438,.F.); #268788=ORIENTED_EDGE('',*,*,#201439,.F.); #268789=ORIENTED_EDGE('',*,*,#201440,.T.); #268790=ORIENTED_EDGE('',*,*,#201439,.T.); #268791=ORIENTED_EDGE('',*,*,#201441,.F.); #268792=ORIENTED_EDGE('',*,*,#201442,.F.); #268793=ORIENTED_EDGE('',*,*,#201443,.T.); #268794=ORIENTED_EDGE('',*,*,#201442,.T.); #268795=ORIENTED_EDGE('',*,*,#201444,.F.); #268796=ORIENTED_EDGE('',*,*,#201445,.F.); #268797=ORIENTED_EDGE('',*,*,#201446,.T.); #268798=ORIENTED_EDGE('',*,*,#201445,.T.); #268799=ORIENTED_EDGE('',*,*,#201447,.F.); #268800=ORIENTED_EDGE('',*,*,#201448,.F.); #268801=ORIENTED_EDGE('',*,*,#201449,.T.); #268802=ORIENTED_EDGE('',*,*,#201448,.T.); #268803=ORIENTED_EDGE('',*,*,#201450,.F.); #268804=ORIENTED_EDGE('',*,*,#201451,.F.); #268805=ORIENTED_EDGE('',*,*,#201452,.T.); #268806=ORIENTED_EDGE('',*,*,#201451,.T.); #268807=ORIENTED_EDGE('',*,*,#201453,.F.); #268808=ORIENTED_EDGE('',*,*,#201454,.F.); #268809=ORIENTED_EDGE('',*,*,#201455,.T.); #268810=ORIENTED_EDGE('',*,*,#201454,.T.); #268811=ORIENTED_EDGE('',*,*,#201456,.F.); #268812=ORIENTED_EDGE('',*,*,#201457,.F.); #268813=ORIENTED_EDGE('',*,*,#201458,.T.); #268814=ORIENTED_EDGE('',*,*,#201457,.T.); #268815=ORIENTED_EDGE('',*,*,#201459,.F.); #268816=ORIENTED_EDGE('',*,*,#201460,.F.); #268817=ORIENTED_EDGE('',*,*,#201461,.T.); #268818=ORIENTED_EDGE('',*,*,#201460,.T.); #268819=ORIENTED_EDGE('',*,*,#201462,.F.); #268820=ORIENTED_EDGE('',*,*,#201463,.F.); #268821=ORIENTED_EDGE('',*,*,#201464,.T.); #268822=ORIENTED_EDGE('',*,*,#201463,.T.); #268823=ORIENTED_EDGE('',*,*,#201465,.F.); #268824=ORIENTED_EDGE('',*,*,#201466,.F.); #268825=ORIENTED_EDGE('',*,*,#201467,.T.); #268826=ORIENTED_EDGE('',*,*,#201466,.T.); #268827=ORIENTED_EDGE('',*,*,#201468,.F.); #268828=ORIENTED_EDGE('',*,*,#201469,.F.); #268829=ORIENTED_EDGE('',*,*,#201470,.T.); #268830=ORIENTED_EDGE('',*,*,#201469,.T.); #268831=ORIENTED_EDGE('',*,*,#201471,.F.); #268832=ORIENTED_EDGE('',*,*,#201472,.F.); #268833=ORIENTED_EDGE('',*,*,#201473,.T.); #268834=ORIENTED_EDGE('',*,*,#201472,.T.); #268835=ORIENTED_EDGE('',*,*,#201474,.F.); #268836=ORIENTED_EDGE('',*,*,#201475,.F.); #268837=ORIENTED_EDGE('',*,*,#201476,.T.); #268838=ORIENTED_EDGE('',*,*,#201475,.T.); #268839=ORIENTED_EDGE('',*,*,#201477,.F.); #268840=ORIENTED_EDGE('',*,*,#201478,.F.); #268841=ORIENTED_EDGE('',*,*,#201479,.T.); #268842=ORIENTED_EDGE('',*,*,#201478,.T.); #268843=ORIENTED_EDGE('',*,*,#201480,.F.); #268844=ORIENTED_EDGE('',*,*,#201481,.F.); #268845=ORIENTED_EDGE('',*,*,#201482,.T.); #268846=ORIENTED_EDGE('',*,*,#201481,.T.); #268847=ORIENTED_EDGE('',*,*,#201483,.F.); #268848=ORIENTED_EDGE('',*,*,#201484,.F.); #268849=ORIENTED_EDGE('',*,*,#201485,.T.); #268850=ORIENTED_EDGE('',*,*,#201484,.T.); #268851=ORIENTED_EDGE('',*,*,#201486,.F.); #268852=ORIENTED_EDGE('',*,*,#201487,.F.); #268853=ORIENTED_EDGE('',*,*,#201488,.T.); #268854=ORIENTED_EDGE('',*,*,#201487,.T.); #268855=ORIENTED_EDGE('',*,*,#201489,.F.); #268856=ORIENTED_EDGE('',*,*,#201490,.F.); #268857=ORIENTED_EDGE('',*,*,#201491,.T.); #268858=ORIENTED_EDGE('',*,*,#201490,.T.); #268859=ORIENTED_EDGE('',*,*,#201492,.F.); #268860=ORIENTED_EDGE('',*,*,#201493,.F.); #268861=ORIENTED_EDGE('',*,*,#201494,.T.); #268862=ORIENTED_EDGE('',*,*,#201493,.T.); #268863=ORIENTED_EDGE('',*,*,#201495,.F.); #268864=ORIENTED_EDGE('',*,*,#201496,.F.); #268865=ORIENTED_EDGE('',*,*,#201497,.T.); #268866=ORIENTED_EDGE('',*,*,#201496,.T.); #268867=ORIENTED_EDGE('',*,*,#201498,.F.); #268868=ORIENTED_EDGE('',*,*,#201499,.F.); #268869=ORIENTED_EDGE('',*,*,#201500,.T.); #268870=ORIENTED_EDGE('',*,*,#201499,.T.); #268871=ORIENTED_EDGE('',*,*,#201501,.F.); #268872=ORIENTED_EDGE('',*,*,#201502,.F.); #268873=ORIENTED_EDGE('',*,*,#201503,.T.); #268874=ORIENTED_EDGE('',*,*,#201502,.T.); #268875=ORIENTED_EDGE('',*,*,#201504,.F.); #268876=ORIENTED_EDGE('',*,*,#201505,.F.); #268877=ORIENTED_EDGE('',*,*,#201506,.T.); #268878=ORIENTED_EDGE('',*,*,#201505,.T.); #268879=ORIENTED_EDGE('',*,*,#201507,.F.); #268880=ORIENTED_EDGE('',*,*,#201508,.F.); #268881=ORIENTED_EDGE('',*,*,#201509,.T.); #268882=ORIENTED_EDGE('',*,*,#201508,.T.); #268883=ORIENTED_EDGE('',*,*,#201510,.F.); #268884=ORIENTED_EDGE('',*,*,#201511,.F.); #268885=ORIENTED_EDGE('',*,*,#201512,.T.); #268886=ORIENTED_EDGE('',*,*,#201511,.T.); #268887=ORIENTED_EDGE('',*,*,#201513,.F.); #268888=ORIENTED_EDGE('',*,*,#201514,.F.); #268889=ORIENTED_EDGE('',*,*,#201515,.T.); #268890=ORIENTED_EDGE('',*,*,#201514,.T.); #268891=ORIENTED_EDGE('',*,*,#201516,.F.); #268892=ORIENTED_EDGE('',*,*,#201517,.F.); #268893=ORIENTED_EDGE('',*,*,#201518,.T.); #268894=ORIENTED_EDGE('',*,*,#201517,.T.); #268895=ORIENTED_EDGE('',*,*,#201519,.F.); #268896=ORIENTED_EDGE('',*,*,#201520,.F.); #268897=ORIENTED_EDGE('',*,*,#201521,.T.); #268898=ORIENTED_EDGE('',*,*,#201520,.T.); #268899=ORIENTED_EDGE('',*,*,#201522,.F.); #268900=ORIENTED_EDGE('',*,*,#201523,.F.); #268901=ORIENTED_EDGE('',*,*,#201524,.T.); #268902=ORIENTED_EDGE('',*,*,#201523,.T.); #268903=ORIENTED_EDGE('',*,*,#201525,.F.); #268904=ORIENTED_EDGE('',*,*,#201526,.F.); #268905=ORIENTED_EDGE('',*,*,#201527,.T.); #268906=ORIENTED_EDGE('',*,*,#201526,.T.); #268907=ORIENTED_EDGE('',*,*,#201528,.F.); #268908=ORIENTED_EDGE('',*,*,#201529,.F.); #268909=ORIENTED_EDGE('',*,*,#201530,.T.); #268910=ORIENTED_EDGE('',*,*,#201529,.T.); #268911=ORIENTED_EDGE('',*,*,#201531,.F.); #268912=ORIENTED_EDGE('',*,*,#201532,.F.); #268913=ORIENTED_EDGE('',*,*,#201533,.T.); #268914=ORIENTED_EDGE('',*,*,#201532,.T.); #268915=ORIENTED_EDGE('',*,*,#201534,.F.); #268916=ORIENTED_EDGE('',*,*,#201535,.F.); #268917=ORIENTED_EDGE('',*,*,#201536,.T.); #268918=ORIENTED_EDGE('',*,*,#201535,.T.); #268919=ORIENTED_EDGE('',*,*,#201537,.F.); #268920=ORIENTED_EDGE('',*,*,#201538,.F.); #268921=ORIENTED_EDGE('',*,*,#201539,.T.); #268922=ORIENTED_EDGE('',*,*,#201538,.T.); #268923=ORIENTED_EDGE('',*,*,#201540,.F.); #268924=ORIENTED_EDGE('',*,*,#201541,.F.); #268925=ORIENTED_EDGE('',*,*,#201542,.T.); #268926=ORIENTED_EDGE('',*,*,#201541,.T.); #268927=ORIENTED_EDGE('',*,*,#201543,.F.); #268928=ORIENTED_EDGE('',*,*,#201544,.F.); #268929=ORIENTED_EDGE('',*,*,#201545,.T.); #268930=ORIENTED_EDGE('',*,*,#201544,.T.); #268931=ORIENTED_EDGE('',*,*,#201546,.F.); #268932=ORIENTED_EDGE('',*,*,#201547,.F.); #268933=ORIENTED_EDGE('',*,*,#201548,.T.); #268934=ORIENTED_EDGE('',*,*,#201547,.T.); #268935=ORIENTED_EDGE('',*,*,#201549,.F.); #268936=ORIENTED_EDGE('',*,*,#201550,.F.); #268937=ORIENTED_EDGE('',*,*,#201551,.T.); #268938=ORIENTED_EDGE('',*,*,#201550,.T.); #268939=ORIENTED_EDGE('',*,*,#201552,.F.); #268940=ORIENTED_EDGE('',*,*,#201553,.F.); #268941=ORIENTED_EDGE('',*,*,#201554,.T.); #268942=ORIENTED_EDGE('',*,*,#201553,.T.); #268943=ORIENTED_EDGE('',*,*,#201555,.F.); #268944=ORIENTED_EDGE('',*,*,#201556,.F.); #268945=ORIENTED_EDGE('',*,*,#201557,.T.); #268946=ORIENTED_EDGE('',*,*,#201556,.T.); #268947=ORIENTED_EDGE('',*,*,#201558,.F.); #268948=ORIENTED_EDGE('',*,*,#201559,.F.); #268949=ORIENTED_EDGE('',*,*,#201560,.T.); #268950=ORIENTED_EDGE('',*,*,#201559,.T.); #268951=ORIENTED_EDGE('',*,*,#201561,.F.); #268952=ORIENTED_EDGE('',*,*,#201562,.F.); #268953=ORIENTED_EDGE('',*,*,#201563,.T.); #268954=ORIENTED_EDGE('',*,*,#201562,.T.); #268955=ORIENTED_EDGE('',*,*,#201564,.F.); #268956=ORIENTED_EDGE('',*,*,#201565,.F.); #268957=ORIENTED_EDGE('',*,*,#201566,.T.); #268958=ORIENTED_EDGE('',*,*,#201565,.T.); #268959=ORIENTED_EDGE('',*,*,#201567,.F.); #268960=ORIENTED_EDGE('',*,*,#201568,.F.); #268961=ORIENTED_EDGE('',*,*,#201569,.T.); #268962=ORIENTED_EDGE('',*,*,#201568,.T.); #268963=ORIENTED_EDGE('',*,*,#201570,.F.); #268964=ORIENTED_EDGE('',*,*,#201571,.F.); #268965=ORIENTED_EDGE('',*,*,#201572,.T.); #268966=ORIENTED_EDGE('',*,*,#201571,.T.); #268967=ORIENTED_EDGE('',*,*,#201573,.F.); #268968=ORIENTED_EDGE('',*,*,#201574,.F.); #268969=ORIENTED_EDGE('',*,*,#201575,.T.); #268970=ORIENTED_EDGE('',*,*,#201574,.T.); #268971=ORIENTED_EDGE('',*,*,#201576,.F.); #268972=ORIENTED_EDGE('',*,*,#201577,.F.); #268973=ORIENTED_EDGE('',*,*,#201578,.T.); #268974=ORIENTED_EDGE('',*,*,#201577,.T.); #268975=ORIENTED_EDGE('',*,*,#201579,.F.); #268976=ORIENTED_EDGE('',*,*,#201580,.F.); #268977=ORIENTED_EDGE('',*,*,#201581,.T.); #268978=ORIENTED_EDGE('',*,*,#201580,.T.); #268979=ORIENTED_EDGE('',*,*,#201582,.F.); #268980=ORIENTED_EDGE('',*,*,#201583,.F.); #268981=ORIENTED_EDGE('',*,*,#201584,.T.); #268982=ORIENTED_EDGE('',*,*,#201583,.T.); #268983=ORIENTED_EDGE('',*,*,#201585,.F.); #268984=ORIENTED_EDGE('',*,*,#201586,.F.); #268985=ORIENTED_EDGE('',*,*,#201587,.T.); #268986=ORIENTED_EDGE('',*,*,#201586,.T.); #268987=ORIENTED_EDGE('',*,*,#201588,.F.); #268988=ORIENTED_EDGE('',*,*,#201589,.F.); #268989=ORIENTED_EDGE('',*,*,#201590,.T.); #268990=ORIENTED_EDGE('',*,*,#201589,.T.); #268991=ORIENTED_EDGE('',*,*,#201591,.F.); #268992=ORIENTED_EDGE('',*,*,#201592,.F.); #268993=ORIENTED_EDGE('',*,*,#201593,.T.); #268994=ORIENTED_EDGE('',*,*,#201592,.T.); #268995=ORIENTED_EDGE('',*,*,#201594,.F.); #268996=ORIENTED_EDGE('',*,*,#201595,.F.); #268997=ORIENTED_EDGE('',*,*,#201596,.T.); #268998=ORIENTED_EDGE('',*,*,#201595,.T.); #268999=ORIENTED_EDGE('',*,*,#201597,.F.); #269000=ORIENTED_EDGE('',*,*,#201598,.F.); #269001=ORIENTED_EDGE('',*,*,#201599,.T.); #269002=ORIENTED_EDGE('',*,*,#201598,.T.); #269003=ORIENTED_EDGE('',*,*,#201600,.F.); #269004=ORIENTED_EDGE('',*,*,#201601,.F.); #269005=ORIENTED_EDGE('',*,*,#201602,.T.); #269006=ORIENTED_EDGE('',*,*,#201601,.T.); #269007=ORIENTED_EDGE('',*,*,#201603,.F.); #269008=ORIENTED_EDGE('',*,*,#201604,.F.); #269009=ORIENTED_EDGE('',*,*,#201605,.T.); #269010=ORIENTED_EDGE('',*,*,#201604,.T.); #269011=ORIENTED_EDGE('',*,*,#201606,.F.); #269012=ORIENTED_EDGE('',*,*,#201607,.F.); #269013=ORIENTED_EDGE('',*,*,#201608,.T.); #269014=ORIENTED_EDGE('',*,*,#201607,.T.); #269015=ORIENTED_EDGE('',*,*,#201609,.F.); #269016=ORIENTED_EDGE('',*,*,#201610,.F.); #269017=ORIENTED_EDGE('',*,*,#201611,.T.); #269018=ORIENTED_EDGE('',*,*,#201610,.T.); #269019=ORIENTED_EDGE('',*,*,#201612,.F.); #269020=ORIENTED_EDGE('',*,*,#201613,.F.); #269021=ORIENTED_EDGE('',*,*,#201614,.T.); #269022=ORIENTED_EDGE('',*,*,#201613,.T.); #269023=ORIENTED_EDGE('',*,*,#201615,.F.); #269024=ORIENTED_EDGE('',*,*,#201616,.F.); #269025=ORIENTED_EDGE('',*,*,#201617,.T.); #269026=ORIENTED_EDGE('',*,*,#201616,.T.); #269027=ORIENTED_EDGE('',*,*,#201618,.F.); #269028=ORIENTED_EDGE('',*,*,#201619,.F.); #269029=ORIENTED_EDGE('',*,*,#201620,.T.); #269030=ORIENTED_EDGE('',*,*,#201619,.T.); #269031=ORIENTED_EDGE('',*,*,#201621,.F.); #269032=ORIENTED_EDGE('',*,*,#201622,.F.); #269033=ORIENTED_EDGE('',*,*,#201623,.T.); #269034=ORIENTED_EDGE('',*,*,#201622,.T.); #269035=ORIENTED_EDGE('',*,*,#201624,.F.); #269036=ORIENTED_EDGE('',*,*,#201625,.F.); #269037=ORIENTED_EDGE('',*,*,#201626,.T.); #269038=ORIENTED_EDGE('',*,*,#201625,.T.); #269039=ORIENTED_EDGE('',*,*,#201627,.F.); #269040=ORIENTED_EDGE('',*,*,#201628,.F.); #269041=ORIENTED_EDGE('',*,*,#201629,.T.); #269042=ORIENTED_EDGE('',*,*,#201628,.T.); #269043=ORIENTED_EDGE('',*,*,#201630,.F.); #269044=ORIENTED_EDGE('',*,*,#201631,.F.); #269045=ORIENTED_EDGE('',*,*,#201632,.T.); #269046=ORIENTED_EDGE('',*,*,#201631,.T.); #269047=ORIENTED_EDGE('',*,*,#201633,.F.); #269048=ORIENTED_EDGE('',*,*,#201634,.F.); #269049=ORIENTED_EDGE('',*,*,#201635,.T.); #269050=ORIENTED_EDGE('',*,*,#201634,.T.); #269051=ORIENTED_EDGE('',*,*,#201636,.F.); #269052=ORIENTED_EDGE('',*,*,#201637,.F.); #269053=ORIENTED_EDGE('',*,*,#201638,.T.); #269054=ORIENTED_EDGE('',*,*,#201637,.T.); #269055=ORIENTED_EDGE('',*,*,#201639,.F.); #269056=ORIENTED_EDGE('',*,*,#201640,.F.); #269057=ORIENTED_EDGE('',*,*,#201641,.T.); #269058=ORIENTED_EDGE('',*,*,#201640,.T.); #269059=ORIENTED_EDGE('',*,*,#201642,.F.); #269060=ORIENTED_EDGE('',*,*,#201643,.F.); #269061=ORIENTED_EDGE('',*,*,#201644,.T.); #269062=ORIENTED_EDGE('',*,*,#201643,.T.); #269063=ORIENTED_EDGE('',*,*,#201645,.F.); #269064=ORIENTED_EDGE('',*,*,#201646,.F.); #269065=ORIENTED_EDGE('',*,*,#201647,.T.); #269066=ORIENTED_EDGE('',*,*,#201646,.T.); #269067=ORIENTED_EDGE('',*,*,#201648,.F.); #269068=ORIENTED_EDGE('',*,*,#201649,.F.); #269069=ORIENTED_EDGE('',*,*,#201650,.T.); #269070=ORIENTED_EDGE('',*,*,#201649,.T.); #269071=ORIENTED_EDGE('',*,*,#201651,.F.); #269072=ORIENTED_EDGE('',*,*,#201652,.F.); #269073=ORIENTED_EDGE('',*,*,#201653,.T.); #269074=ORIENTED_EDGE('',*,*,#201652,.T.); #269075=ORIENTED_EDGE('',*,*,#201654,.F.); #269076=ORIENTED_EDGE('',*,*,#201655,.F.); #269077=ORIENTED_EDGE('',*,*,#201656,.T.); #269078=ORIENTED_EDGE('',*,*,#201655,.T.); #269079=ORIENTED_EDGE('',*,*,#201657,.F.); #269080=ORIENTED_EDGE('',*,*,#201658,.F.); #269081=ORIENTED_EDGE('',*,*,#201659,.T.); #269082=ORIENTED_EDGE('',*,*,#201658,.T.); #269083=ORIENTED_EDGE('',*,*,#201660,.F.); #269084=ORIENTED_EDGE('',*,*,#201661,.F.); #269085=ORIENTED_EDGE('',*,*,#201662,.T.); #269086=ORIENTED_EDGE('',*,*,#201661,.T.); #269087=ORIENTED_EDGE('',*,*,#201663,.F.); #269088=ORIENTED_EDGE('',*,*,#201664,.F.); #269089=ORIENTED_EDGE('',*,*,#201665,.T.); #269090=ORIENTED_EDGE('',*,*,#201664,.T.); #269091=ORIENTED_EDGE('',*,*,#201666,.F.); #269092=ORIENTED_EDGE('',*,*,#201667,.F.); #269093=ORIENTED_EDGE('',*,*,#201668,.T.); #269094=ORIENTED_EDGE('',*,*,#201667,.T.); #269095=ORIENTED_EDGE('',*,*,#201669,.F.); #269096=ORIENTED_EDGE('',*,*,#201670,.F.); #269097=ORIENTED_EDGE('',*,*,#201671,.T.); #269098=ORIENTED_EDGE('',*,*,#201670,.T.); #269099=ORIENTED_EDGE('',*,*,#201672,.F.); #269100=ORIENTED_EDGE('',*,*,#201673,.F.); #269101=ORIENTED_EDGE('',*,*,#201674,.T.); #269102=ORIENTED_EDGE('',*,*,#201673,.T.); #269103=ORIENTED_EDGE('',*,*,#201675,.F.); #269104=ORIENTED_EDGE('',*,*,#201676,.F.); #269105=ORIENTED_EDGE('',*,*,#201677,.T.); #269106=ORIENTED_EDGE('',*,*,#201676,.T.); #269107=ORIENTED_EDGE('',*,*,#201678,.F.); #269108=ORIENTED_EDGE('',*,*,#201679,.F.); #269109=ORIENTED_EDGE('',*,*,#201680,.T.); #269110=ORIENTED_EDGE('',*,*,#201679,.T.); #269111=ORIENTED_EDGE('',*,*,#201681,.F.); #269112=ORIENTED_EDGE('',*,*,#201682,.F.); #269113=ORIENTED_EDGE('',*,*,#201683,.T.); #269114=ORIENTED_EDGE('',*,*,#201682,.T.); #269115=ORIENTED_EDGE('',*,*,#201684,.F.); #269116=ORIENTED_EDGE('',*,*,#201685,.F.); #269117=ORIENTED_EDGE('',*,*,#201686,.T.); #269118=ORIENTED_EDGE('',*,*,#201685,.T.); #269119=ORIENTED_EDGE('',*,*,#201687,.F.); #269120=ORIENTED_EDGE('',*,*,#201688,.F.); #269121=ORIENTED_EDGE('',*,*,#201689,.T.); #269122=ORIENTED_EDGE('',*,*,#201688,.T.); #269123=ORIENTED_EDGE('',*,*,#201690,.F.); #269124=ORIENTED_EDGE('',*,*,#201691,.F.); #269125=ORIENTED_EDGE('',*,*,#201692,.T.); #269126=ORIENTED_EDGE('',*,*,#201691,.T.); #269127=ORIENTED_EDGE('',*,*,#201693,.F.); #269128=ORIENTED_EDGE('',*,*,#201323,.F.); #269129=ORIENTED_EDGE('',*,*,#201693,.T.); #269130=ORIENTED_EDGE('',*,*,#201690,.T.); #269131=ORIENTED_EDGE('',*,*,#201687,.T.); #269132=ORIENTED_EDGE('',*,*,#201684,.T.); #269133=ORIENTED_EDGE('',*,*,#201681,.T.); #269134=ORIENTED_EDGE('',*,*,#201678,.T.); #269135=ORIENTED_EDGE('',*,*,#201675,.T.); #269136=ORIENTED_EDGE('',*,*,#201672,.T.); #269137=ORIENTED_EDGE('',*,*,#201669,.T.); #269138=ORIENTED_EDGE('',*,*,#201666,.T.); #269139=ORIENTED_EDGE('',*,*,#201663,.T.); #269140=ORIENTED_EDGE('',*,*,#201660,.T.); #269141=ORIENTED_EDGE('',*,*,#201657,.T.); #269142=ORIENTED_EDGE('',*,*,#201654,.T.); #269143=ORIENTED_EDGE('',*,*,#201651,.T.); #269144=ORIENTED_EDGE('',*,*,#201648,.T.); #269145=ORIENTED_EDGE('',*,*,#201645,.T.); #269146=ORIENTED_EDGE('',*,*,#201642,.T.); #269147=ORIENTED_EDGE('',*,*,#201639,.T.); #269148=ORIENTED_EDGE('',*,*,#201636,.T.); #269149=ORIENTED_EDGE('',*,*,#201633,.T.); #269150=ORIENTED_EDGE('',*,*,#201630,.T.); #269151=ORIENTED_EDGE('',*,*,#201627,.T.); #269152=ORIENTED_EDGE('',*,*,#201624,.T.); #269153=ORIENTED_EDGE('',*,*,#201621,.T.); #269154=ORIENTED_EDGE('',*,*,#201618,.T.); #269155=ORIENTED_EDGE('',*,*,#201615,.T.); #269156=ORIENTED_EDGE('',*,*,#201612,.T.); #269157=ORIENTED_EDGE('',*,*,#201609,.T.); #269158=ORIENTED_EDGE('',*,*,#201606,.T.); #269159=ORIENTED_EDGE('',*,*,#201603,.T.); #269160=ORIENTED_EDGE('',*,*,#201600,.T.); #269161=ORIENTED_EDGE('',*,*,#201597,.T.); #269162=ORIENTED_EDGE('',*,*,#201594,.T.); #269163=ORIENTED_EDGE('',*,*,#201591,.T.); #269164=ORIENTED_EDGE('',*,*,#201588,.T.); #269165=ORIENTED_EDGE('',*,*,#201585,.T.); #269166=ORIENTED_EDGE('',*,*,#201582,.T.); #269167=ORIENTED_EDGE('',*,*,#201579,.T.); #269168=ORIENTED_EDGE('',*,*,#201576,.T.); #269169=ORIENTED_EDGE('',*,*,#201573,.T.); #269170=ORIENTED_EDGE('',*,*,#201570,.T.); #269171=ORIENTED_EDGE('',*,*,#201567,.T.); #269172=ORIENTED_EDGE('',*,*,#201564,.T.); #269173=ORIENTED_EDGE('',*,*,#201561,.T.); #269174=ORIENTED_EDGE('',*,*,#201558,.T.); #269175=ORIENTED_EDGE('',*,*,#201555,.T.); #269176=ORIENTED_EDGE('',*,*,#201552,.T.); #269177=ORIENTED_EDGE('',*,*,#201549,.T.); #269178=ORIENTED_EDGE('',*,*,#201546,.T.); #269179=ORIENTED_EDGE('',*,*,#201543,.T.); #269180=ORIENTED_EDGE('',*,*,#201540,.T.); #269181=ORIENTED_EDGE('',*,*,#201537,.T.); #269182=ORIENTED_EDGE('',*,*,#201534,.T.); #269183=ORIENTED_EDGE('',*,*,#201531,.T.); #269184=ORIENTED_EDGE('',*,*,#201528,.T.); #269185=ORIENTED_EDGE('',*,*,#201525,.T.); #269186=ORIENTED_EDGE('',*,*,#201522,.T.); #269187=ORIENTED_EDGE('',*,*,#201519,.T.); #269188=ORIENTED_EDGE('',*,*,#201516,.T.); #269189=ORIENTED_EDGE('',*,*,#201513,.T.); #269190=ORIENTED_EDGE('',*,*,#201510,.T.); #269191=ORIENTED_EDGE('',*,*,#201507,.T.); #269192=ORIENTED_EDGE('',*,*,#201504,.T.); #269193=ORIENTED_EDGE('',*,*,#201501,.T.); #269194=ORIENTED_EDGE('',*,*,#201498,.T.); #269195=ORIENTED_EDGE('',*,*,#201495,.T.); #269196=ORIENTED_EDGE('',*,*,#201492,.T.); #269197=ORIENTED_EDGE('',*,*,#201489,.T.); #269198=ORIENTED_EDGE('',*,*,#201486,.T.); #269199=ORIENTED_EDGE('',*,*,#201483,.T.); #269200=ORIENTED_EDGE('',*,*,#201480,.T.); #269201=ORIENTED_EDGE('',*,*,#201477,.T.); #269202=ORIENTED_EDGE('',*,*,#201474,.T.); #269203=ORIENTED_EDGE('',*,*,#201471,.T.); #269204=ORIENTED_EDGE('',*,*,#201468,.T.); #269205=ORIENTED_EDGE('',*,*,#201465,.T.); #269206=ORIENTED_EDGE('',*,*,#201462,.T.); #269207=ORIENTED_EDGE('',*,*,#201459,.T.); #269208=ORIENTED_EDGE('',*,*,#201456,.T.); #269209=ORIENTED_EDGE('',*,*,#201453,.T.); #269210=ORIENTED_EDGE('',*,*,#201450,.T.); #269211=ORIENTED_EDGE('',*,*,#201447,.T.); #269212=ORIENTED_EDGE('',*,*,#201444,.T.); #269213=ORIENTED_EDGE('',*,*,#201441,.T.); #269214=ORIENTED_EDGE('',*,*,#201438,.T.); #269215=ORIENTED_EDGE('',*,*,#201435,.T.); #269216=ORIENTED_EDGE('',*,*,#201432,.T.); #269217=ORIENTED_EDGE('',*,*,#201429,.T.); #269218=ORIENTED_EDGE('',*,*,#201426,.T.); #269219=ORIENTED_EDGE('',*,*,#201423,.T.); #269220=ORIENTED_EDGE('',*,*,#201420,.T.); #269221=ORIENTED_EDGE('',*,*,#201417,.T.); #269222=ORIENTED_EDGE('',*,*,#201414,.T.); #269223=ORIENTED_EDGE('',*,*,#201411,.T.); #269224=ORIENTED_EDGE('',*,*,#201408,.T.); #269225=ORIENTED_EDGE('',*,*,#201405,.T.); #269226=ORIENTED_EDGE('',*,*,#201402,.T.); #269227=ORIENTED_EDGE('',*,*,#201399,.T.); #269228=ORIENTED_EDGE('',*,*,#201396,.T.); #269229=ORIENTED_EDGE('',*,*,#201393,.T.); #269230=ORIENTED_EDGE('',*,*,#201390,.T.); #269231=ORIENTED_EDGE('',*,*,#201387,.T.); #269232=ORIENTED_EDGE('',*,*,#201384,.T.); #269233=ORIENTED_EDGE('',*,*,#201381,.T.); #269234=ORIENTED_EDGE('',*,*,#201378,.T.); #269235=ORIENTED_EDGE('',*,*,#201375,.T.); #269236=ORIENTED_EDGE('',*,*,#201372,.T.); #269237=ORIENTED_EDGE('',*,*,#201369,.T.); #269238=ORIENTED_EDGE('',*,*,#201366,.T.); #269239=ORIENTED_EDGE('',*,*,#201363,.T.); #269240=ORIENTED_EDGE('',*,*,#201360,.T.); #269241=ORIENTED_EDGE('',*,*,#201357,.T.); #269242=ORIENTED_EDGE('',*,*,#201354,.T.); #269243=ORIENTED_EDGE('',*,*,#201351,.T.); #269244=ORIENTED_EDGE('',*,*,#201348,.T.); #269245=ORIENTED_EDGE('',*,*,#201345,.T.); #269246=ORIENTED_EDGE('',*,*,#201342,.T.); #269247=ORIENTED_EDGE('',*,*,#201339,.T.); #269248=ORIENTED_EDGE('',*,*,#201336,.T.); #269249=ORIENTED_EDGE('',*,*,#201333,.T.); #269250=ORIENTED_EDGE('',*,*,#201330,.T.); #269251=ORIENTED_EDGE('',*,*,#201327,.T.); #269252=ORIENTED_EDGE('',*,*,#201324,.T.); #269253=ORIENTED_EDGE('',*,*,#201291,.T.); #269254=ORIENTED_EDGE('',*,*,#201294,.T.); #269255=ORIENTED_EDGE('',*,*,#201297,.T.); #269256=ORIENTED_EDGE('',*,*,#201300,.T.); #269257=ORIENTED_EDGE('',*,*,#201303,.T.); #269258=ORIENTED_EDGE('',*,*,#201306,.T.); #269259=ORIENTED_EDGE('',*,*,#201309,.T.); #269260=ORIENTED_EDGE('',*,*,#201312,.T.); #269261=ORIENTED_EDGE('',*,*,#201315,.T.); #269262=ORIENTED_EDGE('',*,*,#201318,.T.); #269263=ORIENTED_EDGE('',*,*,#201321,.T.); #269264=ORIENTED_EDGE('',*,*,#201692,.F.); #269265=ORIENTED_EDGE('',*,*,#201322,.F.); #269266=ORIENTED_EDGE('',*,*,#201326,.F.); #269267=ORIENTED_EDGE('',*,*,#201329,.F.); #269268=ORIENTED_EDGE('',*,*,#201332,.F.); #269269=ORIENTED_EDGE('',*,*,#201335,.F.); #269270=ORIENTED_EDGE('',*,*,#201338,.F.); #269271=ORIENTED_EDGE('',*,*,#201341,.F.); #269272=ORIENTED_EDGE('',*,*,#201344,.F.); #269273=ORIENTED_EDGE('',*,*,#201347,.F.); #269274=ORIENTED_EDGE('',*,*,#201350,.F.); #269275=ORIENTED_EDGE('',*,*,#201353,.F.); #269276=ORIENTED_EDGE('',*,*,#201356,.F.); #269277=ORIENTED_EDGE('',*,*,#201359,.F.); #269278=ORIENTED_EDGE('',*,*,#201362,.F.); #269279=ORIENTED_EDGE('',*,*,#201365,.F.); #269280=ORIENTED_EDGE('',*,*,#201368,.F.); #269281=ORIENTED_EDGE('',*,*,#201371,.F.); #269282=ORIENTED_EDGE('',*,*,#201374,.F.); #269283=ORIENTED_EDGE('',*,*,#201377,.F.); #269284=ORIENTED_EDGE('',*,*,#201380,.F.); #269285=ORIENTED_EDGE('',*,*,#201383,.F.); #269286=ORIENTED_EDGE('',*,*,#201386,.F.); #269287=ORIENTED_EDGE('',*,*,#201389,.F.); #269288=ORIENTED_EDGE('',*,*,#201392,.F.); #269289=ORIENTED_EDGE('',*,*,#201395,.F.); #269290=ORIENTED_EDGE('',*,*,#201398,.F.); #269291=ORIENTED_EDGE('',*,*,#201401,.F.); #269292=ORIENTED_EDGE('',*,*,#201404,.F.); #269293=ORIENTED_EDGE('',*,*,#201407,.F.); #269294=ORIENTED_EDGE('',*,*,#201410,.F.); #269295=ORIENTED_EDGE('',*,*,#201413,.F.); #269296=ORIENTED_EDGE('',*,*,#201416,.F.); #269297=ORIENTED_EDGE('',*,*,#201419,.F.); #269298=ORIENTED_EDGE('',*,*,#201422,.F.); #269299=ORIENTED_EDGE('',*,*,#201425,.F.); #269300=ORIENTED_EDGE('',*,*,#201428,.F.); #269301=ORIENTED_EDGE('',*,*,#201431,.F.); #269302=ORIENTED_EDGE('',*,*,#201434,.F.); #269303=ORIENTED_EDGE('',*,*,#201437,.F.); #269304=ORIENTED_EDGE('',*,*,#201440,.F.); #269305=ORIENTED_EDGE('',*,*,#201443,.F.); #269306=ORIENTED_EDGE('',*,*,#201446,.F.); #269307=ORIENTED_EDGE('',*,*,#201449,.F.); #269308=ORIENTED_EDGE('',*,*,#201452,.F.); #269309=ORIENTED_EDGE('',*,*,#201455,.F.); #269310=ORIENTED_EDGE('',*,*,#201458,.F.); #269311=ORIENTED_EDGE('',*,*,#201461,.F.); #269312=ORIENTED_EDGE('',*,*,#201464,.F.); #269313=ORIENTED_EDGE('',*,*,#201467,.F.); #269314=ORIENTED_EDGE('',*,*,#201470,.F.); #269315=ORIENTED_EDGE('',*,*,#201473,.F.); #269316=ORIENTED_EDGE('',*,*,#201476,.F.); #269317=ORIENTED_EDGE('',*,*,#201479,.F.); #269318=ORIENTED_EDGE('',*,*,#201482,.F.); #269319=ORIENTED_EDGE('',*,*,#201485,.F.); #269320=ORIENTED_EDGE('',*,*,#201488,.F.); #269321=ORIENTED_EDGE('',*,*,#201491,.F.); #269322=ORIENTED_EDGE('',*,*,#201494,.F.); #269323=ORIENTED_EDGE('',*,*,#201497,.F.); #269324=ORIENTED_EDGE('',*,*,#201500,.F.); #269325=ORIENTED_EDGE('',*,*,#201503,.F.); #269326=ORIENTED_EDGE('',*,*,#201506,.F.); #269327=ORIENTED_EDGE('',*,*,#201509,.F.); #269328=ORIENTED_EDGE('',*,*,#201512,.F.); #269329=ORIENTED_EDGE('',*,*,#201515,.F.); #269330=ORIENTED_EDGE('',*,*,#201518,.F.); #269331=ORIENTED_EDGE('',*,*,#201521,.F.); #269332=ORIENTED_EDGE('',*,*,#201524,.F.); #269333=ORIENTED_EDGE('',*,*,#201527,.F.); #269334=ORIENTED_EDGE('',*,*,#201530,.F.); #269335=ORIENTED_EDGE('',*,*,#201533,.F.); #269336=ORIENTED_EDGE('',*,*,#201536,.F.); #269337=ORIENTED_EDGE('',*,*,#201539,.F.); #269338=ORIENTED_EDGE('',*,*,#201542,.F.); #269339=ORIENTED_EDGE('',*,*,#201545,.F.); #269340=ORIENTED_EDGE('',*,*,#201548,.F.); #269341=ORIENTED_EDGE('',*,*,#201551,.F.); #269342=ORIENTED_EDGE('',*,*,#201554,.F.); #269343=ORIENTED_EDGE('',*,*,#201557,.F.); #269344=ORIENTED_EDGE('',*,*,#201560,.F.); #269345=ORIENTED_EDGE('',*,*,#201563,.F.); #269346=ORIENTED_EDGE('',*,*,#201566,.F.); #269347=ORIENTED_EDGE('',*,*,#201569,.F.); #269348=ORIENTED_EDGE('',*,*,#201572,.F.); #269349=ORIENTED_EDGE('',*,*,#201575,.F.); #269350=ORIENTED_EDGE('',*,*,#201578,.F.); #269351=ORIENTED_EDGE('',*,*,#201581,.F.); #269352=ORIENTED_EDGE('',*,*,#201584,.F.); #269353=ORIENTED_EDGE('',*,*,#201587,.F.); #269354=ORIENTED_EDGE('',*,*,#201590,.F.); #269355=ORIENTED_EDGE('',*,*,#201593,.F.); #269356=ORIENTED_EDGE('',*,*,#201596,.F.); #269357=ORIENTED_EDGE('',*,*,#201599,.F.); #269358=ORIENTED_EDGE('',*,*,#201602,.F.); #269359=ORIENTED_EDGE('',*,*,#201605,.F.); #269360=ORIENTED_EDGE('',*,*,#201608,.F.); #269361=ORIENTED_EDGE('',*,*,#201611,.F.); #269362=ORIENTED_EDGE('',*,*,#201614,.F.); #269363=ORIENTED_EDGE('',*,*,#201617,.F.); #269364=ORIENTED_EDGE('',*,*,#201620,.F.); #269365=ORIENTED_EDGE('',*,*,#201623,.F.); #269366=ORIENTED_EDGE('',*,*,#201626,.F.); #269367=ORIENTED_EDGE('',*,*,#201629,.F.); #269368=ORIENTED_EDGE('',*,*,#201632,.F.); #269369=ORIENTED_EDGE('',*,*,#201635,.F.); #269370=ORIENTED_EDGE('',*,*,#201638,.F.); #269371=ORIENTED_EDGE('',*,*,#201641,.F.); #269372=ORIENTED_EDGE('',*,*,#201644,.F.); #269373=ORIENTED_EDGE('',*,*,#201647,.F.); #269374=ORIENTED_EDGE('',*,*,#201650,.F.); #269375=ORIENTED_EDGE('',*,*,#201653,.F.); #269376=ORIENTED_EDGE('',*,*,#201656,.F.); #269377=ORIENTED_EDGE('',*,*,#201659,.F.); #269378=ORIENTED_EDGE('',*,*,#201662,.F.); #269379=ORIENTED_EDGE('',*,*,#201665,.F.); #269380=ORIENTED_EDGE('',*,*,#201668,.F.); #269381=ORIENTED_EDGE('',*,*,#201671,.F.); #269382=ORIENTED_EDGE('',*,*,#201674,.F.); #269383=ORIENTED_EDGE('',*,*,#201677,.F.); #269384=ORIENTED_EDGE('',*,*,#201680,.F.); #269385=ORIENTED_EDGE('',*,*,#201683,.F.); #269386=ORIENTED_EDGE('',*,*,#201686,.F.); #269387=ORIENTED_EDGE('',*,*,#201689,.F.); #269388=ORIENTED_EDGE('',*,*,#201289,.T.); #269389=ORIENTED_EDGE('',*,*,#201292,.T.); #269390=ORIENTED_EDGE('',*,*,#201295,.T.); #269391=ORIENTED_EDGE('',*,*,#201298,.T.); #269392=ORIENTED_EDGE('',*,*,#201301,.T.); #269393=ORIENTED_EDGE('',*,*,#201304,.T.); #269394=ORIENTED_EDGE('',*,*,#201307,.T.); #269395=ORIENTED_EDGE('',*,*,#201310,.T.); #269396=ORIENTED_EDGE('',*,*,#201313,.T.); #269397=ORIENTED_EDGE('',*,*,#201316,.T.); #269398=ORIENTED_EDGE('',*,*,#201319,.T.); #269399=ORIENTED_EDGE('',*,*,#201694,.F.); #269400=ORIENTED_EDGE('',*,*,#201695,.T.); #269401=ORIENTED_EDGE('',*,*,#201696,.F.); #269402=ORIENTED_EDGE('',*,*,#201695,.F.); #269403=ORIENTED_EDGE('',*,*,#201697,.F.); #269404=ORIENTED_EDGE('',*,*,#201698,.T.); #269405=ORIENTED_EDGE('',*,*,#201699,.F.); #269406=ORIENTED_EDGE('',*,*,#201698,.F.); #269407=ORIENTED_EDGE('',*,*,#201700,.F.); #269408=ORIENTED_EDGE('',*,*,#201701,.T.); #269409=ORIENTED_EDGE('',*,*,#201702,.F.); #269410=ORIENTED_EDGE('',*,*,#201701,.F.); #269411=ORIENTED_EDGE('',*,*,#201703,.F.); #269412=ORIENTED_EDGE('',*,*,#201704,.T.); #269413=ORIENTED_EDGE('',*,*,#201705,.F.); #269414=ORIENTED_EDGE('',*,*,#201704,.F.); #269415=ORIENTED_EDGE('',*,*,#201706,.F.); #269416=ORIENTED_EDGE('',*,*,#201707,.T.); #269417=ORIENTED_EDGE('',*,*,#201708,.F.); #269418=ORIENTED_EDGE('',*,*,#201707,.F.); #269419=ORIENTED_EDGE('',*,*,#201709,.F.); #269420=ORIENTED_EDGE('',*,*,#201710,.T.); #269421=ORIENTED_EDGE('',*,*,#201711,.F.); #269422=ORIENTED_EDGE('',*,*,#201710,.F.); #269423=ORIENTED_EDGE('',*,*,#201712,.F.); #269424=ORIENTED_EDGE('',*,*,#201713,.T.); #269425=ORIENTED_EDGE('',*,*,#201714,.F.); #269426=ORIENTED_EDGE('',*,*,#201713,.F.); #269427=ORIENTED_EDGE('',*,*,#201715,.F.); #269428=ORIENTED_EDGE('',*,*,#201716,.T.); #269429=ORIENTED_EDGE('',*,*,#201717,.F.); #269430=ORIENTED_EDGE('',*,*,#201716,.F.); #269431=ORIENTED_EDGE('',*,*,#201718,.F.); #269432=ORIENTED_EDGE('',*,*,#201719,.T.); #269433=ORIENTED_EDGE('',*,*,#201720,.F.); #269434=ORIENTED_EDGE('',*,*,#201719,.F.); #269435=ORIENTED_EDGE('',*,*,#201721,.F.); #269436=ORIENTED_EDGE('',*,*,#201722,.T.); #269437=ORIENTED_EDGE('',*,*,#201723,.F.); #269438=ORIENTED_EDGE('',*,*,#201722,.F.); #269439=ORIENTED_EDGE('',*,*,#201724,.F.); #269440=ORIENTED_EDGE('',*,*,#201725,.T.); #269441=ORIENTED_EDGE('',*,*,#201726,.F.); #269442=ORIENTED_EDGE('',*,*,#201725,.F.); #269443=ORIENTED_EDGE('',*,*,#201727,.F.); #269444=ORIENTED_EDGE('',*,*,#201728,.T.); #269445=ORIENTED_EDGE('',*,*,#201729,.F.); #269446=ORIENTED_EDGE('',*,*,#201728,.F.); #269447=ORIENTED_EDGE('',*,*,#201730,.F.); #269448=ORIENTED_EDGE('',*,*,#201731,.T.); #269449=ORIENTED_EDGE('',*,*,#201732,.F.); #269450=ORIENTED_EDGE('',*,*,#201731,.F.); #269451=ORIENTED_EDGE('',*,*,#201733,.F.); #269452=ORIENTED_EDGE('',*,*,#201734,.T.); #269453=ORIENTED_EDGE('',*,*,#201735,.F.); #269454=ORIENTED_EDGE('',*,*,#201734,.F.); #269455=ORIENTED_EDGE('',*,*,#201736,.F.); #269456=ORIENTED_EDGE('',*,*,#201737,.T.); #269457=ORIENTED_EDGE('',*,*,#201738,.F.); #269458=ORIENTED_EDGE('',*,*,#201737,.F.); #269459=ORIENTED_EDGE('',*,*,#201739,.F.); #269460=ORIENTED_EDGE('',*,*,#201740,.T.); #269461=ORIENTED_EDGE('',*,*,#201741,.F.); #269462=ORIENTED_EDGE('',*,*,#201740,.F.); #269463=ORIENTED_EDGE('',*,*,#201742,.F.); #269464=ORIENTED_EDGE('',*,*,#201743,.T.); #269465=ORIENTED_EDGE('',*,*,#201744,.F.); #269466=ORIENTED_EDGE('',*,*,#201743,.F.); #269467=ORIENTED_EDGE('',*,*,#201745,.F.); #269468=ORIENTED_EDGE('',*,*,#201746,.T.); #269469=ORIENTED_EDGE('',*,*,#201747,.F.); #269470=ORIENTED_EDGE('',*,*,#201746,.F.); #269471=ORIENTED_EDGE('',*,*,#201748,.F.); #269472=ORIENTED_EDGE('',*,*,#201749,.T.); #269473=ORIENTED_EDGE('',*,*,#201750,.F.); #269474=ORIENTED_EDGE('',*,*,#201749,.F.); #269475=ORIENTED_EDGE('',*,*,#201751,.F.); #269476=ORIENTED_EDGE('',*,*,#201752,.T.); #269477=ORIENTED_EDGE('',*,*,#201753,.F.); #269478=ORIENTED_EDGE('',*,*,#201752,.F.); #269479=ORIENTED_EDGE('',*,*,#201754,.F.); #269480=ORIENTED_EDGE('',*,*,#201755,.T.); #269481=ORIENTED_EDGE('',*,*,#201756,.F.); #269482=ORIENTED_EDGE('',*,*,#201755,.F.); #269483=ORIENTED_EDGE('',*,*,#201757,.F.); #269484=ORIENTED_EDGE('',*,*,#201758,.T.); #269485=ORIENTED_EDGE('',*,*,#201759,.F.); #269486=ORIENTED_EDGE('',*,*,#201758,.F.); #269487=ORIENTED_EDGE('',*,*,#201760,.F.); #269488=ORIENTED_EDGE('',*,*,#201761,.T.); #269489=ORIENTED_EDGE('',*,*,#201762,.F.); #269490=ORIENTED_EDGE('',*,*,#201761,.F.); #269491=ORIENTED_EDGE('',*,*,#201763,.F.); #269492=ORIENTED_EDGE('',*,*,#201764,.T.); #269493=ORIENTED_EDGE('',*,*,#201765,.F.); #269494=ORIENTED_EDGE('',*,*,#201764,.F.); #269495=ORIENTED_EDGE('',*,*,#201766,.F.); #269496=ORIENTED_EDGE('',*,*,#201767,.T.); #269497=ORIENTED_EDGE('',*,*,#201768,.F.); #269498=ORIENTED_EDGE('',*,*,#201767,.F.); #269499=ORIENTED_EDGE('',*,*,#201769,.F.); #269500=ORIENTED_EDGE('',*,*,#201770,.T.); #269501=ORIENTED_EDGE('',*,*,#201771,.F.); #269502=ORIENTED_EDGE('',*,*,#201770,.F.); #269503=ORIENTED_EDGE('',*,*,#201772,.F.); #269504=ORIENTED_EDGE('',*,*,#201773,.T.); #269505=ORIENTED_EDGE('',*,*,#201774,.F.); #269506=ORIENTED_EDGE('',*,*,#201773,.F.); #269507=ORIENTED_EDGE('',*,*,#201775,.F.); #269508=ORIENTED_EDGE('',*,*,#201776,.T.); #269509=ORIENTED_EDGE('',*,*,#201777,.F.); #269510=ORIENTED_EDGE('',*,*,#201776,.F.); #269511=ORIENTED_EDGE('',*,*,#201778,.F.); #269512=ORIENTED_EDGE('',*,*,#201779,.T.); #269513=ORIENTED_EDGE('',*,*,#201780,.F.); #269514=ORIENTED_EDGE('',*,*,#201779,.F.); #269515=ORIENTED_EDGE('',*,*,#201781,.F.); #269516=ORIENTED_EDGE('',*,*,#201782,.T.); #269517=ORIENTED_EDGE('',*,*,#201783,.F.); #269518=ORIENTED_EDGE('',*,*,#201782,.F.); #269519=ORIENTED_EDGE('',*,*,#201784,.F.); #269520=ORIENTED_EDGE('',*,*,#201785,.T.); #269521=ORIENTED_EDGE('',*,*,#201786,.F.); #269522=ORIENTED_EDGE('',*,*,#201785,.F.); #269523=ORIENTED_EDGE('',*,*,#201787,.T.); #269524=ORIENTED_EDGE('',*,*,#201788,.T.); #269525=ORIENTED_EDGE('',*,*,#201789,.F.); #269526=ORIENTED_EDGE('',*,*,#201790,.F.); #269527=ORIENTED_EDGE('',*,*,#201791,.T.); #269528=ORIENTED_EDGE('',*,*,#201790,.T.); #269529=ORIENTED_EDGE('',*,*,#201792,.F.); #269530=ORIENTED_EDGE('',*,*,#201793,.F.); #269531=ORIENTED_EDGE('',*,*,#201794,.T.); #269532=ORIENTED_EDGE('',*,*,#201793,.T.); #269533=ORIENTED_EDGE('',*,*,#201795,.F.); #269534=ORIENTED_EDGE('',*,*,#201796,.F.); #269535=ORIENTED_EDGE('',*,*,#201797,.T.); #269536=ORIENTED_EDGE('',*,*,#201796,.T.); #269537=ORIENTED_EDGE('',*,*,#201798,.F.); #269538=ORIENTED_EDGE('',*,*,#201799,.F.); #269539=ORIENTED_EDGE('',*,*,#201800,.T.); #269540=ORIENTED_EDGE('',*,*,#201799,.T.); #269541=ORIENTED_EDGE('',*,*,#201801,.F.); #269542=ORIENTED_EDGE('',*,*,#201802,.F.); #269543=ORIENTED_EDGE('',*,*,#201803,.T.); #269544=ORIENTED_EDGE('',*,*,#201802,.T.); #269545=ORIENTED_EDGE('',*,*,#201804,.F.); #269546=ORIENTED_EDGE('',*,*,#201805,.F.); #269547=ORIENTED_EDGE('',*,*,#201806,.T.); #269548=ORIENTED_EDGE('',*,*,#201805,.T.); #269549=ORIENTED_EDGE('',*,*,#201807,.F.); #269550=ORIENTED_EDGE('',*,*,#201808,.F.); #269551=ORIENTED_EDGE('',*,*,#201809,.T.); #269552=ORIENTED_EDGE('',*,*,#201808,.T.); #269553=ORIENTED_EDGE('',*,*,#201810,.F.); #269554=ORIENTED_EDGE('',*,*,#201811,.F.); #269555=ORIENTED_EDGE('',*,*,#201812,.T.); #269556=ORIENTED_EDGE('',*,*,#201811,.T.); #269557=ORIENTED_EDGE('',*,*,#201813,.F.); #269558=ORIENTED_EDGE('',*,*,#201814,.F.); #269559=ORIENTED_EDGE('',*,*,#201815,.T.); #269560=ORIENTED_EDGE('',*,*,#201814,.T.); #269561=ORIENTED_EDGE('',*,*,#201816,.F.); #269562=ORIENTED_EDGE('',*,*,#201817,.F.); #269563=ORIENTED_EDGE('',*,*,#201818,.T.); #269564=ORIENTED_EDGE('',*,*,#201817,.T.); #269565=ORIENTED_EDGE('',*,*,#201819,.F.); #269566=ORIENTED_EDGE('',*,*,#201820,.F.); #269567=ORIENTED_EDGE('',*,*,#201821,.T.); #269568=ORIENTED_EDGE('',*,*,#201820,.T.); #269569=ORIENTED_EDGE('',*,*,#201822,.F.); #269570=ORIENTED_EDGE('',*,*,#201823,.F.); #269571=ORIENTED_EDGE('',*,*,#201824,.T.); #269572=ORIENTED_EDGE('',*,*,#201823,.T.); #269573=ORIENTED_EDGE('',*,*,#201825,.F.); #269574=ORIENTED_EDGE('',*,*,#201826,.F.); #269575=ORIENTED_EDGE('',*,*,#201827,.T.); #269576=ORIENTED_EDGE('',*,*,#201826,.T.); #269577=ORIENTED_EDGE('',*,*,#201828,.F.); #269578=ORIENTED_EDGE('',*,*,#201829,.F.); #269579=ORIENTED_EDGE('',*,*,#201830,.T.); #269580=ORIENTED_EDGE('',*,*,#201829,.T.); #269581=ORIENTED_EDGE('',*,*,#201831,.F.); #269582=ORIENTED_EDGE('',*,*,#201832,.F.); #269583=ORIENTED_EDGE('',*,*,#201833,.T.); #269584=ORIENTED_EDGE('',*,*,#201832,.T.); #269585=ORIENTED_EDGE('',*,*,#201834,.F.); #269586=ORIENTED_EDGE('',*,*,#201835,.F.); #269587=ORIENTED_EDGE('',*,*,#201836,.T.); #269588=ORIENTED_EDGE('',*,*,#201835,.T.); #269589=ORIENTED_EDGE('',*,*,#201837,.F.); #269590=ORIENTED_EDGE('',*,*,#201838,.F.); #269591=ORIENTED_EDGE('',*,*,#201839,.T.); #269592=ORIENTED_EDGE('',*,*,#201838,.T.); #269593=ORIENTED_EDGE('',*,*,#201840,.F.); #269594=ORIENTED_EDGE('',*,*,#201841,.F.); #269595=ORIENTED_EDGE('',*,*,#201842,.T.); #269596=ORIENTED_EDGE('',*,*,#201841,.T.); #269597=ORIENTED_EDGE('',*,*,#201843,.F.); #269598=ORIENTED_EDGE('',*,*,#201844,.F.); #269599=ORIENTED_EDGE('',*,*,#201845,.T.); #269600=ORIENTED_EDGE('',*,*,#201844,.T.); #269601=ORIENTED_EDGE('',*,*,#201846,.F.); #269602=ORIENTED_EDGE('',*,*,#201847,.F.); #269603=ORIENTED_EDGE('',*,*,#201848,.T.); #269604=ORIENTED_EDGE('',*,*,#201847,.T.); #269605=ORIENTED_EDGE('',*,*,#201849,.F.); #269606=ORIENTED_EDGE('',*,*,#201850,.F.); #269607=ORIENTED_EDGE('',*,*,#201851,.T.); #269608=ORIENTED_EDGE('',*,*,#201850,.T.); #269609=ORIENTED_EDGE('',*,*,#201852,.F.); #269610=ORIENTED_EDGE('',*,*,#201853,.F.); #269611=ORIENTED_EDGE('',*,*,#201854,.T.); #269612=ORIENTED_EDGE('',*,*,#201853,.T.); #269613=ORIENTED_EDGE('',*,*,#201855,.F.); #269614=ORIENTED_EDGE('',*,*,#201856,.F.); #269615=ORIENTED_EDGE('',*,*,#201857,.T.); #269616=ORIENTED_EDGE('',*,*,#201856,.T.); #269617=ORIENTED_EDGE('',*,*,#201858,.F.); #269618=ORIENTED_EDGE('',*,*,#201859,.F.); #269619=ORIENTED_EDGE('',*,*,#201860,.T.); #269620=ORIENTED_EDGE('',*,*,#201859,.T.); #269621=ORIENTED_EDGE('',*,*,#201861,.F.); #269622=ORIENTED_EDGE('',*,*,#201862,.F.); #269623=ORIENTED_EDGE('',*,*,#201863,.T.); #269624=ORIENTED_EDGE('',*,*,#201862,.T.); #269625=ORIENTED_EDGE('',*,*,#201864,.F.); #269626=ORIENTED_EDGE('',*,*,#201865,.F.); #269627=ORIENTED_EDGE('',*,*,#201866,.T.); #269628=ORIENTED_EDGE('',*,*,#201865,.T.); #269629=ORIENTED_EDGE('',*,*,#201867,.F.); #269630=ORIENTED_EDGE('',*,*,#201868,.F.); #269631=ORIENTED_EDGE('',*,*,#201869,.T.); #269632=ORIENTED_EDGE('',*,*,#201868,.T.); #269633=ORIENTED_EDGE('',*,*,#201870,.F.); #269634=ORIENTED_EDGE('',*,*,#201871,.F.); #269635=ORIENTED_EDGE('',*,*,#201872,.T.); #269636=ORIENTED_EDGE('',*,*,#201871,.T.); #269637=ORIENTED_EDGE('',*,*,#201873,.F.); #269638=ORIENTED_EDGE('',*,*,#201874,.F.); #269639=ORIENTED_EDGE('',*,*,#201875,.T.); #269640=ORIENTED_EDGE('',*,*,#201874,.T.); #269641=ORIENTED_EDGE('',*,*,#201876,.F.); #269642=ORIENTED_EDGE('',*,*,#201877,.F.); #269643=ORIENTED_EDGE('',*,*,#201878,.T.); #269644=ORIENTED_EDGE('',*,*,#201877,.T.); #269645=ORIENTED_EDGE('',*,*,#201879,.F.); #269646=ORIENTED_EDGE('',*,*,#201880,.F.); #269647=ORIENTED_EDGE('',*,*,#201881,.T.); #269648=ORIENTED_EDGE('',*,*,#201880,.T.); #269649=ORIENTED_EDGE('',*,*,#201882,.F.); #269650=ORIENTED_EDGE('',*,*,#201883,.F.); #269651=ORIENTED_EDGE('',*,*,#201884,.T.); #269652=ORIENTED_EDGE('',*,*,#201883,.T.); #269653=ORIENTED_EDGE('',*,*,#201885,.F.); #269654=ORIENTED_EDGE('',*,*,#201886,.F.); #269655=ORIENTED_EDGE('',*,*,#201887,.T.); #269656=ORIENTED_EDGE('',*,*,#201886,.T.); #269657=ORIENTED_EDGE('',*,*,#201888,.F.); #269658=ORIENTED_EDGE('',*,*,#201889,.F.); #269659=ORIENTED_EDGE('',*,*,#201890,.T.); #269660=ORIENTED_EDGE('',*,*,#201889,.T.); #269661=ORIENTED_EDGE('',*,*,#201891,.F.); #269662=ORIENTED_EDGE('',*,*,#201892,.F.); #269663=ORIENTED_EDGE('',*,*,#201893,.T.); #269664=ORIENTED_EDGE('',*,*,#201892,.T.); #269665=ORIENTED_EDGE('',*,*,#201894,.F.); #269666=ORIENTED_EDGE('',*,*,#201895,.F.); #269667=ORIENTED_EDGE('',*,*,#201896,.T.); #269668=ORIENTED_EDGE('',*,*,#201895,.T.); #269669=ORIENTED_EDGE('',*,*,#201897,.F.); #269670=ORIENTED_EDGE('',*,*,#201898,.F.); #269671=ORIENTED_EDGE('',*,*,#201899,.T.); #269672=ORIENTED_EDGE('',*,*,#201898,.T.); #269673=ORIENTED_EDGE('',*,*,#201900,.F.); #269674=ORIENTED_EDGE('',*,*,#201901,.F.); #269675=ORIENTED_EDGE('',*,*,#201902,.T.); #269676=ORIENTED_EDGE('',*,*,#201901,.T.); #269677=ORIENTED_EDGE('',*,*,#201903,.F.); #269678=ORIENTED_EDGE('',*,*,#201904,.F.); #269679=ORIENTED_EDGE('',*,*,#201905,.T.); #269680=ORIENTED_EDGE('',*,*,#201904,.T.); #269681=ORIENTED_EDGE('',*,*,#201906,.F.); #269682=ORIENTED_EDGE('',*,*,#201907,.F.); #269683=ORIENTED_EDGE('',*,*,#201908,.T.); #269684=ORIENTED_EDGE('',*,*,#201907,.T.); #269685=ORIENTED_EDGE('',*,*,#201909,.F.); #269686=ORIENTED_EDGE('',*,*,#201910,.F.); #269687=ORIENTED_EDGE('',*,*,#201911,.T.); #269688=ORIENTED_EDGE('',*,*,#201910,.T.); #269689=ORIENTED_EDGE('',*,*,#201912,.F.); #269690=ORIENTED_EDGE('',*,*,#201913,.F.); #269691=ORIENTED_EDGE('',*,*,#201914,.T.); #269692=ORIENTED_EDGE('',*,*,#201913,.T.); #269693=ORIENTED_EDGE('',*,*,#201915,.F.); #269694=ORIENTED_EDGE('',*,*,#201916,.F.); #269695=ORIENTED_EDGE('',*,*,#201917,.T.); #269696=ORIENTED_EDGE('',*,*,#201916,.T.); #269697=ORIENTED_EDGE('',*,*,#201918,.F.); #269698=ORIENTED_EDGE('',*,*,#201919,.F.); #269699=ORIENTED_EDGE('',*,*,#201920,.T.); #269700=ORIENTED_EDGE('',*,*,#201919,.T.); #269701=ORIENTED_EDGE('',*,*,#201921,.F.); #269702=ORIENTED_EDGE('',*,*,#201922,.F.); #269703=ORIENTED_EDGE('',*,*,#201923,.T.); #269704=ORIENTED_EDGE('',*,*,#201922,.T.); #269705=ORIENTED_EDGE('',*,*,#201924,.F.); #269706=ORIENTED_EDGE('',*,*,#201925,.F.); #269707=ORIENTED_EDGE('',*,*,#201926,.T.); #269708=ORIENTED_EDGE('',*,*,#201925,.T.); #269709=ORIENTED_EDGE('',*,*,#201927,.F.); #269710=ORIENTED_EDGE('',*,*,#201928,.F.); #269711=ORIENTED_EDGE('',*,*,#201929,.T.); #269712=ORIENTED_EDGE('',*,*,#201928,.T.); #269713=ORIENTED_EDGE('',*,*,#201930,.F.); #269714=ORIENTED_EDGE('',*,*,#201931,.F.); #269715=ORIENTED_EDGE('',*,*,#201932,.T.); #269716=ORIENTED_EDGE('',*,*,#201931,.T.); #269717=ORIENTED_EDGE('',*,*,#201933,.F.); #269718=ORIENTED_EDGE('',*,*,#201934,.F.); #269719=ORIENTED_EDGE('',*,*,#201935,.T.); #269720=ORIENTED_EDGE('',*,*,#201934,.T.); #269721=ORIENTED_EDGE('',*,*,#201936,.F.); #269722=ORIENTED_EDGE('',*,*,#201937,.F.); #269723=ORIENTED_EDGE('',*,*,#201938,.T.); #269724=ORIENTED_EDGE('',*,*,#201937,.T.); #269725=ORIENTED_EDGE('',*,*,#201939,.F.); #269726=ORIENTED_EDGE('',*,*,#201940,.F.); #269727=ORIENTED_EDGE('',*,*,#201941,.T.); #269728=ORIENTED_EDGE('',*,*,#201940,.T.); #269729=ORIENTED_EDGE('',*,*,#201942,.F.); #269730=ORIENTED_EDGE('',*,*,#201943,.F.); #269731=ORIENTED_EDGE('',*,*,#201944,.T.); #269732=ORIENTED_EDGE('',*,*,#201943,.T.); #269733=ORIENTED_EDGE('',*,*,#201945,.F.); #269734=ORIENTED_EDGE('',*,*,#201946,.F.); #269735=ORIENTED_EDGE('',*,*,#201947,.T.); #269736=ORIENTED_EDGE('',*,*,#201946,.T.); #269737=ORIENTED_EDGE('',*,*,#201948,.F.); #269738=ORIENTED_EDGE('',*,*,#201949,.F.); #269739=ORIENTED_EDGE('',*,*,#201950,.T.); #269740=ORIENTED_EDGE('',*,*,#201949,.T.); #269741=ORIENTED_EDGE('',*,*,#201951,.F.); #269742=ORIENTED_EDGE('',*,*,#201952,.F.); #269743=ORIENTED_EDGE('',*,*,#201953,.T.); #269744=ORIENTED_EDGE('',*,*,#201952,.T.); #269745=ORIENTED_EDGE('',*,*,#201954,.F.); #269746=ORIENTED_EDGE('',*,*,#201955,.F.); #269747=ORIENTED_EDGE('',*,*,#201956,.T.); #269748=ORIENTED_EDGE('',*,*,#201955,.T.); #269749=ORIENTED_EDGE('',*,*,#201957,.F.); #269750=ORIENTED_EDGE('',*,*,#201958,.F.); #269751=ORIENTED_EDGE('',*,*,#201959,.T.); #269752=ORIENTED_EDGE('',*,*,#201958,.T.); #269753=ORIENTED_EDGE('',*,*,#201960,.F.); #269754=ORIENTED_EDGE('',*,*,#201961,.F.); #269755=ORIENTED_EDGE('',*,*,#201962,.T.); #269756=ORIENTED_EDGE('',*,*,#201961,.T.); #269757=ORIENTED_EDGE('',*,*,#201963,.F.); #269758=ORIENTED_EDGE('',*,*,#201964,.F.); #269759=ORIENTED_EDGE('',*,*,#201965,.T.); #269760=ORIENTED_EDGE('',*,*,#201964,.T.); #269761=ORIENTED_EDGE('',*,*,#201966,.F.); #269762=ORIENTED_EDGE('',*,*,#201967,.F.); #269763=ORIENTED_EDGE('',*,*,#201968,.T.); #269764=ORIENTED_EDGE('',*,*,#201967,.T.); #269765=ORIENTED_EDGE('',*,*,#201969,.F.); #269766=ORIENTED_EDGE('',*,*,#201970,.F.); #269767=ORIENTED_EDGE('',*,*,#201971,.T.); #269768=ORIENTED_EDGE('',*,*,#201970,.T.); #269769=ORIENTED_EDGE('',*,*,#201972,.F.); #269770=ORIENTED_EDGE('',*,*,#201973,.F.); #269771=ORIENTED_EDGE('',*,*,#201974,.T.); #269772=ORIENTED_EDGE('',*,*,#201973,.T.); #269773=ORIENTED_EDGE('',*,*,#201975,.F.); #269774=ORIENTED_EDGE('',*,*,#201976,.F.); #269775=ORIENTED_EDGE('',*,*,#201977,.T.); #269776=ORIENTED_EDGE('',*,*,#201976,.T.); #269777=ORIENTED_EDGE('',*,*,#201978,.F.); #269778=ORIENTED_EDGE('',*,*,#201979,.F.); #269779=ORIENTED_EDGE('',*,*,#201980,.T.); #269780=ORIENTED_EDGE('',*,*,#201979,.T.); #269781=ORIENTED_EDGE('',*,*,#201981,.F.); #269782=ORIENTED_EDGE('',*,*,#201982,.F.); #269783=ORIENTED_EDGE('',*,*,#201983,.T.); #269784=ORIENTED_EDGE('',*,*,#201982,.T.); #269785=ORIENTED_EDGE('',*,*,#201984,.F.); #269786=ORIENTED_EDGE('',*,*,#201985,.F.); #269787=ORIENTED_EDGE('',*,*,#201986,.T.); #269788=ORIENTED_EDGE('',*,*,#201985,.T.); #269789=ORIENTED_EDGE('',*,*,#201987,.F.); #269790=ORIENTED_EDGE('',*,*,#201988,.F.); #269791=ORIENTED_EDGE('',*,*,#201989,.T.); #269792=ORIENTED_EDGE('',*,*,#201988,.T.); #269793=ORIENTED_EDGE('',*,*,#201990,.F.); #269794=ORIENTED_EDGE('',*,*,#201991,.F.); #269795=ORIENTED_EDGE('',*,*,#201992,.T.); #269796=ORIENTED_EDGE('',*,*,#201991,.T.); #269797=ORIENTED_EDGE('',*,*,#201993,.F.); #269798=ORIENTED_EDGE('',*,*,#201994,.F.); #269799=ORIENTED_EDGE('',*,*,#201995,.T.); #269800=ORIENTED_EDGE('',*,*,#201994,.T.); #269801=ORIENTED_EDGE('',*,*,#201996,.F.); #269802=ORIENTED_EDGE('',*,*,#201997,.F.); #269803=ORIENTED_EDGE('',*,*,#201998,.T.); #269804=ORIENTED_EDGE('',*,*,#201997,.T.); #269805=ORIENTED_EDGE('',*,*,#201999,.F.); #269806=ORIENTED_EDGE('',*,*,#202000,.F.); #269807=ORIENTED_EDGE('',*,*,#202001,.T.); #269808=ORIENTED_EDGE('',*,*,#202000,.T.); #269809=ORIENTED_EDGE('',*,*,#202002,.F.); #269810=ORIENTED_EDGE('',*,*,#202003,.F.); #269811=ORIENTED_EDGE('',*,*,#202004,.T.); #269812=ORIENTED_EDGE('',*,*,#202003,.T.); #269813=ORIENTED_EDGE('',*,*,#202005,.F.); #269814=ORIENTED_EDGE('',*,*,#202006,.F.); #269815=ORIENTED_EDGE('',*,*,#202007,.T.); #269816=ORIENTED_EDGE('',*,*,#202006,.T.); #269817=ORIENTED_EDGE('',*,*,#202008,.F.); #269818=ORIENTED_EDGE('',*,*,#202009,.F.); #269819=ORIENTED_EDGE('',*,*,#202010,.T.); #269820=ORIENTED_EDGE('',*,*,#202009,.T.); #269821=ORIENTED_EDGE('',*,*,#202011,.F.); #269822=ORIENTED_EDGE('',*,*,#202012,.F.); #269823=ORIENTED_EDGE('',*,*,#202013,.T.); #269824=ORIENTED_EDGE('',*,*,#202012,.T.); #269825=ORIENTED_EDGE('',*,*,#202014,.F.); #269826=ORIENTED_EDGE('',*,*,#202015,.F.); #269827=ORIENTED_EDGE('',*,*,#202016,.T.); #269828=ORIENTED_EDGE('',*,*,#202015,.T.); #269829=ORIENTED_EDGE('',*,*,#202017,.F.); #269830=ORIENTED_EDGE('',*,*,#202018,.F.); #269831=ORIENTED_EDGE('',*,*,#202019,.T.); #269832=ORIENTED_EDGE('',*,*,#202018,.T.); #269833=ORIENTED_EDGE('',*,*,#202020,.F.); #269834=ORIENTED_EDGE('',*,*,#202021,.F.); #269835=ORIENTED_EDGE('',*,*,#202022,.T.); #269836=ORIENTED_EDGE('',*,*,#202021,.T.); #269837=ORIENTED_EDGE('',*,*,#202023,.F.); #269838=ORIENTED_EDGE('',*,*,#202024,.F.); #269839=ORIENTED_EDGE('',*,*,#202025,.T.); #269840=ORIENTED_EDGE('',*,*,#202024,.T.); #269841=ORIENTED_EDGE('',*,*,#202026,.F.); #269842=ORIENTED_EDGE('',*,*,#201788,.F.); #269843=ORIENTED_EDGE('',*,*,#202026,.T.); #269844=ORIENTED_EDGE('',*,*,#202023,.T.); #269845=ORIENTED_EDGE('',*,*,#202020,.T.); #269846=ORIENTED_EDGE('',*,*,#202017,.T.); #269847=ORIENTED_EDGE('',*,*,#202014,.T.); #269848=ORIENTED_EDGE('',*,*,#202011,.T.); #269849=ORIENTED_EDGE('',*,*,#202008,.T.); #269850=ORIENTED_EDGE('',*,*,#202005,.T.); #269851=ORIENTED_EDGE('',*,*,#202002,.T.); #269852=ORIENTED_EDGE('',*,*,#201999,.T.); #269853=ORIENTED_EDGE('',*,*,#201996,.T.); #269854=ORIENTED_EDGE('',*,*,#201993,.T.); #269855=ORIENTED_EDGE('',*,*,#201990,.T.); #269856=ORIENTED_EDGE('',*,*,#201987,.T.); #269857=ORIENTED_EDGE('',*,*,#201984,.T.); #269858=ORIENTED_EDGE('',*,*,#201981,.T.); #269859=ORIENTED_EDGE('',*,*,#201978,.T.); #269860=ORIENTED_EDGE('',*,*,#201975,.T.); #269861=ORIENTED_EDGE('',*,*,#201972,.T.); #269862=ORIENTED_EDGE('',*,*,#201969,.T.); #269863=ORIENTED_EDGE('',*,*,#201966,.T.); #269864=ORIENTED_EDGE('',*,*,#201963,.T.); #269865=ORIENTED_EDGE('',*,*,#201960,.T.); #269866=ORIENTED_EDGE('',*,*,#201957,.T.); #269867=ORIENTED_EDGE('',*,*,#201954,.T.); #269868=ORIENTED_EDGE('',*,*,#201951,.T.); #269869=ORIENTED_EDGE('',*,*,#201948,.T.); #269870=ORIENTED_EDGE('',*,*,#201945,.T.); #269871=ORIENTED_EDGE('',*,*,#201942,.T.); #269872=ORIENTED_EDGE('',*,*,#201939,.T.); #269873=ORIENTED_EDGE('',*,*,#201936,.T.); #269874=ORIENTED_EDGE('',*,*,#201933,.T.); #269875=ORIENTED_EDGE('',*,*,#201930,.T.); #269876=ORIENTED_EDGE('',*,*,#201927,.T.); #269877=ORIENTED_EDGE('',*,*,#201924,.T.); #269878=ORIENTED_EDGE('',*,*,#201921,.T.); #269879=ORIENTED_EDGE('',*,*,#201918,.T.); #269880=ORIENTED_EDGE('',*,*,#201915,.T.); #269881=ORIENTED_EDGE('',*,*,#201912,.T.); #269882=ORIENTED_EDGE('',*,*,#201909,.T.); #269883=ORIENTED_EDGE('',*,*,#201906,.T.); #269884=ORIENTED_EDGE('',*,*,#201903,.T.); #269885=ORIENTED_EDGE('',*,*,#201900,.T.); #269886=ORIENTED_EDGE('',*,*,#201897,.T.); #269887=ORIENTED_EDGE('',*,*,#201894,.T.); #269888=ORIENTED_EDGE('',*,*,#201891,.T.); #269889=ORIENTED_EDGE('',*,*,#201888,.T.); #269890=ORIENTED_EDGE('',*,*,#201885,.T.); #269891=ORIENTED_EDGE('',*,*,#201882,.T.); #269892=ORIENTED_EDGE('',*,*,#201879,.T.); #269893=ORIENTED_EDGE('',*,*,#201876,.T.); #269894=ORIENTED_EDGE('',*,*,#201873,.T.); #269895=ORIENTED_EDGE('',*,*,#201870,.T.); #269896=ORIENTED_EDGE('',*,*,#201867,.T.); #269897=ORIENTED_EDGE('',*,*,#201864,.T.); #269898=ORIENTED_EDGE('',*,*,#201861,.T.); #269899=ORIENTED_EDGE('',*,*,#201858,.T.); #269900=ORIENTED_EDGE('',*,*,#201855,.T.); #269901=ORIENTED_EDGE('',*,*,#201852,.T.); #269902=ORIENTED_EDGE('',*,*,#201849,.T.); #269903=ORIENTED_EDGE('',*,*,#201846,.T.); #269904=ORIENTED_EDGE('',*,*,#201843,.T.); #269905=ORIENTED_EDGE('',*,*,#201840,.T.); #269906=ORIENTED_EDGE('',*,*,#201837,.T.); #269907=ORIENTED_EDGE('',*,*,#201834,.T.); #269908=ORIENTED_EDGE('',*,*,#201831,.T.); #269909=ORIENTED_EDGE('',*,*,#201828,.T.); #269910=ORIENTED_EDGE('',*,*,#201825,.T.); #269911=ORIENTED_EDGE('',*,*,#201822,.T.); #269912=ORIENTED_EDGE('',*,*,#201819,.T.); #269913=ORIENTED_EDGE('',*,*,#201816,.T.); #269914=ORIENTED_EDGE('',*,*,#201813,.T.); #269915=ORIENTED_EDGE('',*,*,#201810,.T.); #269916=ORIENTED_EDGE('',*,*,#201807,.T.); #269917=ORIENTED_EDGE('',*,*,#201804,.T.); #269918=ORIENTED_EDGE('',*,*,#201801,.T.); #269919=ORIENTED_EDGE('',*,*,#201798,.T.); #269920=ORIENTED_EDGE('',*,*,#201795,.T.); #269921=ORIENTED_EDGE('',*,*,#201792,.T.); #269922=ORIENTED_EDGE('',*,*,#201789,.T.); #269923=ORIENTED_EDGE('',*,*,#201696,.T.); #269924=ORIENTED_EDGE('',*,*,#201699,.T.); #269925=ORIENTED_EDGE('',*,*,#201702,.T.); #269926=ORIENTED_EDGE('',*,*,#201705,.T.); #269927=ORIENTED_EDGE('',*,*,#201708,.T.); #269928=ORIENTED_EDGE('',*,*,#201711,.T.); #269929=ORIENTED_EDGE('',*,*,#201714,.T.); #269930=ORIENTED_EDGE('',*,*,#201717,.T.); #269931=ORIENTED_EDGE('',*,*,#201720,.T.); #269932=ORIENTED_EDGE('',*,*,#201723,.T.); #269933=ORIENTED_EDGE('',*,*,#201726,.T.); #269934=ORIENTED_EDGE('',*,*,#201729,.T.); #269935=ORIENTED_EDGE('',*,*,#201732,.T.); #269936=ORIENTED_EDGE('',*,*,#201735,.T.); #269937=ORIENTED_EDGE('',*,*,#201738,.T.); #269938=ORIENTED_EDGE('',*,*,#201741,.T.); #269939=ORIENTED_EDGE('',*,*,#201744,.T.); #269940=ORIENTED_EDGE('',*,*,#201747,.T.); #269941=ORIENTED_EDGE('',*,*,#201750,.T.); #269942=ORIENTED_EDGE('',*,*,#201753,.T.); #269943=ORIENTED_EDGE('',*,*,#201756,.T.); #269944=ORIENTED_EDGE('',*,*,#201759,.T.); #269945=ORIENTED_EDGE('',*,*,#201762,.T.); #269946=ORIENTED_EDGE('',*,*,#201765,.T.); #269947=ORIENTED_EDGE('',*,*,#201768,.T.); #269948=ORIENTED_EDGE('',*,*,#201771,.T.); #269949=ORIENTED_EDGE('',*,*,#201774,.T.); #269950=ORIENTED_EDGE('',*,*,#201777,.T.); #269951=ORIENTED_EDGE('',*,*,#201780,.T.); #269952=ORIENTED_EDGE('',*,*,#201783,.T.); #269953=ORIENTED_EDGE('',*,*,#201786,.T.); #269954=ORIENTED_EDGE('',*,*,#202025,.F.); #269955=ORIENTED_EDGE('',*,*,#201787,.F.); #269956=ORIENTED_EDGE('',*,*,#201791,.F.); #269957=ORIENTED_EDGE('',*,*,#201794,.F.); #269958=ORIENTED_EDGE('',*,*,#201797,.F.); #269959=ORIENTED_EDGE('',*,*,#201800,.F.); #269960=ORIENTED_EDGE('',*,*,#201803,.F.); #269961=ORIENTED_EDGE('',*,*,#201806,.F.); #269962=ORIENTED_EDGE('',*,*,#201809,.F.); #269963=ORIENTED_EDGE('',*,*,#201812,.F.); #269964=ORIENTED_EDGE('',*,*,#201815,.F.); #269965=ORIENTED_EDGE('',*,*,#201818,.F.); #269966=ORIENTED_EDGE('',*,*,#201821,.F.); #269967=ORIENTED_EDGE('',*,*,#201824,.F.); #269968=ORIENTED_EDGE('',*,*,#201827,.F.); #269969=ORIENTED_EDGE('',*,*,#201830,.F.); #269970=ORIENTED_EDGE('',*,*,#201833,.F.); #269971=ORIENTED_EDGE('',*,*,#201836,.F.); #269972=ORIENTED_EDGE('',*,*,#201839,.F.); #269973=ORIENTED_EDGE('',*,*,#201842,.F.); #269974=ORIENTED_EDGE('',*,*,#201845,.F.); #269975=ORIENTED_EDGE('',*,*,#201848,.F.); #269976=ORIENTED_EDGE('',*,*,#201851,.F.); #269977=ORIENTED_EDGE('',*,*,#201854,.F.); #269978=ORIENTED_EDGE('',*,*,#201857,.F.); #269979=ORIENTED_EDGE('',*,*,#201860,.F.); #269980=ORIENTED_EDGE('',*,*,#201863,.F.); #269981=ORIENTED_EDGE('',*,*,#201866,.F.); #269982=ORIENTED_EDGE('',*,*,#201869,.F.); #269983=ORIENTED_EDGE('',*,*,#201872,.F.); #269984=ORIENTED_EDGE('',*,*,#201875,.F.); #269985=ORIENTED_EDGE('',*,*,#201878,.F.); #269986=ORIENTED_EDGE('',*,*,#201881,.F.); #269987=ORIENTED_EDGE('',*,*,#201884,.F.); #269988=ORIENTED_EDGE('',*,*,#201887,.F.); #269989=ORIENTED_EDGE('',*,*,#201890,.F.); #269990=ORIENTED_EDGE('',*,*,#201893,.F.); #269991=ORIENTED_EDGE('',*,*,#201896,.F.); #269992=ORIENTED_EDGE('',*,*,#201899,.F.); #269993=ORIENTED_EDGE('',*,*,#201902,.F.); #269994=ORIENTED_EDGE('',*,*,#201905,.F.); #269995=ORIENTED_EDGE('',*,*,#201908,.F.); #269996=ORIENTED_EDGE('',*,*,#201911,.F.); #269997=ORIENTED_EDGE('',*,*,#201914,.F.); #269998=ORIENTED_EDGE('',*,*,#201917,.F.); #269999=ORIENTED_EDGE('',*,*,#201920,.F.); #270000=ORIENTED_EDGE('',*,*,#201923,.F.); #270001=ORIENTED_EDGE('',*,*,#201926,.F.); #270002=ORIENTED_EDGE('',*,*,#201929,.F.); #270003=ORIENTED_EDGE('',*,*,#201932,.F.); #270004=ORIENTED_EDGE('',*,*,#201935,.F.); #270005=ORIENTED_EDGE('',*,*,#201938,.F.); #270006=ORIENTED_EDGE('',*,*,#201941,.F.); #270007=ORIENTED_EDGE('',*,*,#201944,.F.); #270008=ORIENTED_EDGE('',*,*,#201947,.F.); #270009=ORIENTED_EDGE('',*,*,#201950,.F.); #270010=ORIENTED_EDGE('',*,*,#201953,.F.); #270011=ORIENTED_EDGE('',*,*,#201956,.F.); #270012=ORIENTED_EDGE('',*,*,#201959,.F.); #270013=ORIENTED_EDGE('',*,*,#201962,.F.); #270014=ORIENTED_EDGE('',*,*,#201965,.F.); #270015=ORIENTED_EDGE('',*,*,#201968,.F.); #270016=ORIENTED_EDGE('',*,*,#201971,.F.); #270017=ORIENTED_EDGE('',*,*,#201974,.F.); #270018=ORIENTED_EDGE('',*,*,#201977,.F.); #270019=ORIENTED_EDGE('',*,*,#201980,.F.); #270020=ORIENTED_EDGE('',*,*,#201983,.F.); #270021=ORIENTED_EDGE('',*,*,#201986,.F.); #270022=ORIENTED_EDGE('',*,*,#201989,.F.); #270023=ORIENTED_EDGE('',*,*,#201992,.F.); #270024=ORIENTED_EDGE('',*,*,#201995,.F.); #270025=ORIENTED_EDGE('',*,*,#201998,.F.); #270026=ORIENTED_EDGE('',*,*,#202001,.F.); #270027=ORIENTED_EDGE('',*,*,#202004,.F.); #270028=ORIENTED_EDGE('',*,*,#202007,.F.); #270029=ORIENTED_EDGE('',*,*,#202010,.F.); #270030=ORIENTED_EDGE('',*,*,#202013,.F.); #270031=ORIENTED_EDGE('',*,*,#202016,.F.); #270032=ORIENTED_EDGE('',*,*,#202019,.F.); #270033=ORIENTED_EDGE('',*,*,#202022,.F.); #270034=ORIENTED_EDGE('',*,*,#201694,.T.); #270035=ORIENTED_EDGE('',*,*,#201697,.T.); #270036=ORIENTED_EDGE('',*,*,#201700,.T.); #270037=ORIENTED_EDGE('',*,*,#201703,.T.); #270038=ORIENTED_EDGE('',*,*,#201706,.T.); #270039=ORIENTED_EDGE('',*,*,#201709,.T.); #270040=ORIENTED_EDGE('',*,*,#201712,.T.); #270041=ORIENTED_EDGE('',*,*,#201715,.T.); #270042=ORIENTED_EDGE('',*,*,#201718,.T.); #270043=ORIENTED_EDGE('',*,*,#201721,.T.); #270044=ORIENTED_EDGE('',*,*,#201724,.T.); #270045=ORIENTED_EDGE('',*,*,#201727,.T.); #270046=ORIENTED_EDGE('',*,*,#201730,.T.); #270047=ORIENTED_EDGE('',*,*,#201733,.T.); #270048=ORIENTED_EDGE('',*,*,#201736,.T.); #270049=ORIENTED_EDGE('',*,*,#201739,.T.); #270050=ORIENTED_EDGE('',*,*,#201742,.T.); #270051=ORIENTED_EDGE('',*,*,#201745,.T.); #270052=ORIENTED_EDGE('',*,*,#201748,.T.); #270053=ORIENTED_EDGE('',*,*,#201751,.T.); #270054=ORIENTED_EDGE('',*,*,#201754,.T.); #270055=ORIENTED_EDGE('',*,*,#201757,.T.); #270056=ORIENTED_EDGE('',*,*,#201760,.T.); #270057=ORIENTED_EDGE('',*,*,#201763,.T.); #270058=ORIENTED_EDGE('',*,*,#201766,.T.); #270059=ORIENTED_EDGE('',*,*,#201769,.T.); #270060=ORIENTED_EDGE('',*,*,#201772,.T.); #270061=ORIENTED_EDGE('',*,*,#201775,.T.); #270062=ORIENTED_EDGE('',*,*,#201778,.T.); #270063=ORIENTED_EDGE('',*,*,#201781,.T.); #270064=ORIENTED_EDGE('',*,*,#201784,.T.); #270065=ORIENTED_EDGE('',*,*,#202027,.F.); #270066=ORIENTED_EDGE('',*,*,#202028,.T.); #270067=ORIENTED_EDGE('',*,*,#202029,.F.); #270068=ORIENTED_EDGE('',*,*,#202028,.F.); #270069=ORIENTED_EDGE('',*,*,#202030,.T.); #270070=ORIENTED_EDGE('',*,*,#202031,.T.); #270071=ORIENTED_EDGE('',*,*,#202032,.F.); #270072=ORIENTED_EDGE('',*,*,#202033,.F.); #270073=ORIENTED_EDGE('',*,*,#202034,.T.); #270074=ORIENTED_EDGE('',*,*,#202033,.T.); #270075=ORIENTED_EDGE('',*,*,#202035,.F.); #270076=ORIENTED_EDGE('',*,*,#202036,.F.); #270077=ORIENTED_EDGE('',*,*,#202037,.T.); #270078=ORIENTED_EDGE('',*,*,#202036,.T.); #270079=ORIENTED_EDGE('',*,*,#202038,.F.); #270080=ORIENTED_EDGE('',*,*,#202039,.F.); #270081=ORIENTED_EDGE('',*,*,#202040,.T.); #270082=ORIENTED_EDGE('',*,*,#202039,.T.); #270083=ORIENTED_EDGE('',*,*,#202041,.F.); #270084=ORIENTED_EDGE('',*,*,#202042,.F.); #270085=ORIENTED_EDGE('',*,*,#202043,.T.); #270086=ORIENTED_EDGE('',*,*,#202042,.T.); #270087=ORIENTED_EDGE('',*,*,#202044,.F.); #270088=ORIENTED_EDGE('',*,*,#202045,.F.); #270089=ORIENTED_EDGE('',*,*,#202046,.T.); #270090=ORIENTED_EDGE('',*,*,#202045,.T.); #270091=ORIENTED_EDGE('',*,*,#202047,.F.); #270092=ORIENTED_EDGE('',*,*,#202048,.F.); #270093=ORIENTED_EDGE('',*,*,#202049,.T.); #270094=ORIENTED_EDGE('',*,*,#202048,.T.); #270095=ORIENTED_EDGE('',*,*,#202050,.F.); #270096=ORIENTED_EDGE('',*,*,#202051,.F.); #270097=ORIENTED_EDGE('',*,*,#202052,.T.); #270098=ORIENTED_EDGE('',*,*,#202051,.T.); #270099=ORIENTED_EDGE('',*,*,#202053,.F.); #270100=ORIENTED_EDGE('',*,*,#202054,.F.); #270101=ORIENTED_EDGE('',*,*,#202055,.T.); #270102=ORIENTED_EDGE('',*,*,#202054,.T.); #270103=ORIENTED_EDGE('',*,*,#202056,.F.); #270104=ORIENTED_EDGE('',*,*,#202057,.F.); #270105=ORIENTED_EDGE('',*,*,#202058,.T.); #270106=ORIENTED_EDGE('',*,*,#202057,.T.); #270107=ORIENTED_EDGE('',*,*,#202059,.F.); #270108=ORIENTED_EDGE('',*,*,#202060,.F.); #270109=ORIENTED_EDGE('',*,*,#202061,.T.); #270110=ORIENTED_EDGE('',*,*,#202060,.T.); #270111=ORIENTED_EDGE('',*,*,#202062,.F.); #270112=ORIENTED_EDGE('',*,*,#202063,.F.); #270113=ORIENTED_EDGE('',*,*,#202064,.T.); #270114=ORIENTED_EDGE('',*,*,#202063,.T.); #270115=ORIENTED_EDGE('',*,*,#202065,.F.); #270116=ORIENTED_EDGE('',*,*,#202066,.F.); #270117=ORIENTED_EDGE('',*,*,#202067,.T.); #270118=ORIENTED_EDGE('',*,*,#202066,.T.); #270119=ORIENTED_EDGE('',*,*,#202068,.F.); #270120=ORIENTED_EDGE('',*,*,#202069,.F.); #270121=ORIENTED_EDGE('',*,*,#202070,.T.); #270122=ORIENTED_EDGE('',*,*,#202069,.T.); #270123=ORIENTED_EDGE('',*,*,#202071,.F.); #270124=ORIENTED_EDGE('',*,*,#202072,.F.); #270125=ORIENTED_EDGE('',*,*,#202073,.T.); #270126=ORIENTED_EDGE('',*,*,#202072,.T.); #270127=ORIENTED_EDGE('',*,*,#202074,.F.); #270128=ORIENTED_EDGE('',*,*,#202075,.F.); #270129=ORIENTED_EDGE('',*,*,#202076,.T.); #270130=ORIENTED_EDGE('',*,*,#202075,.T.); #270131=ORIENTED_EDGE('',*,*,#202077,.F.); #270132=ORIENTED_EDGE('',*,*,#202078,.F.); #270133=ORIENTED_EDGE('',*,*,#202079,.T.); #270134=ORIENTED_EDGE('',*,*,#202078,.T.); #270135=ORIENTED_EDGE('',*,*,#202080,.F.); #270136=ORIENTED_EDGE('',*,*,#202081,.F.); #270137=ORIENTED_EDGE('',*,*,#202082,.T.); #270138=ORIENTED_EDGE('',*,*,#202081,.T.); #270139=ORIENTED_EDGE('',*,*,#202083,.F.); #270140=ORIENTED_EDGE('',*,*,#202084,.F.); #270141=ORIENTED_EDGE('',*,*,#202085,.T.); #270142=ORIENTED_EDGE('',*,*,#202084,.T.); #270143=ORIENTED_EDGE('',*,*,#202086,.F.); #270144=ORIENTED_EDGE('',*,*,#202087,.F.); #270145=ORIENTED_EDGE('',*,*,#202088,.T.); #270146=ORIENTED_EDGE('',*,*,#202087,.T.); #270147=ORIENTED_EDGE('',*,*,#202089,.F.); #270148=ORIENTED_EDGE('',*,*,#202090,.F.); #270149=ORIENTED_EDGE('',*,*,#202091,.T.); #270150=ORIENTED_EDGE('',*,*,#202090,.T.); #270151=ORIENTED_EDGE('',*,*,#202092,.F.); #270152=ORIENTED_EDGE('',*,*,#202031,.F.); #270153=ORIENTED_EDGE('',*,*,#202092,.T.); #270154=ORIENTED_EDGE('',*,*,#202089,.T.); #270155=ORIENTED_EDGE('',*,*,#202086,.T.); #270156=ORIENTED_EDGE('',*,*,#202083,.T.); #270157=ORIENTED_EDGE('',*,*,#202080,.T.); #270158=ORIENTED_EDGE('',*,*,#202077,.T.); #270159=ORIENTED_EDGE('',*,*,#202074,.T.); #270160=ORIENTED_EDGE('',*,*,#202071,.T.); #270161=ORIENTED_EDGE('',*,*,#202068,.T.); #270162=ORIENTED_EDGE('',*,*,#202065,.T.); #270163=ORIENTED_EDGE('',*,*,#202062,.T.); #270164=ORIENTED_EDGE('',*,*,#202059,.T.); #270165=ORIENTED_EDGE('',*,*,#202056,.T.); #270166=ORIENTED_EDGE('',*,*,#202053,.T.); #270167=ORIENTED_EDGE('',*,*,#202050,.T.); #270168=ORIENTED_EDGE('',*,*,#202047,.T.); #270169=ORIENTED_EDGE('',*,*,#202044,.T.); #270170=ORIENTED_EDGE('',*,*,#202041,.T.); #270171=ORIENTED_EDGE('',*,*,#202038,.T.); #270172=ORIENTED_EDGE('',*,*,#202035,.T.); #270173=ORIENTED_EDGE('',*,*,#202032,.T.); #270174=ORIENTED_EDGE('',*,*,#202029,.T.); #270175=ORIENTED_EDGE('',*,*,#202091,.F.); #270176=ORIENTED_EDGE('',*,*,#202030,.F.); #270177=ORIENTED_EDGE('',*,*,#202034,.F.); #270178=ORIENTED_EDGE('',*,*,#202037,.F.); #270179=ORIENTED_EDGE('',*,*,#202040,.F.); #270180=ORIENTED_EDGE('',*,*,#202043,.F.); #270181=ORIENTED_EDGE('',*,*,#202046,.F.); #270182=ORIENTED_EDGE('',*,*,#202049,.F.); #270183=ORIENTED_EDGE('',*,*,#202052,.F.); #270184=ORIENTED_EDGE('',*,*,#202055,.F.); #270185=ORIENTED_EDGE('',*,*,#202058,.F.); #270186=ORIENTED_EDGE('',*,*,#202061,.F.); #270187=ORIENTED_EDGE('',*,*,#202064,.F.); #270188=ORIENTED_EDGE('',*,*,#202067,.F.); #270189=ORIENTED_EDGE('',*,*,#202070,.F.); #270190=ORIENTED_EDGE('',*,*,#202073,.F.); #270191=ORIENTED_EDGE('',*,*,#202076,.F.); #270192=ORIENTED_EDGE('',*,*,#202079,.F.); #270193=ORIENTED_EDGE('',*,*,#202082,.F.); #270194=ORIENTED_EDGE('',*,*,#202085,.F.); #270195=ORIENTED_EDGE('',*,*,#202088,.F.); #270196=ORIENTED_EDGE('',*,*,#202027,.T.); #270197=ORIENTED_EDGE('',*,*,#202093,.F.); #270198=ORIENTED_EDGE('',*,*,#202094,.T.); #270199=ORIENTED_EDGE('',*,*,#202095,.F.); #270200=ORIENTED_EDGE('',*,*,#202094,.F.); #270201=ORIENTED_EDGE('',*,*,#202096,.F.); #270202=ORIENTED_EDGE('',*,*,#202097,.T.); #270203=ORIENTED_EDGE('',*,*,#202098,.F.); #270204=ORIENTED_EDGE('',*,*,#202097,.F.); #270205=ORIENTED_EDGE('',*,*,#202099,.F.); #270206=ORIENTED_EDGE('',*,*,#202100,.T.); #270207=ORIENTED_EDGE('',*,*,#202101,.F.); #270208=ORIENTED_EDGE('',*,*,#202100,.F.); #270209=ORIENTED_EDGE('',*,*,#202102,.F.); #270210=ORIENTED_EDGE('',*,*,#202103,.T.); #270211=ORIENTED_EDGE('',*,*,#202104,.F.); #270212=ORIENTED_EDGE('',*,*,#202103,.F.); #270213=ORIENTED_EDGE('',*,*,#202105,.F.); #270214=ORIENTED_EDGE('',*,*,#202106,.T.); #270215=ORIENTED_EDGE('',*,*,#202107,.F.); #270216=ORIENTED_EDGE('',*,*,#202106,.F.); #270217=ORIENTED_EDGE('',*,*,#202108,.F.); #270218=ORIENTED_EDGE('',*,*,#202109,.T.); #270219=ORIENTED_EDGE('',*,*,#202110,.F.); #270220=ORIENTED_EDGE('',*,*,#202109,.F.); #270221=ORIENTED_EDGE('',*,*,#202111,.F.); #270222=ORIENTED_EDGE('',*,*,#202112,.T.); #270223=ORIENTED_EDGE('',*,*,#202113,.F.); #270224=ORIENTED_EDGE('',*,*,#202112,.F.); #270225=ORIENTED_EDGE('',*,*,#202114,.F.); #270226=ORIENTED_EDGE('',*,*,#202115,.T.); #270227=ORIENTED_EDGE('',*,*,#202116,.F.); #270228=ORIENTED_EDGE('',*,*,#202115,.F.); #270229=ORIENTED_EDGE('',*,*,#202117,.F.); #270230=ORIENTED_EDGE('',*,*,#202118,.T.); #270231=ORIENTED_EDGE('',*,*,#202119,.F.); #270232=ORIENTED_EDGE('',*,*,#202118,.F.); #270233=ORIENTED_EDGE('',*,*,#202120,.F.); #270234=ORIENTED_EDGE('',*,*,#202121,.T.); #270235=ORIENTED_EDGE('',*,*,#202122,.F.); #270236=ORIENTED_EDGE('',*,*,#202121,.F.); #270237=ORIENTED_EDGE('',*,*,#202123,.F.); #270238=ORIENTED_EDGE('',*,*,#202124,.T.); #270239=ORIENTED_EDGE('',*,*,#202125,.F.); #270240=ORIENTED_EDGE('',*,*,#202124,.F.); #270241=ORIENTED_EDGE('',*,*,#202126,.F.); #270242=ORIENTED_EDGE('',*,*,#202127,.T.); #270243=ORIENTED_EDGE('',*,*,#202128,.F.); #270244=ORIENTED_EDGE('',*,*,#202127,.F.); #270245=ORIENTED_EDGE('',*,*,#202129,.F.); #270246=ORIENTED_EDGE('',*,*,#202130,.T.); #270247=ORIENTED_EDGE('',*,*,#202131,.F.); #270248=ORIENTED_EDGE('',*,*,#202130,.F.); #270249=ORIENTED_EDGE('',*,*,#202132,.F.); #270250=ORIENTED_EDGE('',*,*,#202133,.T.); #270251=ORIENTED_EDGE('',*,*,#202134,.F.); #270252=ORIENTED_EDGE('',*,*,#202133,.F.); #270253=ORIENTED_EDGE('',*,*,#202135,.F.); #270254=ORIENTED_EDGE('',*,*,#202136,.T.); #270255=ORIENTED_EDGE('',*,*,#202137,.F.); #270256=ORIENTED_EDGE('',*,*,#202136,.F.); #270257=ORIENTED_EDGE('',*,*,#202138,.F.); #270258=ORIENTED_EDGE('',*,*,#202139,.T.); #270259=ORIENTED_EDGE('',*,*,#202140,.F.); #270260=ORIENTED_EDGE('',*,*,#202139,.F.); #270261=ORIENTED_EDGE('',*,*,#202141,.T.); #270262=ORIENTED_EDGE('',*,*,#202142,.T.); #270263=ORIENTED_EDGE('',*,*,#202143,.F.); #270264=ORIENTED_EDGE('',*,*,#202144,.F.); #270265=ORIENTED_EDGE('',*,*,#202145,.T.); #270266=ORIENTED_EDGE('',*,*,#202144,.T.); #270267=ORIENTED_EDGE('',*,*,#202146,.F.); #270268=ORIENTED_EDGE('',*,*,#202147,.F.); #270269=ORIENTED_EDGE('',*,*,#202148,.T.); #270270=ORIENTED_EDGE('',*,*,#202147,.T.); #270271=ORIENTED_EDGE('',*,*,#202149,.F.); #270272=ORIENTED_EDGE('',*,*,#202150,.F.); #270273=ORIENTED_EDGE('',*,*,#202151,.T.); #270274=ORIENTED_EDGE('',*,*,#202150,.T.); #270275=ORIENTED_EDGE('',*,*,#202152,.F.); #270276=ORIENTED_EDGE('',*,*,#202153,.F.); #270277=ORIENTED_EDGE('',*,*,#202154,.T.); #270278=ORIENTED_EDGE('',*,*,#202153,.T.); #270279=ORIENTED_EDGE('',*,*,#202155,.F.); #270280=ORIENTED_EDGE('',*,*,#202156,.F.); #270281=ORIENTED_EDGE('',*,*,#202157,.T.); #270282=ORIENTED_EDGE('',*,*,#202156,.T.); #270283=ORIENTED_EDGE('',*,*,#202158,.F.); #270284=ORIENTED_EDGE('',*,*,#202159,.F.); #270285=ORIENTED_EDGE('',*,*,#202160,.T.); #270286=ORIENTED_EDGE('',*,*,#202159,.T.); #270287=ORIENTED_EDGE('',*,*,#202161,.F.); #270288=ORIENTED_EDGE('',*,*,#202162,.F.); #270289=ORIENTED_EDGE('',*,*,#202163,.T.); #270290=ORIENTED_EDGE('',*,*,#202162,.T.); #270291=ORIENTED_EDGE('',*,*,#202164,.F.); #270292=ORIENTED_EDGE('',*,*,#202165,.F.); #270293=ORIENTED_EDGE('',*,*,#202166,.T.); #270294=ORIENTED_EDGE('',*,*,#202165,.T.); #270295=ORIENTED_EDGE('',*,*,#202167,.F.); #270296=ORIENTED_EDGE('',*,*,#202168,.F.); #270297=ORIENTED_EDGE('',*,*,#202169,.T.); #270298=ORIENTED_EDGE('',*,*,#202168,.T.); #270299=ORIENTED_EDGE('',*,*,#202170,.F.); #270300=ORIENTED_EDGE('',*,*,#202171,.F.); #270301=ORIENTED_EDGE('',*,*,#202172,.T.); #270302=ORIENTED_EDGE('',*,*,#202171,.T.); #270303=ORIENTED_EDGE('',*,*,#202173,.F.); #270304=ORIENTED_EDGE('',*,*,#202174,.F.); #270305=ORIENTED_EDGE('',*,*,#202175,.T.); #270306=ORIENTED_EDGE('',*,*,#202174,.T.); #270307=ORIENTED_EDGE('',*,*,#202176,.F.); #270308=ORIENTED_EDGE('',*,*,#202177,.F.); #270309=ORIENTED_EDGE('',*,*,#202178,.T.); #270310=ORIENTED_EDGE('',*,*,#202177,.T.); #270311=ORIENTED_EDGE('',*,*,#202179,.F.); #270312=ORIENTED_EDGE('',*,*,#202180,.F.); #270313=ORIENTED_EDGE('',*,*,#202181,.T.); #270314=ORIENTED_EDGE('',*,*,#202180,.T.); #270315=ORIENTED_EDGE('',*,*,#202182,.F.); #270316=ORIENTED_EDGE('',*,*,#202183,.F.); #270317=ORIENTED_EDGE('',*,*,#202184,.T.); #270318=ORIENTED_EDGE('',*,*,#202183,.T.); #270319=ORIENTED_EDGE('',*,*,#202185,.F.); #270320=ORIENTED_EDGE('',*,*,#202186,.F.); #270321=ORIENTED_EDGE('',*,*,#202187,.T.); #270322=ORIENTED_EDGE('',*,*,#202186,.T.); #270323=ORIENTED_EDGE('',*,*,#202188,.F.); #270324=ORIENTED_EDGE('',*,*,#202189,.F.); #270325=ORIENTED_EDGE('',*,*,#202190,.T.); #270326=ORIENTED_EDGE('',*,*,#202189,.T.); #270327=ORIENTED_EDGE('',*,*,#202191,.F.); #270328=ORIENTED_EDGE('',*,*,#202192,.F.); #270329=ORIENTED_EDGE('',*,*,#202193,.T.); #270330=ORIENTED_EDGE('',*,*,#202192,.T.); #270331=ORIENTED_EDGE('',*,*,#202194,.F.); #270332=ORIENTED_EDGE('',*,*,#202195,.F.); #270333=ORIENTED_EDGE('',*,*,#202196,.T.); #270334=ORIENTED_EDGE('',*,*,#202195,.T.); #270335=ORIENTED_EDGE('',*,*,#202197,.F.); #270336=ORIENTED_EDGE('',*,*,#202198,.F.); #270337=ORIENTED_EDGE('',*,*,#202199,.T.); #270338=ORIENTED_EDGE('',*,*,#202198,.T.); #270339=ORIENTED_EDGE('',*,*,#202200,.F.); #270340=ORIENTED_EDGE('',*,*,#202201,.F.); #270341=ORIENTED_EDGE('',*,*,#202202,.T.); #270342=ORIENTED_EDGE('',*,*,#202201,.T.); #270343=ORIENTED_EDGE('',*,*,#202203,.F.); #270344=ORIENTED_EDGE('',*,*,#202204,.F.); #270345=ORIENTED_EDGE('',*,*,#202205,.T.); #270346=ORIENTED_EDGE('',*,*,#202204,.T.); #270347=ORIENTED_EDGE('',*,*,#202206,.F.); #270348=ORIENTED_EDGE('',*,*,#202207,.F.); #270349=ORIENTED_EDGE('',*,*,#202208,.T.); #270350=ORIENTED_EDGE('',*,*,#202207,.T.); #270351=ORIENTED_EDGE('',*,*,#202209,.F.); #270352=ORIENTED_EDGE('',*,*,#202210,.F.); #270353=ORIENTED_EDGE('',*,*,#202211,.T.); #270354=ORIENTED_EDGE('',*,*,#202210,.T.); #270355=ORIENTED_EDGE('',*,*,#202212,.F.); #270356=ORIENTED_EDGE('',*,*,#202142,.F.); #270357=ORIENTED_EDGE('',*,*,#202213,.T.); #270358=ORIENTED_EDGE('',*,*,#202214,.T.); #270359=ORIENTED_EDGE('',*,*,#202215,.F.); #270360=ORIENTED_EDGE('',*,*,#202216,.F.); #270361=ORIENTED_EDGE('',*,*,#202217,.T.); #270362=ORIENTED_EDGE('',*,*,#202216,.T.); #270363=ORIENTED_EDGE('',*,*,#202218,.F.); #270364=ORIENTED_EDGE('',*,*,#202219,.F.); #270365=ORIENTED_EDGE('',*,*,#202220,.T.); #270366=ORIENTED_EDGE('',*,*,#202219,.T.); #270367=ORIENTED_EDGE('',*,*,#202221,.F.); #270368=ORIENTED_EDGE('',*,*,#202222,.F.); #270369=ORIENTED_EDGE('',*,*,#202223,.T.); #270370=ORIENTED_EDGE('',*,*,#202222,.T.); #270371=ORIENTED_EDGE('',*,*,#202224,.F.); #270372=ORIENTED_EDGE('',*,*,#202225,.F.); #270373=ORIENTED_EDGE('',*,*,#202226,.T.); #270374=ORIENTED_EDGE('',*,*,#202225,.T.); #270375=ORIENTED_EDGE('',*,*,#202227,.F.); #270376=ORIENTED_EDGE('',*,*,#202228,.F.); #270377=ORIENTED_EDGE('',*,*,#202229,.T.); #270378=ORIENTED_EDGE('',*,*,#202228,.T.); #270379=ORIENTED_EDGE('',*,*,#202230,.F.); #270380=ORIENTED_EDGE('',*,*,#202231,.F.); #270381=ORIENTED_EDGE('',*,*,#202232,.T.); #270382=ORIENTED_EDGE('',*,*,#202231,.T.); #270383=ORIENTED_EDGE('',*,*,#202233,.F.); #270384=ORIENTED_EDGE('',*,*,#202234,.F.); #270385=ORIENTED_EDGE('',*,*,#202235,.T.); #270386=ORIENTED_EDGE('',*,*,#202234,.T.); #270387=ORIENTED_EDGE('',*,*,#202236,.F.); #270388=ORIENTED_EDGE('',*,*,#202237,.F.); #270389=ORIENTED_EDGE('',*,*,#202238,.T.); #270390=ORIENTED_EDGE('',*,*,#202237,.T.); #270391=ORIENTED_EDGE('',*,*,#202239,.F.); #270392=ORIENTED_EDGE('',*,*,#202240,.F.); #270393=ORIENTED_EDGE('',*,*,#202241,.T.); #270394=ORIENTED_EDGE('',*,*,#202240,.T.); #270395=ORIENTED_EDGE('',*,*,#202242,.F.); #270396=ORIENTED_EDGE('',*,*,#202243,.F.); #270397=ORIENTED_EDGE('',*,*,#202244,.T.); #270398=ORIENTED_EDGE('',*,*,#202243,.T.); #270399=ORIENTED_EDGE('',*,*,#202245,.F.); #270400=ORIENTED_EDGE('',*,*,#202246,.F.); #270401=ORIENTED_EDGE('',*,*,#202247,.T.); #270402=ORIENTED_EDGE('',*,*,#202246,.T.); #270403=ORIENTED_EDGE('',*,*,#202248,.F.); #270404=ORIENTED_EDGE('',*,*,#202249,.F.); #270405=ORIENTED_EDGE('',*,*,#202250,.T.); #270406=ORIENTED_EDGE('',*,*,#202249,.T.); #270407=ORIENTED_EDGE('',*,*,#202251,.F.); #270408=ORIENTED_EDGE('',*,*,#202252,.F.); #270409=ORIENTED_EDGE('',*,*,#202253,.T.); #270410=ORIENTED_EDGE('',*,*,#202252,.T.); #270411=ORIENTED_EDGE('',*,*,#202254,.F.); #270412=ORIENTED_EDGE('',*,*,#202255,.F.); #270413=ORIENTED_EDGE('',*,*,#202256,.T.); #270414=ORIENTED_EDGE('',*,*,#202255,.T.); #270415=ORIENTED_EDGE('',*,*,#202257,.F.); #270416=ORIENTED_EDGE('',*,*,#202258,.F.); #270417=ORIENTED_EDGE('',*,*,#202259,.T.); #270418=ORIENTED_EDGE('',*,*,#202258,.T.); #270419=ORIENTED_EDGE('',*,*,#202260,.F.); #270420=ORIENTED_EDGE('',*,*,#202261,.F.); #270421=ORIENTED_EDGE('',*,*,#202262,.T.); #270422=ORIENTED_EDGE('',*,*,#202261,.T.); #270423=ORIENTED_EDGE('',*,*,#202263,.F.); #270424=ORIENTED_EDGE('',*,*,#202264,.F.); #270425=ORIENTED_EDGE('',*,*,#202265,.T.); #270426=ORIENTED_EDGE('',*,*,#202264,.T.); #270427=ORIENTED_EDGE('',*,*,#202266,.F.); #270428=ORIENTED_EDGE('',*,*,#202267,.F.); #270429=ORIENTED_EDGE('',*,*,#202268,.T.); #270430=ORIENTED_EDGE('',*,*,#202267,.T.); #270431=ORIENTED_EDGE('',*,*,#202269,.F.); #270432=ORIENTED_EDGE('',*,*,#202270,.F.); #270433=ORIENTED_EDGE('',*,*,#202271,.T.); #270434=ORIENTED_EDGE('',*,*,#202270,.T.); #270435=ORIENTED_EDGE('',*,*,#202272,.F.); #270436=ORIENTED_EDGE('',*,*,#202273,.F.); #270437=ORIENTED_EDGE('',*,*,#202274,.T.); #270438=ORIENTED_EDGE('',*,*,#202273,.T.); #270439=ORIENTED_EDGE('',*,*,#202275,.F.); #270440=ORIENTED_EDGE('',*,*,#202276,.F.); #270441=ORIENTED_EDGE('',*,*,#202277,.T.); #270442=ORIENTED_EDGE('',*,*,#202276,.T.); #270443=ORIENTED_EDGE('',*,*,#202278,.F.); #270444=ORIENTED_EDGE('',*,*,#202279,.F.); #270445=ORIENTED_EDGE('',*,*,#202280,.T.); #270446=ORIENTED_EDGE('',*,*,#202279,.T.); #270447=ORIENTED_EDGE('',*,*,#202281,.F.); #270448=ORIENTED_EDGE('',*,*,#202282,.F.); #270449=ORIENTED_EDGE('',*,*,#202283,.T.); #270450=ORIENTED_EDGE('',*,*,#202282,.T.); #270451=ORIENTED_EDGE('',*,*,#202284,.F.); #270452=ORIENTED_EDGE('',*,*,#202285,.F.); #270453=ORIENTED_EDGE('',*,*,#202286,.T.); #270454=ORIENTED_EDGE('',*,*,#202285,.T.); #270455=ORIENTED_EDGE('',*,*,#202287,.F.); #270456=ORIENTED_EDGE('',*,*,#202288,.F.); #270457=ORIENTED_EDGE('',*,*,#202289,.T.); #270458=ORIENTED_EDGE('',*,*,#202288,.T.); #270459=ORIENTED_EDGE('',*,*,#202290,.F.); #270460=ORIENTED_EDGE('',*,*,#202291,.F.); #270461=ORIENTED_EDGE('',*,*,#202292,.T.); #270462=ORIENTED_EDGE('',*,*,#202291,.T.); #270463=ORIENTED_EDGE('',*,*,#202293,.F.); #270464=ORIENTED_EDGE('',*,*,#202294,.F.); #270465=ORIENTED_EDGE('',*,*,#202295,.T.); #270466=ORIENTED_EDGE('',*,*,#202294,.T.); #270467=ORIENTED_EDGE('',*,*,#202296,.F.); #270468=ORIENTED_EDGE('',*,*,#202297,.F.); #270469=ORIENTED_EDGE('',*,*,#202298,.T.); #270470=ORIENTED_EDGE('',*,*,#202297,.T.); #270471=ORIENTED_EDGE('',*,*,#202299,.F.); #270472=ORIENTED_EDGE('',*,*,#202300,.F.); #270473=ORIENTED_EDGE('',*,*,#202301,.T.); #270474=ORIENTED_EDGE('',*,*,#202300,.T.); #270475=ORIENTED_EDGE('',*,*,#202302,.F.); #270476=ORIENTED_EDGE('',*,*,#202303,.F.); #270477=ORIENTED_EDGE('',*,*,#202304,.T.); #270478=ORIENTED_EDGE('',*,*,#202303,.T.); #270479=ORIENTED_EDGE('',*,*,#202305,.F.); #270480=ORIENTED_EDGE('',*,*,#202306,.F.); #270481=ORIENTED_EDGE('',*,*,#202307,.T.); #270482=ORIENTED_EDGE('',*,*,#202306,.T.); #270483=ORIENTED_EDGE('',*,*,#202308,.F.); #270484=ORIENTED_EDGE('',*,*,#202309,.F.); #270485=ORIENTED_EDGE('',*,*,#202310,.T.); #270486=ORIENTED_EDGE('',*,*,#202309,.T.); #270487=ORIENTED_EDGE('',*,*,#202311,.F.); #270488=ORIENTED_EDGE('',*,*,#202312,.F.); #270489=ORIENTED_EDGE('',*,*,#202313,.T.); #270490=ORIENTED_EDGE('',*,*,#202312,.T.); #270491=ORIENTED_EDGE('',*,*,#202314,.F.); #270492=ORIENTED_EDGE('',*,*,#202315,.F.); #270493=ORIENTED_EDGE('',*,*,#202316,.T.); #270494=ORIENTED_EDGE('',*,*,#202315,.T.); #270495=ORIENTED_EDGE('',*,*,#202317,.F.); #270496=ORIENTED_EDGE('',*,*,#202318,.F.); #270497=ORIENTED_EDGE('',*,*,#202319,.T.); #270498=ORIENTED_EDGE('',*,*,#202318,.T.); #270499=ORIENTED_EDGE('',*,*,#202320,.F.); #270500=ORIENTED_EDGE('',*,*,#202321,.F.); #270501=ORIENTED_EDGE('',*,*,#202322,.T.); #270502=ORIENTED_EDGE('',*,*,#202321,.T.); #270503=ORIENTED_EDGE('',*,*,#202323,.F.); #270504=ORIENTED_EDGE('',*,*,#202324,.F.); #270505=ORIENTED_EDGE('',*,*,#202325,.T.); #270506=ORIENTED_EDGE('',*,*,#202324,.T.); #270507=ORIENTED_EDGE('',*,*,#202326,.F.); #270508=ORIENTED_EDGE('',*,*,#202327,.F.); #270509=ORIENTED_EDGE('',*,*,#202328,.T.); #270510=ORIENTED_EDGE('',*,*,#202327,.T.); #270511=ORIENTED_EDGE('',*,*,#202329,.F.); #270512=ORIENTED_EDGE('',*,*,#202330,.F.); #270513=ORIENTED_EDGE('',*,*,#202331,.T.); #270514=ORIENTED_EDGE('',*,*,#202330,.T.); #270515=ORIENTED_EDGE('',*,*,#202332,.F.); #270516=ORIENTED_EDGE('',*,*,#202333,.F.); #270517=ORIENTED_EDGE('',*,*,#202334,.T.); #270518=ORIENTED_EDGE('',*,*,#202333,.T.); #270519=ORIENTED_EDGE('',*,*,#202335,.F.); #270520=ORIENTED_EDGE('',*,*,#202336,.F.); #270521=ORIENTED_EDGE('',*,*,#202337,.T.); #270522=ORIENTED_EDGE('',*,*,#202336,.T.); #270523=ORIENTED_EDGE('',*,*,#202338,.F.); #270524=ORIENTED_EDGE('',*,*,#202339,.F.); #270525=ORIENTED_EDGE('',*,*,#202340,.T.); #270526=ORIENTED_EDGE('',*,*,#202339,.T.); #270527=ORIENTED_EDGE('',*,*,#202341,.F.); #270528=ORIENTED_EDGE('',*,*,#202342,.F.); #270529=ORIENTED_EDGE('',*,*,#202343,.T.); #270530=ORIENTED_EDGE('',*,*,#202342,.T.); #270531=ORIENTED_EDGE('',*,*,#202344,.F.); #270532=ORIENTED_EDGE('',*,*,#202345,.F.); #270533=ORIENTED_EDGE('',*,*,#202346,.T.); #270534=ORIENTED_EDGE('',*,*,#202345,.T.); #270535=ORIENTED_EDGE('',*,*,#202347,.F.); #270536=ORIENTED_EDGE('',*,*,#202348,.F.); #270537=ORIENTED_EDGE('',*,*,#202349,.T.); #270538=ORIENTED_EDGE('',*,*,#202348,.T.); #270539=ORIENTED_EDGE('',*,*,#202350,.F.); #270540=ORIENTED_EDGE('',*,*,#202351,.F.); #270541=ORIENTED_EDGE('',*,*,#202352,.T.); #270542=ORIENTED_EDGE('',*,*,#202351,.T.); #270543=ORIENTED_EDGE('',*,*,#202353,.F.); #270544=ORIENTED_EDGE('',*,*,#202354,.F.); #270545=ORIENTED_EDGE('',*,*,#202355,.T.); #270546=ORIENTED_EDGE('',*,*,#202354,.T.); #270547=ORIENTED_EDGE('',*,*,#202356,.F.); #270548=ORIENTED_EDGE('',*,*,#202357,.F.); #270549=ORIENTED_EDGE('',*,*,#202358,.T.); #270550=ORIENTED_EDGE('',*,*,#202357,.T.); #270551=ORIENTED_EDGE('',*,*,#202359,.F.); #270552=ORIENTED_EDGE('',*,*,#202360,.F.); #270553=ORIENTED_EDGE('',*,*,#202361,.T.); #270554=ORIENTED_EDGE('',*,*,#202360,.T.); #270555=ORIENTED_EDGE('',*,*,#202362,.F.); #270556=ORIENTED_EDGE('',*,*,#202363,.F.); #270557=ORIENTED_EDGE('',*,*,#202364,.T.); #270558=ORIENTED_EDGE('',*,*,#202363,.T.); #270559=ORIENTED_EDGE('',*,*,#202365,.F.); #270560=ORIENTED_EDGE('',*,*,#202366,.F.); #270561=ORIENTED_EDGE('',*,*,#202367,.T.); #270562=ORIENTED_EDGE('',*,*,#202366,.T.); #270563=ORIENTED_EDGE('',*,*,#202368,.F.); #270564=ORIENTED_EDGE('',*,*,#202369,.F.); #270565=ORIENTED_EDGE('',*,*,#202370,.T.); #270566=ORIENTED_EDGE('',*,*,#202369,.T.); #270567=ORIENTED_EDGE('',*,*,#202371,.F.); #270568=ORIENTED_EDGE('',*,*,#202372,.F.); #270569=ORIENTED_EDGE('',*,*,#202373,.T.); #270570=ORIENTED_EDGE('',*,*,#202372,.T.); #270571=ORIENTED_EDGE('',*,*,#202374,.F.); #270572=ORIENTED_EDGE('',*,*,#202375,.F.); #270573=ORIENTED_EDGE('',*,*,#202376,.T.); #270574=ORIENTED_EDGE('',*,*,#202375,.T.); #270575=ORIENTED_EDGE('',*,*,#202377,.F.); #270576=ORIENTED_EDGE('',*,*,#202378,.F.); #270577=ORIENTED_EDGE('',*,*,#202379,.T.); #270578=ORIENTED_EDGE('',*,*,#202378,.T.); #270579=ORIENTED_EDGE('',*,*,#202380,.F.); #270580=ORIENTED_EDGE('',*,*,#202381,.F.); #270581=ORIENTED_EDGE('',*,*,#202382,.T.); #270582=ORIENTED_EDGE('',*,*,#202381,.T.); #270583=ORIENTED_EDGE('',*,*,#202383,.F.); #270584=ORIENTED_EDGE('',*,*,#202384,.F.); #270585=ORIENTED_EDGE('',*,*,#202385,.T.); #270586=ORIENTED_EDGE('',*,*,#202384,.T.); #270587=ORIENTED_EDGE('',*,*,#202386,.F.); #270588=ORIENTED_EDGE('',*,*,#202387,.F.); #270589=ORIENTED_EDGE('',*,*,#202388,.T.); #270590=ORIENTED_EDGE('',*,*,#202387,.T.); #270591=ORIENTED_EDGE('',*,*,#202389,.F.); #270592=ORIENTED_EDGE('',*,*,#202390,.F.); #270593=ORIENTED_EDGE('',*,*,#202391,.T.); #270594=ORIENTED_EDGE('',*,*,#202390,.T.); #270595=ORIENTED_EDGE('',*,*,#202392,.F.); #270596=ORIENTED_EDGE('',*,*,#202393,.F.); #270597=ORIENTED_EDGE('',*,*,#202394,.T.); #270598=ORIENTED_EDGE('',*,*,#202393,.T.); #270599=ORIENTED_EDGE('',*,*,#202395,.F.); #270600=ORIENTED_EDGE('',*,*,#202396,.F.); #270601=ORIENTED_EDGE('',*,*,#202397,.T.); #270602=ORIENTED_EDGE('',*,*,#202396,.T.); #270603=ORIENTED_EDGE('',*,*,#202398,.F.); #270604=ORIENTED_EDGE('',*,*,#202399,.F.); #270605=ORIENTED_EDGE('',*,*,#202400,.T.); #270606=ORIENTED_EDGE('',*,*,#202399,.T.); #270607=ORIENTED_EDGE('',*,*,#202401,.F.); #270608=ORIENTED_EDGE('',*,*,#202402,.F.); #270609=ORIENTED_EDGE('',*,*,#202403,.T.); #270610=ORIENTED_EDGE('',*,*,#202402,.T.); #270611=ORIENTED_EDGE('',*,*,#202404,.F.); #270612=ORIENTED_EDGE('',*,*,#202405,.F.); #270613=ORIENTED_EDGE('',*,*,#202406,.T.); #270614=ORIENTED_EDGE('',*,*,#202405,.T.); #270615=ORIENTED_EDGE('',*,*,#202407,.F.); #270616=ORIENTED_EDGE('',*,*,#202408,.F.); #270617=ORIENTED_EDGE('',*,*,#202409,.T.); #270618=ORIENTED_EDGE('',*,*,#202408,.T.); #270619=ORIENTED_EDGE('',*,*,#202410,.F.); #270620=ORIENTED_EDGE('',*,*,#202411,.F.); #270621=ORIENTED_EDGE('',*,*,#202412,.T.); #270622=ORIENTED_EDGE('',*,*,#202411,.T.); #270623=ORIENTED_EDGE('',*,*,#202413,.F.); #270624=ORIENTED_EDGE('',*,*,#202414,.F.); #270625=ORIENTED_EDGE('',*,*,#202415,.T.); #270626=ORIENTED_EDGE('',*,*,#202414,.T.); #270627=ORIENTED_EDGE('',*,*,#202416,.F.); #270628=ORIENTED_EDGE('',*,*,#202417,.F.); #270629=ORIENTED_EDGE('',*,*,#202418,.T.); #270630=ORIENTED_EDGE('',*,*,#202417,.T.); #270631=ORIENTED_EDGE('',*,*,#202419,.F.); #270632=ORIENTED_EDGE('',*,*,#202420,.F.); #270633=ORIENTED_EDGE('',*,*,#202421,.T.); #270634=ORIENTED_EDGE('',*,*,#202420,.T.); #270635=ORIENTED_EDGE('',*,*,#202422,.F.); #270636=ORIENTED_EDGE('',*,*,#202423,.F.); #270637=ORIENTED_EDGE('',*,*,#202424,.T.); #270638=ORIENTED_EDGE('',*,*,#202423,.T.); #270639=ORIENTED_EDGE('',*,*,#202425,.F.); #270640=ORIENTED_EDGE('',*,*,#202426,.F.); #270641=ORIENTED_EDGE('',*,*,#202427,.T.); #270642=ORIENTED_EDGE('',*,*,#202426,.T.); #270643=ORIENTED_EDGE('',*,*,#202428,.F.); #270644=ORIENTED_EDGE('',*,*,#202429,.F.); #270645=ORIENTED_EDGE('',*,*,#202430,.T.); #270646=ORIENTED_EDGE('',*,*,#202429,.T.); #270647=ORIENTED_EDGE('',*,*,#202431,.F.); #270648=ORIENTED_EDGE('',*,*,#202432,.F.); #270649=ORIENTED_EDGE('',*,*,#202433,.T.); #270650=ORIENTED_EDGE('',*,*,#202432,.T.); #270651=ORIENTED_EDGE('',*,*,#202434,.F.); #270652=ORIENTED_EDGE('',*,*,#202435,.F.); #270653=ORIENTED_EDGE('',*,*,#202436,.T.); #270654=ORIENTED_EDGE('',*,*,#202435,.T.); #270655=ORIENTED_EDGE('',*,*,#202437,.F.); #270656=ORIENTED_EDGE('',*,*,#202438,.F.); #270657=ORIENTED_EDGE('',*,*,#202439,.T.); #270658=ORIENTED_EDGE('',*,*,#202438,.T.); #270659=ORIENTED_EDGE('',*,*,#202440,.F.); #270660=ORIENTED_EDGE('',*,*,#202441,.F.); #270661=ORIENTED_EDGE('',*,*,#202442,.T.); #270662=ORIENTED_EDGE('',*,*,#202441,.T.); #270663=ORIENTED_EDGE('',*,*,#202443,.F.); #270664=ORIENTED_EDGE('',*,*,#202444,.F.); #270665=ORIENTED_EDGE('',*,*,#202445,.T.); #270666=ORIENTED_EDGE('',*,*,#202444,.T.); #270667=ORIENTED_EDGE('',*,*,#202446,.F.); #270668=ORIENTED_EDGE('',*,*,#202447,.F.); #270669=ORIENTED_EDGE('',*,*,#202448,.T.); #270670=ORIENTED_EDGE('',*,*,#202447,.T.); #270671=ORIENTED_EDGE('',*,*,#202449,.F.); #270672=ORIENTED_EDGE('',*,*,#202450,.F.); #270673=ORIENTED_EDGE('',*,*,#202451,.T.); #270674=ORIENTED_EDGE('',*,*,#202450,.T.); #270675=ORIENTED_EDGE('',*,*,#202452,.F.); #270676=ORIENTED_EDGE('',*,*,#202453,.F.); #270677=ORIENTED_EDGE('',*,*,#202454,.T.); #270678=ORIENTED_EDGE('',*,*,#202453,.T.); #270679=ORIENTED_EDGE('',*,*,#202455,.F.); #270680=ORIENTED_EDGE('',*,*,#202456,.F.); #270681=ORIENTED_EDGE('',*,*,#202457,.T.); #270682=ORIENTED_EDGE('',*,*,#202456,.T.); #270683=ORIENTED_EDGE('',*,*,#202458,.F.); #270684=ORIENTED_EDGE('',*,*,#202459,.F.); #270685=ORIENTED_EDGE('',*,*,#202460,.T.); #270686=ORIENTED_EDGE('',*,*,#202459,.T.); #270687=ORIENTED_EDGE('',*,*,#202461,.F.); #270688=ORIENTED_EDGE('',*,*,#202462,.F.); #270689=ORIENTED_EDGE('',*,*,#202463,.T.); #270690=ORIENTED_EDGE('',*,*,#202462,.T.); #270691=ORIENTED_EDGE('',*,*,#202464,.F.); #270692=ORIENTED_EDGE('',*,*,#202465,.F.); #270693=ORIENTED_EDGE('',*,*,#202466,.T.); #270694=ORIENTED_EDGE('',*,*,#202465,.T.); #270695=ORIENTED_EDGE('',*,*,#202467,.F.); #270696=ORIENTED_EDGE('',*,*,#202468,.F.); #270697=ORIENTED_EDGE('',*,*,#202469,.T.); #270698=ORIENTED_EDGE('',*,*,#202468,.T.); #270699=ORIENTED_EDGE('',*,*,#202470,.F.); #270700=ORIENTED_EDGE('',*,*,#202471,.F.); #270701=ORIENTED_EDGE('',*,*,#202472,.T.); #270702=ORIENTED_EDGE('',*,*,#202471,.T.); #270703=ORIENTED_EDGE('',*,*,#202473,.F.); #270704=ORIENTED_EDGE('',*,*,#202474,.F.); #270705=ORIENTED_EDGE('',*,*,#202475,.T.); #270706=ORIENTED_EDGE('',*,*,#202474,.T.); #270707=ORIENTED_EDGE('',*,*,#202476,.F.); #270708=ORIENTED_EDGE('',*,*,#202477,.F.); #270709=ORIENTED_EDGE('',*,*,#202478,.T.); #270710=ORIENTED_EDGE('',*,*,#202477,.T.); #270711=ORIENTED_EDGE('',*,*,#202479,.F.); #270712=ORIENTED_EDGE('',*,*,#202480,.F.); #270713=ORIENTED_EDGE('',*,*,#202481,.T.); #270714=ORIENTED_EDGE('',*,*,#202480,.T.); #270715=ORIENTED_EDGE('',*,*,#202482,.F.); #270716=ORIENTED_EDGE('',*,*,#202483,.F.); #270717=ORIENTED_EDGE('',*,*,#202484,.T.); #270718=ORIENTED_EDGE('',*,*,#202483,.T.); #270719=ORIENTED_EDGE('',*,*,#202485,.F.); #270720=ORIENTED_EDGE('',*,*,#202486,.F.); #270721=ORIENTED_EDGE('',*,*,#202487,.T.); #270722=ORIENTED_EDGE('',*,*,#202486,.T.); #270723=ORIENTED_EDGE('',*,*,#202488,.F.); #270724=ORIENTED_EDGE('',*,*,#202489,.F.); #270725=ORIENTED_EDGE('',*,*,#202490,.T.); #270726=ORIENTED_EDGE('',*,*,#202489,.T.); #270727=ORIENTED_EDGE('',*,*,#202491,.F.); #270728=ORIENTED_EDGE('',*,*,#202492,.F.); #270729=ORIENTED_EDGE('',*,*,#202493,.T.); #270730=ORIENTED_EDGE('',*,*,#202492,.T.); #270731=ORIENTED_EDGE('',*,*,#202494,.F.); #270732=ORIENTED_EDGE('',*,*,#202495,.F.); #270733=ORIENTED_EDGE('',*,*,#202496,.T.); #270734=ORIENTED_EDGE('',*,*,#202495,.T.); #270735=ORIENTED_EDGE('',*,*,#202497,.F.); #270736=ORIENTED_EDGE('',*,*,#202498,.F.); #270737=ORIENTED_EDGE('',*,*,#202499,.T.); #270738=ORIENTED_EDGE('',*,*,#202498,.T.); #270739=ORIENTED_EDGE('',*,*,#202500,.F.); #270740=ORIENTED_EDGE('',*,*,#202501,.F.); #270741=ORIENTED_EDGE('',*,*,#202502,.T.); #270742=ORIENTED_EDGE('',*,*,#202501,.T.); #270743=ORIENTED_EDGE('',*,*,#202503,.F.); #270744=ORIENTED_EDGE('',*,*,#202504,.F.); #270745=ORIENTED_EDGE('',*,*,#202505,.T.); #270746=ORIENTED_EDGE('',*,*,#202504,.T.); #270747=ORIENTED_EDGE('',*,*,#202506,.F.); #270748=ORIENTED_EDGE('',*,*,#202507,.F.); #270749=ORIENTED_EDGE('',*,*,#202508,.T.); #270750=ORIENTED_EDGE('',*,*,#202507,.T.); #270751=ORIENTED_EDGE('',*,*,#202509,.F.); #270752=ORIENTED_EDGE('',*,*,#202510,.F.); #270753=ORIENTED_EDGE('',*,*,#202511,.T.); #270754=ORIENTED_EDGE('',*,*,#202510,.T.); #270755=ORIENTED_EDGE('',*,*,#202512,.F.); #270756=ORIENTED_EDGE('',*,*,#202513,.F.); #270757=ORIENTED_EDGE('',*,*,#202514,.T.); #270758=ORIENTED_EDGE('',*,*,#202513,.T.); #270759=ORIENTED_EDGE('',*,*,#202515,.F.); #270760=ORIENTED_EDGE('',*,*,#202516,.F.); #270761=ORIENTED_EDGE('',*,*,#202517,.T.); #270762=ORIENTED_EDGE('',*,*,#202516,.T.); #270763=ORIENTED_EDGE('',*,*,#202518,.F.); #270764=ORIENTED_EDGE('',*,*,#202519,.F.); #270765=ORIENTED_EDGE('',*,*,#202520,.T.); #270766=ORIENTED_EDGE('',*,*,#202519,.T.); #270767=ORIENTED_EDGE('',*,*,#202521,.F.); #270768=ORIENTED_EDGE('',*,*,#202522,.F.); #270769=ORIENTED_EDGE('',*,*,#202523,.T.); #270770=ORIENTED_EDGE('',*,*,#202522,.T.); #270771=ORIENTED_EDGE('',*,*,#202524,.F.); #270772=ORIENTED_EDGE('',*,*,#202525,.F.); #270773=ORIENTED_EDGE('',*,*,#202526,.T.); #270774=ORIENTED_EDGE('',*,*,#202525,.T.); #270775=ORIENTED_EDGE('',*,*,#202527,.F.); #270776=ORIENTED_EDGE('',*,*,#202528,.F.); #270777=ORIENTED_EDGE('',*,*,#202529,.T.); #270778=ORIENTED_EDGE('',*,*,#202528,.T.); #270779=ORIENTED_EDGE('',*,*,#202530,.F.); #270780=ORIENTED_EDGE('',*,*,#202531,.F.); #270781=ORIENTED_EDGE('',*,*,#202532,.T.); #270782=ORIENTED_EDGE('',*,*,#202531,.T.); #270783=ORIENTED_EDGE('',*,*,#202533,.F.); #270784=ORIENTED_EDGE('',*,*,#202534,.F.); #270785=ORIENTED_EDGE('',*,*,#202535,.T.); #270786=ORIENTED_EDGE('',*,*,#202534,.T.); #270787=ORIENTED_EDGE('',*,*,#202536,.F.); #270788=ORIENTED_EDGE('',*,*,#202537,.F.); #270789=ORIENTED_EDGE('',*,*,#202538,.T.); #270790=ORIENTED_EDGE('',*,*,#202537,.T.); #270791=ORIENTED_EDGE('',*,*,#202539,.F.); #270792=ORIENTED_EDGE('',*,*,#202540,.F.); #270793=ORIENTED_EDGE('',*,*,#202541,.T.); #270794=ORIENTED_EDGE('',*,*,#202540,.T.); #270795=ORIENTED_EDGE('',*,*,#202542,.F.); #270796=ORIENTED_EDGE('',*,*,#202543,.F.); #270797=ORIENTED_EDGE('',*,*,#202544,.T.); #270798=ORIENTED_EDGE('',*,*,#202543,.T.); #270799=ORIENTED_EDGE('',*,*,#202545,.F.); #270800=ORIENTED_EDGE('',*,*,#202546,.F.); #270801=ORIENTED_EDGE('',*,*,#202547,.T.); #270802=ORIENTED_EDGE('',*,*,#202546,.T.); #270803=ORIENTED_EDGE('',*,*,#202548,.F.); #270804=ORIENTED_EDGE('',*,*,#202549,.F.); #270805=ORIENTED_EDGE('',*,*,#202550,.T.); #270806=ORIENTED_EDGE('',*,*,#202549,.T.); #270807=ORIENTED_EDGE('',*,*,#202551,.F.); #270808=ORIENTED_EDGE('',*,*,#202552,.F.); #270809=ORIENTED_EDGE('',*,*,#202553,.T.); #270810=ORIENTED_EDGE('',*,*,#202552,.T.); #270811=ORIENTED_EDGE('',*,*,#202554,.F.); #270812=ORIENTED_EDGE('',*,*,#202555,.F.); #270813=ORIENTED_EDGE('',*,*,#202556,.T.); #270814=ORIENTED_EDGE('',*,*,#202555,.T.); #270815=ORIENTED_EDGE('',*,*,#202557,.F.); #270816=ORIENTED_EDGE('',*,*,#202558,.F.); #270817=ORIENTED_EDGE('',*,*,#202559,.T.); #270818=ORIENTED_EDGE('',*,*,#202558,.T.); #270819=ORIENTED_EDGE('',*,*,#202560,.F.); #270820=ORIENTED_EDGE('',*,*,#202561,.F.); #270821=ORIENTED_EDGE('',*,*,#202562,.T.); #270822=ORIENTED_EDGE('',*,*,#202561,.T.); #270823=ORIENTED_EDGE('',*,*,#202563,.F.); #270824=ORIENTED_EDGE('',*,*,#202564,.F.); #270825=ORIENTED_EDGE('',*,*,#202565,.T.); #270826=ORIENTED_EDGE('',*,*,#202564,.T.); #270827=ORIENTED_EDGE('',*,*,#202566,.F.); #270828=ORIENTED_EDGE('',*,*,#202567,.F.); #270829=ORIENTED_EDGE('',*,*,#202568,.T.); #270830=ORIENTED_EDGE('',*,*,#202567,.T.); #270831=ORIENTED_EDGE('',*,*,#202569,.F.); #270832=ORIENTED_EDGE('',*,*,#202570,.F.); #270833=ORIENTED_EDGE('',*,*,#202571,.T.); #270834=ORIENTED_EDGE('',*,*,#202570,.T.); #270835=ORIENTED_EDGE('',*,*,#202572,.F.); #270836=ORIENTED_EDGE('',*,*,#202573,.F.); #270837=ORIENTED_EDGE('',*,*,#202574,.T.); #270838=ORIENTED_EDGE('',*,*,#202573,.T.); #270839=ORIENTED_EDGE('',*,*,#202575,.F.); #270840=ORIENTED_EDGE('',*,*,#202576,.F.); #270841=ORIENTED_EDGE('',*,*,#202577,.T.); #270842=ORIENTED_EDGE('',*,*,#202576,.T.); #270843=ORIENTED_EDGE('',*,*,#202578,.F.); #270844=ORIENTED_EDGE('',*,*,#202579,.F.); #270845=ORIENTED_EDGE('',*,*,#202580,.T.); #270846=ORIENTED_EDGE('',*,*,#202579,.T.); #270847=ORIENTED_EDGE('',*,*,#202581,.F.); #270848=ORIENTED_EDGE('',*,*,#202582,.F.); #270849=ORIENTED_EDGE('',*,*,#202583,.T.); #270850=ORIENTED_EDGE('',*,*,#202582,.T.); #270851=ORIENTED_EDGE('',*,*,#202584,.F.); #270852=ORIENTED_EDGE('',*,*,#202585,.F.); #270853=ORIENTED_EDGE('',*,*,#202586,.T.); #270854=ORIENTED_EDGE('',*,*,#202585,.T.); #270855=ORIENTED_EDGE('',*,*,#202587,.F.); #270856=ORIENTED_EDGE('',*,*,#202588,.F.); #270857=ORIENTED_EDGE('',*,*,#202589,.T.); #270858=ORIENTED_EDGE('',*,*,#202588,.T.); #270859=ORIENTED_EDGE('',*,*,#202590,.F.); #270860=ORIENTED_EDGE('',*,*,#202591,.F.); #270861=ORIENTED_EDGE('',*,*,#202592,.T.); #270862=ORIENTED_EDGE('',*,*,#202591,.T.); #270863=ORIENTED_EDGE('',*,*,#202593,.F.); #270864=ORIENTED_EDGE('',*,*,#202594,.F.); #270865=ORIENTED_EDGE('',*,*,#202595,.T.); #270866=ORIENTED_EDGE('',*,*,#202594,.T.); #270867=ORIENTED_EDGE('',*,*,#202596,.F.); #270868=ORIENTED_EDGE('',*,*,#202597,.F.); #270869=ORIENTED_EDGE('',*,*,#202598,.T.); #270870=ORIENTED_EDGE('',*,*,#202597,.T.); #270871=ORIENTED_EDGE('',*,*,#202599,.F.); #270872=ORIENTED_EDGE('',*,*,#202600,.F.); #270873=ORIENTED_EDGE('',*,*,#202601,.T.); #270874=ORIENTED_EDGE('',*,*,#202600,.T.); #270875=ORIENTED_EDGE('',*,*,#202602,.F.); #270876=ORIENTED_EDGE('',*,*,#202603,.F.); #270877=ORIENTED_EDGE('',*,*,#202604,.T.); #270878=ORIENTED_EDGE('',*,*,#202603,.T.); #270879=ORIENTED_EDGE('',*,*,#202605,.F.); #270880=ORIENTED_EDGE('',*,*,#202606,.F.); #270881=ORIENTED_EDGE('',*,*,#202607,.T.); #270882=ORIENTED_EDGE('',*,*,#202606,.T.); #270883=ORIENTED_EDGE('',*,*,#202608,.F.); #270884=ORIENTED_EDGE('',*,*,#202609,.F.); #270885=ORIENTED_EDGE('',*,*,#202610,.T.); #270886=ORIENTED_EDGE('',*,*,#202609,.T.); #270887=ORIENTED_EDGE('',*,*,#202611,.F.); #270888=ORIENTED_EDGE('',*,*,#202612,.F.); #270889=ORIENTED_EDGE('',*,*,#202613,.T.); #270890=ORIENTED_EDGE('',*,*,#202612,.T.); #270891=ORIENTED_EDGE('',*,*,#202614,.F.); #270892=ORIENTED_EDGE('',*,*,#202615,.F.); #270893=ORIENTED_EDGE('',*,*,#202616,.T.); #270894=ORIENTED_EDGE('',*,*,#202615,.T.); #270895=ORIENTED_EDGE('',*,*,#202617,.F.); #270896=ORIENTED_EDGE('',*,*,#202618,.F.); #270897=ORIENTED_EDGE('',*,*,#202619,.T.); #270898=ORIENTED_EDGE('',*,*,#202618,.T.); #270899=ORIENTED_EDGE('',*,*,#202620,.F.); #270900=ORIENTED_EDGE('',*,*,#202621,.F.); #270901=ORIENTED_EDGE('',*,*,#202622,.T.); #270902=ORIENTED_EDGE('',*,*,#202621,.T.); #270903=ORIENTED_EDGE('',*,*,#202623,.F.); #270904=ORIENTED_EDGE('',*,*,#202624,.F.); #270905=ORIENTED_EDGE('',*,*,#202625,.T.); #270906=ORIENTED_EDGE('',*,*,#202624,.T.); #270907=ORIENTED_EDGE('',*,*,#202626,.F.); #270908=ORIENTED_EDGE('',*,*,#202627,.F.); #270909=ORIENTED_EDGE('',*,*,#202628,.T.); #270910=ORIENTED_EDGE('',*,*,#202627,.T.); #270911=ORIENTED_EDGE('',*,*,#202629,.F.); #270912=ORIENTED_EDGE('',*,*,#202630,.F.); #270913=ORIENTED_EDGE('',*,*,#202631,.T.); #270914=ORIENTED_EDGE('',*,*,#202630,.T.); #270915=ORIENTED_EDGE('',*,*,#202632,.F.); #270916=ORIENTED_EDGE('',*,*,#202633,.F.); #270917=ORIENTED_EDGE('',*,*,#202634,.T.); #270918=ORIENTED_EDGE('',*,*,#202633,.T.); #270919=ORIENTED_EDGE('',*,*,#202635,.F.); #270920=ORIENTED_EDGE('',*,*,#202636,.F.); #270921=ORIENTED_EDGE('',*,*,#202637,.T.); #270922=ORIENTED_EDGE('',*,*,#202636,.T.); #270923=ORIENTED_EDGE('',*,*,#202638,.F.); #270924=ORIENTED_EDGE('',*,*,#202639,.F.); #270925=ORIENTED_EDGE('',*,*,#202640,.T.); #270926=ORIENTED_EDGE('',*,*,#202639,.T.); #270927=ORIENTED_EDGE('',*,*,#202641,.F.); #270928=ORIENTED_EDGE('',*,*,#202642,.F.); #270929=ORIENTED_EDGE('',*,*,#202643,.T.); #270930=ORIENTED_EDGE('',*,*,#202642,.T.); #270931=ORIENTED_EDGE('',*,*,#202644,.F.); #270932=ORIENTED_EDGE('',*,*,#202645,.F.); #270933=ORIENTED_EDGE('',*,*,#202646,.T.); #270934=ORIENTED_EDGE('',*,*,#202645,.T.); #270935=ORIENTED_EDGE('',*,*,#202647,.F.); #270936=ORIENTED_EDGE('',*,*,#202648,.F.); #270937=ORIENTED_EDGE('',*,*,#202649,.T.); #270938=ORIENTED_EDGE('',*,*,#202648,.T.); #270939=ORIENTED_EDGE('',*,*,#202650,.F.); #270940=ORIENTED_EDGE('',*,*,#202651,.F.); #270941=ORIENTED_EDGE('',*,*,#202652,.T.); #270942=ORIENTED_EDGE('',*,*,#202651,.T.); #270943=ORIENTED_EDGE('',*,*,#202653,.F.); #270944=ORIENTED_EDGE('',*,*,#202654,.F.); #270945=ORIENTED_EDGE('',*,*,#202655,.T.); #270946=ORIENTED_EDGE('',*,*,#202654,.T.); #270947=ORIENTED_EDGE('',*,*,#202656,.F.); #270948=ORIENTED_EDGE('',*,*,#202657,.F.); #270949=ORIENTED_EDGE('',*,*,#202658,.T.); #270950=ORIENTED_EDGE('',*,*,#202657,.T.); #270951=ORIENTED_EDGE('',*,*,#202659,.F.); #270952=ORIENTED_EDGE('',*,*,#202660,.F.); #270953=ORIENTED_EDGE('',*,*,#202661,.T.); #270954=ORIENTED_EDGE('',*,*,#202660,.T.); #270955=ORIENTED_EDGE('',*,*,#202662,.F.); #270956=ORIENTED_EDGE('',*,*,#202663,.F.); #270957=ORIENTED_EDGE('',*,*,#202664,.T.); #270958=ORIENTED_EDGE('',*,*,#202663,.T.); #270959=ORIENTED_EDGE('',*,*,#202665,.F.); #270960=ORIENTED_EDGE('',*,*,#202666,.F.); #270961=ORIENTED_EDGE('',*,*,#202667,.T.); #270962=ORIENTED_EDGE('',*,*,#202666,.T.); #270963=ORIENTED_EDGE('',*,*,#202668,.F.); #270964=ORIENTED_EDGE('',*,*,#202669,.F.); #270965=ORIENTED_EDGE('',*,*,#202670,.T.); #270966=ORIENTED_EDGE('',*,*,#202669,.T.); #270967=ORIENTED_EDGE('',*,*,#202671,.F.); #270968=ORIENTED_EDGE('',*,*,#202672,.F.); #270969=ORIENTED_EDGE('',*,*,#202673,.T.); #270970=ORIENTED_EDGE('',*,*,#202672,.T.); #270971=ORIENTED_EDGE('',*,*,#202674,.F.); #270972=ORIENTED_EDGE('',*,*,#202675,.F.); #270973=ORIENTED_EDGE('',*,*,#202676,.T.); #270974=ORIENTED_EDGE('',*,*,#202675,.T.); #270975=ORIENTED_EDGE('',*,*,#202677,.F.); #270976=ORIENTED_EDGE('',*,*,#202678,.F.); #270977=ORIENTED_EDGE('',*,*,#202679,.T.); #270978=ORIENTED_EDGE('',*,*,#202678,.T.); #270979=ORIENTED_EDGE('',*,*,#202680,.F.); #270980=ORIENTED_EDGE('',*,*,#202681,.F.); #270981=ORIENTED_EDGE('',*,*,#202682,.T.); #270982=ORIENTED_EDGE('',*,*,#202681,.T.); #270983=ORIENTED_EDGE('',*,*,#202683,.F.); #270984=ORIENTED_EDGE('',*,*,#202684,.F.); #270985=ORIENTED_EDGE('',*,*,#202685,.T.); #270986=ORIENTED_EDGE('',*,*,#202684,.T.); #270987=ORIENTED_EDGE('',*,*,#202686,.F.); #270988=ORIENTED_EDGE('',*,*,#202687,.F.); #270989=ORIENTED_EDGE('',*,*,#202688,.T.); #270990=ORIENTED_EDGE('',*,*,#202687,.T.); #270991=ORIENTED_EDGE('',*,*,#202689,.F.); #270992=ORIENTED_EDGE('',*,*,#202690,.F.); #270993=ORIENTED_EDGE('',*,*,#202691,.T.); #270994=ORIENTED_EDGE('',*,*,#202690,.T.); #270995=ORIENTED_EDGE('',*,*,#202692,.F.); #270996=ORIENTED_EDGE('',*,*,#202693,.F.); #270997=ORIENTED_EDGE('',*,*,#202694,.T.); #270998=ORIENTED_EDGE('',*,*,#202693,.T.); #270999=ORIENTED_EDGE('',*,*,#202695,.F.); #271000=ORIENTED_EDGE('',*,*,#202696,.F.); #271001=ORIENTED_EDGE('',*,*,#202697,.T.); #271002=ORIENTED_EDGE('',*,*,#202696,.T.); #271003=ORIENTED_EDGE('',*,*,#202698,.F.); #271004=ORIENTED_EDGE('',*,*,#202699,.F.); #271005=ORIENTED_EDGE('',*,*,#202700,.T.); #271006=ORIENTED_EDGE('',*,*,#202699,.T.); #271007=ORIENTED_EDGE('',*,*,#202701,.F.); #271008=ORIENTED_EDGE('',*,*,#202702,.F.); #271009=ORIENTED_EDGE('',*,*,#202703,.T.); #271010=ORIENTED_EDGE('',*,*,#202702,.T.); #271011=ORIENTED_EDGE('',*,*,#202704,.F.); #271012=ORIENTED_EDGE('',*,*,#202705,.F.); #271013=ORIENTED_EDGE('',*,*,#202706,.T.); #271014=ORIENTED_EDGE('',*,*,#202705,.T.); #271015=ORIENTED_EDGE('',*,*,#202707,.F.); #271016=ORIENTED_EDGE('',*,*,#202708,.F.); #271017=ORIENTED_EDGE('',*,*,#202709,.T.); #271018=ORIENTED_EDGE('',*,*,#202708,.T.); #271019=ORIENTED_EDGE('',*,*,#202710,.F.); #271020=ORIENTED_EDGE('',*,*,#202711,.F.); #271021=ORIENTED_EDGE('',*,*,#202712,.T.); #271022=ORIENTED_EDGE('',*,*,#202711,.T.); #271023=ORIENTED_EDGE('',*,*,#202713,.F.); #271024=ORIENTED_EDGE('',*,*,#202714,.F.); #271025=ORIENTED_EDGE('',*,*,#202715,.T.); #271026=ORIENTED_EDGE('',*,*,#202714,.T.); #271027=ORIENTED_EDGE('',*,*,#202716,.F.); #271028=ORIENTED_EDGE('',*,*,#202717,.F.); #271029=ORIENTED_EDGE('',*,*,#202718,.T.); #271030=ORIENTED_EDGE('',*,*,#202717,.T.); #271031=ORIENTED_EDGE('',*,*,#202719,.F.); #271032=ORIENTED_EDGE('',*,*,#202720,.F.); #271033=ORIENTED_EDGE('',*,*,#202721,.T.); #271034=ORIENTED_EDGE('',*,*,#202720,.T.); #271035=ORIENTED_EDGE('',*,*,#202722,.F.); #271036=ORIENTED_EDGE('',*,*,#202723,.F.); #271037=ORIENTED_EDGE('',*,*,#202724,.T.); #271038=ORIENTED_EDGE('',*,*,#202723,.T.); #271039=ORIENTED_EDGE('',*,*,#202725,.F.); #271040=ORIENTED_EDGE('',*,*,#202726,.F.); #271041=ORIENTED_EDGE('',*,*,#202727,.T.); #271042=ORIENTED_EDGE('',*,*,#202726,.T.); #271043=ORIENTED_EDGE('',*,*,#202728,.F.); #271044=ORIENTED_EDGE('',*,*,#202729,.F.); #271045=ORIENTED_EDGE('',*,*,#202730,.T.); #271046=ORIENTED_EDGE('',*,*,#202729,.T.); #271047=ORIENTED_EDGE('',*,*,#202731,.F.); #271048=ORIENTED_EDGE('',*,*,#202732,.F.); #271049=ORIENTED_EDGE('',*,*,#202733,.T.); #271050=ORIENTED_EDGE('',*,*,#202732,.T.); #271051=ORIENTED_EDGE('',*,*,#202734,.F.); #271052=ORIENTED_EDGE('',*,*,#202735,.F.); #271053=ORIENTED_EDGE('',*,*,#202736,.T.); #271054=ORIENTED_EDGE('',*,*,#202735,.T.); #271055=ORIENTED_EDGE('',*,*,#202737,.F.); #271056=ORIENTED_EDGE('',*,*,#202738,.F.); #271057=ORIENTED_EDGE('',*,*,#202739,.T.); #271058=ORIENTED_EDGE('',*,*,#202738,.T.); #271059=ORIENTED_EDGE('',*,*,#202740,.F.); #271060=ORIENTED_EDGE('',*,*,#202741,.F.); #271061=ORIENTED_EDGE('',*,*,#202742,.T.); #271062=ORIENTED_EDGE('',*,*,#202741,.T.); #271063=ORIENTED_EDGE('',*,*,#202743,.F.); #271064=ORIENTED_EDGE('',*,*,#202744,.F.); #271065=ORIENTED_EDGE('',*,*,#202745,.T.); #271066=ORIENTED_EDGE('',*,*,#202744,.T.); #271067=ORIENTED_EDGE('',*,*,#202746,.F.); #271068=ORIENTED_EDGE('',*,*,#202747,.F.); #271069=ORIENTED_EDGE('',*,*,#202748,.T.); #271070=ORIENTED_EDGE('',*,*,#202747,.T.); #271071=ORIENTED_EDGE('',*,*,#202749,.F.); #271072=ORIENTED_EDGE('',*,*,#202750,.F.); #271073=ORIENTED_EDGE('',*,*,#202751,.T.); #271074=ORIENTED_EDGE('',*,*,#202750,.T.); #271075=ORIENTED_EDGE('',*,*,#202752,.F.); #271076=ORIENTED_EDGE('',*,*,#202753,.F.); #271077=ORIENTED_EDGE('',*,*,#202754,.T.); #271078=ORIENTED_EDGE('',*,*,#202753,.T.); #271079=ORIENTED_EDGE('',*,*,#202755,.F.); #271080=ORIENTED_EDGE('',*,*,#202756,.F.); #271081=ORIENTED_EDGE('',*,*,#202757,.T.); #271082=ORIENTED_EDGE('',*,*,#202756,.T.); #271083=ORIENTED_EDGE('',*,*,#202758,.F.); #271084=ORIENTED_EDGE('',*,*,#202759,.F.); #271085=ORIENTED_EDGE('',*,*,#202760,.T.); #271086=ORIENTED_EDGE('',*,*,#202759,.T.); #271087=ORIENTED_EDGE('',*,*,#202761,.F.); #271088=ORIENTED_EDGE('',*,*,#202762,.F.); #271089=ORIENTED_EDGE('',*,*,#202763,.T.); #271090=ORIENTED_EDGE('',*,*,#202762,.T.); #271091=ORIENTED_EDGE('',*,*,#202764,.F.); #271092=ORIENTED_EDGE('',*,*,#202765,.F.); #271093=ORIENTED_EDGE('',*,*,#202766,.T.); #271094=ORIENTED_EDGE('',*,*,#202765,.T.); #271095=ORIENTED_EDGE('',*,*,#202767,.F.); #271096=ORIENTED_EDGE('',*,*,#202768,.F.); #271097=ORIENTED_EDGE('',*,*,#202769,.T.); #271098=ORIENTED_EDGE('',*,*,#202768,.T.); #271099=ORIENTED_EDGE('',*,*,#202770,.F.); #271100=ORIENTED_EDGE('',*,*,#202771,.F.); #271101=ORIENTED_EDGE('',*,*,#202772,.T.); #271102=ORIENTED_EDGE('',*,*,#202771,.T.); #271103=ORIENTED_EDGE('',*,*,#202773,.F.); #271104=ORIENTED_EDGE('',*,*,#202774,.F.); #271105=ORIENTED_EDGE('',*,*,#202775,.T.); #271106=ORIENTED_EDGE('',*,*,#202774,.T.); #271107=ORIENTED_EDGE('',*,*,#202776,.F.); #271108=ORIENTED_EDGE('',*,*,#202777,.F.); #271109=ORIENTED_EDGE('',*,*,#202778,.T.); #271110=ORIENTED_EDGE('',*,*,#202777,.T.); #271111=ORIENTED_EDGE('',*,*,#202779,.F.); #271112=ORIENTED_EDGE('',*,*,#202780,.F.); #271113=ORIENTED_EDGE('',*,*,#202781,.T.); #271114=ORIENTED_EDGE('',*,*,#202780,.T.); #271115=ORIENTED_EDGE('',*,*,#202782,.F.); #271116=ORIENTED_EDGE('',*,*,#202783,.F.); #271117=ORIENTED_EDGE('',*,*,#202784,.T.); #271118=ORIENTED_EDGE('',*,*,#202783,.T.); #271119=ORIENTED_EDGE('',*,*,#202785,.F.); #271120=ORIENTED_EDGE('',*,*,#202786,.F.); #271121=ORIENTED_EDGE('',*,*,#202787,.T.); #271122=ORIENTED_EDGE('',*,*,#202786,.T.); #271123=ORIENTED_EDGE('',*,*,#202788,.F.); #271124=ORIENTED_EDGE('',*,*,#202789,.F.); #271125=ORIENTED_EDGE('',*,*,#202790,.T.); #271126=ORIENTED_EDGE('',*,*,#202789,.T.); #271127=ORIENTED_EDGE('',*,*,#202791,.F.); #271128=ORIENTED_EDGE('',*,*,#202792,.F.); #271129=ORIENTED_EDGE('',*,*,#202793,.T.); #271130=ORIENTED_EDGE('',*,*,#202792,.T.); #271131=ORIENTED_EDGE('',*,*,#202794,.F.); #271132=ORIENTED_EDGE('',*,*,#202795,.F.); #271133=ORIENTED_EDGE('',*,*,#202796,.T.); #271134=ORIENTED_EDGE('',*,*,#202795,.T.); #271135=ORIENTED_EDGE('',*,*,#202797,.F.); #271136=ORIENTED_EDGE('',*,*,#202798,.F.); #271137=ORIENTED_EDGE('',*,*,#202799,.T.); #271138=ORIENTED_EDGE('',*,*,#202798,.T.); #271139=ORIENTED_EDGE('',*,*,#202800,.F.); #271140=ORIENTED_EDGE('',*,*,#202801,.F.); #271141=ORIENTED_EDGE('',*,*,#202802,.T.); #271142=ORIENTED_EDGE('',*,*,#202801,.T.); #271143=ORIENTED_EDGE('',*,*,#202803,.F.); #271144=ORIENTED_EDGE('',*,*,#202804,.F.); #271145=ORIENTED_EDGE('',*,*,#202805,.T.); #271146=ORIENTED_EDGE('',*,*,#202804,.T.); #271147=ORIENTED_EDGE('',*,*,#202806,.F.); #271148=ORIENTED_EDGE('',*,*,#202807,.F.); #271149=ORIENTED_EDGE('',*,*,#202808,.T.); #271150=ORIENTED_EDGE('',*,*,#202807,.T.); #271151=ORIENTED_EDGE('',*,*,#202809,.F.); #271152=ORIENTED_EDGE('',*,*,#202810,.F.); #271153=ORIENTED_EDGE('',*,*,#202811,.T.); #271154=ORIENTED_EDGE('',*,*,#202810,.T.); #271155=ORIENTED_EDGE('',*,*,#202812,.F.); #271156=ORIENTED_EDGE('',*,*,#202813,.F.); #271157=ORIENTED_EDGE('',*,*,#202814,.T.); #271158=ORIENTED_EDGE('',*,*,#202813,.T.); #271159=ORIENTED_EDGE('',*,*,#202815,.F.); #271160=ORIENTED_EDGE('',*,*,#202816,.F.); #271161=ORIENTED_EDGE('',*,*,#202817,.T.); #271162=ORIENTED_EDGE('',*,*,#202816,.T.); #271163=ORIENTED_EDGE('',*,*,#202818,.F.); #271164=ORIENTED_EDGE('',*,*,#202819,.F.); #271165=ORIENTED_EDGE('',*,*,#202820,.T.); #271166=ORIENTED_EDGE('',*,*,#202819,.T.); #271167=ORIENTED_EDGE('',*,*,#202821,.F.); #271168=ORIENTED_EDGE('',*,*,#202822,.F.); #271169=ORIENTED_EDGE('',*,*,#202823,.T.); #271170=ORIENTED_EDGE('',*,*,#202822,.T.); #271171=ORIENTED_EDGE('',*,*,#202824,.F.); #271172=ORIENTED_EDGE('',*,*,#202825,.F.); #271173=ORIENTED_EDGE('',*,*,#202826,.T.); #271174=ORIENTED_EDGE('',*,*,#202825,.T.); #271175=ORIENTED_EDGE('',*,*,#202827,.F.); #271176=ORIENTED_EDGE('',*,*,#202828,.F.); #271177=ORIENTED_EDGE('',*,*,#202829,.T.); #271178=ORIENTED_EDGE('',*,*,#202828,.T.); #271179=ORIENTED_EDGE('',*,*,#202830,.F.); #271180=ORIENTED_EDGE('',*,*,#202831,.F.); #271181=ORIENTED_EDGE('',*,*,#202832,.T.); #271182=ORIENTED_EDGE('',*,*,#202831,.T.); #271183=ORIENTED_EDGE('',*,*,#202833,.F.); #271184=ORIENTED_EDGE('',*,*,#202834,.F.); #271185=ORIENTED_EDGE('',*,*,#202835,.T.); #271186=ORIENTED_EDGE('',*,*,#202834,.T.); #271187=ORIENTED_EDGE('',*,*,#202836,.F.); #271188=ORIENTED_EDGE('',*,*,#202837,.F.); #271189=ORIENTED_EDGE('',*,*,#202838,.T.); #271190=ORIENTED_EDGE('',*,*,#202837,.T.); #271191=ORIENTED_EDGE('',*,*,#202839,.F.); #271192=ORIENTED_EDGE('',*,*,#202840,.F.); #271193=ORIENTED_EDGE('',*,*,#202841,.T.); #271194=ORIENTED_EDGE('',*,*,#202840,.T.); #271195=ORIENTED_EDGE('',*,*,#202842,.F.); #271196=ORIENTED_EDGE('',*,*,#202843,.F.); #271197=ORIENTED_EDGE('',*,*,#202844,.T.); #271198=ORIENTED_EDGE('',*,*,#202843,.T.); #271199=ORIENTED_EDGE('',*,*,#202845,.F.); #271200=ORIENTED_EDGE('',*,*,#202846,.F.); #271201=ORIENTED_EDGE('',*,*,#202847,.T.); #271202=ORIENTED_EDGE('',*,*,#202846,.T.); #271203=ORIENTED_EDGE('',*,*,#202848,.F.); #271204=ORIENTED_EDGE('',*,*,#202849,.F.); #271205=ORIENTED_EDGE('',*,*,#202850,.T.); #271206=ORIENTED_EDGE('',*,*,#202849,.T.); #271207=ORIENTED_EDGE('',*,*,#202851,.F.); #271208=ORIENTED_EDGE('',*,*,#202852,.F.); #271209=ORIENTED_EDGE('',*,*,#202853,.T.); #271210=ORIENTED_EDGE('',*,*,#202852,.T.); #271211=ORIENTED_EDGE('',*,*,#202854,.F.); #271212=ORIENTED_EDGE('',*,*,#202855,.F.); #271213=ORIENTED_EDGE('',*,*,#202856,.T.); #271214=ORIENTED_EDGE('',*,*,#202855,.T.); #271215=ORIENTED_EDGE('',*,*,#202857,.F.); #271216=ORIENTED_EDGE('',*,*,#202858,.F.); #271217=ORIENTED_EDGE('',*,*,#202859,.T.); #271218=ORIENTED_EDGE('',*,*,#202858,.T.); #271219=ORIENTED_EDGE('',*,*,#202860,.F.); #271220=ORIENTED_EDGE('',*,*,#202861,.F.); #271221=ORIENTED_EDGE('',*,*,#202862,.T.); #271222=ORIENTED_EDGE('',*,*,#202861,.T.); #271223=ORIENTED_EDGE('',*,*,#202863,.F.); #271224=ORIENTED_EDGE('',*,*,#202864,.F.); #271225=ORIENTED_EDGE('',*,*,#202865,.T.); #271226=ORIENTED_EDGE('',*,*,#202864,.T.); #271227=ORIENTED_EDGE('',*,*,#202866,.F.); #271228=ORIENTED_EDGE('',*,*,#202867,.F.); #271229=ORIENTED_EDGE('',*,*,#202868,.T.); #271230=ORIENTED_EDGE('',*,*,#202867,.T.); #271231=ORIENTED_EDGE('',*,*,#202869,.F.); #271232=ORIENTED_EDGE('',*,*,#202870,.F.); #271233=ORIENTED_EDGE('',*,*,#202871,.T.); #271234=ORIENTED_EDGE('',*,*,#202870,.T.); #271235=ORIENTED_EDGE('',*,*,#202872,.F.); #271236=ORIENTED_EDGE('',*,*,#202873,.F.); #271237=ORIENTED_EDGE('',*,*,#202874,.T.); #271238=ORIENTED_EDGE('',*,*,#202873,.T.); #271239=ORIENTED_EDGE('',*,*,#202875,.F.); #271240=ORIENTED_EDGE('',*,*,#202876,.F.); #271241=ORIENTED_EDGE('',*,*,#202877,.T.); #271242=ORIENTED_EDGE('',*,*,#202876,.T.); #271243=ORIENTED_EDGE('',*,*,#202878,.F.); #271244=ORIENTED_EDGE('',*,*,#202879,.F.); #271245=ORIENTED_EDGE('',*,*,#202880,.T.); #271246=ORIENTED_EDGE('',*,*,#202879,.T.); #271247=ORIENTED_EDGE('',*,*,#202881,.F.); #271248=ORIENTED_EDGE('',*,*,#202882,.F.); #271249=ORIENTED_EDGE('',*,*,#202883,.T.); #271250=ORIENTED_EDGE('',*,*,#202882,.T.); #271251=ORIENTED_EDGE('',*,*,#202884,.F.); #271252=ORIENTED_EDGE('',*,*,#202885,.F.); #271253=ORIENTED_EDGE('',*,*,#202886,.T.); #271254=ORIENTED_EDGE('',*,*,#202885,.T.); #271255=ORIENTED_EDGE('',*,*,#202887,.F.); #271256=ORIENTED_EDGE('',*,*,#202888,.F.); #271257=ORIENTED_EDGE('',*,*,#202889,.T.); #271258=ORIENTED_EDGE('',*,*,#202888,.T.); #271259=ORIENTED_EDGE('',*,*,#202890,.F.); #271260=ORIENTED_EDGE('',*,*,#202891,.F.); #271261=ORIENTED_EDGE('',*,*,#202892,.T.); #271262=ORIENTED_EDGE('',*,*,#202891,.T.); #271263=ORIENTED_EDGE('',*,*,#202893,.F.); #271264=ORIENTED_EDGE('',*,*,#202894,.F.); #271265=ORIENTED_EDGE('',*,*,#202895,.T.); #271266=ORIENTED_EDGE('',*,*,#202894,.T.); #271267=ORIENTED_EDGE('',*,*,#202896,.F.); #271268=ORIENTED_EDGE('',*,*,#202897,.F.); #271269=ORIENTED_EDGE('',*,*,#202898,.T.); #271270=ORIENTED_EDGE('',*,*,#202897,.T.); #271271=ORIENTED_EDGE('',*,*,#202899,.F.); #271272=ORIENTED_EDGE('',*,*,#202900,.F.); #271273=ORIENTED_EDGE('',*,*,#202901,.T.); #271274=ORIENTED_EDGE('',*,*,#202900,.T.); #271275=ORIENTED_EDGE('',*,*,#202902,.F.); #271276=ORIENTED_EDGE('',*,*,#202903,.F.); #271277=ORIENTED_EDGE('',*,*,#202904,.T.); #271278=ORIENTED_EDGE('',*,*,#202903,.T.); #271279=ORIENTED_EDGE('',*,*,#202905,.F.); #271280=ORIENTED_EDGE('',*,*,#202906,.F.); #271281=ORIENTED_EDGE('',*,*,#202907,.T.); #271282=ORIENTED_EDGE('',*,*,#202906,.T.); #271283=ORIENTED_EDGE('',*,*,#202908,.F.); #271284=ORIENTED_EDGE('',*,*,#202909,.F.); #271285=ORIENTED_EDGE('',*,*,#202910,.T.); #271286=ORIENTED_EDGE('',*,*,#202909,.T.); #271287=ORIENTED_EDGE('',*,*,#202911,.F.); #271288=ORIENTED_EDGE('',*,*,#202912,.F.); #271289=ORIENTED_EDGE('',*,*,#202913,.T.); #271290=ORIENTED_EDGE('',*,*,#202912,.T.); #271291=ORIENTED_EDGE('',*,*,#202914,.F.); #271292=ORIENTED_EDGE('',*,*,#202915,.F.); #271293=ORIENTED_EDGE('',*,*,#202916,.T.); #271294=ORIENTED_EDGE('',*,*,#202915,.T.); #271295=ORIENTED_EDGE('',*,*,#202917,.F.); #271296=ORIENTED_EDGE('',*,*,#202918,.F.); #271297=ORIENTED_EDGE('',*,*,#202919,.T.); #271298=ORIENTED_EDGE('',*,*,#202918,.T.); #271299=ORIENTED_EDGE('',*,*,#202920,.F.); #271300=ORIENTED_EDGE('',*,*,#202921,.F.); #271301=ORIENTED_EDGE('',*,*,#202922,.T.); #271302=ORIENTED_EDGE('',*,*,#202921,.T.); #271303=ORIENTED_EDGE('',*,*,#202923,.F.); #271304=ORIENTED_EDGE('',*,*,#202924,.F.); #271305=ORIENTED_EDGE('',*,*,#202925,.T.); #271306=ORIENTED_EDGE('',*,*,#202924,.T.); #271307=ORIENTED_EDGE('',*,*,#202926,.F.); #271308=ORIENTED_EDGE('',*,*,#202927,.F.); #271309=ORIENTED_EDGE('',*,*,#202928,.T.); #271310=ORIENTED_EDGE('',*,*,#202927,.T.); #271311=ORIENTED_EDGE('',*,*,#202929,.F.); #271312=ORIENTED_EDGE('',*,*,#202930,.F.); #271313=ORIENTED_EDGE('',*,*,#202931,.T.); #271314=ORIENTED_EDGE('',*,*,#202930,.T.); #271315=ORIENTED_EDGE('',*,*,#202932,.F.); #271316=ORIENTED_EDGE('',*,*,#202933,.F.); #271317=ORIENTED_EDGE('',*,*,#202934,.T.); #271318=ORIENTED_EDGE('',*,*,#202933,.T.); #271319=ORIENTED_EDGE('',*,*,#202935,.F.); #271320=ORIENTED_EDGE('',*,*,#202936,.F.); #271321=ORIENTED_EDGE('',*,*,#202937,.T.); #271322=ORIENTED_EDGE('',*,*,#202936,.T.); #271323=ORIENTED_EDGE('',*,*,#202938,.F.); #271324=ORIENTED_EDGE('',*,*,#202939,.F.); #271325=ORIENTED_EDGE('',*,*,#202940,.T.); #271326=ORIENTED_EDGE('',*,*,#202939,.T.); #271327=ORIENTED_EDGE('',*,*,#202941,.F.); #271328=ORIENTED_EDGE('',*,*,#202942,.F.); #271329=ORIENTED_EDGE('',*,*,#202943,.T.); #271330=ORIENTED_EDGE('',*,*,#202942,.T.); #271331=ORIENTED_EDGE('',*,*,#202944,.F.); #271332=ORIENTED_EDGE('',*,*,#202945,.F.); #271333=ORIENTED_EDGE('',*,*,#202946,.T.); #271334=ORIENTED_EDGE('',*,*,#202945,.T.); #271335=ORIENTED_EDGE('',*,*,#202947,.F.); #271336=ORIENTED_EDGE('',*,*,#202948,.F.); #271337=ORIENTED_EDGE('',*,*,#202949,.T.); #271338=ORIENTED_EDGE('',*,*,#202948,.T.); #271339=ORIENTED_EDGE('',*,*,#202950,.F.); #271340=ORIENTED_EDGE('',*,*,#202951,.F.); #271341=ORIENTED_EDGE('',*,*,#202952,.T.); #271342=ORIENTED_EDGE('',*,*,#202951,.T.); #271343=ORIENTED_EDGE('',*,*,#202953,.F.); #271344=ORIENTED_EDGE('',*,*,#202954,.F.); #271345=ORIENTED_EDGE('',*,*,#202955,.T.); #271346=ORIENTED_EDGE('',*,*,#202954,.T.); #271347=ORIENTED_EDGE('',*,*,#202956,.F.); #271348=ORIENTED_EDGE('',*,*,#202957,.F.); #271349=ORIENTED_EDGE('',*,*,#202958,.T.); #271350=ORIENTED_EDGE('',*,*,#202957,.T.); #271351=ORIENTED_EDGE('',*,*,#202959,.F.); #271352=ORIENTED_EDGE('',*,*,#202960,.F.); #271353=ORIENTED_EDGE('',*,*,#202961,.T.); #271354=ORIENTED_EDGE('',*,*,#202960,.T.); #271355=ORIENTED_EDGE('',*,*,#202962,.F.); #271356=ORIENTED_EDGE('',*,*,#202963,.F.); #271357=ORIENTED_EDGE('',*,*,#202964,.T.); #271358=ORIENTED_EDGE('',*,*,#202963,.T.); #271359=ORIENTED_EDGE('',*,*,#202965,.F.); #271360=ORIENTED_EDGE('',*,*,#202966,.F.); #271361=ORIENTED_EDGE('',*,*,#202967,.T.); #271362=ORIENTED_EDGE('',*,*,#202966,.T.); #271363=ORIENTED_EDGE('',*,*,#202968,.F.); #271364=ORIENTED_EDGE('',*,*,#202969,.F.); #271365=ORIENTED_EDGE('',*,*,#202970,.T.); #271366=ORIENTED_EDGE('',*,*,#202969,.T.); #271367=ORIENTED_EDGE('',*,*,#202971,.F.); #271368=ORIENTED_EDGE('',*,*,#202972,.F.); #271369=ORIENTED_EDGE('',*,*,#202973,.T.); #271370=ORIENTED_EDGE('',*,*,#202972,.T.); #271371=ORIENTED_EDGE('',*,*,#202974,.F.); #271372=ORIENTED_EDGE('',*,*,#202975,.F.); #271373=ORIENTED_EDGE('',*,*,#202976,.T.); #271374=ORIENTED_EDGE('',*,*,#202975,.T.); #271375=ORIENTED_EDGE('',*,*,#202977,.F.); #271376=ORIENTED_EDGE('',*,*,#202978,.F.); #271377=ORIENTED_EDGE('',*,*,#202979,.T.); #271378=ORIENTED_EDGE('',*,*,#202978,.T.); #271379=ORIENTED_EDGE('',*,*,#202980,.F.); #271380=ORIENTED_EDGE('',*,*,#202981,.F.); #271381=ORIENTED_EDGE('',*,*,#202982,.T.); #271382=ORIENTED_EDGE('',*,*,#202981,.T.); #271383=ORIENTED_EDGE('',*,*,#202983,.F.); #271384=ORIENTED_EDGE('',*,*,#202984,.F.); #271385=ORIENTED_EDGE('',*,*,#202985,.T.); #271386=ORIENTED_EDGE('',*,*,#202984,.T.); #271387=ORIENTED_EDGE('',*,*,#202986,.F.); #271388=ORIENTED_EDGE('',*,*,#202987,.F.); #271389=ORIENTED_EDGE('',*,*,#202988,.T.); #271390=ORIENTED_EDGE('',*,*,#202987,.T.); #271391=ORIENTED_EDGE('',*,*,#202989,.F.); #271392=ORIENTED_EDGE('',*,*,#202990,.F.); #271393=ORIENTED_EDGE('',*,*,#202991,.T.); #271394=ORIENTED_EDGE('',*,*,#202990,.T.); #271395=ORIENTED_EDGE('',*,*,#202992,.F.); #271396=ORIENTED_EDGE('',*,*,#202993,.F.); #271397=ORIENTED_EDGE('',*,*,#202994,.T.); #271398=ORIENTED_EDGE('',*,*,#202993,.T.); #271399=ORIENTED_EDGE('',*,*,#202995,.F.); #271400=ORIENTED_EDGE('',*,*,#202996,.F.); #271401=ORIENTED_EDGE('',*,*,#202997,.T.); #271402=ORIENTED_EDGE('',*,*,#202996,.T.); #271403=ORIENTED_EDGE('',*,*,#202998,.F.); #271404=ORIENTED_EDGE('',*,*,#202999,.F.); #271405=ORIENTED_EDGE('',*,*,#203000,.T.); #271406=ORIENTED_EDGE('',*,*,#202999,.T.); #271407=ORIENTED_EDGE('',*,*,#203001,.F.); #271408=ORIENTED_EDGE('',*,*,#203002,.F.); #271409=ORIENTED_EDGE('',*,*,#203003,.T.); #271410=ORIENTED_EDGE('',*,*,#203002,.T.); #271411=ORIENTED_EDGE('',*,*,#203004,.F.); #271412=ORIENTED_EDGE('',*,*,#203005,.F.); #271413=ORIENTED_EDGE('',*,*,#203006,.T.); #271414=ORIENTED_EDGE('',*,*,#203005,.T.); #271415=ORIENTED_EDGE('',*,*,#203007,.F.); #271416=ORIENTED_EDGE('',*,*,#203008,.F.); #271417=ORIENTED_EDGE('',*,*,#203009,.T.); #271418=ORIENTED_EDGE('',*,*,#203008,.T.); #271419=ORIENTED_EDGE('',*,*,#203010,.F.); #271420=ORIENTED_EDGE('',*,*,#203011,.F.); #271421=ORIENTED_EDGE('',*,*,#203012,.T.); #271422=ORIENTED_EDGE('',*,*,#203011,.T.); #271423=ORIENTED_EDGE('',*,*,#203013,.F.); #271424=ORIENTED_EDGE('',*,*,#203014,.F.); #271425=ORIENTED_EDGE('',*,*,#203015,.T.); #271426=ORIENTED_EDGE('',*,*,#203014,.T.); #271427=ORIENTED_EDGE('',*,*,#203016,.F.); #271428=ORIENTED_EDGE('',*,*,#203017,.F.); #271429=ORIENTED_EDGE('',*,*,#203018,.T.); #271430=ORIENTED_EDGE('',*,*,#203017,.T.); #271431=ORIENTED_EDGE('',*,*,#203019,.F.); #271432=ORIENTED_EDGE('',*,*,#203020,.F.); #271433=ORIENTED_EDGE('',*,*,#203021,.T.); #271434=ORIENTED_EDGE('',*,*,#203020,.T.); #271435=ORIENTED_EDGE('',*,*,#203022,.F.); #271436=ORIENTED_EDGE('',*,*,#203023,.F.); #271437=ORIENTED_EDGE('',*,*,#203024,.T.); #271438=ORIENTED_EDGE('',*,*,#203023,.T.); #271439=ORIENTED_EDGE('',*,*,#203025,.F.); #271440=ORIENTED_EDGE('',*,*,#203026,.F.); #271441=ORIENTED_EDGE('',*,*,#203027,.T.); #271442=ORIENTED_EDGE('',*,*,#203026,.T.); #271443=ORIENTED_EDGE('',*,*,#203028,.F.); #271444=ORIENTED_EDGE('',*,*,#203029,.F.); #271445=ORIENTED_EDGE('',*,*,#203030,.T.); #271446=ORIENTED_EDGE('',*,*,#203029,.T.); #271447=ORIENTED_EDGE('',*,*,#203031,.F.); #271448=ORIENTED_EDGE('',*,*,#203032,.F.); #271449=ORIENTED_EDGE('',*,*,#203033,.T.); #271450=ORIENTED_EDGE('',*,*,#203032,.T.); #271451=ORIENTED_EDGE('',*,*,#203034,.F.); #271452=ORIENTED_EDGE('',*,*,#203035,.F.); #271453=ORIENTED_EDGE('',*,*,#203036,.T.); #271454=ORIENTED_EDGE('',*,*,#203035,.T.); #271455=ORIENTED_EDGE('',*,*,#203037,.F.); #271456=ORIENTED_EDGE('',*,*,#203038,.F.); #271457=ORIENTED_EDGE('',*,*,#203039,.T.); #271458=ORIENTED_EDGE('',*,*,#203038,.T.); #271459=ORIENTED_EDGE('',*,*,#203040,.F.); #271460=ORIENTED_EDGE('',*,*,#203041,.F.); #271461=ORIENTED_EDGE('',*,*,#203042,.T.); #271462=ORIENTED_EDGE('',*,*,#203041,.T.); #271463=ORIENTED_EDGE('',*,*,#203043,.F.); #271464=ORIENTED_EDGE('',*,*,#203044,.F.); #271465=ORIENTED_EDGE('',*,*,#203045,.T.); #271466=ORIENTED_EDGE('',*,*,#203044,.T.); #271467=ORIENTED_EDGE('',*,*,#203046,.F.); #271468=ORIENTED_EDGE('',*,*,#203047,.F.); #271469=ORIENTED_EDGE('',*,*,#203048,.T.); #271470=ORIENTED_EDGE('',*,*,#203047,.T.); #271471=ORIENTED_EDGE('',*,*,#203049,.F.); #271472=ORIENTED_EDGE('',*,*,#203050,.F.); #271473=ORIENTED_EDGE('',*,*,#203051,.T.); #271474=ORIENTED_EDGE('',*,*,#203050,.T.); #271475=ORIENTED_EDGE('',*,*,#203052,.F.); #271476=ORIENTED_EDGE('',*,*,#203053,.F.); #271477=ORIENTED_EDGE('',*,*,#203054,.T.); #271478=ORIENTED_EDGE('',*,*,#203053,.T.); #271479=ORIENTED_EDGE('',*,*,#203055,.F.); #271480=ORIENTED_EDGE('',*,*,#203056,.F.); #271481=ORIENTED_EDGE('',*,*,#203057,.T.); #271482=ORIENTED_EDGE('',*,*,#203056,.T.); #271483=ORIENTED_EDGE('',*,*,#203058,.F.); #271484=ORIENTED_EDGE('',*,*,#203059,.F.); #271485=ORIENTED_EDGE('',*,*,#203060,.T.); #271486=ORIENTED_EDGE('',*,*,#203059,.T.); #271487=ORIENTED_EDGE('',*,*,#203061,.F.); #271488=ORIENTED_EDGE('',*,*,#203062,.F.); #271489=ORIENTED_EDGE('',*,*,#203063,.T.); #271490=ORIENTED_EDGE('',*,*,#203062,.T.); #271491=ORIENTED_EDGE('',*,*,#203064,.F.); #271492=ORIENTED_EDGE('',*,*,#203065,.F.); #271493=ORIENTED_EDGE('',*,*,#203066,.T.); #271494=ORIENTED_EDGE('',*,*,#203065,.T.); #271495=ORIENTED_EDGE('',*,*,#203067,.F.); #271496=ORIENTED_EDGE('',*,*,#203068,.F.); #271497=ORIENTED_EDGE('',*,*,#203069,.T.); #271498=ORIENTED_EDGE('',*,*,#203068,.T.); #271499=ORIENTED_EDGE('',*,*,#203070,.F.); #271500=ORIENTED_EDGE('',*,*,#203071,.F.); #271501=ORIENTED_EDGE('',*,*,#203072,.T.); #271502=ORIENTED_EDGE('',*,*,#203071,.T.); #271503=ORIENTED_EDGE('',*,*,#203073,.F.); #271504=ORIENTED_EDGE('',*,*,#203074,.F.); #271505=ORIENTED_EDGE('',*,*,#203075,.T.); #271506=ORIENTED_EDGE('',*,*,#203074,.T.); #271507=ORIENTED_EDGE('',*,*,#203076,.F.); #271508=ORIENTED_EDGE('',*,*,#203077,.F.); #271509=ORIENTED_EDGE('',*,*,#203078,.T.); #271510=ORIENTED_EDGE('',*,*,#203077,.T.); #271511=ORIENTED_EDGE('',*,*,#203079,.F.); #271512=ORIENTED_EDGE('',*,*,#203080,.F.); #271513=ORIENTED_EDGE('',*,*,#203081,.T.); #271514=ORIENTED_EDGE('',*,*,#203080,.T.); #271515=ORIENTED_EDGE('',*,*,#203082,.F.); #271516=ORIENTED_EDGE('',*,*,#203083,.F.); #271517=ORIENTED_EDGE('',*,*,#203084,.T.); #271518=ORIENTED_EDGE('',*,*,#203083,.T.); #271519=ORIENTED_EDGE('',*,*,#203085,.F.); #271520=ORIENTED_EDGE('',*,*,#203086,.F.); #271521=ORIENTED_EDGE('',*,*,#203087,.T.); #271522=ORIENTED_EDGE('',*,*,#203086,.T.); #271523=ORIENTED_EDGE('',*,*,#203088,.F.); #271524=ORIENTED_EDGE('',*,*,#203089,.F.); #271525=ORIENTED_EDGE('',*,*,#203090,.T.); #271526=ORIENTED_EDGE('',*,*,#203089,.T.); #271527=ORIENTED_EDGE('',*,*,#203091,.F.); #271528=ORIENTED_EDGE('',*,*,#203092,.F.); #271529=ORIENTED_EDGE('',*,*,#203093,.T.); #271530=ORIENTED_EDGE('',*,*,#203092,.T.); #271531=ORIENTED_EDGE('',*,*,#203094,.F.); #271532=ORIENTED_EDGE('',*,*,#203095,.F.); #271533=ORIENTED_EDGE('',*,*,#203096,.T.); #271534=ORIENTED_EDGE('',*,*,#203095,.T.); #271535=ORIENTED_EDGE('',*,*,#203097,.F.); #271536=ORIENTED_EDGE('',*,*,#203098,.F.); #271537=ORIENTED_EDGE('',*,*,#203099,.T.); #271538=ORIENTED_EDGE('',*,*,#203098,.T.); #271539=ORIENTED_EDGE('',*,*,#203100,.F.); #271540=ORIENTED_EDGE('',*,*,#203101,.F.); #271541=ORIENTED_EDGE('',*,*,#203102,.T.); #271542=ORIENTED_EDGE('',*,*,#203101,.T.); #271543=ORIENTED_EDGE('',*,*,#203103,.F.); #271544=ORIENTED_EDGE('',*,*,#203104,.F.); #271545=ORIENTED_EDGE('',*,*,#203105,.T.); #271546=ORIENTED_EDGE('',*,*,#203104,.T.); #271547=ORIENTED_EDGE('',*,*,#203106,.F.); #271548=ORIENTED_EDGE('',*,*,#202214,.F.); #271549=ORIENTED_EDGE('',*,*,#203106,.T.); #271550=ORIENTED_EDGE('',*,*,#203103,.T.); #271551=ORIENTED_EDGE('',*,*,#203100,.T.); #271552=ORIENTED_EDGE('',*,*,#203097,.T.); #271553=ORIENTED_EDGE('',*,*,#203094,.T.); #271554=ORIENTED_EDGE('',*,*,#203091,.T.); #271555=ORIENTED_EDGE('',*,*,#203088,.T.); #271556=ORIENTED_EDGE('',*,*,#203085,.T.); #271557=ORIENTED_EDGE('',*,*,#203082,.T.); #271558=ORIENTED_EDGE('',*,*,#203079,.T.); #271559=ORIENTED_EDGE('',*,*,#203076,.T.); #271560=ORIENTED_EDGE('',*,*,#203073,.T.); #271561=ORIENTED_EDGE('',*,*,#203070,.T.); #271562=ORIENTED_EDGE('',*,*,#203067,.T.); #271563=ORIENTED_EDGE('',*,*,#203064,.T.); #271564=ORIENTED_EDGE('',*,*,#203061,.T.); #271565=ORIENTED_EDGE('',*,*,#203058,.T.); #271566=ORIENTED_EDGE('',*,*,#203055,.T.); #271567=ORIENTED_EDGE('',*,*,#203052,.T.); #271568=ORIENTED_EDGE('',*,*,#203049,.T.); #271569=ORIENTED_EDGE('',*,*,#203046,.T.); #271570=ORIENTED_EDGE('',*,*,#203043,.T.); #271571=ORIENTED_EDGE('',*,*,#203040,.T.); #271572=ORIENTED_EDGE('',*,*,#203037,.T.); #271573=ORIENTED_EDGE('',*,*,#203034,.T.); #271574=ORIENTED_EDGE('',*,*,#203031,.T.); #271575=ORIENTED_EDGE('',*,*,#203028,.T.); #271576=ORIENTED_EDGE('',*,*,#203025,.T.); #271577=ORIENTED_EDGE('',*,*,#203022,.T.); #271578=ORIENTED_EDGE('',*,*,#203019,.T.); #271579=ORIENTED_EDGE('',*,*,#203016,.T.); #271580=ORIENTED_EDGE('',*,*,#203013,.T.); #271581=ORIENTED_EDGE('',*,*,#203010,.T.); #271582=ORIENTED_EDGE('',*,*,#203007,.T.); #271583=ORIENTED_EDGE('',*,*,#203004,.T.); #271584=ORIENTED_EDGE('',*,*,#203001,.T.); #271585=ORIENTED_EDGE('',*,*,#202998,.T.); #271586=ORIENTED_EDGE('',*,*,#202995,.T.); #271587=ORIENTED_EDGE('',*,*,#202992,.T.); #271588=ORIENTED_EDGE('',*,*,#202989,.T.); #271589=ORIENTED_EDGE('',*,*,#202986,.T.); #271590=ORIENTED_EDGE('',*,*,#202983,.T.); #271591=ORIENTED_EDGE('',*,*,#202980,.T.); #271592=ORIENTED_EDGE('',*,*,#202977,.T.); #271593=ORIENTED_EDGE('',*,*,#202974,.T.); #271594=ORIENTED_EDGE('',*,*,#202971,.T.); #271595=ORIENTED_EDGE('',*,*,#202968,.T.); #271596=ORIENTED_EDGE('',*,*,#202965,.T.); #271597=ORIENTED_EDGE('',*,*,#202962,.T.); #271598=ORIENTED_EDGE('',*,*,#202959,.T.); #271599=ORIENTED_EDGE('',*,*,#202956,.T.); #271600=ORIENTED_EDGE('',*,*,#202953,.T.); #271601=ORIENTED_EDGE('',*,*,#202950,.T.); #271602=ORIENTED_EDGE('',*,*,#202947,.T.); #271603=ORIENTED_EDGE('',*,*,#202944,.T.); #271604=ORIENTED_EDGE('',*,*,#202941,.T.); #271605=ORIENTED_EDGE('',*,*,#202938,.T.); #271606=ORIENTED_EDGE('',*,*,#202935,.T.); #271607=ORIENTED_EDGE('',*,*,#202932,.T.); #271608=ORIENTED_EDGE('',*,*,#202929,.T.); #271609=ORIENTED_EDGE('',*,*,#202926,.T.); #271610=ORIENTED_EDGE('',*,*,#202923,.T.); #271611=ORIENTED_EDGE('',*,*,#202920,.T.); #271612=ORIENTED_EDGE('',*,*,#202917,.T.); #271613=ORIENTED_EDGE('',*,*,#202914,.T.); #271614=ORIENTED_EDGE('',*,*,#202911,.T.); #271615=ORIENTED_EDGE('',*,*,#202908,.T.); #271616=ORIENTED_EDGE('',*,*,#202905,.T.); #271617=ORIENTED_EDGE('',*,*,#202902,.T.); #271618=ORIENTED_EDGE('',*,*,#202899,.T.); #271619=ORIENTED_EDGE('',*,*,#202896,.T.); #271620=ORIENTED_EDGE('',*,*,#202893,.T.); #271621=ORIENTED_EDGE('',*,*,#202890,.T.); #271622=ORIENTED_EDGE('',*,*,#202887,.T.); #271623=ORIENTED_EDGE('',*,*,#202884,.T.); #271624=ORIENTED_EDGE('',*,*,#202881,.T.); #271625=ORIENTED_EDGE('',*,*,#202878,.T.); #271626=ORIENTED_EDGE('',*,*,#202875,.T.); #271627=ORIENTED_EDGE('',*,*,#202872,.T.); #271628=ORIENTED_EDGE('',*,*,#202869,.T.); #271629=ORIENTED_EDGE('',*,*,#202866,.T.); #271630=ORIENTED_EDGE('',*,*,#202863,.T.); #271631=ORIENTED_EDGE('',*,*,#202860,.T.); #271632=ORIENTED_EDGE('',*,*,#202857,.T.); #271633=ORIENTED_EDGE('',*,*,#202854,.T.); #271634=ORIENTED_EDGE('',*,*,#202851,.T.); #271635=ORIENTED_EDGE('',*,*,#202848,.T.); #271636=ORIENTED_EDGE('',*,*,#202845,.T.); #271637=ORIENTED_EDGE('',*,*,#202842,.T.); #271638=ORIENTED_EDGE('',*,*,#202839,.T.); #271639=ORIENTED_EDGE('',*,*,#202836,.T.); #271640=ORIENTED_EDGE('',*,*,#202833,.T.); #271641=ORIENTED_EDGE('',*,*,#202830,.T.); #271642=ORIENTED_EDGE('',*,*,#202827,.T.); #271643=ORIENTED_EDGE('',*,*,#202824,.T.); #271644=ORIENTED_EDGE('',*,*,#202821,.T.); #271645=ORIENTED_EDGE('',*,*,#202818,.T.); #271646=ORIENTED_EDGE('',*,*,#202815,.T.); #271647=ORIENTED_EDGE('',*,*,#202812,.T.); #271648=ORIENTED_EDGE('',*,*,#202809,.T.); #271649=ORIENTED_EDGE('',*,*,#202806,.T.); #271650=ORIENTED_EDGE('',*,*,#202803,.T.); #271651=ORIENTED_EDGE('',*,*,#202800,.T.); #271652=ORIENTED_EDGE('',*,*,#202797,.T.); #271653=ORIENTED_EDGE('',*,*,#202794,.T.); #271654=ORIENTED_EDGE('',*,*,#202791,.T.); #271655=ORIENTED_EDGE('',*,*,#202788,.T.); #271656=ORIENTED_EDGE('',*,*,#202785,.T.); #271657=ORIENTED_EDGE('',*,*,#202782,.T.); #271658=ORIENTED_EDGE('',*,*,#202779,.T.); #271659=ORIENTED_EDGE('',*,*,#202776,.T.); #271660=ORIENTED_EDGE('',*,*,#202773,.T.); #271661=ORIENTED_EDGE('',*,*,#202770,.T.); #271662=ORIENTED_EDGE('',*,*,#202767,.T.); #271663=ORIENTED_EDGE('',*,*,#202764,.T.); #271664=ORIENTED_EDGE('',*,*,#202761,.T.); #271665=ORIENTED_EDGE('',*,*,#202758,.T.); #271666=ORIENTED_EDGE('',*,*,#202755,.T.); #271667=ORIENTED_EDGE('',*,*,#202752,.T.); #271668=ORIENTED_EDGE('',*,*,#202749,.T.); #271669=ORIENTED_EDGE('',*,*,#202746,.T.); #271670=ORIENTED_EDGE('',*,*,#202743,.T.); #271671=ORIENTED_EDGE('',*,*,#202740,.T.); #271672=ORIENTED_EDGE('',*,*,#202737,.T.); #271673=ORIENTED_EDGE('',*,*,#202734,.T.); #271674=ORIENTED_EDGE('',*,*,#202731,.T.); #271675=ORIENTED_EDGE('',*,*,#202728,.T.); #271676=ORIENTED_EDGE('',*,*,#202725,.T.); #271677=ORIENTED_EDGE('',*,*,#202722,.T.); #271678=ORIENTED_EDGE('',*,*,#202719,.T.); #271679=ORIENTED_EDGE('',*,*,#202716,.T.); #271680=ORIENTED_EDGE('',*,*,#202713,.T.); #271681=ORIENTED_EDGE('',*,*,#202710,.T.); #271682=ORIENTED_EDGE('',*,*,#202707,.T.); #271683=ORIENTED_EDGE('',*,*,#202704,.T.); #271684=ORIENTED_EDGE('',*,*,#202701,.T.); #271685=ORIENTED_EDGE('',*,*,#202698,.T.); #271686=ORIENTED_EDGE('',*,*,#202695,.T.); #271687=ORIENTED_EDGE('',*,*,#202692,.T.); #271688=ORIENTED_EDGE('',*,*,#202689,.T.); #271689=ORIENTED_EDGE('',*,*,#202686,.T.); #271690=ORIENTED_EDGE('',*,*,#202683,.T.); #271691=ORIENTED_EDGE('',*,*,#202680,.T.); #271692=ORIENTED_EDGE('',*,*,#202677,.T.); #271693=ORIENTED_EDGE('',*,*,#202674,.T.); #271694=ORIENTED_EDGE('',*,*,#202671,.T.); #271695=ORIENTED_EDGE('',*,*,#202668,.T.); #271696=ORIENTED_EDGE('',*,*,#202665,.T.); #271697=ORIENTED_EDGE('',*,*,#202662,.T.); #271698=ORIENTED_EDGE('',*,*,#202659,.T.); #271699=ORIENTED_EDGE('',*,*,#202656,.T.); #271700=ORIENTED_EDGE('',*,*,#202653,.T.); #271701=ORIENTED_EDGE('',*,*,#202650,.T.); #271702=ORIENTED_EDGE('',*,*,#202647,.T.); #271703=ORIENTED_EDGE('',*,*,#202644,.T.); #271704=ORIENTED_EDGE('',*,*,#202641,.T.); #271705=ORIENTED_EDGE('',*,*,#202638,.T.); #271706=ORIENTED_EDGE('',*,*,#202635,.T.); #271707=ORIENTED_EDGE('',*,*,#202632,.T.); #271708=ORIENTED_EDGE('',*,*,#202629,.T.); #271709=ORIENTED_EDGE('',*,*,#202626,.T.); #271710=ORIENTED_EDGE('',*,*,#202623,.T.); #271711=ORIENTED_EDGE('',*,*,#202620,.T.); #271712=ORIENTED_EDGE('',*,*,#202617,.T.); #271713=ORIENTED_EDGE('',*,*,#202614,.T.); #271714=ORIENTED_EDGE('',*,*,#202611,.T.); #271715=ORIENTED_EDGE('',*,*,#202608,.T.); #271716=ORIENTED_EDGE('',*,*,#202605,.T.); #271717=ORIENTED_EDGE('',*,*,#202602,.T.); #271718=ORIENTED_EDGE('',*,*,#202599,.T.); #271719=ORIENTED_EDGE('',*,*,#202596,.T.); #271720=ORIENTED_EDGE('',*,*,#202593,.T.); #271721=ORIENTED_EDGE('',*,*,#202590,.T.); #271722=ORIENTED_EDGE('',*,*,#202587,.T.); #271723=ORIENTED_EDGE('',*,*,#202584,.T.); #271724=ORIENTED_EDGE('',*,*,#202581,.T.); #271725=ORIENTED_EDGE('',*,*,#202578,.T.); #271726=ORIENTED_EDGE('',*,*,#202575,.T.); #271727=ORIENTED_EDGE('',*,*,#202572,.T.); #271728=ORIENTED_EDGE('',*,*,#202569,.T.); #271729=ORIENTED_EDGE('',*,*,#202566,.T.); #271730=ORIENTED_EDGE('',*,*,#202563,.T.); #271731=ORIENTED_EDGE('',*,*,#202560,.T.); #271732=ORIENTED_EDGE('',*,*,#202557,.T.); #271733=ORIENTED_EDGE('',*,*,#202554,.T.); #271734=ORIENTED_EDGE('',*,*,#202551,.T.); #271735=ORIENTED_EDGE('',*,*,#202548,.T.); #271736=ORIENTED_EDGE('',*,*,#202545,.T.); #271737=ORIENTED_EDGE('',*,*,#202542,.T.); #271738=ORIENTED_EDGE('',*,*,#202539,.T.); #271739=ORIENTED_EDGE('',*,*,#202536,.T.); #271740=ORIENTED_EDGE('',*,*,#202533,.T.); #271741=ORIENTED_EDGE('',*,*,#202530,.T.); #271742=ORIENTED_EDGE('',*,*,#202527,.T.); #271743=ORIENTED_EDGE('',*,*,#202524,.T.); #271744=ORIENTED_EDGE('',*,*,#202521,.T.); #271745=ORIENTED_EDGE('',*,*,#202518,.T.); #271746=ORIENTED_EDGE('',*,*,#202515,.T.); #271747=ORIENTED_EDGE('',*,*,#202512,.T.); #271748=ORIENTED_EDGE('',*,*,#202509,.T.); #271749=ORIENTED_EDGE('',*,*,#202506,.T.); #271750=ORIENTED_EDGE('',*,*,#202503,.T.); #271751=ORIENTED_EDGE('',*,*,#202500,.T.); #271752=ORIENTED_EDGE('',*,*,#202497,.T.); #271753=ORIENTED_EDGE('',*,*,#202494,.T.); #271754=ORIENTED_EDGE('',*,*,#202491,.T.); #271755=ORIENTED_EDGE('',*,*,#202488,.T.); #271756=ORIENTED_EDGE('',*,*,#202485,.T.); #271757=ORIENTED_EDGE('',*,*,#202482,.T.); #271758=ORIENTED_EDGE('',*,*,#202479,.T.); #271759=ORIENTED_EDGE('',*,*,#202476,.T.); #271760=ORIENTED_EDGE('',*,*,#202473,.T.); #271761=ORIENTED_EDGE('',*,*,#202470,.T.); #271762=ORIENTED_EDGE('',*,*,#202467,.T.); #271763=ORIENTED_EDGE('',*,*,#202464,.T.); #271764=ORIENTED_EDGE('',*,*,#202461,.T.); #271765=ORIENTED_EDGE('',*,*,#202458,.T.); #271766=ORIENTED_EDGE('',*,*,#202455,.T.); #271767=ORIENTED_EDGE('',*,*,#202452,.T.); #271768=ORIENTED_EDGE('',*,*,#202449,.T.); #271769=ORIENTED_EDGE('',*,*,#202446,.T.); #271770=ORIENTED_EDGE('',*,*,#202443,.T.); #271771=ORIENTED_EDGE('',*,*,#202440,.T.); #271772=ORIENTED_EDGE('',*,*,#202437,.T.); #271773=ORIENTED_EDGE('',*,*,#202434,.T.); #271774=ORIENTED_EDGE('',*,*,#202431,.T.); #271775=ORIENTED_EDGE('',*,*,#202428,.T.); #271776=ORIENTED_EDGE('',*,*,#202425,.T.); #271777=ORIENTED_EDGE('',*,*,#202422,.T.); #271778=ORIENTED_EDGE('',*,*,#202419,.T.); #271779=ORIENTED_EDGE('',*,*,#202416,.T.); #271780=ORIENTED_EDGE('',*,*,#202413,.T.); #271781=ORIENTED_EDGE('',*,*,#202410,.T.); #271782=ORIENTED_EDGE('',*,*,#202407,.T.); #271783=ORIENTED_EDGE('',*,*,#202404,.T.); #271784=ORIENTED_EDGE('',*,*,#202401,.T.); #271785=ORIENTED_EDGE('',*,*,#202398,.T.); #271786=ORIENTED_EDGE('',*,*,#202395,.T.); #271787=ORIENTED_EDGE('',*,*,#202392,.T.); #271788=ORIENTED_EDGE('',*,*,#202389,.T.); #271789=ORIENTED_EDGE('',*,*,#202386,.T.); #271790=ORIENTED_EDGE('',*,*,#202383,.T.); #271791=ORIENTED_EDGE('',*,*,#202380,.T.); #271792=ORIENTED_EDGE('',*,*,#202377,.T.); #271793=ORIENTED_EDGE('',*,*,#202374,.T.); #271794=ORIENTED_EDGE('',*,*,#202371,.T.); #271795=ORIENTED_EDGE('',*,*,#202368,.T.); #271796=ORIENTED_EDGE('',*,*,#202365,.T.); #271797=ORIENTED_EDGE('',*,*,#202362,.T.); #271798=ORIENTED_EDGE('',*,*,#202359,.T.); #271799=ORIENTED_EDGE('',*,*,#202356,.T.); #271800=ORIENTED_EDGE('',*,*,#202353,.T.); #271801=ORIENTED_EDGE('',*,*,#202350,.T.); #271802=ORIENTED_EDGE('',*,*,#202347,.T.); #271803=ORIENTED_EDGE('',*,*,#202344,.T.); #271804=ORIENTED_EDGE('',*,*,#202341,.T.); #271805=ORIENTED_EDGE('',*,*,#202338,.T.); #271806=ORIENTED_EDGE('',*,*,#202335,.T.); #271807=ORIENTED_EDGE('',*,*,#202332,.T.); #271808=ORIENTED_EDGE('',*,*,#202329,.T.); #271809=ORIENTED_EDGE('',*,*,#202326,.T.); #271810=ORIENTED_EDGE('',*,*,#202323,.T.); #271811=ORIENTED_EDGE('',*,*,#202320,.T.); #271812=ORIENTED_EDGE('',*,*,#202317,.T.); #271813=ORIENTED_EDGE('',*,*,#202314,.T.); #271814=ORIENTED_EDGE('',*,*,#202311,.T.); #271815=ORIENTED_EDGE('',*,*,#202308,.T.); #271816=ORIENTED_EDGE('',*,*,#202305,.T.); #271817=ORIENTED_EDGE('',*,*,#202302,.T.); #271818=ORIENTED_EDGE('',*,*,#202299,.T.); #271819=ORIENTED_EDGE('',*,*,#202296,.T.); #271820=ORIENTED_EDGE('',*,*,#202293,.T.); #271821=ORIENTED_EDGE('',*,*,#202290,.T.); #271822=ORIENTED_EDGE('',*,*,#202287,.T.); #271823=ORIENTED_EDGE('',*,*,#202284,.T.); #271824=ORIENTED_EDGE('',*,*,#202281,.T.); #271825=ORIENTED_EDGE('',*,*,#202278,.T.); #271826=ORIENTED_EDGE('',*,*,#202275,.T.); #271827=ORIENTED_EDGE('',*,*,#202272,.T.); #271828=ORIENTED_EDGE('',*,*,#202269,.T.); #271829=ORIENTED_EDGE('',*,*,#202266,.T.); #271830=ORIENTED_EDGE('',*,*,#202263,.T.); #271831=ORIENTED_EDGE('',*,*,#202260,.T.); #271832=ORIENTED_EDGE('',*,*,#202257,.T.); #271833=ORIENTED_EDGE('',*,*,#202254,.T.); #271834=ORIENTED_EDGE('',*,*,#202251,.T.); #271835=ORIENTED_EDGE('',*,*,#202248,.T.); #271836=ORIENTED_EDGE('',*,*,#202245,.T.); #271837=ORIENTED_EDGE('',*,*,#202242,.T.); #271838=ORIENTED_EDGE('',*,*,#202239,.T.); #271839=ORIENTED_EDGE('',*,*,#202236,.T.); #271840=ORIENTED_EDGE('',*,*,#202233,.T.); #271841=ORIENTED_EDGE('',*,*,#202230,.T.); #271842=ORIENTED_EDGE('',*,*,#202227,.T.); #271843=ORIENTED_EDGE('',*,*,#202224,.T.); #271844=ORIENTED_EDGE('',*,*,#202221,.T.); #271845=ORIENTED_EDGE('',*,*,#202218,.T.); #271846=ORIENTED_EDGE('',*,*,#202215,.T.); #271847=ORIENTED_EDGE('',*,*,#202095,.T.); #271848=ORIENTED_EDGE('',*,*,#202098,.T.); #271849=ORIENTED_EDGE('',*,*,#202101,.T.); #271850=ORIENTED_EDGE('',*,*,#202104,.T.); #271851=ORIENTED_EDGE('',*,*,#202107,.T.); #271852=ORIENTED_EDGE('',*,*,#202110,.T.); #271853=ORIENTED_EDGE('',*,*,#202113,.T.); #271854=ORIENTED_EDGE('',*,*,#202116,.T.); #271855=ORIENTED_EDGE('',*,*,#202119,.T.); #271856=ORIENTED_EDGE('',*,*,#202122,.T.); #271857=ORIENTED_EDGE('',*,*,#202125,.T.); #271858=ORIENTED_EDGE('',*,*,#202128,.T.); #271859=ORIENTED_EDGE('',*,*,#202131,.T.); #271860=ORIENTED_EDGE('',*,*,#202134,.T.); #271861=ORIENTED_EDGE('',*,*,#202137,.T.); #271862=ORIENTED_EDGE('',*,*,#202140,.T.); #271863=ORIENTED_EDGE('',*,*,#202212,.T.); #271864=ORIENTED_EDGE('',*,*,#202209,.T.); #271865=ORIENTED_EDGE('',*,*,#202206,.T.); #271866=ORIENTED_EDGE('',*,*,#202203,.T.); #271867=ORIENTED_EDGE('',*,*,#202200,.T.); #271868=ORIENTED_EDGE('',*,*,#202197,.T.); #271869=ORIENTED_EDGE('',*,*,#202194,.T.); #271870=ORIENTED_EDGE('',*,*,#202191,.T.); #271871=ORIENTED_EDGE('',*,*,#202188,.T.); #271872=ORIENTED_EDGE('',*,*,#202185,.T.); #271873=ORIENTED_EDGE('',*,*,#202182,.T.); #271874=ORIENTED_EDGE('',*,*,#202179,.T.); #271875=ORIENTED_EDGE('',*,*,#202176,.T.); #271876=ORIENTED_EDGE('',*,*,#202173,.T.); #271877=ORIENTED_EDGE('',*,*,#202170,.T.); #271878=ORIENTED_EDGE('',*,*,#202167,.T.); #271879=ORIENTED_EDGE('',*,*,#202164,.T.); #271880=ORIENTED_EDGE('',*,*,#202161,.T.); #271881=ORIENTED_EDGE('',*,*,#202158,.T.); #271882=ORIENTED_EDGE('',*,*,#202155,.T.); #271883=ORIENTED_EDGE('',*,*,#202152,.T.); #271884=ORIENTED_EDGE('',*,*,#202149,.T.); #271885=ORIENTED_EDGE('',*,*,#202146,.T.); #271886=ORIENTED_EDGE('',*,*,#202143,.T.); #271887=ORIENTED_EDGE('',*,*,#203105,.F.); #271888=ORIENTED_EDGE('',*,*,#202213,.F.); #271889=ORIENTED_EDGE('',*,*,#202217,.F.); #271890=ORIENTED_EDGE('',*,*,#202220,.F.); #271891=ORIENTED_EDGE('',*,*,#202223,.F.); #271892=ORIENTED_EDGE('',*,*,#202226,.F.); #271893=ORIENTED_EDGE('',*,*,#202229,.F.); #271894=ORIENTED_EDGE('',*,*,#202232,.F.); #271895=ORIENTED_EDGE('',*,*,#202235,.F.); #271896=ORIENTED_EDGE('',*,*,#202238,.F.); #271897=ORIENTED_EDGE('',*,*,#202241,.F.); #271898=ORIENTED_EDGE('',*,*,#202244,.F.); #271899=ORIENTED_EDGE('',*,*,#202247,.F.); #271900=ORIENTED_EDGE('',*,*,#202250,.F.); #271901=ORIENTED_EDGE('',*,*,#202253,.F.); #271902=ORIENTED_EDGE('',*,*,#202256,.F.); #271903=ORIENTED_EDGE('',*,*,#202259,.F.); #271904=ORIENTED_EDGE('',*,*,#202262,.F.); #271905=ORIENTED_EDGE('',*,*,#202265,.F.); #271906=ORIENTED_EDGE('',*,*,#202268,.F.); #271907=ORIENTED_EDGE('',*,*,#202271,.F.); #271908=ORIENTED_EDGE('',*,*,#202274,.F.); #271909=ORIENTED_EDGE('',*,*,#202277,.F.); #271910=ORIENTED_EDGE('',*,*,#202280,.F.); #271911=ORIENTED_EDGE('',*,*,#202283,.F.); #271912=ORIENTED_EDGE('',*,*,#202286,.F.); #271913=ORIENTED_EDGE('',*,*,#202289,.F.); #271914=ORIENTED_EDGE('',*,*,#202292,.F.); #271915=ORIENTED_EDGE('',*,*,#202295,.F.); #271916=ORIENTED_EDGE('',*,*,#202298,.F.); #271917=ORIENTED_EDGE('',*,*,#202301,.F.); #271918=ORIENTED_EDGE('',*,*,#202304,.F.); #271919=ORIENTED_EDGE('',*,*,#202307,.F.); #271920=ORIENTED_EDGE('',*,*,#202310,.F.); #271921=ORIENTED_EDGE('',*,*,#202313,.F.); #271922=ORIENTED_EDGE('',*,*,#202316,.F.); #271923=ORIENTED_EDGE('',*,*,#202319,.F.); #271924=ORIENTED_EDGE('',*,*,#202322,.F.); #271925=ORIENTED_EDGE('',*,*,#202325,.F.); #271926=ORIENTED_EDGE('',*,*,#202328,.F.); #271927=ORIENTED_EDGE('',*,*,#202331,.F.); #271928=ORIENTED_EDGE('',*,*,#202334,.F.); #271929=ORIENTED_EDGE('',*,*,#202337,.F.); #271930=ORIENTED_EDGE('',*,*,#202340,.F.); #271931=ORIENTED_EDGE('',*,*,#202343,.F.); #271932=ORIENTED_EDGE('',*,*,#202346,.F.); #271933=ORIENTED_EDGE('',*,*,#202349,.F.); #271934=ORIENTED_EDGE('',*,*,#202352,.F.); #271935=ORIENTED_EDGE('',*,*,#202355,.F.); #271936=ORIENTED_EDGE('',*,*,#202358,.F.); #271937=ORIENTED_EDGE('',*,*,#202361,.F.); #271938=ORIENTED_EDGE('',*,*,#202364,.F.); #271939=ORIENTED_EDGE('',*,*,#202367,.F.); #271940=ORIENTED_EDGE('',*,*,#202370,.F.); #271941=ORIENTED_EDGE('',*,*,#202373,.F.); #271942=ORIENTED_EDGE('',*,*,#202376,.F.); #271943=ORIENTED_EDGE('',*,*,#202379,.F.); #271944=ORIENTED_EDGE('',*,*,#202382,.F.); #271945=ORIENTED_EDGE('',*,*,#202385,.F.); #271946=ORIENTED_EDGE('',*,*,#202388,.F.); #271947=ORIENTED_EDGE('',*,*,#202391,.F.); #271948=ORIENTED_EDGE('',*,*,#202394,.F.); #271949=ORIENTED_EDGE('',*,*,#202397,.F.); #271950=ORIENTED_EDGE('',*,*,#202400,.F.); #271951=ORIENTED_EDGE('',*,*,#202403,.F.); #271952=ORIENTED_EDGE('',*,*,#202406,.F.); #271953=ORIENTED_EDGE('',*,*,#202409,.F.); #271954=ORIENTED_EDGE('',*,*,#202412,.F.); #271955=ORIENTED_EDGE('',*,*,#202415,.F.); #271956=ORIENTED_EDGE('',*,*,#202418,.F.); #271957=ORIENTED_EDGE('',*,*,#202421,.F.); #271958=ORIENTED_EDGE('',*,*,#202424,.F.); #271959=ORIENTED_EDGE('',*,*,#202427,.F.); #271960=ORIENTED_EDGE('',*,*,#202430,.F.); #271961=ORIENTED_EDGE('',*,*,#202433,.F.); #271962=ORIENTED_EDGE('',*,*,#202436,.F.); #271963=ORIENTED_EDGE('',*,*,#202439,.F.); #271964=ORIENTED_EDGE('',*,*,#202442,.F.); #271965=ORIENTED_EDGE('',*,*,#202445,.F.); #271966=ORIENTED_EDGE('',*,*,#202448,.F.); #271967=ORIENTED_EDGE('',*,*,#202451,.F.); #271968=ORIENTED_EDGE('',*,*,#202454,.F.); #271969=ORIENTED_EDGE('',*,*,#202457,.F.); #271970=ORIENTED_EDGE('',*,*,#202460,.F.); #271971=ORIENTED_EDGE('',*,*,#202463,.F.); #271972=ORIENTED_EDGE('',*,*,#202466,.F.); #271973=ORIENTED_EDGE('',*,*,#202469,.F.); #271974=ORIENTED_EDGE('',*,*,#202472,.F.); #271975=ORIENTED_EDGE('',*,*,#202475,.F.); #271976=ORIENTED_EDGE('',*,*,#202478,.F.); #271977=ORIENTED_EDGE('',*,*,#202481,.F.); #271978=ORIENTED_EDGE('',*,*,#202484,.F.); #271979=ORIENTED_EDGE('',*,*,#202487,.F.); #271980=ORIENTED_EDGE('',*,*,#202490,.F.); #271981=ORIENTED_EDGE('',*,*,#202493,.F.); #271982=ORIENTED_EDGE('',*,*,#202496,.F.); #271983=ORIENTED_EDGE('',*,*,#202499,.F.); #271984=ORIENTED_EDGE('',*,*,#202502,.F.); #271985=ORIENTED_EDGE('',*,*,#202505,.F.); #271986=ORIENTED_EDGE('',*,*,#202508,.F.); #271987=ORIENTED_EDGE('',*,*,#202511,.F.); #271988=ORIENTED_EDGE('',*,*,#202514,.F.); #271989=ORIENTED_EDGE('',*,*,#202517,.F.); #271990=ORIENTED_EDGE('',*,*,#202520,.F.); #271991=ORIENTED_EDGE('',*,*,#202523,.F.); #271992=ORIENTED_EDGE('',*,*,#202526,.F.); #271993=ORIENTED_EDGE('',*,*,#202529,.F.); #271994=ORIENTED_EDGE('',*,*,#202532,.F.); #271995=ORIENTED_EDGE('',*,*,#202535,.F.); #271996=ORIENTED_EDGE('',*,*,#202538,.F.); #271997=ORIENTED_EDGE('',*,*,#202541,.F.); #271998=ORIENTED_EDGE('',*,*,#202544,.F.); #271999=ORIENTED_EDGE('',*,*,#202547,.F.); #272000=ORIENTED_EDGE('',*,*,#202550,.F.); #272001=ORIENTED_EDGE('',*,*,#202553,.F.); #272002=ORIENTED_EDGE('',*,*,#202556,.F.); #272003=ORIENTED_EDGE('',*,*,#202559,.F.); #272004=ORIENTED_EDGE('',*,*,#202562,.F.); #272005=ORIENTED_EDGE('',*,*,#202565,.F.); #272006=ORIENTED_EDGE('',*,*,#202568,.F.); #272007=ORIENTED_EDGE('',*,*,#202571,.F.); #272008=ORIENTED_EDGE('',*,*,#202574,.F.); #272009=ORIENTED_EDGE('',*,*,#202577,.F.); #272010=ORIENTED_EDGE('',*,*,#202580,.F.); #272011=ORIENTED_EDGE('',*,*,#202583,.F.); #272012=ORIENTED_EDGE('',*,*,#202586,.F.); #272013=ORIENTED_EDGE('',*,*,#202589,.F.); #272014=ORIENTED_EDGE('',*,*,#202592,.F.); #272015=ORIENTED_EDGE('',*,*,#202595,.F.); #272016=ORIENTED_EDGE('',*,*,#202598,.F.); #272017=ORIENTED_EDGE('',*,*,#202601,.F.); #272018=ORIENTED_EDGE('',*,*,#202604,.F.); #272019=ORIENTED_EDGE('',*,*,#202607,.F.); #272020=ORIENTED_EDGE('',*,*,#202610,.F.); #272021=ORIENTED_EDGE('',*,*,#202613,.F.); #272022=ORIENTED_EDGE('',*,*,#202616,.F.); #272023=ORIENTED_EDGE('',*,*,#202619,.F.); #272024=ORIENTED_EDGE('',*,*,#202622,.F.); #272025=ORIENTED_EDGE('',*,*,#202625,.F.); #272026=ORIENTED_EDGE('',*,*,#202628,.F.); #272027=ORIENTED_EDGE('',*,*,#202631,.F.); #272028=ORIENTED_EDGE('',*,*,#202634,.F.); #272029=ORIENTED_EDGE('',*,*,#202637,.F.); #272030=ORIENTED_EDGE('',*,*,#202640,.F.); #272031=ORIENTED_EDGE('',*,*,#202643,.F.); #272032=ORIENTED_EDGE('',*,*,#202646,.F.); #272033=ORIENTED_EDGE('',*,*,#202649,.F.); #272034=ORIENTED_EDGE('',*,*,#202652,.F.); #272035=ORIENTED_EDGE('',*,*,#202655,.F.); #272036=ORIENTED_EDGE('',*,*,#202658,.F.); #272037=ORIENTED_EDGE('',*,*,#202661,.F.); #272038=ORIENTED_EDGE('',*,*,#202664,.F.); #272039=ORIENTED_EDGE('',*,*,#202667,.F.); #272040=ORIENTED_EDGE('',*,*,#202670,.F.); #272041=ORIENTED_EDGE('',*,*,#202673,.F.); #272042=ORIENTED_EDGE('',*,*,#202676,.F.); #272043=ORIENTED_EDGE('',*,*,#202679,.F.); #272044=ORIENTED_EDGE('',*,*,#202682,.F.); #272045=ORIENTED_EDGE('',*,*,#202685,.F.); #272046=ORIENTED_EDGE('',*,*,#202688,.F.); #272047=ORIENTED_EDGE('',*,*,#202691,.F.); #272048=ORIENTED_EDGE('',*,*,#202694,.F.); #272049=ORIENTED_EDGE('',*,*,#202697,.F.); #272050=ORIENTED_EDGE('',*,*,#202700,.F.); #272051=ORIENTED_EDGE('',*,*,#202703,.F.); #272052=ORIENTED_EDGE('',*,*,#202706,.F.); #272053=ORIENTED_EDGE('',*,*,#202709,.F.); #272054=ORIENTED_EDGE('',*,*,#202712,.F.); #272055=ORIENTED_EDGE('',*,*,#202715,.F.); #272056=ORIENTED_EDGE('',*,*,#202718,.F.); #272057=ORIENTED_EDGE('',*,*,#202721,.F.); #272058=ORIENTED_EDGE('',*,*,#202724,.F.); #272059=ORIENTED_EDGE('',*,*,#202727,.F.); #272060=ORIENTED_EDGE('',*,*,#202730,.F.); #272061=ORIENTED_EDGE('',*,*,#202733,.F.); #272062=ORIENTED_EDGE('',*,*,#202736,.F.); #272063=ORIENTED_EDGE('',*,*,#202739,.F.); #272064=ORIENTED_EDGE('',*,*,#202742,.F.); #272065=ORIENTED_EDGE('',*,*,#202745,.F.); #272066=ORIENTED_EDGE('',*,*,#202748,.F.); #272067=ORIENTED_EDGE('',*,*,#202751,.F.); #272068=ORIENTED_EDGE('',*,*,#202754,.F.); #272069=ORIENTED_EDGE('',*,*,#202757,.F.); #272070=ORIENTED_EDGE('',*,*,#202760,.F.); #272071=ORIENTED_EDGE('',*,*,#202763,.F.); #272072=ORIENTED_EDGE('',*,*,#202766,.F.); #272073=ORIENTED_EDGE('',*,*,#202769,.F.); #272074=ORIENTED_EDGE('',*,*,#202772,.F.); #272075=ORIENTED_EDGE('',*,*,#202775,.F.); #272076=ORIENTED_EDGE('',*,*,#202778,.F.); #272077=ORIENTED_EDGE('',*,*,#202781,.F.); #272078=ORIENTED_EDGE('',*,*,#202784,.F.); #272079=ORIENTED_EDGE('',*,*,#202787,.F.); #272080=ORIENTED_EDGE('',*,*,#202790,.F.); #272081=ORIENTED_EDGE('',*,*,#202793,.F.); #272082=ORIENTED_EDGE('',*,*,#202796,.F.); #272083=ORIENTED_EDGE('',*,*,#202799,.F.); #272084=ORIENTED_EDGE('',*,*,#202802,.F.); #272085=ORIENTED_EDGE('',*,*,#202805,.F.); #272086=ORIENTED_EDGE('',*,*,#202808,.F.); #272087=ORIENTED_EDGE('',*,*,#202811,.F.); #272088=ORIENTED_EDGE('',*,*,#202814,.F.); #272089=ORIENTED_EDGE('',*,*,#202817,.F.); #272090=ORIENTED_EDGE('',*,*,#202820,.F.); #272091=ORIENTED_EDGE('',*,*,#202823,.F.); #272092=ORIENTED_EDGE('',*,*,#202826,.F.); #272093=ORIENTED_EDGE('',*,*,#202829,.F.); #272094=ORIENTED_EDGE('',*,*,#202832,.F.); #272095=ORIENTED_EDGE('',*,*,#202835,.F.); #272096=ORIENTED_EDGE('',*,*,#202838,.F.); #272097=ORIENTED_EDGE('',*,*,#202841,.F.); #272098=ORIENTED_EDGE('',*,*,#202844,.F.); #272099=ORIENTED_EDGE('',*,*,#202847,.F.); #272100=ORIENTED_EDGE('',*,*,#202850,.F.); #272101=ORIENTED_EDGE('',*,*,#202853,.F.); #272102=ORIENTED_EDGE('',*,*,#202856,.F.); #272103=ORIENTED_EDGE('',*,*,#202859,.F.); #272104=ORIENTED_EDGE('',*,*,#202862,.F.); #272105=ORIENTED_EDGE('',*,*,#202865,.F.); #272106=ORIENTED_EDGE('',*,*,#202868,.F.); #272107=ORIENTED_EDGE('',*,*,#202871,.F.); #272108=ORIENTED_EDGE('',*,*,#202874,.F.); #272109=ORIENTED_EDGE('',*,*,#202877,.F.); #272110=ORIENTED_EDGE('',*,*,#202880,.F.); #272111=ORIENTED_EDGE('',*,*,#202883,.F.); #272112=ORIENTED_EDGE('',*,*,#202886,.F.); #272113=ORIENTED_EDGE('',*,*,#202889,.F.); #272114=ORIENTED_EDGE('',*,*,#202892,.F.); #272115=ORIENTED_EDGE('',*,*,#202895,.F.); #272116=ORIENTED_EDGE('',*,*,#202898,.F.); #272117=ORIENTED_EDGE('',*,*,#202901,.F.); #272118=ORIENTED_EDGE('',*,*,#202904,.F.); #272119=ORIENTED_EDGE('',*,*,#202907,.F.); #272120=ORIENTED_EDGE('',*,*,#202910,.F.); #272121=ORIENTED_EDGE('',*,*,#202913,.F.); #272122=ORIENTED_EDGE('',*,*,#202916,.F.); #272123=ORIENTED_EDGE('',*,*,#202919,.F.); #272124=ORIENTED_EDGE('',*,*,#202922,.F.); #272125=ORIENTED_EDGE('',*,*,#202925,.F.); #272126=ORIENTED_EDGE('',*,*,#202928,.F.); #272127=ORIENTED_EDGE('',*,*,#202931,.F.); #272128=ORIENTED_EDGE('',*,*,#202934,.F.); #272129=ORIENTED_EDGE('',*,*,#202937,.F.); #272130=ORIENTED_EDGE('',*,*,#202940,.F.); #272131=ORIENTED_EDGE('',*,*,#202943,.F.); #272132=ORIENTED_EDGE('',*,*,#202946,.F.); #272133=ORIENTED_EDGE('',*,*,#202949,.F.); #272134=ORIENTED_EDGE('',*,*,#202952,.F.); #272135=ORIENTED_EDGE('',*,*,#202955,.F.); #272136=ORIENTED_EDGE('',*,*,#202958,.F.); #272137=ORIENTED_EDGE('',*,*,#202961,.F.); #272138=ORIENTED_EDGE('',*,*,#202964,.F.); #272139=ORIENTED_EDGE('',*,*,#202967,.F.); #272140=ORIENTED_EDGE('',*,*,#202970,.F.); #272141=ORIENTED_EDGE('',*,*,#202973,.F.); #272142=ORIENTED_EDGE('',*,*,#202976,.F.); #272143=ORIENTED_EDGE('',*,*,#202979,.F.); #272144=ORIENTED_EDGE('',*,*,#202982,.F.); #272145=ORIENTED_EDGE('',*,*,#202985,.F.); #272146=ORIENTED_EDGE('',*,*,#202988,.F.); #272147=ORIENTED_EDGE('',*,*,#202991,.F.); #272148=ORIENTED_EDGE('',*,*,#202994,.F.); #272149=ORIENTED_EDGE('',*,*,#202997,.F.); #272150=ORIENTED_EDGE('',*,*,#203000,.F.); #272151=ORIENTED_EDGE('',*,*,#203003,.F.); #272152=ORIENTED_EDGE('',*,*,#203006,.F.); #272153=ORIENTED_EDGE('',*,*,#203009,.F.); #272154=ORIENTED_EDGE('',*,*,#203012,.F.); #272155=ORIENTED_EDGE('',*,*,#203015,.F.); #272156=ORIENTED_EDGE('',*,*,#203018,.F.); #272157=ORIENTED_EDGE('',*,*,#203021,.F.); #272158=ORIENTED_EDGE('',*,*,#203024,.F.); #272159=ORIENTED_EDGE('',*,*,#203027,.F.); #272160=ORIENTED_EDGE('',*,*,#203030,.F.); #272161=ORIENTED_EDGE('',*,*,#203033,.F.); #272162=ORIENTED_EDGE('',*,*,#203036,.F.); #272163=ORIENTED_EDGE('',*,*,#203039,.F.); #272164=ORIENTED_EDGE('',*,*,#203042,.F.); #272165=ORIENTED_EDGE('',*,*,#203045,.F.); #272166=ORIENTED_EDGE('',*,*,#203048,.F.); #272167=ORIENTED_EDGE('',*,*,#203051,.F.); #272168=ORIENTED_EDGE('',*,*,#203054,.F.); #272169=ORIENTED_EDGE('',*,*,#203057,.F.); #272170=ORIENTED_EDGE('',*,*,#203060,.F.); #272171=ORIENTED_EDGE('',*,*,#203063,.F.); #272172=ORIENTED_EDGE('',*,*,#203066,.F.); #272173=ORIENTED_EDGE('',*,*,#203069,.F.); #272174=ORIENTED_EDGE('',*,*,#203072,.F.); #272175=ORIENTED_EDGE('',*,*,#203075,.F.); #272176=ORIENTED_EDGE('',*,*,#203078,.F.); #272177=ORIENTED_EDGE('',*,*,#203081,.F.); #272178=ORIENTED_EDGE('',*,*,#203084,.F.); #272179=ORIENTED_EDGE('',*,*,#203087,.F.); #272180=ORIENTED_EDGE('',*,*,#203090,.F.); #272181=ORIENTED_EDGE('',*,*,#203093,.F.); #272182=ORIENTED_EDGE('',*,*,#203096,.F.); #272183=ORIENTED_EDGE('',*,*,#203099,.F.); #272184=ORIENTED_EDGE('',*,*,#203102,.F.); #272185=ORIENTED_EDGE('',*,*,#202093,.T.); #272186=ORIENTED_EDGE('',*,*,#202096,.T.); #272187=ORIENTED_EDGE('',*,*,#202099,.T.); #272188=ORIENTED_EDGE('',*,*,#202102,.T.); #272189=ORIENTED_EDGE('',*,*,#202105,.T.); #272190=ORIENTED_EDGE('',*,*,#202108,.T.); #272191=ORIENTED_EDGE('',*,*,#202111,.T.); #272192=ORIENTED_EDGE('',*,*,#202114,.T.); #272193=ORIENTED_EDGE('',*,*,#202117,.T.); #272194=ORIENTED_EDGE('',*,*,#202120,.T.); #272195=ORIENTED_EDGE('',*,*,#202123,.T.); #272196=ORIENTED_EDGE('',*,*,#202126,.T.); #272197=ORIENTED_EDGE('',*,*,#202129,.T.); #272198=ORIENTED_EDGE('',*,*,#202132,.T.); #272199=ORIENTED_EDGE('',*,*,#202135,.T.); #272200=ORIENTED_EDGE('',*,*,#202138,.T.); #272201=ORIENTED_EDGE('',*,*,#202211,.F.); #272202=ORIENTED_EDGE('',*,*,#202141,.F.); #272203=ORIENTED_EDGE('',*,*,#202145,.F.); #272204=ORIENTED_EDGE('',*,*,#202148,.F.); #272205=ORIENTED_EDGE('',*,*,#202151,.F.); #272206=ORIENTED_EDGE('',*,*,#202154,.F.); #272207=ORIENTED_EDGE('',*,*,#202157,.F.); #272208=ORIENTED_EDGE('',*,*,#202160,.F.); #272209=ORIENTED_EDGE('',*,*,#202163,.F.); #272210=ORIENTED_EDGE('',*,*,#202166,.F.); #272211=ORIENTED_EDGE('',*,*,#202169,.F.); #272212=ORIENTED_EDGE('',*,*,#202172,.F.); #272213=ORIENTED_EDGE('',*,*,#202175,.F.); #272214=ORIENTED_EDGE('',*,*,#202178,.F.); #272215=ORIENTED_EDGE('',*,*,#202181,.F.); #272216=ORIENTED_EDGE('',*,*,#202184,.F.); #272217=ORIENTED_EDGE('',*,*,#202187,.F.); #272218=ORIENTED_EDGE('',*,*,#202190,.F.); #272219=ORIENTED_EDGE('',*,*,#202193,.F.); #272220=ORIENTED_EDGE('',*,*,#202196,.F.); #272221=ORIENTED_EDGE('',*,*,#202199,.F.); #272222=ORIENTED_EDGE('',*,*,#202202,.F.); #272223=ORIENTED_EDGE('',*,*,#202205,.F.); #272224=ORIENTED_EDGE('',*,*,#202208,.F.); #272225=ORIENTED_EDGE('',*,*,#203107,.T.); #272226=ORIENTED_EDGE('',*,*,#203108,.T.); #272227=ORIENTED_EDGE('',*,*,#203109,.F.); #272228=ORIENTED_EDGE('',*,*,#203110,.F.); #272229=ORIENTED_EDGE('',*,*,#203111,.T.); #272230=ORIENTED_EDGE('',*,*,#203110,.T.); #272231=ORIENTED_EDGE('',*,*,#203112,.F.); #272232=ORIENTED_EDGE('',*,*,#203113,.F.); #272233=ORIENTED_EDGE('',*,*,#203114,.T.); #272234=ORIENTED_EDGE('',*,*,#203113,.T.); #272235=ORIENTED_EDGE('',*,*,#203115,.F.); #272236=ORIENTED_EDGE('',*,*,#203116,.F.); #272237=ORIENTED_EDGE('',*,*,#203117,.T.); #272238=ORIENTED_EDGE('',*,*,#203116,.T.); #272239=ORIENTED_EDGE('',*,*,#203118,.F.); #272240=ORIENTED_EDGE('',*,*,#203119,.F.); #272241=ORIENTED_EDGE('',*,*,#203120,.T.); #272242=ORIENTED_EDGE('',*,*,#203119,.T.); #272243=ORIENTED_EDGE('',*,*,#203121,.F.); #272244=ORIENTED_EDGE('',*,*,#203122,.F.); #272245=ORIENTED_EDGE('',*,*,#203123,.T.); #272246=ORIENTED_EDGE('',*,*,#203122,.T.); #272247=ORIENTED_EDGE('',*,*,#203124,.F.); #272248=ORIENTED_EDGE('',*,*,#203125,.F.); #272249=ORIENTED_EDGE('',*,*,#203126,.T.); #272250=ORIENTED_EDGE('',*,*,#203125,.T.); #272251=ORIENTED_EDGE('',*,*,#203127,.F.); #272252=ORIENTED_EDGE('',*,*,#203128,.F.); #272253=ORIENTED_EDGE('',*,*,#203129,.T.); #272254=ORIENTED_EDGE('',*,*,#203128,.T.); #272255=ORIENTED_EDGE('',*,*,#203130,.F.); #272256=ORIENTED_EDGE('',*,*,#203131,.F.); #272257=ORIENTED_EDGE('',*,*,#203132,.T.); #272258=ORIENTED_EDGE('',*,*,#203131,.T.); #272259=ORIENTED_EDGE('',*,*,#203133,.F.); #272260=ORIENTED_EDGE('',*,*,#203134,.F.); #272261=ORIENTED_EDGE('',*,*,#203135,.T.); #272262=ORIENTED_EDGE('',*,*,#203134,.T.); #272263=ORIENTED_EDGE('',*,*,#203136,.F.); #272264=ORIENTED_EDGE('',*,*,#203137,.F.); #272265=ORIENTED_EDGE('',*,*,#203138,.T.); #272266=ORIENTED_EDGE('',*,*,#203137,.T.); #272267=ORIENTED_EDGE('',*,*,#203139,.F.); #272268=ORIENTED_EDGE('',*,*,#203140,.F.); #272269=ORIENTED_EDGE('',*,*,#203141,.T.); #272270=ORIENTED_EDGE('',*,*,#203140,.T.); #272271=ORIENTED_EDGE('',*,*,#203142,.F.); #272272=ORIENTED_EDGE('',*,*,#203143,.F.); #272273=ORIENTED_EDGE('',*,*,#203144,.T.); #272274=ORIENTED_EDGE('',*,*,#203143,.T.); #272275=ORIENTED_EDGE('',*,*,#203145,.F.); #272276=ORIENTED_EDGE('',*,*,#203146,.F.); #272277=ORIENTED_EDGE('',*,*,#203147,.T.); #272278=ORIENTED_EDGE('',*,*,#203146,.T.); #272279=ORIENTED_EDGE('',*,*,#203148,.F.); #272280=ORIENTED_EDGE('',*,*,#203149,.F.); #272281=ORIENTED_EDGE('',*,*,#203150,.T.); #272282=ORIENTED_EDGE('',*,*,#203149,.T.); #272283=ORIENTED_EDGE('',*,*,#203151,.F.); #272284=ORIENTED_EDGE('',*,*,#203152,.F.); #272285=ORIENTED_EDGE('',*,*,#203153,.T.); #272286=ORIENTED_EDGE('',*,*,#203152,.T.); #272287=ORIENTED_EDGE('',*,*,#203154,.F.); #272288=ORIENTED_EDGE('',*,*,#203155,.F.); #272289=ORIENTED_EDGE('',*,*,#203156,.T.); #272290=ORIENTED_EDGE('',*,*,#203155,.T.); #272291=ORIENTED_EDGE('',*,*,#203157,.F.); #272292=ORIENTED_EDGE('',*,*,#203158,.F.); #272293=ORIENTED_EDGE('',*,*,#203159,.T.); #272294=ORIENTED_EDGE('',*,*,#203158,.T.); #272295=ORIENTED_EDGE('',*,*,#203160,.F.); #272296=ORIENTED_EDGE('',*,*,#203161,.F.); #272297=ORIENTED_EDGE('',*,*,#203162,.T.); #272298=ORIENTED_EDGE('',*,*,#203161,.T.); #272299=ORIENTED_EDGE('',*,*,#203163,.F.); #272300=ORIENTED_EDGE('',*,*,#203164,.F.); #272301=ORIENTED_EDGE('',*,*,#203165,.T.); #272302=ORIENTED_EDGE('',*,*,#203164,.T.); #272303=ORIENTED_EDGE('',*,*,#203166,.F.); #272304=ORIENTED_EDGE('',*,*,#203108,.F.); #272305=ORIENTED_EDGE('',*,*,#203166,.T.); #272306=ORIENTED_EDGE('',*,*,#203163,.T.); #272307=ORIENTED_EDGE('',*,*,#203160,.T.); #272308=ORIENTED_EDGE('',*,*,#203157,.T.); #272309=ORIENTED_EDGE('',*,*,#203154,.T.); #272310=ORIENTED_EDGE('',*,*,#203151,.T.); #272311=ORIENTED_EDGE('',*,*,#203148,.T.); #272312=ORIENTED_EDGE('',*,*,#203145,.T.); #272313=ORIENTED_EDGE('',*,*,#203142,.T.); #272314=ORIENTED_EDGE('',*,*,#203139,.T.); #272315=ORIENTED_EDGE('',*,*,#203136,.T.); #272316=ORIENTED_EDGE('',*,*,#203133,.T.); #272317=ORIENTED_EDGE('',*,*,#203130,.T.); #272318=ORIENTED_EDGE('',*,*,#203127,.T.); #272319=ORIENTED_EDGE('',*,*,#203124,.T.); #272320=ORIENTED_EDGE('',*,*,#203121,.T.); #272321=ORIENTED_EDGE('',*,*,#203118,.T.); #272322=ORIENTED_EDGE('',*,*,#203115,.T.); #272323=ORIENTED_EDGE('',*,*,#203112,.T.); #272324=ORIENTED_EDGE('',*,*,#203109,.T.); #272325=ORIENTED_EDGE('',*,*,#203165,.F.); #272326=ORIENTED_EDGE('',*,*,#203107,.F.); #272327=ORIENTED_EDGE('',*,*,#203111,.F.); #272328=ORIENTED_EDGE('',*,*,#203114,.F.); #272329=ORIENTED_EDGE('',*,*,#203117,.F.); #272330=ORIENTED_EDGE('',*,*,#203120,.F.); #272331=ORIENTED_EDGE('',*,*,#203123,.F.); #272332=ORIENTED_EDGE('',*,*,#203126,.F.); #272333=ORIENTED_EDGE('',*,*,#203129,.F.); #272334=ORIENTED_EDGE('',*,*,#203132,.F.); #272335=ORIENTED_EDGE('',*,*,#203135,.F.); #272336=ORIENTED_EDGE('',*,*,#203138,.F.); #272337=ORIENTED_EDGE('',*,*,#203141,.F.); #272338=ORIENTED_EDGE('',*,*,#203144,.F.); #272339=ORIENTED_EDGE('',*,*,#203147,.F.); #272340=ORIENTED_EDGE('',*,*,#203150,.F.); #272341=ORIENTED_EDGE('',*,*,#203153,.F.); #272342=ORIENTED_EDGE('',*,*,#203156,.F.); #272343=ORIENTED_EDGE('',*,*,#203159,.F.); #272344=ORIENTED_EDGE('',*,*,#203162,.F.); #272345=ORIENTED_EDGE('',*,*,#203167,.T.); #272346=ORIENTED_EDGE('',*,*,#203168,.T.); #272347=ORIENTED_EDGE('',*,*,#203169,.F.); #272348=ORIENTED_EDGE('',*,*,#203170,.F.); #272349=ORIENTED_EDGE('',*,*,#203171,.T.); #272350=ORIENTED_EDGE('',*,*,#203170,.T.); #272351=ORIENTED_EDGE('',*,*,#203172,.F.); #272352=ORIENTED_EDGE('',*,*,#203173,.F.); #272353=ORIENTED_EDGE('',*,*,#203174,.T.); #272354=ORIENTED_EDGE('',*,*,#203173,.T.); #272355=ORIENTED_EDGE('',*,*,#203175,.F.); #272356=ORIENTED_EDGE('',*,*,#203176,.F.); #272357=ORIENTED_EDGE('',*,*,#203177,.T.); #272358=ORIENTED_EDGE('',*,*,#203176,.T.); #272359=ORIENTED_EDGE('',*,*,#203178,.F.); #272360=ORIENTED_EDGE('',*,*,#203179,.F.); #272361=ORIENTED_EDGE('',*,*,#203180,.T.); #272362=ORIENTED_EDGE('',*,*,#203179,.T.); #272363=ORIENTED_EDGE('',*,*,#203181,.F.); #272364=ORIENTED_EDGE('',*,*,#203182,.F.); #272365=ORIENTED_EDGE('',*,*,#203183,.T.); #272366=ORIENTED_EDGE('',*,*,#203182,.T.); #272367=ORIENTED_EDGE('',*,*,#203184,.F.); #272368=ORIENTED_EDGE('',*,*,#203185,.F.); #272369=ORIENTED_EDGE('',*,*,#203186,.T.); #272370=ORIENTED_EDGE('',*,*,#203185,.T.); #272371=ORIENTED_EDGE('',*,*,#203187,.F.); #272372=ORIENTED_EDGE('',*,*,#203188,.F.); #272373=ORIENTED_EDGE('',*,*,#203189,.T.); #272374=ORIENTED_EDGE('',*,*,#203188,.T.); #272375=ORIENTED_EDGE('',*,*,#203190,.F.); #272376=ORIENTED_EDGE('',*,*,#203191,.F.); #272377=ORIENTED_EDGE('',*,*,#203192,.T.); #272378=ORIENTED_EDGE('',*,*,#203191,.T.); #272379=ORIENTED_EDGE('',*,*,#203193,.F.); #272380=ORIENTED_EDGE('',*,*,#203194,.F.); #272381=ORIENTED_EDGE('',*,*,#203195,.T.); #272382=ORIENTED_EDGE('',*,*,#203194,.T.); #272383=ORIENTED_EDGE('',*,*,#203196,.F.); #272384=ORIENTED_EDGE('',*,*,#203197,.F.); #272385=ORIENTED_EDGE('',*,*,#203198,.T.); #272386=ORIENTED_EDGE('',*,*,#203197,.T.); #272387=ORIENTED_EDGE('',*,*,#203199,.F.); #272388=ORIENTED_EDGE('',*,*,#203200,.F.); #272389=ORIENTED_EDGE('',*,*,#203201,.T.); #272390=ORIENTED_EDGE('',*,*,#203200,.T.); #272391=ORIENTED_EDGE('',*,*,#203202,.F.); #272392=ORIENTED_EDGE('',*,*,#203203,.F.); #272393=ORIENTED_EDGE('',*,*,#203204,.T.); #272394=ORIENTED_EDGE('',*,*,#203203,.T.); #272395=ORIENTED_EDGE('',*,*,#203205,.F.); #272396=ORIENTED_EDGE('',*,*,#203206,.F.); #272397=ORIENTED_EDGE('',*,*,#203207,.T.); #272398=ORIENTED_EDGE('',*,*,#203206,.T.); #272399=ORIENTED_EDGE('',*,*,#203208,.F.); #272400=ORIENTED_EDGE('',*,*,#203209,.F.); #272401=ORIENTED_EDGE('',*,*,#203210,.T.); #272402=ORIENTED_EDGE('',*,*,#203209,.T.); #272403=ORIENTED_EDGE('',*,*,#203211,.F.); #272404=ORIENTED_EDGE('',*,*,#203212,.F.); #272405=ORIENTED_EDGE('',*,*,#203213,.T.); #272406=ORIENTED_EDGE('',*,*,#203212,.T.); #272407=ORIENTED_EDGE('',*,*,#203214,.F.); #272408=ORIENTED_EDGE('',*,*,#203215,.F.); #272409=ORIENTED_EDGE('',*,*,#203216,.T.); #272410=ORIENTED_EDGE('',*,*,#203215,.T.); #272411=ORIENTED_EDGE('',*,*,#203217,.F.); #272412=ORIENTED_EDGE('',*,*,#203218,.F.); #272413=ORIENTED_EDGE('',*,*,#203219,.T.); #272414=ORIENTED_EDGE('',*,*,#203218,.T.); #272415=ORIENTED_EDGE('',*,*,#203220,.F.); #272416=ORIENTED_EDGE('',*,*,#203221,.F.); #272417=ORIENTED_EDGE('',*,*,#203222,.T.); #272418=ORIENTED_EDGE('',*,*,#203221,.T.); #272419=ORIENTED_EDGE('',*,*,#203223,.F.); #272420=ORIENTED_EDGE('',*,*,#203224,.F.); #272421=ORIENTED_EDGE('',*,*,#203225,.T.); #272422=ORIENTED_EDGE('',*,*,#203224,.T.); #272423=ORIENTED_EDGE('',*,*,#203226,.F.); #272424=ORIENTED_EDGE('',*,*,#203168,.F.); #272425=ORIENTED_EDGE('',*,*,#203226,.T.); #272426=ORIENTED_EDGE('',*,*,#203223,.T.); #272427=ORIENTED_EDGE('',*,*,#203220,.T.); #272428=ORIENTED_EDGE('',*,*,#203217,.T.); #272429=ORIENTED_EDGE('',*,*,#203214,.T.); #272430=ORIENTED_EDGE('',*,*,#203211,.T.); #272431=ORIENTED_EDGE('',*,*,#203208,.T.); #272432=ORIENTED_EDGE('',*,*,#203205,.T.); #272433=ORIENTED_EDGE('',*,*,#203202,.T.); #272434=ORIENTED_EDGE('',*,*,#203199,.T.); #272435=ORIENTED_EDGE('',*,*,#203196,.T.); #272436=ORIENTED_EDGE('',*,*,#203193,.T.); #272437=ORIENTED_EDGE('',*,*,#203190,.T.); #272438=ORIENTED_EDGE('',*,*,#203187,.T.); #272439=ORIENTED_EDGE('',*,*,#203184,.T.); #272440=ORIENTED_EDGE('',*,*,#203181,.T.); #272441=ORIENTED_EDGE('',*,*,#203178,.T.); #272442=ORIENTED_EDGE('',*,*,#203175,.T.); #272443=ORIENTED_EDGE('',*,*,#203172,.T.); #272444=ORIENTED_EDGE('',*,*,#203169,.T.); #272445=ORIENTED_EDGE('',*,*,#203225,.F.); #272446=ORIENTED_EDGE('',*,*,#203167,.F.); #272447=ORIENTED_EDGE('',*,*,#203171,.F.); #272448=ORIENTED_EDGE('',*,*,#203174,.F.); #272449=ORIENTED_EDGE('',*,*,#203177,.F.); #272450=ORIENTED_EDGE('',*,*,#203180,.F.); #272451=ORIENTED_EDGE('',*,*,#203183,.F.); #272452=ORIENTED_EDGE('',*,*,#203186,.F.); #272453=ORIENTED_EDGE('',*,*,#203189,.F.); #272454=ORIENTED_EDGE('',*,*,#203192,.F.); #272455=ORIENTED_EDGE('',*,*,#203195,.F.); #272456=ORIENTED_EDGE('',*,*,#203198,.F.); #272457=ORIENTED_EDGE('',*,*,#203201,.F.); #272458=ORIENTED_EDGE('',*,*,#203204,.F.); #272459=ORIENTED_EDGE('',*,*,#203207,.F.); #272460=ORIENTED_EDGE('',*,*,#203210,.F.); #272461=ORIENTED_EDGE('',*,*,#203213,.F.); #272462=ORIENTED_EDGE('',*,*,#203216,.F.); #272463=ORIENTED_EDGE('',*,*,#203219,.F.); #272464=ORIENTED_EDGE('',*,*,#203222,.F.); #272465=ORIENTED_EDGE('',*,*,#203227,.T.); #272466=ORIENTED_EDGE('',*,*,#203228,.T.); #272467=ORIENTED_EDGE('',*,*,#203229,.F.); #272468=ORIENTED_EDGE('',*,*,#203230,.F.); #272469=ORIENTED_EDGE('',*,*,#203231,.T.); #272470=ORIENTED_EDGE('',*,*,#203230,.T.); #272471=ORIENTED_EDGE('',*,*,#203232,.F.); #272472=ORIENTED_EDGE('',*,*,#203233,.F.); #272473=ORIENTED_EDGE('',*,*,#203234,.T.); #272474=ORIENTED_EDGE('',*,*,#203233,.T.); #272475=ORIENTED_EDGE('',*,*,#203235,.F.); #272476=ORIENTED_EDGE('',*,*,#203236,.F.); #272477=ORIENTED_EDGE('',*,*,#203237,.T.); #272478=ORIENTED_EDGE('',*,*,#203236,.T.); #272479=ORIENTED_EDGE('',*,*,#203238,.F.); #272480=ORIENTED_EDGE('',*,*,#203239,.F.); #272481=ORIENTED_EDGE('',*,*,#203240,.T.); #272482=ORIENTED_EDGE('',*,*,#203239,.T.); #272483=ORIENTED_EDGE('',*,*,#203241,.F.); #272484=ORIENTED_EDGE('',*,*,#203242,.F.); #272485=ORIENTED_EDGE('',*,*,#203243,.T.); #272486=ORIENTED_EDGE('',*,*,#203242,.T.); #272487=ORIENTED_EDGE('',*,*,#203244,.F.); #272488=ORIENTED_EDGE('',*,*,#203245,.F.); #272489=ORIENTED_EDGE('',*,*,#203246,.T.); #272490=ORIENTED_EDGE('',*,*,#203245,.T.); #272491=ORIENTED_EDGE('',*,*,#203247,.F.); #272492=ORIENTED_EDGE('',*,*,#203248,.F.); #272493=ORIENTED_EDGE('',*,*,#203249,.T.); #272494=ORIENTED_EDGE('',*,*,#203248,.T.); #272495=ORIENTED_EDGE('',*,*,#203250,.F.); #272496=ORIENTED_EDGE('',*,*,#203251,.F.); #272497=ORIENTED_EDGE('',*,*,#203252,.T.); #272498=ORIENTED_EDGE('',*,*,#203251,.T.); #272499=ORIENTED_EDGE('',*,*,#203253,.F.); #272500=ORIENTED_EDGE('',*,*,#203254,.F.); #272501=ORIENTED_EDGE('',*,*,#203255,.T.); #272502=ORIENTED_EDGE('',*,*,#203254,.T.); #272503=ORIENTED_EDGE('',*,*,#203256,.F.); #272504=ORIENTED_EDGE('',*,*,#203257,.F.); #272505=ORIENTED_EDGE('',*,*,#203258,.T.); #272506=ORIENTED_EDGE('',*,*,#203257,.T.); #272507=ORIENTED_EDGE('',*,*,#203259,.F.); #272508=ORIENTED_EDGE('',*,*,#203260,.F.); #272509=ORIENTED_EDGE('',*,*,#203261,.T.); #272510=ORIENTED_EDGE('',*,*,#203260,.T.); #272511=ORIENTED_EDGE('',*,*,#203262,.F.); #272512=ORIENTED_EDGE('',*,*,#203263,.F.); #272513=ORIENTED_EDGE('',*,*,#203264,.T.); #272514=ORIENTED_EDGE('',*,*,#203263,.T.); #272515=ORIENTED_EDGE('',*,*,#203265,.F.); #272516=ORIENTED_EDGE('',*,*,#203266,.F.); #272517=ORIENTED_EDGE('',*,*,#203267,.T.); #272518=ORIENTED_EDGE('',*,*,#203266,.T.); #272519=ORIENTED_EDGE('',*,*,#203268,.F.); #272520=ORIENTED_EDGE('',*,*,#203269,.F.); #272521=ORIENTED_EDGE('',*,*,#203270,.T.); #272522=ORIENTED_EDGE('',*,*,#203269,.T.); #272523=ORIENTED_EDGE('',*,*,#203271,.F.); #272524=ORIENTED_EDGE('',*,*,#203272,.F.); #272525=ORIENTED_EDGE('',*,*,#203273,.T.); #272526=ORIENTED_EDGE('',*,*,#203272,.T.); #272527=ORIENTED_EDGE('',*,*,#203274,.F.); #272528=ORIENTED_EDGE('',*,*,#203275,.F.); #272529=ORIENTED_EDGE('',*,*,#203276,.T.); #272530=ORIENTED_EDGE('',*,*,#203275,.T.); #272531=ORIENTED_EDGE('',*,*,#203277,.F.); #272532=ORIENTED_EDGE('',*,*,#203278,.F.); #272533=ORIENTED_EDGE('',*,*,#203279,.T.); #272534=ORIENTED_EDGE('',*,*,#203278,.T.); #272535=ORIENTED_EDGE('',*,*,#203280,.F.); #272536=ORIENTED_EDGE('',*,*,#203281,.F.); #272537=ORIENTED_EDGE('',*,*,#203282,.T.); #272538=ORIENTED_EDGE('',*,*,#203281,.T.); #272539=ORIENTED_EDGE('',*,*,#203283,.F.); #272540=ORIENTED_EDGE('',*,*,#203284,.F.); #272541=ORIENTED_EDGE('',*,*,#203285,.T.); #272542=ORIENTED_EDGE('',*,*,#203284,.T.); #272543=ORIENTED_EDGE('',*,*,#203286,.F.); #272544=ORIENTED_EDGE('',*,*,#203228,.F.); #272545=ORIENTED_EDGE('',*,*,#203286,.T.); #272546=ORIENTED_EDGE('',*,*,#203283,.T.); #272547=ORIENTED_EDGE('',*,*,#203280,.T.); #272548=ORIENTED_EDGE('',*,*,#203277,.T.); #272549=ORIENTED_EDGE('',*,*,#203274,.T.); #272550=ORIENTED_EDGE('',*,*,#203271,.T.); #272551=ORIENTED_EDGE('',*,*,#203268,.T.); #272552=ORIENTED_EDGE('',*,*,#203265,.T.); #272553=ORIENTED_EDGE('',*,*,#203262,.T.); #272554=ORIENTED_EDGE('',*,*,#203259,.T.); #272555=ORIENTED_EDGE('',*,*,#203256,.T.); #272556=ORIENTED_EDGE('',*,*,#203253,.T.); #272557=ORIENTED_EDGE('',*,*,#203250,.T.); #272558=ORIENTED_EDGE('',*,*,#203247,.T.); #272559=ORIENTED_EDGE('',*,*,#203244,.T.); #272560=ORIENTED_EDGE('',*,*,#203241,.T.); #272561=ORIENTED_EDGE('',*,*,#203238,.T.); #272562=ORIENTED_EDGE('',*,*,#203235,.T.); #272563=ORIENTED_EDGE('',*,*,#203232,.T.); #272564=ORIENTED_EDGE('',*,*,#203229,.T.); #272565=ORIENTED_EDGE('',*,*,#203285,.F.); #272566=ORIENTED_EDGE('',*,*,#203227,.F.); #272567=ORIENTED_EDGE('',*,*,#203231,.F.); #272568=ORIENTED_EDGE('',*,*,#203234,.F.); #272569=ORIENTED_EDGE('',*,*,#203237,.F.); #272570=ORIENTED_EDGE('',*,*,#203240,.F.); #272571=ORIENTED_EDGE('',*,*,#203243,.F.); #272572=ORIENTED_EDGE('',*,*,#203246,.F.); #272573=ORIENTED_EDGE('',*,*,#203249,.F.); #272574=ORIENTED_EDGE('',*,*,#203252,.F.); #272575=ORIENTED_EDGE('',*,*,#203255,.F.); #272576=ORIENTED_EDGE('',*,*,#203258,.F.); #272577=ORIENTED_EDGE('',*,*,#203261,.F.); #272578=ORIENTED_EDGE('',*,*,#203264,.F.); #272579=ORIENTED_EDGE('',*,*,#203267,.F.); #272580=ORIENTED_EDGE('',*,*,#203270,.F.); #272581=ORIENTED_EDGE('',*,*,#203273,.F.); #272582=ORIENTED_EDGE('',*,*,#203276,.F.); #272583=ORIENTED_EDGE('',*,*,#203279,.F.); #272584=ORIENTED_EDGE('',*,*,#203282,.F.); #272585=ORIENTED_EDGE('',*,*,#203287,.T.); #272586=ORIENTED_EDGE('',*,*,#203288,.T.); #272587=ORIENTED_EDGE('',*,*,#203289,.F.); #272588=ORIENTED_EDGE('',*,*,#203290,.F.); #272589=ORIENTED_EDGE('',*,*,#203291,.T.); #272590=ORIENTED_EDGE('',*,*,#203290,.T.); #272591=ORIENTED_EDGE('',*,*,#203292,.F.); #272592=ORIENTED_EDGE('',*,*,#203293,.F.); #272593=ORIENTED_EDGE('',*,*,#203294,.T.); #272594=ORIENTED_EDGE('',*,*,#203293,.T.); #272595=ORIENTED_EDGE('',*,*,#203295,.F.); #272596=ORIENTED_EDGE('',*,*,#203296,.F.); #272597=ORIENTED_EDGE('',*,*,#203297,.T.); #272598=ORIENTED_EDGE('',*,*,#203296,.T.); #272599=ORIENTED_EDGE('',*,*,#203298,.F.); #272600=ORIENTED_EDGE('',*,*,#203299,.F.); #272601=ORIENTED_EDGE('',*,*,#203300,.T.); #272602=ORIENTED_EDGE('',*,*,#203299,.T.); #272603=ORIENTED_EDGE('',*,*,#203301,.F.); #272604=ORIENTED_EDGE('',*,*,#203302,.F.); #272605=ORIENTED_EDGE('',*,*,#203303,.T.); #272606=ORIENTED_EDGE('',*,*,#203302,.T.); #272607=ORIENTED_EDGE('',*,*,#203304,.F.); #272608=ORIENTED_EDGE('',*,*,#203305,.F.); #272609=ORIENTED_EDGE('',*,*,#203306,.T.); #272610=ORIENTED_EDGE('',*,*,#203305,.T.); #272611=ORIENTED_EDGE('',*,*,#203307,.F.); #272612=ORIENTED_EDGE('',*,*,#203308,.F.); #272613=ORIENTED_EDGE('',*,*,#203309,.T.); #272614=ORIENTED_EDGE('',*,*,#203308,.T.); #272615=ORIENTED_EDGE('',*,*,#203310,.F.); #272616=ORIENTED_EDGE('',*,*,#203311,.F.); #272617=ORIENTED_EDGE('',*,*,#203312,.T.); #272618=ORIENTED_EDGE('',*,*,#203311,.T.); #272619=ORIENTED_EDGE('',*,*,#203313,.F.); #272620=ORIENTED_EDGE('',*,*,#203314,.F.); #272621=ORIENTED_EDGE('',*,*,#203315,.T.); #272622=ORIENTED_EDGE('',*,*,#203314,.T.); #272623=ORIENTED_EDGE('',*,*,#203316,.F.); #272624=ORIENTED_EDGE('',*,*,#203317,.F.); #272625=ORIENTED_EDGE('',*,*,#203318,.T.); #272626=ORIENTED_EDGE('',*,*,#203317,.T.); #272627=ORIENTED_EDGE('',*,*,#203319,.F.); #272628=ORIENTED_EDGE('',*,*,#203320,.F.); #272629=ORIENTED_EDGE('',*,*,#203321,.T.); #272630=ORIENTED_EDGE('',*,*,#203320,.T.); #272631=ORIENTED_EDGE('',*,*,#203322,.F.); #272632=ORIENTED_EDGE('',*,*,#203323,.F.); #272633=ORIENTED_EDGE('',*,*,#203324,.T.); #272634=ORIENTED_EDGE('',*,*,#203323,.T.); #272635=ORIENTED_EDGE('',*,*,#203325,.F.); #272636=ORIENTED_EDGE('',*,*,#203326,.F.); #272637=ORIENTED_EDGE('',*,*,#203327,.T.); #272638=ORIENTED_EDGE('',*,*,#203326,.T.); #272639=ORIENTED_EDGE('',*,*,#203328,.F.); #272640=ORIENTED_EDGE('',*,*,#203329,.F.); #272641=ORIENTED_EDGE('',*,*,#203330,.T.); #272642=ORIENTED_EDGE('',*,*,#203329,.T.); #272643=ORIENTED_EDGE('',*,*,#203331,.F.); #272644=ORIENTED_EDGE('',*,*,#203332,.F.); #272645=ORIENTED_EDGE('',*,*,#203333,.T.); #272646=ORIENTED_EDGE('',*,*,#203332,.T.); #272647=ORIENTED_EDGE('',*,*,#203334,.F.); #272648=ORIENTED_EDGE('',*,*,#203335,.F.); #272649=ORIENTED_EDGE('',*,*,#203336,.T.); #272650=ORIENTED_EDGE('',*,*,#203335,.T.); #272651=ORIENTED_EDGE('',*,*,#203337,.F.); #272652=ORIENTED_EDGE('',*,*,#203338,.F.); #272653=ORIENTED_EDGE('',*,*,#203339,.T.); #272654=ORIENTED_EDGE('',*,*,#203338,.T.); #272655=ORIENTED_EDGE('',*,*,#203340,.F.); #272656=ORIENTED_EDGE('',*,*,#203341,.F.); #272657=ORIENTED_EDGE('',*,*,#203342,.T.); #272658=ORIENTED_EDGE('',*,*,#203341,.T.); #272659=ORIENTED_EDGE('',*,*,#203343,.F.); #272660=ORIENTED_EDGE('',*,*,#203344,.F.); #272661=ORIENTED_EDGE('',*,*,#203345,.T.); #272662=ORIENTED_EDGE('',*,*,#203344,.T.); #272663=ORIENTED_EDGE('',*,*,#203346,.F.); #272664=ORIENTED_EDGE('',*,*,#203347,.F.); #272665=ORIENTED_EDGE('',*,*,#203348,.T.); #272666=ORIENTED_EDGE('',*,*,#203347,.T.); #272667=ORIENTED_EDGE('',*,*,#203349,.F.); #272668=ORIENTED_EDGE('',*,*,#203350,.F.); #272669=ORIENTED_EDGE('',*,*,#203351,.T.); #272670=ORIENTED_EDGE('',*,*,#203350,.T.); #272671=ORIENTED_EDGE('',*,*,#203352,.F.); #272672=ORIENTED_EDGE('',*,*,#203353,.F.); #272673=ORIENTED_EDGE('',*,*,#203354,.T.); #272674=ORIENTED_EDGE('',*,*,#203353,.T.); #272675=ORIENTED_EDGE('',*,*,#203355,.F.); #272676=ORIENTED_EDGE('',*,*,#203288,.F.); #272677=ORIENTED_EDGE('',*,*,#203355,.T.); #272678=ORIENTED_EDGE('',*,*,#203352,.T.); #272679=ORIENTED_EDGE('',*,*,#203349,.T.); #272680=ORIENTED_EDGE('',*,*,#203346,.T.); #272681=ORIENTED_EDGE('',*,*,#203343,.T.); #272682=ORIENTED_EDGE('',*,*,#203340,.T.); #272683=ORIENTED_EDGE('',*,*,#203337,.T.); #272684=ORIENTED_EDGE('',*,*,#203334,.T.); #272685=ORIENTED_EDGE('',*,*,#203331,.T.); #272686=ORIENTED_EDGE('',*,*,#203328,.T.); #272687=ORIENTED_EDGE('',*,*,#203325,.T.); #272688=ORIENTED_EDGE('',*,*,#203322,.T.); #272689=ORIENTED_EDGE('',*,*,#203319,.T.); #272690=ORIENTED_EDGE('',*,*,#203316,.T.); #272691=ORIENTED_EDGE('',*,*,#203313,.T.); #272692=ORIENTED_EDGE('',*,*,#203310,.T.); #272693=ORIENTED_EDGE('',*,*,#203307,.T.); #272694=ORIENTED_EDGE('',*,*,#203304,.T.); #272695=ORIENTED_EDGE('',*,*,#203301,.T.); #272696=ORIENTED_EDGE('',*,*,#203298,.T.); #272697=ORIENTED_EDGE('',*,*,#203295,.T.); #272698=ORIENTED_EDGE('',*,*,#203292,.T.); #272699=ORIENTED_EDGE('',*,*,#203289,.T.); #272700=ORIENTED_EDGE('',*,*,#203354,.F.); #272701=ORIENTED_EDGE('',*,*,#203287,.F.); #272702=ORIENTED_EDGE('',*,*,#203291,.F.); #272703=ORIENTED_EDGE('',*,*,#203294,.F.); #272704=ORIENTED_EDGE('',*,*,#203297,.F.); #272705=ORIENTED_EDGE('',*,*,#203300,.F.); #272706=ORIENTED_EDGE('',*,*,#203303,.F.); #272707=ORIENTED_EDGE('',*,*,#203306,.F.); #272708=ORIENTED_EDGE('',*,*,#203309,.F.); #272709=ORIENTED_EDGE('',*,*,#203312,.F.); #272710=ORIENTED_EDGE('',*,*,#203315,.F.); #272711=ORIENTED_EDGE('',*,*,#203318,.F.); #272712=ORIENTED_EDGE('',*,*,#203321,.F.); #272713=ORIENTED_EDGE('',*,*,#203324,.F.); #272714=ORIENTED_EDGE('',*,*,#203327,.F.); #272715=ORIENTED_EDGE('',*,*,#203330,.F.); #272716=ORIENTED_EDGE('',*,*,#203333,.F.); #272717=ORIENTED_EDGE('',*,*,#203336,.F.); #272718=ORIENTED_EDGE('',*,*,#203339,.F.); #272719=ORIENTED_EDGE('',*,*,#203342,.F.); #272720=ORIENTED_EDGE('',*,*,#203345,.F.); #272721=ORIENTED_EDGE('',*,*,#203348,.F.); #272722=ORIENTED_EDGE('',*,*,#203351,.F.); #272723=ORIENTED_EDGE('',*,*,#203356,.T.); #272724=ORIENTED_EDGE('',*,*,#203357,.T.); #272725=ORIENTED_EDGE('',*,*,#203358,.F.); #272726=ORIENTED_EDGE('',*,*,#203359,.F.); #272727=ORIENTED_EDGE('',*,*,#203360,.T.); #272728=ORIENTED_EDGE('',*,*,#203359,.T.); #272729=ORIENTED_EDGE('',*,*,#203361,.F.); #272730=ORIENTED_EDGE('',*,*,#203362,.F.); #272731=ORIENTED_EDGE('',*,*,#203363,.T.); #272732=ORIENTED_EDGE('',*,*,#203362,.T.); #272733=ORIENTED_EDGE('',*,*,#203364,.F.); #272734=ORIENTED_EDGE('',*,*,#203365,.F.); #272735=ORIENTED_EDGE('',*,*,#203366,.T.); #272736=ORIENTED_EDGE('',*,*,#203365,.T.); #272737=ORIENTED_EDGE('',*,*,#203367,.F.); #272738=ORIENTED_EDGE('',*,*,#203368,.F.); #272739=ORIENTED_EDGE('',*,*,#203369,.T.); #272740=ORIENTED_EDGE('',*,*,#203368,.T.); #272741=ORIENTED_EDGE('',*,*,#203370,.F.); #272742=ORIENTED_EDGE('',*,*,#203371,.F.); #272743=ORIENTED_EDGE('',*,*,#203372,.T.); #272744=ORIENTED_EDGE('',*,*,#203371,.T.); #272745=ORIENTED_EDGE('',*,*,#203373,.F.); #272746=ORIENTED_EDGE('',*,*,#203374,.F.); #272747=ORIENTED_EDGE('',*,*,#203375,.T.); #272748=ORIENTED_EDGE('',*,*,#203374,.T.); #272749=ORIENTED_EDGE('',*,*,#203376,.F.); #272750=ORIENTED_EDGE('',*,*,#203377,.F.); #272751=ORIENTED_EDGE('',*,*,#203378,.T.); #272752=ORIENTED_EDGE('',*,*,#203377,.T.); #272753=ORIENTED_EDGE('',*,*,#203379,.F.); #272754=ORIENTED_EDGE('',*,*,#203380,.F.); #272755=ORIENTED_EDGE('',*,*,#203381,.T.); #272756=ORIENTED_EDGE('',*,*,#203380,.T.); #272757=ORIENTED_EDGE('',*,*,#203382,.F.); #272758=ORIENTED_EDGE('',*,*,#203383,.F.); #272759=ORIENTED_EDGE('',*,*,#203384,.T.); #272760=ORIENTED_EDGE('',*,*,#203383,.T.); #272761=ORIENTED_EDGE('',*,*,#203385,.F.); #272762=ORIENTED_EDGE('',*,*,#203386,.F.); #272763=ORIENTED_EDGE('',*,*,#203387,.T.); #272764=ORIENTED_EDGE('',*,*,#203386,.T.); #272765=ORIENTED_EDGE('',*,*,#203388,.F.); #272766=ORIENTED_EDGE('',*,*,#203389,.F.); #272767=ORIENTED_EDGE('',*,*,#203390,.T.); #272768=ORIENTED_EDGE('',*,*,#203389,.T.); #272769=ORIENTED_EDGE('',*,*,#203391,.F.); #272770=ORIENTED_EDGE('',*,*,#203392,.F.); #272771=ORIENTED_EDGE('',*,*,#203393,.T.); #272772=ORIENTED_EDGE('',*,*,#203392,.T.); #272773=ORIENTED_EDGE('',*,*,#203394,.F.); #272774=ORIENTED_EDGE('',*,*,#203395,.F.); #272775=ORIENTED_EDGE('',*,*,#203396,.T.); #272776=ORIENTED_EDGE('',*,*,#203395,.T.); #272777=ORIENTED_EDGE('',*,*,#203397,.F.); #272778=ORIENTED_EDGE('',*,*,#203398,.F.); #272779=ORIENTED_EDGE('',*,*,#203399,.T.); #272780=ORIENTED_EDGE('',*,*,#203398,.T.); #272781=ORIENTED_EDGE('',*,*,#203400,.F.); #272782=ORIENTED_EDGE('',*,*,#203401,.F.); #272783=ORIENTED_EDGE('',*,*,#203402,.T.); #272784=ORIENTED_EDGE('',*,*,#203401,.T.); #272785=ORIENTED_EDGE('',*,*,#203403,.F.); #272786=ORIENTED_EDGE('',*,*,#203404,.F.); #272787=ORIENTED_EDGE('',*,*,#203405,.T.); #272788=ORIENTED_EDGE('',*,*,#203404,.T.); #272789=ORIENTED_EDGE('',*,*,#203406,.F.); #272790=ORIENTED_EDGE('',*,*,#203407,.F.); #272791=ORIENTED_EDGE('',*,*,#203408,.T.); #272792=ORIENTED_EDGE('',*,*,#203407,.T.); #272793=ORIENTED_EDGE('',*,*,#203409,.F.); #272794=ORIENTED_EDGE('',*,*,#203410,.F.); #272795=ORIENTED_EDGE('',*,*,#203411,.T.); #272796=ORIENTED_EDGE('',*,*,#203410,.T.); #272797=ORIENTED_EDGE('',*,*,#203412,.F.); #272798=ORIENTED_EDGE('',*,*,#203413,.F.); #272799=ORIENTED_EDGE('',*,*,#203414,.T.); #272800=ORIENTED_EDGE('',*,*,#203413,.T.); #272801=ORIENTED_EDGE('',*,*,#203415,.F.); #272802=ORIENTED_EDGE('',*,*,#203357,.F.); #272803=ORIENTED_EDGE('',*,*,#203415,.T.); #272804=ORIENTED_EDGE('',*,*,#203412,.T.); #272805=ORIENTED_EDGE('',*,*,#203409,.T.); #272806=ORIENTED_EDGE('',*,*,#203406,.T.); #272807=ORIENTED_EDGE('',*,*,#203403,.T.); #272808=ORIENTED_EDGE('',*,*,#203400,.T.); #272809=ORIENTED_EDGE('',*,*,#203397,.T.); #272810=ORIENTED_EDGE('',*,*,#203394,.T.); #272811=ORIENTED_EDGE('',*,*,#203391,.T.); #272812=ORIENTED_EDGE('',*,*,#203388,.T.); #272813=ORIENTED_EDGE('',*,*,#203385,.T.); #272814=ORIENTED_EDGE('',*,*,#203382,.T.); #272815=ORIENTED_EDGE('',*,*,#203379,.T.); #272816=ORIENTED_EDGE('',*,*,#203376,.T.); #272817=ORIENTED_EDGE('',*,*,#203373,.T.); #272818=ORIENTED_EDGE('',*,*,#203370,.T.); #272819=ORIENTED_EDGE('',*,*,#203367,.T.); #272820=ORIENTED_EDGE('',*,*,#203364,.T.); #272821=ORIENTED_EDGE('',*,*,#203361,.T.); #272822=ORIENTED_EDGE('',*,*,#203358,.T.); #272823=ORIENTED_EDGE('',*,*,#203414,.F.); #272824=ORIENTED_EDGE('',*,*,#203356,.F.); #272825=ORIENTED_EDGE('',*,*,#203360,.F.); #272826=ORIENTED_EDGE('',*,*,#203363,.F.); #272827=ORIENTED_EDGE('',*,*,#203366,.F.); #272828=ORIENTED_EDGE('',*,*,#203369,.F.); #272829=ORIENTED_EDGE('',*,*,#203372,.F.); #272830=ORIENTED_EDGE('',*,*,#203375,.F.); #272831=ORIENTED_EDGE('',*,*,#203378,.F.); #272832=ORIENTED_EDGE('',*,*,#203381,.F.); #272833=ORIENTED_EDGE('',*,*,#203384,.F.); #272834=ORIENTED_EDGE('',*,*,#203387,.F.); #272835=ORIENTED_EDGE('',*,*,#203390,.F.); #272836=ORIENTED_EDGE('',*,*,#203393,.F.); #272837=ORIENTED_EDGE('',*,*,#203396,.F.); #272838=ORIENTED_EDGE('',*,*,#203399,.F.); #272839=ORIENTED_EDGE('',*,*,#203402,.F.); #272840=ORIENTED_EDGE('',*,*,#203405,.F.); #272841=ORIENTED_EDGE('',*,*,#203408,.F.); #272842=ORIENTED_EDGE('',*,*,#203411,.F.); #272843=ORIENTED_EDGE('',*,*,#203416,.T.); #272844=ORIENTED_EDGE('',*,*,#203417,.T.); #272845=ORIENTED_EDGE('',*,*,#203418,.F.); #272846=ORIENTED_EDGE('',*,*,#203419,.F.); #272847=ORIENTED_EDGE('',*,*,#203420,.T.); #272848=ORIENTED_EDGE('',*,*,#203419,.T.); #272849=ORIENTED_EDGE('',*,*,#203421,.F.); #272850=ORIENTED_EDGE('',*,*,#203422,.F.); #272851=ORIENTED_EDGE('',*,*,#203423,.T.); #272852=ORIENTED_EDGE('',*,*,#203422,.T.); #272853=ORIENTED_EDGE('',*,*,#203424,.F.); #272854=ORIENTED_EDGE('',*,*,#203425,.F.); #272855=ORIENTED_EDGE('',*,*,#203426,.T.); #272856=ORIENTED_EDGE('',*,*,#203425,.T.); #272857=ORIENTED_EDGE('',*,*,#203427,.F.); #272858=ORIENTED_EDGE('',*,*,#203428,.F.); #272859=ORIENTED_EDGE('',*,*,#203429,.T.); #272860=ORIENTED_EDGE('',*,*,#203428,.T.); #272861=ORIENTED_EDGE('',*,*,#203430,.F.); #272862=ORIENTED_EDGE('',*,*,#203431,.F.); #272863=ORIENTED_EDGE('',*,*,#203432,.T.); #272864=ORIENTED_EDGE('',*,*,#203431,.T.); #272865=ORIENTED_EDGE('',*,*,#203433,.F.); #272866=ORIENTED_EDGE('',*,*,#203434,.F.); #272867=ORIENTED_EDGE('',*,*,#203435,.T.); #272868=ORIENTED_EDGE('',*,*,#203434,.T.); #272869=ORIENTED_EDGE('',*,*,#203436,.F.); #272870=ORIENTED_EDGE('',*,*,#203437,.F.); #272871=ORIENTED_EDGE('',*,*,#203438,.T.); #272872=ORIENTED_EDGE('',*,*,#203437,.T.); #272873=ORIENTED_EDGE('',*,*,#203439,.F.); #272874=ORIENTED_EDGE('',*,*,#203440,.F.); #272875=ORIENTED_EDGE('',*,*,#203441,.T.); #272876=ORIENTED_EDGE('',*,*,#203440,.T.); #272877=ORIENTED_EDGE('',*,*,#203442,.F.); #272878=ORIENTED_EDGE('',*,*,#203443,.F.); #272879=ORIENTED_EDGE('',*,*,#203444,.T.); #272880=ORIENTED_EDGE('',*,*,#203443,.T.); #272881=ORIENTED_EDGE('',*,*,#203445,.F.); #272882=ORIENTED_EDGE('',*,*,#203446,.F.); #272883=ORIENTED_EDGE('',*,*,#203447,.T.); #272884=ORIENTED_EDGE('',*,*,#203446,.T.); #272885=ORIENTED_EDGE('',*,*,#203448,.F.); #272886=ORIENTED_EDGE('',*,*,#203449,.F.); #272887=ORIENTED_EDGE('',*,*,#203450,.T.); #272888=ORIENTED_EDGE('',*,*,#203449,.T.); #272889=ORIENTED_EDGE('',*,*,#203451,.F.); #272890=ORIENTED_EDGE('',*,*,#203452,.F.); #272891=ORIENTED_EDGE('',*,*,#203453,.T.); #272892=ORIENTED_EDGE('',*,*,#203452,.T.); #272893=ORIENTED_EDGE('',*,*,#203454,.F.); #272894=ORIENTED_EDGE('',*,*,#203455,.F.); #272895=ORIENTED_EDGE('',*,*,#203456,.T.); #272896=ORIENTED_EDGE('',*,*,#203455,.T.); #272897=ORIENTED_EDGE('',*,*,#203457,.F.); #272898=ORIENTED_EDGE('',*,*,#203458,.F.); #272899=ORIENTED_EDGE('',*,*,#203459,.T.); #272900=ORIENTED_EDGE('',*,*,#203458,.T.); #272901=ORIENTED_EDGE('',*,*,#203460,.F.); #272902=ORIENTED_EDGE('',*,*,#203461,.F.); #272903=ORIENTED_EDGE('',*,*,#203462,.T.); #272904=ORIENTED_EDGE('',*,*,#203461,.T.); #272905=ORIENTED_EDGE('',*,*,#203463,.F.); #272906=ORIENTED_EDGE('',*,*,#203464,.F.); #272907=ORIENTED_EDGE('',*,*,#203465,.T.); #272908=ORIENTED_EDGE('',*,*,#203464,.T.); #272909=ORIENTED_EDGE('',*,*,#203466,.F.); #272910=ORIENTED_EDGE('',*,*,#203467,.F.); #272911=ORIENTED_EDGE('',*,*,#203468,.T.); #272912=ORIENTED_EDGE('',*,*,#203467,.T.); #272913=ORIENTED_EDGE('',*,*,#203469,.F.); #272914=ORIENTED_EDGE('',*,*,#203470,.F.); #272915=ORIENTED_EDGE('',*,*,#203471,.T.); #272916=ORIENTED_EDGE('',*,*,#203470,.T.); #272917=ORIENTED_EDGE('',*,*,#203472,.F.); #272918=ORIENTED_EDGE('',*,*,#203473,.F.); #272919=ORIENTED_EDGE('',*,*,#203474,.T.); #272920=ORIENTED_EDGE('',*,*,#203473,.T.); #272921=ORIENTED_EDGE('',*,*,#203475,.F.); #272922=ORIENTED_EDGE('',*,*,#203476,.F.); #272923=ORIENTED_EDGE('',*,*,#203477,.T.); #272924=ORIENTED_EDGE('',*,*,#203476,.T.); #272925=ORIENTED_EDGE('',*,*,#203478,.F.); #272926=ORIENTED_EDGE('',*,*,#203417,.F.); #272927=ORIENTED_EDGE('',*,*,#203478,.T.); #272928=ORIENTED_EDGE('',*,*,#203475,.T.); #272929=ORIENTED_EDGE('',*,*,#203472,.T.); #272930=ORIENTED_EDGE('',*,*,#203469,.T.); #272931=ORIENTED_EDGE('',*,*,#203466,.T.); #272932=ORIENTED_EDGE('',*,*,#203463,.T.); #272933=ORIENTED_EDGE('',*,*,#203460,.T.); #272934=ORIENTED_EDGE('',*,*,#203457,.T.); #272935=ORIENTED_EDGE('',*,*,#203454,.T.); #272936=ORIENTED_EDGE('',*,*,#203451,.T.); #272937=ORIENTED_EDGE('',*,*,#203448,.T.); #272938=ORIENTED_EDGE('',*,*,#203445,.T.); #272939=ORIENTED_EDGE('',*,*,#203442,.T.); #272940=ORIENTED_EDGE('',*,*,#203439,.T.); #272941=ORIENTED_EDGE('',*,*,#203436,.T.); #272942=ORIENTED_EDGE('',*,*,#203433,.T.); #272943=ORIENTED_EDGE('',*,*,#203430,.T.); #272944=ORIENTED_EDGE('',*,*,#203427,.T.); #272945=ORIENTED_EDGE('',*,*,#203424,.T.); #272946=ORIENTED_EDGE('',*,*,#203421,.T.); #272947=ORIENTED_EDGE('',*,*,#203418,.T.); #272948=ORIENTED_EDGE('',*,*,#203477,.F.); #272949=ORIENTED_EDGE('',*,*,#203416,.F.); #272950=ORIENTED_EDGE('',*,*,#203420,.F.); #272951=ORIENTED_EDGE('',*,*,#203423,.F.); #272952=ORIENTED_EDGE('',*,*,#203426,.F.); #272953=ORIENTED_EDGE('',*,*,#203429,.F.); #272954=ORIENTED_EDGE('',*,*,#203432,.F.); #272955=ORIENTED_EDGE('',*,*,#203435,.F.); #272956=ORIENTED_EDGE('',*,*,#203438,.F.); #272957=ORIENTED_EDGE('',*,*,#203441,.F.); #272958=ORIENTED_EDGE('',*,*,#203444,.F.); #272959=ORIENTED_EDGE('',*,*,#203447,.F.); #272960=ORIENTED_EDGE('',*,*,#203450,.F.); #272961=ORIENTED_EDGE('',*,*,#203453,.F.); #272962=ORIENTED_EDGE('',*,*,#203456,.F.); #272963=ORIENTED_EDGE('',*,*,#203459,.F.); #272964=ORIENTED_EDGE('',*,*,#203462,.F.); #272965=ORIENTED_EDGE('',*,*,#203465,.F.); #272966=ORIENTED_EDGE('',*,*,#203468,.F.); #272967=ORIENTED_EDGE('',*,*,#203471,.F.); #272968=ORIENTED_EDGE('',*,*,#203474,.F.); #272969=ORIENTED_EDGE('',*,*,#203479,.F.); #272970=ORIENTED_EDGE('',*,*,#203480,.T.); #272971=ORIENTED_EDGE('',*,*,#203481,.F.); #272972=ORIENTED_EDGE('',*,*,#203480,.F.); #272973=ORIENTED_EDGE('',*,*,#203482,.T.); #272974=ORIENTED_EDGE('',*,*,#203483,.T.); #272975=ORIENTED_EDGE('',*,*,#203484,.F.); #272976=ORIENTED_EDGE('',*,*,#203485,.F.); #272977=ORIENTED_EDGE('',*,*,#203486,.T.); #272978=ORIENTED_EDGE('',*,*,#203485,.T.); #272979=ORIENTED_EDGE('',*,*,#203487,.F.); #272980=ORIENTED_EDGE('',*,*,#203488,.F.); #272981=ORIENTED_EDGE('',*,*,#203489,.T.); #272982=ORIENTED_EDGE('',*,*,#203488,.T.); #272983=ORIENTED_EDGE('',*,*,#203490,.F.); #272984=ORIENTED_EDGE('',*,*,#203483,.F.); #272985=ORIENTED_EDGE('',*,*,#203490,.T.); #272986=ORIENTED_EDGE('',*,*,#203487,.T.); #272987=ORIENTED_EDGE('',*,*,#203484,.T.); #272988=ORIENTED_EDGE('',*,*,#203481,.T.); #272989=ORIENTED_EDGE('',*,*,#203489,.F.); #272990=ORIENTED_EDGE('',*,*,#203482,.F.); #272991=ORIENTED_EDGE('',*,*,#203486,.F.); #272992=ORIENTED_EDGE('',*,*,#203479,.T.); #272993=ORIENTED_EDGE('',*,*,#203491,.F.); #272994=ORIENTED_EDGE('',*,*,#203492,.T.); #272995=ORIENTED_EDGE('',*,*,#203493,.F.); #272996=ORIENTED_EDGE('',*,*,#203492,.F.); #272997=ORIENTED_EDGE('',*,*,#203494,.T.); #272998=ORIENTED_EDGE('',*,*,#203495,.T.); #272999=ORIENTED_EDGE('',*,*,#203496,.F.); #273000=ORIENTED_EDGE('',*,*,#203497,.F.); #273001=ORIENTED_EDGE('',*,*,#203498,.T.); #273002=ORIENTED_EDGE('',*,*,#203497,.T.); #273003=ORIENTED_EDGE('',*,*,#203499,.F.); #273004=ORIENTED_EDGE('',*,*,#203500,.F.); #273005=ORIENTED_EDGE('',*,*,#203501,.T.); #273006=ORIENTED_EDGE('',*,*,#203500,.T.); #273007=ORIENTED_EDGE('',*,*,#203502,.F.); #273008=ORIENTED_EDGE('',*,*,#203495,.F.); #273009=ORIENTED_EDGE('',*,*,#203502,.T.); #273010=ORIENTED_EDGE('',*,*,#203499,.T.); #273011=ORIENTED_EDGE('',*,*,#203496,.T.); #273012=ORIENTED_EDGE('',*,*,#203493,.T.); #273013=ORIENTED_EDGE('',*,*,#203501,.F.); #273014=ORIENTED_EDGE('',*,*,#203494,.F.); #273015=ORIENTED_EDGE('',*,*,#203498,.F.); #273016=ORIENTED_EDGE('',*,*,#203491,.T.); #273017=ORIENTED_EDGE('',*,*,#203503,.F.); #273018=ORIENTED_EDGE('',*,*,#203504,.T.); #273019=ORIENTED_EDGE('',*,*,#203505,.F.); #273020=ORIENTED_EDGE('',*,*,#203504,.F.); #273021=ORIENTED_EDGE('',*,*,#203506,.T.); #273022=ORIENTED_EDGE('',*,*,#203507,.T.); #273023=ORIENTED_EDGE('',*,*,#203508,.F.); #273024=ORIENTED_EDGE('',*,*,#203509,.F.); #273025=ORIENTED_EDGE('',*,*,#203510,.T.); #273026=ORIENTED_EDGE('',*,*,#203509,.T.); #273027=ORIENTED_EDGE('',*,*,#203511,.F.); #273028=ORIENTED_EDGE('',*,*,#203512,.F.); #273029=ORIENTED_EDGE('',*,*,#203513,.T.); #273030=ORIENTED_EDGE('',*,*,#203512,.T.); #273031=ORIENTED_EDGE('',*,*,#203514,.F.); #273032=ORIENTED_EDGE('',*,*,#203507,.F.); #273033=ORIENTED_EDGE('',*,*,#203514,.T.); #273034=ORIENTED_EDGE('',*,*,#203511,.T.); #273035=ORIENTED_EDGE('',*,*,#203508,.T.); #273036=ORIENTED_EDGE('',*,*,#203505,.T.); #273037=ORIENTED_EDGE('',*,*,#203513,.F.); #273038=ORIENTED_EDGE('',*,*,#203506,.F.); #273039=ORIENTED_EDGE('',*,*,#203510,.F.); #273040=ORIENTED_EDGE('',*,*,#203503,.T.); #273041=ORIENTED_EDGE('',*,*,#203515,.F.); #273042=ORIENTED_EDGE('',*,*,#203516,.T.); #273043=ORIENTED_EDGE('',*,*,#203517,.F.); #273044=ORIENTED_EDGE('',*,*,#203516,.F.); #273045=ORIENTED_EDGE('',*,*,#203518,.T.); #273046=ORIENTED_EDGE('',*,*,#203519,.T.); #273047=ORIENTED_EDGE('',*,*,#203520,.F.); #273048=ORIENTED_EDGE('',*,*,#203521,.F.); #273049=ORIENTED_EDGE('',*,*,#203522,.T.); #273050=ORIENTED_EDGE('',*,*,#203521,.T.); #273051=ORIENTED_EDGE('',*,*,#203523,.F.); #273052=ORIENTED_EDGE('',*,*,#203524,.F.); #273053=ORIENTED_EDGE('',*,*,#203525,.T.); #273054=ORIENTED_EDGE('',*,*,#203524,.T.); #273055=ORIENTED_EDGE('',*,*,#203526,.F.); #273056=ORIENTED_EDGE('',*,*,#203519,.F.); #273057=ORIENTED_EDGE('',*,*,#203526,.T.); #273058=ORIENTED_EDGE('',*,*,#203523,.T.); #273059=ORIENTED_EDGE('',*,*,#203520,.T.); #273060=ORIENTED_EDGE('',*,*,#203517,.T.); #273061=ORIENTED_EDGE('',*,*,#203525,.F.); #273062=ORIENTED_EDGE('',*,*,#203518,.F.); #273063=ORIENTED_EDGE('',*,*,#203522,.F.); #273064=ORIENTED_EDGE('',*,*,#203515,.T.); #273065=ORIENTED_EDGE('',*,*,#203527,.F.); #273066=ORIENTED_EDGE('',*,*,#203528,.T.); #273067=ORIENTED_EDGE('',*,*,#203529,.F.); #273068=ORIENTED_EDGE('',*,*,#203528,.F.); #273069=ORIENTED_EDGE('',*,*,#203530,.T.); #273070=ORIENTED_EDGE('',*,*,#203531,.T.); #273071=ORIENTED_EDGE('',*,*,#203532,.F.); #273072=ORIENTED_EDGE('',*,*,#203533,.F.); #273073=ORIENTED_EDGE('',*,*,#203534,.T.); #273074=ORIENTED_EDGE('',*,*,#203533,.T.); #273075=ORIENTED_EDGE('',*,*,#203535,.F.); #273076=ORIENTED_EDGE('',*,*,#203536,.F.); #273077=ORIENTED_EDGE('',*,*,#203537,.T.); #273078=ORIENTED_EDGE('',*,*,#203536,.T.); #273079=ORIENTED_EDGE('',*,*,#203538,.F.); #273080=ORIENTED_EDGE('',*,*,#203539,.F.); #273081=ORIENTED_EDGE('',*,*,#203540,.T.); #273082=ORIENTED_EDGE('',*,*,#203539,.T.); #273083=ORIENTED_EDGE('',*,*,#203541,.F.); #273084=ORIENTED_EDGE('',*,*,#203531,.F.); #273085=ORIENTED_EDGE('',*,*,#203541,.T.); #273086=ORIENTED_EDGE('',*,*,#203538,.T.); #273087=ORIENTED_EDGE('',*,*,#203535,.T.); #273088=ORIENTED_EDGE('',*,*,#203532,.T.); #273089=ORIENTED_EDGE('',*,*,#203529,.T.); #273090=ORIENTED_EDGE('',*,*,#203540,.F.); #273091=ORIENTED_EDGE('',*,*,#203530,.F.); #273092=ORIENTED_EDGE('',*,*,#203534,.F.); #273093=ORIENTED_EDGE('',*,*,#203537,.F.); #273094=ORIENTED_EDGE('',*,*,#203527,.T.); #273095=ORIENTED_EDGE('',*,*,#203542,.F.); #273096=ORIENTED_EDGE('',*,*,#203543,.T.); #273097=ORIENTED_EDGE('',*,*,#203544,.F.); #273098=ORIENTED_EDGE('',*,*,#203543,.F.); #273099=ORIENTED_EDGE('',*,*,#203545,.T.); #273100=ORIENTED_EDGE('',*,*,#203546,.T.); #273101=ORIENTED_EDGE('',*,*,#203547,.F.); #273102=ORIENTED_EDGE('',*,*,#203548,.F.); #273103=ORIENTED_EDGE('',*,*,#203549,.T.); #273104=ORIENTED_EDGE('',*,*,#203548,.T.); #273105=ORIENTED_EDGE('',*,*,#203550,.F.); #273106=ORIENTED_EDGE('',*,*,#203551,.F.); #273107=ORIENTED_EDGE('',*,*,#203552,.T.); #273108=ORIENTED_EDGE('',*,*,#203551,.T.); #273109=ORIENTED_EDGE('',*,*,#203553,.F.); #273110=ORIENTED_EDGE('',*,*,#203546,.F.); #273111=ORIENTED_EDGE('',*,*,#203553,.T.); #273112=ORIENTED_EDGE('',*,*,#203550,.T.); #273113=ORIENTED_EDGE('',*,*,#203547,.T.); #273114=ORIENTED_EDGE('',*,*,#203544,.T.); #273115=ORIENTED_EDGE('',*,*,#203552,.F.); #273116=ORIENTED_EDGE('',*,*,#203545,.F.); #273117=ORIENTED_EDGE('',*,*,#203549,.F.); #273118=ORIENTED_EDGE('',*,*,#203542,.T.); #273119=ORIENTED_EDGE('',*,*,#203554,.F.); #273120=ORIENTED_EDGE('',*,*,#203555,.T.); #273121=ORIENTED_EDGE('',*,*,#203556,.F.); #273122=ORIENTED_EDGE('',*,*,#203555,.F.); #273123=ORIENTED_EDGE('',*,*,#203557,.T.); #273124=ORIENTED_EDGE('',*,*,#203558,.T.); #273125=ORIENTED_EDGE('',*,*,#203559,.F.); #273126=ORIENTED_EDGE('',*,*,#203560,.F.); #273127=ORIENTED_EDGE('',*,*,#203561,.T.); #273128=ORIENTED_EDGE('',*,*,#203560,.T.); #273129=ORIENTED_EDGE('',*,*,#203562,.F.); #273130=ORIENTED_EDGE('',*,*,#203563,.F.); #273131=ORIENTED_EDGE('',*,*,#203564,.T.); #273132=ORIENTED_EDGE('',*,*,#203563,.T.); #273133=ORIENTED_EDGE('',*,*,#203565,.F.); #273134=ORIENTED_EDGE('',*,*,#203558,.F.); #273135=ORIENTED_EDGE('',*,*,#203565,.T.); #273136=ORIENTED_EDGE('',*,*,#203562,.T.); #273137=ORIENTED_EDGE('',*,*,#203559,.T.); #273138=ORIENTED_EDGE('',*,*,#203556,.T.); #273139=ORIENTED_EDGE('',*,*,#203564,.F.); #273140=ORIENTED_EDGE('',*,*,#203557,.F.); #273141=ORIENTED_EDGE('',*,*,#203561,.F.); #273142=ORIENTED_EDGE('',*,*,#203554,.T.); #273143=ORIENTED_EDGE('',*,*,#203566,.F.); #273144=ORIENTED_EDGE('',*,*,#203567,.T.); #273145=ORIENTED_EDGE('',*,*,#203568,.F.); #273146=ORIENTED_EDGE('',*,*,#203567,.F.); #273147=ORIENTED_EDGE('',*,*,#203569,.T.); #273148=ORIENTED_EDGE('',*,*,#203570,.T.); #273149=ORIENTED_EDGE('',*,*,#203571,.F.); #273150=ORIENTED_EDGE('',*,*,#203572,.F.); #273151=ORIENTED_EDGE('',*,*,#203573,.T.); #273152=ORIENTED_EDGE('',*,*,#203572,.T.); #273153=ORIENTED_EDGE('',*,*,#203574,.F.); #273154=ORIENTED_EDGE('',*,*,#203575,.F.); #273155=ORIENTED_EDGE('',*,*,#203576,.T.); #273156=ORIENTED_EDGE('',*,*,#203575,.T.); #273157=ORIENTED_EDGE('',*,*,#203577,.F.); #273158=ORIENTED_EDGE('',*,*,#203570,.F.); #273159=ORIENTED_EDGE('',*,*,#203577,.T.); #273160=ORIENTED_EDGE('',*,*,#203574,.T.); #273161=ORIENTED_EDGE('',*,*,#203571,.T.); #273162=ORIENTED_EDGE('',*,*,#203568,.T.); #273163=ORIENTED_EDGE('',*,*,#203576,.F.); #273164=ORIENTED_EDGE('',*,*,#203569,.F.); #273165=ORIENTED_EDGE('',*,*,#203573,.F.); #273166=ORIENTED_EDGE('',*,*,#203566,.T.); #273167=ORIENTED_EDGE('',*,*,#203578,.F.); #273168=ORIENTED_EDGE('',*,*,#203579,.T.); #273169=ORIENTED_EDGE('',*,*,#203580,.F.); #273170=ORIENTED_EDGE('',*,*,#203579,.F.); #273171=ORIENTED_EDGE('',*,*,#203581,.T.); #273172=ORIENTED_EDGE('',*,*,#203582,.T.); #273173=ORIENTED_EDGE('',*,*,#203583,.F.); #273174=ORIENTED_EDGE('',*,*,#203584,.F.); #273175=ORIENTED_EDGE('',*,*,#203585,.T.); #273176=ORIENTED_EDGE('',*,*,#203584,.T.); #273177=ORIENTED_EDGE('',*,*,#203586,.F.); #273178=ORIENTED_EDGE('',*,*,#203587,.F.); #273179=ORIENTED_EDGE('',*,*,#203588,.T.); #273180=ORIENTED_EDGE('',*,*,#203587,.T.); #273181=ORIENTED_EDGE('',*,*,#203589,.F.); #273182=ORIENTED_EDGE('',*,*,#203582,.F.); #273183=ORIENTED_EDGE('',*,*,#203589,.T.); #273184=ORIENTED_EDGE('',*,*,#203586,.T.); #273185=ORIENTED_EDGE('',*,*,#203583,.T.); #273186=ORIENTED_EDGE('',*,*,#203580,.T.); #273187=ORIENTED_EDGE('',*,*,#203588,.F.); #273188=ORIENTED_EDGE('',*,*,#203581,.F.); #273189=ORIENTED_EDGE('',*,*,#203585,.F.); #273190=ORIENTED_EDGE('',*,*,#203578,.T.); #273191=ORIENTED_EDGE('',*,*,#203590,.F.); #273192=ORIENTED_EDGE('',*,*,#203591,.F.); #273193=ORIENTED_EDGE('',*,*,#203592,.F.); #273194=ORIENTED_EDGE('',*,*,#203593,.T.); #273195=ORIENTED_EDGE('',*,*,#203594,.F.); #273196=ORIENTED_EDGE('',*,*,#203593,.F.); #273197=ORIENTED_EDGE('',*,*,#203595,.F.); #273198=ORIENTED_EDGE('',*,*,#203596,.T.); #273199=ORIENTED_EDGE('',*,*,#203597,.F.); #273200=ORIENTED_EDGE('',*,*,#203596,.F.); #273201=ORIENTED_EDGE('',*,*,#203598,.F.); #273202=ORIENTED_EDGE('',*,*,#203599,.T.); #273203=ORIENTED_EDGE('',*,*,#203600,.F.); #273204=ORIENTED_EDGE('',*,*,#203599,.F.); #273205=ORIENTED_EDGE('',*,*,#203601,.F.); #273206=ORIENTED_EDGE('',*,*,#203591,.T.); #273207=ORIENTED_EDGE('',*,*,#203602,.T.); #273208=ORIENTED_EDGE('',*,*,#203603,.T.); #273209=ORIENTED_EDGE('',*,*,#203604,.F.); #273210=ORIENTED_EDGE('',*,*,#203605,.F.); #273211=ORIENTED_EDGE('',*,*,#203606,.T.); #273212=ORIENTED_EDGE('',*,*,#203605,.T.); #273213=ORIENTED_EDGE('',*,*,#203607,.F.); #273214=ORIENTED_EDGE('',*,*,#203608,.F.); #273215=ORIENTED_EDGE('',*,*,#203609,.T.); #273216=ORIENTED_EDGE('',*,*,#203608,.T.); #273217=ORIENTED_EDGE('',*,*,#203610,.F.); #273218=ORIENTED_EDGE('',*,*,#203611,.F.); #273219=ORIENTED_EDGE('',*,*,#203612,.T.); #273220=ORIENTED_EDGE('',*,*,#203611,.T.); #273221=ORIENTED_EDGE('',*,*,#203613,.F.); #273222=ORIENTED_EDGE('',*,*,#203614,.F.); #273223=ORIENTED_EDGE('',*,*,#203615,.T.); #273224=ORIENTED_EDGE('',*,*,#203614,.T.); #273225=ORIENTED_EDGE('',*,*,#203616,.F.); #273226=ORIENTED_EDGE('',*,*,#203617,.F.); #273227=ORIENTED_EDGE('',*,*,#203618,.T.); #273228=ORIENTED_EDGE('',*,*,#203617,.T.); #273229=ORIENTED_EDGE('',*,*,#203619,.F.); #273230=ORIENTED_EDGE('',*,*,#203620,.F.); #273231=ORIENTED_EDGE('',*,*,#203621,.T.); #273232=ORIENTED_EDGE('',*,*,#203620,.T.); #273233=ORIENTED_EDGE('',*,*,#203622,.F.); #273234=ORIENTED_EDGE('',*,*,#203623,.F.); #273235=ORIENTED_EDGE('',*,*,#203624,.T.); #273236=ORIENTED_EDGE('',*,*,#203623,.T.); #273237=ORIENTED_EDGE('',*,*,#203625,.F.); #273238=ORIENTED_EDGE('',*,*,#203626,.F.); #273239=ORIENTED_EDGE('',*,*,#203627,.T.); #273240=ORIENTED_EDGE('',*,*,#203626,.T.); #273241=ORIENTED_EDGE('',*,*,#203628,.F.); #273242=ORIENTED_EDGE('',*,*,#203629,.F.); #273243=ORIENTED_EDGE('',*,*,#203630,.T.); #273244=ORIENTED_EDGE('',*,*,#203629,.T.); #273245=ORIENTED_EDGE('',*,*,#203631,.F.); #273246=ORIENTED_EDGE('',*,*,#203632,.F.); #273247=ORIENTED_EDGE('',*,*,#203633,.T.); #273248=ORIENTED_EDGE('',*,*,#203632,.T.); #273249=ORIENTED_EDGE('',*,*,#203634,.F.); #273250=ORIENTED_EDGE('',*,*,#203635,.F.); #273251=ORIENTED_EDGE('',*,*,#203636,.T.); #273252=ORIENTED_EDGE('',*,*,#203635,.T.); #273253=ORIENTED_EDGE('',*,*,#203637,.F.); #273254=ORIENTED_EDGE('',*,*,#203638,.F.); #273255=ORIENTED_EDGE('',*,*,#203639,.T.); #273256=ORIENTED_EDGE('',*,*,#203638,.T.); #273257=ORIENTED_EDGE('',*,*,#203640,.F.); #273258=ORIENTED_EDGE('',*,*,#203641,.F.); #273259=ORIENTED_EDGE('',*,*,#203642,.T.); #273260=ORIENTED_EDGE('',*,*,#203641,.T.); #273261=ORIENTED_EDGE('',*,*,#203643,.F.); #273262=ORIENTED_EDGE('',*,*,#203644,.F.); #273263=ORIENTED_EDGE('',*,*,#203645,.T.); #273264=ORIENTED_EDGE('',*,*,#203644,.T.); #273265=ORIENTED_EDGE('',*,*,#203646,.F.); #273266=ORIENTED_EDGE('',*,*,#203647,.F.); #273267=ORIENTED_EDGE('',*,*,#203648,.T.); #273268=ORIENTED_EDGE('',*,*,#203647,.T.); #273269=ORIENTED_EDGE('',*,*,#203649,.F.); #273270=ORIENTED_EDGE('',*,*,#203650,.F.); #273271=ORIENTED_EDGE('',*,*,#203651,.T.); #273272=ORIENTED_EDGE('',*,*,#203650,.T.); #273273=ORIENTED_EDGE('',*,*,#203652,.F.); #273274=ORIENTED_EDGE('',*,*,#203653,.F.); #273275=ORIENTED_EDGE('',*,*,#203654,.T.); #273276=ORIENTED_EDGE('',*,*,#203653,.T.); #273277=ORIENTED_EDGE('',*,*,#203655,.F.); #273278=ORIENTED_EDGE('',*,*,#203656,.F.); #273279=ORIENTED_EDGE('',*,*,#203657,.T.); #273280=ORIENTED_EDGE('',*,*,#203656,.T.); #273281=ORIENTED_EDGE('',*,*,#203658,.F.); #273282=ORIENTED_EDGE('',*,*,#203659,.F.); #273283=ORIENTED_EDGE('',*,*,#203660,.T.); #273284=ORIENTED_EDGE('',*,*,#203659,.T.); #273285=ORIENTED_EDGE('',*,*,#203661,.F.); #273286=ORIENTED_EDGE('',*,*,#203662,.F.); #273287=ORIENTED_EDGE('',*,*,#203663,.T.); #273288=ORIENTED_EDGE('',*,*,#203662,.T.); #273289=ORIENTED_EDGE('',*,*,#203664,.F.); #273290=ORIENTED_EDGE('',*,*,#203665,.F.); #273291=ORIENTED_EDGE('',*,*,#203666,.T.); #273292=ORIENTED_EDGE('',*,*,#203665,.T.); #273293=ORIENTED_EDGE('',*,*,#203667,.F.); #273294=ORIENTED_EDGE('',*,*,#203668,.F.); #273295=ORIENTED_EDGE('',*,*,#203669,.T.); #273296=ORIENTED_EDGE('',*,*,#203668,.T.); #273297=ORIENTED_EDGE('',*,*,#203670,.F.); #273298=ORIENTED_EDGE('',*,*,#203671,.F.); #273299=ORIENTED_EDGE('',*,*,#203672,.T.); #273300=ORIENTED_EDGE('',*,*,#203671,.T.); #273301=ORIENTED_EDGE('',*,*,#203673,.F.); #273302=ORIENTED_EDGE('',*,*,#203674,.F.); #273303=ORIENTED_EDGE('',*,*,#203675,.T.); #273304=ORIENTED_EDGE('',*,*,#203674,.T.); #273305=ORIENTED_EDGE('',*,*,#203676,.F.); #273306=ORIENTED_EDGE('',*,*,#203677,.F.); #273307=ORIENTED_EDGE('',*,*,#203678,.T.); #273308=ORIENTED_EDGE('',*,*,#203677,.T.); #273309=ORIENTED_EDGE('',*,*,#203679,.F.); #273310=ORIENTED_EDGE('',*,*,#203680,.F.); #273311=ORIENTED_EDGE('',*,*,#203681,.T.); #273312=ORIENTED_EDGE('',*,*,#203680,.T.); #273313=ORIENTED_EDGE('',*,*,#203682,.F.); #273314=ORIENTED_EDGE('',*,*,#203683,.F.); #273315=ORIENTED_EDGE('',*,*,#203684,.T.); #273316=ORIENTED_EDGE('',*,*,#203683,.T.); #273317=ORIENTED_EDGE('',*,*,#203685,.F.); #273318=ORIENTED_EDGE('',*,*,#203686,.F.); #273319=ORIENTED_EDGE('',*,*,#203687,.T.); #273320=ORIENTED_EDGE('',*,*,#203686,.T.); #273321=ORIENTED_EDGE('',*,*,#203688,.F.); #273322=ORIENTED_EDGE('',*,*,#203689,.F.); #273323=ORIENTED_EDGE('',*,*,#203690,.T.); #273324=ORIENTED_EDGE('',*,*,#203689,.T.); #273325=ORIENTED_EDGE('',*,*,#203691,.F.); #273326=ORIENTED_EDGE('',*,*,#203692,.F.); #273327=ORIENTED_EDGE('',*,*,#203693,.T.); #273328=ORIENTED_EDGE('',*,*,#203692,.T.); #273329=ORIENTED_EDGE('',*,*,#203694,.F.); #273330=ORIENTED_EDGE('',*,*,#203695,.F.); #273331=ORIENTED_EDGE('',*,*,#203696,.T.); #273332=ORIENTED_EDGE('',*,*,#203695,.T.); #273333=ORIENTED_EDGE('',*,*,#203697,.F.); #273334=ORIENTED_EDGE('',*,*,#203698,.F.); #273335=ORIENTED_EDGE('',*,*,#203699,.T.); #273336=ORIENTED_EDGE('',*,*,#203698,.T.); #273337=ORIENTED_EDGE('',*,*,#203700,.F.); #273338=ORIENTED_EDGE('',*,*,#203701,.F.); #273339=ORIENTED_EDGE('',*,*,#203702,.T.); #273340=ORIENTED_EDGE('',*,*,#203701,.T.); #273341=ORIENTED_EDGE('',*,*,#203703,.F.); #273342=ORIENTED_EDGE('',*,*,#203704,.F.); #273343=ORIENTED_EDGE('',*,*,#203705,.T.); #273344=ORIENTED_EDGE('',*,*,#203704,.T.); #273345=ORIENTED_EDGE('',*,*,#203706,.F.); #273346=ORIENTED_EDGE('',*,*,#203707,.F.); #273347=ORIENTED_EDGE('',*,*,#203708,.T.); #273348=ORIENTED_EDGE('',*,*,#203707,.T.); #273349=ORIENTED_EDGE('',*,*,#203709,.F.); #273350=ORIENTED_EDGE('',*,*,#203710,.F.); #273351=ORIENTED_EDGE('',*,*,#203711,.T.); #273352=ORIENTED_EDGE('',*,*,#203710,.T.); #273353=ORIENTED_EDGE('',*,*,#203712,.F.); #273354=ORIENTED_EDGE('',*,*,#203713,.F.); #273355=ORIENTED_EDGE('',*,*,#203714,.T.); #273356=ORIENTED_EDGE('',*,*,#203713,.T.); #273357=ORIENTED_EDGE('',*,*,#203715,.F.); #273358=ORIENTED_EDGE('',*,*,#203716,.F.); #273359=ORIENTED_EDGE('',*,*,#203717,.T.); #273360=ORIENTED_EDGE('',*,*,#203716,.T.); #273361=ORIENTED_EDGE('',*,*,#203718,.F.); #273362=ORIENTED_EDGE('',*,*,#203719,.F.); #273363=ORIENTED_EDGE('',*,*,#203720,.T.); #273364=ORIENTED_EDGE('',*,*,#203719,.T.); #273365=ORIENTED_EDGE('',*,*,#203721,.F.); #273366=ORIENTED_EDGE('',*,*,#203722,.F.); #273367=ORIENTED_EDGE('',*,*,#203723,.T.); #273368=ORIENTED_EDGE('',*,*,#203722,.T.); #273369=ORIENTED_EDGE('',*,*,#203724,.F.); #273370=ORIENTED_EDGE('',*,*,#203725,.F.); #273371=ORIENTED_EDGE('',*,*,#203726,.T.); #273372=ORIENTED_EDGE('',*,*,#203725,.T.); #273373=ORIENTED_EDGE('',*,*,#203727,.F.); #273374=ORIENTED_EDGE('',*,*,#203728,.F.); #273375=ORIENTED_EDGE('',*,*,#203729,.T.); #273376=ORIENTED_EDGE('',*,*,#203728,.T.); #273377=ORIENTED_EDGE('',*,*,#203730,.F.); #273378=ORIENTED_EDGE('',*,*,#203731,.F.); #273379=ORIENTED_EDGE('',*,*,#203732,.T.); #273380=ORIENTED_EDGE('',*,*,#203731,.T.); #273381=ORIENTED_EDGE('',*,*,#203733,.F.); #273382=ORIENTED_EDGE('',*,*,#203734,.F.); #273383=ORIENTED_EDGE('',*,*,#203735,.T.); #273384=ORIENTED_EDGE('',*,*,#203734,.T.); #273385=ORIENTED_EDGE('',*,*,#203736,.F.); #273386=ORIENTED_EDGE('',*,*,#203737,.F.); #273387=ORIENTED_EDGE('',*,*,#203738,.T.); #273388=ORIENTED_EDGE('',*,*,#203737,.T.); #273389=ORIENTED_EDGE('',*,*,#203739,.F.); #273390=ORIENTED_EDGE('',*,*,#203740,.F.); #273391=ORIENTED_EDGE('',*,*,#203741,.T.); #273392=ORIENTED_EDGE('',*,*,#203740,.T.); #273393=ORIENTED_EDGE('',*,*,#203742,.F.); #273394=ORIENTED_EDGE('',*,*,#203743,.F.); #273395=ORIENTED_EDGE('',*,*,#203744,.T.); #273396=ORIENTED_EDGE('',*,*,#203743,.T.); #273397=ORIENTED_EDGE('',*,*,#203745,.F.); #273398=ORIENTED_EDGE('',*,*,#203746,.F.); #273399=ORIENTED_EDGE('',*,*,#203747,.T.); #273400=ORIENTED_EDGE('',*,*,#203746,.T.); #273401=ORIENTED_EDGE('',*,*,#203748,.F.); #273402=ORIENTED_EDGE('',*,*,#203749,.F.); #273403=ORIENTED_EDGE('',*,*,#203750,.T.); #273404=ORIENTED_EDGE('',*,*,#203749,.T.); #273405=ORIENTED_EDGE('',*,*,#203751,.F.); #273406=ORIENTED_EDGE('',*,*,#203752,.F.); #273407=ORIENTED_EDGE('',*,*,#203753,.T.); #273408=ORIENTED_EDGE('',*,*,#203752,.T.); #273409=ORIENTED_EDGE('',*,*,#203754,.F.); #273410=ORIENTED_EDGE('',*,*,#203755,.F.); #273411=ORIENTED_EDGE('',*,*,#203756,.T.); #273412=ORIENTED_EDGE('',*,*,#203755,.T.); #273413=ORIENTED_EDGE('',*,*,#203757,.F.); #273414=ORIENTED_EDGE('',*,*,#203758,.F.); #273415=ORIENTED_EDGE('',*,*,#203759,.T.); #273416=ORIENTED_EDGE('',*,*,#203758,.T.); #273417=ORIENTED_EDGE('',*,*,#203760,.F.); #273418=ORIENTED_EDGE('',*,*,#203761,.F.); #273419=ORIENTED_EDGE('',*,*,#203762,.T.); #273420=ORIENTED_EDGE('',*,*,#203761,.T.); #273421=ORIENTED_EDGE('',*,*,#203763,.F.); #273422=ORIENTED_EDGE('',*,*,#203764,.F.); #273423=ORIENTED_EDGE('',*,*,#203765,.T.); #273424=ORIENTED_EDGE('',*,*,#203764,.T.); #273425=ORIENTED_EDGE('',*,*,#203766,.F.); #273426=ORIENTED_EDGE('',*,*,#203767,.F.); #273427=ORIENTED_EDGE('',*,*,#203768,.T.); #273428=ORIENTED_EDGE('',*,*,#203767,.T.); #273429=ORIENTED_EDGE('',*,*,#203769,.F.); #273430=ORIENTED_EDGE('',*,*,#203603,.F.); #273431=ORIENTED_EDGE('',*,*,#203769,.T.); #273432=ORIENTED_EDGE('',*,*,#203766,.T.); #273433=ORIENTED_EDGE('',*,*,#203763,.T.); #273434=ORIENTED_EDGE('',*,*,#203760,.T.); #273435=ORIENTED_EDGE('',*,*,#203757,.T.); #273436=ORIENTED_EDGE('',*,*,#203754,.T.); #273437=ORIENTED_EDGE('',*,*,#203751,.T.); #273438=ORIENTED_EDGE('',*,*,#203748,.T.); #273439=ORIENTED_EDGE('',*,*,#203745,.T.); #273440=ORIENTED_EDGE('',*,*,#203742,.T.); #273441=ORIENTED_EDGE('',*,*,#203739,.T.); #273442=ORIENTED_EDGE('',*,*,#203736,.T.); #273443=ORIENTED_EDGE('',*,*,#203733,.T.); #273444=ORIENTED_EDGE('',*,*,#203730,.T.); #273445=ORIENTED_EDGE('',*,*,#203727,.T.); #273446=ORIENTED_EDGE('',*,*,#203724,.T.); #273447=ORIENTED_EDGE('',*,*,#203721,.T.); #273448=ORIENTED_EDGE('',*,*,#203718,.T.); #273449=ORIENTED_EDGE('',*,*,#203715,.T.); #273450=ORIENTED_EDGE('',*,*,#203712,.T.); #273451=ORIENTED_EDGE('',*,*,#203709,.T.); #273452=ORIENTED_EDGE('',*,*,#203706,.T.); #273453=ORIENTED_EDGE('',*,*,#203703,.T.); #273454=ORIENTED_EDGE('',*,*,#203700,.T.); #273455=ORIENTED_EDGE('',*,*,#203697,.T.); #273456=ORIENTED_EDGE('',*,*,#203694,.T.); #273457=ORIENTED_EDGE('',*,*,#203691,.T.); #273458=ORIENTED_EDGE('',*,*,#203688,.T.); #273459=ORIENTED_EDGE('',*,*,#203685,.T.); #273460=ORIENTED_EDGE('',*,*,#203682,.T.); #273461=ORIENTED_EDGE('',*,*,#203679,.T.); #273462=ORIENTED_EDGE('',*,*,#203676,.T.); #273463=ORIENTED_EDGE('',*,*,#203673,.T.); #273464=ORIENTED_EDGE('',*,*,#203670,.T.); #273465=ORIENTED_EDGE('',*,*,#203667,.T.); #273466=ORIENTED_EDGE('',*,*,#203664,.T.); #273467=ORIENTED_EDGE('',*,*,#203661,.T.); #273468=ORIENTED_EDGE('',*,*,#203658,.T.); #273469=ORIENTED_EDGE('',*,*,#203655,.T.); #273470=ORIENTED_EDGE('',*,*,#203652,.T.); #273471=ORIENTED_EDGE('',*,*,#203649,.T.); #273472=ORIENTED_EDGE('',*,*,#203646,.T.); #273473=ORIENTED_EDGE('',*,*,#203643,.T.); #273474=ORIENTED_EDGE('',*,*,#203640,.T.); #273475=ORIENTED_EDGE('',*,*,#203637,.T.); #273476=ORIENTED_EDGE('',*,*,#203634,.T.); #273477=ORIENTED_EDGE('',*,*,#203631,.T.); #273478=ORIENTED_EDGE('',*,*,#203628,.T.); #273479=ORIENTED_EDGE('',*,*,#203625,.T.); #273480=ORIENTED_EDGE('',*,*,#203622,.T.); #273481=ORIENTED_EDGE('',*,*,#203619,.T.); #273482=ORIENTED_EDGE('',*,*,#203616,.T.); #273483=ORIENTED_EDGE('',*,*,#203613,.T.); #273484=ORIENTED_EDGE('',*,*,#203610,.T.); #273485=ORIENTED_EDGE('',*,*,#203607,.T.); #273486=ORIENTED_EDGE('',*,*,#203604,.T.); #273487=ORIENTED_EDGE('',*,*,#203597,.T.); #273488=ORIENTED_EDGE('',*,*,#203600,.T.); #273489=ORIENTED_EDGE('',*,*,#203590,.T.); #273490=ORIENTED_EDGE('',*,*,#203594,.T.); #273491=ORIENTED_EDGE('',*,*,#203768,.F.); #273492=ORIENTED_EDGE('',*,*,#203602,.F.); #273493=ORIENTED_EDGE('',*,*,#203606,.F.); #273494=ORIENTED_EDGE('',*,*,#203609,.F.); #273495=ORIENTED_EDGE('',*,*,#203612,.F.); #273496=ORIENTED_EDGE('',*,*,#203615,.F.); #273497=ORIENTED_EDGE('',*,*,#203618,.F.); #273498=ORIENTED_EDGE('',*,*,#203621,.F.); #273499=ORIENTED_EDGE('',*,*,#203624,.F.); #273500=ORIENTED_EDGE('',*,*,#203627,.F.); #273501=ORIENTED_EDGE('',*,*,#203630,.F.); #273502=ORIENTED_EDGE('',*,*,#203633,.F.); #273503=ORIENTED_EDGE('',*,*,#203636,.F.); #273504=ORIENTED_EDGE('',*,*,#203639,.F.); #273505=ORIENTED_EDGE('',*,*,#203642,.F.); #273506=ORIENTED_EDGE('',*,*,#203645,.F.); #273507=ORIENTED_EDGE('',*,*,#203648,.F.); #273508=ORIENTED_EDGE('',*,*,#203651,.F.); #273509=ORIENTED_EDGE('',*,*,#203654,.F.); #273510=ORIENTED_EDGE('',*,*,#203657,.F.); #273511=ORIENTED_EDGE('',*,*,#203660,.F.); #273512=ORIENTED_EDGE('',*,*,#203663,.F.); #273513=ORIENTED_EDGE('',*,*,#203666,.F.); #273514=ORIENTED_EDGE('',*,*,#203669,.F.); #273515=ORIENTED_EDGE('',*,*,#203672,.F.); #273516=ORIENTED_EDGE('',*,*,#203675,.F.); #273517=ORIENTED_EDGE('',*,*,#203678,.F.); #273518=ORIENTED_EDGE('',*,*,#203681,.F.); #273519=ORIENTED_EDGE('',*,*,#203684,.F.); #273520=ORIENTED_EDGE('',*,*,#203687,.F.); #273521=ORIENTED_EDGE('',*,*,#203690,.F.); #273522=ORIENTED_EDGE('',*,*,#203693,.F.); #273523=ORIENTED_EDGE('',*,*,#203696,.F.); #273524=ORIENTED_EDGE('',*,*,#203699,.F.); #273525=ORIENTED_EDGE('',*,*,#203702,.F.); #273526=ORIENTED_EDGE('',*,*,#203705,.F.); #273527=ORIENTED_EDGE('',*,*,#203708,.F.); #273528=ORIENTED_EDGE('',*,*,#203711,.F.); #273529=ORIENTED_EDGE('',*,*,#203714,.F.); #273530=ORIENTED_EDGE('',*,*,#203717,.F.); #273531=ORIENTED_EDGE('',*,*,#203720,.F.); #273532=ORIENTED_EDGE('',*,*,#203723,.F.); #273533=ORIENTED_EDGE('',*,*,#203726,.F.); #273534=ORIENTED_EDGE('',*,*,#203729,.F.); #273535=ORIENTED_EDGE('',*,*,#203732,.F.); #273536=ORIENTED_EDGE('',*,*,#203735,.F.); #273537=ORIENTED_EDGE('',*,*,#203738,.F.); #273538=ORIENTED_EDGE('',*,*,#203741,.F.); #273539=ORIENTED_EDGE('',*,*,#203744,.F.); #273540=ORIENTED_EDGE('',*,*,#203747,.F.); #273541=ORIENTED_EDGE('',*,*,#203750,.F.); #273542=ORIENTED_EDGE('',*,*,#203753,.F.); #273543=ORIENTED_EDGE('',*,*,#203756,.F.); #273544=ORIENTED_EDGE('',*,*,#203759,.F.); #273545=ORIENTED_EDGE('',*,*,#203762,.F.); #273546=ORIENTED_EDGE('',*,*,#203765,.F.); #273547=ORIENTED_EDGE('',*,*,#203598,.T.); #273548=ORIENTED_EDGE('',*,*,#203595,.T.); #273549=ORIENTED_EDGE('',*,*,#203592,.T.); #273550=ORIENTED_EDGE('',*,*,#203601,.T.); #273551=ORIENTED_EDGE('',*,*,#203770,.F.); #273552=ORIENTED_EDGE('',*,*,#203771,.F.); #273553=ORIENTED_EDGE('',*,*,#203772,.F.); #273554=ORIENTED_EDGE('',*,*,#203773,.T.); #273555=ORIENTED_EDGE('',*,*,#203774,.F.); #273556=ORIENTED_EDGE('',*,*,#203773,.F.); #273557=ORIENTED_EDGE('',*,*,#203775,.F.); #273558=ORIENTED_EDGE('',*,*,#203776,.T.); #273559=ORIENTED_EDGE('',*,*,#203777,.F.); #273560=ORIENTED_EDGE('',*,*,#203776,.F.); #273561=ORIENTED_EDGE('',*,*,#203778,.F.); #273562=ORIENTED_EDGE('',*,*,#203779,.T.); #273563=ORIENTED_EDGE('',*,*,#203780,.F.); #273564=ORIENTED_EDGE('',*,*,#203779,.F.); #273565=ORIENTED_EDGE('',*,*,#203781,.F.); #273566=ORIENTED_EDGE('',*,*,#203771,.T.); #273567=ORIENTED_EDGE('',*,*,#203782,.T.); #273568=ORIENTED_EDGE('',*,*,#203783,.T.); #273569=ORIENTED_EDGE('',*,*,#203784,.F.); #273570=ORIENTED_EDGE('',*,*,#203785,.F.); #273571=ORIENTED_EDGE('',*,*,#203786,.T.); #273572=ORIENTED_EDGE('',*,*,#203785,.T.); #273573=ORIENTED_EDGE('',*,*,#203787,.F.); #273574=ORIENTED_EDGE('',*,*,#203788,.F.); #273575=ORIENTED_EDGE('',*,*,#203789,.T.); #273576=ORIENTED_EDGE('',*,*,#203788,.T.); #273577=ORIENTED_EDGE('',*,*,#203790,.F.); #273578=ORIENTED_EDGE('',*,*,#203791,.F.); #273579=ORIENTED_EDGE('',*,*,#203792,.T.); #273580=ORIENTED_EDGE('',*,*,#203791,.T.); #273581=ORIENTED_EDGE('',*,*,#203793,.F.); #273582=ORIENTED_EDGE('',*,*,#203794,.F.); #273583=ORIENTED_EDGE('',*,*,#203795,.T.); #273584=ORIENTED_EDGE('',*,*,#203794,.T.); #273585=ORIENTED_EDGE('',*,*,#203796,.F.); #273586=ORIENTED_EDGE('',*,*,#203797,.F.); #273587=ORIENTED_EDGE('',*,*,#203798,.T.); #273588=ORIENTED_EDGE('',*,*,#203797,.T.); #273589=ORIENTED_EDGE('',*,*,#203799,.F.); #273590=ORIENTED_EDGE('',*,*,#203800,.F.); #273591=ORIENTED_EDGE('',*,*,#203801,.T.); #273592=ORIENTED_EDGE('',*,*,#203800,.T.); #273593=ORIENTED_EDGE('',*,*,#203802,.F.); #273594=ORIENTED_EDGE('',*,*,#203803,.F.); #273595=ORIENTED_EDGE('',*,*,#203804,.T.); #273596=ORIENTED_EDGE('',*,*,#203803,.T.); #273597=ORIENTED_EDGE('',*,*,#203805,.F.); #273598=ORIENTED_EDGE('',*,*,#203806,.F.); #273599=ORIENTED_EDGE('',*,*,#203807,.T.); #273600=ORIENTED_EDGE('',*,*,#203806,.T.); #273601=ORIENTED_EDGE('',*,*,#203808,.F.); #273602=ORIENTED_EDGE('',*,*,#203809,.F.); #273603=ORIENTED_EDGE('',*,*,#203810,.T.); #273604=ORIENTED_EDGE('',*,*,#203809,.T.); #273605=ORIENTED_EDGE('',*,*,#203811,.F.); #273606=ORIENTED_EDGE('',*,*,#203812,.F.); #273607=ORIENTED_EDGE('',*,*,#203813,.T.); #273608=ORIENTED_EDGE('',*,*,#203812,.T.); #273609=ORIENTED_EDGE('',*,*,#203814,.F.); #273610=ORIENTED_EDGE('',*,*,#203815,.F.); #273611=ORIENTED_EDGE('',*,*,#203816,.T.); #273612=ORIENTED_EDGE('',*,*,#203815,.T.); #273613=ORIENTED_EDGE('',*,*,#203817,.F.); #273614=ORIENTED_EDGE('',*,*,#203818,.F.); #273615=ORIENTED_EDGE('',*,*,#203819,.T.); #273616=ORIENTED_EDGE('',*,*,#203818,.T.); #273617=ORIENTED_EDGE('',*,*,#203820,.F.); #273618=ORIENTED_EDGE('',*,*,#203821,.F.); #273619=ORIENTED_EDGE('',*,*,#203822,.T.); #273620=ORIENTED_EDGE('',*,*,#203821,.T.); #273621=ORIENTED_EDGE('',*,*,#203823,.F.); #273622=ORIENTED_EDGE('',*,*,#203824,.F.); #273623=ORIENTED_EDGE('',*,*,#203825,.T.); #273624=ORIENTED_EDGE('',*,*,#203824,.T.); #273625=ORIENTED_EDGE('',*,*,#203826,.F.); #273626=ORIENTED_EDGE('',*,*,#203827,.F.); #273627=ORIENTED_EDGE('',*,*,#203828,.T.); #273628=ORIENTED_EDGE('',*,*,#203827,.T.); #273629=ORIENTED_EDGE('',*,*,#203829,.F.); #273630=ORIENTED_EDGE('',*,*,#203830,.F.); #273631=ORIENTED_EDGE('',*,*,#203831,.T.); #273632=ORIENTED_EDGE('',*,*,#203830,.T.); #273633=ORIENTED_EDGE('',*,*,#203832,.F.); #273634=ORIENTED_EDGE('',*,*,#203833,.F.); #273635=ORIENTED_EDGE('',*,*,#203834,.T.); #273636=ORIENTED_EDGE('',*,*,#203833,.T.); #273637=ORIENTED_EDGE('',*,*,#203835,.F.); #273638=ORIENTED_EDGE('',*,*,#203836,.F.); #273639=ORIENTED_EDGE('',*,*,#203837,.T.); #273640=ORIENTED_EDGE('',*,*,#203836,.T.); #273641=ORIENTED_EDGE('',*,*,#203838,.F.); #273642=ORIENTED_EDGE('',*,*,#203839,.F.); #273643=ORIENTED_EDGE('',*,*,#203840,.T.); #273644=ORIENTED_EDGE('',*,*,#203839,.T.); #273645=ORIENTED_EDGE('',*,*,#203841,.F.); #273646=ORIENTED_EDGE('',*,*,#203842,.F.); #273647=ORIENTED_EDGE('',*,*,#203843,.T.); #273648=ORIENTED_EDGE('',*,*,#203842,.T.); #273649=ORIENTED_EDGE('',*,*,#203844,.F.); #273650=ORIENTED_EDGE('',*,*,#203845,.F.); #273651=ORIENTED_EDGE('',*,*,#203846,.T.); #273652=ORIENTED_EDGE('',*,*,#203845,.T.); #273653=ORIENTED_EDGE('',*,*,#203847,.F.); #273654=ORIENTED_EDGE('',*,*,#203848,.F.); #273655=ORIENTED_EDGE('',*,*,#203849,.T.); #273656=ORIENTED_EDGE('',*,*,#203848,.T.); #273657=ORIENTED_EDGE('',*,*,#203850,.F.); #273658=ORIENTED_EDGE('',*,*,#203851,.F.); #273659=ORIENTED_EDGE('',*,*,#203852,.T.); #273660=ORIENTED_EDGE('',*,*,#203851,.T.); #273661=ORIENTED_EDGE('',*,*,#203853,.F.); #273662=ORIENTED_EDGE('',*,*,#203854,.F.); #273663=ORIENTED_EDGE('',*,*,#203855,.T.); #273664=ORIENTED_EDGE('',*,*,#203854,.T.); #273665=ORIENTED_EDGE('',*,*,#203856,.F.); #273666=ORIENTED_EDGE('',*,*,#203857,.F.); #273667=ORIENTED_EDGE('',*,*,#203858,.T.); #273668=ORIENTED_EDGE('',*,*,#203857,.T.); #273669=ORIENTED_EDGE('',*,*,#203859,.F.); #273670=ORIENTED_EDGE('',*,*,#203860,.F.); #273671=ORIENTED_EDGE('',*,*,#203861,.T.); #273672=ORIENTED_EDGE('',*,*,#203860,.T.); #273673=ORIENTED_EDGE('',*,*,#203862,.F.); #273674=ORIENTED_EDGE('',*,*,#203863,.F.); #273675=ORIENTED_EDGE('',*,*,#203864,.T.); #273676=ORIENTED_EDGE('',*,*,#203863,.T.); #273677=ORIENTED_EDGE('',*,*,#203865,.F.); #273678=ORIENTED_EDGE('',*,*,#203866,.F.); #273679=ORIENTED_EDGE('',*,*,#203867,.T.); #273680=ORIENTED_EDGE('',*,*,#203866,.T.); #273681=ORIENTED_EDGE('',*,*,#203868,.F.); #273682=ORIENTED_EDGE('',*,*,#203869,.F.); #273683=ORIENTED_EDGE('',*,*,#203870,.T.); #273684=ORIENTED_EDGE('',*,*,#203869,.T.); #273685=ORIENTED_EDGE('',*,*,#203871,.F.); #273686=ORIENTED_EDGE('',*,*,#203872,.F.); #273687=ORIENTED_EDGE('',*,*,#203873,.T.); #273688=ORIENTED_EDGE('',*,*,#203872,.T.); #273689=ORIENTED_EDGE('',*,*,#203874,.F.); #273690=ORIENTED_EDGE('',*,*,#203875,.F.); #273691=ORIENTED_EDGE('',*,*,#203876,.T.); #273692=ORIENTED_EDGE('',*,*,#203875,.T.); #273693=ORIENTED_EDGE('',*,*,#203877,.F.); #273694=ORIENTED_EDGE('',*,*,#203878,.F.); #273695=ORIENTED_EDGE('',*,*,#203879,.T.); #273696=ORIENTED_EDGE('',*,*,#203878,.T.); #273697=ORIENTED_EDGE('',*,*,#203880,.F.); #273698=ORIENTED_EDGE('',*,*,#203881,.F.); #273699=ORIENTED_EDGE('',*,*,#203882,.T.); #273700=ORIENTED_EDGE('',*,*,#203881,.T.); #273701=ORIENTED_EDGE('',*,*,#203883,.F.); #273702=ORIENTED_EDGE('',*,*,#203884,.F.); #273703=ORIENTED_EDGE('',*,*,#203885,.T.); #273704=ORIENTED_EDGE('',*,*,#203884,.T.); #273705=ORIENTED_EDGE('',*,*,#203886,.F.); #273706=ORIENTED_EDGE('',*,*,#203887,.F.); #273707=ORIENTED_EDGE('',*,*,#203888,.T.); #273708=ORIENTED_EDGE('',*,*,#203887,.T.); #273709=ORIENTED_EDGE('',*,*,#203889,.F.); #273710=ORIENTED_EDGE('',*,*,#203890,.F.); #273711=ORIENTED_EDGE('',*,*,#203891,.T.); #273712=ORIENTED_EDGE('',*,*,#203890,.T.); #273713=ORIENTED_EDGE('',*,*,#203892,.F.); #273714=ORIENTED_EDGE('',*,*,#203893,.F.); #273715=ORIENTED_EDGE('',*,*,#203894,.T.); #273716=ORIENTED_EDGE('',*,*,#203893,.T.); #273717=ORIENTED_EDGE('',*,*,#203895,.F.); #273718=ORIENTED_EDGE('',*,*,#203896,.F.); #273719=ORIENTED_EDGE('',*,*,#203897,.T.); #273720=ORIENTED_EDGE('',*,*,#203896,.T.); #273721=ORIENTED_EDGE('',*,*,#203898,.F.); #273722=ORIENTED_EDGE('',*,*,#203899,.F.); #273723=ORIENTED_EDGE('',*,*,#203900,.T.); #273724=ORIENTED_EDGE('',*,*,#203899,.T.); #273725=ORIENTED_EDGE('',*,*,#203901,.F.); #273726=ORIENTED_EDGE('',*,*,#203902,.F.); #273727=ORIENTED_EDGE('',*,*,#203903,.T.); #273728=ORIENTED_EDGE('',*,*,#203902,.T.); #273729=ORIENTED_EDGE('',*,*,#203904,.F.); #273730=ORIENTED_EDGE('',*,*,#203905,.F.); #273731=ORIENTED_EDGE('',*,*,#203906,.T.); #273732=ORIENTED_EDGE('',*,*,#203905,.T.); #273733=ORIENTED_EDGE('',*,*,#203907,.F.); #273734=ORIENTED_EDGE('',*,*,#203908,.F.); #273735=ORIENTED_EDGE('',*,*,#203909,.T.); #273736=ORIENTED_EDGE('',*,*,#203908,.T.); #273737=ORIENTED_EDGE('',*,*,#203910,.F.); #273738=ORIENTED_EDGE('',*,*,#203911,.F.); #273739=ORIENTED_EDGE('',*,*,#203912,.T.); #273740=ORIENTED_EDGE('',*,*,#203911,.T.); #273741=ORIENTED_EDGE('',*,*,#203913,.F.); #273742=ORIENTED_EDGE('',*,*,#203914,.F.); #273743=ORIENTED_EDGE('',*,*,#203915,.T.); #273744=ORIENTED_EDGE('',*,*,#203914,.T.); #273745=ORIENTED_EDGE('',*,*,#203916,.F.); #273746=ORIENTED_EDGE('',*,*,#203917,.F.); #273747=ORIENTED_EDGE('',*,*,#203918,.T.); #273748=ORIENTED_EDGE('',*,*,#203917,.T.); #273749=ORIENTED_EDGE('',*,*,#203919,.F.); #273750=ORIENTED_EDGE('',*,*,#203920,.F.); #273751=ORIENTED_EDGE('',*,*,#203921,.T.); #273752=ORIENTED_EDGE('',*,*,#203920,.T.); #273753=ORIENTED_EDGE('',*,*,#203922,.F.); #273754=ORIENTED_EDGE('',*,*,#203923,.F.); #273755=ORIENTED_EDGE('',*,*,#203924,.T.); #273756=ORIENTED_EDGE('',*,*,#203923,.T.); #273757=ORIENTED_EDGE('',*,*,#203925,.F.); #273758=ORIENTED_EDGE('',*,*,#203926,.F.); #273759=ORIENTED_EDGE('',*,*,#203927,.T.); #273760=ORIENTED_EDGE('',*,*,#203926,.T.); #273761=ORIENTED_EDGE('',*,*,#203928,.F.); #273762=ORIENTED_EDGE('',*,*,#203929,.F.); #273763=ORIENTED_EDGE('',*,*,#203930,.T.); #273764=ORIENTED_EDGE('',*,*,#203929,.T.); #273765=ORIENTED_EDGE('',*,*,#203931,.F.); #273766=ORIENTED_EDGE('',*,*,#203932,.F.); #273767=ORIENTED_EDGE('',*,*,#203933,.T.); #273768=ORIENTED_EDGE('',*,*,#203932,.T.); #273769=ORIENTED_EDGE('',*,*,#203934,.F.); #273770=ORIENTED_EDGE('',*,*,#203935,.F.); #273771=ORIENTED_EDGE('',*,*,#203936,.T.); #273772=ORIENTED_EDGE('',*,*,#203935,.T.); #273773=ORIENTED_EDGE('',*,*,#203937,.F.); #273774=ORIENTED_EDGE('',*,*,#203938,.F.); #273775=ORIENTED_EDGE('',*,*,#203939,.T.); #273776=ORIENTED_EDGE('',*,*,#203938,.T.); #273777=ORIENTED_EDGE('',*,*,#203940,.F.); #273778=ORIENTED_EDGE('',*,*,#203941,.F.); #273779=ORIENTED_EDGE('',*,*,#203942,.T.); #273780=ORIENTED_EDGE('',*,*,#203941,.T.); #273781=ORIENTED_EDGE('',*,*,#203943,.F.); #273782=ORIENTED_EDGE('',*,*,#203944,.F.); #273783=ORIENTED_EDGE('',*,*,#203945,.T.); #273784=ORIENTED_EDGE('',*,*,#203944,.T.); #273785=ORIENTED_EDGE('',*,*,#203946,.F.); #273786=ORIENTED_EDGE('',*,*,#203947,.F.); #273787=ORIENTED_EDGE('',*,*,#203948,.T.); #273788=ORIENTED_EDGE('',*,*,#203947,.T.); #273789=ORIENTED_EDGE('',*,*,#203949,.F.); #273790=ORIENTED_EDGE('',*,*,#203950,.F.); #273791=ORIENTED_EDGE('',*,*,#203951,.T.); #273792=ORIENTED_EDGE('',*,*,#203950,.T.); #273793=ORIENTED_EDGE('',*,*,#203952,.F.); #273794=ORIENTED_EDGE('',*,*,#203953,.F.); #273795=ORIENTED_EDGE('',*,*,#203954,.T.); #273796=ORIENTED_EDGE('',*,*,#203953,.T.); #273797=ORIENTED_EDGE('',*,*,#203955,.F.); #273798=ORIENTED_EDGE('',*,*,#203956,.F.); #273799=ORIENTED_EDGE('',*,*,#203957,.T.); #273800=ORIENTED_EDGE('',*,*,#203956,.T.); #273801=ORIENTED_EDGE('',*,*,#203958,.F.); #273802=ORIENTED_EDGE('',*,*,#203959,.F.); #273803=ORIENTED_EDGE('',*,*,#203960,.T.); #273804=ORIENTED_EDGE('',*,*,#203959,.T.); #273805=ORIENTED_EDGE('',*,*,#203961,.F.); #273806=ORIENTED_EDGE('',*,*,#203962,.F.); #273807=ORIENTED_EDGE('',*,*,#203963,.T.); #273808=ORIENTED_EDGE('',*,*,#203962,.T.); #273809=ORIENTED_EDGE('',*,*,#203964,.F.); #273810=ORIENTED_EDGE('',*,*,#203965,.F.); #273811=ORIENTED_EDGE('',*,*,#203966,.T.); #273812=ORIENTED_EDGE('',*,*,#203965,.T.); #273813=ORIENTED_EDGE('',*,*,#203967,.F.); #273814=ORIENTED_EDGE('',*,*,#203968,.F.); #273815=ORIENTED_EDGE('',*,*,#203969,.T.); #273816=ORIENTED_EDGE('',*,*,#203968,.T.); #273817=ORIENTED_EDGE('',*,*,#203970,.F.); #273818=ORIENTED_EDGE('',*,*,#203971,.F.); #273819=ORIENTED_EDGE('',*,*,#203972,.T.); #273820=ORIENTED_EDGE('',*,*,#203971,.T.); #273821=ORIENTED_EDGE('',*,*,#203973,.F.); #273822=ORIENTED_EDGE('',*,*,#203974,.F.); #273823=ORIENTED_EDGE('',*,*,#203975,.T.); #273824=ORIENTED_EDGE('',*,*,#203974,.T.); #273825=ORIENTED_EDGE('',*,*,#203976,.F.); #273826=ORIENTED_EDGE('',*,*,#203977,.F.); #273827=ORIENTED_EDGE('',*,*,#203978,.T.); #273828=ORIENTED_EDGE('',*,*,#203977,.T.); #273829=ORIENTED_EDGE('',*,*,#203979,.F.); #273830=ORIENTED_EDGE('',*,*,#203980,.F.); #273831=ORIENTED_EDGE('',*,*,#203981,.T.); #273832=ORIENTED_EDGE('',*,*,#203980,.T.); #273833=ORIENTED_EDGE('',*,*,#203982,.F.); #273834=ORIENTED_EDGE('',*,*,#203983,.F.); #273835=ORIENTED_EDGE('',*,*,#203984,.T.); #273836=ORIENTED_EDGE('',*,*,#203983,.T.); #273837=ORIENTED_EDGE('',*,*,#203985,.F.); #273838=ORIENTED_EDGE('',*,*,#203986,.F.); #273839=ORIENTED_EDGE('',*,*,#203987,.T.); #273840=ORIENTED_EDGE('',*,*,#203986,.T.); #273841=ORIENTED_EDGE('',*,*,#203988,.F.); #273842=ORIENTED_EDGE('',*,*,#203989,.F.); #273843=ORIENTED_EDGE('',*,*,#203990,.T.); #273844=ORIENTED_EDGE('',*,*,#203989,.T.); #273845=ORIENTED_EDGE('',*,*,#203991,.F.); #273846=ORIENTED_EDGE('',*,*,#203992,.F.); #273847=ORIENTED_EDGE('',*,*,#203993,.T.); #273848=ORIENTED_EDGE('',*,*,#203992,.T.); #273849=ORIENTED_EDGE('',*,*,#203994,.F.); #273850=ORIENTED_EDGE('',*,*,#203995,.F.); #273851=ORIENTED_EDGE('',*,*,#203996,.T.); #273852=ORIENTED_EDGE('',*,*,#203995,.T.); #273853=ORIENTED_EDGE('',*,*,#203997,.F.); #273854=ORIENTED_EDGE('',*,*,#203783,.F.); #273855=ORIENTED_EDGE('',*,*,#203997,.T.); #273856=ORIENTED_EDGE('',*,*,#203994,.T.); #273857=ORIENTED_EDGE('',*,*,#203991,.T.); #273858=ORIENTED_EDGE('',*,*,#203988,.T.); #273859=ORIENTED_EDGE('',*,*,#203985,.T.); #273860=ORIENTED_EDGE('',*,*,#203982,.T.); #273861=ORIENTED_EDGE('',*,*,#203979,.T.); #273862=ORIENTED_EDGE('',*,*,#203976,.T.); #273863=ORIENTED_EDGE('',*,*,#203973,.T.); #273864=ORIENTED_EDGE('',*,*,#203970,.T.); #273865=ORIENTED_EDGE('',*,*,#203967,.T.); #273866=ORIENTED_EDGE('',*,*,#203964,.T.); #273867=ORIENTED_EDGE('',*,*,#203961,.T.); #273868=ORIENTED_EDGE('',*,*,#203958,.T.); #273869=ORIENTED_EDGE('',*,*,#203955,.T.); #273870=ORIENTED_EDGE('',*,*,#203952,.T.); #273871=ORIENTED_EDGE('',*,*,#203949,.T.); #273872=ORIENTED_EDGE('',*,*,#203946,.T.); #273873=ORIENTED_EDGE('',*,*,#203943,.T.); #273874=ORIENTED_EDGE('',*,*,#203940,.T.); #273875=ORIENTED_EDGE('',*,*,#203937,.T.); #273876=ORIENTED_EDGE('',*,*,#203934,.T.); #273877=ORIENTED_EDGE('',*,*,#203931,.T.); #273878=ORIENTED_EDGE('',*,*,#203928,.T.); #273879=ORIENTED_EDGE('',*,*,#203925,.T.); #273880=ORIENTED_EDGE('',*,*,#203922,.T.); #273881=ORIENTED_EDGE('',*,*,#203919,.T.); #273882=ORIENTED_EDGE('',*,*,#203916,.T.); #273883=ORIENTED_EDGE('',*,*,#203913,.T.); #273884=ORIENTED_EDGE('',*,*,#203910,.T.); #273885=ORIENTED_EDGE('',*,*,#203907,.T.); #273886=ORIENTED_EDGE('',*,*,#203904,.T.); #273887=ORIENTED_EDGE('',*,*,#203901,.T.); #273888=ORIENTED_EDGE('',*,*,#203898,.T.); #273889=ORIENTED_EDGE('',*,*,#203895,.T.); #273890=ORIENTED_EDGE('',*,*,#203892,.T.); #273891=ORIENTED_EDGE('',*,*,#203889,.T.); #273892=ORIENTED_EDGE('',*,*,#203886,.T.); #273893=ORIENTED_EDGE('',*,*,#203883,.T.); #273894=ORIENTED_EDGE('',*,*,#203880,.T.); #273895=ORIENTED_EDGE('',*,*,#203877,.T.); #273896=ORIENTED_EDGE('',*,*,#203874,.T.); #273897=ORIENTED_EDGE('',*,*,#203871,.T.); #273898=ORIENTED_EDGE('',*,*,#203868,.T.); #273899=ORIENTED_EDGE('',*,*,#203865,.T.); #273900=ORIENTED_EDGE('',*,*,#203862,.T.); #273901=ORIENTED_EDGE('',*,*,#203859,.T.); #273902=ORIENTED_EDGE('',*,*,#203856,.T.); #273903=ORIENTED_EDGE('',*,*,#203853,.T.); #273904=ORIENTED_EDGE('',*,*,#203850,.T.); #273905=ORIENTED_EDGE('',*,*,#203847,.T.); #273906=ORIENTED_EDGE('',*,*,#203844,.T.); #273907=ORIENTED_EDGE('',*,*,#203841,.T.); #273908=ORIENTED_EDGE('',*,*,#203838,.T.); #273909=ORIENTED_EDGE('',*,*,#203835,.T.); #273910=ORIENTED_EDGE('',*,*,#203832,.T.); #273911=ORIENTED_EDGE('',*,*,#203829,.T.); #273912=ORIENTED_EDGE('',*,*,#203826,.T.); #273913=ORIENTED_EDGE('',*,*,#203823,.T.); #273914=ORIENTED_EDGE('',*,*,#203820,.T.); #273915=ORIENTED_EDGE('',*,*,#203817,.T.); #273916=ORIENTED_EDGE('',*,*,#203814,.T.); #273917=ORIENTED_EDGE('',*,*,#203811,.T.); #273918=ORIENTED_EDGE('',*,*,#203808,.T.); #273919=ORIENTED_EDGE('',*,*,#203805,.T.); #273920=ORIENTED_EDGE('',*,*,#203802,.T.); #273921=ORIENTED_EDGE('',*,*,#203799,.T.); #273922=ORIENTED_EDGE('',*,*,#203796,.T.); #273923=ORIENTED_EDGE('',*,*,#203793,.T.); #273924=ORIENTED_EDGE('',*,*,#203790,.T.); #273925=ORIENTED_EDGE('',*,*,#203787,.T.); #273926=ORIENTED_EDGE('',*,*,#203784,.T.); #273927=ORIENTED_EDGE('',*,*,#203777,.T.); #273928=ORIENTED_EDGE('',*,*,#203780,.T.); #273929=ORIENTED_EDGE('',*,*,#203770,.T.); #273930=ORIENTED_EDGE('',*,*,#203774,.T.); #273931=ORIENTED_EDGE('',*,*,#203996,.F.); #273932=ORIENTED_EDGE('',*,*,#203782,.F.); #273933=ORIENTED_EDGE('',*,*,#203786,.F.); #273934=ORIENTED_EDGE('',*,*,#203789,.F.); #273935=ORIENTED_EDGE('',*,*,#203792,.F.); #273936=ORIENTED_EDGE('',*,*,#203795,.F.); #273937=ORIENTED_EDGE('',*,*,#203798,.F.); #273938=ORIENTED_EDGE('',*,*,#203801,.F.); #273939=ORIENTED_EDGE('',*,*,#203804,.F.); #273940=ORIENTED_EDGE('',*,*,#203807,.F.); #273941=ORIENTED_EDGE('',*,*,#203810,.F.); #273942=ORIENTED_EDGE('',*,*,#203813,.F.); #273943=ORIENTED_EDGE('',*,*,#203816,.F.); #273944=ORIENTED_EDGE('',*,*,#203819,.F.); #273945=ORIENTED_EDGE('',*,*,#203822,.F.); #273946=ORIENTED_EDGE('',*,*,#203825,.F.); #273947=ORIENTED_EDGE('',*,*,#203828,.F.); #273948=ORIENTED_EDGE('',*,*,#203831,.F.); #273949=ORIENTED_EDGE('',*,*,#203834,.F.); #273950=ORIENTED_EDGE('',*,*,#203837,.F.); #273951=ORIENTED_EDGE('',*,*,#203840,.F.); #273952=ORIENTED_EDGE('',*,*,#203843,.F.); #273953=ORIENTED_EDGE('',*,*,#203846,.F.); #273954=ORIENTED_EDGE('',*,*,#203849,.F.); #273955=ORIENTED_EDGE('',*,*,#203852,.F.); #273956=ORIENTED_EDGE('',*,*,#203855,.F.); #273957=ORIENTED_EDGE('',*,*,#203858,.F.); #273958=ORIENTED_EDGE('',*,*,#203861,.F.); #273959=ORIENTED_EDGE('',*,*,#203864,.F.); #273960=ORIENTED_EDGE('',*,*,#203867,.F.); #273961=ORIENTED_EDGE('',*,*,#203870,.F.); #273962=ORIENTED_EDGE('',*,*,#203873,.F.); #273963=ORIENTED_EDGE('',*,*,#203876,.F.); #273964=ORIENTED_EDGE('',*,*,#203879,.F.); #273965=ORIENTED_EDGE('',*,*,#203882,.F.); #273966=ORIENTED_EDGE('',*,*,#203885,.F.); #273967=ORIENTED_EDGE('',*,*,#203888,.F.); #273968=ORIENTED_EDGE('',*,*,#203891,.F.); #273969=ORIENTED_EDGE('',*,*,#203894,.F.); #273970=ORIENTED_EDGE('',*,*,#203897,.F.); #273971=ORIENTED_EDGE('',*,*,#203900,.F.); #273972=ORIENTED_EDGE('',*,*,#203903,.F.); #273973=ORIENTED_EDGE('',*,*,#203906,.F.); #273974=ORIENTED_EDGE('',*,*,#203909,.F.); #273975=ORIENTED_EDGE('',*,*,#203912,.F.); #273976=ORIENTED_EDGE('',*,*,#203915,.F.); #273977=ORIENTED_EDGE('',*,*,#203918,.F.); #273978=ORIENTED_EDGE('',*,*,#203921,.F.); #273979=ORIENTED_EDGE('',*,*,#203924,.F.); #273980=ORIENTED_EDGE('',*,*,#203927,.F.); #273981=ORIENTED_EDGE('',*,*,#203930,.F.); #273982=ORIENTED_EDGE('',*,*,#203933,.F.); #273983=ORIENTED_EDGE('',*,*,#203936,.F.); #273984=ORIENTED_EDGE('',*,*,#203939,.F.); #273985=ORIENTED_EDGE('',*,*,#203942,.F.); #273986=ORIENTED_EDGE('',*,*,#203945,.F.); #273987=ORIENTED_EDGE('',*,*,#203948,.F.); #273988=ORIENTED_EDGE('',*,*,#203951,.F.); #273989=ORIENTED_EDGE('',*,*,#203954,.F.); #273990=ORIENTED_EDGE('',*,*,#203957,.F.); #273991=ORIENTED_EDGE('',*,*,#203960,.F.); #273992=ORIENTED_EDGE('',*,*,#203963,.F.); #273993=ORIENTED_EDGE('',*,*,#203966,.F.); #273994=ORIENTED_EDGE('',*,*,#203969,.F.); #273995=ORIENTED_EDGE('',*,*,#203972,.F.); #273996=ORIENTED_EDGE('',*,*,#203975,.F.); #273997=ORIENTED_EDGE('',*,*,#203978,.F.); #273998=ORIENTED_EDGE('',*,*,#203981,.F.); #273999=ORIENTED_EDGE('',*,*,#203984,.F.); #274000=ORIENTED_EDGE('',*,*,#203987,.F.); #274001=ORIENTED_EDGE('',*,*,#203990,.F.); #274002=ORIENTED_EDGE('',*,*,#203993,.F.); #274003=ORIENTED_EDGE('',*,*,#203778,.T.); #274004=ORIENTED_EDGE('',*,*,#203775,.T.); #274005=ORIENTED_EDGE('',*,*,#203772,.T.); #274006=ORIENTED_EDGE('',*,*,#203781,.T.); #274007=ORIENTED_EDGE('',*,*,#203998,.F.); #274008=ORIENTED_EDGE('',*,*,#203999,.F.); #274009=ORIENTED_EDGE('',*,*,#204000,.F.); #274010=ORIENTED_EDGE('',*,*,#204001,.T.); #274011=ORIENTED_EDGE('',*,*,#204002,.F.); #274012=ORIENTED_EDGE('',*,*,#204001,.F.); #274013=ORIENTED_EDGE('',*,*,#204003,.F.); #274014=ORIENTED_EDGE('',*,*,#204004,.T.); #274015=ORIENTED_EDGE('',*,*,#204005,.F.); #274016=ORIENTED_EDGE('',*,*,#204004,.F.); #274017=ORIENTED_EDGE('',*,*,#204006,.F.); #274018=ORIENTED_EDGE('',*,*,#204007,.T.); #274019=ORIENTED_EDGE('',*,*,#204008,.F.); #274020=ORIENTED_EDGE('',*,*,#204007,.F.); #274021=ORIENTED_EDGE('',*,*,#204009,.F.); #274022=ORIENTED_EDGE('',*,*,#203999,.T.); #274023=ORIENTED_EDGE('',*,*,#204010,.T.); #274024=ORIENTED_EDGE('',*,*,#204011,.T.); #274025=ORIENTED_EDGE('',*,*,#204012,.F.); #274026=ORIENTED_EDGE('',*,*,#204013,.F.); #274027=ORIENTED_EDGE('',*,*,#204014,.T.); #274028=ORIENTED_EDGE('',*,*,#204013,.T.); #274029=ORIENTED_EDGE('',*,*,#204015,.F.); #274030=ORIENTED_EDGE('',*,*,#204016,.F.); #274031=ORIENTED_EDGE('',*,*,#204017,.T.); #274032=ORIENTED_EDGE('',*,*,#204016,.T.); #274033=ORIENTED_EDGE('',*,*,#204018,.F.); #274034=ORIENTED_EDGE('',*,*,#204019,.F.); #274035=ORIENTED_EDGE('',*,*,#204020,.T.); #274036=ORIENTED_EDGE('',*,*,#204019,.T.); #274037=ORIENTED_EDGE('',*,*,#204021,.F.); #274038=ORIENTED_EDGE('',*,*,#204022,.F.); #274039=ORIENTED_EDGE('',*,*,#204023,.T.); #274040=ORIENTED_EDGE('',*,*,#204022,.T.); #274041=ORIENTED_EDGE('',*,*,#204024,.F.); #274042=ORIENTED_EDGE('',*,*,#204025,.F.); #274043=ORIENTED_EDGE('',*,*,#204026,.T.); #274044=ORIENTED_EDGE('',*,*,#204025,.T.); #274045=ORIENTED_EDGE('',*,*,#204027,.F.); #274046=ORIENTED_EDGE('',*,*,#204028,.F.); #274047=ORIENTED_EDGE('',*,*,#204029,.T.); #274048=ORIENTED_EDGE('',*,*,#204028,.T.); #274049=ORIENTED_EDGE('',*,*,#204030,.F.); #274050=ORIENTED_EDGE('',*,*,#204031,.F.); #274051=ORIENTED_EDGE('',*,*,#204032,.T.); #274052=ORIENTED_EDGE('',*,*,#204031,.T.); #274053=ORIENTED_EDGE('',*,*,#204033,.F.); #274054=ORIENTED_EDGE('',*,*,#204034,.F.); #274055=ORIENTED_EDGE('',*,*,#204035,.T.); #274056=ORIENTED_EDGE('',*,*,#204034,.T.); #274057=ORIENTED_EDGE('',*,*,#204036,.F.); #274058=ORIENTED_EDGE('',*,*,#204037,.F.); #274059=ORIENTED_EDGE('',*,*,#204038,.T.); #274060=ORIENTED_EDGE('',*,*,#204037,.T.); #274061=ORIENTED_EDGE('',*,*,#204039,.F.); #274062=ORIENTED_EDGE('',*,*,#204040,.F.); #274063=ORIENTED_EDGE('',*,*,#204041,.T.); #274064=ORIENTED_EDGE('',*,*,#204040,.T.); #274065=ORIENTED_EDGE('',*,*,#204042,.F.); #274066=ORIENTED_EDGE('',*,*,#204043,.F.); #274067=ORIENTED_EDGE('',*,*,#204044,.T.); #274068=ORIENTED_EDGE('',*,*,#204043,.T.); #274069=ORIENTED_EDGE('',*,*,#204045,.F.); #274070=ORIENTED_EDGE('',*,*,#204046,.F.); #274071=ORIENTED_EDGE('',*,*,#204047,.T.); #274072=ORIENTED_EDGE('',*,*,#204046,.T.); #274073=ORIENTED_EDGE('',*,*,#204048,.F.); #274074=ORIENTED_EDGE('',*,*,#204049,.F.); #274075=ORIENTED_EDGE('',*,*,#204050,.T.); #274076=ORIENTED_EDGE('',*,*,#204049,.T.); #274077=ORIENTED_EDGE('',*,*,#204051,.F.); #274078=ORIENTED_EDGE('',*,*,#204052,.F.); #274079=ORIENTED_EDGE('',*,*,#204053,.T.); #274080=ORIENTED_EDGE('',*,*,#204052,.T.); #274081=ORIENTED_EDGE('',*,*,#204054,.F.); #274082=ORIENTED_EDGE('',*,*,#204055,.F.); #274083=ORIENTED_EDGE('',*,*,#204056,.T.); #274084=ORIENTED_EDGE('',*,*,#204055,.T.); #274085=ORIENTED_EDGE('',*,*,#204057,.F.); #274086=ORIENTED_EDGE('',*,*,#204058,.F.); #274087=ORIENTED_EDGE('',*,*,#204059,.T.); #274088=ORIENTED_EDGE('',*,*,#204058,.T.); #274089=ORIENTED_EDGE('',*,*,#204060,.F.); #274090=ORIENTED_EDGE('',*,*,#204061,.F.); #274091=ORIENTED_EDGE('',*,*,#204062,.T.); #274092=ORIENTED_EDGE('',*,*,#204061,.T.); #274093=ORIENTED_EDGE('',*,*,#204063,.F.); #274094=ORIENTED_EDGE('',*,*,#204064,.F.); #274095=ORIENTED_EDGE('',*,*,#204065,.T.); #274096=ORIENTED_EDGE('',*,*,#204064,.T.); #274097=ORIENTED_EDGE('',*,*,#204066,.F.); #274098=ORIENTED_EDGE('',*,*,#204067,.F.); #274099=ORIENTED_EDGE('',*,*,#204068,.T.); #274100=ORIENTED_EDGE('',*,*,#204067,.T.); #274101=ORIENTED_EDGE('',*,*,#204069,.F.); #274102=ORIENTED_EDGE('',*,*,#204070,.F.); #274103=ORIENTED_EDGE('',*,*,#204071,.T.); #274104=ORIENTED_EDGE('',*,*,#204070,.T.); #274105=ORIENTED_EDGE('',*,*,#204072,.F.); #274106=ORIENTED_EDGE('',*,*,#204073,.F.); #274107=ORIENTED_EDGE('',*,*,#204074,.T.); #274108=ORIENTED_EDGE('',*,*,#204073,.T.); #274109=ORIENTED_EDGE('',*,*,#204075,.F.); #274110=ORIENTED_EDGE('',*,*,#204076,.F.); #274111=ORIENTED_EDGE('',*,*,#204077,.T.); #274112=ORIENTED_EDGE('',*,*,#204076,.T.); #274113=ORIENTED_EDGE('',*,*,#204078,.F.); #274114=ORIENTED_EDGE('',*,*,#204079,.F.); #274115=ORIENTED_EDGE('',*,*,#204080,.T.); #274116=ORIENTED_EDGE('',*,*,#204079,.T.); #274117=ORIENTED_EDGE('',*,*,#204081,.F.); #274118=ORIENTED_EDGE('',*,*,#204082,.F.); #274119=ORIENTED_EDGE('',*,*,#204083,.T.); #274120=ORIENTED_EDGE('',*,*,#204082,.T.); #274121=ORIENTED_EDGE('',*,*,#204084,.F.); #274122=ORIENTED_EDGE('',*,*,#204085,.F.); #274123=ORIENTED_EDGE('',*,*,#204086,.T.); #274124=ORIENTED_EDGE('',*,*,#204085,.T.); #274125=ORIENTED_EDGE('',*,*,#204087,.F.); #274126=ORIENTED_EDGE('',*,*,#204088,.F.); #274127=ORIENTED_EDGE('',*,*,#204089,.T.); #274128=ORIENTED_EDGE('',*,*,#204088,.T.); #274129=ORIENTED_EDGE('',*,*,#204090,.F.); #274130=ORIENTED_EDGE('',*,*,#204091,.F.); #274131=ORIENTED_EDGE('',*,*,#204092,.T.); #274132=ORIENTED_EDGE('',*,*,#204091,.T.); #274133=ORIENTED_EDGE('',*,*,#204093,.F.); #274134=ORIENTED_EDGE('',*,*,#204094,.F.); #274135=ORIENTED_EDGE('',*,*,#204095,.T.); #274136=ORIENTED_EDGE('',*,*,#204094,.T.); #274137=ORIENTED_EDGE('',*,*,#204096,.F.); #274138=ORIENTED_EDGE('',*,*,#204097,.F.); #274139=ORIENTED_EDGE('',*,*,#204098,.T.); #274140=ORIENTED_EDGE('',*,*,#204097,.T.); #274141=ORIENTED_EDGE('',*,*,#204099,.F.); #274142=ORIENTED_EDGE('',*,*,#204100,.F.); #274143=ORIENTED_EDGE('',*,*,#204101,.T.); #274144=ORIENTED_EDGE('',*,*,#204100,.T.); #274145=ORIENTED_EDGE('',*,*,#204102,.F.); #274146=ORIENTED_EDGE('',*,*,#204103,.F.); #274147=ORIENTED_EDGE('',*,*,#204104,.T.); #274148=ORIENTED_EDGE('',*,*,#204103,.T.); #274149=ORIENTED_EDGE('',*,*,#204105,.F.); #274150=ORIENTED_EDGE('',*,*,#204106,.F.); #274151=ORIENTED_EDGE('',*,*,#204107,.T.); #274152=ORIENTED_EDGE('',*,*,#204106,.T.); #274153=ORIENTED_EDGE('',*,*,#204108,.F.); #274154=ORIENTED_EDGE('',*,*,#204109,.F.); #274155=ORIENTED_EDGE('',*,*,#204110,.T.); #274156=ORIENTED_EDGE('',*,*,#204109,.T.); #274157=ORIENTED_EDGE('',*,*,#204111,.F.); #274158=ORIENTED_EDGE('',*,*,#204112,.F.); #274159=ORIENTED_EDGE('',*,*,#204113,.T.); #274160=ORIENTED_EDGE('',*,*,#204112,.T.); #274161=ORIENTED_EDGE('',*,*,#204114,.F.); #274162=ORIENTED_EDGE('',*,*,#204115,.F.); #274163=ORIENTED_EDGE('',*,*,#204116,.T.); #274164=ORIENTED_EDGE('',*,*,#204115,.T.); #274165=ORIENTED_EDGE('',*,*,#204117,.F.); #274166=ORIENTED_EDGE('',*,*,#204118,.F.); #274167=ORIENTED_EDGE('',*,*,#204119,.T.); #274168=ORIENTED_EDGE('',*,*,#204118,.T.); #274169=ORIENTED_EDGE('',*,*,#204120,.F.); #274170=ORIENTED_EDGE('',*,*,#204121,.F.); #274171=ORIENTED_EDGE('',*,*,#204122,.T.); #274172=ORIENTED_EDGE('',*,*,#204121,.T.); #274173=ORIENTED_EDGE('',*,*,#204123,.F.); #274174=ORIENTED_EDGE('',*,*,#204124,.F.); #274175=ORIENTED_EDGE('',*,*,#204125,.T.); #274176=ORIENTED_EDGE('',*,*,#204124,.T.); #274177=ORIENTED_EDGE('',*,*,#204126,.F.); #274178=ORIENTED_EDGE('',*,*,#204127,.F.); #274179=ORIENTED_EDGE('',*,*,#204128,.T.); #274180=ORIENTED_EDGE('',*,*,#204127,.T.); #274181=ORIENTED_EDGE('',*,*,#204129,.F.); #274182=ORIENTED_EDGE('',*,*,#204130,.F.); #274183=ORIENTED_EDGE('',*,*,#204131,.T.); #274184=ORIENTED_EDGE('',*,*,#204130,.T.); #274185=ORIENTED_EDGE('',*,*,#204132,.F.); #274186=ORIENTED_EDGE('',*,*,#204133,.F.); #274187=ORIENTED_EDGE('',*,*,#204134,.T.); #274188=ORIENTED_EDGE('',*,*,#204133,.T.); #274189=ORIENTED_EDGE('',*,*,#204135,.F.); #274190=ORIENTED_EDGE('',*,*,#204136,.F.); #274191=ORIENTED_EDGE('',*,*,#204137,.T.); #274192=ORIENTED_EDGE('',*,*,#204136,.T.); #274193=ORIENTED_EDGE('',*,*,#204138,.F.); #274194=ORIENTED_EDGE('',*,*,#204139,.F.); #274195=ORIENTED_EDGE('',*,*,#204140,.T.); #274196=ORIENTED_EDGE('',*,*,#204139,.T.); #274197=ORIENTED_EDGE('',*,*,#204141,.F.); #274198=ORIENTED_EDGE('',*,*,#204142,.F.); #274199=ORIENTED_EDGE('',*,*,#204143,.T.); #274200=ORIENTED_EDGE('',*,*,#204142,.T.); #274201=ORIENTED_EDGE('',*,*,#204144,.F.); #274202=ORIENTED_EDGE('',*,*,#204145,.F.); #274203=ORIENTED_EDGE('',*,*,#204146,.T.); #274204=ORIENTED_EDGE('',*,*,#204145,.T.); #274205=ORIENTED_EDGE('',*,*,#204147,.F.); #274206=ORIENTED_EDGE('',*,*,#204148,.F.); #274207=ORIENTED_EDGE('',*,*,#204149,.T.); #274208=ORIENTED_EDGE('',*,*,#204148,.T.); #274209=ORIENTED_EDGE('',*,*,#204150,.F.); #274210=ORIENTED_EDGE('',*,*,#204151,.F.); #274211=ORIENTED_EDGE('',*,*,#204152,.T.); #274212=ORIENTED_EDGE('',*,*,#204151,.T.); #274213=ORIENTED_EDGE('',*,*,#204153,.F.); #274214=ORIENTED_EDGE('',*,*,#204154,.F.); #274215=ORIENTED_EDGE('',*,*,#204155,.T.); #274216=ORIENTED_EDGE('',*,*,#204154,.T.); #274217=ORIENTED_EDGE('',*,*,#204156,.F.); #274218=ORIENTED_EDGE('',*,*,#204157,.F.); #274219=ORIENTED_EDGE('',*,*,#204158,.T.); #274220=ORIENTED_EDGE('',*,*,#204157,.T.); #274221=ORIENTED_EDGE('',*,*,#204159,.F.); #274222=ORIENTED_EDGE('',*,*,#204160,.F.); #274223=ORIENTED_EDGE('',*,*,#204161,.T.); #274224=ORIENTED_EDGE('',*,*,#204160,.T.); #274225=ORIENTED_EDGE('',*,*,#204162,.F.); #274226=ORIENTED_EDGE('',*,*,#204163,.F.); #274227=ORIENTED_EDGE('',*,*,#204164,.T.); #274228=ORIENTED_EDGE('',*,*,#204163,.T.); #274229=ORIENTED_EDGE('',*,*,#204165,.F.); #274230=ORIENTED_EDGE('',*,*,#204166,.F.); #274231=ORIENTED_EDGE('',*,*,#204167,.T.); #274232=ORIENTED_EDGE('',*,*,#204166,.T.); #274233=ORIENTED_EDGE('',*,*,#204168,.F.); #274234=ORIENTED_EDGE('',*,*,#204169,.F.); #274235=ORIENTED_EDGE('',*,*,#204170,.T.); #274236=ORIENTED_EDGE('',*,*,#204169,.T.); #274237=ORIENTED_EDGE('',*,*,#204171,.F.); #274238=ORIENTED_EDGE('',*,*,#204172,.F.); #274239=ORIENTED_EDGE('',*,*,#204173,.T.); #274240=ORIENTED_EDGE('',*,*,#204172,.T.); #274241=ORIENTED_EDGE('',*,*,#204174,.F.); #274242=ORIENTED_EDGE('',*,*,#204175,.F.); #274243=ORIENTED_EDGE('',*,*,#204176,.T.); #274244=ORIENTED_EDGE('',*,*,#204175,.T.); #274245=ORIENTED_EDGE('',*,*,#204177,.F.); #274246=ORIENTED_EDGE('',*,*,#204011,.F.); #274247=ORIENTED_EDGE('',*,*,#204177,.T.); #274248=ORIENTED_EDGE('',*,*,#204174,.T.); #274249=ORIENTED_EDGE('',*,*,#204171,.T.); #274250=ORIENTED_EDGE('',*,*,#204168,.T.); #274251=ORIENTED_EDGE('',*,*,#204165,.T.); #274252=ORIENTED_EDGE('',*,*,#204162,.T.); #274253=ORIENTED_EDGE('',*,*,#204159,.T.); #274254=ORIENTED_EDGE('',*,*,#204156,.T.); #274255=ORIENTED_EDGE('',*,*,#204153,.T.); #274256=ORIENTED_EDGE('',*,*,#204150,.T.); #274257=ORIENTED_EDGE('',*,*,#204147,.T.); #274258=ORIENTED_EDGE('',*,*,#204144,.T.); #274259=ORIENTED_EDGE('',*,*,#204141,.T.); #274260=ORIENTED_EDGE('',*,*,#204138,.T.); #274261=ORIENTED_EDGE('',*,*,#204135,.T.); #274262=ORIENTED_EDGE('',*,*,#204132,.T.); #274263=ORIENTED_EDGE('',*,*,#204129,.T.); #274264=ORIENTED_EDGE('',*,*,#204126,.T.); #274265=ORIENTED_EDGE('',*,*,#204123,.T.); #274266=ORIENTED_EDGE('',*,*,#204120,.T.); #274267=ORIENTED_EDGE('',*,*,#204117,.T.); #274268=ORIENTED_EDGE('',*,*,#204114,.T.); #274269=ORIENTED_EDGE('',*,*,#204111,.T.); #274270=ORIENTED_EDGE('',*,*,#204108,.T.); #274271=ORIENTED_EDGE('',*,*,#204105,.T.); #274272=ORIENTED_EDGE('',*,*,#204102,.T.); #274273=ORIENTED_EDGE('',*,*,#204099,.T.); #274274=ORIENTED_EDGE('',*,*,#204096,.T.); #274275=ORIENTED_EDGE('',*,*,#204093,.T.); #274276=ORIENTED_EDGE('',*,*,#204090,.T.); #274277=ORIENTED_EDGE('',*,*,#204087,.T.); #274278=ORIENTED_EDGE('',*,*,#204084,.T.); #274279=ORIENTED_EDGE('',*,*,#204081,.T.); #274280=ORIENTED_EDGE('',*,*,#204078,.T.); #274281=ORIENTED_EDGE('',*,*,#204075,.T.); #274282=ORIENTED_EDGE('',*,*,#204072,.T.); #274283=ORIENTED_EDGE('',*,*,#204069,.T.); #274284=ORIENTED_EDGE('',*,*,#204066,.T.); #274285=ORIENTED_EDGE('',*,*,#204063,.T.); #274286=ORIENTED_EDGE('',*,*,#204060,.T.); #274287=ORIENTED_EDGE('',*,*,#204057,.T.); #274288=ORIENTED_EDGE('',*,*,#204054,.T.); #274289=ORIENTED_EDGE('',*,*,#204051,.T.); #274290=ORIENTED_EDGE('',*,*,#204048,.T.); #274291=ORIENTED_EDGE('',*,*,#204045,.T.); #274292=ORIENTED_EDGE('',*,*,#204042,.T.); #274293=ORIENTED_EDGE('',*,*,#204039,.T.); #274294=ORIENTED_EDGE('',*,*,#204036,.T.); #274295=ORIENTED_EDGE('',*,*,#204033,.T.); #274296=ORIENTED_EDGE('',*,*,#204030,.T.); #274297=ORIENTED_EDGE('',*,*,#204027,.T.); #274298=ORIENTED_EDGE('',*,*,#204024,.T.); #274299=ORIENTED_EDGE('',*,*,#204021,.T.); #274300=ORIENTED_EDGE('',*,*,#204018,.T.); #274301=ORIENTED_EDGE('',*,*,#204015,.T.); #274302=ORIENTED_EDGE('',*,*,#204012,.T.); #274303=ORIENTED_EDGE('',*,*,#204005,.T.); #274304=ORIENTED_EDGE('',*,*,#204008,.T.); #274305=ORIENTED_EDGE('',*,*,#203998,.T.); #274306=ORIENTED_EDGE('',*,*,#204002,.T.); #274307=ORIENTED_EDGE('',*,*,#204176,.F.); #274308=ORIENTED_EDGE('',*,*,#204010,.F.); #274309=ORIENTED_EDGE('',*,*,#204014,.F.); #274310=ORIENTED_EDGE('',*,*,#204017,.F.); #274311=ORIENTED_EDGE('',*,*,#204020,.F.); #274312=ORIENTED_EDGE('',*,*,#204023,.F.); #274313=ORIENTED_EDGE('',*,*,#204026,.F.); #274314=ORIENTED_EDGE('',*,*,#204029,.F.); #274315=ORIENTED_EDGE('',*,*,#204032,.F.); #274316=ORIENTED_EDGE('',*,*,#204035,.F.); #274317=ORIENTED_EDGE('',*,*,#204038,.F.); #274318=ORIENTED_EDGE('',*,*,#204041,.F.); #274319=ORIENTED_EDGE('',*,*,#204044,.F.); #274320=ORIENTED_EDGE('',*,*,#204047,.F.); #274321=ORIENTED_EDGE('',*,*,#204050,.F.); #274322=ORIENTED_EDGE('',*,*,#204053,.F.); #274323=ORIENTED_EDGE('',*,*,#204056,.F.); #274324=ORIENTED_EDGE('',*,*,#204059,.F.); #274325=ORIENTED_EDGE('',*,*,#204062,.F.); #274326=ORIENTED_EDGE('',*,*,#204065,.F.); #274327=ORIENTED_EDGE('',*,*,#204068,.F.); #274328=ORIENTED_EDGE('',*,*,#204071,.F.); #274329=ORIENTED_EDGE('',*,*,#204074,.F.); #274330=ORIENTED_EDGE('',*,*,#204077,.F.); #274331=ORIENTED_EDGE('',*,*,#204080,.F.); #274332=ORIENTED_EDGE('',*,*,#204083,.F.); #274333=ORIENTED_EDGE('',*,*,#204086,.F.); #274334=ORIENTED_EDGE('',*,*,#204089,.F.); #274335=ORIENTED_EDGE('',*,*,#204092,.F.); #274336=ORIENTED_EDGE('',*,*,#204095,.F.); #274337=ORIENTED_EDGE('',*,*,#204098,.F.); #274338=ORIENTED_EDGE('',*,*,#204101,.F.); #274339=ORIENTED_EDGE('',*,*,#204104,.F.); #274340=ORIENTED_EDGE('',*,*,#204107,.F.); #274341=ORIENTED_EDGE('',*,*,#204110,.F.); #274342=ORIENTED_EDGE('',*,*,#204113,.F.); #274343=ORIENTED_EDGE('',*,*,#204116,.F.); #274344=ORIENTED_EDGE('',*,*,#204119,.F.); #274345=ORIENTED_EDGE('',*,*,#204122,.F.); #274346=ORIENTED_EDGE('',*,*,#204125,.F.); #274347=ORIENTED_EDGE('',*,*,#204128,.F.); #274348=ORIENTED_EDGE('',*,*,#204131,.F.); #274349=ORIENTED_EDGE('',*,*,#204134,.F.); #274350=ORIENTED_EDGE('',*,*,#204137,.F.); #274351=ORIENTED_EDGE('',*,*,#204140,.F.); #274352=ORIENTED_EDGE('',*,*,#204143,.F.); #274353=ORIENTED_EDGE('',*,*,#204146,.F.); #274354=ORIENTED_EDGE('',*,*,#204149,.F.); #274355=ORIENTED_EDGE('',*,*,#204152,.F.); #274356=ORIENTED_EDGE('',*,*,#204155,.F.); #274357=ORIENTED_EDGE('',*,*,#204158,.F.); #274358=ORIENTED_EDGE('',*,*,#204161,.F.); #274359=ORIENTED_EDGE('',*,*,#204164,.F.); #274360=ORIENTED_EDGE('',*,*,#204167,.F.); #274361=ORIENTED_EDGE('',*,*,#204170,.F.); #274362=ORIENTED_EDGE('',*,*,#204173,.F.); #274363=ORIENTED_EDGE('',*,*,#204006,.T.); #274364=ORIENTED_EDGE('',*,*,#204003,.T.); #274365=ORIENTED_EDGE('',*,*,#204000,.T.); #274366=ORIENTED_EDGE('',*,*,#204009,.T.); #274367=ORIENTED_EDGE('',*,*,#204178,.F.); #274368=ORIENTED_EDGE('',*,*,#204179,.T.); #274369=ORIENTED_EDGE('',*,*,#204180,.F.); #274370=ORIENTED_EDGE('',*,*,#204179,.F.); #274371=ORIENTED_EDGE('',*,*,#204181,.T.); #274372=ORIENTED_EDGE('',*,*,#204182,.T.); #274373=ORIENTED_EDGE('',*,*,#204183,.F.); #274374=ORIENTED_EDGE('',*,*,#204184,.F.); #274375=ORIENTED_EDGE('',*,*,#204185,.T.); #274376=ORIENTED_EDGE('',*,*,#204184,.T.); #274377=ORIENTED_EDGE('',*,*,#204186,.F.); #274378=ORIENTED_EDGE('',*,*,#204187,.F.); #274379=ORIENTED_EDGE('',*,*,#204188,.T.); #274380=ORIENTED_EDGE('',*,*,#204187,.T.); #274381=ORIENTED_EDGE('',*,*,#204189,.F.); #274382=ORIENTED_EDGE('',*,*,#204190,.F.); #274383=ORIENTED_EDGE('',*,*,#204191,.T.); #274384=ORIENTED_EDGE('',*,*,#204190,.T.); #274385=ORIENTED_EDGE('',*,*,#204192,.F.); #274386=ORIENTED_EDGE('',*,*,#204182,.F.); #274387=ORIENTED_EDGE('',*,*,#204192,.T.); #274388=ORIENTED_EDGE('',*,*,#204189,.T.); #274389=ORIENTED_EDGE('',*,*,#204186,.T.); #274390=ORIENTED_EDGE('',*,*,#204183,.T.); #274391=ORIENTED_EDGE('',*,*,#204180,.T.); #274392=ORIENTED_EDGE('',*,*,#204191,.F.); #274393=ORIENTED_EDGE('',*,*,#204181,.F.); #274394=ORIENTED_EDGE('',*,*,#204185,.F.); #274395=ORIENTED_EDGE('',*,*,#204188,.F.); #274396=ORIENTED_EDGE('',*,*,#204178,.T.); #274397=ORIENTED_EDGE('',*,*,#204193,.F.); #274398=ORIENTED_EDGE('',*,*,#204194,.F.); #274399=ORIENTED_EDGE('',*,*,#204195,.F.); #274400=ORIENTED_EDGE('',*,*,#204196,.T.); #274401=ORIENTED_EDGE('',*,*,#204197,.F.); #274402=ORIENTED_EDGE('',*,*,#204196,.F.); #274403=ORIENTED_EDGE('',*,*,#204198,.F.); #274404=ORIENTED_EDGE('',*,*,#204199,.T.); #274405=ORIENTED_EDGE('',*,*,#204200,.F.); #274406=ORIENTED_EDGE('',*,*,#204199,.F.); #274407=ORIENTED_EDGE('',*,*,#204201,.F.); #274408=ORIENTED_EDGE('',*,*,#204202,.T.); #274409=ORIENTED_EDGE('',*,*,#204203,.F.); #274410=ORIENTED_EDGE('',*,*,#204202,.F.); #274411=ORIENTED_EDGE('',*,*,#204204,.F.); #274412=ORIENTED_EDGE('',*,*,#204194,.T.); #274413=ORIENTED_EDGE('',*,*,#204205,.T.); #274414=ORIENTED_EDGE('',*,*,#204206,.T.); #274415=ORIENTED_EDGE('',*,*,#204207,.F.); #274416=ORIENTED_EDGE('',*,*,#204208,.F.); #274417=ORIENTED_EDGE('',*,*,#204209,.T.); #274418=ORIENTED_EDGE('',*,*,#204208,.T.); #274419=ORIENTED_EDGE('',*,*,#204210,.F.); #274420=ORIENTED_EDGE('',*,*,#204211,.F.); #274421=ORIENTED_EDGE('',*,*,#204212,.T.); #274422=ORIENTED_EDGE('',*,*,#204211,.T.); #274423=ORIENTED_EDGE('',*,*,#204213,.F.); #274424=ORIENTED_EDGE('',*,*,#204214,.F.); #274425=ORIENTED_EDGE('',*,*,#204215,.T.); #274426=ORIENTED_EDGE('',*,*,#204214,.T.); #274427=ORIENTED_EDGE('',*,*,#204216,.F.); #274428=ORIENTED_EDGE('',*,*,#204217,.F.); #274429=ORIENTED_EDGE('',*,*,#204218,.T.); #274430=ORIENTED_EDGE('',*,*,#204217,.T.); #274431=ORIENTED_EDGE('',*,*,#204219,.F.); #274432=ORIENTED_EDGE('',*,*,#204220,.F.); #274433=ORIENTED_EDGE('',*,*,#204221,.T.); #274434=ORIENTED_EDGE('',*,*,#204220,.T.); #274435=ORIENTED_EDGE('',*,*,#204222,.F.); #274436=ORIENTED_EDGE('',*,*,#204223,.F.); #274437=ORIENTED_EDGE('',*,*,#204224,.T.); #274438=ORIENTED_EDGE('',*,*,#204223,.T.); #274439=ORIENTED_EDGE('',*,*,#204225,.F.); #274440=ORIENTED_EDGE('',*,*,#204226,.F.); #274441=ORIENTED_EDGE('',*,*,#204227,.T.); #274442=ORIENTED_EDGE('',*,*,#204226,.T.); #274443=ORIENTED_EDGE('',*,*,#204228,.F.); #274444=ORIENTED_EDGE('',*,*,#204229,.F.); #274445=ORIENTED_EDGE('',*,*,#204230,.T.); #274446=ORIENTED_EDGE('',*,*,#204229,.T.); #274447=ORIENTED_EDGE('',*,*,#204231,.F.); #274448=ORIENTED_EDGE('',*,*,#204232,.F.); #274449=ORIENTED_EDGE('',*,*,#204233,.T.); #274450=ORIENTED_EDGE('',*,*,#204232,.T.); #274451=ORIENTED_EDGE('',*,*,#204234,.F.); #274452=ORIENTED_EDGE('',*,*,#204235,.F.); #274453=ORIENTED_EDGE('',*,*,#204236,.T.); #274454=ORIENTED_EDGE('',*,*,#204235,.T.); #274455=ORIENTED_EDGE('',*,*,#204237,.F.); #274456=ORIENTED_EDGE('',*,*,#204238,.F.); #274457=ORIENTED_EDGE('',*,*,#204239,.T.); #274458=ORIENTED_EDGE('',*,*,#204238,.T.); #274459=ORIENTED_EDGE('',*,*,#204240,.F.); #274460=ORIENTED_EDGE('',*,*,#204241,.F.); #274461=ORIENTED_EDGE('',*,*,#204242,.T.); #274462=ORIENTED_EDGE('',*,*,#204241,.T.); #274463=ORIENTED_EDGE('',*,*,#204243,.F.); #274464=ORIENTED_EDGE('',*,*,#204244,.F.); #274465=ORIENTED_EDGE('',*,*,#204245,.T.); #274466=ORIENTED_EDGE('',*,*,#204244,.T.); #274467=ORIENTED_EDGE('',*,*,#204246,.F.); #274468=ORIENTED_EDGE('',*,*,#204247,.F.); #274469=ORIENTED_EDGE('',*,*,#204248,.T.); #274470=ORIENTED_EDGE('',*,*,#204247,.T.); #274471=ORIENTED_EDGE('',*,*,#204249,.F.); #274472=ORIENTED_EDGE('',*,*,#204250,.F.); #274473=ORIENTED_EDGE('',*,*,#204251,.T.); #274474=ORIENTED_EDGE('',*,*,#204250,.T.); #274475=ORIENTED_EDGE('',*,*,#204252,.F.); #274476=ORIENTED_EDGE('',*,*,#204253,.F.); #274477=ORIENTED_EDGE('',*,*,#204254,.T.); #274478=ORIENTED_EDGE('',*,*,#204253,.T.); #274479=ORIENTED_EDGE('',*,*,#204255,.F.); #274480=ORIENTED_EDGE('',*,*,#204256,.F.); #274481=ORIENTED_EDGE('',*,*,#204257,.T.); #274482=ORIENTED_EDGE('',*,*,#204256,.T.); #274483=ORIENTED_EDGE('',*,*,#204258,.F.); #274484=ORIENTED_EDGE('',*,*,#204259,.F.); #274485=ORIENTED_EDGE('',*,*,#204260,.T.); #274486=ORIENTED_EDGE('',*,*,#204259,.T.); #274487=ORIENTED_EDGE('',*,*,#204261,.F.); #274488=ORIENTED_EDGE('',*,*,#204262,.F.); #274489=ORIENTED_EDGE('',*,*,#204263,.T.); #274490=ORIENTED_EDGE('',*,*,#204262,.T.); #274491=ORIENTED_EDGE('',*,*,#204264,.F.); #274492=ORIENTED_EDGE('',*,*,#204265,.F.); #274493=ORIENTED_EDGE('',*,*,#204266,.T.); #274494=ORIENTED_EDGE('',*,*,#204265,.T.); #274495=ORIENTED_EDGE('',*,*,#204267,.F.); #274496=ORIENTED_EDGE('',*,*,#204268,.F.); #274497=ORIENTED_EDGE('',*,*,#204269,.T.); #274498=ORIENTED_EDGE('',*,*,#204268,.T.); #274499=ORIENTED_EDGE('',*,*,#204270,.F.); #274500=ORIENTED_EDGE('',*,*,#204271,.F.); #274501=ORIENTED_EDGE('',*,*,#204272,.T.); #274502=ORIENTED_EDGE('',*,*,#204271,.T.); #274503=ORIENTED_EDGE('',*,*,#204273,.F.); #274504=ORIENTED_EDGE('',*,*,#204274,.F.); #274505=ORIENTED_EDGE('',*,*,#204275,.T.); #274506=ORIENTED_EDGE('',*,*,#204274,.T.); #274507=ORIENTED_EDGE('',*,*,#204276,.F.); #274508=ORIENTED_EDGE('',*,*,#204277,.F.); #274509=ORIENTED_EDGE('',*,*,#204278,.T.); #274510=ORIENTED_EDGE('',*,*,#204277,.T.); #274511=ORIENTED_EDGE('',*,*,#204279,.F.); #274512=ORIENTED_EDGE('',*,*,#204280,.F.); #274513=ORIENTED_EDGE('',*,*,#204281,.T.); #274514=ORIENTED_EDGE('',*,*,#204280,.T.); #274515=ORIENTED_EDGE('',*,*,#204282,.F.); #274516=ORIENTED_EDGE('',*,*,#204283,.F.); #274517=ORIENTED_EDGE('',*,*,#204284,.T.); #274518=ORIENTED_EDGE('',*,*,#204283,.T.); #274519=ORIENTED_EDGE('',*,*,#204285,.F.); #274520=ORIENTED_EDGE('',*,*,#204286,.F.); #274521=ORIENTED_EDGE('',*,*,#204287,.T.); #274522=ORIENTED_EDGE('',*,*,#204286,.T.); #274523=ORIENTED_EDGE('',*,*,#204288,.F.); #274524=ORIENTED_EDGE('',*,*,#204289,.F.); #274525=ORIENTED_EDGE('',*,*,#204290,.T.); #274526=ORIENTED_EDGE('',*,*,#204289,.T.); #274527=ORIENTED_EDGE('',*,*,#204291,.F.); #274528=ORIENTED_EDGE('',*,*,#204292,.F.); #274529=ORIENTED_EDGE('',*,*,#204293,.T.); #274530=ORIENTED_EDGE('',*,*,#204292,.T.); #274531=ORIENTED_EDGE('',*,*,#204294,.F.); #274532=ORIENTED_EDGE('',*,*,#204295,.F.); #274533=ORIENTED_EDGE('',*,*,#204296,.T.); #274534=ORIENTED_EDGE('',*,*,#204295,.T.); #274535=ORIENTED_EDGE('',*,*,#204297,.F.); #274536=ORIENTED_EDGE('',*,*,#204298,.F.); #274537=ORIENTED_EDGE('',*,*,#204299,.T.); #274538=ORIENTED_EDGE('',*,*,#204298,.T.); #274539=ORIENTED_EDGE('',*,*,#204300,.F.); #274540=ORIENTED_EDGE('',*,*,#204301,.F.); #274541=ORIENTED_EDGE('',*,*,#204302,.T.); #274542=ORIENTED_EDGE('',*,*,#204301,.T.); #274543=ORIENTED_EDGE('',*,*,#204303,.F.); #274544=ORIENTED_EDGE('',*,*,#204304,.F.); #274545=ORIENTED_EDGE('',*,*,#204305,.T.); #274546=ORIENTED_EDGE('',*,*,#204304,.T.); #274547=ORIENTED_EDGE('',*,*,#204306,.F.); #274548=ORIENTED_EDGE('',*,*,#204307,.F.); #274549=ORIENTED_EDGE('',*,*,#204308,.T.); #274550=ORIENTED_EDGE('',*,*,#204307,.T.); #274551=ORIENTED_EDGE('',*,*,#204309,.F.); #274552=ORIENTED_EDGE('',*,*,#204310,.F.); #274553=ORIENTED_EDGE('',*,*,#204311,.T.); #274554=ORIENTED_EDGE('',*,*,#204310,.T.); #274555=ORIENTED_EDGE('',*,*,#204312,.F.); #274556=ORIENTED_EDGE('',*,*,#204313,.F.); #274557=ORIENTED_EDGE('',*,*,#204314,.T.); #274558=ORIENTED_EDGE('',*,*,#204313,.T.); #274559=ORIENTED_EDGE('',*,*,#204315,.F.); #274560=ORIENTED_EDGE('',*,*,#204316,.F.); #274561=ORIENTED_EDGE('',*,*,#204317,.T.); #274562=ORIENTED_EDGE('',*,*,#204316,.T.); #274563=ORIENTED_EDGE('',*,*,#204318,.F.); #274564=ORIENTED_EDGE('',*,*,#204319,.F.); #274565=ORIENTED_EDGE('',*,*,#204320,.T.); #274566=ORIENTED_EDGE('',*,*,#204319,.T.); #274567=ORIENTED_EDGE('',*,*,#204321,.F.); #274568=ORIENTED_EDGE('',*,*,#204322,.F.); #274569=ORIENTED_EDGE('',*,*,#204323,.T.); #274570=ORIENTED_EDGE('',*,*,#204322,.T.); #274571=ORIENTED_EDGE('',*,*,#204324,.F.); #274572=ORIENTED_EDGE('',*,*,#204325,.F.); #274573=ORIENTED_EDGE('',*,*,#204326,.T.); #274574=ORIENTED_EDGE('',*,*,#204325,.T.); #274575=ORIENTED_EDGE('',*,*,#204327,.F.); #274576=ORIENTED_EDGE('',*,*,#204328,.F.); #274577=ORIENTED_EDGE('',*,*,#204329,.T.); #274578=ORIENTED_EDGE('',*,*,#204328,.T.); #274579=ORIENTED_EDGE('',*,*,#204330,.F.); #274580=ORIENTED_EDGE('',*,*,#204331,.F.); #274581=ORIENTED_EDGE('',*,*,#204332,.T.); #274582=ORIENTED_EDGE('',*,*,#204331,.T.); #274583=ORIENTED_EDGE('',*,*,#204333,.F.); #274584=ORIENTED_EDGE('',*,*,#204334,.F.); #274585=ORIENTED_EDGE('',*,*,#204335,.T.); #274586=ORIENTED_EDGE('',*,*,#204334,.T.); #274587=ORIENTED_EDGE('',*,*,#204336,.F.); #274588=ORIENTED_EDGE('',*,*,#204337,.F.); #274589=ORIENTED_EDGE('',*,*,#204338,.T.); #274590=ORIENTED_EDGE('',*,*,#204337,.T.); #274591=ORIENTED_EDGE('',*,*,#204339,.F.); #274592=ORIENTED_EDGE('',*,*,#204340,.F.); #274593=ORIENTED_EDGE('',*,*,#204341,.T.); #274594=ORIENTED_EDGE('',*,*,#204340,.T.); #274595=ORIENTED_EDGE('',*,*,#204342,.F.); #274596=ORIENTED_EDGE('',*,*,#204343,.F.); #274597=ORIENTED_EDGE('',*,*,#204344,.T.); #274598=ORIENTED_EDGE('',*,*,#204343,.T.); #274599=ORIENTED_EDGE('',*,*,#204345,.F.); #274600=ORIENTED_EDGE('',*,*,#204346,.F.); #274601=ORIENTED_EDGE('',*,*,#204347,.T.); #274602=ORIENTED_EDGE('',*,*,#204346,.T.); #274603=ORIENTED_EDGE('',*,*,#204348,.F.); #274604=ORIENTED_EDGE('',*,*,#204349,.F.); #274605=ORIENTED_EDGE('',*,*,#204350,.T.); #274606=ORIENTED_EDGE('',*,*,#204349,.T.); #274607=ORIENTED_EDGE('',*,*,#204351,.F.); #274608=ORIENTED_EDGE('',*,*,#204352,.F.); #274609=ORIENTED_EDGE('',*,*,#204353,.T.); #274610=ORIENTED_EDGE('',*,*,#204352,.T.); #274611=ORIENTED_EDGE('',*,*,#204354,.F.); #274612=ORIENTED_EDGE('',*,*,#204355,.F.); #274613=ORIENTED_EDGE('',*,*,#204356,.T.); #274614=ORIENTED_EDGE('',*,*,#204355,.T.); #274615=ORIENTED_EDGE('',*,*,#204357,.F.); #274616=ORIENTED_EDGE('',*,*,#204358,.F.); #274617=ORIENTED_EDGE('',*,*,#204359,.T.); #274618=ORIENTED_EDGE('',*,*,#204358,.T.); #274619=ORIENTED_EDGE('',*,*,#204360,.F.); #274620=ORIENTED_EDGE('',*,*,#204361,.F.); #274621=ORIENTED_EDGE('',*,*,#204362,.T.); #274622=ORIENTED_EDGE('',*,*,#204361,.T.); #274623=ORIENTED_EDGE('',*,*,#204363,.F.); #274624=ORIENTED_EDGE('',*,*,#204364,.F.); #274625=ORIENTED_EDGE('',*,*,#204365,.T.); #274626=ORIENTED_EDGE('',*,*,#204364,.T.); #274627=ORIENTED_EDGE('',*,*,#204366,.F.); #274628=ORIENTED_EDGE('',*,*,#204367,.F.); #274629=ORIENTED_EDGE('',*,*,#204368,.T.); #274630=ORIENTED_EDGE('',*,*,#204367,.T.); #274631=ORIENTED_EDGE('',*,*,#204369,.F.); #274632=ORIENTED_EDGE('',*,*,#204370,.F.); #274633=ORIENTED_EDGE('',*,*,#204371,.T.); #274634=ORIENTED_EDGE('',*,*,#204370,.T.); #274635=ORIENTED_EDGE('',*,*,#204372,.F.); #274636=ORIENTED_EDGE('',*,*,#204206,.F.); #274637=ORIENTED_EDGE('',*,*,#204372,.T.); #274638=ORIENTED_EDGE('',*,*,#204369,.T.); #274639=ORIENTED_EDGE('',*,*,#204366,.T.); #274640=ORIENTED_EDGE('',*,*,#204363,.T.); #274641=ORIENTED_EDGE('',*,*,#204360,.T.); #274642=ORIENTED_EDGE('',*,*,#204357,.T.); #274643=ORIENTED_EDGE('',*,*,#204354,.T.); #274644=ORIENTED_EDGE('',*,*,#204351,.T.); #274645=ORIENTED_EDGE('',*,*,#204348,.T.); #274646=ORIENTED_EDGE('',*,*,#204345,.T.); #274647=ORIENTED_EDGE('',*,*,#204342,.T.); #274648=ORIENTED_EDGE('',*,*,#204339,.T.); #274649=ORIENTED_EDGE('',*,*,#204336,.T.); #274650=ORIENTED_EDGE('',*,*,#204333,.T.); #274651=ORIENTED_EDGE('',*,*,#204330,.T.); #274652=ORIENTED_EDGE('',*,*,#204327,.T.); #274653=ORIENTED_EDGE('',*,*,#204324,.T.); #274654=ORIENTED_EDGE('',*,*,#204321,.T.); #274655=ORIENTED_EDGE('',*,*,#204318,.T.); #274656=ORIENTED_EDGE('',*,*,#204315,.T.); #274657=ORIENTED_EDGE('',*,*,#204312,.T.); #274658=ORIENTED_EDGE('',*,*,#204309,.T.); #274659=ORIENTED_EDGE('',*,*,#204306,.T.); #274660=ORIENTED_EDGE('',*,*,#204303,.T.); #274661=ORIENTED_EDGE('',*,*,#204300,.T.); #274662=ORIENTED_EDGE('',*,*,#204297,.T.); #274663=ORIENTED_EDGE('',*,*,#204294,.T.); #274664=ORIENTED_EDGE('',*,*,#204291,.T.); #274665=ORIENTED_EDGE('',*,*,#204288,.T.); #274666=ORIENTED_EDGE('',*,*,#204285,.T.); #274667=ORIENTED_EDGE('',*,*,#204282,.T.); #274668=ORIENTED_EDGE('',*,*,#204279,.T.); #274669=ORIENTED_EDGE('',*,*,#204276,.T.); #274670=ORIENTED_EDGE('',*,*,#204273,.T.); #274671=ORIENTED_EDGE('',*,*,#204270,.T.); #274672=ORIENTED_EDGE('',*,*,#204267,.T.); #274673=ORIENTED_EDGE('',*,*,#204264,.T.); #274674=ORIENTED_EDGE('',*,*,#204261,.T.); #274675=ORIENTED_EDGE('',*,*,#204258,.T.); #274676=ORIENTED_EDGE('',*,*,#204255,.T.); #274677=ORIENTED_EDGE('',*,*,#204252,.T.); #274678=ORIENTED_EDGE('',*,*,#204249,.T.); #274679=ORIENTED_EDGE('',*,*,#204246,.T.); #274680=ORIENTED_EDGE('',*,*,#204243,.T.); #274681=ORIENTED_EDGE('',*,*,#204240,.T.); #274682=ORIENTED_EDGE('',*,*,#204237,.T.); #274683=ORIENTED_EDGE('',*,*,#204234,.T.); #274684=ORIENTED_EDGE('',*,*,#204231,.T.); #274685=ORIENTED_EDGE('',*,*,#204228,.T.); #274686=ORIENTED_EDGE('',*,*,#204225,.T.); #274687=ORIENTED_EDGE('',*,*,#204222,.T.); #274688=ORIENTED_EDGE('',*,*,#204219,.T.); #274689=ORIENTED_EDGE('',*,*,#204216,.T.); #274690=ORIENTED_EDGE('',*,*,#204213,.T.); #274691=ORIENTED_EDGE('',*,*,#204210,.T.); #274692=ORIENTED_EDGE('',*,*,#204207,.T.); #274693=ORIENTED_EDGE('',*,*,#204200,.T.); #274694=ORIENTED_EDGE('',*,*,#204203,.T.); #274695=ORIENTED_EDGE('',*,*,#204193,.T.); #274696=ORIENTED_EDGE('',*,*,#204197,.T.); #274697=ORIENTED_EDGE('',*,*,#204371,.F.); #274698=ORIENTED_EDGE('',*,*,#204205,.F.); #274699=ORIENTED_EDGE('',*,*,#204209,.F.); #274700=ORIENTED_EDGE('',*,*,#204212,.F.); #274701=ORIENTED_EDGE('',*,*,#204215,.F.); #274702=ORIENTED_EDGE('',*,*,#204218,.F.); #274703=ORIENTED_EDGE('',*,*,#204221,.F.); #274704=ORIENTED_EDGE('',*,*,#204224,.F.); #274705=ORIENTED_EDGE('',*,*,#204227,.F.); #274706=ORIENTED_EDGE('',*,*,#204230,.F.); #274707=ORIENTED_EDGE('',*,*,#204233,.F.); #274708=ORIENTED_EDGE('',*,*,#204236,.F.); #274709=ORIENTED_EDGE('',*,*,#204239,.F.); #274710=ORIENTED_EDGE('',*,*,#204242,.F.); #274711=ORIENTED_EDGE('',*,*,#204245,.F.); #274712=ORIENTED_EDGE('',*,*,#204248,.F.); #274713=ORIENTED_EDGE('',*,*,#204251,.F.); #274714=ORIENTED_EDGE('',*,*,#204254,.F.); #274715=ORIENTED_EDGE('',*,*,#204257,.F.); #274716=ORIENTED_EDGE('',*,*,#204260,.F.); #274717=ORIENTED_EDGE('',*,*,#204263,.F.); #274718=ORIENTED_EDGE('',*,*,#204266,.F.); #274719=ORIENTED_EDGE('',*,*,#204269,.F.); #274720=ORIENTED_EDGE('',*,*,#204272,.F.); #274721=ORIENTED_EDGE('',*,*,#204275,.F.); #274722=ORIENTED_EDGE('',*,*,#204278,.F.); #274723=ORIENTED_EDGE('',*,*,#204281,.F.); #274724=ORIENTED_EDGE('',*,*,#204284,.F.); #274725=ORIENTED_EDGE('',*,*,#204287,.F.); #274726=ORIENTED_EDGE('',*,*,#204290,.F.); #274727=ORIENTED_EDGE('',*,*,#204293,.F.); #274728=ORIENTED_EDGE('',*,*,#204296,.F.); #274729=ORIENTED_EDGE('',*,*,#204299,.F.); #274730=ORIENTED_EDGE('',*,*,#204302,.F.); #274731=ORIENTED_EDGE('',*,*,#204305,.F.); #274732=ORIENTED_EDGE('',*,*,#204308,.F.); #274733=ORIENTED_EDGE('',*,*,#204311,.F.); #274734=ORIENTED_EDGE('',*,*,#204314,.F.); #274735=ORIENTED_EDGE('',*,*,#204317,.F.); #274736=ORIENTED_EDGE('',*,*,#204320,.F.); #274737=ORIENTED_EDGE('',*,*,#204323,.F.); #274738=ORIENTED_EDGE('',*,*,#204326,.F.); #274739=ORIENTED_EDGE('',*,*,#204329,.F.); #274740=ORIENTED_EDGE('',*,*,#204332,.F.); #274741=ORIENTED_EDGE('',*,*,#204335,.F.); #274742=ORIENTED_EDGE('',*,*,#204338,.F.); #274743=ORIENTED_EDGE('',*,*,#204341,.F.); #274744=ORIENTED_EDGE('',*,*,#204344,.F.); #274745=ORIENTED_EDGE('',*,*,#204347,.F.); #274746=ORIENTED_EDGE('',*,*,#204350,.F.); #274747=ORIENTED_EDGE('',*,*,#204353,.F.); #274748=ORIENTED_EDGE('',*,*,#204356,.F.); #274749=ORIENTED_EDGE('',*,*,#204359,.F.); #274750=ORIENTED_EDGE('',*,*,#204362,.F.); #274751=ORIENTED_EDGE('',*,*,#204365,.F.); #274752=ORIENTED_EDGE('',*,*,#204368,.F.); #274753=ORIENTED_EDGE('',*,*,#204201,.T.); #274754=ORIENTED_EDGE('',*,*,#204198,.T.); #274755=ORIENTED_EDGE('',*,*,#204195,.T.); #274756=ORIENTED_EDGE('',*,*,#204204,.T.); #274757=ORIENTED_EDGE('',*,*,#204373,.F.); #274758=ORIENTED_EDGE('',*,*,#204374,.F.); #274759=ORIENTED_EDGE('',*,*,#204375,.F.); #274760=ORIENTED_EDGE('',*,*,#204376,.T.); #274761=ORIENTED_EDGE('',*,*,#204377,.F.); #274762=ORIENTED_EDGE('',*,*,#204376,.F.); #274763=ORIENTED_EDGE('',*,*,#204378,.F.); #274764=ORIENTED_EDGE('',*,*,#204379,.T.); #274765=ORIENTED_EDGE('',*,*,#204380,.F.); #274766=ORIENTED_EDGE('',*,*,#204379,.F.); #274767=ORIENTED_EDGE('',*,*,#204381,.F.); #274768=ORIENTED_EDGE('',*,*,#204382,.T.); #274769=ORIENTED_EDGE('',*,*,#204383,.F.); #274770=ORIENTED_EDGE('',*,*,#204382,.F.); #274771=ORIENTED_EDGE('',*,*,#204384,.F.); #274772=ORIENTED_EDGE('',*,*,#204374,.T.); #274773=ORIENTED_EDGE('',*,*,#204385,.T.); #274774=ORIENTED_EDGE('',*,*,#204386,.T.); #274775=ORIENTED_EDGE('',*,*,#204387,.F.); #274776=ORIENTED_EDGE('',*,*,#204388,.F.); #274777=ORIENTED_EDGE('',*,*,#204389,.T.); #274778=ORIENTED_EDGE('',*,*,#204388,.T.); #274779=ORIENTED_EDGE('',*,*,#204390,.F.); #274780=ORIENTED_EDGE('',*,*,#204391,.F.); #274781=ORIENTED_EDGE('',*,*,#204392,.T.); #274782=ORIENTED_EDGE('',*,*,#204391,.T.); #274783=ORIENTED_EDGE('',*,*,#204393,.F.); #274784=ORIENTED_EDGE('',*,*,#204394,.F.); #274785=ORIENTED_EDGE('',*,*,#204395,.T.); #274786=ORIENTED_EDGE('',*,*,#204394,.T.); #274787=ORIENTED_EDGE('',*,*,#204396,.F.); #274788=ORIENTED_EDGE('',*,*,#204397,.F.); #274789=ORIENTED_EDGE('',*,*,#204398,.T.); #274790=ORIENTED_EDGE('',*,*,#204397,.T.); #274791=ORIENTED_EDGE('',*,*,#204399,.F.); #274792=ORIENTED_EDGE('',*,*,#204400,.F.); #274793=ORIENTED_EDGE('',*,*,#204401,.T.); #274794=ORIENTED_EDGE('',*,*,#204400,.T.); #274795=ORIENTED_EDGE('',*,*,#204402,.F.); #274796=ORIENTED_EDGE('',*,*,#204403,.F.); #274797=ORIENTED_EDGE('',*,*,#204404,.T.); #274798=ORIENTED_EDGE('',*,*,#204403,.T.); #274799=ORIENTED_EDGE('',*,*,#204405,.F.); #274800=ORIENTED_EDGE('',*,*,#204406,.F.); #274801=ORIENTED_EDGE('',*,*,#204407,.T.); #274802=ORIENTED_EDGE('',*,*,#204406,.T.); #274803=ORIENTED_EDGE('',*,*,#204408,.F.); #274804=ORIENTED_EDGE('',*,*,#204409,.F.); #274805=ORIENTED_EDGE('',*,*,#204410,.T.); #274806=ORIENTED_EDGE('',*,*,#204409,.T.); #274807=ORIENTED_EDGE('',*,*,#204411,.F.); #274808=ORIENTED_EDGE('',*,*,#204412,.F.); #274809=ORIENTED_EDGE('',*,*,#204413,.T.); #274810=ORIENTED_EDGE('',*,*,#204412,.T.); #274811=ORIENTED_EDGE('',*,*,#204414,.F.); #274812=ORIENTED_EDGE('',*,*,#204415,.F.); #274813=ORIENTED_EDGE('',*,*,#204416,.T.); #274814=ORIENTED_EDGE('',*,*,#204415,.T.); #274815=ORIENTED_EDGE('',*,*,#204417,.F.); #274816=ORIENTED_EDGE('',*,*,#204418,.F.); #274817=ORIENTED_EDGE('',*,*,#204419,.T.); #274818=ORIENTED_EDGE('',*,*,#204418,.T.); #274819=ORIENTED_EDGE('',*,*,#204420,.F.); #274820=ORIENTED_EDGE('',*,*,#204421,.F.); #274821=ORIENTED_EDGE('',*,*,#204422,.T.); #274822=ORIENTED_EDGE('',*,*,#204421,.T.); #274823=ORIENTED_EDGE('',*,*,#204423,.F.); #274824=ORIENTED_EDGE('',*,*,#204424,.F.); #274825=ORIENTED_EDGE('',*,*,#204425,.T.); #274826=ORIENTED_EDGE('',*,*,#204424,.T.); #274827=ORIENTED_EDGE('',*,*,#204426,.F.); #274828=ORIENTED_EDGE('',*,*,#204427,.F.); #274829=ORIENTED_EDGE('',*,*,#204428,.T.); #274830=ORIENTED_EDGE('',*,*,#204427,.T.); #274831=ORIENTED_EDGE('',*,*,#204429,.F.); #274832=ORIENTED_EDGE('',*,*,#204430,.F.); #274833=ORIENTED_EDGE('',*,*,#204431,.T.); #274834=ORIENTED_EDGE('',*,*,#204430,.T.); #274835=ORIENTED_EDGE('',*,*,#204432,.F.); #274836=ORIENTED_EDGE('',*,*,#204433,.F.); #274837=ORIENTED_EDGE('',*,*,#204434,.T.); #274838=ORIENTED_EDGE('',*,*,#204433,.T.); #274839=ORIENTED_EDGE('',*,*,#204435,.F.); #274840=ORIENTED_EDGE('',*,*,#204436,.F.); #274841=ORIENTED_EDGE('',*,*,#204437,.T.); #274842=ORIENTED_EDGE('',*,*,#204436,.T.); #274843=ORIENTED_EDGE('',*,*,#204438,.F.); #274844=ORIENTED_EDGE('',*,*,#204439,.F.); #274845=ORIENTED_EDGE('',*,*,#204440,.T.); #274846=ORIENTED_EDGE('',*,*,#204439,.T.); #274847=ORIENTED_EDGE('',*,*,#204441,.F.); #274848=ORIENTED_EDGE('',*,*,#204442,.F.); #274849=ORIENTED_EDGE('',*,*,#204443,.T.); #274850=ORIENTED_EDGE('',*,*,#204442,.T.); #274851=ORIENTED_EDGE('',*,*,#204444,.F.); #274852=ORIENTED_EDGE('',*,*,#204445,.F.); #274853=ORIENTED_EDGE('',*,*,#204446,.T.); #274854=ORIENTED_EDGE('',*,*,#204445,.T.); #274855=ORIENTED_EDGE('',*,*,#204447,.F.); #274856=ORIENTED_EDGE('',*,*,#204448,.F.); #274857=ORIENTED_EDGE('',*,*,#204449,.T.); #274858=ORIENTED_EDGE('',*,*,#204448,.T.); #274859=ORIENTED_EDGE('',*,*,#204450,.F.); #274860=ORIENTED_EDGE('',*,*,#204451,.F.); #274861=ORIENTED_EDGE('',*,*,#204452,.T.); #274862=ORIENTED_EDGE('',*,*,#204451,.T.); #274863=ORIENTED_EDGE('',*,*,#204453,.F.); #274864=ORIENTED_EDGE('',*,*,#204454,.F.); #274865=ORIENTED_EDGE('',*,*,#204455,.T.); #274866=ORIENTED_EDGE('',*,*,#204454,.T.); #274867=ORIENTED_EDGE('',*,*,#204456,.F.); #274868=ORIENTED_EDGE('',*,*,#204457,.F.); #274869=ORIENTED_EDGE('',*,*,#204458,.T.); #274870=ORIENTED_EDGE('',*,*,#204457,.T.); #274871=ORIENTED_EDGE('',*,*,#204459,.F.); #274872=ORIENTED_EDGE('',*,*,#204460,.F.); #274873=ORIENTED_EDGE('',*,*,#204461,.T.); #274874=ORIENTED_EDGE('',*,*,#204460,.T.); #274875=ORIENTED_EDGE('',*,*,#204462,.F.); #274876=ORIENTED_EDGE('',*,*,#204463,.F.); #274877=ORIENTED_EDGE('',*,*,#204464,.T.); #274878=ORIENTED_EDGE('',*,*,#204463,.T.); #274879=ORIENTED_EDGE('',*,*,#204465,.F.); #274880=ORIENTED_EDGE('',*,*,#204466,.F.); #274881=ORIENTED_EDGE('',*,*,#204467,.T.); #274882=ORIENTED_EDGE('',*,*,#204466,.T.); #274883=ORIENTED_EDGE('',*,*,#204468,.F.); #274884=ORIENTED_EDGE('',*,*,#204469,.F.); #274885=ORIENTED_EDGE('',*,*,#204470,.T.); #274886=ORIENTED_EDGE('',*,*,#204469,.T.); #274887=ORIENTED_EDGE('',*,*,#204471,.F.); #274888=ORIENTED_EDGE('',*,*,#204472,.F.); #274889=ORIENTED_EDGE('',*,*,#204473,.T.); #274890=ORIENTED_EDGE('',*,*,#204472,.T.); #274891=ORIENTED_EDGE('',*,*,#204474,.F.); #274892=ORIENTED_EDGE('',*,*,#204475,.F.); #274893=ORIENTED_EDGE('',*,*,#204476,.T.); #274894=ORIENTED_EDGE('',*,*,#204475,.T.); #274895=ORIENTED_EDGE('',*,*,#204477,.F.); #274896=ORIENTED_EDGE('',*,*,#204478,.F.); #274897=ORIENTED_EDGE('',*,*,#204479,.T.); #274898=ORIENTED_EDGE('',*,*,#204478,.T.); #274899=ORIENTED_EDGE('',*,*,#204480,.F.); #274900=ORIENTED_EDGE('',*,*,#204481,.F.); #274901=ORIENTED_EDGE('',*,*,#204482,.T.); #274902=ORIENTED_EDGE('',*,*,#204481,.T.); #274903=ORIENTED_EDGE('',*,*,#204483,.F.); #274904=ORIENTED_EDGE('',*,*,#204484,.F.); #274905=ORIENTED_EDGE('',*,*,#204485,.T.); #274906=ORIENTED_EDGE('',*,*,#204484,.T.); #274907=ORIENTED_EDGE('',*,*,#204486,.F.); #274908=ORIENTED_EDGE('',*,*,#204487,.F.); #274909=ORIENTED_EDGE('',*,*,#204488,.T.); #274910=ORIENTED_EDGE('',*,*,#204487,.T.); #274911=ORIENTED_EDGE('',*,*,#204489,.F.); #274912=ORIENTED_EDGE('',*,*,#204490,.F.); #274913=ORIENTED_EDGE('',*,*,#204491,.T.); #274914=ORIENTED_EDGE('',*,*,#204490,.T.); #274915=ORIENTED_EDGE('',*,*,#204492,.F.); #274916=ORIENTED_EDGE('',*,*,#204493,.F.); #274917=ORIENTED_EDGE('',*,*,#204494,.T.); #274918=ORIENTED_EDGE('',*,*,#204493,.T.); #274919=ORIENTED_EDGE('',*,*,#204495,.F.); #274920=ORIENTED_EDGE('',*,*,#204496,.F.); #274921=ORIENTED_EDGE('',*,*,#204497,.T.); #274922=ORIENTED_EDGE('',*,*,#204496,.T.); #274923=ORIENTED_EDGE('',*,*,#204498,.F.); #274924=ORIENTED_EDGE('',*,*,#204499,.F.); #274925=ORIENTED_EDGE('',*,*,#204500,.T.); #274926=ORIENTED_EDGE('',*,*,#204499,.T.); #274927=ORIENTED_EDGE('',*,*,#204501,.F.); #274928=ORIENTED_EDGE('',*,*,#204502,.F.); #274929=ORIENTED_EDGE('',*,*,#204503,.T.); #274930=ORIENTED_EDGE('',*,*,#204502,.T.); #274931=ORIENTED_EDGE('',*,*,#204504,.F.); #274932=ORIENTED_EDGE('',*,*,#204505,.F.); #274933=ORIENTED_EDGE('',*,*,#204506,.T.); #274934=ORIENTED_EDGE('',*,*,#204505,.T.); #274935=ORIENTED_EDGE('',*,*,#204507,.F.); #274936=ORIENTED_EDGE('',*,*,#204508,.F.); #274937=ORIENTED_EDGE('',*,*,#204509,.T.); #274938=ORIENTED_EDGE('',*,*,#204508,.T.); #274939=ORIENTED_EDGE('',*,*,#204510,.F.); #274940=ORIENTED_EDGE('',*,*,#204511,.F.); #274941=ORIENTED_EDGE('',*,*,#204512,.T.); #274942=ORIENTED_EDGE('',*,*,#204511,.T.); #274943=ORIENTED_EDGE('',*,*,#204513,.F.); #274944=ORIENTED_EDGE('',*,*,#204514,.F.); #274945=ORIENTED_EDGE('',*,*,#204515,.T.); #274946=ORIENTED_EDGE('',*,*,#204514,.T.); #274947=ORIENTED_EDGE('',*,*,#204516,.F.); #274948=ORIENTED_EDGE('',*,*,#204517,.F.); #274949=ORIENTED_EDGE('',*,*,#204518,.T.); #274950=ORIENTED_EDGE('',*,*,#204517,.T.); #274951=ORIENTED_EDGE('',*,*,#204519,.F.); #274952=ORIENTED_EDGE('',*,*,#204520,.F.); #274953=ORIENTED_EDGE('',*,*,#204521,.T.); #274954=ORIENTED_EDGE('',*,*,#204520,.T.); #274955=ORIENTED_EDGE('',*,*,#204522,.F.); #274956=ORIENTED_EDGE('',*,*,#204523,.F.); #274957=ORIENTED_EDGE('',*,*,#204524,.T.); #274958=ORIENTED_EDGE('',*,*,#204523,.T.); #274959=ORIENTED_EDGE('',*,*,#204525,.F.); #274960=ORIENTED_EDGE('',*,*,#204526,.F.); #274961=ORIENTED_EDGE('',*,*,#204527,.T.); #274962=ORIENTED_EDGE('',*,*,#204526,.T.); #274963=ORIENTED_EDGE('',*,*,#204528,.F.); #274964=ORIENTED_EDGE('',*,*,#204529,.F.); #274965=ORIENTED_EDGE('',*,*,#204530,.T.); #274966=ORIENTED_EDGE('',*,*,#204529,.T.); #274967=ORIENTED_EDGE('',*,*,#204531,.F.); #274968=ORIENTED_EDGE('',*,*,#204532,.F.); #274969=ORIENTED_EDGE('',*,*,#204533,.T.); #274970=ORIENTED_EDGE('',*,*,#204532,.T.); #274971=ORIENTED_EDGE('',*,*,#204534,.F.); #274972=ORIENTED_EDGE('',*,*,#204535,.F.); #274973=ORIENTED_EDGE('',*,*,#204536,.T.); #274974=ORIENTED_EDGE('',*,*,#204535,.T.); #274975=ORIENTED_EDGE('',*,*,#204537,.F.); #274976=ORIENTED_EDGE('',*,*,#204538,.F.); #274977=ORIENTED_EDGE('',*,*,#204539,.T.); #274978=ORIENTED_EDGE('',*,*,#204538,.T.); #274979=ORIENTED_EDGE('',*,*,#204540,.F.); #274980=ORIENTED_EDGE('',*,*,#204541,.F.); #274981=ORIENTED_EDGE('',*,*,#204542,.T.); #274982=ORIENTED_EDGE('',*,*,#204541,.T.); #274983=ORIENTED_EDGE('',*,*,#204543,.F.); #274984=ORIENTED_EDGE('',*,*,#204544,.F.); #274985=ORIENTED_EDGE('',*,*,#204545,.T.); #274986=ORIENTED_EDGE('',*,*,#204544,.T.); #274987=ORIENTED_EDGE('',*,*,#204546,.F.); #274988=ORIENTED_EDGE('',*,*,#204547,.F.); #274989=ORIENTED_EDGE('',*,*,#204548,.T.); #274990=ORIENTED_EDGE('',*,*,#204547,.T.); #274991=ORIENTED_EDGE('',*,*,#204549,.F.); #274992=ORIENTED_EDGE('',*,*,#204550,.F.); #274993=ORIENTED_EDGE('',*,*,#204551,.T.); #274994=ORIENTED_EDGE('',*,*,#204550,.T.); #274995=ORIENTED_EDGE('',*,*,#204552,.F.); #274996=ORIENTED_EDGE('',*,*,#204553,.F.); #274997=ORIENTED_EDGE('',*,*,#204554,.T.); #274998=ORIENTED_EDGE('',*,*,#204553,.T.); #274999=ORIENTED_EDGE('',*,*,#204555,.F.); #275000=ORIENTED_EDGE('',*,*,#204556,.F.); #275001=ORIENTED_EDGE('',*,*,#204557,.T.); #275002=ORIENTED_EDGE('',*,*,#204556,.T.); #275003=ORIENTED_EDGE('',*,*,#204558,.F.); #275004=ORIENTED_EDGE('',*,*,#204559,.F.); #275005=ORIENTED_EDGE('',*,*,#204560,.T.); #275006=ORIENTED_EDGE('',*,*,#204559,.T.); #275007=ORIENTED_EDGE('',*,*,#204561,.F.); #275008=ORIENTED_EDGE('',*,*,#204562,.F.); #275009=ORIENTED_EDGE('',*,*,#204563,.T.); #275010=ORIENTED_EDGE('',*,*,#204562,.T.); #275011=ORIENTED_EDGE('',*,*,#204564,.F.); #275012=ORIENTED_EDGE('',*,*,#204565,.F.); #275013=ORIENTED_EDGE('',*,*,#204566,.T.); #275014=ORIENTED_EDGE('',*,*,#204565,.T.); #275015=ORIENTED_EDGE('',*,*,#204567,.F.); #275016=ORIENTED_EDGE('',*,*,#204568,.F.); #275017=ORIENTED_EDGE('',*,*,#204569,.T.); #275018=ORIENTED_EDGE('',*,*,#204568,.T.); #275019=ORIENTED_EDGE('',*,*,#204570,.F.); #275020=ORIENTED_EDGE('',*,*,#204571,.F.); #275021=ORIENTED_EDGE('',*,*,#204572,.T.); #275022=ORIENTED_EDGE('',*,*,#204571,.T.); #275023=ORIENTED_EDGE('',*,*,#204573,.F.); #275024=ORIENTED_EDGE('',*,*,#204574,.F.); #275025=ORIENTED_EDGE('',*,*,#204575,.T.); #275026=ORIENTED_EDGE('',*,*,#204574,.T.); #275027=ORIENTED_EDGE('',*,*,#204576,.F.); #275028=ORIENTED_EDGE('',*,*,#204577,.F.); #275029=ORIENTED_EDGE('',*,*,#204578,.T.); #275030=ORIENTED_EDGE('',*,*,#204577,.T.); #275031=ORIENTED_EDGE('',*,*,#204579,.F.); #275032=ORIENTED_EDGE('',*,*,#204580,.F.); #275033=ORIENTED_EDGE('',*,*,#204581,.T.); #275034=ORIENTED_EDGE('',*,*,#204580,.T.); #275035=ORIENTED_EDGE('',*,*,#204582,.F.); #275036=ORIENTED_EDGE('',*,*,#204583,.F.); #275037=ORIENTED_EDGE('',*,*,#204584,.T.); #275038=ORIENTED_EDGE('',*,*,#204583,.T.); #275039=ORIENTED_EDGE('',*,*,#204585,.F.); #275040=ORIENTED_EDGE('',*,*,#204586,.F.); #275041=ORIENTED_EDGE('',*,*,#204587,.T.); #275042=ORIENTED_EDGE('',*,*,#204586,.T.); #275043=ORIENTED_EDGE('',*,*,#204588,.F.); #275044=ORIENTED_EDGE('',*,*,#204589,.F.); #275045=ORIENTED_EDGE('',*,*,#204590,.T.); #275046=ORIENTED_EDGE('',*,*,#204589,.T.); #275047=ORIENTED_EDGE('',*,*,#204591,.F.); #275048=ORIENTED_EDGE('',*,*,#204592,.F.); #275049=ORIENTED_EDGE('',*,*,#204593,.T.); #275050=ORIENTED_EDGE('',*,*,#204592,.T.); #275051=ORIENTED_EDGE('',*,*,#204594,.F.); #275052=ORIENTED_EDGE('',*,*,#204595,.F.); #275053=ORIENTED_EDGE('',*,*,#204596,.T.); #275054=ORIENTED_EDGE('',*,*,#204595,.T.); #275055=ORIENTED_EDGE('',*,*,#204597,.F.); #275056=ORIENTED_EDGE('',*,*,#204598,.F.); #275057=ORIENTED_EDGE('',*,*,#204599,.T.); #275058=ORIENTED_EDGE('',*,*,#204598,.T.); #275059=ORIENTED_EDGE('',*,*,#204600,.F.); #275060=ORIENTED_EDGE('',*,*,#204386,.F.); #275061=ORIENTED_EDGE('',*,*,#204600,.T.); #275062=ORIENTED_EDGE('',*,*,#204597,.T.); #275063=ORIENTED_EDGE('',*,*,#204594,.T.); #275064=ORIENTED_EDGE('',*,*,#204591,.T.); #275065=ORIENTED_EDGE('',*,*,#204588,.T.); #275066=ORIENTED_EDGE('',*,*,#204585,.T.); #275067=ORIENTED_EDGE('',*,*,#204582,.T.); #275068=ORIENTED_EDGE('',*,*,#204579,.T.); #275069=ORIENTED_EDGE('',*,*,#204576,.T.); #275070=ORIENTED_EDGE('',*,*,#204573,.T.); #275071=ORIENTED_EDGE('',*,*,#204570,.T.); #275072=ORIENTED_EDGE('',*,*,#204567,.T.); #275073=ORIENTED_EDGE('',*,*,#204564,.T.); #275074=ORIENTED_EDGE('',*,*,#204561,.T.); #275075=ORIENTED_EDGE('',*,*,#204558,.T.); #275076=ORIENTED_EDGE('',*,*,#204555,.T.); #275077=ORIENTED_EDGE('',*,*,#204552,.T.); #275078=ORIENTED_EDGE('',*,*,#204549,.T.); #275079=ORIENTED_EDGE('',*,*,#204546,.T.); #275080=ORIENTED_EDGE('',*,*,#204543,.T.); #275081=ORIENTED_EDGE('',*,*,#204540,.T.); #275082=ORIENTED_EDGE('',*,*,#204537,.T.); #275083=ORIENTED_EDGE('',*,*,#204534,.T.); #275084=ORIENTED_EDGE('',*,*,#204531,.T.); #275085=ORIENTED_EDGE('',*,*,#204528,.T.); #275086=ORIENTED_EDGE('',*,*,#204525,.T.); #275087=ORIENTED_EDGE('',*,*,#204522,.T.); #275088=ORIENTED_EDGE('',*,*,#204519,.T.); #275089=ORIENTED_EDGE('',*,*,#204516,.T.); #275090=ORIENTED_EDGE('',*,*,#204513,.T.); #275091=ORIENTED_EDGE('',*,*,#204510,.T.); #275092=ORIENTED_EDGE('',*,*,#204507,.T.); #275093=ORIENTED_EDGE('',*,*,#204504,.T.); #275094=ORIENTED_EDGE('',*,*,#204501,.T.); #275095=ORIENTED_EDGE('',*,*,#204498,.T.); #275096=ORIENTED_EDGE('',*,*,#204495,.T.); #275097=ORIENTED_EDGE('',*,*,#204492,.T.); #275098=ORIENTED_EDGE('',*,*,#204489,.T.); #275099=ORIENTED_EDGE('',*,*,#204486,.T.); #275100=ORIENTED_EDGE('',*,*,#204483,.T.); #275101=ORIENTED_EDGE('',*,*,#204480,.T.); #275102=ORIENTED_EDGE('',*,*,#204477,.T.); #275103=ORIENTED_EDGE('',*,*,#204474,.T.); #275104=ORIENTED_EDGE('',*,*,#204471,.T.); #275105=ORIENTED_EDGE('',*,*,#204468,.T.); #275106=ORIENTED_EDGE('',*,*,#204465,.T.); #275107=ORIENTED_EDGE('',*,*,#204462,.T.); #275108=ORIENTED_EDGE('',*,*,#204459,.T.); #275109=ORIENTED_EDGE('',*,*,#204456,.T.); #275110=ORIENTED_EDGE('',*,*,#204453,.T.); #275111=ORIENTED_EDGE('',*,*,#204450,.T.); #275112=ORIENTED_EDGE('',*,*,#204447,.T.); #275113=ORIENTED_EDGE('',*,*,#204444,.T.); #275114=ORIENTED_EDGE('',*,*,#204441,.T.); #275115=ORIENTED_EDGE('',*,*,#204438,.T.); #275116=ORIENTED_EDGE('',*,*,#204435,.T.); #275117=ORIENTED_EDGE('',*,*,#204432,.T.); #275118=ORIENTED_EDGE('',*,*,#204429,.T.); #275119=ORIENTED_EDGE('',*,*,#204426,.T.); #275120=ORIENTED_EDGE('',*,*,#204423,.T.); #275121=ORIENTED_EDGE('',*,*,#204420,.T.); #275122=ORIENTED_EDGE('',*,*,#204417,.T.); #275123=ORIENTED_EDGE('',*,*,#204414,.T.); #275124=ORIENTED_EDGE('',*,*,#204411,.T.); #275125=ORIENTED_EDGE('',*,*,#204408,.T.); #275126=ORIENTED_EDGE('',*,*,#204405,.T.); #275127=ORIENTED_EDGE('',*,*,#204402,.T.); #275128=ORIENTED_EDGE('',*,*,#204399,.T.); #275129=ORIENTED_EDGE('',*,*,#204396,.T.); #275130=ORIENTED_EDGE('',*,*,#204393,.T.); #275131=ORIENTED_EDGE('',*,*,#204390,.T.); #275132=ORIENTED_EDGE('',*,*,#204387,.T.); #275133=ORIENTED_EDGE('',*,*,#204380,.T.); #275134=ORIENTED_EDGE('',*,*,#204383,.T.); #275135=ORIENTED_EDGE('',*,*,#204373,.T.); #275136=ORIENTED_EDGE('',*,*,#204377,.T.); #275137=ORIENTED_EDGE('',*,*,#204599,.F.); #275138=ORIENTED_EDGE('',*,*,#204385,.F.); #275139=ORIENTED_EDGE('',*,*,#204389,.F.); #275140=ORIENTED_EDGE('',*,*,#204392,.F.); #275141=ORIENTED_EDGE('',*,*,#204395,.F.); #275142=ORIENTED_EDGE('',*,*,#204398,.F.); #275143=ORIENTED_EDGE('',*,*,#204401,.F.); #275144=ORIENTED_EDGE('',*,*,#204404,.F.); #275145=ORIENTED_EDGE('',*,*,#204407,.F.); #275146=ORIENTED_EDGE('',*,*,#204410,.F.); #275147=ORIENTED_EDGE('',*,*,#204413,.F.); #275148=ORIENTED_EDGE('',*,*,#204416,.F.); #275149=ORIENTED_EDGE('',*,*,#204419,.F.); #275150=ORIENTED_EDGE('',*,*,#204422,.F.); #275151=ORIENTED_EDGE('',*,*,#204425,.F.); #275152=ORIENTED_EDGE('',*,*,#204428,.F.); #275153=ORIENTED_EDGE('',*,*,#204431,.F.); #275154=ORIENTED_EDGE('',*,*,#204434,.F.); #275155=ORIENTED_EDGE('',*,*,#204437,.F.); #275156=ORIENTED_EDGE('',*,*,#204440,.F.); #275157=ORIENTED_EDGE('',*,*,#204443,.F.); #275158=ORIENTED_EDGE('',*,*,#204446,.F.); #275159=ORIENTED_EDGE('',*,*,#204449,.F.); #275160=ORIENTED_EDGE('',*,*,#204452,.F.); #275161=ORIENTED_EDGE('',*,*,#204455,.F.); #275162=ORIENTED_EDGE('',*,*,#204458,.F.); #275163=ORIENTED_EDGE('',*,*,#204461,.F.); #275164=ORIENTED_EDGE('',*,*,#204464,.F.); #275165=ORIENTED_EDGE('',*,*,#204467,.F.); #275166=ORIENTED_EDGE('',*,*,#204470,.F.); #275167=ORIENTED_EDGE('',*,*,#204473,.F.); #275168=ORIENTED_EDGE('',*,*,#204476,.F.); #275169=ORIENTED_EDGE('',*,*,#204479,.F.); #275170=ORIENTED_EDGE('',*,*,#204482,.F.); #275171=ORIENTED_EDGE('',*,*,#204485,.F.); #275172=ORIENTED_EDGE('',*,*,#204488,.F.); #275173=ORIENTED_EDGE('',*,*,#204491,.F.); #275174=ORIENTED_EDGE('',*,*,#204494,.F.); #275175=ORIENTED_EDGE('',*,*,#204497,.F.); #275176=ORIENTED_EDGE('',*,*,#204500,.F.); #275177=ORIENTED_EDGE('',*,*,#204503,.F.); #275178=ORIENTED_EDGE('',*,*,#204506,.F.); #275179=ORIENTED_EDGE('',*,*,#204509,.F.); #275180=ORIENTED_EDGE('',*,*,#204512,.F.); #275181=ORIENTED_EDGE('',*,*,#204515,.F.); #275182=ORIENTED_EDGE('',*,*,#204518,.F.); #275183=ORIENTED_EDGE('',*,*,#204521,.F.); #275184=ORIENTED_EDGE('',*,*,#204524,.F.); #275185=ORIENTED_EDGE('',*,*,#204527,.F.); #275186=ORIENTED_EDGE('',*,*,#204530,.F.); #275187=ORIENTED_EDGE('',*,*,#204533,.F.); #275188=ORIENTED_EDGE('',*,*,#204536,.F.); #275189=ORIENTED_EDGE('',*,*,#204539,.F.); #275190=ORIENTED_EDGE('',*,*,#204542,.F.); #275191=ORIENTED_EDGE('',*,*,#204545,.F.); #275192=ORIENTED_EDGE('',*,*,#204548,.F.); #275193=ORIENTED_EDGE('',*,*,#204551,.F.); #275194=ORIENTED_EDGE('',*,*,#204554,.F.); #275195=ORIENTED_EDGE('',*,*,#204557,.F.); #275196=ORIENTED_EDGE('',*,*,#204560,.F.); #275197=ORIENTED_EDGE('',*,*,#204563,.F.); #275198=ORIENTED_EDGE('',*,*,#204566,.F.); #275199=ORIENTED_EDGE('',*,*,#204569,.F.); #275200=ORIENTED_EDGE('',*,*,#204572,.F.); #275201=ORIENTED_EDGE('',*,*,#204575,.F.); #275202=ORIENTED_EDGE('',*,*,#204578,.F.); #275203=ORIENTED_EDGE('',*,*,#204581,.F.); #275204=ORIENTED_EDGE('',*,*,#204584,.F.); #275205=ORIENTED_EDGE('',*,*,#204587,.F.); #275206=ORIENTED_EDGE('',*,*,#204590,.F.); #275207=ORIENTED_EDGE('',*,*,#204593,.F.); #275208=ORIENTED_EDGE('',*,*,#204596,.F.); #275209=ORIENTED_EDGE('',*,*,#204381,.T.); #275210=ORIENTED_EDGE('',*,*,#204378,.T.); #275211=ORIENTED_EDGE('',*,*,#204375,.T.); #275212=ORIENTED_EDGE('',*,*,#204384,.T.); #275213=ORIENTED_EDGE('',*,*,#204601,.F.); #275214=ORIENTED_EDGE('',*,*,#204602,.T.); #275215=ORIENTED_EDGE('',*,*,#204603,.F.); #275216=ORIENTED_EDGE('',*,*,#204602,.F.); #275217=ORIENTED_EDGE('',*,*,#204604,.T.); #275218=ORIENTED_EDGE('',*,*,#204605,.T.); #275219=ORIENTED_EDGE('',*,*,#204606,.F.); #275220=ORIENTED_EDGE('',*,*,#204607,.F.); #275221=ORIENTED_EDGE('',*,*,#204608,.T.); #275222=ORIENTED_EDGE('',*,*,#204607,.T.); #275223=ORIENTED_EDGE('',*,*,#204609,.F.); #275224=ORIENTED_EDGE('',*,*,#204610,.F.); #275225=ORIENTED_EDGE('',*,*,#204611,.T.); #275226=ORIENTED_EDGE('',*,*,#204610,.T.); #275227=ORIENTED_EDGE('',*,*,#204612,.F.); #275228=ORIENTED_EDGE('',*,*,#204605,.F.); #275229=ORIENTED_EDGE('',*,*,#204612,.T.); #275230=ORIENTED_EDGE('',*,*,#204609,.T.); #275231=ORIENTED_EDGE('',*,*,#204606,.T.); #275232=ORIENTED_EDGE('',*,*,#204603,.T.); #275233=ORIENTED_EDGE('',*,*,#204611,.F.); #275234=ORIENTED_EDGE('',*,*,#204604,.F.); #275235=ORIENTED_EDGE('',*,*,#204608,.F.); #275236=ORIENTED_EDGE('',*,*,#204601,.T.); #275237=ORIENTED_EDGE('',*,*,#204613,.F.); #275238=ORIENTED_EDGE('',*,*,#204614,.T.); #275239=ORIENTED_EDGE('',*,*,#204615,.F.); #275240=ORIENTED_EDGE('',*,*,#204614,.F.); #275241=ORIENTED_EDGE('',*,*,#204616,.T.); #275242=ORIENTED_EDGE('',*,*,#204617,.T.); #275243=ORIENTED_EDGE('',*,*,#204618,.F.); #275244=ORIENTED_EDGE('',*,*,#204619,.F.); #275245=ORIENTED_EDGE('',*,*,#204620,.T.); #275246=ORIENTED_EDGE('',*,*,#204619,.T.); #275247=ORIENTED_EDGE('',*,*,#204621,.F.); #275248=ORIENTED_EDGE('',*,*,#204622,.F.); #275249=ORIENTED_EDGE('',*,*,#204623,.T.); #275250=ORIENTED_EDGE('',*,*,#204622,.T.); #275251=ORIENTED_EDGE('',*,*,#204624,.F.); #275252=ORIENTED_EDGE('',*,*,#204617,.F.); #275253=ORIENTED_EDGE('',*,*,#204624,.T.); #275254=ORIENTED_EDGE('',*,*,#204621,.T.); #275255=ORIENTED_EDGE('',*,*,#204618,.T.); #275256=ORIENTED_EDGE('',*,*,#204615,.T.); #275257=ORIENTED_EDGE('',*,*,#204623,.F.); #275258=ORIENTED_EDGE('',*,*,#204616,.F.); #275259=ORIENTED_EDGE('',*,*,#204620,.F.); #275260=ORIENTED_EDGE('',*,*,#204613,.T.); #275261=ORIENTED_EDGE('',*,*,#204625,.F.); #275262=ORIENTED_EDGE('',*,*,#204626,.F.); #275263=ORIENTED_EDGE('',*,*,#204627,.F.); #275264=ORIENTED_EDGE('',*,*,#204628,.T.); #275265=ORIENTED_EDGE('',*,*,#204629,.F.); #275266=ORIENTED_EDGE('',*,*,#204628,.F.); #275267=ORIENTED_EDGE('',*,*,#204630,.F.); #275268=ORIENTED_EDGE('',*,*,#204631,.T.); #275269=ORIENTED_EDGE('',*,*,#204632,.F.); #275270=ORIENTED_EDGE('',*,*,#204631,.F.); #275271=ORIENTED_EDGE('',*,*,#204633,.F.); #275272=ORIENTED_EDGE('',*,*,#204634,.T.); #275273=ORIENTED_EDGE('',*,*,#204635,.F.); #275274=ORIENTED_EDGE('',*,*,#204634,.F.); #275275=ORIENTED_EDGE('',*,*,#204636,.F.); #275276=ORIENTED_EDGE('',*,*,#204626,.T.); #275277=ORIENTED_EDGE('',*,*,#204637,.T.); #275278=ORIENTED_EDGE('',*,*,#204638,.T.); #275279=ORIENTED_EDGE('',*,*,#204639,.F.); #275280=ORIENTED_EDGE('',*,*,#204640,.F.); #275281=ORIENTED_EDGE('',*,*,#204641,.T.); #275282=ORIENTED_EDGE('',*,*,#204640,.T.); #275283=ORIENTED_EDGE('',*,*,#204642,.F.); #275284=ORIENTED_EDGE('',*,*,#204643,.F.); #275285=ORIENTED_EDGE('',*,*,#204644,.T.); #275286=ORIENTED_EDGE('',*,*,#204643,.T.); #275287=ORIENTED_EDGE('',*,*,#204645,.F.); #275288=ORIENTED_EDGE('',*,*,#204646,.F.); #275289=ORIENTED_EDGE('',*,*,#204647,.T.); #275290=ORIENTED_EDGE('',*,*,#204646,.T.); #275291=ORIENTED_EDGE('',*,*,#204648,.F.); #275292=ORIENTED_EDGE('',*,*,#204649,.F.); #275293=ORIENTED_EDGE('',*,*,#204650,.T.); #275294=ORIENTED_EDGE('',*,*,#204649,.T.); #275295=ORIENTED_EDGE('',*,*,#204651,.F.); #275296=ORIENTED_EDGE('',*,*,#204652,.F.); #275297=ORIENTED_EDGE('',*,*,#204653,.T.); #275298=ORIENTED_EDGE('',*,*,#204652,.T.); #275299=ORIENTED_EDGE('',*,*,#204654,.F.); #275300=ORIENTED_EDGE('',*,*,#204655,.F.); #275301=ORIENTED_EDGE('',*,*,#204656,.T.); #275302=ORIENTED_EDGE('',*,*,#204655,.T.); #275303=ORIENTED_EDGE('',*,*,#204657,.F.); #275304=ORIENTED_EDGE('',*,*,#204658,.F.); #275305=ORIENTED_EDGE('',*,*,#204659,.T.); #275306=ORIENTED_EDGE('',*,*,#204658,.T.); #275307=ORIENTED_EDGE('',*,*,#204660,.F.); #275308=ORIENTED_EDGE('',*,*,#204661,.F.); #275309=ORIENTED_EDGE('',*,*,#204662,.T.); #275310=ORIENTED_EDGE('',*,*,#204661,.T.); #275311=ORIENTED_EDGE('',*,*,#204663,.F.); #275312=ORIENTED_EDGE('',*,*,#204664,.F.); #275313=ORIENTED_EDGE('',*,*,#204665,.T.); #275314=ORIENTED_EDGE('',*,*,#204664,.T.); #275315=ORIENTED_EDGE('',*,*,#204666,.F.); #275316=ORIENTED_EDGE('',*,*,#204667,.F.); #275317=ORIENTED_EDGE('',*,*,#204668,.T.); #275318=ORIENTED_EDGE('',*,*,#204667,.T.); #275319=ORIENTED_EDGE('',*,*,#204669,.F.); #275320=ORIENTED_EDGE('',*,*,#204670,.F.); #275321=ORIENTED_EDGE('',*,*,#204671,.T.); #275322=ORIENTED_EDGE('',*,*,#204670,.T.); #275323=ORIENTED_EDGE('',*,*,#204672,.F.); #275324=ORIENTED_EDGE('',*,*,#204673,.F.); #275325=ORIENTED_EDGE('',*,*,#204674,.T.); #275326=ORIENTED_EDGE('',*,*,#204673,.T.); #275327=ORIENTED_EDGE('',*,*,#204675,.F.); #275328=ORIENTED_EDGE('',*,*,#204676,.F.); #275329=ORIENTED_EDGE('',*,*,#204677,.T.); #275330=ORIENTED_EDGE('',*,*,#204676,.T.); #275331=ORIENTED_EDGE('',*,*,#204678,.F.); #275332=ORIENTED_EDGE('',*,*,#204679,.F.); #275333=ORIENTED_EDGE('',*,*,#204680,.T.); #275334=ORIENTED_EDGE('',*,*,#204679,.T.); #275335=ORIENTED_EDGE('',*,*,#204681,.F.); #275336=ORIENTED_EDGE('',*,*,#204682,.F.); #275337=ORIENTED_EDGE('',*,*,#204683,.T.); #275338=ORIENTED_EDGE('',*,*,#204682,.T.); #275339=ORIENTED_EDGE('',*,*,#204684,.F.); #275340=ORIENTED_EDGE('',*,*,#204685,.F.); #275341=ORIENTED_EDGE('',*,*,#204686,.T.); #275342=ORIENTED_EDGE('',*,*,#204685,.T.); #275343=ORIENTED_EDGE('',*,*,#204687,.F.); #275344=ORIENTED_EDGE('',*,*,#204688,.F.); #275345=ORIENTED_EDGE('',*,*,#204689,.T.); #275346=ORIENTED_EDGE('',*,*,#204688,.T.); #275347=ORIENTED_EDGE('',*,*,#204690,.F.); #275348=ORIENTED_EDGE('',*,*,#204691,.F.); #275349=ORIENTED_EDGE('',*,*,#204692,.T.); #275350=ORIENTED_EDGE('',*,*,#204691,.T.); #275351=ORIENTED_EDGE('',*,*,#204693,.F.); #275352=ORIENTED_EDGE('',*,*,#204694,.F.); #275353=ORIENTED_EDGE('',*,*,#204695,.T.); #275354=ORIENTED_EDGE('',*,*,#204694,.T.); #275355=ORIENTED_EDGE('',*,*,#204696,.F.); #275356=ORIENTED_EDGE('',*,*,#204697,.F.); #275357=ORIENTED_EDGE('',*,*,#204698,.T.); #275358=ORIENTED_EDGE('',*,*,#204697,.T.); #275359=ORIENTED_EDGE('',*,*,#204699,.F.); #275360=ORIENTED_EDGE('',*,*,#204700,.F.); #275361=ORIENTED_EDGE('',*,*,#204701,.T.); #275362=ORIENTED_EDGE('',*,*,#204700,.T.); #275363=ORIENTED_EDGE('',*,*,#204702,.F.); #275364=ORIENTED_EDGE('',*,*,#204703,.F.); #275365=ORIENTED_EDGE('',*,*,#204704,.T.); #275366=ORIENTED_EDGE('',*,*,#204703,.T.); #275367=ORIENTED_EDGE('',*,*,#204705,.F.); #275368=ORIENTED_EDGE('',*,*,#204706,.F.); #275369=ORIENTED_EDGE('',*,*,#204707,.T.); #275370=ORIENTED_EDGE('',*,*,#204706,.T.); #275371=ORIENTED_EDGE('',*,*,#204708,.F.); #275372=ORIENTED_EDGE('',*,*,#204709,.F.); #275373=ORIENTED_EDGE('',*,*,#204710,.T.); #275374=ORIENTED_EDGE('',*,*,#204709,.T.); #275375=ORIENTED_EDGE('',*,*,#204711,.F.); #275376=ORIENTED_EDGE('',*,*,#204712,.F.); #275377=ORIENTED_EDGE('',*,*,#204713,.T.); #275378=ORIENTED_EDGE('',*,*,#204712,.T.); #275379=ORIENTED_EDGE('',*,*,#204714,.F.); #275380=ORIENTED_EDGE('',*,*,#204715,.F.); #275381=ORIENTED_EDGE('',*,*,#204716,.T.); #275382=ORIENTED_EDGE('',*,*,#204715,.T.); #275383=ORIENTED_EDGE('',*,*,#204717,.F.); #275384=ORIENTED_EDGE('',*,*,#204718,.F.); #275385=ORIENTED_EDGE('',*,*,#204719,.T.); #275386=ORIENTED_EDGE('',*,*,#204718,.T.); #275387=ORIENTED_EDGE('',*,*,#204720,.F.); #275388=ORIENTED_EDGE('',*,*,#204721,.F.); #275389=ORIENTED_EDGE('',*,*,#204722,.T.); #275390=ORIENTED_EDGE('',*,*,#204721,.T.); #275391=ORIENTED_EDGE('',*,*,#204723,.F.); #275392=ORIENTED_EDGE('',*,*,#204724,.F.); #275393=ORIENTED_EDGE('',*,*,#204725,.T.); #275394=ORIENTED_EDGE('',*,*,#204724,.T.); #275395=ORIENTED_EDGE('',*,*,#204726,.F.); #275396=ORIENTED_EDGE('',*,*,#204727,.F.); #275397=ORIENTED_EDGE('',*,*,#204728,.T.); #275398=ORIENTED_EDGE('',*,*,#204727,.T.); #275399=ORIENTED_EDGE('',*,*,#204729,.F.); #275400=ORIENTED_EDGE('',*,*,#204730,.F.); #275401=ORIENTED_EDGE('',*,*,#204731,.T.); #275402=ORIENTED_EDGE('',*,*,#204730,.T.); #275403=ORIENTED_EDGE('',*,*,#204732,.F.); #275404=ORIENTED_EDGE('',*,*,#204733,.F.); #275405=ORIENTED_EDGE('',*,*,#204734,.T.); #275406=ORIENTED_EDGE('',*,*,#204733,.T.); #275407=ORIENTED_EDGE('',*,*,#204735,.F.); #275408=ORIENTED_EDGE('',*,*,#204736,.F.); #275409=ORIENTED_EDGE('',*,*,#204737,.T.); #275410=ORIENTED_EDGE('',*,*,#204736,.T.); #275411=ORIENTED_EDGE('',*,*,#204738,.F.); #275412=ORIENTED_EDGE('',*,*,#204739,.F.); #275413=ORIENTED_EDGE('',*,*,#204740,.T.); #275414=ORIENTED_EDGE('',*,*,#204739,.T.); #275415=ORIENTED_EDGE('',*,*,#204741,.F.); #275416=ORIENTED_EDGE('',*,*,#204742,.F.); #275417=ORIENTED_EDGE('',*,*,#204743,.T.); #275418=ORIENTED_EDGE('',*,*,#204742,.T.); #275419=ORIENTED_EDGE('',*,*,#204744,.F.); #275420=ORIENTED_EDGE('',*,*,#204745,.F.); #275421=ORIENTED_EDGE('',*,*,#204746,.T.); #275422=ORIENTED_EDGE('',*,*,#204745,.T.); #275423=ORIENTED_EDGE('',*,*,#204747,.F.); #275424=ORIENTED_EDGE('',*,*,#204748,.F.); #275425=ORIENTED_EDGE('',*,*,#204749,.T.); #275426=ORIENTED_EDGE('',*,*,#204748,.T.); #275427=ORIENTED_EDGE('',*,*,#204750,.F.); #275428=ORIENTED_EDGE('',*,*,#204751,.F.); #275429=ORIENTED_EDGE('',*,*,#204752,.T.); #275430=ORIENTED_EDGE('',*,*,#204751,.T.); #275431=ORIENTED_EDGE('',*,*,#204753,.F.); #275432=ORIENTED_EDGE('',*,*,#204754,.F.); #275433=ORIENTED_EDGE('',*,*,#204755,.T.); #275434=ORIENTED_EDGE('',*,*,#204754,.T.); #275435=ORIENTED_EDGE('',*,*,#204756,.F.); #275436=ORIENTED_EDGE('',*,*,#204757,.F.); #275437=ORIENTED_EDGE('',*,*,#204758,.T.); #275438=ORIENTED_EDGE('',*,*,#204757,.T.); #275439=ORIENTED_EDGE('',*,*,#204759,.F.); #275440=ORIENTED_EDGE('',*,*,#204760,.F.); #275441=ORIENTED_EDGE('',*,*,#204761,.T.); #275442=ORIENTED_EDGE('',*,*,#204760,.T.); #275443=ORIENTED_EDGE('',*,*,#204762,.F.); #275444=ORIENTED_EDGE('',*,*,#204763,.F.); #275445=ORIENTED_EDGE('',*,*,#204764,.T.); #275446=ORIENTED_EDGE('',*,*,#204763,.T.); #275447=ORIENTED_EDGE('',*,*,#204765,.F.); #275448=ORIENTED_EDGE('',*,*,#204766,.F.); #275449=ORIENTED_EDGE('',*,*,#204767,.T.); #275450=ORIENTED_EDGE('',*,*,#204766,.T.); #275451=ORIENTED_EDGE('',*,*,#204768,.F.); #275452=ORIENTED_EDGE('',*,*,#204769,.F.); #275453=ORIENTED_EDGE('',*,*,#204770,.T.); #275454=ORIENTED_EDGE('',*,*,#204769,.T.); #275455=ORIENTED_EDGE('',*,*,#204771,.F.); #275456=ORIENTED_EDGE('',*,*,#204772,.F.); #275457=ORIENTED_EDGE('',*,*,#204773,.T.); #275458=ORIENTED_EDGE('',*,*,#204772,.T.); #275459=ORIENTED_EDGE('',*,*,#204774,.F.); #275460=ORIENTED_EDGE('',*,*,#204775,.F.); #275461=ORIENTED_EDGE('',*,*,#204776,.T.); #275462=ORIENTED_EDGE('',*,*,#204775,.T.); #275463=ORIENTED_EDGE('',*,*,#204777,.F.); #275464=ORIENTED_EDGE('',*,*,#204778,.F.); #275465=ORIENTED_EDGE('',*,*,#204779,.T.); #275466=ORIENTED_EDGE('',*,*,#204778,.T.); #275467=ORIENTED_EDGE('',*,*,#204780,.F.); #275468=ORIENTED_EDGE('',*,*,#204781,.F.); #275469=ORIENTED_EDGE('',*,*,#204782,.T.); #275470=ORIENTED_EDGE('',*,*,#204781,.T.); #275471=ORIENTED_EDGE('',*,*,#204783,.F.); #275472=ORIENTED_EDGE('',*,*,#204784,.F.); #275473=ORIENTED_EDGE('',*,*,#204785,.T.); #275474=ORIENTED_EDGE('',*,*,#204784,.T.); #275475=ORIENTED_EDGE('',*,*,#204786,.F.); #275476=ORIENTED_EDGE('',*,*,#204787,.F.); #275477=ORIENTED_EDGE('',*,*,#204788,.T.); #275478=ORIENTED_EDGE('',*,*,#204787,.T.); #275479=ORIENTED_EDGE('',*,*,#204789,.F.); #275480=ORIENTED_EDGE('',*,*,#204790,.F.); #275481=ORIENTED_EDGE('',*,*,#204791,.T.); #275482=ORIENTED_EDGE('',*,*,#204790,.T.); #275483=ORIENTED_EDGE('',*,*,#204792,.F.); #275484=ORIENTED_EDGE('',*,*,#204793,.F.); #275485=ORIENTED_EDGE('',*,*,#204794,.T.); #275486=ORIENTED_EDGE('',*,*,#204793,.T.); #275487=ORIENTED_EDGE('',*,*,#204795,.F.); #275488=ORIENTED_EDGE('',*,*,#204796,.F.); #275489=ORIENTED_EDGE('',*,*,#204797,.T.); #275490=ORIENTED_EDGE('',*,*,#204796,.T.); #275491=ORIENTED_EDGE('',*,*,#204798,.F.); #275492=ORIENTED_EDGE('',*,*,#204799,.F.); #275493=ORIENTED_EDGE('',*,*,#204800,.T.); #275494=ORIENTED_EDGE('',*,*,#204799,.T.); #275495=ORIENTED_EDGE('',*,*,#204801,.F.); #275496=ORIENTED_EDGE('',*,*,#204802,.F.); #275497=ORIENTED_EDGE('',*,*,#204803,.T.); #275498=ORIENTED_EDGE('',*,*,#204802,.T.); #275499=ORIENTED_EDGE('',*,*,#204804,.F.); #275500=ORIENTED_EDGE('',*,*,#204638,.F.); #275501=ORIENTED_EDGE('',*,*,#204804,.T.); #275502=ORIENTED_EDGE('',*,*,#204801,.T.); #275503=ORIENTED_EDGE('',*,*,#204798,.T.); #275504=ORIENTED_EDGE('',*,*,#204795,.T.); #275505=ORIENTED_EDGE('',*,*,#204792,.T.); #275506=ORIENTED_EDGE('',*,*,#204789,.T.); #275507=ORIENTED_EDGE('',*,*,#204786,.T.); #275508=ORIENTED_EDGE('',*,*,#204783,.T.); #275509=ORIENTED_EDGE('',*,*,#204780,.T.); #275510=ORIENTED_EDGE('',*,*,#204777,.T.); #275511=ORIENTED_EDGE('',*,*,#204774,.T.); #275512=ORIENTED_EDGE('',*,*,#204771,.T.); #275513=ORIENTED_EDGE('',*,*,#204768,.T.); #275514=ORIENTED_EDGE('',*,*,#204765,.T.); #275515=ORIENTED_EDGE('',*,*,#204762,.T.); #275516=ORIENTED_EDGE('',*,*,#204759,.T.); #275517=ORIENTED_EDGE('',*,*,#204756,.T.); #275518=ORIENTED_EDGE('',*,*,#204753,.T.); #275519=ORIENTED_EDGE('',*,*,#204750,.T.); #275520=ORIENTED_EDGE('',*,*,#204747,.T.); #275521=ORIENTED_EDGE('',*,*,#204744,.T.); #275522=ORIENTED_EDGE('',*,*,#204741,.T.); #275523=ORIENTED_EDGE('',*,*,#204738,.T.); #275524=ORIENTED_EDGE('',*,*,#204735,.T.); #275525=ORIENTED_EDGE('',*,*,#204732,.T.); #275526=ORIENTED_EDGE('',*,*,#204729,.T.); #275527=ORIENTED_EDGE('',*,*,#204726,.T.); #275528=ORIENTED_EDGE('',*,*,#204723,.T.); #275529=ORIENTED_EDGE('',*,*,#204720,.T.); #275530=ORIENTED_EDGE('',*,*,#204717,.T.); #275531=ORIENTED_EDGE('',*,*,#204714,.T.); #275532=ORIENTED_EDGE('',*,*,#204711,.T.); #275533=ORIENTED_EDGE('',*,*,#204708,.T.); #275534=ORIENTED_EDGE('',*,*,#204705,.T.); #275535=ORIENTED_EDGE('',*,*,#204702,.T.); #275536=ORIENTED_EDGE('',*,*,#204699,.T.); #275537=ORIENTED_EDGE('',*,*,#204696,.T.); #275538=ORIENTED_EDGE('',*,*,#204693,.T.); #275539=ORIENTED_EDGE('',*,*,#204690,.T.); #275540=ORIENTED_EDGE('',*,*,#204687,.T.); #275541=ORIENTED_EDGE('',*,*,#204684,.T.); #275542=ORIENTED_EDGE('',*,*,#204681,.T.); #275543=ORIENTED_EDGE('',*,*,#204678,.T.); #275544=ORIENTED_EDGE('',*,*,#204675,.T.); #275545=ORIENTED_EDGE('',*,*,#204672,.T.); #275546=ORIENTED_EDGE('',*,*,#204669,.T.); #275547=ORIENTED_EDGE('',*,*,#204666,.T.); #275548=ORIENTED_EDGE('',*,*,#204663,.T.); #275549=ORIENTED_EDGE('',*,*,#204660,.T.); #275550=ORIENTED_EDGE('',*,*,#204657,.T.); #275551=ORIENTED_EDGE('',*,*,#204654,.T.); #275552=ORIENTED_EDGE('',*,*,#204651,.T.); #275553=ORIENTED_EDGE('',*,*,#204648,.T.); #275554=ORIENTED_EDGE('',*,*,#204645,.T.); #275555=ORIENTED_EDGE('',*,*,#204642,.T.); #275556=ORIENTED_EDGE('',*,*,#204639,.T.); #275557=ORIENTED_EDGE('',*,*,#204632,.T.); #275558=ORIENTED_EDGE('',*,*,#204635,.T.); #275559=ORIENTED_EDGE('',*,*,#204625,.T.); #275560=ORIENTED_EDGE('',*,*,#204629,.T.); #275561=ORIENTED_EDGE('',*,*,#204803,.F.); #275562=ORIENTED_EDGE('',*,*,#204637,.F.); #275563=ORIENTED_EDGE('',*,*,#204641,.F.); #275564=ORIENTED_EDGE('',*,*,#204644,.F.); #275565=ORIENTED_EDGE('',*,*,#204647,.F.); #275566=ORIENTED_EDGE('',*,*,#204650,.F.); #275567=ORIENTED_EDGE('',*,*,#204653,.F.); #275568=ORIENTED_EDGE('',*,*,#204656,.F.); #275569=ORIENTED_EDGE('',*,*,#204659,.F.); #275570=ORIENTED_EDGE('',*,*,#204662,.F.); #275571=ORIENTED_EDGE('',*,*,#204665,.F.); #275572=ORIENTED_EDGE('',*,*,#204668,.F.); #275573=ORIENTED_EDGE('',*,*,#204671,.F.); #275574=ORIENTED_EDGE('',*,*,#204674,.F.); #275575=ORIENTED_EDGE('',*,*,#204677,.F.); #275576=ORIENTED_EDGE('',*,*,#204680,.F.); #275577=ORIENTED_EDGE('',*,*,#204683,.F.); #275578=ORIENTED_EDGE('',*,*,#204686,.F.); #275579=ORIENTED_EDGE('',*,*,#204689,.F.); #275580=ORIENTED_EDGE('',*,*,#204692,.F.); #275581=ORIENTED_EDGE('',*,*,#204695,.F.); #275582=ORIENTED_EDGE('',*,*,#204698,.F.); #275583=ORIENTED_EDGE('',*,*,#204701,.F.); #275584=ORIENTED_EDGE('',*,*,#204704,.F.); #275585=ORIENTED_EDGE('',*,*,#204707,.F.); #275586=ORIENTED_EDGE('',*,*,#204710,.F.); #275587=ORIENTED_EDGE('',*,*,#204713,.F.); #275588=ORIENTED_EDGE('',*,*,#204716,.F.); #275589=ORIENTED_EDGE('',*,*,#204719,.F.); #275590=ORIENTED_EDGE('',*,*,#204722,.F.); #275591=ORIENTED_EDGE('',*,*,#204725,.F.); #275592=ORIENTED_EDGE('',*,*,#204728,.F.); #275593=ORIENTED_EDGE('',*,*,#204731,.F.); #275594=ORIENTED_EDGE('',*,*,#204734,.F.); #275595=ORIENTED_EDGE('',*,*,#204737,.F.); #275596=ORIENTED_EDGE('',*,*,#204740,.F.); #275597=ORIENTED_EDGE('',*,*,#204743,.F.); #275598=ORIENTED_EDGE('',*,*,#204746,.F.); #275599=ORIENTED_EDGE('',*,*,#204749,.F.); #275600=ORIENTED_EDGE('',*,*,#204752,.F.); #275601=ORIENTED_EDGE('',*,*,#204755,.F.); #275602=ORIENTED_EDGE('',*,*,#204758,.F.); #275603=ORIENTED_EDGE('',*,*,#204761,.F.); #275604=ORIENTED_EDGE('',*,*,#204764,.F.); #275605=ORIENTED_EDGE('',*,*,#204767,.F.); #275606=ORIENTED_EDGE('',*,*,#204770,.F.); #275607=ORIENTED_EDGE('',*,*,#204773,.F.); #275608=ORIENTED_EDGE('',*,*,#204776,.F.); #275609=ORIENTED_EDGE('',*,*,#204779,.F.); #275610=ORIENTED_EDGE('',*,*,#204782,.F.); #275611=ORIENTED_EDGE('',*,*,#204785,.F.); #275612=ORIENTED_EDGE('',*,*,#204788,.F.); #275613=ORIENTED_EDGE('',*,*,#204791,.F.); #275614=ORIENTED_EDGE('',*,*,#204794,.F.); #275615=ORIENTED_EDGE('',*,*,#204797,.F.); #275616=ORIENTED_EDGE('',*,*,#204800,.F.); #275617=ORIENTED_EDGE('',*,*,#204633,.T.); #275618=ORIENTED_EDGE('',*,*,#204630,.T.); #275619=ORIENTED_EDGE('',*,*,#204627,.T.); #275620=ORIENTED_EDGE('',*,*,#204636,.T.); #275621=ORIENTED_EDGE('',*,*,#204805,.F.); #275622=ORIENTED_EDGE('',*,*,#204806,.T.); #275623=ORIENTED_EDGE('',*,*,#204807,.F.); #275624=ORIENTED_EDGE('',*,*,#204806,.F.); #275625=ORIENTED_EDGE('',*,*,#204808,.T.); #275626=ORIENTED_EDGE('',*,*,#204809,.T.); #275627=ORIENTED_EDGE('',*,*,#204810,.F.); #275628=ORIENTED_EDGE('',*,*,#204811,.F.); #275629=ORIENTED_EDGE('',*,*,#204812,.T.); #275630=ORIENTED_EDGE('',*,*,#204811,.T.); #275631=ORIENTED_EDGE('',*,*,#204813,.F.); #275632=ORIENTED_EDGE('',*,*,#204814,.F.); #275633=ORIENTED_EDGE('',*,*,#204815,.T.); #275634=ORIENTED_EDGE('',*,*,#204814,.T.); #275635=ORIENTED_EDGE('',*,*,#204816,.F.); #275636=ORIENTED_EDGE('',*,*,#204809,.F.); #275637=ORIENTED_EDGE('',*,*,#204816,.T.); #275638=ORIENTED_EDGE('',*,*,#204813,.T.); #275639=ORIENTED_EDGE('',*,*,#204810,.T.); #275640=ORIENTED_EDGE('',*,*,#204807,.T.); #275641=ORIENTED_EDGE('',*,*,#204815,.F.); #275642=ORIENTED_EDGE('',*,*,#204808,.F.); #275643=ORIENTED_EDGE('',*,*,#204812,.F.); #275644=ORIENTED_EDGE('',*,*,#204805,.T.); #275645=ORIENTED_EDGE('',*,*,#204817,.F.); #275646=ORIENTED_EDGE('',*,*,#204818,.T.); #275647=ORIENTED_EDGE('',*,*,#204819,.F.); #275648=ORIENTED_EDGE('',*,*,#204818,.F.); #275649=ORIENTED_EDGE('',*,*,#204820,.T.); #275650=ORIENTED_EDGE('',*,*,#204821,.T.); #275651=ORIENTED_EDGE('',*,*,#204822,.F.); #275652=ORIENTED_EDGE('',*,*,#204823,.F.); #275653=ORIENTED_EDGE('',*,*,#204824,.T.); #275654=ORIENTED_EDGE('',*,*,#204823,.T.); #275655=ORIENTED_EDGE('',*,*,#204825,.F.); #275656=ORIENTED_EDGE('',*,*,#204826,.F.); #275657=ORIENTED_EDGE('',*,*,#204827,.T.); #275658=ORIENTED_EDGE('',*,*,#204826,.T.); #275659=ORIENTED_EDGE('',*,*,#204828,.F.); #275660=ORIENTED_EDGE('',*,*,#204821,.F.); #275661=ORIENTED_EDGE('',*,*,#204828,.T.); #275662=ORIENTED_EDGE('',*,*,#204825,.T.); #275663=ORIENTED_EDGE('',*,*,#204822,.T.); #275664=ORIENTED_EDGE('',*,*,#204819,.T.); #275665=ORIENTED_EDGE('',*,*,#204827,.F.); #275666=ORIENTED_EDGE('',*,*,#204820,.F.); #275667=ORIENTED_EDGE('',*,*,#204824,.F.); #275668=ORIENTED_EDGE('',*,*,#204817,.T.); #275669=ORIENTED_EDGE('',*,*,#204829,.F.); #275670=ORIENTED_EDGE('',*,*,#204830,.T.); #275671=ORIENTED_EDGE('',*,*,#204831,.F.); #275672=ORIENTED_EDGE('',*,*,#204830,.F.); #275673=ORIENTED_EDGE('',*,*,#204832,.T.); #275674=ORIENTED_EDGE('',*,*,#204833,.T.); #275675=ORIENTED_EDGE('',*,*,#204834,.F.); #275676=ORIENTED_EDGE('',*,*,#204835,.F.); #275677=ORIENTED_EDGE('',*,*,#204836,.T.); #275678=ORIENTED_EDGE('',*,*,#204835,.T.); #275679=ORIENTED_EDGE('',*,*,#204837,.F.); #275680=ORIENTED_EDGE('',*,*,#204838,.F.); #275681=ORIENTED_EDGE('',*,*,#204839,.T.); #275682=ORIENTED_EDGE('',*,*,#204838,.T.); #275683=ORIENTED_EDGE('',*,*,#204840,.F.); #275684=ORIENTED_EDGE('',*,*,#204833,.F.); #275685=ORIENTED_EDGE('',*,*,#204840,.T.); #275686=ORIENTED_EDGE('',*,*,#204837,.T.); #275687=ORIENTED_EDGE('',*,*,#204834,.T.); #275688=ORIENTED_EDGE('',*,*,#204831,.T.); #275689=ORIENTED_EDGE('',*,*,#204839,.F.); #275690=ORIENTED_EDGE('',*,*,#204832,.F.); #275691=ORIENTED_EDGE('',*,*,#204836,.F.); #275692=ORIENTED_EDGE('',*,*,#204829,.T.); #275693=ORIENTED_EDGE('',*,*,#204841,.F.); #275694=ORIENTED_EDGE('',*,*,#204842,.T.); #275695=ORIENTED_EDGE('',*,*,#204843,.F.); #275696=ORIENTED_EDGE('',*,*,#204842,.F.); #275697=ORIENTED_EDGE('',*,*,#204844,.T.); #275698=ORIENTED_EDGE('',*,*,#204845,.T.); #275699=ORIENTED_EDGE('',*,*,#204846,.F.); #275700=ORIENTED_EDGE('',*,*,#204847,.F.); #275701=ORIENTED_EDGE('',*,*,#204848,.T.); #275702=ORIENTED_EDGE('',*,*,#204847,.T.); #275703=ORIENTED_EDGE('',*,*,#204849,.F.); #275704=ORIENTED_EDGE('',*,*,#204850,.F.); #275705=ORIENTED_EDGE('',*,*,#204851,.T.); #275706=ORIENTED_EDGE('',*,*,#204850,.T.); #275707=ORIENTED_EDGE('',*,*,#204852,.F.); #275708=ORIENTED_EDGE('',*,*,#204853,.F.); #275709=ORIENTED_EDGE('',*,*,#204854,.T.); #275710=ORIENTED_EDGE('',*,*,#204853,.T.); #275711=ORIENTED_EDGE('',*,*,#204855,.F.); #275712=ORIENTED_EDGE('',*,*,#204845,.F.); #275713=ORIENTED_EDGE('',*,*,#204855,.T.); #275714=ORIENTED_EDGE('',*,*,#204852,.T.); #275715=ORIENTED_EDGE('',*,*,#204849,.T.); #275716=ORIENTED_EDGE('',*,*,#204846,.T.); #275717=ORIENTED_EDGE('',*,*,#204843,.T.); #275718=ORIENTED_EDGE('',*,*,#204854,.F.); #275719=ORIENTED_EDGE('',*,*,#204844,.F.); #275720=ORIENTED_EDGE('',*,*,#204848,.F.); #275721=ORIENTED_EDGE('',*,*,#204851,.F.); #275722=ORIENTED_EDGE('',*,*,#204841,.T.); #275723=ORIENTED_EDGE('',*,*,#204856,.F.); #275724=ORIENTED_EDGE('',*,*,#204857,.T.); #275725=ORIENTED_EDGE('',*,*,#204858,.F.); #275726=ORIENTED_EDGE('',*,*,#204857,.F.); #275727=ORIENTED_EDGE('',*,*,#204859,.T.); #275728=ORIENTED_EDGE('',*,*,#204860,.T.); #275729=ORIENTED_EDGE('',*,*,#204861,.F.); #275730=ORIENTED_EDGE('',*,*,#204862,.F.); #275731=ORIENTED_EDGE('',*,*,#204863,.T.); #275732=ORIENTED_EDGE('',*,*,#204862,.T.); #275733=ORIENTED_EDGE('',*,*,#204864,.F.); #275734=ORIENTED_EDGE('',*,*,#204865,.F.); #275735=ORIENTED_EDGE('',*,*,#204866,.T.); #275736=ORIENTED_EDGE('',*,*,#204865,.T.); #275737=ORIENTED_EDGE('',*,*,#204867,.F.); #275738=ORIENTED_EDGE('',*,*,#204860,.F.); #275739=ORIENTED_EDGE('',*,*,#204867,.T.); #275740=ORIENTED_EDGE('',*,*,#204864,.T.); #275741=ORIENTED_EDGE('',*,*,#204861,.T.); #275742=ORIENTED_EDGE('',*,*,#204858,.T.); #275743=ORIENTED_EDGE('',*,*,#204866,.F.); #275744=ORIENTED_EDGE('',*,*,#204859,.F.); #275745=ORIENTED_EDGE('',*,*,#204863,.F.); #275746=ORIENTED_EDGE('',*,*,#204856,.T.); #275747=ORIENTED_EDGE('',*,*,#204868,.T.); #275748=ORIENTED_EDGE('',*,*,#204869,.T.); #275749=ORIENTED_EDGE('',*,*,#204870,.F.); #275750=ORIENTED_EDGE('',*,*,#204871,.F.); #275751=ORIENTED_EDGE('',*,*,#204872,.T.); #275752=ORIENTED_EDGE('',*,*,#204871,.T.); #275753=ORIENTED_EDGE('',*,*,#204873,.F.); #275754=ORIENTED_EDGE('',*,*,#204874,.F.); #275755=ORIENTED_EDGE('',*,*,#204875,.T.); #275756=ORIENTED_EDGE('',*,*,#204874,.T.); #275757=ORIENTED_EDGE('',*,*,#204876,.F.); #275758=ORIENTED_EDGE('',*,*,#204877,.F.); #275759=ORIENTED_EDGE('',*,*,#204878,.T.); #275760=ORIENTED_EDGE('',*,*,#204877,.T.); #275761=ORIENTED_EDGE('',*,*,#204879,.F.); #275762=ORIENTED_EDGE('',*,*,#204869,.F.); #275763=ORIENTED_EDGE('',*,*,#204879,.T.); #275764=ORIENTED_EDGE('',*,*,#204876,.T.); #275765=ORIENTED_EDGE('',*,*,#204873,.T.); #275766=ORIENTED_EDGE('',*,*,#204870,.T.); #275767=ORIENTED_EDGE('',*,*,#204878,.F.); #275768=ORIENTED_EDGE('',*,*,#204868,.F.); #275769=ORIENTED_EDGE('',*,*,#204872,.F.); #275770=ORIENTED_EDGE('',*,*,#204875,.F.); #275771=ORIENTED_EDGE('',*,*,#204880,.T.); #275772=ORIENTED_EDGE('',*,*,#204881,.T.); #275773=ORIENTED_EDGE('',*,*,#204882,.F.); #275774=ORIENTED_EDGE('',*,*,#204883,.F.); #275775=ORIENTED_EDGE('',*,*,#204884,.T.); #275776=ORIENTED_EDGE('',*,*,#204883,.T.); #275777=ORIENTED_EDGE('',*,*,#204885,.F.); #275778=ORIENTED_EDGE('',*,*,#204886,.F.); #275779=ORIENTED_EDGE('',*,*,#204887,.T.); #275780=ORIENTED_EDGE('',*,*,#204886,.T.); #275781=ORIENTED_EDGE('',*,*,#204888,.F.); #275782=ORIENTED_EDGE('',*,*,#204889,.F.); #275783=ORIENTED_EDGE('',*,*,#204890,.T.); #275784=ORIENTED_EDGE('',*,*,#204889,.T.); #275785=ORIENTED_EDGE('',*,*,#204891,.F.); #275786=ORIENTED_EDGE('',*,*,#204881,.F.); #275787=ORIENTED_EDGE('',*,*,#204891,.T.); #275788=ORIENTED_EDGE('',*,*,#204888,.T.); #275789=ORIENTED_EDGE('',*,*,#204885,.T.); #275790=ORIENTED_EDGE('',*,*,#204882,.T.); #275791=ORIENTED_EDGE('',*,*,#204890,.F.); #275792=ORIENTED_EDGE('',*,*,#204880,.F.); #275793=ORIENTED_EDGE('',*,*,#204884,.F.); #275794=ORIENTED_EDGE('',*,*,#204887,.F.); #275795=ORIENTED_EDGE('',*,*,#204892,.T.); #275796=ORIENTED_EDGE('',*,*,#204893,.T.); #275797=ORIENTED_EDGE('',*,*,#204894,.F.); #275798=ORIENTED_EDGE('',*,*,#204895,.F.); #275799=ORIENTED_EDGE('',*,*,#204896,.T.); #275800=ORIENTED_EDGE('',*,*,#204895,.T.); #275801=ORIENTED_EDGE('',*,*,#204897,.F.); #275802=ORIENTED_EDGE('',*,*,#204898,.F.); #275803=ORIENTED_EDGE('',*,*,#204899,.T.); #275804=ORIENTED_EDGE('',*,*,#204898,.T.); #275805=ORIENTED_EDGE('',*,*,#204900,.F.); #275806=ORIENTED_EDGE('',*,*,#204901,.F.); #275807=ORIENTED_EDGE('',*,*,#204902,.T.); #275808=ORIENTED_EDGE('',*,*,#204901,.T.); #275809=ORIENTED_EDGE('',*,*,#204903,.F.); #275810=ORIENTED_EDGE('',*,*,#204893,.F.); #275811=ORIENTED_EDGE('',*,*,#204903,.T.); #275812=ORIENTED_EDGE('',*,*,#204900,.T.); #275813=ORIENTED_EDGE('',*,*,#204897,.T.); #275814=ORIENTED_EDGE('',*,*,#204894,.T.); #275815=ORIENTED_EDGE('',*,*,#204902,.F.); #275816=ORIENTED_EDGE('',*,*,#204892,.F.); #275817=ORIENTED_EDGE('',*,*,#204896,.F.); #275818=ORIENTED_EDGE('',*,*,#204899,.F.); #275819=ORIENTED_EDGE('',*,*,#204904,.T.); #275820=ORIENTED_EDGE('',*,*,#204905,.T.); #275821=ORIENTED_EDGE('',*,*,#204906,.F.); #275822=ORIENTED_EDGE('',*,*,#204907,.F.); #275823=ORIENTED_EDGE('',*,*,#204908,.T.); #275824=ORIENTED_EDGE('',*,*,#204907,.T.); #275825=ORIENTED_EDGE('',*,*,#204909,.F.); #275826=ORIENTED_EDGE('',*,*,#204910,.F.); #275827=ORIENTED_EDGE('',*,*,#204911,.T.); #275828=ORIENTED_EDGE('',*,*,#204910,.T.); #275829=ORIENTED_EDGE('',*,*,#204912,.F.); #275830=ORIENTED_EDGE('',*,*,#204913,.F.); #275831=ORIENTED_EDGE('',*,*,#204914,.T.); #275832=ORIENTED_EDGE('',*,*,#204913,.T.); #275833=ORIENTED_EDGE('',*,*,#204915,.F.); #275834=ORIENTED_EDGE('',*,*,#204905,.F.); #275835=ORIENTED_EDGE('',*,*,#204915,.T.); #275836=ORIENTED_EDGE('',*,*,#204912,.T.); #275837=ORIENTED_EDGE('',*,*,#204909,.T.); #275838=ORIENTED_EDGE('',*,*,#204906,.T.); #275839=ORIENTED_EDGE('',*,*,#204914,.F.); #275840=ORIENTED_EDGE('',*,*,#204904,.F.); #275841=ORIENTED_EDGE('',*,*,#204908,.F.); #275842=ORIENTED_EDGE('',*,*,#204911,.F.); #275843=ORIENTED_EDGE('',*,*,#204916,.T.); #275844=ORIENTED_EDGE('',*,*,#204917,.T.); #275845=ORIENTED_EDGE('',*,*,#204918,.F.); #275846=ORIENTED_EDGE('',*,*,#204919,.F.); #275847=ORIENTED_EDGE('',*,*,#204920,.T.); #275848=ORIENTED_EDGE('',*,*,#204919,.T.); #275849=ORIENTED_EDGE('',*,*,#204921,.F.); #275850=ORIENTED_EDGE('',*,*,#204922,.F.); #275851=ORIENTED_EDGE('',*,*,#204923,.T.); #275852=ORIENTED_EDGE('',*,*,#204922,.T.); #275853=ORIENTED_EDGE('',*,*,#204924,.F.); #275854=ORIENTED_EDGE('',*,*,#204925,.F.); #275855=ORIENTED_EDGE('',*,*,#204926,.T.); #275856=ORIENTED_EDGE('',*,*,#204925,.T.); #275857=ORIENTED_EDGE('',*,*,#204927,.F.); #275858=ORIENTED_EDGE('',*,*,#204917,.F.); #275859=ORIENTED_EDGE('',*,*,#204927,.T.); #275860=ORIENTED_EDGE('',*,*,#204924,.T.); #275861=ORIENTED_EDGE('',*,*,#204921,.T.); #275862=ORIENTED_EDGE('',*,*,#204918,.T.); #275863=ORIENTED_EDGE('',*,*,#204926,.F.); #275864=ORIENTED_EDGE('',*,*,#204916,.F.); #275865=ORIENTED_EDGE('',*,*,#204920,.F.); #275866=ORIENTED_EDGE('',*,*,#204923,.F.); #275867=ORIENTED_EDGE('',*,*,#204928,.T.); #275868=ORIENTED_EDGE('',*,*,#204929,.T.); #275869=ORIENTED_EDGE('',*,*,#204930,.F.); #275870=ORIENTED_EDGE('',*,*,#204931,.F.); #275871=ORIENTED_EDGE('',*,*,#204932,.T.); #275872=ORIENTED_EDGE('',*,*,#204931,.T.); #275873=ORIENTED_EDGE('',*,*,#204933,.F.); #275874=ORIENTED_EDGE('',*,*,#204934,.F.); #275875=ORIENTED_EDGE('',*,*,#204935,.T.); #275876=ORIENTED_EDGE('',*,*,#204934,.T.); #275877=ORIENTED_EDGE('',*,*,#204936,.F.); #275878=ORIENTED_EDGE('',*,*,#204937,.F.); #275879=ORIENTED_EDGE('',*,*,#204938,.T.); #275880=ORIENTED_EDGE('',*,*,#204937,.T.); #275881=ORIENTED_EDGE('',*,*,#204939,.F.); #275882=ORIENTED_EDGE('',*,*,#204929,.F.); #275883=ORIENTED_EDGE('',*,*,#204939,.T.); #275884=ORIENTED_EDGE('',*,*,#204936,.T.); #275885=ORIENTED_EDGE('',*,*,#204933,.T.); #275886=ORIENTED_EDGE('',*,*,#204930,.T.); #275887=ORIENTED_EDGE('',*,*,#204938,.F.); #275888=ORIENTED_EDGE('',*,*,#204928,.F.); #275889=ORIENTED_EDGE('',*,*,#204932,.F.); #275890=ORIENTED_EDGE('',*,*,#204935,.F.); #275891=ORIENTED_EDGE('',*,*,#204940,.T.); #275892=ORIENTED_EDGE('',*,*,#204941,.T.); #275893=ORIENTED_EDGE('',*,*,#204942,.F.); #275894=ORIENTED_EDGE('',*,*,#204943,.F.); #275895=ORIENTED_EDGE('',*,*,#204944,.T.); #275896=ORIENTED_EDGE('',*,*,#204943,.T.); #275897=ORIENTED_EDGE('',*,*,#204945,.F.); #275898=ORIENTED_EDGE('',*,*,#204946,.F.); #275899=ORIENTED_EDGE('',*,*,#204947,.T.); #275900=ORIENTED_EDGE('',*,*,#204946,.T.); #275901=ORIENTED_EDGE('',*,*,#204948,.F.); #275902=ORIENTED_EDGE('',*,*,#204949,.F.); #275903=ORIENTED_EDGE('',*,*,#204950,.T.); #275904=ORIENTED_EDGE('',*,*,#204949,.T.); #275905=ORIENTED_EDGE('',*,*,#204951,.F.); #275906=ORIENTED_EDGE('',*,*,#204941,.F.); #275907=ORIENTED_EDGE('',*,*,#204951,.T.); #275908=ORIENTED_EDGE('',*,*,#204948,.T.); #275909=ORIENTED_EDGE('',*,*,#204945,.T.); #275910=ORIENTED_EDGE('',*,*,#204942,.T.); #275911=ORIENTED_EDGE('',*,*,#204950,.F.); #275912=ORIENTED_EDGE('',*,*,#204940,.F.); #275913=ORIENTED_EDGE('',*,*,#204944,.F.); #275914=ORIENTED_EDGE('',*,*,#204947,.F.); #275915=ORIENTED_EDGE('',*,*,#204952,.T.); #275916=ORIENTED_EDGE('',*,*,#204953,.T.); #275917=ORIENTED_EDGE('',*,*,#204954,.F.); #275918=ORIENTED_EDGE('',*,*,#204955,.F.); #275919=ORIENTED_EDGE('',*,*,#204956,.T.); #275920=ORIENTED_EDGE('',*,*,#204955,.T.); #275921=ORIENTED_EDGE('',*,*,#204957,.F.); #275922=ORIENTED_EDGE('',*,*,#204958,.F.); #275923=ORIENTED_EDGE('',*,*,#204959,.T.); #275924=ORIENTED_EDGE('',*,*,#204958,.T.); #275925=ORIENTED_EDGE('',*,*,#204960,.F.); #275926=ORIENTED_EDGE('',*,*,#204961,.F.); #275927=ORIENTED_EDGE('',*,*,#204962,.T.); #275928=ORIENTED_EDGE('',*,*,#204961,.T.); #275929=ORIENTED_EDGE('',*,*,#204963,.F.); #275930=ORIENTED_EDGE('',*,*,#204953,.F.); #275931=ORIENTED_EDGE('',*,*,#204963,.T.); #275932=ORIENTED_EDGE('',*,*,#204960,.T.); #275933=ORIENTED_EDGE('',*,*,#204957,.T.); #275934=ORIENTED_EDGE('',*,*,#204954,.T.); #275935=ORIENTED_EDGE('',*,*,#204962,.F.); #275936=ORIENTED_EDGE('',*,*,#204952,.F.); #275937=ORIENTED_EDGE('',*,*,#204956,.F.); #275938=ORIENTED_EDGE('',*,*,#204959,.F.); #275939=ORIENTED_EDGE('',*,*,#204964,.T.); #275940=ORIENTED_EDGE('',*,*,#204965,.T.); #275941=ORIENTED_EDGE('',*,*,#204966,.F.); #275942=ORIENTED_EDGE('',*,*,#204967,.F.); #275943=ORIENTED_EDGE('',*,*,#204968,.T.); #275944=ORIENTED_EDGE('',*,*,#204967,.T.); #275945=ORIENTED_EDGE('',*,*,#204969,.F.); #275946=ORIENTED_EDGE('',*,*,#204970,.F.); #275947=ORIENTED_EDGE('',*,*,#204971,.T.); #275948=ORIENTED_EDGE('',*,*,#204970,.T.); #275949=ORIENTED_EDGE('',*,*,#204972,.F.); #275950=ORIENTED_EDGE('',*,*,#204973,.F.); #275951=ORIENTED_EDGE('',*,*,#204974,.T.); #275952=ORIENTED_EDGE('',*,*,#204973,.T.); #275953=ORIENTED_EDGE('',*,*,#204975,.F.); #275954=ORIENTED_EDGE('',*,*,#204965,.F.); #275955=ORIENTED_EDGE('',*,*,#204975,.T.); #275956=ORIENTED_EDGE('',*,*,#204972,.T.); #275957=ORIENTED_EDGE('',*,*,#204969,.T.); #275958=ORIENTED_EDGE('',*,*,#204966,.T.); #275959=ORIENTED_EDGE('',*,*,#204974,.F.); #275960=ORIENTED_EDGE('',*,*,#204964,.F.); #275961=ORIENTED_EDGE('',*,*,#204968,.F.); #275962=ORIENTED_EDGE('',*,*,#204971,.F.); #275963=ORIENTED_EDGE('',*,*,#204976,.T.); #275964=ORIENTED_EDGE('',*,*,#204977,.T.); #275965=ORIENTED_EDGE('',*,*,#204978,.F.); #275966=ORIENTED_EDGE('',*,*,#204979,.F.); #275967=ORIENTED_EDGE('',*,*,#204980,.T.); #275968=ORIENTED_EDGE('',*,*,#204979,.T.); #275969=ORIENTED_EDGE('',*,*,#204981,.F.); #275970=ORIENTED_EDGE('',*,*,#204982,.F.); #275971=ORIENTED_EDGE('',*,*,#204983,.T.); #275972=ORIENTED_EDGE('',*,*,#204982,.T.); #275973=ORIENTED_EDGE('',*,*,#204984,.F.); #275974=ORIENTED_EDGE('',*,*,#204985,.F.); #275975=ORIENTED_EDGE('',*,*,#204986,.T.); #275976=ORIENTED_EDGE('',*,*,#204985,.T.); #275977=ORIENTED_EDGE('',*,*,#204987,.F.); #275978=ORIENTED_EDGE('',*,*,#204977,.F.); #275979=ORIENTED_EDGE('',*,*,#204987,.T.); #275980=ORIENTED_EDGE('',*,*,#204984,.T.); #275981=ORIENTED_EDGE('',*,*,#204981,.T.); #275982=ORIENTED_EDGE('',*,*,#204978,.T.); #275983=ORIENTED_EDGE('',*,*,#204986,.F.); #275984=ORIENTED_EDGE('',*,*,#204976,.F.); #275985=ORIENTED_EDGE('',*,*,#204980,.F.); #275986=ORIENTED_EDGE('',*,*,#204983,.F.); #275987=ORIENTED_EDGE('',*,*,#204988,.T.); #275988=ORIENTED_EDGE('',*,*,#204989,.T.); #275989=ORIENTED_EDGE('',*,*,#204990,.F.); #275990=ORIENTED_EDGE('',*,*,#204991,.F.); #275991=ORIENTED_EDGE('',*,*,#204992,.T.); #275992=ORIENTED_EDGE('',*,*,#204991,.T.); #275993=ORIENTED_EDGE('',*,*,#204993,.F.); #275994=ORIENTED_EDGE('',*,*,#204994,.F.); #275995=ORIENTED_EDGE('',*,*,#204995,.T.); #275996=ORIENTED_EDGE('',*,*,#204994,.T.); #275997=ORIENTED_EDGE('',*,*,#204996,.F.); #275998=ORIENTED_EDGE('',*,*,#204997,.F.); #275999=ORIENTED_EDGE('',*,*,#204998,.T.); #276000=ORIENTED_EDGE('',*,*,#204997,.T.); #276001=ORIENTED_EDGE('',*,*,#204999,.F.); #276002=ORIENTED_EDGE('',*,*,#204989,.F.); #276003=ORIENTED_EDGE('',*,*,#204999,.T.); #276004=ORIENTED_EDGE('',*,*,#204996,.T.); #276005=ORIENTED_EDGE('',*,*,#204993,.T.); #276006=ORIENTED_EDGE('',*,*,#204990,.T.); #276007=ORIENTED_EDGE('',*,*,#204998,.F.); #276008=ORIENTED_EDGE('',*,*,#204988,.F.); #276009=ORIENTED_EDGE('',*,*,#204992,.F.); #276010=ORIENTED_EDGE('',*,*,#204995,.F.); #276011=ORIENTED_EDGE('',*,*,#205000,.T.); #276012=ORIENTED_EDGE('',*,*,#205001,.T.); #276013=ORIENTED_EDGE('',*,*,#205002,.F.); #276014=ORIENTED_EDGE('',*,*,#205003,.F.); #276015=ORIENTED_EDGE('',*,*,#205004,.T.); #276016=ORIENTED_EDGE('',*,*,#205003,.T.); #276017=ORIENTED_EDGE('',*,*,#205005,.F.); #276018=ORIENTED_EDGE('',*,*,#205006,.F.); #276019=ORIENTED_EDGE('',*,*,#205007,.T.); #276020=ORIENTED_EDGE('',*,*,#205006,.T.); #276021=ORIENTED_EDGE('',*,*,#205008,.F.); #276022=ORIENTED_EDGE('',*,*,#205009,.F.); #276023=ORIENTED_EDGE('',*,*,#205010,.T.); #276024=ORIENTED_EDGE('',*,*,#205009,.T.); #276025=ORIENTED_EDGE('',*,*,#205011,.F.); #276026=ORIENTED_EDGE('',*,*,#205001,.F.); #276027=ORIENTED_EDGE('',*,*,#205011,.T.); #276028=ORIENTED_EDGE('',*,*,#205008,.T.); #276029=ORIENTED_EDGE('',*,*,#205005,.T.); #276030=ORIENTED_EDGE('',*,*,#205002,.T.); #276031=ORIENTED_EDGE('',*,*,#205010,.F.); #276032=ORIENTED_EDGE('',*,*,#205000,.F.); #276033=ORIENTED_EDGE('',*,*,#205004,.F.); #276034=ORIENTED_EDGE('',*,*,#205007,.F.); #276035=ORIENTED_EDGE('',*,*,#205012,.T.); #276036=ORIENTED_EDGE('',*,*,#205013,.T.); #276037=ORIENTED_EDGE('',*,*,#205014,.F.); #276038=ORIENTED_EDGE('',*,*,#205015,.F.); #276039=ORIENTED_EDGE('',*,*,#205016,.T.); #276040=ORIENTED_EDGE('',*,*,#205015,.T.); #276041=ORIENTED_EDGE('',*,*,#205017,.F.); #276042=ORIENTED_EDGE('',*,*,#205018,.F.); #276043=ORIENTED_EDGE('',*,*,#205019,.T.); #276044=ORIENTED_EDGE('',*,*,#205018,.T.); #276045=ORIENTED_EDGE('',*,*,#205020,.F.); #276046=ORIENTED_EDGE('',*,*,#205021,.F.); #276047=ORIENTED_EDGE('',*,*,#205022,.T.); #276048=ORIENTED_EDGE('',*,*,#205021,.T.); #276049=ORIENTED_EDGE('',*,*,#205023,.F.); #276050=ORIENTED_EDGE('',*,*,#205013,.F.); #276051=ORIENTED_EDGE('',*,*,#205023,.T.); #276052=ORIENTED_EDGE('',*,*,#205020,.T.); #276053=ORIENTED_EDGE('',*,*,#205017,.T.); #276054=ORIENTED_EDGE('',*,*,#205014,.T.); #276055=ORIENTED_EDGE('',*,*,#205022,.F.); #276056=ORIENTED_EDGE('',*,*,#205012,.F.); #276057=ORIENTED_EDGE('',*,*,#205016,.F.); #276058=ORIENTED_EDGE('',*,*,#205019,.F.); #276059=ORIENTED_EDGE('',*,*,#205024,.F.); #276060=ORIENTED_EDGE('',*,*,#205025,.T.); #276061=ORIENTED_EDGE('',*,*,#205026,.F.); #276062=ORIENTED_EDGE('',*,*,#205025,.F.); #276063=ORIENTED_EDGE('',*,*,#205027,.F.); #276064=ORIENTED_EDGE('',*,*,#205028,.T.); #276065=ORIENTED_EDGE('',*,*,#205029,.F.); #276066=ORIENTED_EDGE('',*,*,#205028,.F.); #276067=ORIENTED_EDGE('',*,*,#205030,.F.); #276068=ORIENTED_EDGE('',*,*,#205031,.T.); #276069=ORIENTED_EDGE('',*,*,#205032,.F.); #276070=ORIENTED_EDGE('',*,*,#205031,.F.); #276071=ORIENTED_EDGE('',*,*,#205033,.F.); #276072=ORIENTED_EDGE('',*,*,#205034,.T.); #276073=ORIENTED_EDGE('',*,*,#205035,.F.); #276074=ORIENTED_EDGE('',*,*,#205034,.F.); #276075=ORIENTED_EDGE('',*,*,#205036,.F.); #276076=ORIENTED_EDGE('',*,*,#205037,.T.); #276077=ORIENTED_EDGE('',*,*,#205038,.F.); #276078=ORIENTED_EDGE('',*,*,#205037,.F.); #276079=ORIENTED_EDGE('',*,*,#205039,.F.); #276080=ORIENTED_EDGE('',*,*,#205040,.T.); #276081=ORIENTED_EDGE('',*,*,#205041,.F.); #276082=ORIENTED_EDGE('',*,*,#205040,.F.); #276083=ORIENTED_EDGE('',*,*,#205042,.T.); #276084=ORIENTED_EDGE('',*,*,#205043,.T.); #276085=ORIENTED_EDGE('',*,*,#205044,.F.); #276086=ORIENTED_EDGE('',*,*,#205045,.F.); #276087=ORIENTED_EDGE('',*,*,#205046,.T.); #276088=ORIENTED_EDGE('',*,*,#205045,.T.); #276089=ORIENTED_EDGE('',*,*,#205047,.F.); #276090=ORIENTED_EDGE('',*,*,#205048,.F.); #276091=ORIENTED_EDGE('',*,*,#205049,.T.); #276092=ORIENTED_EDGE('',*,*,#205048,.T.); #276093=ORIENTED_EDGE('',*,*,#205050,.F.); #276094=ORIENTED_EDGE('',*,*,#205051,.F.); #276095=ORIENTED_EDGE('',*,*,#205052,.T.); #276096=ORIENTED_EDGE('',*,*,#205051,.T.); #276097=ORIENTED_EDGE('',*,*,#205053,.F.); #276098=ORIENTED_EDGE('',*,*,#205043,.F.); #276099=ORIENTED_EDGE('',*,*,#205053,.T.); #276100=ORIENTED_EDGE('',*,*,#205050,.T.); #276101=ORIENTED_EDGE('',*,*,#205047,.T.); #276102=ORIENTED_EDGE('',*,*,#205044,.T.); #276103=ORIENTED_EDGE('',*,*,#205026,.T.); #276104=ORIENTED_EDGE('',*,*,#205029,.T.); #276105=ORIENTED_EDGE('',*,*,#205032,.T.); #276106=ORIENTED_EDGE('',*,*,#205035,.T.); #276107=ORIENTED_EDGE('',*,*,#205038,.T.); #276108=ORIENTED_EDGE('',*,*,#205041,.T.); #276109=ORIENTED_EDGE('',*,*,#205052,.F.); #276110=ORIENTED_EDGE('',*,*,#205042,.F.); #276111=ORIENTED_EDGE('',*,*,#205046,.F.); #276112=ORIENTED_EDGE('',*,*,#205049,.F.); #276113=ORIENTED_EDGE('',*,*,#205024,.T.); #276114=ORIENTED_EDGE('',*,*,#205027,.T.); #276115=ORIENTED_EDGE('',*,*,#205030,.T.); #276116=ORIENTED_EDGE('',*,*,#205033,.T.); #276117=ORIENTED_EDGE('',*,*,#205036,.T.); #276118=ORIENTED_EDGE('',*,*,#205039,.T.); #276119=ORIENTED_EDGE('',*,*,#205054,.T.); #276120=ORIENTED_EDGE('',*,*,#205055,.T.); #276121=ORIENTED_EDGE('',*,*,#205056,.F.); #276122=ORIENTED_EDGE('',*,*,#205057,.F.); #276123=ORIENTED_EDGE('',*,*,#205058,.T.); #276124=ORIENTED_EDGE('',*,*,#205057,.T.); #276125=ORIENTED_EDGE('',*,*,#205059,.F.); #276126=ORIENTED_EDGE('',*,*,#205060,.F.); #276127=ORIENTED_EDGE('',*,*,#205061,.T.); #276128=ORIENTED_EDGE('',*,*,#205060,.T.); #276129=ORIENTED_EDGE('',*,*,#205062,.F.); #276130=ORIENTED_EDGE('',*,*,#205063,.F.); #276131=ORIENTED_EDGE('',*,*,#205064,.T.); #276132=ORIENTED_EDGE('',*,*,#205063,.T.); #276133=ORIENTED_EDGE('',*,*,#205065,.F.); #276134=ORIENTED_EDGE('',*,*,#205055,.F.); #276135=ORIENTED_EDGE('',*,*,#205065,.T.); #276136=ORIENTED_EDGE('',*,*,#205062,.T.); #276137=ORIENTED_EDGE('',*,*,#205059,.T.); #276138=ORIENTED_EDGE('',*,*,#205056,.T.); #276139=ORIENTED_EDGE('',*,*,#205064,.F.); #276140=ORIENTED_EDGE('',*,*,#205054,.F.); #276141=ORIENTED_EDGE('',*,*,#205058,.F.); #276142=ORIENTED_EDGE('',*,*,#205061,.F.); #276143=ORIENTED_EDGE('',*,*,#205066,.T.); #276144=ORIENTED_EDGE('',*,*,#205067,.T.); #276145=ORIENTED_EDGE('',*,*,#205068,.F.); #276146=ORIENTED_EDGE('',*,*,#205069,.F.); #276147=ORIENTED_EDGE('',*,*,#205070,.T.); #276148=ORIENTED_EDGE('',*,*,#205069,.T.); #276149=ORIENTED_EDGE('',*,*,#205071,.F.); #276150=ORIENTED_EDGE('',*,*,#205072,.F.); #276151=ORIENTED_EDGE('',*,*,#205073,.T.); #276152=ORIENTED_EDGE('',*,*,#205072,.T.); #276153=ORIENTED_EDGE('',*,*,#205074,.F.); #276154=ORIENTED_EDGE('',*,*,#205075,.F.); #276155=ORIENTED_EDGE('',*,*,#205076,.T.); #276156=ORIENTED_EDGE('',*,*,#205075,.T.); #276157=ORIENTED_EDGE('',*,*,#205077,.F.); #276158=ORIENTED_EDGE('',*,*,#205067,.F.); #276159=ORIENTED_EDGE('',*,*,#205077,.T.); #276160=ORIENTED_EDGE('',*,*,#205074,.T.); #276161=ORIENTED_EDGE('',*,*,#205071,.T.); #276162=ORIENTED_EDGE('',*,*,#205068,.T.); #276163=ORIENTED_EDGE('',*,*,#205076,.F.); #276164=ORIENTED_EDGE('',*,*,#205066,.F.); #276165=ORIENTED_EDGE('',*,*,#205070,.F.); #276166=ORIENTED_EDGE('',*,*,#205073,.F.); #276167=ORIENTED_EDGE('',*,*,#205078,.T.); #276168=ORIENTED_EDGE('',*,*,#205079,.T.); #276169=ORIENTED_EDGE('',*,*,#205080,.F.); #276170=ORIENTED_EDGE('',*,*,#205081,.F.); #276171=ORIENTED_EDGE('',*,*,#205082,.T.); #276172=ORIENTED_EDGE('',*,*,#205081,.T.); #276173=ORIENTED_EDGE('',*,*,#205083,.F.); #276174=ORIENTED_EDGE('',*,*,#205084,.F.); #276175=ORIENTED_EDGE('',*,*,#205085,.T.); #276176=ORIENTED_EDGE('',*,*,#205084,.T.); #276177=ORIENTED_EDGE('',*,*,#205086,.F.); #276178=ORIENTED_EDGE('',*,*,#205087,.F.); #276179=ORIENTED_EDGE('',*,*,#205088,.T.); #276180=ORIENTED_EDGE('',*,*,#205087,.T.); #276181=ORIENTED_EDGE('',*,*,#205089,.F.); #276182=ORIENTED_EDGE('',*,*,#205079,.F.); #276183=ORIENTED_EDGE('',*,*,#205089,.T.); #276184=ORIENTED_EDGE('',*,*,#205086,.T.); #276185=ORIENTED_EDGE('',*,*,#205083,.T.); #276186=ORIENTED_EDGE('',*,*,#205080,.T.); #276187=ORIENTED_EDGE('',*,*,#205088,.F.); #276188=ORIENTED_EDGE('',*,*,#205078,.F.); #276189=ORIENTED_EDGE('',*,*,#205082,.F.); #276190=ORIENTED_EDGE('',*,*,#205085,.F.); #276191=ORIENTED_EDGE('',*,*,#205090,.T.); #276192=ORIENTED_EDGE('',*,*,#205091,.T.); #276193=ORIENTED_EDGE('',*,*,#205092,.F.); #276194=ORIENTED_EDGE('',*,*,#205093,.F.); #276195=ORIENTED_EDGE('',*,*,#205094,.T.); #276196=ORIENTED_EDGE('',*,*,#205093,.T.); #276197=ORIENTED_EDGE('',*,*,#205095,.F.); #276198=ORIENTED_EDGE('',*,*,#205096,.F.); #276199=ORIENTED_EDGE('',*,*,#205097,.T.); #276200=ORIENTED_EDGE('',*,*,#205096,.T.); #276201=ORIENTED_EDGE('',*,*,#205098,.F.); #276202=ORIENTED_EDGE('',*,*,#205099,.F.); #276203=ORIENTED_EDGE('',*,*,#205100,.T.); #276204=ORIENTED_EDGE('',*,*,#205099,.T.); #276205=ORIENTED_EDGE('',*,*,#205101,.F.); #276206=ORIENTED_EDGE('',*,*,#205091,.F.); #276207=ORIENTED_EDGE('',*,*,#205101,.T.); #276208=ORIENTED_EDGE('',*,*,#205098,.T.); #276209=ORIENTED_EDGE('',*,*,#205095,.T.); #276210=ORIENTED_EDGE('',*,*,#205092,.T.); #276211=ORIENTED_EDGE('',*,*,#205100,.F.); #276212=ORIENTED_EDGE('',*,*,#205090,.F.); #276213=ORIENTED_EDGE('',*,*,#205094,.F.); #276214=ORIENTED_EDGE('',*,*,#205097,.F.); #276215=ORIENTED_EDGE('',*,*,#205102,.T.); #276216=ORIENTED_EDGE('',*,*,#205103,.T.); #276217=ORIENTED_EDGE('',*,*,#205104,.F.); #276218=ORIENTED_EDGE('',*,*,#205105,.F.); #276219=ORIENTED_EDGE('',*,*,#205106,.T.); #276220=ORIENTED_EDGE('',*,*,#205105,.T.); #276221=ORIENTED_EDGE('',*,*,#205107,.F.); #276222=ORIENTED_EDGE('',*,*,#205108,.F.); #276223=ORIENTED_EDGE('',*,*,#205109,.T.); #276224=ORIENTED_EDGE('',*,*,#205108,.T.); #276225=ORIENTED_EDGE('',*,*,#205110,.F.); #276226=ORIENTED_EDGE('',*,*,#205111,.F.); #276227=ORIENTED_EDGE('',*,*,#205112,.T.); #276228=ORIENTED_EDGE('',*,*,#205111,.T.); #276229=ORIENTED_EDGE('',*,*,#205113,.F.); #276230=ORIENTED_EDGE('',*,*,#205103,.F.); #276231=ORIENTED_EDGE('',*,*,#205113,.T.); #276232=ORIENTED_EDGE('',*,*,#205110,.T.); #276233=ORIENTED_EDGE('',*,*,#205107,.T.); #276234=ORIENTED_EDGE('',*,*,#205104,.T.); #276235=ORIENTED_EDGE('',*,*,#205112,.F.); #276236=ORIENTED_EDGE('',*,*,#205102,.F.); #276237=ORIENTED_EDGE('',*,*,#205106,.F.); #276238=ORIENTED_EDGE('',*,*,#205109,.F.); #276239=ORIENTED_EDGE('',*,*,#205114,.T.); #276240=ORIENTED_EDGE('',*,*,#205115,.T.); #276241=ORIENTED_EDGE('',*,*,#205116,.F.); #276242=ORIENTED_EDGE('',*,*,#205117,.F.); #276243=ORIENTED_EDGE('',*,*,#205118,.T.); #276244=ORIENTED_EDGE('',*,*,#205117,.T.); #276245=ORIENTED_EDGE('',*,*,#205119,.F.); #276246=ORIENTED_EDGE('',*,*,#205120,.F.); #276247=ORIENTED_EDGE('',*,*,#205121,.T.); #276248=ORIENTED_EDGE('',*,*,#205120,.T.); #276249=ORIENTED_EDGE('',*,*,#205122,.F.); #276250=ORIENTED_EDGE('',*,*,#205123,.F.); #276251=ORIENTED_EDGE('',*,*,#205124,.T.); #276252=ORIENTED_EDGE('',*,*,#205123,.T.); #276253=ORIENTED_EDGE('',*,*,#205125,.F.); #276254=ORIENTED_EDGE('',*,*,#205115,.F.); #276255=ORIENTED_EDGE('',*,*,#205125,.T.); #276256=ORIENTED_EDGE('',*,*,#205122,.T.); #276257=ORIENTED_EDGE('',*,*,#205119,.T.); #276258=ORIENTED_EDGE('',*,*,#205116,.T.); #276259=ORIENTED_EDGE('',*,*,#205124,.F.); #276260=ORIENTED_EDGE('',*,*,#205114,.F.); #276261=ORIENTED_EDGE('',*,*,#205118,.F.); #276262=ORIENTED_EDGE('',*,*,#205121,.F.); #276263=ORIENTED_EDGE('',*,*,#205126,.T.); #276264=ORIENTED_EDGE('',*,*,#205127,.T.); #276265=ORIENTED_EDGE('',*,*,#205128,.F.); #276266=ORIENTED_EDGE('',*,*,#205129,.F.); #276267=ORIENTED_EDGE('',*,*,#205130,.T.); #276268=ORIENTED_EDGE('',*,*,#205129,.T.); #276269=ORIENTED_EDGE('',*,*,#205131,.F.); #276270=ORIENTED_EDGE('',*,*,#205132,.F.); #276271=ORIENTED_EDGE('',*,*,#205133,.T.); #276272=ORIENTED_EDGE('',*,*,#205132,.T.); #276273=ORIENTED_EDGE('',*,*,#205134,.F.); #276274=ORIENTED_EDGE('',*,*,#205135,.F.); #276275=ORIENTED_EDGE('',*,*,#205136,.T.); #276276=ORIENTED_EDGE('',*,*,#205135,.T.); #276277=ORIENTED_EDGE('',*,*,#205137,.F.); #276278=ORIENTED_EDGE('',*,*,#205127,.F.); #276279=ORIENTED_EDGE('',*,*,#205137,.T.); #276280=ORIENTED_EDGE('',*,*,#205134,.T.); #276281=ORIENTED_EDGE('',*,*,#205131,.T.); #276282=ORIENTED_EDGE('',*,*,#205128,.T.); #276283=ORIENTED_EDGE('',*,*,#205136,.F.); #276284=ORIENTED_EDGE('',*,*,#205126,.F.); #276285=ORIENTED_EDGE('',*,*,#205130,.F.); #276286=ORIENTED_EDGE('',*,*,#205133,.F.); #276287=ORIENTED_EDGE('',*,*,#205138,.T.); #276288=ORIENTED_EDGE('',*,*,#205139,.T.); #276289=ORIENTED_EDGE('',*,*,#205140,.F.); #276290=ORIENTED_EDGE('',*,*,#205141,.F.); #276291=ORIENTED_EDGE('',*,*,#205142,.T.); #276292=ORIENTED_EDGE('',*,*,#205141,.T.); #276293=ORIENTED_EDGE('',*,*,#205143,.F.); #276294=ORIENTED_EDGE('',*,*,#205144,.F.); #276295=ORIENTED_EDGE('',*,*,#205145,.T.); #276296=ORIENTED_EDGE('',*,*,#205144,.T.); #276297=ORIENTED_EDGE('',*,*,#205146,.F.); #276298=ORIENTED_EDGE('',*,*,#205147,.F.); #276299=ORIENTED_EDGE('',*,*,#205148,.T.); #276300=ORIENTED_EDGE('',*,*,#205147,.T.); #276301=ORIENTED_EDGE('',*,*,#205149,.F.); #276302=ORIENTED_EDGE('',*,*,#205139,.F.); #276303=ORIENTED_EDGE('',*,*,#205149,.T.); #276304=ORIENTED_EDGE('',*,*,#205146,.T.); #276305=ORIENTED_EDGE('',*,*,#205143,.T.); #276306=ORIENTED_EDGE('',*,*,#205140,.T.); #276307=ORIENTED_EDGE('',*,*,#205148,.F.); #276308=ORIENTED_EDGE('',*,*,#205138,.F.); #276309=ORIENTED_EDGE('',*,*,#205142,.F.); #276310=ORIENTED_EDGE('',*,*,#205145,.F.); #276311=ORIENTED_EDGE('',*,*,#205150,.T.); #276312=ORIENTED_EDGE('',*,*,#205151,.T.); #276313=ORIENTED_EDGE('',*,*,#205152,.F.); #276314=ORIENTED_EDGE('',*,*,#205153,.F.); #276315=ORIENTED_EDGE('',*,*,#205154,.T.); #276316=ORIENTED_EDGE('',*,*,#205153,.T.); #276317=ORIENTED_EDGE('',*,*,#205155,.F.); #276318=ORIENTED_EDGE('',*,*,#205156,.F.); #276319=ORIENTED_EDGE('',*,*,#205157,.T.); #276320=ORIENTED_EDGE('',*,*,#205156,.T.); #276321=ORIENTED_EDGE('',*,*,#205158,.F.); #276322=ORIENTED_EDGE('',*,*,#205159,.F.); #276323=ORIENTED_EDGE('',*,*,#205160,.T.); #276324=ORIENTED_EDGE('',*,*,#205159,.T.); #276325=ORIENTED_EDGE('',*,*,#205161,.F.); #276326=ORIENTED_EDGE('',*,*,#205151,.F.); #276327=ORIENTED_EDGE('',*,*,#205161,.T.); #276328=ORIENTED_EDGE('',*,*,#205158,.T.); #276329=ORIENTED_EDGE('',*,*,#205155,.T.); #276330=ORIENTED_EDGE('',*,*,#205152,.T.); #276331=ORIENTED_EDGE('',*,*,#205160,.F.); #276332=ORIENTED_EDGE('',*,*,#205150,.F.); #276333=ORIENTED_EDGE('',*,*,#205154,.F.); #276334=ORIENTED_EDGE('',*,*,#205157,.F.); #276335=ORIENTED_EDGE('',*,*,#205162,.T.); #276336=ORIENTED_EDGE('',*,*,#205163,.T.); #276337=ORIENTED_EDGE('',*,*,#205164,.F.); #276338=ORIENTED_EDGE('',*,*,#205165,.F.); #276339=ORIENTED_EDGE('',*,*,#205166,.T.); #276340=ORIENTED_EDGE('',*,*,#205165,.T.); #276341=ORIENTED_EDGE('',*,*,#205167,.F.); #276342=ORIENTED_EDGE('',*,*,#205168,.F.); #276343=ORIENTED_EDGE('',*,*,#205169,.T.); #276344=ORIENTED_EDGE('',*,*,#205168,.T.); #276345=ORIENTED_EDGE('',*,*,#205170,.F.); #276346=ORIENTED_EDGE('',*,*,#205171,.F.); #276347=ORIENTED_EDGE('',*,*,#205172,.T.); #276348=ORIENTED_EDGE('',*,*,#205171,.T.); #276349=ORIENTED_EDGE('',*,*,#205173,.F.); #276350=ORIENTED_EDGE('',*,*,#205163,.F.); #276351=ORIENTED_EDGE('',*,*,#205173,.T.); #276352=ORIENTED_EDGE('',*,*,#205170,.T.); #276353=ORIENTED_EDGE('',*,*,#205167,.T.); #276354=ORIENTED_EDGE('',*,*,#205164,.T.); #276355=ORIENTED_EDGE('',*,*,#205172,.F.); #276356=ORIENTED_EDGE('',*,*,#205162,.F.); #276357=ORIENTED_EDGE('',*,*,#205166,.F.); #276358=ORIENTED_EDGE('',*,*,#205169,.F.); #276359=ORIENTED_EDGE('',*,*,#205174,.T.); #276360=ORIENTED_EDGE('',*,*,#205175,.T.); #276361=ORIENTED_EDGE('',*,*,#205176,.F.); #276362=ORIENTED_EDGE('',*,*,#205177,.F.); #276363=ORIENTED_EDGE('',*,*,#205178,.T.); #276364=ORIENTED_EDGE('',*,*,#205177,.T.); #276365=ORIENTED_EDGE('',*,*,#205179,.F.); #276366=ORIENTED_EDGE('',*,*,#205180,.F.); #276367=ORIENTED_EDGE('',*,*,#205181,.T.); #276368=ORIENTED_EDGE('',*,*,#205180,.T.); #276369=ORIENTED_EDGE('',*,*,#205182,.F.); #276370=ORIENTED_EDGE('',*,*,#205183,.F.); #276371=ORIENTED_EDGE('',*,*,#205184,.T.); #276372=ORIENTED_EDGE('',*,*,#205183,.T.); #276373=ORIENTED_EDGE('',*,*,#205185,.F.); #276374=ORIENTED_EDGE('',*,*,#205175,.F.); #276375=ORIENTED_EDGE('',*,*,#205185,.T.); #276376=ORIENTED_EDGE('',*,*,#205182,.T.); #276377=ORIENTED_EDGE('',*,*,#205179,.T.); #276378=ORIENTED_EDGE('',*,*,#205176,.T.); #276379=ORIENTED_EDGE('',*,*,#205184,.F.); #276380=ORIENTED_EDGE('',*,*,#205174,.F.); #276381=ORIENTED_EDGE('',*,*,#205178,.F.); #276382=ORIENTED_EDGE('',*,*,#205181,.F.); #276383=ORIENTED_EDGE('',*,*,#205186,.T.); #276384=ORIENTED_EDGE('',*,*,#205187,.T.); #276385=ORIENTED_EDGE('',*,*,#205188,.F.); #276386=ORIENTED_EDGE('',*,*,#205189,.F.); #276387=ORIENTED_EDGE('',*,*,#205190,.T.); #276388=ORIENTED_EDGE('',*,*,#205189,.T.); #276389=ORIENTED_EDGE('',*,*,#205191,.F.); #276390=ORIENTED_EDGE('',*,*,#205192,.F.); #276391=ORIENTED_EDGE('',*,*,#205193,.T.); #276392=ORIENTED_EDGE('',*,*,#205192,.T.); #276393=ORIENTED_EDGE('',*,*,#205194,.F.); #276394=ORIENTED_EDGE('',*,*,#205195,.F.); #276395=ORIENTED_EDGE('',*,*,#205196,.T.); #276396=ORIENTED_EDGE('',*,*,#205195,.T.); #276397=ORIENTED_EDGE('',*,*,#205197,.F.); #276398=ORIENTED_EDGE('',*,*,#205187,.F.); #276399=ORIENTED_EDGE('',*,*,#205197,.T.); #276400=ORIENTED_EDGE('',*,*,#205194,.T.); #276401=ORIENTED_EDGE('',*,*,#205191,.T.); #276402=ORIENTED_EDGE('',*,*,#205188,.T.); #276403=ORIENTED_EDGE('',*,*,#205196,.F.); #276404=ORIENTED_EDGE('',*,*,#205186,.F.); #276405=ORIENTED_EDGE('',*,*,#205190,.F.); #276406=ORIENTED_EDGE('',*,*,#205193,.F.); #276407=ORIENTED_EDGE('',*,*,#205198,.T.); #276408=ORIENTED_EDGE('',*,*,#205199,.T.); #276409=ORIENTED_EDGE('',*,*,#205200,.F.); #276410=ORIENTED_EDGE('',*,*,#205201,.F.); #276411=ORIENTED_EDGE('',*,*,#205202,.T.); #276412=ORIENTED_EDGE('',*,*,#205201,.T.); #276413=ORIENTED_EDGE('',*,*,#205203,.F.); #276414=ORIENTED_EDGE('',*,*,#205204,.F.); #276415=ORIENTED_EDGE('',*,*,#205205,.T.); #276416=ORIENTED_EDGE('',*,*,#205204,.T.); #276417=ORIENTED_EDGE('',*,*,#205206,.F.); #276418=ORIENTED_EDGE('',*,*,#205207,.F.); #276419=ORIENTED_EDGE('',*,*,#205208,.T.); #276420=ORIENTED_EDGE('',*,*,#205207,.T.); #276421=ORIENTED_EDGE('',*,*,#205209,.F.); #276422=ORIENTED_EDGE('',*,*,#205199,.F.); #276423=ORIENTED_EDGE('',*,*,#205209,.T.); #276424=ORIENTED_EDGE('',*,*,#205206,.T.); #276425=ORIENTED_EDGE('',*,*,#205203,.T.); #276426=ORIENTED_EDGE('',*,*,#205200,.T.); #276427=ORIENTED_EDGE('',*,*,#205208,.F.); #276428=ORIENTED_EDGE('',*,*,#205198,.F.); #276429=ORIENTED_EDGE('',*,*,#205202,.F.); #276430=ORIENTED_EDGE('',*,*,#205205,.F.); #276431=ORIENTED_EDGE('',*,*,#205210,.T.); #276432=ORIENTED_EDGE('',*,*,#205211,.T.); #276433=ORIENTED_EDGE('',*,*,#205212,.F.); #276434=ORIENTED_EDGE('',*,*,#205213,.F.); #276435=ORIENTED_EDGE('',*,*,#205214,.T.); #276436=ORIENTED_EDGE('',*,*,#205213,.T.); #276437=ORIENTED_EDGE('',*,*,#205215,.F.); #276438=ORIENTED_EDGE('',*,*,#205216,.F.); #276439=ORIENTED_EDGE('',*,*,#205217,.T.); #276440=ORIENTED_EDGE('',*,*,#205216,.T.); #276441=ORIENTED_EDGE('',*,*,#205218,.F.); #276442=ORIENTED_EDGE('',*,*,#205219,.F.); #276443=ORIENTED_EDGE('',*,*,#205220,.T.); #276444=ORIENTED_EDGE('',*,*,#205219,.T.); #276445=ORIENTED_EDGE('',*,*,#205221,.F.); #276446=ORIENTED_EDGE('',*,*,#205211,.F.); #276447=ORIENTED_EDGE('',*,*,#205221,.T.); #276448=ORIENTED_EDGE('',*,*,#205218,.T.); #276449=ORIENTED_EDGE('',*,*,#205215,.T.); #276450=ORIENTED_EDGE('',*,*,#205212,.T.); #276451=ORIENTED_EDGE('',*,*,#205220,.F.); #276452=ORIENTED_EDGE('',*,*,#205210,.F.); #276453=ORIENTED_EDGE('',*,*,#205214,.F.); #276454=ORIENTED_EDGE('',*,*,#205217,.F.); #276455=ORIENTED_EDGE('',*,*,#205222,.T.); #276456=ORIENTED_EDGE('',*,*,#205223,.T.); #276457=ORIENTED_EDGE('',*,*,#205224,.F.); #276458=ORIENTED_EDGE('',*,*,#205225,.F.); #276459=ORIENTED_EDGE('',*,*,#205226,.T.); #276460=ORIENTED_EDGE('',*,*,#205225,.T.); #276461=ORIENTED_EDGE('',*,*,#205227,.F.); #276462=ORIENTED_EDGE('',*,*,#205228,.F.); #276463=ORIENTED_EDGE('',*,*,#205229,.T.); #276464=ORIENTED_EDGE('',*,*,#205228,.T.); #276465=ORIENTED_EDGE('',*,*,#205230,.F.); #276466=ORIENTED_EDGE('',*,*,#205231,.F.); #276467=ORIENTED_EDGE('',*,*,#205232,.T.); #276468=ORIENTED_EDGE('',*,*,#205231,.T.); #276469=ORIENTED_EDGE('',*,*,#205233,.F.); #276470=ORIENTED_EDGE('',*,*,#205223,.F.); #276471=ORIENTED_EDGE('',*,*,#205233,.T.); #276472=ORIENTED_EDGE('',*,*,#205230,.T.); #276473=ORIENTED_EDGE('',*,*,#205227,.T.); #276474=ORIENTED_EDGE('',*,*,#205224,.T.); #276475=ORIENTED_EDGE('',*,*,#205232,.F.); #276476=ORIENTED_EDGE('',*,*,#205222,.F.); #276477=ORIENTED_EDGE('',*,*,#205226,.F.); #276478=ORIENTED_EDGE('',*,*,#205229,.F.); #276479=ORIENTED_EDGE('',*,*,#205234,.T.); #276480=ORIENTED_EDGE('',*,*,#205235,.T.); #276481=ORIENTED_EDGE('',*,*,#205236,.F.); #276482=ORIENTED_EDGE('',*,*,#205237,.F.); #276483=ORIENTED_EDGE('',*,*,#205238,.T.); #276484=ORIENTED_EDGE('',*,*,#205237,.T.); #276485=ORIENTED_EDGE('',*,*,#205239,.F.); #276486=ORIENTED_EDGE('',*,*,#205240,.F.); #276487=ORIENTED_EDGE('',*,*,#205241,.T.); #276488=ORIENTED_EDGE('',*,*,#205240,.T.); #276489=ORIENTED_EDGE('',*,*,#205242,.F.); #276490=ORIENTED_EDGE('',*,*,#205243,.F.); #276491=ORIENTED_EDGE('',*,*,#205244,.T.); #276492=ORIENTED_EDGE('',*,*,#205243,.T.); #276493=ORIENTED_EDGE('',*,*,#205245,.F.); #276494=ORIENTED_EDGE('',*,*,#205235,.F.); #276495=ORIENTED_EDGE('',*,*,#205245,.T.); #276496=ORIENTED_EDGE('',*,*,#205242,.T.); #276497=ORIENTED_EDGE('',*,*,#205239,.T.); #276498=ORIENTED_EDGE('',*,*,#205236,.T.); #276499=ORIENTED_EDGE('',*,*,#205244,.F.); #276500=ORIENTED_EDGE('',*,*,#205234,.F.); #276501=ORIENTED_EDGE('',*,*,#205238,.F.); #276502=ORIENTED_EDGE('',*,*,#205241,.F.); #276503=ORIENTED_EDGE('',*,*,#205246,.T.); #276504=ORIENTED_EDGE('',*,*,#205247,.T.); #276505=ORIENTED_EDGE('',*,*,#205248,.F.); #276506=ORIENTED_EDGE('',*,*,#205249,.F.); #276507=ORIENTED_EDGE('',*,*,#205250,.T.); #276508=ORIENTED_EDGE('',*,*,#205249,.T.); #276509=ORIENTED_EDGE('',*,*,#205251,.F.); #276510=ORIENTED_EDGE('',*,*,#205252,.F.); #276511=ORIENTED_EDGE('',*,*,#205253,.T.); #276512=ORIENTED_EDGE('',*,*,#205252,.T.); #276513=ORIENTED_EDGE('',*,*,#205254,.F.); #276514=ORIENTED_EDGE('',*,*,#205255,.F.); #276515=ORIENTED_EDGE('',*,*,#205256,.T.); #276516=ORIENTED_EDGE('',*,*,#205255,.T.); #276517=ORIENTED_EDGE('',*,*,#205257,.F.); #276518=ORIENTED_EDGE('',*,*,#205247,.F.); #276519=ORIENTED_EDGE('',*,*,#205257,.T.); #276520=ORIENTED_EDGE('',*,*,#205254,.T.); #276521=ORIENTED_EDGE('',*,*,#205251,.T.); #276522=ORIENTED_EDGE('',*,*,#205248,.T.); #276523=ORIENTED_EDGE('',*,*,#205256,.F.); #276524=ORIENTED_EDGE('',*,*,#205246,.F.); #276525=ORIENTED_EDGE('',*,*,#205250,.F.); #276526=ORIENTED_EDGE('',*,*,#205253,.F.); #276527=ORIENTED_EDGE('',*,*,#205258,.T.); #276528=ORIENTED_EDGE('',*,*,#205259,.T.); #276529=ORIENTED_EDGE('',*,*,#205260,.F.); #276530=ORIENTED_EDGE('',*,*,#205261,.F.); #276531=ORIENTED_EDGE('',*,*,#205262,.T.); #276532=ORIENTED_EDGE('',*,*,#205261,.T.); #276533=ORIENTED_EDGE('',*,*,#205263,.F.); #276534=ORIENTED_EDGE('',*,*,#205264,.F.); #276535=ORIENTED_EDGE('',*,*,#205265,.T.); #276536=ORIENTED_EDGE('',*,*,#205264,.T.); #276537=ORIENTED_EDGE('',*,*,#205266,.F.); #276538=ORIENTED_EDGE('',*,*,#205267,.F.); #276539=ORIENTED_EDGE('',*,*,#205268,.T.); #276540=ORIENTED_EDGE('',*,*,#205267,.T.); #276541=ORIENTED_EDGE('',*,*,#205269,.F.); #276542=ORIENTED_EDGE('',*,*,#205259,.F.); #276543=ORIENTED_EDGE('',*,*,#205269,.T.); #276544=ORIENTED_EDGE('',*,*,#205266,.T.); #276545=ORIENTED_EDGE('',*,*,#205263,.T.); #276546=ORIENTED_EDGE('',*,*,#205260,.T.); #276547=ORIENTED_EDGE('',*,*,#205268,.F.); #276548=ORIENTED_EDGE('',*,*,#205258,.F.); #276549=ORIENTED_EDGE('',*,*,#205262,.F.); #276550=ORIENTED_EDGE('',*,*,#205265,.F.); #276551=ORIENTED_EDGE('',*,*,#205270,.T.); #276552=ORIENTED_EDGE('',*,*,#205271,.T.); #276553=ORIENTED_EDGE('',*,*,#205272,.F.); #276554=ORIENTED_EDGE('',*,*,#205273,.F.); #276555=ORIENTED_EDGE('',*,*,#205274,.T.); #276556=ORIENTED_EDGE('',*,*,#205273,.T.); #276557=ORIENTED_EDGE('',*,*,#205275,.F.); #276558=ORIENTED_EDGE('',*,*,#205276,.F.); #276559=ORIENTED_EDGE('',*,*,#205277,.T.); #276560=ORIENTED_EDGE('',*,*,#205276,.T.); #276561=ORIENTED_EDGE('',*,*,#205278,.F.); #276562=ORIENTED_EDGE('',*,*,#205279,.F.); #276563=ORIENTED_EDGE('',*,*,#205280,.T.); #276564=ORIENTED_EDGE('',*,*,#205279,.T.); #276565=ORIENTED_EDGE('',*,*,#205281,.F.); #276566=ORIENTED_EDGE('',*,*,#205271,.F.); #276567=ORIENTED_EDGE('',*,*,#205281,.T.); #276568=ORIENTED_EDGE('',*,*,#205278,.T.); #276569=ORIENTED_EDGE('',*,*,#205275,.T.); #276570=ORIENTED_EDGE('',*,*,#205272,.T.); #276571=ORIENTED_EDGE('',*,*,#205280,.F.); #276572=ORIENTED_EDGE('',*,*,#205270,.F.); #276573=ORIENTED_EDGE('',*,*,#205274,.F.); #276574=ORIENTED_EDGE('',*,*,#205277,.F.); #276575=ORIENTED_EDGE('',*,*,#205282,.T.); #276576=ORIENTED_EDGE('',*,*,#205283,.T.); #276577=ORIENTED_EDGE('',*,*,#205284,.F.); #276578=ORIENTED_EDGE('',*,*,#205285,.F.); #276579=ORIENTED_EDGE('',*,*,#205286,.T.); #276580=ORIENTED_EDGE('',*,*,#205285,.T.); #276581=ORIENTED_EDGE('',*,*,#205287,.F.); #276582=ORIENTED_EDGE('',*,*,#205288,.F.); #276583=ORIENTED_EDGE('',*,*,#205289,.T.); #276584=ORIENTED_EDGE('',*,*,#205288,.T.); #276585=ORIENTED_EDGE('',*,*,#205290,.F.); #276586=ORIENTED_EDGE('',*,*,#205291,.F.); #276587=ORIENTED_EDGE('',*,*,#205292,.T.); #276588=ORIENTED_EDGE('',*,*,#205291,.T.); #276589=ORIENTED_EDGE('',*,*,#205293,.F.); #276590=ORIENTED_EDGE('',*,*,#205283,.F.); #276591=ORIENTED_EDGE('',*,*,#205293,.T.); #276592=ORIENTED_EDGE('',*,*,#205290,.T.); #276593=ORIENTED_EDGE('',*,*,#205287,.T.); #276594=ORIENTED_EDGE('',*,*,#205284,.T.); #276595=ORIENTED_EDGE('',*,*,#205292,.F.); #276596=ORIENTED_EDGE('',*,*,#205282,.F.); #276597=ORIENTED_EDGE('',*,*,#205286,.F.); #276598=ORIENTED_EDGE('',*,*,#205289,.F.); #276599=ORIENTED_EDGE('',*,*,#205294,.T.); #276600=ORIENTED_EDGE('',*,*,#205295,.T.); #276601=ORIENTED_EDGE('',*,*,#205296,.F.); #276602=ORIENTED_EDGE('',*,*,#205297,.F.); #276603=ORIENTED_EDGE('',*,*,#205298,.T.); #276604=ORIENTED_EDGE('',*,*,#205297,.T.); #276605=ORIENTED_EDGE('',*,*,#205299,.F.); #276606=ORIENTED_EDGE('',*,*,#205300,.F.); #276607=ORIENTED_EDGE('',*,*,#205301,.T.); #276608=ORIENTED_EDGE('',*,*,#205300,.T.); #276609=ORIENTED_EDGE('',*,*,#205302,.F.); #276610=ORIENTED_EDGE('',*,*,#205303,.F.); #276611=ORIENTED_EDGE('',*,*,#205304,.T.); #276612=ORIENTED_EDGE('',*,*,#205303,.T.); #276613=ORIENTED_EDGE('',*,*,#205305,.F.); #276614=ORIENTED_EDGE('',*,*,#205295,.F.); #276615=ORIENTED_EDGE('',*,*,#205305,.T.); #276616=ORIENTED_EDGE('',*,*,#205302,.T.); #276617=ORIENTED_EDGE('',*,*,#205299,.T.); #276618=ORIENTED_EDGE('',*,*,#205296,.T.); #276619=ORIENTED_EDGE('',*,*,#205304,.F.); #276620=ORIENTED_EDGE('',*,*,#205294,.F.); #276621=ORIENTED_EDGE('',*,*,#205298,.F.); #276622=ORIENTED_EDGE('',*,*,#205301,.F.); #276623=ORIENTED_EDGE('',*,*,#205306,.T.); #276624=ORIENTED_EDGE('',*,*,#205307,.T.); #276625=ORIENTED_EDGE('',*,*,#205308,.F.); #276626=ORIENTED_EDGE('',*,*,#205309,.F.); #276627=ORIENTED_EDGE('',*,*,#205310,.T.); #276628=ORIENTED_EDGE('',*,*,#205309,.T.); #276629=ORIENTED_EDGE('',*,*,#205311,.F.); #276630=ORIENTED_EDGE('',*,*,#205312,.F.); #276631=ORIENTED_EDGE('',*,*,#205313,.T.); #276632=ORIENTED_EDGE('',*,*,#205312,.T.); #276633=ORIENTED_EDGE('',*,*,#205314,.F.); #276634=ORIENTED_EDGE('',*,*,#205315,.F.); #276635=ORIENTED_EDGE('',*,*,#205316,.T.); #276636=ORIENTED_EDGE('',*,*,#205315,.T.); #276637=ORIENTED_EDGE('',*,*,#205317,.F.); #276638=ORIENTED_EDGE('',*,*,#205307,.F.); #276639=ORIENTED_EDGE('',*,*,#205317,.T.); #276640=ORIENTED_EDGE('',*,*,#205314,.T.); #276641=ORIENTED_EDGE('',*,*,#205311,.T.); #276642=ORIENTED_EDGE('',*,*,#205308,.T.); #276643=ORIENTED_EDGE('',*,*,#205316,.F.); #276644=ORIENTED_EDGE('',*,*,#205306,.F.); #276645=ORIENTED_EDGE('',*,*,#205310,.F.); #276646=ORIENTED_EDGE('',*,*,#205313,.F.); #276647=ORIENTED_EDGE('',*,*,#205318,.T.); #276648=ORIENTED_EDGE('',*,*,#205319,.T.); #276649=ORIENTED_EDGE('',*,*,#205320,.F.); #276650=ORIENTED_EDGE('',*,*,#205321,.F.); #276651=ORIENTED_EDGE('',*,*,#205322,.T.); #276652=ORIENTED_EDGE('',*,*,#205321,.T.); #276653=ORIENTED_EDGE('',*,*,#205323,.F.); #276654=ORIENTED_EDGE('',*,*,#205324,.F.); #276655=ORIENTED_EDGE('',*,*,#205325,.T.); #276656=ORIENTED_EDGE('',*,*,#205324,.T.); #276657=ORIENTED_EDGE('',*,*,#205326,.F.); #276658=ORIENTED_EDGE('',*,*,#205327,.F.); #276659=ORIENTED_EDGE('',*,*,#205328,.T.); #276660=ORIENTED_EDGE('',*,*,#205327,.T.); #276661=ORIENTED_EDGE('',*,*,#205329,.F.); #276662=ORIENTED_EDGE('',*,*,#205319,.F.); #276663=ORIENTED_EDGE('',*,*,#205329,.T.); #276664=ORIENTED_EDGE('',*,*,#205326,.T.); #276665=ORIENTED_EDGE('',*,*,#205323,.T.); #276666=ORIENTED_EDGE('',*,*,#205320,.T.); #276667=ORIENTED_EDGE('',*,*,#205328,.F.); #276668=ORIENTED_EDGE('',*,*,#205318,.F.); #276669=ORIENTED_EDGE('',*,*,#205322,.F.); #276670=ORIENTED_EDGE('',*,*,#205325,.F.); #276671=ORIENTED_EDGE('',*,*,#205330,.T.); #276672=ORIENTED_EDGE('',*,*,#205331,.T.); #276673=ORIENTED_EDGE('',*,*,#205332,.F.); #276674=ORIENTED_EDGE('',*,*,#205333,.F.); #276675=ORIENTED_EDGE('',*,*,#205334,.T.); #276676=ORIENTED_EDGE('',*,*,#205333,.T.); #276677=ORIENTED_EDGE('',*,*,#205335,.F.); #276678=ORIENTED_EDGE('',*,*,#205336,.F.); #276679=ORIENTED_EDGE('',*,*,#205337,.T.); #276680=ORIENTED_EDGE('',*,*,#205336,.T.); #276681=ORIENTED_EDGE('',*,*,#205338,.F.); #276682=ORIENTED_EDGE('',*,*,#205339,.F.); #276683=ORIENTED_EDGE('',*,*,#205340,.T.); #276684=ORIENTED_EDGE('',*,*,#205339,.T.); #276685=ORIENTED_EDGE('',*,*,#205341,.F.); #276686=ORIENTED_EDGE('',*,*,#205331,.F.); #276687=ORIENTED_EDGE('',*,*,#205341,.T.); #276688=ORIENTED_EDGE('',*,*,#205338,.T.); #276689=ORIENTED_EDGE('',*,*,#205335,.T.); #276690=ORIENTED_EDGE('',*,*,#205332,.T.); #276691=ORIENTED_EDGE('',*,*,#205340,.F.); #276692=ORIENTED_EDGE('',*,*,#205330,.F.); #276693=ORIENTED_EDGE('',*,*,#205334,.F.); #276694=ORIENTED_EDGE('',*,*,#205337,.F.); #276695=ORIENTED_EDGE('',*,*,#205342,.T.); #276696=ORIENTED_EDGE('',*,*,#205343,.T.); #276697=ORIENTED_EDGE('',*,*,#205344,.F.); #276698=ORIENTED_EDGE('',*,*,#205345,.F.); #276699=ORIENTED_EDGE('',*,*,#205346,.T.); #276700=ORIENTED_EDGE('',*,*,#205345,.T.); #276701=ORIENTED_EDGE('',*,*,#205347,.F.); #276702=ORIENTED_EDGE('',*,*,#205348,.F.); #276703=ORIENTED_EDGE('',*,*,#205349,.T.); #276704=ORIENTED_EDGE('',*,*,#205348,.T.); #276705=ORIENTED_EDGE('',*,*,#205350,.F.); #276706=ORIENTED_EDGE('',*,*,#205351,.F.); #276707=ORIENTED_EDGE('',*,*,#205352,.T.); #276708=ORIENTED_EDGE('',*,*,#205351,.T.); #276709=ORIENTED_EDGE('',*,*,#205353,.F.); #276710=ORIENTED_EDGE('',*,*,#205343,.F.); #276711=ORIENTED_EDGE('',*,*,#205353,.T.); #276712=ORIENTED_EDGE('',*,*,#205350,.T.); #276713=ORIENTED_EDGE('',*,*,#205347,.T.); #276714=ORIENTED_EDGE('',*,*,#205344,.T.); #276715=ORIENTED_EDGE('',*,*,#205352,.F.); #276716=ORIENTED_EDGE('',*,*,#205342,.F.); #276717=ORIENTED_EDGE('',*,*,#205346,.F.); #276718=ORIENTED_EDGE('',*,*,#205349,.F.); #276719=ORIENTED_EDGE('',*,*,#205354,.T.); #276720=ORIENTED_EDGE('',*,*,#205355,.T.); #276721=ORIENTED_EDGE('',*,*,#205356,.F.); #276722=ORIENTED_EDGE('',*,*,#205357,.F.); #276723=ORIENTED_EDGE('',*,*,#205358,.T.); #276724=ORIENTED_EDGE('',*,*,#205357,.T.); #276725=ORIENTED_EDGE('',*,*,#205359,.F.); #276726=ORIENTED_EDGE('',*,*,#205360,.F.); #276727=ORIENTED_EDGE('',*,*,#205361,.T.); #276728=ORIENTED_EDGE('',*,*,#205360,.T.); #276729=ORIENTED_EDGE('',*,*,#205362,.F.); #276730=ORIENTED_EDGE('',*,*,#205363,.F.); #276731=ORIENTED_EDGE('',*,*,#205364,.T.); #276732=ORIENTED_EDGE('',*,*,#205363,.T.); #276733=ORIENTED_EDGE('',*,*,#205365,.F.); #276734=ORIENTED_EDGE('',*,*,#205355,.F.); #276735=ORIENTED_EDGE('',*,*,#205365,.T.); #276736=ORIENTED_EDGE('',*,*,#205362,.T.); #276737=ORIENTED_EDGE('',*,*,#205359,.T.); #276738=ORIENTED_EDGE('',*,*,#205356,.T.); #276739=ORIENTED_EDGE('',*,*,#205364,.F.); #276740=ORIENTED_EDGE('',*,*,#205354,.F.); #276741=ORIENTED_EDGE('',*,*,#205358,.F.); #276742=ORIENTED_EDGE('',*,*,#205361,.F.); #276743=ORIENTED_EDGE('',*,*,#205366,.F.); #276744=ORIENTED_EDGE('',*,*,#205367,.T.); #276745=ORIENTED_EDGE('',*,*,#205368,.F.); #276746=ORIENTED_EDGE('',*,*,#205367,.F.); #276747=ORIENTED_EDGE('',*,*,#205369,.F.); #276748=ORIENTED_EDGE('',*,*,#205370,.T.); #276749=ORIENTED_EDGE('',*,*,#205371,.F.); #276750=ORIENTED_EDGE('',*,*,#205370,.F.); #276751=ORIENTED_EDGE('',*,*,#205372,.F.); #276752=ORIENTED_EDGE('',*,*,#205373,.T.); #276753=ORIENTED_EDGE('',*,*,#205374,.F.); #276754=ORIENTED_EDGE('',*,*,#205373,.F.); #276755=ORIENTED_EDGE('',*,*,#205375,.F.); #276756=ORIENTED_EDGE('',*,*,#205376,.T.); #276757=ORIENTED_EDGE('',*,*,#205377,.F.); #276758=ORIENTED_EDGE('',*,*,#205376,.F.); #276759=ORIENTED_EDGE('',*,*,#205378,.F.); #276760=ORIENTED_EDGE('',*,*,#205379,.T.); #276761=ORIENTED_EDGE('',*,*,#205380,.F.); #276762=ORIENTED_EDGE('',*,*,#205379,.F.); #276763=ORIENTED_EDGE('',*,*,#205381,.F.); #276764=ORIENTED_EDGE('',*,*,#205382,.T.); #276765=ORIENTED_EDGE('',*,*,#205383,.F.); #276766=ORIENTED_EDGE('',*,*,#205382,.F.); #276767=ORIENTED_EDGE('',*,*,#205384,.T.); #276768=ORIENTED_EDGE('',*,*,#205385,.T.); #276769=ORIENTED_EDGE('',*,*,#205386,.F.); #276770=ORIENTED_EDGE('',*,*,#205387,.F.); #276771=ORIENTED_EDGE('',*,*,#205388,.T.); #276772=ORIENTED_EDGE('',*,*,#205387,.T.); #276773=ORIENTED_EDGE('',*,*,#205389,.F.); #276774=ORIENTED_EDGE('',*,*,#205390,.F.); #276775=ORIENTED_EDGE('',*,*,#205391,.T.); #276776=ORIENTED_EDGE('',*,*,#205390,.T.); #276777=ORIENTED_EDGE('',*,*,#205392,.F.); #276778=ORIENTED_EDGE('',*,*,#205393,.F.); #276779=ORIENTED_EDGE('',*,*,#205394,.T.); #276780=ORIENTED_EDGE('',*,*,#205393,.T.); #276781=ORIENTED_EDGE('',*,*,#205395,.F.); #276782=ORIENTED_EDGE('',*,*,#205385,.F.); #276783=ORIENTED_EDGE('',*,*,#205395,.T.); #276784=ORIENTED_EDGE('',*,*,#205392,.T.); #276785=ORIENTED_EDGE('',*,*,#205389,.T.); #276786=ORIENTED_EDGE('',*,*,#205386,.T.); #276787=ORIENTED_EDGE('',*,*,#205368,.T.); #276788=ORIENTED_EDGE('',*,*,#205371,.T.); #276789=ORIENTED_EDGE('',*,*,#205374,.T.); #276790=ORIENTED_EDGE('',*,*,#205377,.T.); #276791=ORIENTED_EDGE('',*,*,#205380,.T.); #276792=ORIENTED_EDGE('',*,*,#205383,.T.); #276793=ORIENTED_EDGE('',*,*,#205394,.F.); #276794=ORIENTED_EDGE('',*,*,#205384,.F.); #276795=ORIENTED_EDGE('',*,*,#205388,.F.); #276796=ORIENTED_EDGE('',*,*,#205391,.F.); #276797=ORIENTED_EDGE('',*,*,#205366,.T.); #276798=ORIENTED_EDGE('',*,*,#205369,.T.); #276799=ORIENTED_EDGE('',*,*,#205372,.T.); #276800=ORIENTED_EDGE('',*,*,#205375,.T.); #276801=ORIENTED_EDGE('',*,*,#205378,.T.); #276802=ORIENTED_EDGE('',*,*,#205381,.T.); #276803=ORIENTED_EDGE('',*,*,#205396,.T.); #276804=ORIENTED_EDGE('',*,*,#205397,.T.); #276805=ORIENTED_EDGE('',*,*,#205398,.F.); #276806=ORIENTED_EDGE('',*,*,#205399,.F.); #276807=ORIENTED_EDGE('',*,*,#205400,.T.); #276808=ORIENTED_EDGE('',*,*,#205399,.T.); #276809=ORIENTED_EDGE('',*,*,#205401,.F.); #276810=ORIENTED_EDGE('',*,*,#205402,.F.); #276811=ORIENTED_EDGE('',*,*,#205403,.T.); #276812=ORIENTED_EDGE('',*,*,#205402,.T.); #276813=ORIENTED_EDGE('',*,*,#205404,.F.); #276814=ORIENTED_EDGE('',*,*,#205405,.F.); #276815=ORIENTED_EDGE('',*,*,#205406,.T.); #276816=ORIENTED_EDGE('',*,*,#205405,.T.); #276817=ORIENTED_EDGE('',*,*,#205407,.F.); #276818=ORIENTED_EDGE('',*,*,#205397,.F.); #276819=ORIENTED_EDGE('',*,*,#205407,.T.); #276820=ORIENTED_EDGE('',*,*,#205404,.T.); #276821=ORIENTED_EDGE('',*,*,#205401,.T.); #276822=ORIENTED_EDGE('',*,*,#205398,.T.); #276823=ORIENTED_EDGE('',*,*,#205406,.F.); #276824=ORIENTED_EDGE('',*,*,#205396,.F.); #276825=ORIENTED_EDGE('',*,*,#205400,.F.); #276826=ORIENTED_EDGE('',*,*,#205403,.F.); #276827=ORIENTED_EDGE('',*,*,#205408,.T.); #276828=ORIENTED_EDGE('',*,*,#205409,.T.); #276829=ORIENTED_EDGE('',*,*,#205410,.F.); #276830=ORIENTED_EDGE('',*,*,#205411,.F.); #276831=ORIENTED_EDGE('',*,*,#205412,.T.); #276832=ORIENTED_EDGE('',*,*,#205411,.T.); #276833=ORIENTED_EDGE('',*,*,#205413,.F.); #276834=ORIENTED_EDGE('',*,*,#205414,.F.); #276835=ORIENTED_EDGE('',*,*,#205415,.T.); #276836=ORIENTED_EDGE('',*,*,#205414,.T.); #276837=ORIENTED_EDGE('',*,*,#205416,.F.); #276838=ORIENTED_EDGE('',*,*,#205417,.F.); #276839=ORIENTED_EDGE('',*,*,#205418,.T.); #276840=ORIENTED_EDGE('',*,*,#205417,.T.); #276841=ORIENTED_EDGE('',*,*,#205419,.F.); #276842=ORIENTED_EDGE('',*,*,#205409,.F.); #276843=ORIENTED_EDGE('',*,*,#205419,.T.); #276844=ORIENTED_EDGE('',*,*,#205416,.T.); #276845=ORIENTED_EDGE('',*,*,#205413,.T.); #276846=ORIENTED_EDGE('',*,*,#205410,.T.); #276847=ORIENTED_EDGE('',*,*,#205418,.F.); #276848=ORIENTED_EDGE('',*,*,#205408,.F.); #276849=ORIENTED_EDGE('',*,*,#205412,.F.); #276850=ORIENTED_EDGE('',*,*,#205415,.F.); #276851=ORIENTED_EDGE('',*,*,#205420,.T.); #276852=ORIENTED_EDGE('',*,*,#205421,.T.); #276853=ORIENTED_EDGE('',*,*,#205422,.F.); #276854=ORIENTED_EDGE('',*,*,#205423,.F.); #276855=ORIENTED_EDGE('',*,*,#205424,.T.); #276856=ORIENTED_EDGE('',*,*,#205423,.T.); #276857=ORIENTED_EDGE('',*,*,#205425,.F.); #276858=ORIENTED_EDGE('',*,*,#205426,.F.); #276859=ORIENTED_EDGE('',*,*,#205427,.T.); #276860=ORIENTED_EDGE('',*,*,#205426,.T.); #276861=ORIENTED_EDGE('',*,*,#205428,.F.); #276862=ORIENTED_EDGE('',*,*,#205429,.F.); #276863=ORIENTED_EDGE('',*,*,#205430,.T.); #276864=ORIENTED_EDGE('',*,*,#205429,.T.); #276865=ORIENTED_EDGE('',*,*,#205431,.F.); #276866=ORIENTED_EDGE('',*,*,#205421,.F.); #276867=ORIENTED_EDGE('',*,*,#205431,.T.); #276868=ORIENTED_EDGE('',*,*,#205428,.T.); #276869=ORIENTED_EDGE('',*,*,#205425,.T.); #276870=ORIENTED_EDGE('',*,*,#205422,.T.); #276871=ORIENTED_EDGE('',*,*,#205430,.F.); #276872=ORIENTED_EDGE('',*,*,#205420,.F.); #276873=ORIENTED_EDGE('',*,*,#205424,.F.); #276874=ORIENTED_EDGE('',*,*,#205427,.F.); #276875=ORIENTED_EDGE('',*,*,#205432,.T.); #276876=ORIENTED_EDGE('',*,*,#205433,.T.); #276877=ORIENTED_EDGE('',*,*,#205434,.F.); #276878=ORIENTED_EDGE('',*,*,#205435,.F.); #276879=ORIENTED_EDGE('',*,*,#205436,.T.); #276880=ORIENTED_EDGE('',*,*,#205435,.T.); #276881=ORIENTED_EDGE('',*,*,#205437,.F.); #276882=ORIENTED_EDGE('',*,*,#205438,.F.); #276883=ORIENTED_EDGE('',*,*,#205439,.T.); #276884=ORIENTED_EDGE('',*,*,#205438,.T.); #276885=ORIENTED_EDGE('',*,*,#205440,.F.); #276886=ORIENTED_EDGE('',*,*,#205441,.F.); #276887=ORIENTED_EDGE('',*,*,#205442,.T.); #276888=ORIENTED_EDGE('',*,*,#205441,.T.); #276889=ORIENTED_EDGE('',*,*,#205443,.F.); #276890=ORIENTED_EDGE('',*,*,#205433,.F.); #276891=ORIENTED_EDGE('',*,*,#205443,.T.); #276892=ORIENTED_EDGE('',*,*,#205440,.T.); #276893=ORIENTED_EDGE('',*,*,#205437,.T.); #276894=ORIENTED_EDGE('',*,*,#205434,.T.); #276895=ORIENTED_EDGE('',*,*,#205442,.F.); #276896=ORIENTED_EDGE('',*,*,#205432,.F.); #276897=ORIENTED_EDGE('',*,*,#205436,.F.); #276898=ORIENTED_EDGE('',*,*,#205439,.F.); #276899=ORIENTED_EDGE('',*,*,#205444,.T.); #276900=ORIENTED_EDGE('',*,*,#205445,.T.); #276901=ORIENTED_EDGE('',*,*,#205446,.F.); #276902=ORIENTED_EDGE('',*,*,#205447,.F.); #276903=ORIENTED_EDGE('',*,*,#205448,.T.); #276904=ORIENTED_EDGE('',*,*,#205447,.T.); #276905=ORIENTED_EDGE('',*,*,#205449,.F.); #276906=ORIENTED_EDGE('',*,*,#205450,.F.); #276907=ORIENTED_EDGE('',*,*,#205451,.T.); #276908=ORIENTED_EDGE('',*,*,#205450,.T.); #276909=ORIENTED_EDGE('',*,*,#205452,.F.); #276910=ORIENTED_EDGE('',*,*,#205453,.F.); #276911=ORIENTED_EDGE('',*,*,#205454,.T.); #276912=ORIENTED_EDGE('',*,*,#205453,.T.); #276913=ORIENTED_EDGE('',*,*,#205455,.F.); #276914=ORIENTED_EDGE('',*,*,#205445,.F.); #276915=ORIENTED_EDGE('',*,*,#205455,.T.); #276916=ORIENTED_EDGE('',*,*,#205452,.T.); #276917=ORIENTED_EDGE('',*,*,#205449,.T.); #276918=ORIENTED_EDGE('',*,*,#205446,.T.); #276919=ORIENTED_EDGE('',*,*,#205454,.F.); #276920=ORIENTED_EDGE('',*,*,#205444,.F.); #276921=ORIENTED_EDGE('',*,*,#205448,.F.); #276922=ORIENTED_EDGE('',*,*,#205451,.F.); #276923=ORIENTED_EDGE('',*,*,#205456,.T.); #276924=ORIENTED_EDGE('',*,*,#205457,.T.); #276925=ORIENTED_EDGE('',*,*,#205458,.F.); #276926=ORIENTED_EDGE('',*,*,#205459,.F.); #276927=ORIENTED_EDGE('',*,*,#205460,.T.); #276928=ORIENTED_EDGE('',*,*,#205459,.T.); #276929=ORIENTED_EDGE('',*,*,#205461,.F.); #276930=ORIENTED_EDGE('',*,*,#205462,.F.); #276931=ORIENTED_EDGE('',*,*,#205463,.T.); #276932=ORIENTED_EDGE('',*,*,#205462,.T.); #276933=ORIENTED_EDGE('',*,*,#205464,.F.); #276934=ORIENTED_EDGE('',*,*,#205465,.F.); #276935=ORIENTED_EDGE('',*,*,#205466,.T.); #276936=ORIENTED_EDGE('',*,*,#205465,.T.); #276937=ORIENTED_EDGE('',*,*,#205467,.F.); #276938=ORIENTED_EDGE('',*,*,#205457,.F.); #276939=ORIENTED_EDGE('',*,*,#205467,.T.); #276940=ORIENTED_EDGE('',*,*,#205464,.T.); #276941=ORIENTED_EDGE('',*,*,#205461,.T.); #276942=ORIENTED_EDGE('',*,*,#205458,.T.); #276943=ORIENTED_EDGE('',*,*,#205466,.F.); #276944=ORIENTED_EDGE('',*,*,#205456,.F.); #276945=ORIENTED_EDGE('',*,*,#205460,.F.); #276946=ORIENTED_EDGE('',*,*,#205463,.F.); #276947=ORIENTED_EDGE('',*,*,#205468,.F.); #276948=ORIENTED_EDGE('',*,*,#205469,.T.); #276949=ORIENTED_EDGE('',*,*,#205470,.F.); #276950=ORIENTED_EDGE('',*,*,#205469,.F.); #276951=ORIENTED_EDGE('',*,*,#205471,.F.); #276952=ORIENTED_EDGE('',*,*,#205472,.T.); #276953=ORIENTED_EDGE('',*,*,#205473,.F.); #276954=ORIENTED_EDGE('',*,*,#205472,.F.); #276955=ORIENTED_EDGE('',*,*,#205474,.F.); #276956=ORIENTED_EDGE('',*,*,#205475,.T.); #276957=ORIENTED_EDGE('',*,*,#205476,.F.); #276958=ORIENTED_EDGE('',*,*,#205475,.F.); #276959=ORIENTED_EDGE('',*,*,#205477,.F.); #276960=ORIENTED_EDGE('',*,*,#205478,.T.); #276961=ORIENTED_EDGE('',*,*,#205479,.F.); #276962=ORIENTED_EDGE('',*,*,#205478,.F.); #276963=ORIENTED_EDGE('',*,*,#205480,.F.); #276964=ORIENTED_EDGE('',*,*,#205481,.T.); #276965=ORIENTED_EDGE('',*,*,#205482,.F.); #276966=ORIENTED_EDGE('',*,*,#205481,.F.); #276967=ORIENTED_EDGE('',*,*,#205483,.F.); #276968=ORIENTED_EDGE('',*,*,#205484,.T.); #276969=ORIENTED_EDGE('',*,*,#205485,.F.); #276970=ORIENTED_EDGE('',*,*,#205484,.F.); #276971=ORIENTED_EDGE('',*,*,#205486,.T.); #276972=ORIENTED_EDGE('',*,*,#205487,.T.); #276973=ORIENTED_EDGE('',*,*,#205488,.F.); #276974=ORIENTED_EDGE('',*,*,#205489,.F.); #276975=ORIENTED_EDGE('',*,*,#205490,.T.); #276976=ORIENTED_EDGE('',*,*,#205489,.T.); #276977=ORIENTED_EDGE('',*,*,#205491,.F.); #276978=ORIENTED_EDGE('',*,*,#205492,.F.); #276979=ORIENTED_EDGE('',*,*,#205493,.T.); #276980=ORIENTED_EDGE('',*,*,#205492,.T.); #276981=ORIENTED_EDGE('',*,*,#205494,.F.); #276982=ORIENTED_EDGE('',*,*,#205495,.F.); #276983=ORIENTED_EDGE('',*,*,#205496,.T.); #276984=ORIENTED_EDGE('',*,*,#205495,.T.); #276985=ORIENTED_EDGE('',*,*,#205497,.F.); #276986=ORIENTED_EDGE('',*,*,#205487,.F.); #276987=ORIENTED_EDGE('',*,*,#205497,.T.); #276988=ORIENTED_EDGE('',*,*,#205494,.T.); #276989=ORIENTED_EDGE('',*,*,#205491,.T.); #276990=ORIENTED_EDGE('',*,*,#205488,.T.); #276991=ORIENTED_EDGE('',*,*,#205470,.T.); #276992=ORIENTED_EDGE('',*,*,#205473,.T.); #276993=ORIENTED_EDGE('',*,*,#205476,.T.); #276994=ORIENTED_EDGE('',*,*,#205479,.T.); #276995=ORIENTED_EDGE('',*,*,#205482,.T.); #276996=ORIENTED_EDGE('',*,*,#205485,.T.); #276997=ORIENTED_EDGE('',*,*,#205496,.F.); #276998=ORIENTED_EDGE('',*,*,#205486,.F.); #276999=ORIENTED_EDGE('',*,*,#205490,.F.); #277000=ORIENTED_EDGE('',*,*,#205493,.F.); #277001=ORIENTED_EDGE('',*,*,#205468,.T.); #277002=ORIENTED_EDGE('',*,*,#205471,.T.); #277003=ORIENTED_EDGE('',*,*,#205474,.T.); #277004=ORIENTED_EDGE('',*,*,#205477,.T.); #277005=ORIENTED_EDGE('',*,*,#205480,.T.); #277006=ORIENTED_EDGE('',*,*,#205483,.T.); #277007=ORIENTED_EDGE('',*,*,#205498,.T.); #277008=ORIENTED_EDGE('',*,*,#205499,.T.); #277009=ORIENTED_EDGE('',*,*,#205500,.F.); #277010=ORIENTED_EDGE('',*,*,#205501,.F.); #277011=ORIENTED_EDGE('',*,*,#205502,.T.); #277012=ORIENTED_EDGE('',*,*,#205501,.T.); #277013=ORIENTED_EDGE('',*,*,#205503,.F.); #277014=ORIENTED_EDGE('',*,*,#205504,.F.); #277015=ORIENTED_EDGE('',*,*,#205505,.T.); #277016=ORIENTED_EDGE('',*,*,#205504,.T.); #277017=ORIENTED_EDGE('',*,*,#205506,.F.); #277018=ORIENTED_EDGE('',*,*,#205507,.F.); #277019=ORIENTED_EDGE('',*,*,#205508,.T.); #277020=ORIENTED_EDGE('',*,*,#205507,.T.); #277021=ORIENTED_EDGE('',*,*,#205509,.F.); #277022=ORIENTED_EDGE('',*,*,#205499,.F.); #277023=ORIENTED_EDGE('',*,*,#205509,.T.); #277024=ORIENTED_EDGE('',*,*,#205506,.T.); #277025=ORIENTED_EDGE('',*,*,#205503,.T.); #277026=ORIENTED_EDGE('',*,*,#205500,.T.); #277027=ORIENTED_EDGE('',*,*,#205508,.F.); #277028=ORIENTED_EDGE('',*,*,#205498,.F.); #277029=ORIENTED_EDGE('',*,*,#205502,.F.); #277030=ORIENTED_EDGE('',*,*,#205505,.F.); #277031=ORIENTED_EDGE('',*,*,#205510,.T.); #277032=ORIENTED_EDGE('',*,*,#205511,.T.); #277033=ORIENTED_EDGE('',*,*,#205512,.F.); #277034=ORIENTED_EDGE('',*,*,#205513,.F.); #277035=ORIENTED_EDGE('',*,*,#205514,.T.); #277036=ORIENTED_EDGE('',*,*,#205513,.T.); #277037=ORIENTED_EDGE('',*,*,#205515,.F.); #277038=ORIENTED_EDGE('',*,*,#205516,.F.); #277039=ORIENTED_EDGE('',*,*,#205517,.T.); #277040=ORIENTED_EDGE('',*,*,#205516,.T.); #277041=ORIENTED_EDGE('',*,*,#205518,.F.); #277042=ORIENTED_EDGE('',*,*,#205519,.F.); #277043=ORIENTED_EDGE('',*,*,#205520,.T.); #277044=ORIENTED_EDGE('',*,*,#205519,.T.); #277045=ORIENTED_EDGE('',*,*,#205521,.F.); #277046=ORIENTED_EDGE('',*,*,#205511,.F.); #277047=ORIENTED_EDGE('',*,*,#205521,.T.); #277048=ORIENTED_EDGE('',*,*,#205518,.T.); #277049=ORIENTED_EDGE('',*,*,#205515,.T.); #277050=ORIENTED_EDGE('',*,*,#205512,.T.); #277051=ORIENTED_EDGE('',*,*,#205520,.F.); #277052=ORIENTED_EDGE('',*,*,#205510,.F.); #277053=ORIENTED_EDGE('',*,*,#205514,.F.); #277054=ORIENTED_EDGE('',*,*,#205517,.F.); #277055=ORIENTED_EDGE('',*,*,#205522,.F.); #277056=ORIENTED_EDGE('',*,*,#205523,.T.); #277057=ORIENTED_EDGE('',*,*,#205524,.F.); #277058=ORIENTED_EDGE('',*,*,#205523,.F.); #277059=ORIENTED_EDGE('',*,*,#205525,.F.); #277060=ORIENTED_EDGE('',*,*,#205526,.T.); #277061=ORIENTED_EDGE('',*,*,#205527,.F.); #277062=ORIENTED_EDGE('',*,*,#205526,.F.); #277063=ORIENTED_EDGE('',*,*,#205528,.F.); #277064=ORIENTED_EDGE('',*,*,#205529,.T.); #277065=ORIENTED_EDGE('',*,*,#205530,.F.); #277066=ORIENTED_EDGE('',*,*,#205529,.F.); #277067=ORIENTED_EDGE('',*,*,#205531,.F.); #277068=ORIENTED_EDGE('',*,*,#205532,.T.); #277069=ORIENTED_EDGE('',*,*,#205533,.F.); #277070=ORIENTED_EDGE('',*,*,#205532,.F.); #277071=ORIENTED_EDGE('',*,*,#205534,.F.); #277072=ORIENTED_EDGE('',*,*,#205535,.T.); #277073=ORIENTED_EDGE('',*,*,#205536,.F.); #277074=ORIENTED_EDGE('',*,*,#205535,.F.); #277075=ORIENTED_EDGE('',*,*,#205537,.F.); #277076=ORIENTED_EDGE('',*,*,#205538,.T.); #277077=ORIENTED_EDGE('',*,*,#205539,.F.); #277078=ORIENTED_EDGE('',*,*,#205538,.F.); #277079=ORIENTED_EDGE('',*,*,#205540,.F.); #277080=ORIENTED_EDGE('',*,*,#205541,.T.); #277081=ORIENTED_EDGE('',*,*,#205542,.F.); #277082=ORIENTED_EDGE('',*,*,#205541,.F.); #277083=ORIENTED_EDGE('',*,*,#205543,.F.); #277084=ORIENTED_EDGE('',*,*,#205544,.T.); #277085=ORIENTED_EDGE('',*,*,#205545,.F.); #277086=ORIENTED_EDGE('',*,*,#205544,.F.); #277087=ORIENTED_EDGE('',*,*,#205546,.F.); #277088=ORIENTED_EDGE('',*,*,#205547,.T.); #277089=ORIENTED_EDGE('',*,*,#205548,.F.); #277090=ORIENTED_EDGE('',*,*,#205547,.F.); #277091=ORIENTED_EDGE('',*,*,#205549,.F.); #277092=ORIENTED_EDGE('',*,*,#205550,.T.); #277093=ORIENTED_EDGE('',*,*,#205551,.F.); #277094=ORIENTED_EDGE('',*,*,#205550,.F.); #277095=ORIENTED_EDGE('',*,*,#205552,.F.); #277096=ORIENTED_EDGE('',*,*,#205553,.T.); #277097=ORIENTED_EDGE('',*,*,#205554,.F.); #277098=ORIENTED_EDGE('',*,*,#205553,.F.); #277099=ORIENTED_EDGE('',*,*,#205555,.F.); #277100=ORIENTED_EDGE('',*,*,#205556,.T.); #277101=ORIENTED_EDGE('',*,*,#205557,.F.); #277102=ORIENTED_EDGE('',*,*,#205556,.F.); #277103=ORIENTED_EDGE('',*,*,#205558,.F.); #277104=ORIENTED_EDGE('',*,*,#205559,.T.); #277105=ORIENTED_EDGE('',*,*,#205560,.F.); #277106=ORIENTED_EDGE('',*,*,#205559,.F.); #277107=ORIENTED_EDGE('',*,*,#205561,.F.); #277108=ORIENTED_EDGE('',*,*,#205562,.T.); #277109=ORIENTED_EDGE('',*,*,#205563,.F.); #277110=ORIENTED_EDGE('',*,*,#205562,.F.); #277111=ORIENTED_EDGE('',*,*,#205564,.F.); #277112=ORIENTED_EDGE('',*,*,#205565,.T.); #277113=ORIENTED_EDGE('',*,*,#205566,.F.); #277114=ORIENTED_EDGE('',*,*,#205565,.F.); #277115=ORIENTED_EDGE('',*,*,#205567,.F.); #277116=ORIENTED_EDGE('',*,*,#205568,.T.); #277117=ORIENTED_EDGE('',*,*,#205569,.F.); #277118=ORIENTED_EDGE('',*,*,#205568,.F.); #277119=ORIENTED_EDGE('',*,*,#205570,.F.); #277120=ORIENTED_EDGE('',*,*,#205571,.T.); #277121=ORIENTED_EDGE('',*,*,#205572,.F.); #277122=ORIENTED_EDGE('',*,*,#205571,.F.); #277123=ORIENTED_EDGE('',*,*,#205573,.F.); #277124=ORIENTED_EDGE('',*,*,#205574,.T.); #277125=ORIENTED_EDGE('',*,*,#205575,.F.); #277126=ORIENTED_EDGE('',*,*,#205574,.F.); #277127=ORIENTED_EDGE('',*,*,#205576,.F.); #277128=ORIENTED_EDGE('',*,*,#205577,.T.); #277129=ORIENTED_EDGE('',*,*,#205578,.F.); #277130=ORIENTED_EDGE('',*,*,#205577,.F.); #277131=ORIENTED_EDGE('',*,*,#205579,.F.); #277132=ORIENTED_EDGE('',*,*,#205580,.T.); #277133=ORIENTED_EDGE('',*,*,#205581,.F.); #277134=ORIENTED_EDGE('',*,*,#205580,.F.); #277135=ORIENTED_EDGE('',*,*,#205582,.F.); #277136=ORIENTED_EDGE('',*,*,#205583,.T.); #277137=ORIENTED_EDGE('',*,*,#205584,.F.); #277138=ORIENTED_EDGE('',*,*,#205583,.F.); #277139=ORIENTED_EDGE('',*,*,#205585,.F.); #277140=ORIENTED_EDGE('',*,*,#205586,.T.); #277141=ORIENTED_EDGE('',*,*,#205587,.F.); #277142=ORIENTED_EDGE('',*,*,#205586,.F.); #277143=ORIENTED_EDGE('',*,*,#205588,.F.); #277144=ORIENTED_EDGE('',*,*,#205589,.T.); #277145=ORIENTED_EDGE('',*,*,#205590,.F.); #277146=ORIENTED_EDGE('',*,*,#205589,.F.); #277147=ORIENTED_EDGE('',*,*,#205591,.F.); #277148=ORIENTED_EDGE('',*,*,#205592,.T.); #277149=ORIENTED_EDGE('',*,*,#205593,.F.); #277150=ORIENTED_EDGE('',*,*,#205592,.F.); #277151=ORIENTED_EDGE('',*,*,#205594,.F.); #277152=ORIENTED_EDGE('',*,*,#205595,.T.); #277153=ORIENTED_EDGE('',*,*,#205596,.F.); #277154=ORIENTED_EDGE('',*,*,#205595,.F.); #277155=ORIENTED_EDGE('',*,*,#205597,.F.); #277156=ORIENTED_EDGE('',*,*,#205598,.T.); #277157=ORIENTED_EDGE('',*,*,#205599,.F.); #277158=ORIENTED_EDGE('',*,*,#205598,.F.); #277159=ORIENTED_EDGE('',*,*,#205600,.F.); #277160=ORIENTED_EDGE('',*,*,#205601,.T.); #277161=ORIENTED_EDGE('',*,*,#205602,.F.); #277162=ORIENTED_EDGE('',*,*,#205601,.F.); #277163=ORIENTED_EDGE('',*,*,#205603,.F.); #277164=ORIENTED_EDGE('',*,*,#205604,.T.); #277165=ORIENTED_EDGE('',*,*,#205605,.F.); #277166=ORIENTED_EDGE('',*,*,#205604,.F.); #277167=ORIENTED_EDGE('',*,*,#205606,.F.); #277168=ORIENTED_EDGE('',*,*,#205607,.T.); #277169=ORIENTED_EDGE('',*,*,#205608,.F.); #277170=ORIENTED_EDGE('',*,*,#205607,.F.); #277171=ORIENTED_EDGE('',*,*,#205609,.F.); #277172=ORIENTED_EDGE('',*,*,#205610,.T.); #277173=ORIENTED_EDGE('',*,*,#205611,.F.); #277174=ORIENTED_EDGE('',*,*,#205610,.F.); #277175=ORIENTED_EDGE('',*,*,#205612,.F.); #277176=ORIENTED_EDGE('',*,*,#205613,.T.); #277177=ORIENTED_EDGE('',*,*,#205614,.F.); #277178=ORIENTED_EDGE('',*,*,#205613,.F.); #277179=ORIENTED_EDGE('',*,*,#205615,.F.); #277180=ORIENTED_EDGE('',*,*,#205616,.T.); #277181=ORIENTED_EDGE('',*,*,#205617,.F.); #277182=ORIENTED_EDGE('',*,*,#205616,.F.); #277183=ORIENTED_EDGE('',*,*,#205618,.F.); #277184=ORIENTED_EDGE('',*,*,#205619,.T.); #277185=ORIENTED_EDGE('',*,*,#205620,.F.); #277186=ORIENTED_EDGE('',*,*,#205619,.F.); #277187=ORIENTED_EDGE('',*,*,#205621,.F.); #277188=ORIENTED_EDGE('',*,*,#205622,.T.); #277189=ORIENTED_EDGE('',*,*,#205623,.F.); #277190=ORIENTED_EDGE('',*,*,#205622,.F.); #277191=ORIENTED_EDGE('',*,*,#205624,.F.); #277192=ORIENTED_EDGE('',*,*,#205625,.T.); #277193=ORIENTED_EDGE('',*,*,#205626,.F.); #277194=ORIENTED_EDGE('',*,*,#205625,.F.); #277195=ORIENTED_EDGE('',*,*,#205627,.F.); #277196=ORIENTED_EDGE('',*,*,#205628,.T.); #277197=ORIENTED_EDGE('',*,*,#205629,.F.); #277198=ORIENTED_EDGE('',*,*,#205628,.F.); #277199=ORIENTED_EDGE('',*,*,#205630,.F.); #277200=ORIENTED_EDGE('',*,*,#205631,.T.); #277201=ORIENTED_EDGE('',*,*,#205632,.F.); #277202=ORIENTED_EDGE('',*,*,#205631,.F.); #277203=ORIENTED_EDGE('',*,*,#205633,.F.); #277204=ORIENTED_EDGE('',*,*,#205634,.T.); #277205=ORIENTED_EDGE('',*,*,#205635,.F.); #277206=ORIENTED_EDGE('',*,*,#205634,.F.); #277207=ORIENTED_EDGE('',*,*,#205636,.F.); #277208=ORIENTED_EDGE('',*,*,#205637,.T.); #277209=ORIENTED_EDGE('',*,*,#205638,.F.); #277210=ORIENTED_EDGE('',*,*,#205637,.F.); #277211=ORIENTED_EDGE('',*,*,#205639,.F.); #277212=ORIENTED_EDGE('',*,*,#205640,.T.); #277213=ORIENTED_EDGE('',*,*,#205641,.F.); #277214=ORIENTED_EDGE('',*,*,#205640,.F.); #277215=ORIENTED_EDGE('',*,*,#205642,.F.); #277216=ORIENTED_EDGE('',*,*,#205643,.T.); #277217=ORIENTED_EDGE('',*,*,#205644,.F.); #277218=ORIENTED_EDGE('',*,*,#205643,.F.); #277219=ORIENTED_EDGE('',*,*,#205645,.F.); #277220=ORIENTED_EDGE('',*,*,#205646,.T.); #277221=ORIENTED_EDGE('',*,*,#205647,.F.); #277222=ORIENTED_EDGE('',*,*,#205646,.F.); #277223=ORIENTED_EDGE('',*,*,#205648,.F.); #277224=ORIENTED_EDGE('',*,*,#205649,.T.); #277225=ORIENTED_EDGE('',*,*,#205650,.F.); #277226=ORIENTED_EDGE('',*,*,#205649,.F.); #277227=ORIENTED_EDGE('',*,*,#205651,.F.); #277228=ORIENTED_EDGE('',*,*,#205652,.T.); #277229=ORIENTED_EDGE('',*,*,#205653,.F.); #277230=ORIENTED_EDGE('',*,*,#205652,.F.); #277231=ORIENTED_EDGE('',*,*,#205654,.F.); #277232=ORIENTED_EDGE('',*,*,#205655,.T.); #277233=ORIENTED_EDGE('',*,*,#205656,.F.); #277234=ORIENTED_EDGE('',*,*,#205655,.F.); #277235=ORIENTED_EDGE('',*,*,#205657,.F.); #277236=ORIENTED_EDGE('',*,*,#205658,.T.); #277237=ORIENTED_EDGE('',*,*,#205659,.F.); #277238=ORIENTED_EDGE('',*,*,#205658,.F.); #277239=ORIENTED_EDGE('',*,*,#205660,.F.); #277240=ORIENTED_EDGE('',*,*,#205661,.T.); #277241=ORIENTED_EDGE('',*,*,#205662,.F.); #277242=ORIENTED_EDGE('',*,*,#205661,.F.); #277243=ORIENTED_EDGE('',*,*,#205663,.F.); #277244=ORIENTED_EDGE('',*,*,#205664,.T.); #277245=ORIENTED_EDGE('',*,*,#205665,.F.); #277246=ORIENTED_EDGE('',*,*,#205664,.F.); #277247=ORIENTED_EDGE('',*,*,#205666,.F.); #277248=ORIENTED_EDGE('',*,*,#205667,.T.); #277249=ORIENTED_EDGE('',*,*,#205668,.F.); #277250=ORIENTED_EDGE('',*,*,#205667,.F.); #277251=ORIENTED_EDGE('',*,*,#205669,.T.); #277252=ORIENTED_EDGE('',*,*,#205670,.T.); #277253=ORIENTED_EDGE('',*,*,#205671,.F.); #277254=ORIENTED_EDGE('',*,*,#205672,.F.); #277255=ORIENTED_EDGE('',*,*,#205673,.T.); #277256=ORIENTED_EDGE('',*,*,#205672,.T.); #277257=ORIENTED_EDGE('',*,*,#205674,.F.); #277258=ORIENTED_EDGE('',*,*,#205675,.F.); #277259=ORIENTED_EDGE('',*,*,#205676,.T.); #277260=ORIENTED_EDGE('',*,*,#205675,.T.); #277261=ORIENTED_EDGE('',*,*,#205677,.F.); #277262=ORIENTED_EDGE('',*,*,#205678,.F.); #277263=ORIENTED_EDGE('',*,*,#205679,.T.); #277264=ORIENTED_EDGE('',*,*,#205678,.T.); #277265=ORIENTED_EDGE('',*,*,#205680,.F.); #277266=ORIENTED_EDGE('',*,*,#205670,.F.); #277267=ORIENTED_EDGE('',*,*,#205680,.T.); #277268=ORIENTED_EDGE('',*,*,#205677,.T.); #277269=ORIENTED_EDGE('',*,*,#205674,.T.); #277270=ORIENTED_EDGE('',*,*,#205671,.T.); #277271=ORIENTED_EDGE('',*,*,#205524,.T.); #277272=ORIENTED_EDGE('',*,*,#205527,.T.); #277273=ORIENTED_EDGE('',*,*,#205530,.T.); #277274=ORIENTED_EDGE('',*,*,#205533,.T.); #277275=ORIENTED_EDGE('',*,*,#205536,.T.); #277276=ORIENTED_EDGE('',*,*,#205539,.T.); #277277=ORIENTED_EDGE('',*,*,#205542,.T.); #277278=ORIENTED_EDGE('',*,*,#205545,.T.); #277279=ORIENTED_EDGE('',*,*,#205548,.T.); #277280=ORIENTED_EDGE('',*,*,#205551,.T.); #277281=ORIENTED_EDGE('',*,*,#205554,.T.); #277282=ORIENTED_EDGE('',*,*,#205557,.T.); #277283=ORIENTED_EDGE('',*,*,#205560,.T.); #277284=ORIENTED_EDGE('',*,*,#205563,.T.); #277285=ORIENTED_EDGE('',*,*,#205566,.T.); #277286=ORIENTED_EDGE('',*,*,#205569,.T.); #277287=ORIENTED_EDGE('',*,*,#205572,.T.); #277288=ORIENTED_EDGE('',*,*,#205575,.T.); #277289=ORIENTED_EDGE('',*,*,#205578,.T.); #277290=ORIENTED_EDGE('',*,*,#205581,.T.); #277291=ORIENTED_EDGE('',*,*,#205584,.T.); #277292=ORIENTED_EDGE('',*,*,#205587,.T.); #277293=ORIENTED_EDGE('',*,*,#205590,.T.); #277294=ORIENTED_EDGE('',*,*,#205593,.T.); #277295=ORIENTED_EDGE('',*,*,#205596,.T.); #277296=ORIENTED_EDGE('',*,*,#205599,.T.); #277297=ORIENTED_EDGE('',*,*,#205602,.T.); #277298=ORIENTED_EDGE('',*,*,#205605,.T.); #277299=ORIENTED_EDGE('',*,*,#205608,.T.); #277300=ORIENTED_EDGE('',*,*,#205611,.T.); #277301=ORIENTED_EDGE('',*,*,#205614,.T.); #277302=ORIENTED_EDGE('',*,*,#205617,.T.); #277303=ORIENTED_EDGE('',*,*,#205620,.T.); #277304=ORIENTED_EDGE('',*,*,#205623,.T.); #277305=ORIENTED_EDGE('',*,*,#205626,.T.); #277306=ORIENTED_EDGE('',*,*,#205629,.T.); #277307=ORIENTED_EDGE('',*,*,#205632,.T.); #277308=ORIENTED_EDGE('',*,*,#205635,.T.); #277309=ORIENTED_EDGE('',*,*,#205638,.T.); #277310=ORIENTED_EDGE('',*,*,#205641,.T.); #277311=ORIENTED_EDGE('',*,*,#205644,.T.); #277312=ORIENTED_EDGE('',*,*,#205647,.T.); #277313=ORIENTED_EDGE('',*,*,#205650,.T.); #277314=ORIENTED_EDGE('',*,*,#205653,.T.); #277315=ORIENTED_EDGE('',*,*,#205656,.T.); #277316=ORIENTED_EDGE('',*,*,#205659,.T.); #277317=ORIENTED_EDGE('',*,*,#205662,.T.); #277318=ORIENTED_EDGE('',*,*,#205665,.T.); #277319=ORIENTED_EDGE('',*,*,#205668,.T.); #277320=ORIENTED_EDGE('',*,*,#205679,.F.); #277321=ORIENTED_EDGE('',*,*,#205669,.F.); #277322=ORIENTED_EDGE('',*,*,#205673,.F.); #277323=ORIENTED_EDGE('',*,*,#205676,.F.); #277324=ORIENTED_EDGE('',*,*,#205522,.T.); #277325=ORIENTED_EDGE('',*,*,#205525,.T.); #277326=ORIENTED_EDGE('',*,*,#205528,.T.); #277327=ORIENTED_EDGE('',*,*,#205531,.T.); #277328=ORIENTED_EDGE('',*,*,#205534,.T.); #277329=ORIENTED_EDGE('',*,*,#205537,.T.); #277330=ORIENTED_EDGE('',*,*,#205540,.T.); #277331=ORIENTED_EDGE('',*,*,#205543,.T.); #277332=ORIENTED_EDGE('',*,*,#205546,.T.); #277333=ORIENTED_EDGE('',*,*,#205549,.T.); #277334=ORIENTED_EDGE('',*,*,#205552,.T.); #277335=ORIENTED_EDGE('',*,*,#205555,.T.); #277336=ORIENTED_EDGE('',*,*,#205558,.T.); #277337=ORIENTED_EDGE('',*,*,#205561,.T.); #277338=ORIENTED_EDGE('',*,*,#205564,.T.); #277339=ORIENTED_EDGE('',*,*,#205567,.T.); #277340=ORIENTED_EDGE('',*,*,#205570,.T.); #277341=ORIENTED_EDGE('',*,*,#205573,.T.); #277342=ORIENTED_EDGE('',*,*,#205576,.T.); #277343=ORIENTED_EDGE('',*,*,#205579,.T.); #277344=ORIENTED_EDGE('',*,*,#205582,.T.); #277345=ORIENTED_EDGE('',*,*,#205585,.T.); #277346=ORIENTED_EDGE('',*,*,#205588,.T.); #277347=ORIENTED_EDGE('',*,*,#205591,.T.); #277348=ORIENTED_EDGE('',*,*,#205594,.T.); #277349=ORIENTED_EDGE('',*,*,#205597,.T.); #277350=ORIENTED_EDGE('',*,*,#205600,.T.); #277351=ORIENTED_EDGE('',*,*,#205603,.T.); #277352=ORIENTED_EDGE('',*,*,#205606,.T.); #277353=ORIENTED_EDGE('',*,*,#205609,.T.); #277354=ORIENTED_EDGE('',*,*,#205612,.T.); #277355=ORIENTED_EDGE('',*,*,#205615,.T.); #277356=ORIENTED_EDGE('',*,*,#205618,.T.); #277357=ORIENTED_EDGE('',*,*,#205621,.T.); #277358=ORIENTED_EDGE('',*,*,#205624,.T.); #277359=ORIENTED_EDGE('',*,*,#205627,.T.); #277360=ORIENTED_EDGE('',*,*,#205630,.T.); #277361=ORIENTED_EDGE('',*,*,#205633,.T.); #277362=ORIENTED_EDGE('',*,*,#205636,.T.); #277363=ORIENTED_EDGE('',*,*,#205639,.T.); #277364=ORIENTED_EDGE('',*,*,#205642,.T.); #277365=ORIENTED_EDGE('',*,*,#205645,.T.); #277366=ORIENTED_EDGE('',*,*,#205648,.T.); #277367=ORIENTED_EDGE('',*,*,#205651,.T.); #277368=ORIENTED_EDGE('',*,*,#205654,.T.); #277369=ORIENTED_EDGE('',*,*,#205657,.T.); #277370=ORIENTED_EDGE('',*,*,#205660,.T.); #277371=ORIENTED_EDGE('',*,*,#205663,.T.); #277372=ORIENTED_EDGE('',*,*,#205666,.T.); #277373=ORIENTED_EDGE('',*,*,#205681,.T.); #277374=ORIENTED_EDGE('',*,*,#205682,.T.); #277375=ORIENTED_EDGE('',*,*,#205683,.F.); #277376=ORIENTED_EDGE('',*,*,#205684,.F.); #277377=ORIENTED_EDGE('',*,*,#205685,.T.); #277378=ORIENTED_EDGE('',*,*,#205684,.T.); #277379=ORIENTED_EDGE('',*,*,#205686,.F.); #277380=ORIENTED_EDGE('',*,*,#205687,.F.); #277381=ORIENTED_EDGE('',*,*,#205688,.T.); #277382=ORIENTED_EDGE('',*,*,#205687,.T.); #277383=ORIENTED_EDGE('',*,*,#205689,.F.); #277384=ORIENTED_EDGE('',*,*,#205690,.F.); #277385=ORIENTED_EDGE('',*,*,#205691,.T.); #277386=ORIENTED_EDGE('',*,*,#205690,.T.); #277387=ORIENTED_EDGE('',*,*,#205692,.F.); #277388=ORIENTED_EDGE('',*,*,#205682,.F.); #277389=ORIENTED_EDGE('',*,*,#205692,.T.); #277390=ORIENTED_EDGE('',*,*,#205689,.T.); #277391=ORIENTED_EDGE('',*,*,#205686,.T.); #277392=ORIENTED_EDGE('',*,*,#205683,.T.); #277393=ORIENTED_EDGE('',*,*,#205691,.F.); #277394=ORIENTED_EDGE('',*,*,#205681,.F.); #277395=ORIENTED_EDGE('',*,*,#205685,.F.); #277396=ORIENTED_EDGE('',*,*,#205688,.F.); #277397=ORIENTED_EDGE('',*,*,#205693,.T.); #277398=ORIENTED_EDGE('',*,*,#205694,.T.); #277399=ORIENTED_EDGE('',*,*,#205695,.F.); #277400=ORIENTED_EDGE('',*,*,#205696,.F.); #277401=ORIENTED_EDGE('',*,*,#205697,.T.); #277402=ORIENTED_EDGE('',*,*,#205696,.T.); #277403=ORIENTED_EDGE('',*,*,#205698,.F.); #277404=ORIENTED_EDGE('',*,*,#205699,.F.); #277405=ORIENTED_EDGE('',*,*,#205700,.T.); #277406=ORIENTED_EDGE('',*,*,#205699,.T.); #277407=ORIENTED_EDGE('',*,*,#205701,.F.); #277408=ORIENTED_EDGE('',*,*,#205702,.F.); #277409=ORIENTED_EDGE('',*,*,#205703,.T.); #277410=ORIENTED_EDGE('',*,*,#205702,.T.); #277411=ORIENTED_EDGE('',*,*,#205704,.F.); #277412=ORIENTED_EDGE('',*,*,#205694,.F.); #277413=ORIENTED_EDGE('',*,*,#205704,.T.); #277414=ORIENTED_EDGE('',*,*,#205701,.T.); #277415=ORIENTED_EDGE('',*,*,#205698,.T.); #277416=ORIENTED_EDGE('',*,*,#205695,.T.); #277417=ORIENTED_EDGE('',*,*,#205703,.F.); #277418=ORIENTED_EDGE('',*,*,#205693,.F.); #277419=ORIENTED_EDGE('',*,*,#205697,.F.); #277420=ORIENTED_EDGE('',*,*,#205700,.F.); #277421=ORIENTED_EDGE('',*,*,#205705,.T.); #277422=ORIENTED_EDGE('',*,*,#205706,.T.); #277423=ORIENTED_EDGE('',*,*,#205707,.F.); #277424=ORIENTED_EDGE('',*,*,#205708,.F.); #277425=ORIENTED_EDGE('',*,*,#205709,.T.); #277426=ORIENTED_EDGE('',*,*,#205708,.T.); #277427=ORIENTED_EDGE('',*,*,#205710,.F.); #277428=ORIENTED_EDGE('',*,*,#205711,.F.); #277429=ORIENTED_EDGE('',*,*,#205712,.T.); #277430=ORIENTED_EDGE('',*,*,#205711,.T.); #277431=ORIENTED_EDGE('',*,*,#205713,.F.); #277432=ORIENTED_EDGE('',*,*,#205714,.F.); #277433=ORIENTED_EDGE('',*,*,#205715,.T.); #277434=ORIENTED_EDGE('',*,*,#205714,.T.); #277435=ORIENTED_EDGE('',*,*,#205716,.F.); #277436=ORIENTED_EDGE('',*,*,#205706,.F.); #277437=ORIENTED_EDGE('',*,*,#205716,.T.); #277438=ORIENTED_EDGE('',*,*,#205713,.T.); #277439=ORIENTED_EDGE('',*,*,#205710,.T.); #277440=ORIENTED_EDGE('',*,*,#205707,.T.); #277441=ORIENTED_EDGE('',*,*,#205715,.F.); #277442=ORIENTED_EDGE('',*,*,#205705,.F.); #277443=ORIENTED_EDGE('',*,*,#205709,.F.); #277444=ORIENTED_EDGE('',*,*,#205712,.F.); #277445=ORIENTED_EDGE('',*,*,#205717,.T.); #277446=ORIENTED_EDGE('',*,*,#205718,.T.); #277447=ORIENTED_EDGE('',*,*,#205719,.F.); #277448=ORIENTED_EDGE('',*,*,#205720,.F.); #277449=ORIENTED_EDGE('',*,*,#205721,.T.); #277450=ORIENTED_EDGE('',*,*,#205720,.T.); #277451=ORIENTED_EDGE('',*,*,#205722,.F.); #277452=ORIENTED_EDGE('',*,*,#205723,.F.); #277453=ORIENTED_EDGE('',*,*,#205724,.T.); #277454=ORIENTED_EDGE('',*,*,#205723,.T.); #277455=ORIENTED_EDGE('',*,*,#205725,.F.); #277456=ORIENTED_EDGE('',*,*,#205726,.F.); #277457=ORIENTED_EDGE('',*,*,#205727,.T.); #277458=ORIENTED_EDGE('',*,*,#205726,.T.); #277459=ORIENTED_EDGE('',*,*,#205728,.F.); #277460=ORIENTED_EDGE('',*,*,#205718,.F.); #277461=ORIENTED_EDGE('',*,*,#205728,.T.); #277462=ORIENTED_EDGE('',*,*,#205725,.T.); #277463=ORIENTED_EDGE('',*,*,#205722,.T.); #277464=ORIENTED_EDGE('',*,*,#205719,.T.); #277465=ORIENTED_EDGE('',*,*,#205727,.F.); #277466=ORIENTED_EDGE('',*,*,#205717,.F.); #277467=ORIENTED_EDGE('',*,*,#205721,.F.); #277468=ORIENTED_EDGE('',*,*,#205724,.F.); #277469=ORIENTED_EDGE('',*,*,#205729,.T.); #277470=ORIENTED_EDGE('',*,*,#205730,.T.); #277471=ORIENTED_EDGE('',*,*,#205731,.F.); #277472=ORIENTED_EDGE('',*,*,#205732,.F.); #277473=ORIENTED_EDGE('',*,*,#205733,.T.); #277474=ORIENTED_EDGE('',*,*,#205732,.T.); #277475=ORIENTED_EDGE('',*,*,#205734,.F.); #277476=ORIENTED_EDGE('',*,*,#205735,.F.); #277477=ORIENTED_EDGE('',*,*,#205736,.T.); #277478=ORIENTED_EDGE('',*,*,#205735,.T.); #277479=ORIENTED_EDGE('',*,*,#205737,.F.); #277480=ORIENTED_EDGE('',*,*,#205738,.F.); #277481=ORIENTED_EDGE('',*,*,#205739,.T.); #277482=ORIENTED_EDGE('',*,*,#205738,.T.); #277483=ORIENTED_EDGE('',*,*,#205740,.F.); #277484=ORIENTED_EDGE('',*,*,#205730,.F.); #277485=ORIENTED_EDGE('',*,*,#205740,.T.); #277486=ORIENTED_EDGE('',*,*,#205737,.T.); #277487=ORIENTED_EDGE('',*,*,#205734,.T.); #277488=ORIENTED_EDGE('',*,*,#205731,.T.); #277489=ORIENTED_EDGE('',*,*,#205739,.F.); #277490=ORIENTED_EDGE('',*,*,#205729,.F.); #277491=ORIENTED_EDGE('',*,*,#205733,.F.); #277492=ORIENTED_EDGE('',*,*,#205736,.F.); #277493=ORIENTED_EDGE('',*,*,#205741,.T.); #277494=ORIENTED_EDGE('',*,*,#205742,.T.); #277495=ORIENTED_EDGE('',*,*,#205743,.F.); #277496=ORIENTED_EDGE('',*,*,#205744,.F.); #277497=ORIENTED_EDGE('',*,*,#205745,.T.); #277498=ORIENTED_EDGE('',*,*,#205744,.T.); #277499=ORIENTED_EDGE('',*,*,#205746,.F.); #277500=ORIENTED_EDGE('',*,*,#205747,.F.); #277501=ORIENTED_EDGE('',*,*,#205748,.T.); #277502=ORIENTED_EDGE('',*,*,#205747,.T.); #277503=ORIENTED_EDGE('',*,*,#205749,.F.); #277504=ORIENTED_EDGE('',*,*,#205750,.F.); #277505=ORIENTED_EDGE('',*,*,#205751,.T.); #277506=ORIENTED_EDGE('',*,*,#205750,.T.); #277507=ORIENTED_EDGE('',*,*,#205752,.F.); #277508=ORIENTED_EDGE('',*,*,#205742,.F.); #277509=ORIENTED_EDGE('',*,*,#205752,.T.); #277510=ORIENTED_EDGE('',*,*,#205749,.T.); #277511=ORIENTED_EDGE('',*,*,#205746,.T.); #277512=ORIENTED_EDGE('',*,*,#205743,.T.); #277513=ORIENTED_EDGE('',*,*,#205751,.F.); #277514=ORIENTED_EDGE('',*,*,#205741,.F.); #277515=ORIENTED_EDGE('',*,*,#205745,.F.); #277516=ORIENTED_EDGE('',*,*,#205748,.F.); #277517=ORIENTED_EDGE('',*,*,#205753,.T.); #277518=ORIENTED_EDGE('',*,*,#205754,.T.); #277519=ORIENTED_EDGE('',*,*,#205755,.F.); #277520=ORIENTED_EDGE('',*,*,#205756,.F.); #277521=ORIENTED_EDGE('',*,*,#205757,.T.); #277522=ORIENTED_EDGE('',*,*,#205756,.T.); #277523=ORIENTED_EDGE('',*,*,#205758,.F.); #277524=ORIENTED_EDGE('',*,*,#205759,.F.); #277525=ORIENTED_EDGE('',*,*,#205760,.T.); #277526=ORIENTED_EDGE('',*,*,#205759,.T.); #277527=ORIENTED_EDGE('',*,*,#205761,.F.); #277528=ORIENTED_EDGE('',*,*,#205762,.F.); #277529=ORIENTED_EDGE('',*,*,#205763,.T.); #277530=ORIENTED_EDGE('',*,*,#205762,.T.); #277531=ORIENTED_EDGE('',*,*,#205764,.F.); #277532=ORIENTED_EDGE('',*,*,#205754,.F.); #277533=ORIENTED_EDGE('',*,*,#205764,.T.); #277534=ORIENTED_EDGE('',*,*,#205761,.T.); #277535=ORIENTED_EDGE('',*,*,#205758,.T.); #277536=ORIENTED_EDGE('',*,*,#205755,.T.); #277537=ORIENTED_EDGE('',*,*,#205763,.F.); #277538=ORIENTED_EDGE('',*,*,#205753,.F.); #277539=ORIENTED_EDGE('',*,*,#205757,.F.); #277540=ORIENTED_EDGE('',*,*,#205760,.F.); #277541=ORIENTED_EDGE('',*,*,#205765,.T.); #277542=ORIENTED_EDGE('',*,*,#205766,.T.); #277543=ORIENTED_EDGE('',*,*,#205767,.F.); #277544=ORIENTED_EDGE('',*,*,#205768,.F.); #277545=ORIENTED_EDGE('',*,*,#205769,.T.); #277546=ORIENTED_EDGE('',*,*,#205768,.T.); #277547=ORIENTED_EDGE('',*,*,#205770,.F.); #277548=ORIENTED_EDGE('',*,*,#205771,.F.); #277549=ORIENTED_EDGE('',*,*,#205772,.T.); #277550=ORIENTED_EDGE('',*,*,#205771,.T.); #277551=ORIENTED_EDGE('',*,*,#205773,.F.); #277552=ORIENTED_EDGE('',*,*,#205774,.F.); #277553=ORIENTED_EDGE('',*,*,#205775,.T.); #277554=ORIENTED_EDGE('',*,*,#205774,.T.); #277555=ORIENTED_EDGE('',*,*,#205776,.F.); #277556=ORIENTED_EDGE('',*,*,#205766,.F.); #277557=ORIENTED_EDGE('',*,*,#205776,.T.); #277558=ORIENTED_EDGE('',*,*,#205773,.T.); #277559=ORIENTED_EDGE('',*,*,#205770,.T.); #277560=ORIENTED_EDGE('',*,*,#205767,.T.); #277561=ORIENTED_EDGE('',*,*,#205775,.F.); #277562=ORIENTED_EDGE('',*,*,#205765,.F.); #277563=ORIENTED_EDGE('',*,*,#205769,.F.); #277564=ORIENTED_EDGE('',*,*,#205772,.F.); #277565=ORIENTED_EDGE('',*,*,#205777,.T.); #277566=ORIENTED_EDGE('',*,*,#205778,.T.); #277567=ORIENTED_EDGE('',*,*,#205779,.F.); #277568=ORIENTED_EDGE('',*,*,#205780,.F.); #277569=ORIENTED_EDGE('',*,*,#205781,.T.); #277570=ORIENTED_EDGE('',*,*,#205780,.T.); #277571=ORIENTED_EDGE('',*,*,#205782,.F.); #277572=ORIENTED_EDGE('',*,*,#205783,.F.); #277573=ORIENTED_EDGE('',*,*,#205784,.T.); #277574=ORIENTED_EDGE('',*,*,#205783,.T.); #277575=ORIENTED_EDGE('',*,*,#205785,.F.); #277576=ORIENTED_EDGE('',*,*,#205786,.F.); #277577=ORIENTED_EDGE('',*,*,#205787,.T.); #277578=ORIENTED_EDGE('',*,*,#205786,.T.); #277579=ORIENTED_EDGE('',*,*,#205788,.F.); #277580=ORIENTED_EDGE('',*,*,#205778,.F.); #277581=ORIENTED_EDGE('',*,*,#205788,.T.); #277582=ORIENTED_EDGE('',*,*,#205785,.T.); #277583=ORIENTED_EDGE('',*,*,#205782,.T.); #277584=ORIENTED_EDGE('',*,*,#205779,.T.); #277585=ORIENTED_EDGE('',*,*,#205787,.F.); #277586=ORIENTED_EDGE('',*,*,#205777,.F.); #277587=ORIENTED_EDGE('',*,*,#205781,.F.); #277588=ORIENTED_EDGE('',*,*,#205784,.F.); #277589=ORIENTED_EDGE('',*,*,#205789,.T.); #277590=ORIENTED_EDGE('',*,*,#205790,.T.); #277591=ORIENTED_EDGE('',*,*,#205791,.F.); #277592=ORIENTED_EDGE('',*,*,#205792,.F.); #277593=ORIENTED_EDGE('',*,*,#205793,.T.); #277594=ORIENTED_EDGE('',*,*,#205792,.T.); #277595=ORIENTED_EDGE('',*,*,#205794,.F.); #277596=ORIENTED_EDGE('',*,*,#205795,.F.); #277597=ORIENTED_EDGE('',*,*,#205796,.T.); #277598=ORIENTED_EDGE('',*,*,#205795,.T.); #277599=ORIENTED_EDGE('',*,*,#205797,.F.); #277600=ORIENTED_EDGE('',*,*,#205798,.F.); #277601=ORIENTED_EDGE('',*,*,#205799,.T.); #277602=ORIENTED_EDGE('',*,*,#205798,.T.); #277603=ORIENTED_EDGE('',*,*,#205800,.F.); #277604=ORIENTED_EDGE('',*,*,#205790,.F.); #277605=ORIENTED_EDGE('',*,*,#205800,.T.); #277606=ORIENTED_EDGE('',*,*,#205797,.T.); #277607=ORIENTED_EDGE('',*,*,#205794,.T.); #277608=ORIENTED_EDGE('',*,*,#205791,.T.); #277609=ORIENTED_EDGE('',*,*,#205799,.F.); #277610=ORIENTED_EDGE('',*,*,#205789,.F.); #277611=ORIENTED_EDGE('',*,*,#205793,.F.); #277612=ORIENTED_EDGE('',*,*,#205796,.F.); #277613=ORIENTED_EDGE('',*,*,#205801,.T.); #277614=ORIENTED_EDGE('',*,*,#205802,.T.); #277615=ORIENTED_EDGE('',*,*,#205803,.F.); #277616=ORIENTED_EDGE('',*,*,#205804,.F.); #277617=ORIENTED_EDGE('',*,*,#205805,.T.); #277618=ORIENTED_EDGE('',*,*,#205804,.T.); #277619=ORIENTED_EDGE('',*,*,#205806,.F.); #277620=ORIENTED_EDGE('',*,*,#205807,.F.); #277621=ORIENTED_EDGE('',*,*,#205808,.T.); #277622=ORIENTED_EDGE('',*,*,#205807,.T.); #277623=ORIENTED_EDGE('',*,*,#205809,.F.); #277624=ORIENTED_EDGE('',*,*,#205810,.F.); #277625=ORIENTED_EDGE('',*,*,#205811,.T.); #277626=ORIENTED_EDGE('',*,*,#205810,.T.); #277627=ORIENTED_EDGE('',*,*,#205812,.F.); #277628=ORIENTED_EDGE('',*,*,#205802,.F.); #277629=ORIENTED_EDGE('',*,*,#205812,.T.); #277630=ORIENTED_EDGE('',*,*,#205809,.T.); #277631=ORIENTED_EDGE('',*,*,#205806,.T.); #277632=ORIENTED_EDGE('',*,*,#205803,.T.); #277633=ORIENTED_EDGE('',*,*,#205811,.F.); #277634=ORIENTED_EDGE('',*,*,#205801,.F.); #277635=ORIENTED_EDGE('',*,*,#205805,.F.); #277636=ORIENTED_EDGE('',*,*,#205808,.F.); #277637=ORIENTED_EDGE('',*,*,#205813,.T.); #277638=ORIENTED_EDGE('',*,*,#205814,.T.); #277639=ORIENTED_EDGE('',*,*,#205815,.F.); #277640=ORIENTED_EDGE('',*,*,#205816,.F.); #277641=ORIENTED_EDGE('',*,*,#205817,.T.); #277642=ORIENTED_EDGE('',*,*,#205816,.T.); #277643=ORIENTED_EDGE('',*,*,#205818,.F.); #277644=ORIENTED_EDGE('',*,*,#205819,.F.); #277645=ORIENTED_EDGE('',*,*,#205820,.T.); #277646=ORIENTED_EDGE('',*,*,#205819,.T.); #277647=ORIENTED_EDGE('',*,*,#205821,.F.); #277648=ORIENTED_EDGE('',*,*,#205822,.F.); #277649=ORIENTED_EDGE('',*,*,#205823,.T.); #277650=ORIENTED_EDGE('',*,*,#205822,.T.); #277651=ORIENTED_EDGE('',*,*,#205824,.F.); #277652=ORIENTED_EDGE('',*,*,#205814,.F.); #277653=ORIENTED_EDGE('',*,*,#205824,.T.); #277654=ORIENTED_EDGE('',*,*,#205821,.T.); #277655=ORIENTED_EDGE('',*,*,#205818,.T.); #277656=ORIENTED_EDGE('',*,*,#205815,.T.); #277657=ORIENTED_EDGE('',*,*,#205823,.F.); #277658=ORIENTED_EDGE('',*,*,#205813,.F.); #277659=ORIENTED_EDGE('',*,*,#205817,.F.); #277660=ORIENTED_EDGE('',*,*,#205820,.F.); #277661=ORIENTED_EDGE('',*,*,#205825,.T.); #277662=ORIENTED_EDGE('',*,*,#205826,.T.); #277663=ORIENTED_EDGE('',*,*,#205827,.F.); #277664=ORIENTED_EDGE('',*,*,#205828,.F.); #277665=ORIENTED_EDGE('',*,*,#205829,.T.); #277666=ORIENTED_EDGE('',*,*,#205828,.T.); #277667=ORIENTED_EDGE('',*,*,#205830,.F.); #277668=ORIENTED_EDGE('',*,*,#205831,.F.); #277669=ORIENTED_EDGE('',*,*,#205832,.T.); #277670=ORIENTED_EDGE('',*,*,#205831,.T.); #277671=ORIENTED_EDGE('',*,*,#205833,.F.); #277672=ORIENTED_EDGE('',*,*,#205834,.F.); #277673=ORIENTED_EDGE('',*,*,#205835,.T.); #277674=ORIENTED_EDGE('',*,*,#205834,.T.); #277675=ORIENTED_EDGE('',*,*,#205836,.F.); #277676=ORIENTED_EDGE('',*,*,#205826,.F.); #277677=ORIENTED_EDGE('',*,*,#205836,.T.); #277678=ORIENTED_EDGE('',*,*,#205833,.T.); #277679=ORIENTED_EDGE('',*,*,#205830,.T.); #277680=ORIENTED_EDGE('',*,*,#205827,.T.); #277681=ORIENTED_EDGE('',*,*,#205835,.F.); #277682=ORIENTED_EDGE('',*,*,#205825,.F.); #277683=ORIENTED_EDGE('',*,*,#205829,.F.); #277684=ORIENTED_EDGE('',*,*,#205832,.F.); #277685=ORIENTED_EDGE('',*,*,#205837,.T.); #277686=ORIENTED_EDGE('',*,*,#205838,.T.); #277687=ORIENTED_EDGE('',*,*,#205839,.F.); #277688=ORIENTED_EDGE('',*,*,#205840,.F.); #277689=ORIENTED_EDGE('',*,*,#205841,.T.); #277690=ORIENTED_EDGE('',*,*,#205840,.T.); #277691=ORIENTED_EDGE('',*,*,#205842,.F.); #277692=ORIENTED_EDGE('',*,*,#205843,.F.); #277693=ORIENTED_EDGE('',*,*,#205844,.T.); #277694=ORIENTED_EDGE('',*,*,#205843,.T.); #277695=ORIENTED_EDGE('',*,*,#205845,.F.); #277696=ORIENTED_EDGE('',*,*,#205846,.F.); #277697=ORIENTED_EDGE('',*,*,#205847,.T.); #277698=ORIENTED_EDGE('',*,*,#205846,.T.); #277699=ORIENTED_EDGE('',*,*,#205848,.F.); #277700=ORIENTED_EDGE('',*,*,#205838,.F.); #277701=ORIENTED_EDGE('',*,*,#205848,.T.); #277702=ORIENTED_EDGE('',*,*,#205845,.T.); #277703=ORIENTED_EDGE('',*,*,#205842,.T.); #277704=ORIENTED_EDGE('',*,*,#205839,.T.); #277705=ORIENTED_EDGE('',*,*,#205847,.F.); #277706=ORIENTED_EDGE('',*,*,#205837,.F.); #277707=ORIENTED_EDGE('',*,*,#205841,.F.); #277708=ORIENTED_EDGE('',*,*,#205844,.F.); #277709=ORIENTED_EDGE('',*,*,#205849,.T.); #277710=ORIENTED_EDGE('',*,*,#205850,.T.); #277711=ORIENTED_EDGE('',*,*,#205851,.F.); #277712=ORIENTED_EDGE('',*,*,#205852,.F.); #277713=ORIENTED_EDGE('',*,*,#205853,.T.); #277714=ORIENTED_EDGE('',*,*,#205852,.T.); #277715=ORIENTED_EDGE('',*,*,#205854,.F.); #277716=ORIENTED_EDGE('',*,*,#205855,.F.); #277717=ORIENTED_EDGE('',*,*,#205856,.T.); #277718=ORIENTED_EDGE('',*,*,#205855,.T.); #277719=ORIENTED_EDGE('',*,*,#205857,.F.); #277720=ORIENTED_EDGE('',*,*,#205858,.F.); #277721=ORIENTED_EDGE('',*,*,#205859,.T.); #277722=ORIENTED_EDGE('',*,*,#205858,.T.); #277723=ORIENTED_EDGE('',*,*,#205860,.F.); #277724=ORIENTED_EDGE('',*,*,#205850,.F.); #277725=ORIENTED_EDGE('',*,*,#205860,.T.); #277726=ORIENTED_EDGE('',*,*,#205857,.T.); #277727=ORIENTED_EDGE('',*,*,#205854,.T.); #277728=ORIENTED_EDGE('',*,*,#205851,.T.); #277729=ORIENTED_EDGE('',*,*,#205859,.F.); #277730=ORIENTED_EDGE('',*,*,#205849,.F.); #277731=ORIENTED_EDGE('',*,*,#205853,.F.); #277732=ORIENTED_EDGE('',*,*,#205856,.F.); #277733=ORIENTED_EDGE('',*,*,#205861,.T.); #277734=ORIENTED_EDGE('',*,*,#205862,.T.); #277735=ORIENTED_EDGE('',*,*,#205863,.F.); #277736=ORIENTED_EDGE('',*,*,#205864,.F.); #277737=ORIENTED_EDGE('',*,*,#205865,.T.); #277738=ORIENTED_EDGE('',*,*,#205864,.T.); #277739=ORIENTED_EDGE('',*,*,#205866,.F.); #277740=ORIENTED_EDGE('',*,*,#205867,.F.); #277741=ORIENTED_EDGE('',*,*,#205868,.T.); #277742=ORIENTED_EDGE('',*,*,#205867,.T.); #277743=ORIENTED_EDGE('',*,*,#205869,.F.); #277744=ORIENTED_EDGE('',*,*,#205870,.F.); #277745=ORIENTED_EDGE('',*,*,#205871,.T.); #277746=ORIENTED_EDGE('',*,*,#205870,.T.); #277747=ORIENTED_EDGE('',*,*,#205872,.F.); #277748=ORIENTED_EDGE('',*,*,#205862,.F.); #277749=ORIENTED_EDGE('',*,*,#205872,.T.); #277750=ORIENTED_EDGE('',*,*,#205869,.T.); #277751=ORIENTED_EDGE('',*,*,#205866,.T.); #277752=ORIENTED_EDGE('',*,*,#205863,.T.); #277753=ORIENTED_EDGE('',*,*,#205871,.F.); #277754=ORIENTED_EDGE('',*,*,#205861,.F.); #277755=ORIENTED_EDGE('',*,*,#205865,.F.); #277756=ORIENTED_EDGE('',*,*,#205868,.F.); #277757=ORIENTED_EDGE('',*,*,#205873,.T.); #277758=ORIENTED_EDGE('',*,*,#205874,.T.); #277759=ORIENTED_EDGE('',*,*,#205875,.F.); #277760=ORIENTED_EDGE('',*,*,#205876,.F.); #277761=ORIENTED_EDGE('',*,*,#205877,.T.); #277762=ORIENTED_EDGE('',*,*,#205876,.T.); #277763=ORIENTED_EDGE('',*,*,#205878,.F.); #277764=ORIENTED_EDGE('',*,*,#205879,.F.); #277765=ORIENTED_EDGE('',*,*,#205880,.T.); #277766=ORIENTED_EDGE('',*,*,#205879,.T.); #277767=ORIENTED_EDGE('',*,*,#205881,.F.); #277768=ORIENTED_EDGE('',*,*,#205882,.F.); #277769=ORIENTED_EDGE('',*,*,#205883,.T.); #277770=ORIENTED_EDGE('',*,*,#205882,.T.); #277771=ORIENTED_EDGE('',*,*,#205884,.F.); #277772=ORIENTED_EDGE('',*,*,#205874,.F.); #277773=ORIENTED_EDGE('',*,*,#205884,.T.); #277774=ORIENTED_EDGE('',*,*,#205881,.T.); #277775=ORIENTED_EDGE('',*,*,#205878,.T.); #277776=ORIENTED_EDGE('',*,*,#205875,.T.); #277777=ORIENTED_EDGE('',*,*,#205883,.F.); #277778=ORIENTED_EDGE('',*,*,#205873,.F.); #277779=ORIENTED_EDGE('',*,*,#205877,.F.); #277780=ORIENTED_EDGE('',*,*,#205880,.F.); #277781=ORIENTED_EDGE('',*,*,#205885,.T.); #277782=ORIENTED_EDGE('',*,*,#205886,.T.); #277783=ORIENTED_EDGE('',*,*,#205887,.F.); #277784=ORIENTED_EDGE('',*,*,#205888,.F.); #277785=ORIENTED_EDGE('',*,*,#205889,.T.); #277786=ORIENTED_EDGE('',*,*,#205888,.T.); #277787=ORIENTED_EDGE('',*,*,#205890,.F.); #277788=ORIENTED_EDGE('',*,*,#205891,.F.); #277789=ORIENTED_EDGE('',*,*,#205892,.T.); #277790=ORIENTED_EDGE('',*,*,#205891,.T.); #277791=ORIENTED_EDGE('',*,*,#205893,.F.); #277792=ORIENTED_EDGE('',*,*,#205894,.F.); #277793=ORIENTED_EDGE('',*,*,#205895,.T.); #277794=ORIENTED_EDGE('',*,*,#205894,.T.); #277795=ORIENTED_EDGE('',*,*,#205896,.F.); #277796=ORIENTED_EDGE('',*,*,#205886,.F.); #277797=ORIENTED_EDGE('',*,*,#205896,.T.); #277798=ORIENTED_EDGE('',*,*,#205893,.T.); #277799=ORIENTED_EDGE('',*,*,#205890,.T.); #277800=ORIENTED_EDGE('',*,*,#205887,.T.); #277801=ORIENTED_EDGE('',*,*,#205895,.F.); #277802=ORIENTED_EDGE('',*,*,#205885,.F.); #277803=ORIENTED_EDGE('',*,*,#205889,.F.); #277804=ORIENTED_EDGE('',*,*,#205892,.F.); #277805=ORIENTED_EDGE('',*,*,#205897,.F.); #277806=ORIENTED_EDGE('',*,*,#205898,.T.); #277807=ORIENTED_EDGE('',*,*,#205899,.F.); #277808=ORIENTED_EDGE('',*,*,#205898,.F.); #277809=ORIENTED_EDGE('',*,*,#205900,.T.); #277810=ORIENTED_EDGE('',*,*,#205901,.T.); #277811=ORIENTED_EDGE('',*,*,#205902,.F.); #277812=ORIENTED_EDGE('',*,*,#205903,.F.); #277813=ORIENTED_EDGE('',*,*,#205904,.T.); #277814=ORIENTED_EDGE('',*,*,#205903,.T.); #277815=ORIENTED_EDGE('',*,*,#205905,.F.); #277816=ORIENTED_EDGE('',*,*,#205906,.F.); #277817=ORIENTED_EDGE('',*,*,#205907,.T.); #277818=ORIENTED_EDGE('',*,*,#205906,.T.); #277819=ORIENTED_EDGE('',*,*,#205908,.F.); #277820=ORIENTED_EDGE('',*,*,#205909,.F.); #277821=ORIENTED_EDGE('',*,*,#205910,.T.); #277822=ORIENTED_EDGE('',*,*,#205909,.T.); #277823=ORIENTED_EDGE('',*,*,#205911,.F.); #277824=ORIENTED_EDGE('',*,*,#205901,.F.); #277825=ORIENTED_EDGE('',*,*,#205911,.T.); #277826=ORIENTED_EDGE('',*,*,#205908,.T.); #277827=ORIENTED_EDGE('',*,*,#205905,.T.); #277828=ORIENTED_EDGE('',*,*,#205902,.T.); #277829=ORIENTED_EDGE('',*,*,#205899,.T.); #277830=ORIENTED_EDGE('',*,*,#205910,.F.); #277831=ORIENTED_EDGE('',*,*,#205900,.F.); #277832=ORIENTED_EDGE('',*,*,#205904,.F.); #277833=ORIENTED_EDGE('',*,*,#205907,.F.); #277834=ORIENTED_EDGE('',*,*,#205897,.T.); #277835=ORIENTED_EDGE('',*,*,#205912,.T.); #277836=ORIENTED_EDGE('',*,*,#205913,.T.); #277837=ORIENTED_EDGE('',*,*,#205914,.F.); #277838=ORIENTED_EDGE('',*,*,#205915,.F.); #277839=ORIENTED_EDGE('',*,*,#205916,.T.); #277840=ORIENTED_EDGE('',*,*,#205915,.T.); #277841=ORIENTED_EDGE('',*,*,#205917,.F.); #277842=ORIENTED_EDGE('',*,*,#205918,.F.); #277843=ORIENTED_EDGE('',*,*,#205919,.T.); #277844=ORIENTED_EDGE('',*,*,#205918,.T.); #277845=ORIENTED_EDGE('',*,*,#205920,.F.); #277846=ORIENTED_EDGE('',*,*,#205921,.F.); #277847=ORIENTED_EDGE('',*,*,#205922,.T.); #277848=ORIENTED_EDGE('',*,*,#205921,.T.); #277849=ORIENTED_EDGE('',*,*,#205923,.F.); #277850=ORIENTED_EDGE('',*,*,#205913,.F.); #277851=ORIENTED_EDGE('',*,*,#205923,.T.); #277852=ORIENTED_EDGE('',*,*,#205920,.T.); #277853=ORIENTED_EDGE('',*,*,#205917,.T.); #277854=ORIENTED_EDGE('',*,*,#205914,.T.); #277855=ORIENTED_EDGE('',*,*,#205922,.F.); #277856=ORIENTED_EDGE('',*,*,#205912,.F.); #277857=ORIENTED_EDGE('',*,*,#205916,.F.); #277858=ORIENTED_EDGE('',*,*,#205919,.F.); #277859=ORIENTED_EDGE('',*,*,#205924,.T.); #277860=ORIENTED_EDGE('',*,*,#205925,.T.); #277861=ORIENTED_EDGE('',*,*,#205926,.F.); #277862=ORIENTED_EDGE('',*,*,#205927,.F.); #277863=ORIENTED_EDGE('',*,*,#205928,.T.); #277864=ORIENTED_EDGE('',*,*,#205927,.T.); #277865=ORIENTED_EDGE('',*,*,#205929,.F.); #277866=ORIENTED_EDGE('',*,*,#205930,.F.); #277867=ORIENTED_EDGE('',*,*,#205931,.T.); #277868=ORIENTED_EDGE('',*,*,#205930,.T.); #277869=ORIENTED_EDGE('',*,*,#205932,.F.); #277870=ORIENTED_EDGE('',*,*,#205933,.F.); #277871=ORIENTED_EDGE('',*,*,#205934,.T.); #277872=ORIENTED_EDGE('',*,*,#205933,.T.); #277873=ORIENTED_EDGE('',*,*,#205935,.F.); #277874=ORIENTED_EDGE('',*,*,#205925,.F.); #277875=ORIENTED_EDGE('',*,*,#205935,.T.); #277876=ORIENTED_EDGE('',*,*,#205932,.T.); #277877=ORIENTED_EDGE('',*,*,#205929,.T.); #277878=ORIENTED_EDGE('',*,*,#205926,.T.); #277879=ORIENTED_EDGE('',*,*,#205934,.F.); #277880=ORIENTED_EDGE('',*,*,#205924,.F.); #277881=ORIENTED_EDGE('',*,*,#205928,.F.); #277882=ORIENTED_EDGE('',*,*,#205931,.F.); #277883=ORIENTED_EDGE('',*,*,#205936,.F.); #277884=ORIENTED_EDGE('',*,*,#205937,.T.); #277885=ORIENTED_EDGE('',*,*,#205938,.F.); #277886=ORIENTED_EDGE('',*,*,#205937,.F.); #277887=ORIENTED_EDGE('',*,*,#205939,.T.); #277888=ORIENTED_EDGE('',*,*,#205940,.T.); #277889=ORIENTED_EDGE('',*,*,#205941,.F.); #277890=ORIENTED_EDGE('',*,*,#205942,.F.); #277891=ORIENTED_EDGE('',*,*,#205943,.T.); #277892=ORIENTED_EDGE('',*,*,#205942,.T.); #277893=ORIENTED_EDGE('',*,*,#205944,.F.); #277894=ORIENTED_EDGE('',*,*,#205945,.F.); #277895=ORIENTED_EDGE('',*,*,#205946,.T.); #277896=ORIENTED_EDGE('',*,*,#205945,.T.); #277897=ORIENTED_EDGE('',*,*,#205947,.F.); #277898=ORIENTED_EDGE('',*,*,#205948,.F.); #277899=ORIENTED_EDGE('',*,*,#205949,.T.); #277900=ORIENTED_EDGE('',*,*,#205948,.T.); #277901=ORIENTED_EDGE('',*,*,#205950,.F.); #277902=ORIENTED_EDGE('',*,*,#205940,.F.); #277903=ORIENTED_EDGE('',*,*,#205950,.T.); #277904=ORIENTED_EDGE('',*,*,#205947,.T.); #277905=ORIENTED_EDGE('',*,*,#205944,.T.); #277906=ORIENTED_EDGE('',*,*,#205941,.T.); #277907=ORIENTED_EDGE('',*,*,#205938,.T.); #277908=ORIENTED_EDGE('',*,*,#205949,.F.); #277909=ORIENTED_EDGE('',*,*,#205939,.F.); #277910=ORIENTED_EDGE('',*,*,#205943,.F.); #277911=ORIENTED_EDGE('',*,*,#205946,.F.); #277912=ORIENTED_EDGE('',*,*,#205936,.T.); #277913=ORIENTED_EDGE('',*,*,#205951,.T.); #277914=ORIENTED_EDGE('',*,*,#205952,.T.); #277915=ORIENTED_EDGE('',*,*,#205953,.F.); #277916=ORIENTED_EDGE('',*,*,#205954,.F.); #277917=ORIENTED_EDGE('',*,*,#205955,.T.); #277918=ORIENTED_EDGE('',*,*,#205954,.T.); #277919=ORIENTED_EDGE('',*,*,#205956,.F.); #277920=ORIENTED_EDGE('',*,*,#205957,.F.); #277921=ORIENTED_EDGE('',*,*,#205958,.T.); #277922=ORIENTED_EDGE('',*,*,#205957,.T.); #277923=ORIENTED_EDGE('',*,*,#205959,.F.); #277924=ORIENTED_EDGE('',*,*,#205960,.F.); #277925=ORIENTED_EDGE('',*,*,#205961,.T.); #277926=ORIENTED_EDGE('',*,*,#205960,.T.); #277927=ORIENTED_EDGE('',*,*,#205962,.F.); #277928=ORIENTED_EDGE('',*,*,#205952,.F.); #277929=ORIENTED_EDGE('',*,*,#205962,.T.); #277930=ORIENTED_EDGE('',*,*,#205959,.T.); #277931=ORIENTED_EDGE('',*,*,#205956,.T.); #277932=ORIENTED_EDGE('',*,*,#205953,.T.); #277933=ORIENTED_EDGE('',*,*,#205961,.F.); #277934=ORIENTED_EDGE('',*,*,#205951,.F.); #277935=ORIENTED_EDGE('',*,*,#205955,.F.); #277936=ORIENTED_EDGE('',*,*,#205958,.F.); #277937=ORIENTED_EDGE('',*,*,#205963,.T.); #277938=ORIENTED_EDGE('',*,*,#205964,.T.); #277939=ORIENTED_EDGE('',*,*,#205965,.F.); #277940=ORIENTED_EDGE('',*,*,#205966,.F.); #277941=ORIENTED_EDGE('',*,*,#205967,.T.); #277942=ORIENTED_EDGE('',*,*,#205966,.T.); #277943=ORIENTED_EDGE('',*,*,#205968,.F.); #277944=ORIENTED_EDGE('',*,*,#205969,.F.); #277945=ORIENTED_EDGE('',*,*,#205970,.T.); #277946=ORIENTED_EDGE('',*,*,#205969,.T.); #277947=ORIENTED_EDGE('',*,*,#205971,.F.); #277948=ORIENTED_EDGE('',*,*,#205972,.F.); #277949=ORIENTED_EDGE('',*,*,#205973,.T.); #277950=ORIENTED_EDGE('',*,*,#205972,.T.); #277951=ORIENTED_EDGE('',*,*,#205974,.F.); #277952=ORIENTED_EDGE('',*,*,#205964,.F.); #277953=ORIENTED_EDGE('',*,*,#205974,.T.); #277954=ORIENTED_EDGE('',*,*,#205971,.T.); #277955=ORIENTED_EDGE('',*,*,#205968,.T.); #277956=ORIENTED_EDGE('',*,*,#205965,.T.); #277957=ORIENTED_EDGE('',*,*,#205973,.F.); #277958=ORIENTED_EDGE('',*,*,#205963,.F.); #277959=ORIENTED_EDGE('',*,*,#205967,.F.); #277960=ORIENTED_EDGE('',*,*,#205970,.F.); #277961=ORIENTED_EDGE('',*,*,#205975,.T.); #277962=ORIENTED_EDGE('',*,*,#205976,.T.); #277963=ORIENTED_EDGE('',*,*,#205977,.F.); #277964=ORIENTED_EDGE('',*,*,#205978,.F.); #277965=ORIENTED_EDGE('',*,*,#205979,.T.); #277966=ORIENTED_EDGE('',*,*,#205978,.T.); #277967=ORIENTED_EDGE('',*,*,#205980,.F.); #277968=ORIENTED_EDGE('',*,*,#205981,.F.); #277969=ORIENTED_EDGE('',*,*,#205982,.T.); #277970=ORIENTED_EDGE('',*,*,#205981,.T.); #277971=ORIENTED_EDGE('',*,*,#205983,.F.); #277972=ORIENTED_EDGE('',*,*,#205984,.F.); #277973=ORIENTED_EDGE('',*,*,#205985,.T.); #277974=ORIENTED_EDGE('',*,*,#205984,.T.); #277975=ORIENTED_EDGE('',*,*,#205986,.F.); #277976=ORIENTED_EDGE('',*,*,#205976,.F.); #277977=ORIENTED_EDGE('',*,*,#205986,.T.); #277978=ORIENTED_EDGE('',*,*,#205983,.T.); #277979=ORIENTED_EDGE('',*,*,#205980,.T.); #277980=ORIENTED_EDGE('',*,*,#205977,.T.); #277981=ORIENTED_EDGE('',*,*,#205985,.F.); #277982=ORIENTED_EDGE('',*,*,#205975,.F.); #277983=ORIENTED_EDGE('',*,*,#205979,.F.); #277984=ORIENTED_EDGE('',*,*,#205982,.F.); #277985=ORIENTED_EDGE('',*,*,#205987,.T.); #277986=ORIENTED_EDGE('',*,*,#205988,.T.); #277987=ORIENTED_EDGE('',*,*,#205989,.F.); #277988=ORIENTED_EDGE('',*,*,#205990,.F.); #277989=ORIENTED_EDGE('',*,*,#205991,.T.); #277990=ORIENTED_EDGE('',*,*,#205990,.T.); #277991=ORIENTED_EDGE('',*,*,#205992,.F.); #277992=ORIENTED_EDGE('',*,*,#205993,.F.); #277993=ORIENTED_EDGE('',*,*,#205994,.T.); #277994=ORIENTED_EDGE('',*,*,#205993,.T.); #277995=ORIENTED_EDGE('',*,*,#205995,.F.); #277996=ORIENTED_EDGE('',*,*,#205996,.F.); #277997=ORIENTED_EDGE('',*,*,#205997,.T.); #277998=ORIENTED_EDGE('',*,*,#205996,.T.); #277999=ORIENTED_EDGE('',*,*,#205998,.F.); #278000=ORIENTED_EDGE('',*,*,#205988,.F.); #278001=ORIENTED_EDGE('',*,*,#205998,.T.); #278002=ORIENTED_EDGE('',*,*,#205995,.T.); #278003=ORIENTED_EDGE('',*,*,#205992,.T.); #278004=ORIENTED_EDGE('',*,*,#205989,.T.); #278005=ORIENTED_EDGE('',*,*,#205997,.F.); #278006=ORIENTED_EDGE('',*,*,#205987,.F.); #278007=ORIENTED_EDGE('',*,*,#205991,.F.); #278008=ORIENTED_EDGE('',*,*,#205994,.F.); #278009=ORIENTED_EDGE('',*,*,#205999,.T.); #278010=ORIENTED_EDGE('',*,*,#206000,.T.); #278011=ORIENTED_EDGE('',*,*,#206001,.F.); #278012=ORIENTED_EDGE('',*,*,#206002,.F.); #278013=ORIENTED_EDGE('',*,*,#206003,.T.); #278014=ORIENTED_EDGE('',*,*,#206002,.T.); #278015=ORIENTED_EDGE('',*,*,#206004,.F.); #278016=ORIENTED_EDGE('',*,*,#206005,.F.); #278017=ORIENTED_EDGE('',*,*,#206006,.T.); #278018=ORIENTED_EDGE('',*,*,#206005,.T.); #278019=ORIENTED_EDGE('',*,*,#206007,.F.); #278020=ORIENTED_EDGE('',*,*,#206008,.F.); #278021=ORIENTED_EDGE('',*,*,#206009,.T.); #278022=ORIENTED_EDGE('',*,*,#206008,.T.); #278023=ORIENTED_EDGE('',*,*,#206010,.F.); #278024=ORIENTED_EDGE('',*,*,#206000,.F.); #278025=ORIENTED_EDGE('',*,*,#206010,.T.); #278026=ORIENTED_EDGE('',*,*,#206007,.T.); #278027=ORIENTED_EDGE('',*,*,#206004,.T.); #278028=ORIENTED_EDGE('',*,*,#206001,.T.); #278029=ORIENTED_EDGE('',*,*,#206009,.F.); #278030=ORIENTED_EDGE('',*,*,#205999,.F.); #278031=ORIENTED_EDGE('',*,*,#206003,.F.); #278032=ORIENTED_EDGE('',*,*,#206006,.F.); #278033=ORIENTED_EDGE('',*,*,#206011,.T.); #278034=ORIENTED_EDGE('',*,*,#206012,.T.); #278035=ORIENTED_EDGE('',*,*,#206013,.F.); #278036=ORIENTED_EDGE('',*,*,#206014,.F.); #278037=ORIENTED_EDGE('',*,*,#206015,.T.); #278038=ORIENTED_EDGE('',*,*,#206014,.T.); #278039=ORIENTED_EDGE('',*,*,#206016,.F.); #278040=ORIENTED_EDGE('',*,*,#206017,.F.); #278041=ORIENTED_EDGE('',*,*,#206018,.T.); #278042=ORIENTED_EDGE('',*,*,#206017,.T.); #278043=ORIENTED_EDGE('',*,*,#206019,.F.); #278044=ORIENTED_EDGE('',*,*,#206020,.F.); #278045=ORIENTED_EDGE('',*,*,#206021,.T.); #278046=ORIENTED_EDGE('',*,*,#206020,.T.); #278047=ORIENTED_EDGE('',*,*,#206022,.F.); #278048=ORIENTED_EDGE('',*,*,#206012,.F.); #278049=ORIENTED_EDGE('',*,*,#206022,.T.); #278050=ORIENTED_EDGE('',*,*,#206019,.T.); #278051=ORIENTED_EDGE('',*,*,#206016,.T.); #278052=ORIENTED_EDGE('',*,*,#206013,.T.); #278053=ORIENTED_EDGE('',*,*,#206021,.F.); #278054=ORIENTED_EDGE('',*,*,#206011,.F.); #278055=ORIENTED_EDGE('',*,*,#206015,.F.); #278056=ORIENTED_EDGE('',*,*,#206018,.F.); #278057=ORIENTED_EDGE('',*,*,#206023,.T.); #278058=ORIENTED_EDGE('',*,*,#206024,.T.); #278059=ORIENTED_EDGE('',*,*,#206025,.F.); #278060=ORIENTED_EDGE('',*,*,#206026,.F.); #278061=ORIENTED_EDGE('',*,*,#206027,.T.); #278062=ORIENTED_EDGE('',*,*,#206026,.T.); #278063=ORIENTED_EDGE('',*,*,#206028,.F.); #278064=ORIENTED_EDGE('',*,*,#206029,.F.); #278065=ORIENTED_EDGE('',*,*,#206030,.T.); #278066=ORIENTED_EDGE('',*,*,#206029,.T.); #278067=ORIENTED_EDGE('',*,*,#206031,.F.); #278068=ORIENTED_EDGE('',*,*,#206032,.F.); #278069=ORIENTED_EDGE('',*,*,#206033,.T.); #278070=ORIENTED_EDGE('',*,*,#206032,.T.); #278071=ORIENTED_EDGE('',*,*,#206034,.F.); #278072=ORIENTED_EDGE('',*,*,#206024,.F.); #278073=ORIENTED_EDGE('',*,*,#206034,.T.); #278074=ORIENTED_EDGE('',*,*,#206031,.T.); #278075=ORIENTED_EDGE('',*,*,#206028,.T.); #278076=ORIENTED_EDGE('',*,*,#206025,.T.); #278077=ORIENTED_EDGE('',*,*,#206033,.F.); #278078=ORIENTED_EDGE('',*,*,#206023,.F.); #278079=ORIENTED_EDGE('',*,*,#206027,.F.); #278080=ORIENTED_EDGE('',*,*,#206030,.F.); #278081=ORIENTED_EDGE('',*,*,#206035,.T.); #278082=ORIENTED_EDGE('',*,*,#206036,.T.); #278083=ORIENTED_EDGE('',*,*,#206037,.F.); #278084=ORIENTED_EDGE('',*,*,#206038,.F.); #278085=ORIENTED_EDGE('',*,*,#206039,.T.); #278086=ORIENTED_EDGE('',*,*,#206038,.T.); #278087=ORIENTED_EDGE('',*,*,#206040,.F.); #278088=ORIENTED_EDGE('',*,*,#206041,.F.); #278089=ORIENTED_EDGE('',*,*,#206042,.T.); #278090=ORIENTED_EDGE('',*,*,#206041,.T.); #278091=ORIENTED_EDGE('',*,*,#206043,.F.); #278092=ORIENTED_EDGE('',*,*,#206044,.F.); #278093=ORIENTED_EDGE('',*,*,#206045,.T.); #278094=ORIENTED_EDGE('',*,*,#206044,.T.); #278095=ORIENTED_EDGE('',*,*,#206046,.F.); #278096=ORIENTED_EDGE('',*,*,#206036,.F.); #278097=ORIENTED_EDGE('',*,*,#206046,.T.); #278098=ORIENTED_EDGE('',*,*,#206043,.T.); #278099=ORIENTED_EDGE('',*,*,#206040,.T.); #278100=ORIENTED_EDGE('',*,*,#206037,.T.); #278101=ORIENTED_EDGE('',*,*,#206045,.F.); #278102=ORIENTED_EDGE('',*,*,#206035,.F.); #278103=ORIENTED_EDGE('',*,*,#206039,.F.); #278104=ORIENTED_EDGE('',*,*,#206042,.F.); #278105=ORIENTED_EDGE('',*,*,#206047,.T.); #278106=ORIENTED_EDGE('',*,*,#206048,.T.); #278107=ORIENTED_EDGE('',*,*,#206049,.F.); #278108=ORIENTED_EDGE('',*,*,#206050,.F.); #278109=ORIENTED_EDGE('',*,*,#206051,.T.); #278110=ORIENTED_EDGE('',*,*,#206050,.T.); #278111=ORIENTED_EDGE('',*,*,#206052,.F.); #278112=ORIENTED_EDGE('',*,*,#206053,.F.); #278113=ORIENTED_EDGE('',*,*,#206054,.T.); #278114=ORIENTED_EDGE('',*,*,#206053,.T.); #278115=ORIENTED_EDGE('',*,*,#206055,.F.); #278116=ORIENTED_EDGE('',*,*,#206056,.F.); #278117=ORIENTED_EDGE('',*,*,#206057,.T.); #278118=ORIENTED_EDGE('',*,*,#206056,.T.); #278119=ORIENTED_EDGE('',*,*,#206058,.F.); #278120=ORIENTED_EDGE('',*,*,#206048,.F.); #278121=ORIENTED_EDGE('',*,*,#206058,.T.); #278122=ORIENTED_EDGE('',*,*,#206055,.T.); #278123=ORIENTED_EDGE('',*,*,#206052,.T.); #278124=ORIENTED_EDGE('',*,*,#206049,.T.); #278125=ORIENTED_EDGE('',*,*,#206057,.F.); #278126=ORIENTED_EDGE('',*,*,#206047,.F.); #278127=ORIENTED_EDGE('',*,*,#206051,.F.); #278128=ORIENTED_EDGE('',*,*,#206054,.F.); #278129=ORIENTED_EDGE('',*,*,#206059,.T.); #278130=ORIENTED_EDGE('',*,*,#206060,.T.); #278131=ORIENTED_EDGE('',*,*,#206061,.F.); #278132=ORIENTED_EDGE('',*,*,#206062,.F.); #278133=ORIENTED_EDGE('',*,*,#206063,.T.); #278134=ORIENTED_EDGE('',*,*,#206062,.T.); #278135=ORIENTED_EDGE('',*,*,#206064,.F.); #278136=ORIENTED_EDGE('',*,*,#206065,.F.); #278137=ORIENTED_EDGE('',*,*,#206066,.T.); #278138=ORIENTED_EDGE('',*,*,#206065,.T.); #278139=ORIENTED_EDGE('',*,*,#206067,.F.); #278140=ORIENTED_EDGE('',*,*,#206068,.F.); #278141=ORIENTED_EDGE('',*,*,#206069,.T.); #278142=ORIENTED_EDGE('',*,*,#206068,.T.); #278143=ORIENTED_EDGE('',*,*,#206070,.F.); #278144=ORIENTED_EDGE('',*,*,#206060,.F.); #278145=ORIENTED_EDGE('',*,*,#206070,.T.); #278146=ORIENTED_EDGE('',*,*,#206067,.T.); #278147=ORIENTED_EDGE('',*,*,#206064,.T.); #278148=ORIENTED_EDGE('',*,*,#206061,.T.); #278149=ORIENTED_EDGE('',*,*,#206069,.F.); #278150=ORIENTED_EDGE('',*,*,#206059,.F.); #278151=ORIENTED_EDGE('',*,*,#206063,.F.); #278152=ORIENTED_EDGE('',*,*,#206066,.F.); #278153=ORIENTED_EDGE('',*,*,#206071,.T.); #278154=ORIENTED_EDGE('',*,*,#206072,.T.); #278155=ORIENTED_EDGE('',*,*,#206073,.F.); #278156=ORIENTED_EDGE('',*,*,#206074,.F.); #278157=ORIENTED_EDGE('',*,*,#206075,.T.); #278158=ORIENTED_EDGE('',*,*,#206074,.T.); #278159=ORIENTED_EDGE('',*,*,#206076,.F.); #278160=ORIENTED_EDGE('',*,*,#206077,.F.); #278161=ORIENTED_EDGE('',*,*,#206078,.T.); #278162=ORIENTED_EDGE('',*,*,#206077,.T.); #278163=ORIENTED_EDGE('',*,*,#206079,.F.); #278164=ORIENTED_EDGE('',*,*,#206080,.F.); #278165=ORIENTED_EDGE('',*,*,#206081,.T.); #278166=ORIENTED_EDGE('',*,*,#206080,.T.); #278167=ORIENTED_EDGE('',*,*,#206082,.F.); #278168=ORIENTED_EDGE('',*,*,#206072,.F.); #278169=ORIENTED_EDGE('',*,*,#206082,.T.); #278170=ORIENTED_EDGE('',*,*,#206079,.T.); #278171=ORIENTED_EDGE('',*,*,#206076,.T.); #278172=ORIENTED_EDGE('',*,*,#206073,.T.); #278173=ORIENTED_EDGE('',*,*,#206081,.F.); #278174=ORIENTED_EDGE('',*,*,#206071,.F.); #278175=ORIENTED_EDGE('',*,*,#206075,.F.); #278176=ORIENTED_EDGE('',*,*,#206078,.F.); #278177=ORIENTED_EDGE('',*,*,#206083,.F.); #278178=ORIENTED_EDGE('',*,*,#206084,.F.); #278179=ORIENTED_EDGE('',*,*,#206085,.F.); #278180=ORIENTED_EDGE('',*,*,#206086,.F.); #278181=ORIENTED_EDGE('',*,*,#206087,.F.); #278182=ORIENTED_EDGE('',*,*,#206088,.F.); #278183=ORIENTED_EDGE('',*,*,#206089,.F.); #278184=ORIENTED_EDGE('',*,*,#206090,.F.); #278185=ORIENTED_EDGE('',*,*,#206091,.F.); #278186=ORIENTED_EDGE('',*,*,#206092,.T.); #278187=ORIENTED_EDGE('',*,*,#206093,.F.); #278188=ORIENTED_EDGE('',*,*,#206092,.F.); #278189=ORIENTED_EDGE('',*,*,#206094,.F.); #278190=ORIENTED_EDGE('',*,*,#206095,.F.); #278191=ORIENTED_EDGE('',*,*,#206096,.F.); #278192=ORIENTED_EDGE('',*,*,#206097,.F.); #278193=ORIENTED_EDGE('',*,*,#206098,.F.); #278194=ORIENTED_EDGE('',*,*,#206099,.F.); #278195=ORIENTED_EDGE('',*,*,#206100,.F.); #278196=ORIENTED_EDGE('',*,*,#206101,.F.); #278197=ORIENTED_EDGE('',*,*,#206102,.F.); #278198=ORIENTED_EDGE('',*,*,#206103,.F.); #278199=ORIENTED_EDGE('',*,*,#206104,.F.); #278200=ORIENTED_EDGE('',*,*,#206105,.F.); #278201=ORIENTED_EDGE('',*,*,#206106,.F.); #278202=ORIENTED_EDGE('',*,*,#206107,.F.); #278203=ORIENTED_EDGE('',*,*,#206108,.F.); #278204=ORIENTED_EDGE('',*,*,#206109,.F.); #278205=ORIENTED_EDGE('',*,*,#206110,.F.); #278206=ORIENTED_EDGE('',*,*,#206111,.F.); #278207=ORIENTED_EDGE('',*,*,#206112,.F.); #278208=ORIENTED_EDGE('',*,*,#206113,.F.); #278209=ORIENTED_EDGE('',*,*,#206114,.F.); #278210=ORIENTED_EDGE('',*,*,#206115,.F.); #278211=ORIENTED_EDGE('',*,*,#206116,.F.); #278212=ORIENTED_EDGE('',*,*,#206117,.F.); #278213=ORIENTED_EDGE('',*,*,#206083,.T.); #278214=ORIENTED_EDGE('',*,*,#206118,.F.); #278215=ORIENTED_EDGE('',*,*,#206119,.F.); #278216=ORIENTED_EDGE('',*,*,#206120,.T.); #278217=ORIENTED_EDGE('',*,*,#206085,.T.); #278218=ORIENTED_EDGE('',*,*,#206121,.T.); #278219=ORIENTED_EDGE('',*,*,#206087,.T.); #278220=ORIENTED_EDGE('',*,*,#206122,.F.); #278221=ORIENTED_EDGE('',*,*,#206102,.T.); #278222=ORIENTED_EDGE('',*,*,#206123,.F.); #278223=ORIENTED_EDGE('',*,*,#206124,.F.); #278224=ORIENTED_EDGE('',*,*,#206125,.T.); #278225=ORIENTED_EDGE('',*,*,#206104,.T.); #278226=ORIENTED_EDGE('',*,*,#206126,.T.); #278227=ORIENTED_EDGE('',*,*,#206106,.T.); #278228=ORIENTED_EDGE('',*,*,#206127,.F.); #278229=ORIENTED_EDGE('',*,*,#206098,.T.); #278230=ORIENTED_EDGE('',*,*,#206128,.F.); #278231=ORIENTED_EDGE('',*,*,#206108,.T.); #278232=ORIENTED_EDGE('',*,*,#206129,.T.); #278233=ORIENTED_EDGE('',*,*,#206100,.T.); #278234=ORIENTED_EDGE('',*,*,#206130,.T.); #278235=ORIENTED_EDGE('',*,*,#206119,.T.); #278236=ORIENTED_EDGE('',*,*,#206131,.F.); #278237=ORIENTED_EDGE('',*,*,#206094,.T.); #278238=ORIENTED_EDGE('',*,*,#206132,.F.); #278239=ORIENTED_EDGE('',*,*,#206112,.T.); #278240=ORIENTED_EDGE('',*,*,#206133,.T.); #278241=ORIENTED_EDGE('',*,*,#206096,.T.); #278242=ORIENTED_EDGE('',*,*,#206134,.T.); #278243=ORIENTED_EDGE('',*,*,#206124,.T.); #278244=ORIENTED_EDGE('',*,*,#206135,.F.); #278245=ORIENTED_EDGE('',*,*,#206110,.T.); #278246=ORIENTED_EDGE('',*,*,#206136,.F.); #278247=ORIENTED_EDGE('',*,*,#206114,.T.); #278248=ORIENTED_EDGE('',*,*,#206137,.T.); #278249=ORIENTED_EDGE('',*,*,#206089,.T.); #278250=ORIENTED_EDGE('',*,*,#206138,.T.); #278251=ORIENTED_EDGE('',*,*,#206116,.T.); #278252=ORIENTED_EDGE('',*,*,#206139,.F.); #278253=ORIENTED_EDGE('',*,*,#206084,.T.); #278254=ORIENTED_EDGE('',*,*,#206120,.F.); #278255=ORIENTED_EDGE('',*,*,#206130,.F.); #278256=ORIENTED_EDGE('',*,*,#206099,.T.); #278257=ORIENTED_EDGE('',*,*,#206129,.F.); #278258=ORIENTED_EDGE('',*,*,#206107,.T.); #278259=ORIENTED_EDGE('',*,*,#206126,.F.); #278260=ORIENTED_EDGE('',*,*,#206103,.T.); #278261=ORIENTED_EDGE('',*,*,#206125,.F.); #278262=ORIENTED_EDGE('',*,*,#206134,.F.); #278263=ORIENTED_EDGE('',*,*,#206095,.T.); #278264=ORIENTED_EDGE('',*,*,#206133,.F.); #278265=ORIENTED_EDGE('',*,*,#206111,.T.); #278266=ORIENTED_EDGE('',*,*,#206137,.F.); #278267=ORIENTED_EDGE('',*,*,#206117,.T.); #278268=ORIENTED_EDGE('',*,*,#206138,.F.); #278269=ORIENTED_EDGE('',*,*,#206088,.T.); #278270=ORIENTED_EDGE('',*,*,#206121,.F.); #278271=ORIENTED_EDGE('',*,*,#206091,.T.); #278272=ORIENTED_EDGE('',*,*,#206086,.T.); #278273=ORIENTED_EDGE('',*,*,#206122,.T.); #278274=ORIENTED_EDGE('',*,*,#206090,.T.); #278275=ORIENTED_EDGE('',*,*,#206139,.T.); #278276=ORIENTED_EDGE('',*,*,#206115,.T.); #278277=ORIENTED_EDGE('',*,*,#206136,.T.); #278278=ORIENTED_EDGE('',*,*,#206113,.T.); #278279=ORIENTED_EDGE('',*,*,#206132,.T.); #278280=ORIENTED_EDGE('',*,*,#206097,.T.); #278281=ORIENTED_EDGE('',*,*,#206135,.T.); #278282=ORIENTED_EDGE('',*,*,#206123,.T.); #278283=ORIENTED_EDGE('',*,*,#206105,.T.); #278284=ORIENTED_EDGE('',*,*,#206127,.T.); #278285=ORIENTED_EDGE('',*,*,#206109,.T.); #278286=ORIENTED_EDGE('',*,*,#206128,.T.); #278287=ORIENTED_EDGE('',*,*,#206101,.T.); #278288=ORIENTED_EDGE('',*,*,#206131,.T.); #278289=ORIENTED_EDGE('',*,*,#206118,.T.); #278290=ORIENTED_EDGE('',*,*,#206093,.T.); #278291=ORIENTED_EDGE('',*,*,#206140,.F.); #278292=ORIENTED_EDGE('',*,*,#206141,.T.); #278293=ORIENTED_EDGE('',*,*,#206142,.F.); #278294=ORIENTED_EDGE('',*,*,#206141,.F.); #278295=ORIENTED_EDGE('',*,*,#206143,.T.); #278296=ORIENTED_EDGE('',*,*,#206144,.T.); #278297=ORIENTED_EDGE('',*,*,#206145,.F.); #278298=ORIENTED_EDGE('',*,*,#206146,.F.); #278299=ORIENTED_EDGE('',*,*,#206147,.T.); #278300=ORIENTED_EDGE('',*,*,#206146,.T.); #278301=ORIENTED_EDGE('',*,*,#206148,.F.); #278302=ORIENTED_EDGE('',*,*,#206149,.F.); #278303=ORIENTED_EDGE('',*,*,#206150,.T.); #278304=ORIENTED_EDGE('',*,*,#206149,.T.); #278305=ORIENTED_EDGE('',*,*,#206151,.F.); #278306=ORIENTED_EDGE('',*,*,#206152,.F.); #278307=ORIENTED_EDGE('',*,*,#206153,.T.); #278308=ORIENTED_EDGE('',*,*,#206152,.T.); #278309=ORIENTED_EDGE('',*,*,#206154,.F.); #278310=ORIENTED_EDGE('',*,*,#206144,.F.); #278311=ORIENTED_EDGE('',*,*,#206154,.T.); #278312=ORIENTED_EDGE('',*,*,#206151,.T.); #278313=ORIENTED_EDGE('',*,*,#206148,.T.); #278314=ORIENTED_EDGE('',*,*,#206145,.T.); #278315=ORIENTED_EDGE('',*,*,#206142,.T.); #278316=ORIENTED_EDGE('',*,*,#206153,.F.); #278317=ORIENTED_EDGE('',*,*,#206143,.F.); #278318=ORIENTED_EDGE('',*,*,#206147,.F.); #278319=ORIENTED_EDGE('',*,*,#206150,.F.); #278320=ORIENTED_EDGE('',*,*,#206140,.T.); #278321=ORIENTED_EDGE('',*,*,#206155,.T.); #278322=ORIENTED_EDGE('',*,*,#206156,.T.); #278323=ORIENTED_EDGE('',*,*,#206157,.F.); #278324=ORIENTED_EDGE('',*,*,#206158,.F.); #278325=ORIENTED_EDGE('',*,*,#206159,.T.); #278326=ORIENTED_EDGE('',*,*,#206158,.T.); #278327=ORIENTED_EDGE('',*,*,#206160,.F.); #278328=ORIENTED_EDGE('',*,*,#206161,.F.); #278329=ORIENTED_EDGE('',*,*,#206162,.T.); #278330=ORIENTED_EDGE('',*,*,#206161,.T.); #278331=ORIENTED_EDGE('',*,*,#206163,.F.); #278332=ORIENTED_EDGE('',*,*,#206164,.F.); #278333=ORIENTED_EDGE('',*,*,#206165,.T.); #278334=ORIENTED_EDGE('',*,*,#206164,.T.); #278335=ORIENTED_EDGE('',*,*,#206166,.F.); #278336=ORIENTED_EDGE('',*,*,#206156,.F.); #278337=ORIENTED_EDGE('',*,*,#206166,.T.); #278338=ORIENTED_EDGE('',*,*,#206163,.T.); #278339=ORIENTED_EDGE('',*,*,#206160,.T.); #278340=ORIENTED_EDGE('',*,*,#206157,.T.); #278341=ORIENTED_EDGE('',*,*,#206165,.F.); #278342=ORIENTED_EDGE('',*,*,#206155,.F.); #278343=ORIENTED_EDGE('',*,*,#206159,.F.); #278344=ORIENTED_EDGE('',*,*,#206162,.F.); #278345=ORIENTED_EDGE('',*,*,#206167,.T.); #278346=ORIENTED_EDGE('',*,*,#206168,.T.); #278347=ORIENTED_EDGE('',*,*,#206169,.F.); #278348=ORIENTED_EDGE('',*,*,#206170,.F.); #278349=ORIENTED_EDGE('',*,*,#206171,.T.); #278350=ORIENTED_EDGE('',*,*,#206170,.T.); #278351=ORIENTED_EDGE('',*,*,#206172,.F.); #278352=ORIENTED_EDGE('',*,*,#206173,.F.); #278353=ORIENTED_EDGE('',*,*,#206174,.T.); #278354=ORIENTED_EDGE('',*,*,#206173,.T.); #278355=ORIENTED_EDGE('',*,*,#206175,.F.); #278356=ORIENTED_EDGE('',*,*,#206176,.F.); #278357=ORIENTED_EDGE('',*,*,#206177,.T.); #278358=ORIENTED_EDGE('',*,*,#206176,.T.); #278359=ORIENTED_EDGE('',*,*,#206178,.F.); #278360=ORIENTED_EDGE('',*,*,#206168,.F.); #278361=ORIENTED_EDGE('',*,*,#206178,.T.); #278362=ORIENTED_EDGE('',*,*,#206175,.T.); #278363=ORIENTED_EDGE('',*,*,#206172,.T.); #278364=ORIENTED_EDGE('',*,*,#206169,.T.); #278365=ORIENTED_EDGE('',*,*,#206177,.F.); #278366=ORIENTED_EDGE('',*,*,#206167,.F.); #278367=ORIENTED_EDGE('',*,*,#206171,.F.); #278368=ORIENTED_EDGE('',*,*,#206174,.F.); #278369=ORIENTED_EDGE('',*,*,#206179,.T.); #278370=ORIENTED_EDGE('',*,*,#206180,.T.); #278371=ORIENTED_EDGE('',*,*,#206181,.F.); #278372=ORIENTED_EDGE('',*,*,#206182,.F.); #278373=ORIENTED_EDGE('',*,*,#206183,.T.); #278374=ORIENTED_EDGE('',*,*,#206182,.T.); #278375=ORIENTED_EDGE('',*,*,#206184,.F.); #278376=ORIENTED_EDGE('',*,*,#206185,.F.); #278377=ORIENTED_EDGE('',*,*,#206186,.T.); #278378=ORIENTED_EDGE('',*,*,#206185,.T.); #278379=ORIENTED_EDGE('',*,*,#206187,.F.); #278380=ORIENTED_EDGE('',*,*,#206188,.F.); #278381=ORIENTED_EDGE('',*,*,#206189,.T.); #278382=ORIENTED_EDGE('',*,*,#206188,.T.); #278383=ORIENTED_EDGE('',*,*,#206190,.F.); #278384=ORIENTED_EDGE('',*,*,#206180,.F.); #278385=ORIENTED_EDGE('',*,*,#206190,.T.); #278386=ORIENTED_EDGE('',*,*,#206187,.T.); #278387=ORIENTED_EDGE('',*,*,#206184,.T.); #278388=ORIENTED_EDGE('',*,*,#206181,.T.); #278389=ORIENTED_EDGE('',*,*,#206189,.F.); #278390=ORIENTED_EDGE('',*,*,#206179,.F.); #278391=ORIENTED_EDGE('',*,*,#206183,.F.); #278392=ORIENTED_EDGE('',*,*,#206186,.F.); #278393=ORIENTED_EDGE('',*,*,#206191,.T.); #278394=ORIENTED_EDGE('',*,*,#206192,.T.); #278395=ORIENTED_EDGE('',*,*,#206193,.F.); #278396=ORIENTED_EDGE('',*,*,#206194,.F.); #278397=ORIENTED_EDGE('',*,*,#206195,.T.); #278398=ORIENTED_EDGE('',*,*,#206194,.T.); #278399=ORIENTED_EDGE('',*,*,#206196,.F.); #278400=ORIENTED_EDGE('',*,*,#206197,.F.); #278401=ORIENTED_EDGE('',*,*,#206198,.T.); #278402=ORIENTED_EDGE('',*,*,#206197,.T.); #278403=ORIENTED_EDGE('',*,*,#206199,.F.); #278404=ORIENTED_EDGE('',*,*,#206200,.F.); #278405=ORIENTED_EDGE('',*,*,#206201,.T.); #278406=ORIENTED_EDGE('',*,*,#206200,.T.); #278407=ORIENTED_EDGE('',*,*,#206202,.F.); #278408=ORIENTED_EDGE('',*,*,#206192,.F.); #278409=ORIENTED_EDGE('',*,*,#206202,.T.); #278410=ORIENTED_EDGE('',*,*,#206199,.T.); #278411=ORIENTED_EDGE('',*,*,#206196,.T.); #278412=ORIENTED_EDGE('',*,*,#206193,.T.); #278413=ORIENTED_EDGE('',*,*,#206201,.F.); #278414=ORIENTED_EDGE('',*,*,#206191,.F.); #278415=ORIENTED_EDGE('',*,*,#206195,.F.); #278416=ORIENTED_EDGE('',*,*,#206198,.F.); #278417=ORIENTED_EDGE('',*,*,#206203,.T.); #278418=ORIENTED_EDGE('',*,*,#206204,.T.); #278419=ORIENTED_EDGE('',*,*,#206205,.F.); #278420=ORIENTED_EDGE('',*,*,#206206,.F.); #278421=ORIENTED_EDGE('',*,*,#206207,.T.); #278422=ORIENTED_EDGE('',*,*,#206206,.T.); #278423=ORIENTED_EDGE('',*,*,#206208,.F.); #278424=ORIENTED_EDGE('',*,*,#206209,.F.); #278425=ORIENTED_EDGE('',*,*,#206210,.T.); #278426=ORIENTED_EDGE('',*,*,#206209,.T.); #278427=ORIENTED_EDGE('',*,*,#206211,.F.); #278428=ORIENTED_EDGE('',*,*,#206212,.F.); #278429=ORIENTED_EDGE('',*,*,#206213,.T.); #278430=ORIENTED_EDGE('',*,*,#206212,.T.); #278431=ORIENTED_EDGE('',*,*,#206214,.F.); #278432=ORIENTED_EDGE('',*,*,#206204,.F.); #278433=ORIENTED_EDGE('',*,*,#206214,.T.); #278434=ORIENTED_EDGE('',*,*,#206211,.T.); #278435=ORIENTED_EDGE('',*,*,#206208,.T.); #278436=ORIENTED_EDGE('',*,*,#206205,.T.); #278437=ORIENTED_EDGE('',*,*,#206213,.F.); #278438=ORIENTED_EDGE('',*,*,#206203,.F.); #278439=ORIENTED_EDGE('',*,*,#206207,.F.); #278440=ORIENTED_EDGE('',*,*,#206210,.F.); #278441=ORIENTED_EDGE('',*,*,#206215,.F.); #278442=ORIENTED_EDGE('',*,*,#206216,.T.); #278443=ORIENTED_EDGE('',*,*,#206217,.F.); #278444=ORIENTED_EDGE('',*,*,#206216,.F.); #278445=ORIENTED_EDGE('',*,*,#206218,.T.); #278446=ORIENTED_EDGE('',*,*,#206219,.T.); #278447=ORIENTED_EDGE('',*,*,#206220,.F.); #278448=ORIENTED_EDGE('',*,*,#206221,.F.); #278449=ORIENTED_EDGE('',*,*,#206222,.T.); #278450=ORIENTED_EDGE('',*,*,#206221,.T.); #278451=ORIENTED_EDGE('',*,*,#206223,.F.); #278452=ORIENTED_EDGE('',*,*,#206224,.F.); #278453=ORIENTED_EDGE('',*,*,#206225,.T.); #278454=ORIENTED_EDGE('',*,*,#206224,.T.); #278455=ORIENTED_EDGE('',*,*,#206226,.F.); #278456=ORIENTED_EDGE('',*,*,#206227,.F.); #278457=ORIENTED_EDGE('',*,*,#206228,.T.); #278458=ORIENTED_EDGE('',*,*,#206227,.T.); #278459=ORIENTED_EDGE('',*,*,#206229,.F.); #278460=ORIENTED_EDGE('',*,*,#206219,.F.); #278461=ORIENTED_EDGE('',*,*,#206229,.T.); #278462=ORIENTED_EDGE('',*,*,#206226,.T.); #278463=ORIENTED_EDGE('',*,*,#206223,.T.); #278464=ORIENTED_EDGE('',*,*,#206220,.T.); #278465=ORIENTED_EDGE('',*,*,#206217,.T.); #278466=ORIENTED_EDGE('',*,*,#206228,.F.); #278467=ORIENTED_EDGE('',*,*,#206218,.F.); #278468=ORIENTED_EDGE('',*,*,#206222,.F.); #278469=ORIENTED_EDGE('',*,*,#206225,.F.); #278470=ORIENTED_EDGE('',*,*,#206215,.T.); #278471=ORIENTED_EDGE('',*,*,#206230,.F.); #278472=ORIENTED_EDGE('',*,*,#206231,.T.); #278473=ORIENTED_EDGE('',*,*,#206232,.F.); #278474=ORIENTED_EDGE('',*,*,#206231,.F.); #278475=ORIENTED_EDGE('',*,*,#206233,.T.); #278476=ORIENTED_EDGE('',*,*,#206234,.T.); #278477=ORIENTED_EDGE('',*,*,#206235,.F.); #278478=ORIENTED_EDGE('',*,*,#206236,.F.); #278479=ORIENTED_EDGE('',*,*,#206237,.T.); #278480=ORIENTED_EDGE('',*,*,#206236,.T.); #278481=ORIENTED_EDGE('',*,*,#206238,.F.); #278482=ORIENTED_EDGE('',*,*,#206239,.F.); #278483=ORIENTED_EDGE('',*,*,#206240,.T.); #278484=ORIENTED_EDGE('',*,*,#206239,.T.); #278485=ORIENTED_EDGE('',*,*,#206241,.F.); #278486=ORIENTED_EDGE('',*,*,#206242,.F.); #278487=ORIENTED_EDGE('',*,*,#206243,.T.); #278488=ORIENTED_EDGE('',*,*,#206242,.T.); #278489=ORIENTED_EDGE('',*,*,#206244,.F.); #278490=ORIENTED_EDGE('',*,*,#206234,.F.); #278491=ORIENTED_EDGE('',*,*,#206244,.T.); #278492=ORIENTED_EDGE('',*,*,#206241,.T.); #278493=ORIENTED_EDGE('',*,*,#206238,.T.); #278494=ORIENTED_EDGE('',*,*,#206235,.T.); #278495=ORIENTED_EDGE('',*,*,#206232,.T.); #278496=ORIENTED_EDGE('',*,*,#206243,.F.); #278497=ORIENTED_EDGE('',*,*,#206233,.F.); #278498=ORIENTED_EDGE('',*,*,#206237,.F.); #278499=ORIENTED_EDGE('',*,*,#206240,.F.); #278500=ORIENTED_EDGE('',*,*,#206230,.T.); #278501=ORIENTED_EDGE('',*,*,#206245,.T.); #278502=ORIENTED_EDGE('',*,*,#206246,.T.); #278503=ORIENTED_EDGE('',*,*,#206247,.F.); #278504=ORIENTED_EDGE('',*,*,#206248,.F.); #278505=ORIENTED_EDGE('',*,*,#206249,.T.); #278506=ORIENTED_EDGE('',*,*,#206248,.T.); #278507=ORIENTED_EDGE('',*,*,#206250,.F.); #278508=ORIENTED_EDGE('',*,*,#206251,.F.); #278509=ORIENTED_EDGE('',*,*,#206252,.T.); #278510=ORIENTED_EDGE('',*,*,#206251,.T.); #278511=ORIENTED_EDGE('',*,*,#206253,.F.); #278512=ORIENTED_EDGE('',*,*,#206254,.F.); #278513=ORIENTED_EDGE('',*,*,#206255,.T.); #278514=ORIENTED_EDGE('',*,*,#206254,.T.); #278515=ORIENTED_EDGE('',*,*,#206256,.F.); #278516=ORIENTED_EDGE('',*,*,#206246,.F.); #278517=ORIENTED_EDGE('',*,*,#206256,.T.); #278518=ORIENTED_EDGE('',*,*,#206253,.T.); #278519=ORIENTED_EDGE('',*,*,#206250,.T.); #278520=ORIENTED_EDGE('',*,*,#206247,.T.); #278521=ORIENTED_EDGE('',*,*,#206255,.F.); #278522=ORIENTED_EDGE('',*,*,#206245,.F.); #278523=ORIENTED_EDGE('',*,*,#206249,.F.); #278524=ORIENTED_EDGE('',*,*,#206252,.F.); #278525=ORIENTED_EDGE('',*,*,#206257,.T.); #278526=ORIENTED_EDGE('',*,*,#206258,.T.); #278527=ORIENTED_EDGE('',*,*,#206259,.F.); #278528=ORIENTED_EDGE('',*,*,#206260,.F.); #278529=ORIENTED_EDGE('',*,*,#206261,.T.); #278530=ORIENTED_EDGE('',*,*,#206260,.T.); #278531=ORIENTED_EDGE('',*,*,#206262,.F.); #278532=ORIENTED_EDGE('',*,*,#206263,.F.); #278533=ORIENTED_EDGE('',*,*,#206264,.T.); #278534=ORIENTED_EDGE('',*,*,#206263,.T.); #278535=ORIENTED_EDGE('',*,*,#206265,.F.); #278536=ORIENTED_EDGE('',*,*,#206266,.F.); #278537=ORIENTED_EDGE('',*,*,#206267,.T.); #278538=ORIENTED_EDGE('',*,*,#206266,.T.); #278539=ORIENTED_EDGE('',*,*,#206268,.F.); #278540=ORIENTED_EDGE('',*,*,#206258,.F.); #278541=ORIENTED_EDGE('',*,*,#206268,.T.); #278542=ORIENTED_EDGE('',*,*,#206265,.T.); #278543=ORIENTED_EDGE('',*,*,#206262,.T.); #278544=ORIENTED_EDGE('',*,*,#206259,.T.); #278545=ORIENTED_EDGE('',*,*,#206267,.F.); #278546=ORIENTED_EDGE('',*,*,#206257,.F.); #278547=ORIENTED_EDGE('',*,*,#206261,.F.); #278548=ORIENTED_EDGE('',*,*,#206264,.F.); #278549=ORIENTED_EDGE('',*,*,#206269,.T.); #278550=ORIENTED_EDGE('',*,*,#206270,.T.); #278551=ORIENTED_EDGE('',*,*,#206271,.F.); #278552=ORIENTED_EDGE('',*,*,#206272,.F.); #278553=ORIENTED_EDGE('',*,*,#206273,.T.); #278554=ORIENTED_EDGE('',*,*,#206272,.T.); #278555=ORIENTED_EDGE('',*,*,#206274,.F.); #278556=ORIENTED_EDGE('',*,*,#206275,.F.); #278557=ORIENTED_EDGE('',*,*,#206276,.T.); #278558=ORIENTED_EDGE('',*,*,#206275,.T.); #278559=ORIENTED_EDGE('',*,*,#206277,.F.); #278560=ORIENTED_EDGE('',*,*,#206278,.F.); #278561=ORIENTED_EDGE('',*,*,#206279,.T.); #278562=ORIENTED_EDGE('',*,*,#206278,.T.); #278563=ORIENTED_EDGE('',*,*,#206280,.F.); #278564=ORIENTED_EDGE('',*,*,#206270,.F.); #278565=ORIENTED_EDGE('',*,*,#206280,.T.); #278566=ORIENTED_EDGE('',*,*,#206277,.T.); #278567=ORIENTED_EDGE('',*,*,#206274,.T.); #278568=ORIENTED_EDGE('',*,*,#206271,.T.); #278569=ORIENTED_EDGE('',*,*,#206279,.F.); #278570=ORIENTED_EDGE('',*,*,#206269,.F.); #278571=ORIENTED_EDGE('',*,*,#206273,.F.); #278572=ORIENTED_EDGE('',*,*,#206276,.F.); #278573=ORIENTED_EDGE('',*,*,#206281,.T.); #278574=ORIENTED_EDGE('',*,*,#206282,.T.); #278575=ORIENTED_EDGE('',*,*,#206283,.F.); #278576=ORIENTED_EDGE('',*,*,#206284,.F.); #278577=ORIENTED_EDGE('',*,*,#206285,.T.); #278578=ORIENTED_EDGE('',*,*,#206284,.T.); #278579=ORIENTED_EDGE('',*,*,#206286,.F.); #278580=ORIENTED_EDGE('',*,*,#206287,.F.); #278581=ORIENTED_EDGE('',*,*,#206288,.T.); #278582=ORIENTED_EDGE('',*,*,#206287,.T.); #278583=ORIENTED_EDGE('',*,*,#206289,.F.); #278584=ORIENTED_EDGE('',*,*,#206290,.F.); #278585=ORIENTED_EDGE('',*,*,#206291,.T.); #278586=ORIENTED_EDGE('',*,*,#206290,.T.); #278587=ORIENTED_EDGE('',*,*,#206292,.F.); #278588=ORIENTED_EDGE('',*,*,#206282,.F.); #278589=ORIENTED_EDGE('',*,*,#206292,.T.); #278590=ORIENTED_EDGE('',*,*,#206289,.T.); #278591=ORIENTED_EDGE('',*,*,#206286,.T.); #278592=ORIENTED_EDGE('',*,*,#206283,.T.); #278593=ORIENTED_EDGE('',*,*,#206291,.F.); #278594=ORIENTED_EDGE('',*,*,#206281,.F.); #278595=ORIENTED_EDGE('',*,*,#206285,.F.); #278596=ORIENTED_EDGE('',*,*,#206288,.F.); #278597=ORIENTED_EDGE('',*,*,#206293,.T.); #278598=ORIENTED_EDGE('',*,*,#206294,.T.); #278599=ORIENTED_EDGE('',*,*,#206295,.F.); #278600=ORIENTED_EDGE('',*,*,#206296,.F.); #278601=ORIENTED_EDGE('',*,*,#206297,.T.); #278602=ORIENTED_EDGE('',*,*,#206296,.T.); #278603=ORIENTED_EDGE('',*,*,#206298,.F.); #278604=ORIENTED_EDGE('',*,*,#206299,.F.); #278605=ORIENTED_EDGE('',*,*,#206300,.T.); #278606=ORIENTED_EDGE('',*,*,#206299,.T.); #278607=ORIENTED_EDGE('',*,*,#206301,.F.); #278608=ORIENTED_EDGE('',*,*,#206302,.F.); #278609=ORIENTED_EDGE('',*,*,#206303,.T.); #278610=ORIENTED_EDGE('',*,*,#206302,.T.); #278611=ORIENTED_EDGE('',*,*,#206304,.F.); #278612=ORIENTED_EDGE('',*,*,#206294,.F.); #278613=ORIENTED_EDGE('',*,*,#206304,.T.); #278614=ORIENTED_EDGE('',*,*,#206301,.T.); #278615=ORIENTED_EDGE('',*,*,#206298,.T.); #278616=ORIENTED_EDGE('',*,*,#206295,.T.); #278617=ORIENTED_EDGE('',*,*,#206303,.F.); #278618=ORIENTED_EDGE('',*,*,#206293,.F.); #278619=ORIENTED_EDGE('',*,*,#206297,.F.); #278620=ORIENTED_EDGE('',*,*,#206300,.F.); #278621=ORIENTED_EDGE('',*,*,#206305,.T.); #278622=ORIENTED_EDGE('',*,*,#206306,.T.); #278623=ORIENTED_EDGE('',*,*,#206307,.F.); #278624=ORIENTED_EDGE('',*,*,#206308,.F.); #278625=ORIENTED_EDGE('',*,*,#206309,.T.); #278626=ORIENTED_EDGE('',*,*,#206308,.T.); #278627=ORIENTED_EDGE('',*,*,#206310,.F.); #278628=ORIENTED_EDGE('',*,*,#206311,.F.); #278629=ORIENTED_EDGE('',*,*,#206312,.T.); #278630=ORIENTED_EDGE('',*,*,#206311,.T.); #278631=ORIENTED_EDGE('',*,*,#206313,.F.); #278632=ORIENTED_EDGE('',*,*,#206314,.F.); #278633=ORIENTED_EDGE('',*,*,#206315,.T.); #278634=ORIENTED_EDGE('',*,*,#206314,.T.); #278635=ORIENTED_EDGE('',*,*,#206316,.F.); #278636=ORIENTED_EDGE('',*,*,#206306,.F.); #278637=ORIENTED_EDGE('',*,*,#206316,.T.); #278638=ORIENTED_EDGE('',*,*,#206313,.T.); #278639=ORIENTED_EDGE('',*,*,#206310,.T.); #278640=ORIENTED_EDGE('',*,*,#206307,.T.); #278641=ORIENTED_EDGE('',*,*,#206315,.F.); #278642=ORIENTED_EDGE('',*,*,#206305,.F.); #278643=ORIENTED_EDGE('',*,*,#206309,.F.); #278644=ORIENTED_EDGE('',*,*,#206312,.F.); #278645=ORIENTED_EDGE('',*,*,#206317,.T.); #278646=ORIENTED_EDGE('',*,*,#206318,.T.); #278647=ORIENTED_EDGE('',*,*,#206319,.F.); #278648=ORIENTED_EDGE('',*,*,#206320,.F.); #278649=ORIENTED_EDGE('',*,*,#206321,.T.); #278650=ORIENTED_EDGE('',*,*,#206320,.T.); #278651=ORIENTED_EDGE('',*,*,#206322,.F.); #278652=ORIENTED_EDGE('',*,*,#206323,.F.); #278653=ORIENTED_EDGE('',*,*,#206324,.T.); #278654=ORIENTED_EDGE('',*,*,#206323,.T.); #278655=ORIENTED_EDGE('',*,*,#206325,.F.); #278656=ORIENTED_EDGE('',*,*,#206326,.F.); #278657=ORIENTED_EDGE('',*,*,#206327,.T.); #278658=ORIENTED_EDGE('',*,*,#206326,.T.); #278659=ORIENTED_EDGE('',*,*,#206328,.F.); #278660=ORIENTED_EDGE('',*,*,#206318,.F.); #278661=ORIENTED_EDGE('',*,*,#206328,.T.); #278662=ORIENTED_EDGE('',*,*,#206325,.T.); #278663=ORIENTED_EDGE('',*,*,#206322,.T.); #278664=ORIENTED_EDGE('',*,*,#206319,.T.); #278665=ORIENTED_EDGE('',*,*,#206327,.F.); #278666=ORIENTED_EDGE('',*,*,#206317,.F.); #278667=ORIENTED_EDGE('',*,*,#206321,.F.); #278668=ORIENTED_EDGE('',*,*,#206324,.F.); #278669=ORIENTED_EDGE('',*,*,#206329,.T.); #278670=ORIENTED_EDGE('',*,*,#206330,.T.); #278671=ORIENTED_EDGE('',*,*,#206331,.F.); #278672=ORIENTED_EDGE('',*,*,#206332,.F.); #278673=ORIENTED_EDGE('',*,*,#206333,.T.); #278674=ORIENTED_EDGE('',*,*,#206332,.T.); #278675=ORIENTED_EDGE('',*,*,#206334,.F.); #278676=ORIENTED_EDGE('',*,*,#206335,.F.); #278677=ORIENTED_EDGE('',*,*,#206336,.T.); #278678=ORIENTED_EDGE('',*,*,#206335,.T.); #278679=ORIENTED_EDGE('',*,*,#206337,.F.); #278680=ORIENTED_EDGE('',*,*,#206338,.F.); #278681=ORIENTED_EDGE('',*,*,#206339,.T.); #278682=ORIENTED_EDGE('',*,*,#206338,.T.); #278683=ORIENTED_EDGE('',*,*,#206340,.F.); #278684=ORIENTED_EDGE('',*,*,#206330,.F.); #278685=ORIENTED_EDGE('',*,*,#206340,.T.); #278686=ORIENTED_EDGE('',*,*,#206337,.T.); #278687=ORIENTED_EDGE('',*,*,#206334,.T.); #278688=ORIENTED_EDGE('',*,*,#206331,.T.); #278689=ORIENTED_EDGE('',*,*,#206339,.F.); #278690=ORIENTED_EDGE('',*,*,#206329,.F.); #278691=ORIENTED_EDGE('',*,*,#206333,.F.); #278692=ORIENTED_EDGE('',*,*,#206336,.F.); #278693=ORIENTED_EDGE('',*,*,#206341,.T.); #278694=ORIENTED_EDGE('',*,*,#206342,.T.); #278695=ORIENTED_EDGE('',*,*,#206343,.F.); #278696=ORIENTED_EDGE('',*,*,#206344,.F.); #278697=ORIENTED_EDGE('',*,*,#206345,.T.); #278698=ORIENTED_EDGE('',*,*,#206344,.T.); #278699=ORIENTED_EDGE('',*,*,#206346,.F.); #278700=ORIENTED_EDGE('',*,*,#206347,.F.); #278701=ORIENTED_EDGE('',*,*,#206348,.T.); #278702=ORIENTED_EDGE('',*,*,#206347,.T.); #278703=ORIENTED_EDGE('',*,*,#206349,.F.); #278704=ORIENTED_EDGE('',*,*,#206350,.F.); #278705=ORIENTED_EDGE('',*,*,#206351,.T.); #278706=ORIENTED_EDGE('',*,*,#206350,.T.); #278707=ORIENTED_EDGE('',*,*,#206352,.F.); #278708=ORIENTED_EDGE('',*,*,#206342,.F.); #278709=ORIENTED_EDGE('',*,*,#206352,.T.); #278710=ORIENTED_EDGE('',*,*,#206349,.T.); #278711=ORIENTED_EDGE('',*,*,#206346,.T.); #278712=ORIENTED_EDGE('',*,*,#206343,.T.); #278713=ORIENTED_EDGE('',*,*,#206351,.F.); #278714=ORIENTED_EDGE('',*,*,#206341,.F.); #278715=ORIENTED_EDGE('',*,*,#206345,.F.); #278716=ORIENTED_EDGE('',*,*,#206348,.F.); #278717=ORIENTED_EDGE('',*,*,#206353,.T.); #278718=ORIENTED_EDGE('',*,*,#206354,.T.); #278719=ORIENTED_EDGE('',*,*,#206355,.F.); #278720=ORIENTED_EDGE('',*,*,#206356,.F.); #278721=ORIENTED_EDGE('',*,*,#206357,.T.); #278722=ORIENTED_EDGE('',*,*,#206356,.T.); #278723=ORIENTED_EDGE('',*,*,#206358,.F.); #278724=ORIENTED_EDGE('',*,*,#206359,.F.); #278725=ORIENTED_EDGE('',*,*,#206360,.T.); #278726=ORIENTED_EDGE('',*,*,#206359,.T.); #278727=ORIENTED_EDGE('',*,*,#206361,.F.); #278728=ORIENTED_EDGE('',*,*,#206362,.F.); #278729=ORIENTED_EDGE('',*,*,#206363,.T.); #278730=ORIENTED_EDGE('',*,*,#206362,.T.); #278731=ORIENTED_EDGE('',*,*,#206364,.F.); #278732=ORIENTED_EDGE('',*,*,#206354,.F.); #278733=ORIENTED_EDGE('',*,*,#206364,.T.); #278734=ORIENTED_EDGE('',*,*,#206361,.T.); #278735=ORIENTED_EDGE('',*,*,#206358,.T.); #278736=ORIENTED_EDGE('',*,*,#206355,.T.); #278737=ORIENTED_EDGE('',*,*,#206363,.F.); #278738=ORIENTED_EDGE('',*,*,#206353,.F.); #278739=ORIENTED_EDGE('',*,*,#206357,.F.); #278740=ORIENTED_EDGE('',*,*,#206360,.F.); #278741=ORIENTED_EDGE('',*,*,#206365,.T.); #278742=ORIENTED_EDGE('',*,*,#206366,.T.); #278743=ORIENTED_EDGE('',*,*,#206367,.F.); #278744=ORIENTED_EDGE('',*,*,#206368,.F.); #278745=ORIENTED_EDGE('',*,*,#206369,.T.); #278746=ORIENTED_EDGE('',*,*,#206368,.T.); #278747=ORIENTED_EDGE('',*,*,#206370,.F.); #278748=ORIENTED_EDGE('',*,*,#206371,.F.); #278749=ORIENTED_EDGE('',*,*,#206372,.T.); #278750=ORIENTED_EDGE('',*,*,#206371,.T.); #278751=ORIENTED_EDGE('',*,*,#206373,.F.); #278752=ORIENTED_EDGE('',*,*,#206374,.F.); #278753=ORIENTED_EDGE('',*,*,#206375,.T.); #278754=ORIENTED_EDGE('',*,*,#206374,.T.); #278755=ORIENTED_EDGE('',*,*,#206376,.F.); #278756=ORIENTED_EDGE('',*,*,#206366,.F.); #278757=ORIENTED_EDGE('',*,*,#206376,.T.); #278758=ORIENTED_EDGE('',*,*,#206373,.T.); #278759=ORIENTED_EDGE('',*,*,#206370,.T.); #278760=ORIENTED_EDGE('',*,*,#206367,.T.); #278761=ORIENTED_EDGE('',*,*,#206375,.F.); #278762=ORIENTED_EDGE('',*,*,#206365,.F.); #278763=ORIENTED_EDGE('',*,*,#206369,.F.); #278764=ORIENTED_EDGE('',*,*,#206372,.F.); #278765=ORIENTED_EDGE('',*,*,#206377,.F.); #278766=ORIENTED_EDGE('',*,*,#206378,.T.); #278767=ORIENTED_EDGE('',*,*,#206379,.F.); #278768=ORIENTED_EDGE('',*,*,#206378,.F.); #278769=ORIENTED_EDGE('',*,*,#206380,.F.); #278770=ORIENTED_EDGE('',*,*,#206381,.T.); #278771=ORIENTED_EDGE('',*,*,#206382,.F.); #278772=ORIENTED_EDGE('',*,*,#206381,.F.); #278773=ORIENTED_EDGE('',*,*,#206383,.F.); #278774=ORIENTED_EDGE('',*,*,#206384,.T.); #278775=ORIENTED_EDGE('',*,*,#206385,.F.); #278776=ORIENTED_EDGE('',*,*,#206384,.F.); #278777=ORIENTED_EDGE('',*,*,#206386,.F.); #278778=ORIENTED_EDGE('',*,*,#206387,.T.); #278779=ORIENTED_EDGE('',*,*,#206388,.F.); #278780=ORIENTED_EDGE('',*,*,#206387,.F.); #278781=ORIENTED_EDGE('',*,*,#206389,.F.); #278782=ORIENTED_EDGE('',*,*,#206390,.T.); #278783=ORIENTED_EDGE('',*,*,#206391,.F.); #278784=ORIENTED_EDGE('',*,*,#206390,.F.); #278785=ORIENTED_EDGE('',*,*,#206392,.F.); #278786=ORIENTED_EDGE('',*,*,#206393,.T.); #278787=ORIENTED_EDGE('',*,*,#206394,.F.); #278788=ORIENTED_EDGE('',*,*,#206393,.F.); #278789=ORIENTED_EDGE('',*,*,#206395,.T.); #278790=ORIENTED_EDGE('',*,*,#206396,.T.); #278791=ORIENTED_EDGE('',*,*,#206397,.F.); #278792=ORIENTED_EDGE('',*,*,#206398,.F.); #278793=ORIENTED_EDGE('',*,*,#206399,.T.); #278794=ORIENTED_EDGE('',*,*,#206398,.T.); #278795=ORIENTED_EDGE('',*,*,#206400,.F.); #278796=ORIENTED_EDGE('',*,*,#206401,.F.); #278797=ORIENTED_EDGE('',*,*,#206402,.T.); #278798=ORIENTED_EDGE('',*,*,#206401,.T.); #278799=ORIENTED_EDGE('',*,*,#206403,.F.); #278800=ORIENTED_EDGE('',*,*,#206404,.F.); #278801=ORIENTED_EDGE('',*,*,#206405,.T.); #278802=ORIENTED_EDGE('',*,*,#206404,.T.); #278803=ORIENTED_EDGE('',*,*,#206406,.F.); #278804=ORIENTED_EDGE('',*,*,#206396,.F.); #278805=ORIENTED_EDGE('',*,*,#206406,.T.); #278806=ORIENTED_EDGE('',*,*,#206403,.T.); #278807=ORIENTED_EDGE('',*,*,#206400,.T.); #278808=ORIENTED_EDGE('',*,*,#206397,.T.); #278809=ORIENTED_EDGE('',*,*,#206379,.T.); #278810=ORIENTED_EDGE('',*,*,#206382,.T.); #278811=ORIENTED_EDGE('',*,*,#206385,.T.); #278812=ORIENTED_EDGE('',*,*,#206388,.T.); #278813=ORIENTED_EDGE('',*,*,#206391,.T.); #278814=ORIENTED_EDGE('',*,*,#206394,.T.); #278815=ORIENTED_EDGE('',*,*,#206405,.F.); #278816=ORIENTED_EDGE('',*,*,#206395,.F.); #278817=ORIENTED_EDGE('',*,*,#206399,.F.); #278818=ORIENTED_EDGE('',*,*,#206402,.F.); #278819=ORIENTED_EDGE('',*,*,#206377,.T.); #278820=ORIENTED_EDGE('',*,*,#206380,.T.); #278821=ORIENTED_EDGE('',*,*,#206383,.T.); #278822=ORIENTED_EDGE('',*,*,#206386,.T.); #278823=ORIENTED_EDGE('',*,*,#206389,.T.); #278824=ORIENTED_EDGE('',*,*,#206392,.T.); #278825=ORIENTED_EDGE('',*,*,#206407,.T.); #278826=ORIENTED_EDGE('',*,*,#206408,.T.); #278827=ORIENTED_EDGE('',*,*,#206409,.F.); #278828=ORIENTED_EDGE('',*,*,#206410,.F.); #278829=ORIENTED_EDGE('',*,*,#206411,.T.); #278830=ORIENTED_EDGE('',*,*,#206410,.T.); #278831=ORIENTED_EDGE('',*,*,#206412,.F.); #278832=ORIENTED_EDGE('',*,*,#206413,.F.); #278833=ORIENTED_EDGE('',*,*,#206414,.T.); #278834=ORIENTED_EDGE('',*,*,#206413,.T.); #278835=ORIENTED_EDGE('',*,*,#206415,.F.); #278836=ORIENTED_EDGE('',*,*,#206416,.F.); #278837=ORIENTED_EDGE('',*,*,#206417,.T.); #278838=ORIENTED_EDGE('',*,*,#206416,.T.); #278839=ORIENTED_EDGE('',*,*,#206418,.F.); #278840=ORIENTED_EDGE('',*,*,#206408,.F.); #278841=ORIENTED_EDGE('',*,*,#206418,.T.); #278842=ORIENTED_EDGE('',*,*,#206415,.T.); #278843=ORIENTED_EDGE('',*,*,#206412,.T.); #278844=ORIENTED_EDGE('',*,*,#206409,.T.); #278845=ORIENTED_EDGE('',*,*,#206417,.F.); #278846=ORIENTED_EDGE('',*,*,#206407,.F.); #278847=ORIENTED_EDGE('',*,*,#206411,.F.); #278848=ORIENTED_EDGE('',*,*,#206414,.F.); #278849=ORIENTED_EDGE('',*,*,#206419,.T.); #278850=ORIENTED_EDGE('',*,*,#206420,.T.); #278851=ORIENTED_EDGE('',*,*,#206421,.F.); #278852=ORIENTED_EDGE('',*,*,#206422,.F.); #278853=ORIENTED_EDGE('',*,*,#206423,.T.); #278854=ORIENTED_EDGE('',*,*,#206422,.T.); #278855=ORIENTED_EDGE('',*,*,#206424,.F.); #278856=ORIENTED_EDGE('',*,*,#206425,.F.); #278857=ORIENTED_EDGE('',*,*,#206426,.T.); #278858=ORIENTED_EDGE('',*,*,#206425,.T.); #278859=ORIENTED_EDGE('',*,*,#206427,.F.); #278860=ORIENTED_EDGE('',*,*,#206428,.F.); #278861=ORIENTED_EDGE('',*,*,#206429,.T.); #278862=ORIENTED_EDGE('',*,*,#206428,.T.); #278863=ORIENTED_EDGE('',*,*,#206430,.F.); #278864=ORIENTED_EDGE('',*,*,#206420,.F.); #278865=ORIENTED_EDGE('',*,*,#206430,.T.); #278866=ORIENTED_EDGE('',*,*,#206427,.T.); #278867=ORIENTED_EDGE('',*,*,#206424,.T.); #278868=ORIENTED_EDGE('',*,*,#206421,.T.); #278869=ORIENTED_EDGE('',*,*,#206429,.F.); #278870=ORIENTED_EDGE('',*,*,#206419,.F.); #278871=ORIENTED_EDGE('',*,*,#206423,.F.); #278872=ORIENTED_EDGE('',*,*,#206426,.F.); #278873=ORIENTED_EDGE('',*,*,#206431,.T.); #278874=ORIENTED_EDGE('',*,*,#206432,.T.); #278875=ORIENTED_EDGE('',*,*,#206433,.F.); #278876=ORIENTED_EDGE('',*,*,#206434,.F.); #278877=ORIENTED_EDGE('',*,*,#206435,.T.); #278878=ORIENTED_EDGE('',*,*,#206434,.T.); #278879=ORIENTED_EDGE('',*,*,#206436,.F.); #278880=ORIENTED_EDGE('',*,*,#206437,.F.); #278881=ORIENTED_EDGE('',*,*,#206438,.T.); #278882=ORIENTED_EDGE('',*,*,#206437,.T.); #278883=ORIENTED_EDGE('',*,*,#206439,.F.); #278884=ORIENTED_EDGE('',*,*,#206440,.F.); #278885=ORIENTED_EDGE('',*,*,#206441,.T.); #278886=ORIENTED_EDGE('',*,*,#206440,.T.); #278887=ORIENTED_EDGE('',*,*,#206442,.F.); #278888=ORIENTED_EDGE('',*,*,#206432,.F.); #278889=ORIENTED_EDGE('',*,*,#206442,.T.); #278890=ORIENTED_EDGE('',*,*,#206439,.T.); #278891=ORIENTED_EDGE('',*,*,#206436,.T.); #278892=ORIENTED_EDGE('',*,*,#206433,.T.); #278893=ORIENTED_EDGE('',*,*,#206441,.F.); #278894=ORIENTED_EDGE('',*,*,#206431,.F.); #278895=ORIENTED_EDGE('',*,*,#206435,.F.); #278896=ORIENTED_EDGE('',*,*,#206438,.F.); #278897=ORIENTED_EDGE('',*,*,#206443,.F.); #278898=ORIENTED_EDGE('',*,*,#206444,.F.); #278899=ORIENTED_EDGE('',*,*,#206445,.F.); #278900=ORIENTED_EDGE('',*,*,#206446,.F.); #278901=ORIENTED_EDGE('',*,*,#206447,.F.); #278902=ORIENTED_EDGE('',*,*,#206448,.T.); #278903=ORIENTED_EDGE('',*,*,#206449,.F.); #278904=ORIENTED_EDGE('',*,*,#206448,.F.); #278905=ORIENTED_EDGE('',*,*,#206450,.F.); #278906=ORIENTED_EDGE('',*,*,#206451,.F.); #278907=ORIENTED_EDGE('',*,*,#206452,.F.); #278908=ORIENTED_EDGE('',*,*,#206453,.F.); #278909=ORIENTED_EDGE('',*,*,#206454,.F.); #278910=ORIENTED_EDGE('',*,*,#206455,.F.); #278911=ORIENTED_EDGE('',*,*,#206456,.F.); #278912=ORIENTED_EDGE('',*,*,#206457,.F.); #278913=ORIENTED_EDGE('',*,*,#206458,.F.); #278914=ORIENTED_EDGE('',*,*,#206459,.F.); #278915=ORIENTED_EDGE('',*,*,#206460,.F.); #278916=ORIENTED_EDGE('',*,*,#206461,.F.); #278917=ORIENTED_EDGE('',*,*,#206462,.F.); #278918=ORIENTED_EDGE('',*,*,#206463,.T.); #278919=ORIENTED_EDGE('',*,*,#206464,.F.); #278920=ORIENTED_EDGE('',*,*,#206463,.F.); #278921=ORIENTED_EDGE('',*,*,#206456,.T.); #278922=ORIENTED_EDGE('',*,*,#206465,.T.); #278923=ORIENTED_EDGE('',*,*,#206466,.T.); #278924=ORIENTED_EDGE('',*,*,#206467,.F.); #278925=ORIENTED_EDGE('',*,*,#206450,.T.); #278926=ORIENTED_EDGE('',*,*,#206468,.F.); #278927=ORIENTED_EDGE('',*,*,#206469,.F.); #278928=ORIENTED_EDGE('',*,*,#206470,.T.); #278929=ORIENTED_EDGE('',*,*,#206452,.T.); #278930=ORIENTED_EDGE('',*,*,#206471,.T.); #278931=ORIENTED_EDGE('',*,*,#206454,.T.); #278932=ORIENTED_EDGE('',*,*,#206472,.F.); #278933=ORIENTED_EDGE('',*,*,#206460,.T.); #278934=ORIENTED_EDGE('',*,*,#206473,.T.); #278935=ORIENTED_EDGE('',*,*,#206474,.T.); #278936=ORIENTED_EDGE('',*,*,#206475,.F.); #278937=ORIENTED_EDGE('',*,*,#206443,.T.); #278938=ORIENTED_EDGE('',*,*,#206476,.F.); #278939=ORIENTED_EDGE('',*,*,#206477,.F.); #278940=ORIENTED_EDGE('',*,*,#206478,.T.); #278941=ORIENTED_EDGE('',*,*,#206445,.T.); #278942=ORIENTED_EDGE('',*,*,#206479,.T.); #278943=ORIENTED_EDGE('',*,*,#206458,.T.); #278944=ORIENTED_EDGE('',*,*,#206480,.F.); #278945=ORIENTED_EDGE('',*,*,#206444,.T.); #278946=ORIENTED_EDGE('',*,*,#206478,.F.); #278947=ORIENTED_EDGE('',*,*,#206481,.F.); #278948=ORIENTED_EDGE('',*,*,#206465,.F.); #278949=ORIENTED_EDGE('',*,*,#206455,.T.); #278950=ORIENTED_EDGE('',*,*,#206471,.F.); #278951=ORIENTED_EDGE('',*,*,#206451,.T.); #278952=ORIENTED_EDGE('',*,*,#206470,.F.); #278953=ORIENTED_EDGE('',*,*,#206482,.F.); #278954=ORIENTED_EDGE('',*,*,#206473,.F.); #278955=ORIENTED_EDGE('',*,*,#206459,.T.); #278956=ORIENTED_EDGE('',*,*,#206479,.F.); #278957=ORIENTED_EDGE('',*,*,#206462,.T.); #278958=ORIENTED_EDGE('',*,*,#206447,.T.); #278959=ORIENTED_EDGE('',*,*,#206481,.T.); #278960=ORIENTED_EDGE('',*,*,#206477,.T.); #278961=ORIENTED_EDGE('',*,*,#206483,.F.); #278962=ORIENTED_EDGE('',*,*,#206466,.F.); #278963=ORIENTED_EDGE('',*,*,#206482,.T.); #278964=ORIENTED_EDGE('',*,*,#206469,.T.); #278965=ORIENTED_EDGE('',*,*,#206484,.F.); #278966=ORIENTED_EDGE('',*,*,#206474,.F.); #278967=ORIENTED_EDGE('',*,*,#206446,.T.); #278968=ORIENTED_EDGE('',*,*,#206480,.T.); #278969=ORIENTED_EDGE('',*,*,#206461,.T.); #278970=ORIENTED_EDGE('',*,*,#206475,.T.); #278971=ORIENTED_EDGE('',*,*,#206484,.T.); #278972=ORIENTED_EDGE('',*,*,#206468,.T.); #278973=ORIENTED_EDGE('',*,*,#206453,.T.); #278974=ORIENTED_EDGE('',*,*,#206472,.T.); #278975=ORIENTED_EDGE('',*,*,#206457,.T.); #278976=ORIENTED_EDGE('',*,*,#206467,.T.); #278977=ORIENTED_EDGE('',*,*,#206483,.T.); #278978=ORIENTED_EDGE('',*,*,#206476,.T.); #278979=ORIENTED_EDGE('',*,*,#206449,.T.); #278980=ORIENTED_EDGE('',*,*,#206464,.T.); #278981=ORIENTED_EDGE('',*,*,#206485,.T.); #278982=ORIENTED_EDGE('',*,*,#206486,.T.); #278983=ORIENTED_EDGE('',*,*,#206487,.F.); #278984=ORIENTED_EDGE('',*,*,#206488,.F.); #278985=ORIENTED_EDGE('',*,*,#206489,.T.); #278986=ORIENTED_EDGE('',*,*,#206488,.T.); #278987=ORIENTED_EDGE('',*,*,#206490,.F.); #278988=ORIENTED_EDGE('',*,*,#206491,.F.); #278989=ORIENTED_EDGE('',*,*,#206492,.T.); #278990=ORIENTED_EDGE('',*,*,#206491,.T.); #278991=ORIENTED_EDGE('',*,*,#206493,.F.); #278992=ORIENTED_EDGE('',*,*,#206494,.F.); #278993=ORIENTED_EDGE('',*,*,#206495,.T.); #278994=ORIENTED_EDGE('',*,*,#206494,.T.); #278995=ORIENTED_EDGE('',*,*,#206496,.F.); #278996=ORIENTED_EDGE('',*,*,#206486,.F.); #278997=ORIENTED_EDGE('',*,*,#206496,.T.); #278998=ORIENTED_EDGE('',*,*,#206493,.T.); #278999=ORIENTED_EDGE('',*,*,#206490,.T.); #279000=ORIENTED_EDGE('',*,*,#206487,.T.); #279001=ORIENTED_EDGE('',*,*,#206495,.F.); #279002=ORIENTED_EDGE('',*,*,#206485,.F.); #279003=ORIENTED_EDGE('',*,*,#206489,.F.); #279004=ORIENTED_EDGE('',*,*,#206492,.F.); #279005=ORIENTED_EDGE('',*,*,#206497,.T.); #279006=ORIENTED_EDGE('',*,*,#206498,.T.); #279007=ORIENTED_EDGE('',*,*,#206499,.F.); #279008=ORIENTED_EDGE('',*,*,#206500,.F.); #279009=ORIENTED_EDGE('',*,*,#206501,.T.); #279010=ORIENTED_EDGE('',*,*,#206500,.T.); #279011=ORIENTED_EDGE('',*,*,#206502,.F.); #279012=ORIENTED_EDGE('',*,*,#206503,.F.); #279013=ORIENTED_EDGE('',*,*,#206504,.T.); #279014=ORIENTED_EDGE('',*,*,#206503,.T.); #279015=ORIENTED_EDGE('',*,*,#206505,.F.); #279016=ORIENTED_EDGE('',*,*,#206506,.F.); #279017=ORIENTED_EDGE('',*,*,#206507,.T.); #279018=ORIENTED_EDGE('',*,*,#206506,.T.); #279019=ORIENTED_EDGE('',*,*,#206508,.F.); #279020=ORIENTED_EDGE('',*,*,#206498,.F.); #279021=ORIENTED_EDGE('',*,*,#206508,.T.); #279022=ORIENTED_EDGE('',*,*,#206505,.T.); #279023=ORIENTED_EDGE('',*,*,#206502,.T.); #279024=ORIENTED_EDGE('',*,*,#206499,.T.); #279025=ORIENTED_EDGE('',*,*,#206507,.F.); #279026=ORIENTED_EDGE('',*,*,#206497,.F.); #279027=ORIENTED_EDGE('',*,*,#206501,.F.); #279028=ORIENTED_EDGE('',*,*,#206504,.F.); #279029=ORIENTED_EDGE('',*,*,#206509,.T.); #279030=ORIENTED_EDGE('',*,*,#206510,.T.); #279031=ORIENTED_EDGE('',*,*,#206511,.F.); #279032=ORIENTED_EDGE('',*,*,#206512,.F.); #279033=ORIENTED_EDGE('',*,*,#206513,.T.); #279034=ORIENTED_EDGE('',*,*,#206512,.T.); #279035=ORIENTED_EDGE('',*,*,#206514,.F.); #279036=ORIENTED_EDGE('',*,*,#206515,.F.); #279037=ORIENTED_EDGE('',*,*,#206516,.T.); #279038=ORIENTED_EDGE('',*,*,#206515,.T.); #279039=ORIENTED_EDGE('',*,*,#206517,.F.); #279040=ORIENTED_EDGE('',*,*,#206518,.F.); #279041=ORIENTED_EDGE('',*,*,#206519,.T.); #279042=ORIENTED_EDGE('',*,*,#206518,.T.); #279043=ORIENTED_EDGE('',*,*,#206520,.F.); #279044=ORIENTED_EDGE('',*,*,#206510,.F.); #279045=ORIENTED_EDGE('',*,*,#206520,.T.); #279046=ORIENTED_EDGE('',*,*,#206517,.T.); #279047=ORIENTED_EDGE('',*,*,#206514,.T.); #279048=ORIENTED_EDGE('',*,*,#206511,.T.); #279049=ORIENTED_EDGE('',*,*,#206519,.F.); #279050=ORIENTED_EDGE('',*,*,#206509,.F.); #279051=ORIENTED_EDGE('',*,*,#206513,.F.); #279052=ORIENTED_EDGE('',*,*,#206516,.F.); #279053=ORIENTED_EDGE('',*,*,#206521,.T.); #279054=ORIENTED_EDGE('',*,*,#206522,.T.); #279055=ORIENTED_EDGE('',*,*,#206523,.F.); #279056=ORIENTED_EDGE('',*,*,#206524,.F.); #279057=ORIENTED_EDGE('',*,*,#206525,.T.); #279058=ORIENTED_EDGE('',*,*,#206524,.T.); #279059=ORIENTED_EDGE('',*,*,#206526,.F.); #279060=ORIENTED_EDGE('',*,*,#206527,.F.); #279061=ORIENTED_EDGE('',*,*,#206528,.T.); #279062=ORIENTED_EDGE('',*,*,#206527,.T.); #279063=ORIENTED_EDGE('',*,*,#206529,.F.); #279064=ORIENTED_EDGE('',*,*,#206530,.F.); #279065=ORIENTED_EDGE('',*,*,#206531,.T.); #279066=ORIENTED_EDGE('',*,*,#206530,.T.); #279067=ORIENTED_EDGE('',*,*,#206532,.F.); #279068=ORIENTED_EDGE('',*,*,#206522,.F.); #279069=ORIENTED_EDGE('',*,*,#206532,.T.); #279070=ORIENTED_EDGE('',*,*,#206529,.T.); #279071=ORIENTED_EDGE('',*,*,#206526,.T.); #279072=ORIENTED_EDGE('',*,*,#206523,.T.); #279073=ORIENTED_EDGE('',*,*,#206531,.F.); #279074=ORIENTED_EDGE('',*,*,#206521,.F.); #279075=ORIENTED_EDGE('',*,*,#206525,.F.); #279076=ORIENTED_EDGE('',*,*,#206528,.F.); #279077=ORIENTED_EDGE('',*,*,#206533,.T.); #279078=ORIENTED_EDGE('',*,*,#206534,.T.); #279079=ORIENTED_EDGE('',*,*,#206535,.F.); #279080=ORIENTED_EDGE('',*,*,#206536,.F.); #279081=ORIENTED_EDGE('',*,*,#206537,.T.); #279082=ORIENTED_EDGE('',*,*,#206536,.T.); #279083=ORIENTED_EDGE('',*,*,#206538,.F.); #279084=ORIENTED_EDGE('',*,*,#206539,.F.); #279085=ORIENTED_EDGE('',*,*,#206540,.T.); #279086=ORIENTED_EDGE('',*,*,#206539,.T.); #279087=ORIENTED_EDGE('',*,*,#206541,.F.); #279088=ORIENTED_EDGE('',*,*,#206542,.F.); #279089=ORIENTED_EDGE('',*,*,#206543,.T.); #279090=ORIENTED_EDGE('',*,*,#206542,.T.); #279091=ORIENTED_EDGE('',*,*,#206544,.F.); #279092=ORIENTED_EDGE('',*,*,#206534,.F.); #279093=ORIENTED_EDGE('',*,*,#206544,.T.); #279094=ORIENTED_EDGE('',*,*,#206541,.T.); #279095=ORIENTED_EDGE('',*,*,#206538,.T.); #279096=ORIENTED_EDGE('',*,*,#206535,.T.); #279097=ORIENTED_EDGE('',*,*,#206543,.F.); #279098=ORIENTED_EDGE('',*,*,#206533,.F.); #279099=ORIENTED_EDGE('',*,*,#206537,.F.); #279100=ORIENTED_EDGE('',*,*,#206540,.F.); #279101=ORIENTED_EDGE('',*,*,#206545,.T.); #279102=ORIENTED_EDGE('',*,*,#206546,.T.); #279103=ORIENTED_EDGE('',*,*,#206547,.F.); #279104=ORIENTED_EDGE('',*,*,#206548,.F.); #279105=ORIENTED_EDGE('',*,*,#206549,.T.); #279106=ORIENTED_EDGE('',*,*,#206548,.T.); #279107=ORIENTED_EDGE('',*,*,#206550,.F.); #279108=ORIENTED_EDGE('',*,*,#206551,.F.); #279109=ORIENTED_EDGE('',*,*,#206552,.T.); #279110=ORIENTED_EDGE('',*,*,#206551,.T.); #279111=ORIENTED_EDGE('',*,*,#206553,.F.); #279112=ORIENTED_EDGE('',*,*,#206554,.F.); #279113=ORIENTED_EDGE('',*,*,#206555,.T.); #279114=ORIENTED_EDGE('',*,*,#206554,.T.); #279115=ORIENTED_EDGE('',*,*,#206556,.F.); #279116=ORIENTED_EDGE('',*,*,#206546,.F.); #279117=ORIENTED_EDGE('',*,*,#206556,.T.); #279118=ORIENTED_EDGE('',*,*,#206553,.T.); #279119=ORIENTED_EDGE('',*,*,#206550,.T.); #279120=ORIENTED_EDGE('',*,*,#206547,.T.); #279121=ORIENTED_EDGE('',*,*,#206555,.F.); #279122=ORIENTED_EDGE('',*,*,#206545,.F.); #279123=ORIENTED_EDGE('',*,*,#206549,.F.); #279124=ORIENTED_EDGE('',*,*,#206552,.F.); #279125=ORIENTED_EDGE('',*,*,#206557,.T.); #279126=ORIENTED_EDGE('',*,*,#206558,.T.); #279127=ORIENTED_EDGE('',*,*,#206559,.F.); #279128=ORIENTED_EDGE('',*,*,#206560,.F.); #279129=ORIENTED_EDGE('',*,*,#206561,.T.); #279130=ORIENTED_EDGE('',*,*,#206560,.T.); #279131=ORIENTED_EDGE('',*,*,#206562,.F.); #279132=ORIENTED_EDGE('',*,*,#206563,.F.); #279133=ORIENTED_EDGE('',*,*,#206564,.T.); #279134=ORIENTED_EDGE('',*,*,#206563,.T.); #279135=ORIENTED_EDGE('',*,*,#206565,.F.); #279136=ORIENTED_EDGE('',*,*,#206566,.F.); #279137=ORIENTED_EDGE('',*,*,#206567,.T.); #279138=ORIENTED_EDGE('',*,*,#206566,.T.); #279139=ORIENTED_EDGE('',*,*,#206568,.F.); #279140=ORIENTED_EDGE('',*,*,#206558,.F.); #279141=ORIENTED_EDGE('',*,*,#206568,.T.); #279142=ORIENTED_EDGE('',*,*,#206565,.T.); #279143=ORIENTED_EDGE('',*,*,#206562,.T.); #279144=ORIENTED_EDGE('',*,*,#206559,.T.); #279145=ORIENTED_EDGE('',*,*,#206567,.F.); #279146=ORIENTED_EDGE('',*,*,#206557,.F.); #279147=ORIENTED_EDGE('',*,*,#206561,.F.); #279148=ORIENTED_EDGE('',*,*,#206564,.F.); #279149=ORIENTED_EDGE('',*,*,#206569,.T.); #279150=ORIENTED_EDGE('',*,*,#206570,.T.); #279151=ORIENTED_EDGE('',*,*,#206571,.F.); #279152=ORIENTED_EDGE('',*,*,#206572,.F.); #279153=ORIENTED_EDGE('',*,*,#206573,.T.); #279154=ORIENTED_EDGE('',*,*,#206572,.T.); #279155=ORIENTED_EDGE('',*,*,#206574,.F.); #279156=ORIENTED_EDGE('',*,*,#206575,.F.); #279157=ORIENTED_EDGE('',*,*,#206576,.T.); #279158=ORIENTED_EDGE('',*,*,#206575,.T.); #279159=ORIENTED_EDGE('',*,*,#206577,.F.); #279160=ORIENTED_EDGE('',*,*,#206578,.F.); #279161=ORIENTED_EDGE('',*,*,#206579,.T.); #279162=ORIENTED_EDGE('',*,*,#206578,.T.); #279163=ORIENTED_EDGE('',*,*,#206580,.F.); #279164=ORIENTED_EDGE('',*,*,#206570,.F.); #279165=ORIENTED_EDGE('',*,*,#206580,.T.); #279166=ORIENTED_EDGE('',*,*,#206577,.T.); #279167=ORIENTED_EDGE('',*,*,#206574,.T.); #279168=ORIENTED_EDGE('',*,*,#206571,.T.); #279169=ORIENTED_EDGE('',*,*,#206579,.F.); #279170=ORIENTED_EDGE('',*,*,#206569,.F.); #279171=ORIENTED_EDGE('',*,*,#206573,.F.); #279172=ORIENTED_EDGE('',*,*,#206576,.F.); #279173=ORIENTED_EDGE('',*,*,#206581,.T.); #279174=ORIENTED_EDGE('',*,*,#206582,.T.); #279175=ORIENTED_EDGE('',*,*,#206583,.F.); #279176=ORIENTED_EDGE('',*,*,#206584,.F.); #279177=ORIENTED_EDGE('',*,*,#206585,.T.); #279178=ORIENTED_EDGE('',*,*,#206584,.T.); #279179=ORIENTED_EDGE('',*,*,#206586,.F.); #279180=ORIENTED_EDGE('',*,*,#206587,.F.); #279181=ORIENTED_EDGE('',*,*,#206588,.T.); #279182=ORIENTED_EDGE('',*,*,#206587,.T.); #279183=ORIENTED_EDGE('',*,*,#206589,.F.); #279184=ORIENTED_EDGE('',*,*,#206590,.F.); #279185=ORIENTED_EDGE('',*,*,#206591,.T.); #279186=ORIENTED_EDGE('',*,*,#206590,.T.); #279187=ORIENTED_EDGE('',*,*,#206592,.F.); #279188=ORIENTED_EDGE('',*,*,#206582,.F.); #279189=ORIENTED_EDGE('',*,*,#206592,.T.); #279190=ORIENTED_EDGE('',*,*,#206589,.T.); #279191=ORIENTED_EDGE('',*,*,#206586,.T.); #279192=ORIENTED_EDGE('',*,*,#206583,.T.); #279193=ORIENTED_EDGE('',*,*,#206591,.F.); #279194=ORIENTED_EDGE('',*,*,#206581,.F.); #279195=ORIENTED_EDGE('',*,*,#206585,.F.); #279196=ORIENTED_EDGE('',*,*,#206588,.F.); #279197=ORIENTED_EDGE('',*,*,#206593,.T.); #279198=ORIENTED_EDGE('',*,*,#206594,.T.); #279199=ORIENTED_EDGE('',*,*,#206595,.F.); #279200=ORIENTED_EDGE('',*,*,#206596,.F.); #279201=ORIENTED_EDGE('',*,*,#206597,.T.); #279202=ORIENTED_EDGE('',*,*,#206596,.T.); #279203=ORIENTED_EDGE('',*,*,#206598,.F.); #279204=ORIENTED_EDGE('',*,*,#206599,.F.); #279205=ORIENTED_EDGE('',*,*,#206600,.T.); #279206=ORIENTED_EDGE('',*,*,#206599,.T.); #279207=ORIENTED_EDGE('',*,*,#206601,.F.); #279208=ORIENTED_EDGE('',*,*,#206602,.F.); #279209=ORIENTED_EDGE('',*,*,#206603,.T.); #279210=ORIENTED_EDGE('',*,*,#206602,.T.); #279211=ORIENTED_EDGE('',*,*,#206604,.F.); #279212=ORIENTED_EDGE('',*,*,#206594,.F.); #279213=ORIENTED_EDGE('',*,*,#206604,.T.); #279214=ORIENTED_EDGE('',*,*,#206601,.T.); #279215=ORIENTED_EDGE('',*,*,#206598,.T.); #279216=ORIENTED_EDGE('',*,*,#206595,.T.); #279217=ORIENTED_EDGE('',*,*,#206603,.F.); #279218=ORIENTED_EDGE('',*,*,#206593,.F.); #279219=ORIENTED_EDGE('',*,*,#206597,.F.); #279220=ORIENTED_EDGE('',*,*,#206600,.F.); #279221=ORIENTED_EDGE('',*,*,#206605,.T.); #279222=ORIENTED_EDGE('',*,*,#206606,.T.); #279223=ORIENTED_EDGE('',*,*,#206607,.F.); #279224=ORIENTED_EDGE('',*,*,#206608,.F.); #279225=ORIENTED_EDGE('',*,*,#206609,.T.); #279226=ORIENTED_EDGE('',*,*,#206608,.T.); #279227=ORIENTED_EDGE('',*,*,#206610,.F.); #279228=ORIENTED_EDGE('',*,*,#206611,.F.); #279229=ORIENTED_EDGE('',*,*,#206612,.T.); #279230=ORIENTED_EDGE('',*,*,#206611,.T.); #279231=ORIENTED_EDGE('',*,*,#206613,.F.); #279232=ORIENTED_EDGE('',*,*,#206614,.F.); #279233=ORIENTED_EDGE('',*,*,#206615,.T.); #279234=ORIENTED_EDGE('',*,*,#206614,.T.); #279235=ORIENTED_EDGE('',*,*,#206616,.F.); #279236=ORIENTED_EDGE('',*,*,#206606,.F.); #279237=ORIENTED_EDGE('',*,*,#206616,.T.); #279238=ORIENTED_EDGE('',*,*,#206613,.T.); #279239=ORIENTED_EDGE('',*,*,#206610,.T.); #279240=ORIENTED_EDGE('',*,*,#206607,.T.); #279241=ORIENTED_EDGE('',*,*,#206615,.F.); #279242=ORIENTED_EDGE('',*,*,#206605,.F.); #279243=ORIENTED_EDGE('',*,*,#206609,.F.); #279244=ORIENTED_EDGE('',*,*,#206612,.F.); #279245=ORIENTED_EDGE('',*,*,#206617,.T.); #279246=ORIENTED_EDGE('',*,*,#206618,.T.); #279247=ORIENTED_EDGE('',*,*,#206619,.F.); #279248=ORIENTED_EDGE('',*,*,#206620,.F.); #279249=ORIENTED_EDGE('',*,*,#206621,.T.); #279250=ORIENTED_EDGE('',*,*,#206620,.T.); #279251=ORIENTED_EDGE('',*,*,#206622,.F.); #279252=ORIENTED_EDGE('',*,*,#206623,.F.); #279253=ORIENTED_EDGE('',*,*,#206624,.T.); #279254=ORIENTED_EDGE('',*,*,#206623,.T.); #279255=ORIENTED_EDGE('',*,*,#206625,.F.); #279256=ORIENTED_EDGE('',*,*,#206626,.F.); #279257=ORIENTED_EDGE('',*,*,#206627,.T.); #279258=ORIENTED_EDGE('',*,*,#206626,.T.); #279259=ORIENTED_EDGE('',*,*,#206628,.F.); #279260=ORIENTED_EDGE('',*,*,#206618,.F.); #279261=ORIENTED_EDGE('',*,*,#206628,.T.); #279262=ORIENTED_EDGE('',*,*,#206625,.T.); #279263=ORIENTED_EDGE('',*,*,#206622,.T.); #279264=ORIENTED_EDGE('',*,*,#206619,.T.); #279265=ORIENTED_EDGE('',*,*,#206627,.F.); #279266=ORIENTED_EDGE('',*,*,#206617,.F.); #279267=ORIENTED_EDGE('',*,*,#206621,.F.); #279268=ORIENTED_EDGE('',*,*,#206624,.F.); #279269=ORIENTED_EDGE('',*,*,#206629,.T.); #279270=ORIENTED_EDGE('',*,*,#206630,.T.); #279271=ORIENTED_EDGE('',*,*,#206631,.F.); #279272=ORIENTED_EDGE('',*,*,#206632,.F.); #279273=ORIENTED_EDGE('',*,*,#206633,.T.); #279274=ORIENTED_EDGE('',*,*,#206632,.T.); #279275=ORIENTED_EDGE('',*,*,#206634,.F.); #279276=ORIENTED_EDGE('',*,*,#206635,.F.); #279277=ORIENTED_EDGE('',*,*,#206636,.T.); #279278=ORIENTED_EDGE('',*,*,#206635,.T.); #279279=ORIENTED_EDGE('',*,*,#206637,.F.); #279280=ORIENTED_EDGE('',*,*,#206638,.F.); #279281=ORIENTED_EDGE('',*,*,#206639,.T.); #279282=ORIENTED_EDGE('',*,*,#206638,.T.); #279283=ORIENTED_EDGE('',*,*,#206640,.F.); #279284=ORIENTED_EDGE('',*,*,#206630,.F.); #279285=ORIENTED_EDGE('',*,*,#206640,.T.); #279286=ORIENTED_EDGE('',*,*,#206637,.T.); #279287=ORIENTED_EDGE('',*,*,#206634,.T.); #279288=ORIENTED_EDGE('',*,*,#206631,.T.); #279289=ORIENTED_EDGE('',*,*,#206639,.F.); #279290=ORIENTED_EDGE('',*,*,#206629,.F.); #279291=ORIENTED_EDGE('',*,*,#206633,.F.); #279292=ORIENTED_EDGE('',*,*,#206636,.F.); #279293=ORIENTED_EDGE('',*,*,#206641,.T.); #279294=ORIENTED_EDGE('',*,*,#206642,.T.); #279295=ORIENTED_EDGE('',*,*,#206643,.F.); #279296=ORIENTED_EDGE('',*,*,#206644,.F.); #279297=ORIENTED_EDGE('',*,*,#206645,.T.); #279298=ORIENTED_EDGE('',*,*,#206644,.T.); #279299=ORIENTED_EDGE('',*,*,#206646,.F.); #279300=ORIENTED_EDGE('',*,*,#206647,.F.); #279301=ORIENTED_EDGE('',*,*,#206648,.T.); #279302=ORIENTED_EDGE('',*,*,#206647,.T.); #279303=ORIENTED_EDGE('',*,*,#206649,.F.); #279304=ORIENTED_EDGE('',*,*,#206650,.F.); #279305=ORIENTED_EDGE('',*,*,#206651,.T.); #279306=ORIENTED_EDGE('',*,*,#206650,.T.); #279307=ORIENTED_EDGE('',*,*,#206652,.F.); #279308=ORIENTED_EDGE('',*,*,#206642,.F.); #279309=ORIENTED_EDGE('',*,*,#206652,.T.); #279310=ORIENTED_EDGE('',*,*,#206649,.T.); #279311=ORIENTED_EDGE('',*,*,#206646,.T.); #279312=ORIENTED_EDGE('',*,*,#206643,.T.); #279313=ORIENTED_EDGE('',*,*,#206651,.F.); #279314=ORIENTED_EDGE('',*,*,#206641,.F.); #279315=ORIENTED_EDGE('',*,*,#206645,.F.); #279316=ORIENTED_EDGE('',*,*,#206648,.F.); #279317=ORIENTED_EDGE('',*,*,#206653,.T.); #279318=ORIENTED_EDGE('',*,*,#206654,.T.); #279319=ORIENTED_EDGE('',*,*,#206655,.F.); #279320=ORIENTED_EDGE('',*,*,#206656,.F.); #279321=ORIENTED_EDGE('',*,*,#206657,.T.); #279322=ORIENTED_EDGE('',*,*,#206656,.T.); #279323=ORIENTED_EDGE('',*,*,#206658,.F.); #279324=ORIENTED_EDGE('',*,*,#206659,.F.); #279325=ORIENTED_EDGE('',*,*,#206660,.T.); #279326=ORIENTED_EDGE('',*,*,#206659,.T.); #279327=ORIENTED_EDGE('',*,*,#206661,.F.); #279328=ORIENTED_EDGE('',*,*,#206662,.F.); #279329=ORIENTED_EDGE('',*,*,#206663,.T.); #279330=ORIENTED_EDGE('',*,*,#206662,.T.); #279331=ORIENTED_EDGE('',*,*,#206664,.F.); #279332=ORIENTED_EDGE('',*,*,#206654,.F.); #279333=ORIENTED_EDGE('',*,*,#206664,.T.); #279334=ORIENTED_EDGE('',*,*,#206661,.T.); #279335=ORIENTED_EDGE('',*,*,#206658,.T.); #279336=ORIENTED_EDGE('',*,*,#206655,.T.); #279337=ORIENTED_EDGE('',*,*,#206663,.F.); #279338=ORIENTED_EDGE('',*,*,#206653,.F.); #279339=ORIENTED_EDGE('',*,*,#206657,.F.); #279340=ORIENTED_EDGE('',*,*,#206660,.F.); #279341=ORIENTED_EDGE('',*,*,#206665,.T.); #279342=ORIENTED_EDGE('',*,*,#206666,.T.); #279343=ORIENTED_EDGE('',*,*,#206667,.F.); #279344=ORIENTED_EDGE('',*,*,#206668,.F.); #279345=ORIENTED_EDGE('',*,*,#206669,.T.); #279346=ORIENTED_EDGE('',*,*,#206668,.T.); #279347=ORIENTED_EDGE('',*,*,#206670,.F.); #279348=ORIENTED_EDGE('',*,*,#206671,.F.); #279349=ORIENTED_EDGE('',*,*,#206672,.T.); #279350=ORIENTED_EDGE('',*,*,#206671,.T.); #279351=ORIENTED_EDGE('',*,*,#206673,.F.); #279352=ORIENTED_EDGE('',*,*,#206674,.F.); #279353=ORIENTED_EDGE('',*,*,#206675,.T.); #279354=ORIENTED_EDGE('',*,*,#206674,.T.); #279355=ORIENTED_EDGE('',*,*,#206676,.F.); #279356=ORIENTED_EDGE('',*,*,#206666,.F.); #279357=ORIENTED_EDGE('',*,*,#206676,.T.); #279358=ORIENTED_EDGE('',*,*,#206673,.T.); #279359=ORIENTED_EDGE('',*,*,#206670,.T.); #279360=ORIENTED_EDGE('',*,*,#206667,.T.); #279361=ORIENTED_EDGE('',*,*,#206675,.F.); #279362=ORIENTED_EDGE('',*,*,#206665,.F.); #279363=ORIENTED_EDGE('',*,*,#206669,.F.); #279364=ORIENTED_EDGE('',*,*,#206672,.F.); #279365=ORIENTED_EDGE('',*,*,#206677,.T.); #279366=ORIENTED_EDGE('',*,*,#206678,.T.); #279367=ORIENTED_EDGE('',*,*,#206679,.F.); #279368=ORIENTED_EDGE('',*,*,#206680,.F.); #279369=ORIENTED_EDGE('',*,*,#206681,.T.); #279370=ORIENTED_EDGE('',*,*,#206680,.T.); #279371=ORIENTED_EDGE('',*,*,#206682,.F.); #279372=ORIENTED_EDGE('',*,*,#206683,.F.); #279373=ORIENTED_EDGE('',*,*,#206684,.T.); #279374=ORIENTED_EDGE('',*,*,#206683,.T.); #279375=ORIENTED_EDGE('',*,*,#206685,.F.); #279376=ORIENTED_EDGE('',*,*,#206686,.F.); #279377=ORIENTED_EDGE('',*,*,#206687,.T.); #279378=ORIENTED_EDGE('',*,*,#206686,.T.); #279379=ORIENTED_EDGE('',*,*,#206688,.F.); #279380=ORIENTED_EDGE('',*,*,#206678,.F.); #279381=ORIENTED_EDGE('',*,*,#206688,.T.); #279382=ORIENTED_EDGE('',*,*,#206685,.T.); #279383=ORIENTED_EDGE('',*,*,#206682,.T.); #279384=ORIENTED_EDGE('',*,*,#206679,.T.); #279385=ORIENTED_EDGE('',*,*,#206687,.F.); #279386=ORIENTED_EDGE('',*,*,#206677,.F.); #279387=ORIENTED_EDGE('',*,*,#206681,.F.); #279388=ORIENTED_EDGE('',*,*,#206684,.F.); #279389=ORIENTED_EDGE('',*,*,#206689,.T.); #279390=ORIENTED_EDGE('',*,*,#206690,.T.); #279391=ORIENTED_EDGE('',*,*,#206691,.F.); #279392=ORIENTED_EDGE('',*,*,#206692,.F.); #279393=ORIENTED_EDGE('',*,*,#206693,.T.); #279394=ORIENTED_EDGE('',*,*,#206692,.T.); #279395=ORIENTED_EDGE('',*,*,#206694,.F.); #279396=ORIENTED_EDGE('',*,*,#206695,.F.); #279397=ORIENTED_EDGE('',*,*,#206696,.T.); #279398=ORIENTED_EDGE('',*,*,#206695,.T.); #279399=ORIENTED_EDGE('',*,*,#206697,.F.); #279400=ORIENTED_EDGE('',*,*,#206698,.F.); #279401=ORIENTED_EDGE('',*,*,#206699,.T.); #279402=ORIENTED_EDGE('',*,*,#206698,.T.); #279403=ORIENTED_EDGE('',*,*,#206700,.F.); #279404=ORIENTED_EDGE('',*,*,#206690,.F.); #279405=ORIENTED_EDGE('',*,*,#206700,.T.); #279406=ORIENTED_EDGE('',*,*,#206697,.T.); #279407=ORIENTED_EDGE('',*,*,#206694,.T.); #279408=ORIENTED_EDGE('',*,*,#206691,.T.); #279409=ORIENTED_EDGE('',*,*,#206699,.F.); #279410=ORIENTED_EDGE('',*,*,#206689,.F.); #279411=ORIENTED_EDGE('',*,*,#206693,.F.); #279412=ORIENTED_EDGE('',*,*,#206696,.F.); #279413=ORIENTED_EDGE('',*,*,#206701,.T.); #279414=ORIENTED_EDGE('',*,*,#206702,.T.); #279415=ORIENTED_EDGE('',*,*,#206703,.F.); #279416=ORIENTED_EDGE('',*,*,#206704,.F.); #279417=ORIENTED_EDGE('',*,*,#206705,.T.); #279418=ORIENTED_EDGE('',*,*,#206704,.T.); #279419=ORIENTED_EDGE('',*,*,#206706,.F.); #279420=ORIENTED_EDGE('',*,*,#206707,.F.); #279421=ORIENTED_EDGE('',*,*,#206708,.T.); #279422=ORIENTED_EDGE('',*,*,#206707,.T.); #279423=ORIENTED_EDGE('',*,*,#206709,.F.); #279424=ORIENTED_EDGE('',*,*,#206710,.F.); #279425=ORIENTED_EDGE('',*,*,#206711,.T.); #279426=ORIENTED_EDGE('',*,*,#206710,.T.); #279427=ORIENTED_EDGE('',*,*,#206712,.F.); #279428=ORIENTED_EDGE('',*,*,#206702,.F.); #279429=ORIENTED_EDGE('',*,*,#206712,.T.); #279430=ORIENTED_EDGE('',*,*,#206709,.T.); #279431=ORIENTED_EDGE('',*,*,#206706,.T.); #279432=ORIENTED_EDGE('',*,*,#206703,.T.); #279433=ORIENTED_EDGE('',*,*,#206711,.F.); #279434=ORIENTED_EDGE('',*,*,#206701,.F.); #279435=ORIENTED_EDGE('',*,*,#206705,.F.); #279436=ORIENTED_EDGE('',*,*,#206708,.F.); #279437=ORIENTED_EDGE('',*,*,#206713,.T.); #279438=ORIENTED_EDGE('',*,*,#206714,.T.); #279439=ORIENTED_EDGE('',*,*,#206715,.F.); #279440=ORIENTED_EDGE('',*,*,#206716,.F.); #279441=ORIENTED_EDGE('',*,*,#206717,.T.); #279442=ORIENTED_EDGE('',*,*,#206716,.T.); #279443=ORIENTED_EDGE('',*,*,#206718,.F.); #279444=ORIENTED_EDGE('',*,*,#206719,.F.); #279445=ORIENTED_EDGE('',*,*,#206720,.T.); #279446=ORIENTED_EDGE('',*,*,#206719,.T.); #279447=ORIENTED_EDGE('',*,*,#206721,.F.); #279448=ORIENTED_EDGE('',*,*,#206722,.F.); #279449=ORIENTED_EDGE('',*,*,#206723,.T.); #279450=ORIENTED_EDGE('',*,*,#206722,.T.); #279451=ORIENTED_EDGE('',*,*,#206724,.F.); #279452=ORIENTED_EDGE('',*,*,#206714,.F.); #279453=ORIENTED_EDGE('',*,*,#206724,.T.); #279454=ORIENTED_EDGE('',*,*,#206721,.T.); #279455=ORIENTED_EDGE('',*,*,#206718,.T.); #279456=ORIENTED_EDGE('',*,*,#206715,.T.); #279457=ORIENTED_EDGE('',*,*,#206723,.F.); #279458=ORIENTED_EDGE('',*,*,#206713,.F.); #279459=ORIENTED_EDGE('',*,*,#206717,.F.); #279460=ORIENTED_EDGE('',*,*,#206720,.F.); #279461=ORIENTED_EDGE('',*,*,#206725,.T.); #279462=ORIENTED_EDGE('',*,*,#206726,.T.); #279463=ORIENTED_EDGE('',*,*,#206727,.F.); #279464=ORIENTED_EDGE('',*,*,#206728,.F.); #279465=ORIENTED_EDGE('',*,*,#206729,.T.); #279466=ORIENTED_EDGE('',*,*,#206728,.T.); #279467=ORIENTED_EDGE('',*,*,#206730,.F.); #279468=ORIENTED_EDGE('',*,*,#206731,.F.); #279469=ORIENTED_EDGE('',*,*,#206732,.T.); #279470=ORIENTED_EDGE('',*,*,#206731,.T.); #279471=ORIENTED_EDGE('',*,*,#206733,.F.); #279472=ORIENTED_EDGE('',*,*,#206734,.F.); #279473=ORIENTED_EDGE('',*,*,#206735,.T.); #279474=ORIENTED_EDGE('',*,*,#206734,.T.); #279475=ORIENTED_EDGE('',*,*,#206736,.F.); #279476=ORIENTED_EDGE('',*,*,#206726,.F.); #279477=ORIENTED_EDGE('',*,*,#206736,.T.); #279478=ORIENTED_EDGE('',*,*,#206733,.T.); #279479=ORIENTED_EDGE('',*,*,#206730,.T.); #279480=ORIENTED_EDGE('',*,*,#206727,.T.); #279481=ORIENTED_EDGE('',*,*,#206735,.F.); #279482=ORIENTED_EDGE('',*,*,#206725,.F.); #279483=ORIENTED_EDGE('',*,*,#206729,.F.); #279484=ORIENTED_EDGE('',*,*,#206732,.F.); #279485=ORIENTED_EDGE('',*,*,#206737,.F.); #279486=ORIENTED_EDGE('',*,*,#206738,.T.); #279487=ORIENTED_EDGE('',*,*,#206739,.F.); #279488=ORIENTED_EDGE('',*,*,#206738,.F.); #279489=ORIENTED_EDGE('',*,*,#206740,.T.); #279490=ORIENTED_EDGE('',*,*,#206741,.T.); #279491=ORIENTED_EDGE('',*,*,#206742,.F.); #279492=ORIENTED_EDGE('',*,*,#206743,.F.); #279493=ORIENTED_EDGE('',*,*,#206744,.T.); #279494=ORIENTED_EDGE('',*,*,#206743,.T.); #279495=ORIENTED_EDGE('',*,*,#206745,.F.); #279496=ORIENTED_EDGE('',*,*,#206746,.F.); #279497=ORIENTED_EDGE('',*,*,#206747,.T.); #279498=ORIENTED_EDGE('',*,*,#206746,.T.); #279499=ORIENTED_EDGE('',*,*,#206748,.F.); #279500=ORIENTED_EDGE('',*,*,#206741,.F.); #279501=ORIENTED_EDGE('',*,*,#206748,.T.); #279502=ORIENTED_EDGE('',*,*,#206745,.T.); #279503=ORIENTED_EDGE('',*,*,#206742,.T.); #279504=ORIENTED_EDGE('',*,*,#206739,.T.); #279505=ORIENTED_EDGE('',*,*,#206747,.F.); #279506=ORIENTED_EDGE('',*,*,#206740,.F.); #279507=ORIENTED_EDGE('',*,*,#206744,.F.); #279508=ORIENTED_EDGE('',*,*,#206737,.T.); #279509=ORIENTED_EDGE('',*,*,#206749,.T.); #279510=ORIENTED_EDGE('',*,*,#206750,.T.); #279511=ORIENTED_EDGE('',*,*,#206751,.F.); #279512=ORIENTED_EDGE('',*,*,#206752,.F.); #279513=ORIENTED_EDGE('',*,*,#206753,.T.); #279514=ORIENTED_EDGE('',*,*,#206752,.T.); #279515=ORIENTED_EDGE('',*,*,#206754,.F.); #279516=ORIENTED_EDGE('',*,*,#206755,.F.); #279517=ORIENTED_EDGE('',*,*,#206756,.T.); #279518=ORIENTED_EDGE('',*,*,#206755,.T.); #279519=ORIENTED_EDGE('',*,*,#206757,.F.); #279520=ORIENTED_EDGE('',*,*,#206758,.F.); #279521=ORIENTED_EDGE('',*,*,#206759,.T.); #279522=ORIENTED_EDGE('',*,*,#206758,.T.); #279523=ORIENTED_EDGE('',*,*,#206760,.F.); #279524=ORIENTED_EDGE('',*,*,#206750,.F.); #279525=ORIENTED_EDGE('',*,*,#206760,.T.); #279526=ORIENTED_EDGE('',*,*,#206757,.T.); #279527=ORIENTED_EDGE('',*,*,#206754,.T.); #279528=ORIENTED_EDGE('',*,*,#206751,.T.); #279529=ORIENTED_EDGE('',*,*,#206759,.F.); #279530=ORIENTED_EDGE('',*,*,#206749,.F.); #279531=ORIENTED_EDGE('',*,*,#206753,.F.); #279532=ORIENTED_EDGE('',*,*,#206756,.F.); #279533=ORIENTED_EDGE('',*,*,#206761,.T.); #279534=ORIENTED_EDGE('',*,*,#206762,.T.); #279535=ORIENTED_EDGE('',*,*,#206763,.F.); #279536=ORIENTED_EDGE('',*,*,#206764,.F.); #279537=ORIENTED_EDGE('',*,*,#206765,.T.); #279538=ORIENTED_EDGE('',*,*,#206764,.T.); #279539=ORIENTED_EDGE('',*,*,#206766,.F.); #279540=ORIENTED_EDGE('',*,*,#206767,.F.); #279541=ORIENTED_EDGE('',*,*,#206768,.T.); #279542=ORIENTED_EDGE('',*,*,#206767,.T.); #279543=ORIENTED_EDGE('',*,*,#206769,.F.); #279544=ORIENTED_EDGE('',*,*,#206770,.F.); #279545=ORIENTED_EDGE('',*,*,#206771,.T.); #279546=ORIENTED_EDGE('',*,*,#206770,.T.); #279547=ORIENTED_EDGE('',*,*,#206772,.F.); #279548=ORIENTED_EDGE('',*,*,#206762,.F.); #279549=ORIENTED_EDGE('',*,*,#206772,.T.); #279550=ORIENTED_EDGE('',*,*,#206769,.T.); #279551=ORIENTED_EDGE('',*,*,#206766,.T.); #279552=ORIENTED_EDGE('',*,*,#206763,.T.); #279553=ORIENTED_EDGE('',*,*,#206771,.F.); #279554=ORIENTED_EDGE('',*,*,#206761,.F.); #279555=ORIENTED_EDGE('',*,*,#206765,.F.); #279556=ORIENTED_EDGE('',*,*,#206768,.F.); #279557=ORIENTED_EDGE('',*,*,#206773,.F.); #279558=ORIENTED_EDGE('',*,*,#206774,.T.); #279559=ORIENTED_EDGE('',*,*,#206775,.F.); #279560=ORIENTED_EDGE('',*,*,#206774,.F.); #279561=ORIENTED_EDGE('',*,*,#206776,.F.); #279562=ORIENTED_EDGE('',*,*,#206777,.T.); #279563=ORIENTED_EDGE('',*,*,#206778,.F.); #279564=ORIENTED_EDGE('',*,*,#206777,.F.); #279565=ORIENTED_EDGE('',*,*,#206779,.T.); #279566=ORIENTED_EDGE('',*,*,#206780,.T.); #279567=ORIENTED_EDGE('',*,*,#206781,.F.); #279568=ORIENTED_EDGE('',*,*,#206782,.F.); #279569=ORIENTED_EDGE('',*,*,#206783,.T.); #279570=ORIENTED_EDGE('',*,*,#206782,.T.); #279571=ORIENTED_EDGE('',*,*,#206784,.F.); #279572=ORIENTED_EDGE('',*,*,#206785,.F.); #279573=ORIENTED_EDGE('',*,*,#206786,.T.); #279574=ORIENTED_EDGE('',*,*,#206785,.T.); #279575=ORIENTED_EDGE('',*,*,#206787,.F.); #279576=ORIENTED_EDGE('',*,*,#206788,.F.); #279577=ORIENTED_EDGE('',*,*,#206789,.T.); #279578=ORIENTED_EDGE('',*,*,#206788,.T.); #279579=ORIENTED_EDGE('',*,*,#206790,.F.); #279580=ORIENTED_EDGE('',*,*,#206780,.F.); #279581=ORIENTED_EDGE('',*,*,#206790,.T.); #279582=ORIENTED_EDGE('',*,*,#206787,.T.); #279583=ORIENTED_EDGE('',*,*,#206784,.T.); #279584=ORIENTED_EDGE('',*,*,#206781,.T.); #279585=ORIENTED_EDGE('',*,*,#206775,.T.); #279586=ORIENTED_EDGE('',*,*,#206778,.T.); #279587=ORIENTED_EDGE('',*,*,#206789,.F.); #279588=ORIENTED_EDGE('',*,*,#206779,.F.); #279589=ORIENTED_EDGE('',*,*,#206783,.F.); #279590=ORIENTED_EDGE('',*,*,#206786,.F.); #279591=ORIENTED_EDGE('',*,*,#206773,.T.); #279592=ORIENTED_EDGE('',*,*,#206776,.T.); #279593=ORIENTED_EDGE('',*,*,#206791,.T.); #279594=ORIENTED_EDGE('',*,*,#206792,.T.); #279595=ORIENTED_EDGE('',*,*,#206793,.F.); #279596=ORIENTED_EDGE('',*,*,#206794,.F.); #279597=ORIENTED_EDGE('',*,*,#206795,.T.); #279598=ORIENTED_EDGE('',*,*,#206794,.T.); #279599=ORIENTED_EDGE('',*,*,#206796,.F.); #279600=ORIENTED_EDGE('',*,*,#206797,.F.); #279601=ORIENTED_EDGE('',*,*,#206798,.T.); #279602=ORIENTED_EDGE('',*,*,#206797,.T.); #279603=ORIENTED_EDGE('',*,*,#206799,.F.); #279604=ORIENTED_EDGE('',*,*,#206800,.F.); #279605=ORIENTED_EDGE('',*,*,#206801,.T.); #279606=ORIENTED_EDGE('',*,*,#206800,.T.); #279607=ORIENTED_EDGE('',*,*,#206802,.F.); #279608=ORIENTED_EDGE('',*,*,#206792,.F.); #279609=ORIENTED_EDGE('',*,*,#206802,.T.); #279610=ORIENTED_EDGE('',*,*,#206799,.T.); #279611=ORIENTED_EDGE('',*,*,#206796,.T.); #279612=ORIENTED_EDGE('',*,*,#206793,.T.); #279613=ORIENTED_EDGE('',*,*,#206801,.F.); #279614=ORIENTED_EDGE('',*,*,#206791,.F.); #279615=ORIENTED_EDGE('',*,*,#206795,.F.); #279616=ORIENTED_EDGE('',*,*,#206798,.F.); #279617=ORIENTED_EDGE('',*,*,#206803,.F.); #279618=ORIENTED_EDGE('',*,*,#206804,.T.); #279619=ORIENTED_EDGE('',*,*,#206805,.F.); #279620=ORIENTED_EDGE('',*,*,#206804,.F.); #279621=ORIENTED_EDGE('',*,*,#206806,.F.); #279622=ORIENTED_EDGE('',*,*,#206807,.T.); #279623=ORIENTED_EDGE('',*,*,#206808,.F.); #279624=ORIENTED_EDGE('',*,*,#206807,.F.); #279625=ORIENTED_EDGE('',*,*,#206809,.T.); #279626=ORIENTED_EDGE('',*,*,#206810,.T.); #279627=ORIENTED_EDGE('',*,*,#206811,.F.); #279628=ORIENTED_EDGE('',*,*,#206812,.F.); #279629=ORIENTED_EDGE('',*,*,#206813,.T.); #279630=ORIENTED_EDGE('',*,*,#206812,.T.); #279631=ORIENTED_EDGE('',*,*,#206814,.F.); #279632=ORIENTED_EDGE('',*,*,#206815,.F.); #279633=ORIENTED_EDGE('',*,*,#206816,.T.); #279634=ORIENTED_EDGE('',*,*,#206815,.T.); #279635=ORIENTED_EDGE('',*,*,#206817,.F.); #279636=ORIENTED_EDGE('',*,*,#206818,.F.); #279637=ORIENTED_EDGE('',*,*,#206819,.T.); #279638=ORIENTED_EDGE('',*,*,#206818,.T.); #279639=ORIENTED_EDGE('',*,*,#206820,.F.); #279640=ORIENTED_EDGE('',*,*,#206810,.F.); #279641=ORIENTED_EDGE('',*,*,#206820,.T.); #279642=ORIENTED_EDGE('',*,*,#206817,.T.); #279643=ORIENTED_EDGE('',*,*,#206814,.T.); #279644=ORIENTED_EDGE('',*,*,#206811,.T.); #279645=ORIENTED_EDGE('',*,*,#206805,.T.); #279646=ORIENTED_EDGE('',*,*,#206808,.T.); #279647=ORIENTED_EDGE('',*,*,#206819,.F.); #279648=ORIENTED_EDGE('',*,*,#206809,.F.); #279649=ORIENTED_EDGE('',*,*,#206813,.F.); #279650=ORIENTED_EDGE('',*,*,#206816,.F.); #279651=ORIENTED_EDGE('',*,*,#206803,.T.); #279652=ORIENTED_EDGE('',*,*,#206806,.T.); #279653=ORIENTED_EDGE('',*,*,#206821,.T.); #279654=ORIENTED_EDGE('',*,*,#206822,.T.); #279655=ORIENTED_EDGE('',*,*,#206823,.F.); #279656=ORIENTED_EDGE('',*,*,#206824,.F.); #279657=ORIENTED_EDGE('',*,*,#206825,.T.); #279658=ORIENTED_EDGE('',*,*,#206824,.T.); #279659=ORIENTED_EDGE('',*,*,#206826,.F.); #279660=ORIENTED_EDGE('',*,*,#206827,.F.); #279661=ORIENTED_EDGE('',*,*,#206828,.T.); #279662=ORIENTED_EDGE('',*,*,#206827,.T.); #279663=ORIENTED_EDGE('',*,*,#206829,.F.); #279664=ORIENTED_EDGE('',*,*,#206830,.F.); #279665=ORIENTED_EDGE('',*,*,#206831,.T.); #279666=ORIENTED_EDGE('',*,*,#206830,.T.); #279667=ORIENTED_EDGE('',*,*,#206832,.F.); #279668=ORIENTED_EDGE('',*,*,#206822,.F.); #279669=ORIENTED_EDGE('',*,*,#206832,.T.); #279670=ORIENTED_EDGE('',*,*,#206829,.T.); #279671=ORIENTED_EDGE('',*,*,#206826,.T.); #279672=ORIENTED_EDGE('',*,*,#206823,.T.); #279673=ORIENTED_EDGE('',*,*,#206831,.F.); #279674=ORIENTED_EDGE('',*,*,#206821,.F.); #279675=ORIENTED_EDGE('',*,*,#206825,.F.); #279676=ORIENTED_EDGE('',*,*,#206828,.F.); #279677=ORIENTED_EDGE('',*,*,#206833,.F.); #279678=ORIENTED_EDGE('',*,*,#206834,.T.); #279679=ORIENTED_EDGE('',*,*,#206835,.F.); #279680=ORIENTED_EDGE('',*,*,#206834,.F.); #279681=ORIENTED_EDGE('',*,*,#206836,.F.); #279682=ORIENTED_EDGE('',*,*,#206837,.T.); #279683=ORIENTED_EDGE('',*,*,#206838,.F.); #279684=ORIENTED_EDGE('',*,*,#206837,.F.); #279685=ORIENTED_EDGE('',*,*,#206839,.T.); #279686=ORIENTED_EDGE('',*,*,#206840,.T.); #279687=ORIENTED_EDGE('',*,*,#206841,.F.); #279688=ORIENTED_EDGE('',*,*,#206842,.F.); #279689=ORIENTED_EDGE('',*,*,#206843,.T.); #279690=ORIENTED_EDGE('',*,*,#206842,.T.); #279691=ORIENTED_EDGE('',*,*,#206844,.F.); #279692=ORIENTED_EDGE('',*,*,#206845,.F.); #279693=ORIENTED_EDGE('',*,*,#206846,.T.); #279694=ORIENTED_EDGE('',*,*,#206845,.T.); #279695=ORIENTED_EDGE('',*,*,#206847,.F.); #279696=ORIENTED_EDGE('',*,*,#206848,.F.); #279697=ORIENTED_EDGE('',*,*,#206849,.T.); #279698=ORIENTED_EDGE('',*,*,#206848,.T.); #279699=ORIENTED_EDGE('',*,*,#206850,.F.); #279700=ORIENTED_EDGE('',*,*,#206840,.F.); #279701=ORIENTED_EDGE('',*,*,#206850,.T.); #279702=ORIENTED_EDGE('',*,*,#206847,.T.); #279703=ORIENTED_EDGE('',*,*,#206844,.T.); #279704=ORIENTED_EDGE('',*,*,#206841,.T.); #279705=ORIENTED_EDGE('',*,*,#206835,.T.); #279706=ORIENTED_EDGE('',*,*,#206838,.T.); #279707=ORIENTED_EDGE('',*,*,#206849,.F.); #279708=ORIENTED_EDGE('',*,*,#206839,.F.); #279709=ORIENTED_EDGE('',*,*,#206843,.F.); #279710=ORIENTED_EDGE('',*,*,#206846,.F.); #279711=ORIENTED_EDGE('',*,*,#206833,.T.); #279712=ORIENTED_EDGE('',*,*,#206836,.T.); #279713=ORIENTED_EDGE('',*,*,#206851,.T.); #279714=ORIENTED_EDGE('',*,*,#206852,.T.); #279715=ORIENTED_EDGE('',*,*,#206853,.F.); #279716=ORIENTED_EDGE('',*,*,#206854,.F.); #279717=ORIENTED_EDGE('',*,*,#206855,.T.); #279718=ORIENTED_EDGE('',*,*,#206854,.T.); #279719=ORIENTED_EDGE('',*,*,#206856,.F.); #279720=ORIENTED_EDGE('',*,*,#206857,.F.); #279721=ORIENTED_EDGE('',*,*,#206858,.T.); #279722=ORIENTED_EDGE('',*,*,#206857,.T.); #279723=ORIENTED_EDGE('',*,*,#206859,.F.); #279724=ORIENTED_EDGE('',*,*,#206860,.F.); #279725=ORIENTED_EDGE('',*,*,#206861,.T.); #279726=ORIENTED_EDGE('',*,*,#206860,.T.); #279727=ORIENTED_EDGE('',*,*,#206862,.F.); #279728=ORIENTED_EDGE('',*,*,#206852,.F.); #279729=ORIENTED_EDGE('',*,*,#206862,.T.); #279730=ORIENTED_EDGE('',*,*,#206859,.T.); #279731=ORIENTED_EDGE('',*,*,#206856,.T.); #279732=ORIENTED_EDGE('',*,*,#206853,.T.); #279733=ORIENTED_EDGE('',*,*,#206861,.F.); #279734=ORIENTED_EDGE('',*,*,#206851,.F.); #279735=ORIENTED_EDGE('',*,*,#206855,.F.); #279736=ORIENTED_EDGE('',*,*,#206858,.F.); #279737=ORIENTED_EDGE('',*,*,#206863,.F.); #279738=ORIENTED_EDGE('',*,*,#206864,.T.); #279739=ORIENTED_EDGE('',*,*,#206865,.F.); #279740=ORIENTED_EDGE('',*,*,#206864,.F.); #279741=ORIENTED_EDGE('',*,*,#206866,.F.); #279742=ORIENTED_EDGE('',*,*,#206867,.T.); #279743=ORIENTED_EDGE('',*,*,#206868,.F.); #279744=ORIENTED_EDGE('',*,*,#206867,.F.); #279745=ORIENTED_EDGE('',*,*,#206869,.T.); #279746=ORIENTED_EDGE('',*,*,#206870,.T.); #279747=ORIENTED_EDGE('',*,*,#206871,.F.); #279748=ORIENTED_EDGE('',*,*,#206872,.F.); #279749=ORIENTED_EDGE('',*,*,#206873,.T.); #279750=ORIENTED_EDGE('',*,*,#206872,.T.); #279751=ORIENTED_EDGE('',*,*,#206874,.F.); #279752=ORIENTED_EDGE('',*,*,#206875,.F.); #279753=ORIENTED_EDGE('',*,*,#206876,.T.); #279754=ORIENTED_EDGE('',*,*,#206875,.T.); #279755=ORIENTED_EDGE('',*,*,#206877,.F.); #279756=ORIENTED_EDGE('',*,*,#206878,.F.); #279757=ORIENTED_EDGE('',*,*,#206879,.T.); #279758=ORIENTED_EDGE('',*,*,#206878,.T.); #279759=ORIENTED_EDGE('',*,*,#206880,.F.); #279760=ORIENTED_EDGE('',*,*,#206870,.F.); #279761=ORIENTED_EDGE('',*,*,#206880,.T.); #279762=ORIENTED_EDGE('',*,*,#206877,.T.); #279763=ORIENTED_EDGE('',*,*,#206874,.T.); #279764=ORIENTED_EDGE('',*,*,#206871,.T.); #279765=ORIENTED_EDGE('',*,*,#206865,.T.); #279766=ORIENTED_EDGE('',*,*,#206868,.T.); #279767=ORIENTED_EDGE('',*,*,#206879,.F.); #279768=ORIENTED_EDGE('',*,*,#206869,.F.); #279769=ORIENTED_EDGE('',*,*,#206873,.F.); #279770=ORIENTED_EDGE('',*,*,#206876,.F.); #279771=ORIENTED_EDGE('',*,*,#206863,.T.); #279772=ORIENTED_EDGE('',*,*,#206866,.T.); #279773=ORIENTED_EDGE('',*,*,#206881,.T.); #279774=ORIENTED_EDGE('',*,*,#206882,.T.); #279775=ORIENTED_EDGE('',*,*,#206883,.F.); #279776=ORIENTED_EDGE('',*,*,#206884,.F.); #279777=ORIENTED_EDGE('',*,*,#206885,.T.); #279778=ORIENTED_EDGE('',*,*,#206884,.T.); #279779=ORIENTED_EDGE('',*,*,#206886,.F.); #279780=ORIENTED_EDGE('',*,*,#206887,.F.); #279781=ORIENTED_EDGE('',*,*,#206888,.T.); #279782=ORIENTED_EDGE('',*,*,#206887,.T.); #279783=ORIENTED_EDGE('',*,*,#206889,.F.); #279784=ORIENTED_EDGE('',*,*,#206890,.F.); #279785=ORIENTED_EDGE('',*,*,#206891,.T.); #279786=ORIENTED_EDGE('',*,*,#206890,.T.); #279787=ORIENTED_EDGE('',*,*,#206892,.F.); #279788=ORIENTED_EDGE('',*,*,#206882,.F.); #279789=ORIENTED_EDGE('',*,*,#206892,.T.); #279790=ORIENTED_EDGE('',*,*,#206889,.T.); #279791=ORIENTED_EDGE('',*,*,#206886,.T.); #279792=ORIENTED_EDGE('',*,*,#206883,.T.); #279793=ORIENTED_EDGE('',*,*,#206891,.F.); #279794=ORIENTED_EDGE('',*,*,#206881,.F.); #279795=ORIENTED_EDGE('',*,*,#206885,.F.); #279796=ORIENTED_EDGE('',*,*,#206888,.F.); #279797=ORIENTED_EDGE('',*,*,#206893,.T.); #279798=ORIENTED_EDGE('',*,*,#206894,.T.); #279799=ORIENTED_EDGE('',*,*,#206895,.F.); #279800=ORIENTED_EDGE('',*,*,#206896,.F.); #279801=ORIENTED_EDGE('',*,*,#206897,.T.); #279802=ORIENTED_EDGE('',*,*,#206896,.T.); #279803=ORIENTED_EDGE('',*,*,#206898,.F.); #279804=ORIENTED_EDGE('',*,*,#206899,.F.); #279805=ORIENTED_EDGE('',*,*,#206900,.T.); #279806=ORIENTED_EDGE('',*,*,#206899,.T.); #279807=ORIENTED_EDGE('',*,*,#206901,.F.); #279808=ORIENTED_EDGE('',*,*,#206902,.F.); #279809=ORIENTED_EDGE('',*,*,#206903,.T.); #279810=ORIENTED_EDGE('',*,*,#206902,.T.); #279811=ORIENTED_EDGE('',*,*,#206904,.F.); #279812=ORIENTED_EDGE('',*,*,#206905,.F.); #279813=ORIENTED_EDGE('',*,*,#206906,.T.); #279814=ORIENTED_EDGE('',*,*,#206905,.T.); #279815=ORIENTED_EDGE('',*,*,#206907,.F.); #279816=ORIENTED_EDGE('',*,*,#206908,.F.); #279817=ORIENTED_EDGE('',*,*,#206909,.T.); #279818=ORIENTED_EDGE('',*,*,#206908,.T.); #279819=ORIENTED_EDGE('',*,*,#206910,.F.); #279820=ORIENTED_EDGE('',*,*,#206911,.F.); #279821=ORIENTED_EDGE('',*,*,#206912,.T.); #279822=ORIENTED_EDGE('',*,*,#206911,.T.); #279823=ORIENTED_EDGE('',*,*,#206913,.F.); #279824=ORIENTED_EDGE('',*,*,#206914,.F.); #279825=ORIENTED_EDGE('',*,*,#206915,.T.); #279826=ORIENTED_EDGE('',*,*,#206914,.T.); #279827=ORIENTED_EDGE('',*,*,#206916,.F.); #279828=ORIENTED_EDGE('',*,*,#206917,.F.); #279829=ORIENTED_EDGE('',*,*,#206918,.T.); #279830=ORIENTED_EDGE('',*,*,#206917,.T.); #279831=ORIENTED_EDGE('',*,*,#206919,.F.); #279832=ORIENTED_EDGE('',*,*,#206920,.F.); #279833=ORIENTED_EDGE('',*,*,#206921,.T.); #279834=ORIENTED_EDGE('',*,*,#206920,.T.); #279835=ORIENTED_EDGE('',*,*,#206922,.F.); #279836=ORIENTED_EDGE('',*,*,#206923,.F.); #279837=ORIENTED_EDGE('',*,*,#206924,.T.); #279838=ORIENTED_EDGE('',*,*,#206923,.T.); #279839=ORIENTED_EDGE('',*,*,#206925,.F.); #279840=ORIENTED_EDGE('',*,*,#206926,.F.); #279841=ORIENTED_EDGE('',*,*,#206927,.T.); #279842=ORIENTED_EDGE('',*,*,#206926,.T.); #279843=ORIENTED_EDGE('',*,*,#206928,.F.); #279844=ORIENTED_EDGE('',*,*,#206929,.F.); #279845=ORIENTED_EDGE('',*,*,#206930,.T.); #279846=ORIENTED_EDGE('',*,*,#206929,.T.); #279847=ORIENTED_EDGE('',*,*,#206931,.F.); #279848=ORIENTED_EDGE('',*,*,#206932,.F.); #279849=ORIENTED_EDGE('',*,*,#206933,.T.); #279850=ORIENTED_EDGE('',*,*,#206932,.T.); #279851=ORIENTED_EDGE('',*,*,#206934,.F.); #279852=ORIENTED_EDGE('',*,*,#206935,.F.); #279853=ORIENTED_EDGE('',*,*,#206936,.T.); #279854=ORIENTED_EDGE('',*,*,#206935,.T.); #279855=ORIENTED_EDGE('',*,*,#206937,.F.); #279856=ORIENTED_EDGE('',*,*,#206938,.F.); #279857=ORIENTED_EDGE('',*,*,#206939,.T.); #279858=ORIENTED_EDGE('',*,*,#206938,.T.); #279859=ORIENTED_EDGE('',*,*,#206940,.F.); #279860=ORIENTED_EDGE('',*,*,#206941,.F.); #279861=ORIENTED_EDGE('',*,*,#206942,.T.); #279862=ORIENTED_EDGE('',*,*,#206941,.T.); #279863=ORIENTED_EDGE('',*,*,#206943,.F.); #279864=ORIENTED_EDGE('',*,*,#206944,.F.); #279865=ORIENTED_EDGE('',*,*,#206945,.T.); #279866=ORIENTED_EDGE('',*,*,#206944,.T.); #279867=ORIENTED_EDGE('',*,*,#206946,.F.); #279868=ORIENTED_EDGE('',*,*,#206947,.F.); #279869=ORIENTED_EDGE('',*,*,#206948,.T.); #279870=ORIENTED_EDGE('',*,*,#206947,.T.); #279871=ORIENTED_EDGE('',*,*,#206949,.F.); #279872=ORIENTED_EDGE('',*,*,#206950,.F.); #279873=ORIENTED_EDGE('',*,*,#206951,.T.); #279874=ORIENTED_EDGE('',*,*,#206950,.T.); #279875=ORIENTED_EDGE('',*,*,#206952,.F.); #279876=ORIENTED_EDGE('',*,*,#206953,.F.); #279877=ORIENTED_EDGE('',*,*,#206954,.T.); #279878=ORIENTED_EDGE('',*,*,#206953,.T.); #279879=ORIENTED_EDGE('',*,*,#206955,.F.); #279880=ORIENTED_EDGE('',*,*,#206956,.F.); #279881=ORIENTED_EDGE('',*,*,#206957,.T.); #279882=ORIENTED_EDGE('',*,*,#206956,.T.); #279883=ORIENTED_EDGE('',*,*,#206958,.F.); #279884=ORIENTED_EDGE('',*,*,#206959,.F.); #279885=ORIENTED_EDGE('',*,*,#206960,.T.); #279886=ORIENTED_EDGE('',*,*,#206959,.T.); #279887=ORIENTED_EDGE('',*,*,#206961,.F.); #279888=ORIENTED_EDGE('',*,*,#206962,.F.); #279889=ORIENTED_EDGE('',*,*,#206963,.T.); #279890=ORIENTED_EDGE('',*,*,#206962,.T.); #279891=ORIENTED_EDGE('',*,*,#206964,.F.); #279892=ORIENTED_EDGE('',*,*,#206965,.F.); #279893=ORIENTED_EDGE('',*,*,#206966,.T.); #279894=ORIENTED_EDGE('',*,*,#206965,.T.); #279895=ORIENTED_EDGE('',*,*,#206967,.F.); #279896=ORIENTED_EDGE('',*,*,#206968,.F.); #279897=ORIENTED_EDGE('',*,*,#206969,.T.); #279898=ORIENTED_EDGE('',*,*,#206968,.T.); #279899=ORIENTED_EDGE('',*,*,#206970,.F.); #279900=ORIENTED_EDGE('',*,*,#206971,.F.); #279901=ORIENTED_EDGE('',*,*,#206972,.T.); #279902=ORIENTED_EDGE('',*,*,#206971,.T.); #279903=ORIENTED_EDGE('',*,*,#206973,.F.); #279904=ORIENTED_EDGE('',*,*,#206974,.F.); #279905=ORIENTED_EDGE('',*,*,#206975,.T.); #279906=ORIENTED_EDGE('',*,*,#206974,.T.); #279907=ORIENTED_EDGE('',*,*,#206976,.F.); #279908=ORIENTED_EDGE('',*,*,#206977,.F.); #279909=ORIENTED_EDGE('',*,*,#206978,.T.); #279910=ORIENTED_EDGE('',*,*,#206977,.T.); #279911=ORIENTED_EDGE('',*,*,#206979,.F.); #279912=ORIENTED_EDGE('',*,*,#206980,.F.); #279913=ORIENTED_EDGE('',*,*,#206981,.T.); #279914=ORIENTED_EDGE('',*,*,#206980,.T.); #279915=ORIENTED_EDGE('',*,*,#206982,.F.); #279916=ORIENTED_EDGE('',*,*,#206983,.F.); #279917=ORIENTED_EDGE('',*,*,#206984,.T.); #279918=ORIENTED_EDGE('',*,*,#206983,.T.); #279919=ORIENTED_EDGE('',*,*,#206985,.F.); #279920=ORIENTED_EDGE('',*,*,#206986,.F.); #279921=ORIENTED_EDGE('',*,*,#206987,.T.); #279922=ORIENTED_EDGE('',*,*,#206986,.T.); #279923=ORIENTED_EDGE('',*,*,#206988,.F.); #279924=ORIENTED_EDGE('',*,*,#206989,.F.); #279925=ORIENTED_EDGE('',*,*,#206990,.T.); #279926=ORIENTED_EDGE('',*,*,#206989,.T.); #279927=ORIENTED_EDGE('',*,*,#206991,.F.); #279928=ORIENTED_EDGE('',*,*,#206992,.F.); #279929=ORIENTED_EDGE('',*,*,#206993,.T.); #279930=ORIENTED_EDGE('',*,*,#206992,.T.); #279931=ORIENTED_EDGE('',*,*,#206994,.F.); #279932=ORIENTED_EDGE('',*,*,#206995,.F.); #279933=ORIENTED_EDGE('',*,*,#206996,.T.); #279934=ORIENTED_EDGE('',*,*,#206995,.T.); #279935=ORIENTED_EDGE('',*,*,#206997,.F.); #279936=ORIENTED_EDGE('',*,*,#206998,.F.); #279937=ORIENTED_EDGE('',*,*,#206999,.T.); #279938=ORIENTED_EDGE('',*,*,#206998,.T.); #279939=ORIENTED_EDGE('',*,*,#207000,.F.); #279940=ORIENTED_EDGE('',*,*,#207001,.F.); #279941=ORIENTED_EDGE('',*,*,#207002,.T.); #279942=ORIENTED_EDGE('',*,*,#207001,.T.); #279943=ORIENTED_EDGE('',*,*,#207003,.F.); #279944=ORIENTED_EDGE('',*,*,#207004,.F.); #279945=ORIENTED_EDGE('',*,*,#207005,.T.); #279946=ORIENTED_EDGE('',*,*,#207004,.T.); #279947=ORIENTED_EDGE('',*,*,#207006,.F.); #279948=ORIENTED_EDGE('',*,*,#207007,.F.); #279949=ORIENTED_EDGE('',*,*,#207008,.T.); #279950=ORIENTED_EDGE('',*,*,#207007,.T.); #279951=ORIENTED_EDGE('',*,*,#207009,.F.); #279952=ORIENTED_EDGE('',*,*,#207010,.F.); #279953=ORIENTED_EDGE('',*,*,#207011,.T.); #279954=ORIENTED_EDGE('',*,*,#207010,.T.); #279955=ORIENTED_EDGE('',*,*,#207012,.F.); #279956=ORIENTED_EDGE('',*,*,#207013,.F.); #279957=ORIENTED_EDGE('',*,*,#207014,.T.); #279958=ORIENTED_EDGE('',*,*,#207013,.T.); #279959=ORIENTED_EDGE('',*,*,#207015,.F.); #279960=ORIENTED_EDGE('',*,*,#207016,.F.); #279961=ORIENTED_EDGE('',*,*,#207017,.T.); #279962=ORIENTED_EDGE('',*,*,#207016,.T.); #279963=ORIENTED_EDGE('',*,*,#207018,.F.); #279964=ORIENTED_EDGE('',*,*,#207019,.F.); #279965=ORIENTED_EDGE('',*,*,#207020,.T.); #279966=ORIENTED_EDGE('',*,*,#207019,.T.); #279967=ORIENTED_EDGE('',*,*,#207021,.F.); #279968=ORIENTED_EDGE('',*,*,#207022,.F.); #279969=ORIENTED_EDGE('',*,*,#207023,.T.); #279970=ORIENTED_EDGE('',*,*,#207022,.T.); #279971=ORIENTED_EDGE('',*,*,#207024,.F.); #279972=ORIENTED_EDGE('',*,*,#207025,.F.); #279973=ORIENTED_EDGE('',*,*,#207026,.T.); #279974=ORIENTED_EDGE('',*,*,#207025,.T.); #279975=ORIENTED_EDGE('',*,*,#207027,.F.); #279976=ORIENTED_EDGE('',*,*,#207028,.F.); #279977=ORIENTED_EDGE('',*,*,#207029,.T.); #279978=ORIENTED_EDGE('',*,*,#207028,.T.); #279979=ORIENTED_EDGE('',*,*,#207030,.F.); #279980=ORIENTED_EDGE('',*,*,#207031,.F.); #279981=ORIENTED_EDGE('',*,*,#207032,.T.); #279982=ORIENTED_EDGE('',*,*,#207031,.T.); #279983=ORIENTED_EDGE('',*,*,#207033,.F.); #279984=ORIENTED_EDGE('',*,*,#207034,.F.); #279985=ORIENTED_EDGE('',*,*,#207035,.T.); #279986=ORIENTED_EDGE('',*,*,#207034,.T.); #279987=ORIENTED_EDGE('',*,*,#207036,.F.); #279988=ORIENTED_EDGE('',*,*,#207037,.F.); #279989=ORIENTED_EDGE('',*,*,#207038,.T.); #279990=ORIENTED_EDGE('',*,*,#207037,.T.); #279991=ORIENTED_EDGE('',*,*,#207039,.F.); #279992=ORIENTED_EDGE('',*,*,#207040,.F.); #279993=ORIENTED_EDGE('',*,*,#207041,.T.); #279994=ORIENTED_EDGE('',*,*,#207040,.T.); #279995=ORIENTED_EDGE('',*,*,#207042,.F.); #279996=ORIENTED_EDGE('',*,*,#207043,.F.); #279997=ORIENTED_EDGE('',*,*,#207044,.T.); #279998=ORIENTED_EDGE('',*,*,#207043,.T.); #279999=ORIENTED_EDGE('',*,*,#207045,.F.); #280000=ORIENTED_EDGE('',*,*,#207046,.F.); #280001=ORIENTED_EDGE('',*,*,#207047,.T.); #280002=ORIENTED_EDGE('',*,*,#207046,.T.); #280003=ORIENTED_EDGE('',*,*,#207048,.F.); #280004=ORIENTED_EDGE('',*,*,#207049,.F.); #280005=ORIENTED_EDGE('',*,*,#207050,.T.); #280006=ORIENTED_EDGE('',*,*,#207049,.T.); #280007=ORIENTED_EDGE('',*,*,#207051,.F.); #280008=ORIENTED_EDGE('',*,*,#207052,.F.); #280009=ORIENTED_EDGE('',*,*,#207053,.T.); #280010=ORIENTED_EDGE('',*,*,#207052,.T.); #280011=ORIENTED_EDGE('',*,*,#207054,.F.); #280012=ORIENTED_EDGE('',*,*,#207055,.F.); #280013=ORIENTED_EDGE('',*,*,#207056,.T.); #280014=ORIENTED_EDGE('',*,*,#207055,.T.); #280015=ORIENTED_EDGE('',*,*,#207057,.F.); #280016=ORIENTED_EDGE('',*,*,#207058,.F.); #280017=ORIENTED_EDGE('',*,*,#207059,.T.); #280018=ORIENTED_EDGE('',*,*,#207058,.T.); #280019=ORIENTED_EDGE('',*,*,#207060,.F.); #280020=ORIENTED_EDGE('',*,*,#207061,.F.); #280021=ORIENTED_EDGE('',*,*,#207062,.T.); #280022=ORIENTED_EDGE('',*,*,#207061,.T.); #280023=ORIENTED_EDGE('',*,*,#207063,.F.); #280024=ORIENTED_EDGE('',*,*,#207064,.F.); #280025=ORIENTED_EDGE('',*,*,#207065,.T.); #280026=ORIENTED_EDGE('',*,*,#207064,.T.); #280027=ORIENTED_EDGE('',*,*,#207066,.F.); #280028=ORIENTED_EDGE('',*,*,#206894,.F.); #280029=ORIENTED_EDGE('',*,*,#207066,.T.); #280030=ORIENTED_EDGE('',*,*,#207063,.T.); #280031=ORIENTED_EDGE('',*,*,#207060,.T.); #280032=ORIENTED_EDGE('',*,*,#207057,.T.); #280033=ORIENTED_EDGE('',*,*,#207054,.T.); #280034=ORIENTED_EDGE('',*,*,#207051,.T.); #280035=ORIENTED_EDGE('',*,*,#207048,.T.); #280036=ORIENTED_EDGE('',*,*,#207045,.T.); #280037=ORIENTED_EDGE('',*,*,#207042,.T.); #280038=ORIENTED_EDGE('',*,*,#207039,.T.); #280039=ORIENTED_EDGE('',*,*,#207036,.T.); #280040=ORIENTED_EDGE('',*,*,#207033,.T.); #280041=ORIENTED_EDGE('',*,*,#207030,.T.); #280042=ORIENTED_EDGE('',*,*,#207027,.T.); #280043=ORIENTED_EDGE('',*,*,#207024,.T.); #280044=ORIENTED_EDGE('',*,*,#207021,.T.); #280045=ORIENTED_EDGE('',*,*,#207018,.T.); #280046=ORIENTED_EDGE('',*,*,#207015,.T.); #280047=ORIENTED_EDGE('',*,*,#207012,.T.); #280048=ORIENTED_EDGE('',*,*,#207009,.T.); #280049=ORIENTED_EDGE('',*,*,#207006,.T.); #280050=ORIENTED_EDGE('',*,*,#207003,.T.); #280051=ORIENTED_EDGE('',*,*,#207000,.T.); #280052=ORIENTED_EDGE('',*,*,#206997,.T.); #280053=ORIENTED_EDGE('',*,*,#206994,.T.); #280054=ORIENTED_EDGE('',*,*,#206991,.T.); #280055=ORIENTED_EDGE('',*,*,#206988,.T.); #280056=ORIENTED_EDGE('',*,*,#206985,.T.); #280057=ORIENTED_EDGE('',*,*,#206982,.T.); #280058=ORIENTED_EDGE('',*,*,#206979,.T.); #280059=ORIENTED_EDGE('',*,*,#206976,.T.); #280060=ORIENTED_EDGE('',*,*,#206973,.T.); #280061=ORIENTED_EDGE('',*,*,#206970,.T.); #280062=ORIENTED_EDGE('',*,*,#206967,.T.); #280063=ORIENTED_EDGE('',*,*,#206964,.T.); #280064=ORIENTED_EDGE('',*,*,#206961,.T.); #280065=ORIENTED_EDGE('',*,*,#206958,.T.); #280066=ORIENTED_EDGE('',*,*,#206955,.T.); #280067=ORIENTED_EDGE('',*,*,#206952,.T.); #280068=ORIENTED_EDGE('',*,*,#206949,.T.); #280069=ORIENTED_EDGE('',*,*,#206946,.T.); #280070=ORIENTED_EDGE('',*,*,#206943,.T.); #280071=ORIENTED_EDGE('',*,*,#206940,.T.); #280072=ORIENTED_EDGE('',*,*,#206937,.T.); #280073=ORIENTED_EDGE('',*,*,#206934,.T.); #280074=ORIENTED_EDGE('',*,*,#206931,.T.); #280075=ORIENTED_EDGE('',*,*,#206928,.T.); #280076=ORIENTED_EDGE('',*,*,#206925,.T.); #280077=ORIENTED_EDGE('',*,*,#206922,.T.); #280078=ORIENTED_EDGE('',*,*,#206919,.T.); #280079=ORIENTED_EDGE('',*,*,#206916,.T.); #280080=ORIENTED_EDGE('',*,*,#206913,.T.); #280081=ORIENTED_EDGE('',*,*,#206910,.T.); #280082=ORIENTED_EDGE('',*,*,#206907,.T.); #280083=ORIENTED_EDGE('',*,*,#206904,.T.); #280084=ORIENTED_EDGE('',*,*,#206901,.T.); #280085=ORIENTED_EDGE('',*,*,#206898,.T.); #280086=ORIENTED_EDGE('',*,*,#206895,.T.); #280087=ORIENTED_EDGE('',*,*,#207065,.F.); #280088=ORIENTED_EDGE('',*,*,#206893,.F.); #280089=ORIENTED_EDGE('',*,*,#206897,.F.); #280090=ORIENTED_EDGE('',*,*,#206900,.F.); #280091=ORIENTED_EDGE('',*,*,#206903,.F.); #280092=ORIENTED_EDGE('',*,*,#206906,.F.); #280093=ORIENTED_EDGE('',*,*,#206909,.F.); #280094=ORIENTED_EDGE('',*,*,#206912,.F.); #280095=ORIENTED_EDGE('',*,*,#206915,.F.); #280096=ORIENTED_EDGE('',*,*,#206918,.F.); #280097=ORIENTED_EDGE('',*,*,#206921,.F.); #280098=ORIENTED_EDGE('',*,*,#206924,.F.); #280099=ORIENTED_EDGE('',*,*,#206927,.F.); #280100=ORIENTED_EDGE('',*,*,#206930,.F.); #280101=ORIENTED_EDGE('',*,*,#206933,.F.); #280102=ORIENTED_EDGE('',*,*,#206936,.F.); #280103=ORIENTED_EDGE('',*,*,#206939,.F.); #280104=ORIENTED_EDGE('',*,*,#206942,.F.); #280105=ORIENTED_EDGE('',*,*,#206945,.F.); #280106=ORIENTED_EDGE('',*,*,#206948,.F.); #280107=ORIENTED_EDGE('',*,*,#206951,.F.); #280108=ORIENTED_EDGE('',*,*,#206954,.F.); #280109=ORIENTED_EDGE('',*,*,#206957,.F.); #280110=ORIENTED_EDGE('',*,*,#206960,.F.); #280111=ORIENTED_EDGE('',*,*,#206963,.F.); #280112=ORIENTED_EDGE('',*,*,#206966,.F.); #280113=ORIENTED_EDGE('',*,*,#206969,.F.); #280114=ORIENTED_EDGE('',*,*,#206972,.F.); #280115=ORIENTED_EDGE('',*,*,#206975,.F.); #280116=ORIENTED_EDGE('',*,*,#206978,.F.); #280117=ORIENTED_EDGE('',*,*,#206981,.F.); #280118=ORIENTED_EDGE('',*,*,#206984,.F.); #280119=ORIENTED_EDGE('',*,*,#206987,.F.); #280120=ORIENTED_EDGE('',*,*,#206990,.F.); #280121=ORIENTED_EDGE('',*,*,#206993,.F.); #280122=ORIENTED_EDGE('',*,*,#206996,.F.); #280123=ORIENTED_EDGE('',*,*,#206999,.F.); #280124=ORIENTED_EDGE('',*,*,#207002,.F.); #280125=ORIENTED_EDGE('',*,*,#207005,.F.); #280126=ORIENTED_EDGE('',*,*,#207008,.F.); #280127=ORIENTED_EDGE('',*,*,#207011,.F.); #280128=ORIENTED_EDGE('',*,*,#207014,.F.); #280129=ORIENTED_EDGE('',*,*,#207017,.F.); #280130=ORIENTED_EDGE('',*,*,#207020,.F.); #280131=ORIENTED_EDGE('',*,*,#207023,.F.); #280132=ORIENTED_EDGE('',*,*,#207026,.F.); #280133=ORIENTED_EDGE('',*,*,#207029,.F.); #280134=ORIENTED_EDGE('',*,*,#207032,.F.); #280135=ORIENTED_EDGE('',*,*,#207035,.F.); #280136=ORIENTED_EDGE('',*,*,#207038,.F.); #280137=ORIENTED_EDGE('',*,*,#207041,.F.); #280138=ORIENTED_EDGE('',*,*,#207044,.F.); #280139=ORIENTED_EDGE('',*,*,#207047,.F.); #280140=ORIENTED_EDGE('',*,*,#207050,.F.); #280141=ORIENTED_EDGE('',*,*,#207053,.F.); #280142=ORIENTED_EDGE('',*,*,#207056,.F.); #280143=ORIENTED_EDGE('',*,*,#207059,.F.); #280144=ORIENTED_EDGE('',*,*,#207062,.F.); #280145=ORIENTED_EDGE('',*,*,#207067,.F.); #280146=ORIENTED_EDGE('',*,*,#207068,.T.); #280147=ORIENTED_EDGE('',*,*,#207069,.F.); #280148=ORIENTED_EDGE('',*,*,#207068,.F.); #280149=ORIENTED_EDGE('',*,*,#207070,.F.); #280150=ORIENTED_EDGE('',*,*,#207071,.T.); #280151=ORIENTED_EDGE('',*,*,#207072,.F.); #280152=ORIENTED_EDGE('',*,*,#207071,.F.); #280153=ORIENTED_EDGE('',*,*,#207073,.T.); #280154=ORIENTED_EDGE('',*,*,#207074,.T.); #280155=ORIENTED_EDGE('',*,*,#207075,.F.); #280156=ORIENTED_EDGE('',*,*,#207076,.F.); #280157=ORIENTED_EDGE('',*,*,#207077,.T.); #280158=ORIENTED_EDGE('',*,*,#207076,.T.); #280159=ORIENTED_EDGE('',*,*,#207078,.F.); #280160=ORIENTED_EDGE('',*,*,#207079,.F.); #280161=ORIENTED_EDGE('',*,*,#207080,.T.); #280162=ORIENTED_EDGE('',*,*,#207079,.T.); #280163=ORIENTED_EDGE('',*,*,#207081,.F.); #280164=ORIENTED_EDGE('',*,*,#207082,.F.); #280165=ORIENTED_EDGE('',*,*,#207083,.T.); #280166=ORIENTED_EDGE('',*,*,#207082,.T.); #280167=ORIENTED_EDGE('',*,*,#207084,.F.); #280168=ORIENTED_EDGE('',*,*,#207085,.F.); #280169=ORIENTED_EDGE('',*,*,#207086,.T.); #280170=ORIENTED_EDGE('',*,*,#207085,.T.); #280171=ORIENTED_EDGE('',*,*,#207087,.F.); #280172=ORIENTED_EDGE('',*,*,#207088,.F.); #280173=ORIENTED_EDGE('',*,*,#207089,.T.); #280174=ORIENTED_EDGE('',*,*,#207088,.T.); #280175=ORIENTED_EDGE('',*,*,#207090,.F.); #280176=ORIENTED_EDGE('',*,*,#207091,.F.); #280177=ORIENTED_EDGE('',*,*,#207092,.T.); #280178=ORIENTED_EDGE('',*,*,#207091,.T.); #280179=ORIENTED_EDGE('',*,*,#207093,.F.); #280180=ORIENTED_EDGE('',*,*,#207094,.F.); #280181=ORIENTED_EDGE('',*,*,#207095,.T.); #280182=ORIENTED_EDGE('',*,*,#207094,.T.); #280183=ORIENTED_EDGE('',*,*,#207096,.F.); #280184=ORIENTED_EDGE('',*,*,#207074,.F.); #280185=ORIENTED_EDGE('',*,*,#207096,.T.); #280186=ORIENTED_EDGE('',*,*,#207093,.T.); #280187=ORIENTED_EDGE('',*,*,#207090,.T.); #280188=ORIENTED_EDGE('',*,*,#207087,.T.); #280189=ORIENTED_EDGE('',*,*,#207084,.T.); #280190=ORIENTED_EDGE('',*,*,#207081,.T.); #280191=ORIENTED_EDGE('',*,*,#207078,.T.); #280192=ORIENTED_EDGE('',*,*,#207075,.T.); #280193=ORIENTED_EDGE('',*,*,#207069,.T.); #280194=ORIENTED_EDGE('',*,*,#207072,.T.); #280195=ORIENTED_EDGE('',*,*,#207095,.F.); #280196=ORIENTED_EDGE('',*,*,#207073,.F.); #280197=ORIENTED_EDGE('',*,*,#207077,.F.); #280198=ORIENTED_EDGE('',*,*,#207080,.F.); #280199=ORIENTED_EDGE('',*,*,#207083,.F.); #280200=ORIENTED_EDGE('',*,*,#207086,.F.); #280201=ORIENTED_EDGE('',*,*,#207089,.F.); #280202=ORIENTED_EDGE('',*,*,#207092,.F.); #280203=ORIENTED_EDGE('',*,*,#207067,.T.); #280204=ORIENTED_EDGE('',*,*,#207070,.T.); #280205=ORIENTED_EDGE('',*,*,#207097,.F.); #280206=ORIENTED_EDGE('',*,*,#207098,.T.); #280207=ORIENTED_EDGE('',*,*,#207099,.F.); #280208=ORIENTED_EDGE('',*,*,#207098,.F.); #280209=ORIENTED_EDGE('',*,*,#207100,.T.); #280210=ORIENTED_EDGE('',*,*,#207101,.T.); #280211=ORIENTED_EDGE('',*,*,#207102,.F.); #280212=ORIENTED_EDGE('',*,*,#207103,.F.); #280213=ORIENTED_EDGE('',*,*,#207104,.T.); #280214=ORIENTED_EDGE('',*,*,#207103,.T.); #280215=ORIENTED_EDGE('',*,*,#207105,.F.); #280216=ORIENTED_EDGE('',*,*,#207106,.F.); #280217=ORIENTED_EDGE('',*,*,#207107,.T.); #280218=ORIENTED_EDGE('',*,*,#207106,.T.); #280219=ORIENTED_EDGE('',*,*,#207108,.F.); #280220=ORIENTED_EDGE('',*,*,#207109,.F.); #280221=ORIENTED_EDGE('',*,*,#207110,.T.); #280222=ORIENTED_EDGE('',*,*,#207109,.T.); #280223=ORIENTED_EDGE('',*,*,#207111,.F.); #280224=ORIENTED_EDGE('',*,*,#207112,.F.); #280225=ORIENTED_EDGE('',*,*,#207113,.T.); #280226=ORIENTED_EDGE('',*,*,#207112,.T.); #280227=ORIENTED_EDGE('',*,*,#207114,.F.); #280228=ORIENTED_EDGE('',*,*,#207115,.F.); #280229=ORIENTED_EDGE('',*,*,#207116,.T.); #280230=ORIENTED_EDGE('',*,*,#207115,.T.); #280231=ORIENTED_EDGE('',*,*,#207117,.F.); #280232=ORIENTED_EDGE('',*,*,#207118,.F.); #280233=ORIENTED_EDGE('',*,*,#207119,.T.); #280234=ORIENTED_EDGE('',*,*,#207118,.T.); #280235=ORIENTED_EDGE('',*,*,#207120,.F.); #280236=ORIENTED_EDGE('',*,*,#207121,.F.); #280237=ORIENTED_EDGE('',*,*,#207122,.T.); #280238=ORIENTED_EDGE('',*,*,#207121,.T.); #280239=ORIENTED_EDGE('',*,*,#207123,.F.); #280240=ORIENTED_EDGE('',*,*,#207124,.F.); #280241=ORIENTED_EDGE('',*,*,#207125,.T.); #280242=ORIENTED_EDGE('',*,*,#207124,.T.); #280243=ORIENTED_EDGE('',*,*,#207126,.F.); #280244=ORIENTED_EDGE('',*,*,#207127,.F.); #280245=ORIENTED_EDGE('',*,*,#207128,.T.); #280246=ORIENTED_EDGE('',*,*,#207127,.T.); #280247=ORIENTED_EDGE('',*,*,#207129,.F.); #280248=ORIENTED_EDGE('',*,*,#207101,.F.); #280249=ORIENTED_EDGE('',*,*,#207129,.T.); #280250=ORIENTED_EDGE('',*,*,#207126,.T.); #280251=ORIENTED_EDGE('',*,*,#207123,.T.); #280252=ORIENTED_EDGE('',*,*,#207120,.T.); #280253=ORIENTED_EDGE('',*,*,#207117,.T.); #280254=ORIENTED_EDGE('',*,*,#207114,.T.); #280255=ORIENTED_EDGE('',*,*,#207111,.T.); #280256=ORIENTED_EDGE('',*,*,#207108,.T.); #280257=ORIENTED_EDGE('',*,*,#207105,.T.); #280258=ORIENTED_EDGE('',*,*,#207102,.T.); #280259=ORIENTED_EDGE('',*,*,#207099,.T.); #280260=ORIENTED_EDGE('',*,*,#207128,.F.); #280261=ORIENTED_EDGE('',*,*,#207100,.F.); #280262=ORIENTED_EDGE('',*,*,#207104,.F.); #280263=ORIENTED_EDGE('',*,*,#207107,.F.); #280264=ORIENTED_EDGE('',*,*,#207110,.F.); #280265=ORIENTED_EDGE('',*,*,#207113,.F.); #280266=ORIENTED_EDGE('',*,*,#207116,.F.); #280267=ORIENTED_EDGE('',*,*,#207119,.F.); #280268=ORIENTED_EDGE('',*,*,#207122,.F.); #280269=ORIENTED_EDGE('',*,*,#207125,.F.); #280270=ORIENTED_EDGE('',*,*,#207097,.T.); #280271=ORIENTED_EDGE('',*,*,#207130,.F.); #280272=ORIENTED_EDGE('',*,*,#207131,.T.); #280273=ORIENTED_EDGE('',*,*,#207132,.F.); #280274=ORIENTED_EDGE('',*,*,#207131,.F.); #280275=ORIENTED_EDGE('',*,*,#207133,.T.); #280276=ORIENTED_EDGE('',*,*,#207134,.T.); #280277=ORIENTED_EDGE('',*,*,#207135,.F.); #280278=ORIENTED_EDGE('',*,*,#207136,.F.); #280279=ORIENTED_EDGE('',*,*,#207137,.T.); #280280=ORIENTED_EDGE('',*,*,#207136,.T.); #280281=ORIENTED_EDGE('',*,*,#207138,.F.); #280282=ORIENTED_EDGE('',*,*,#207139,.F.); #280283=ORIENTED_EDGE('',*,*,#207140,.T.); #280284=ORIENTED_EDGE('',*,*,#207139,.T.); #280285=ORIENTED_EDGE('',*,*,#207141,.F.); #280286=ORIENTED_EDGE('',*,*,#207142,.F.); #280287=ORIENTED_EDGE('',*,*,#207143,.T.); #280288=ORIENTED_EDGE('',*,*,#207142,.T.); #280289=ORIENTED_EDGE('',*,*,#207144,.F.); #280290=ORIENTED_EDGE('',*,*,#207145,.F.); #280291=ORIENTED_EDGE('',*,*,#207146,.T.); #280292=ORIENTED_EDGE('',*,*,#207145,.T.); #280293=ORIENTED_EDGE('',*,*,#207147,.F.); #280294=ORIENTED_EDGE('',*,*,#207148,.F.); #280295=ORIENTED_EDGE('',*,*,#207149,.T.); #280296=ORIENTED_EDGE('',*,*,#207148,.T.); #280297=ORIENTED_EDGE('',*,*,#207150,.F.); #280298=ORIENTED_EDGE('',*,*,#207151,.F.); #280299=ORIENTED_EDGE('',*,*,#207152,.T.); #280300=ORIENTED_EDGE('',*,*,#207151,.T.); #280301=ORIENTED_EDGE('',*,*,#207153,.F.); #280302=ORIENTED_EDGE('',*,*,#207154,.F.); #280303=ORIENTED_EDGE('',*,*,#207155,.T.); #280304=ORIENTED_EDGE('',*,*,#207154,.T.); #280305=ORIENTED_EDGE('',*,*,#207156,.F.); #280306=ORIENTED_EDGE('',*,*,#207157,.F.); #280307=ORIENTED_EDGE('',*,*,#207158,.T.); #280308=ORIENTED_EDGE('',*,*,#207157,.T.); #280309=ORIENTED_EDGE('',*,*,#207159,.F.); #280310=ORIENTED_EDGE('',*,*,#207160,.F.); #280311=ORIENTED_EDGE('',*,*,#207161,.T.); #280312=ORIENTED_EDGE('',*,*,#207160,.T.); #280313=ORIENTED_EDGE('',*,*,#207162,.F.); #280314=ORIENTED_EDGE('',*,*,#207163,.F.); #280315=ORIENTED_EDGE('',*,*,#207164,.T.); #280316=ORIENTED_EDGE('',*,*,#207163,.T.); #280317=ORIENTED_EDGE('',*,*,#207165,.F.); #280318=ORIENTED_EDGE('',*,*,#207166,.F.); #280319=ORIENTED_EDGE('',*,*,#207167,.T.); #280320=ORIENTED_EDGE('',*,*,#207166,.T.); #280321=ORIENTED_EDGE('',*,*,#207168,.F.); #280322=ORIENTED_EDGE('',*,*,#207169,.F.); #280323=ORIENTED_EDGE('',*,*,#207170,.T.); #280324=ORIENTED_EDGE('',*,*,#207169,.T.); #280325=ORIENTED_EDGE('',*,*,#207171,.F.); #280326=ORIENTED_EDGE('',*,*,#207134,.F.); #280327=ORIENTED_EDGE('',*,*,#207171,.T.); #280328=ORIENTED_EDGE('',*,*,#207168,.T.); #280329=ORIENTED_EDGE('',*,*,#207165,.T.); #280330=ORIENTED_EDGE('',*,*,#207162,.T.); #280331=ORIENTED_EDGE('',*,*,#207159,.T.); #280332=ORIENTED_EDGE('',*,*,#207156,.T.); #280333=ORIENTED_EDGE('',*,*,#207153,.T.); #280334=ORIENTED_EDGE('',*,*,#207150,.T.); #280335=ORIENTED_EDGE('',*,*,#207147,.T.); #280336=ORIENTED_EDGE('',*,*,#207144,.T.); #280337=ORIENTED_EDGE('',*,*,#207141,.T.); #280338=ORIENTED_EDGE('',*,*,#207138,.T.); #280339=ORIENTED_EDGE('',*,*,#207135,.T.); #280340=ORIENTED_EDGE('',*,*,#207132,.T.); #280341=ORIENTED_EDGE('',*,*,#207170,.F.); #280342=ORIENTED_EDGE('',*,*,#207133,.F.); #280343=ORIENTED_EDGE('',*,*,#207137,.F.); #280344=ORIENTED_EDGE('',*,*,#207140,.F.); #280345=ORIENTED_EDGE('',*,*,#207143,.F.); #280346=ORIENTED_EDGE('',*,*,#207146,.F.); #280347=ORIENTED_EDGE('',*,*,#207149,.F.); #280348=ORIENTED_EDGE('',*,*,#207152,.F.); #280349=ORIENTED_EDGE('',*,*,#207155,.F.); #280350=ORIENTED_EDGE('',*,*,#207158,.F.); #280351=ORIENTED_EDGE('',*,*,#207161,.F.); #280352=ORIENTED_EDGE('',*,*,#207164,.F.); #280353=ORIENTED_EDGE('',*,*,#207167,.F.); #280354=ORIENTED_EDGE('',*,*,#207130,.T.); #280355=ORIENTED_EDGE('',*,*,#207172,.F.); #280356=ORIENTED_EDGE('',*,*,#207173,.T.); #280357=ORIENTED_EDGE('',*,*,#207174,.F.); #280358=ORIENTED_EDGE('',*,*,#207173,.F.); #280359=ORIENTED_EDGE('',*,*,#207175,.F.); #280360=ORIENTED_EDGE('',*,*,#207176,.T.); #280361=ORIENTED_EDGE('',*,*,#207177,.F.); #280362=ORIENTED_EDGE('',*,*,#207176,.F.); #280363=ORIENTED_EDGE('',*,*,#207178,.T.); #280364=ORIENTED_EDGE('',*,*,#207179,.T.); #280365=ORIENTED_EDGE('',*,*,#207180,.F.); #280366=ORIENTED_EDGE('',*,*,#207181,.F.); #280367=ORIENTED_EDGE('',*,*,#207182,.T.); #280368=ORIENTED_EDGE('',*,*,#207181,.T.); #280369=ORIENTED_EDGE('',*,*,#207183,.F.); #280370=ORIENTED_EDGE('',*,*,#207184,.F.); #280371=ORIENTED_EDGE('',*,*,#207185,.T.); #280372=ORIENTED_EDGE('',*,*,#207184,.T.); #280373=ORIENTED_EDGE('',*,*,#207186,.F.); #280374=ORIENTED_EDGE('',*,*,#207187,.F.); #280375=ORIENTED_EDGE('',*,*,#207188,.T.); #280376=ORIENTED_EDGE('',*,*,#207187,.T.); #280377=ORIENTED_EDGE('',*,*,#207189,.F.); #280378=ORIENTED_EDGE('',*,*,#207190,.F.); #280379=ORIENTED_EDGE('',*,*,#207191,.T.); #280380=ORIENTED_EDGE('',*,*,#207190,.T.); #280381=ORIENTED_EDGE('',*,*,#207192,.F.); #280382=ORIENTED_EDGE('',*,*,#207193,.F.); #280383=ORIENTED_EDGE('',*,*,#207194,.T.); #280384=ORIENTED_EDGE('',*,*,#207193,.T.); #280385=ORIENTED_EDGE('',*,*,#207195,.F.); #280386=ORIENTED_EDGE('',*,*,#207196,.F.); #280387=ORIENTED_EDGE('',*,*,#207197,.T.); #280388=ORIENTED_EDGE('',*,*,#207196,.T.); #280389=ORIENTED_EDGE('',*,*,#207198,.F.); #280390=ORIENTED_EDGE('',*,*,#207199,.F.); #280391=ORIENTED_EDGE('',*,*,#207200,.T.); #280392=ORIENTED_EDGE('',*,*,#207199,.T.); #280393=ORIENTED_EDGE('',*,*,#207201,.F.); #280394=ORIENTED_EDGE('',*,*,#207202,.F.); #280395=ORIENTED_EDGE('',*,*,#207203,.T.); #280396=ORIENTED_EDGE('',*,*,#207202,.T.); #280397=ORIENTED_EDGE('',*,*,#207204,.F.); #280398=ORIENTED_EDGE('',*,*,#207205,.F.); #280399=ORIENTED_EDGE('',*,*,#207206,.T.); #280400=ORIENTED_EDGE('',*,*,#207205,.T.); #280401=ORIENTED_EDGE('',*,*,#207207,.F.); #280402=ORIENTED_EDGE('',*,*,#207208,.F.); #280403=ORIENTED_EDGE('',*,*,#207209,.T.); #280404=ORIENTED_EDGE('',*,*,#207208,.T.); #280405=ORIENTED_EDGE('',*,*,#207210,.F.); #280406=ORIENTED_EDGE('',*,*,#207211,.F.); #280407=ORIENTED_EDGE('',*,*,#207212,.T.); #280408=ORIENTED_EDGE('',*,*,#207211,.T.); #280409=ORIENTED_EDGE('',*,*,#207213,.F.); #280410=ORIENTED_EDGE('',*,*,#207214,.F.); #280411=ORIENTED_EDGE('',*,*,#207215,.T.); #280412=ORIENTED_EDGE('',*,*,#207214,.T.); #280413=ORIENTED_EDGE('',*,*,#207216,.F.); #280414=ORIENTED_EDGE('',*,*,#207217,.F.); #280415=ORIENTED_EDGE('',*,*,#207218,.T.); #280416=ORIENTED_EDGE('',*,*,#207217,.T.); #280417=ORIENTED_EDGE('',*,*,#207219,.F.); #280418=ORIENTED_EDGE('',*,*,#207220,.F.); #280419=ORIENTED_EDGE('',*,*,#207221,.T.); #280420=ORIENTED_EDGE('',*,*,#207220,.T.); #280421=ORIENTED_EDGE('',*,*,#207222,.F.); #280422=ORIENTED_EDGE('',*,*,#207223,.F.); #280423=ORIENTED_EDGE('',*,*,#207224,.T.); #280424=ORIENTED_EDGE('',*,*,#207223,.T.); #280425=ORIENTED_EDGE('',*,*,#207225,.F.); #280426=ORIENTED_EDGE('',*,*,#207226,.F.); #280427=ORIENTED_EDGE('',*,*,#207227,.T.); #280428=ORIENTED_EDGE('',*,*,#207226,.T.); #280429=ORIENTED_EDGE('',*,*,#207228,.F.); #280430=ORIENTED_EDGE('',*,*,#207229,.F.); #280431=ORIENTED_EDGE('',*,*,#207230,.T.); #280432=ORIENTED_EDGE('',*,*,#207229,.T.); #280433=ORIENTED_EDGE('',*,*,#207231,.F.); #280434=ORIENTED_EDGE('',*,*,#207232,.F.); #280435=ORIENTED_EDGE('',*,*,#207233,.T.); #280436=ORIENTED_EDGE('',*,*,#207232,.T.); #280437=ORIENTED_EDGE('',*,*,#207234,.F.); #280438=ORIENTED_EDGE('',*,*,#207235,.F.); #280439=ORIENTED_EDGE('',*,*,#207236,.T.); #280440=ORIENTED_EDGE('',*,*,#207235,.T.); #280441=ORIENTED_EDGE('',*,*,#207237,.F.); #280442=ORIENTED_EDGE('',*,*,#207238,.F.); #280443=ORIENTED_EDGE('',*,*,#207239,.T.); #280444=ORIENTED_EDGE('',*,*,#207238,.T.); #280445=ORIENTED_EDGE('',*,*,#207240,.F.); #280446=ORIENTED_EDGE('',*,*,#207241,.F.); #280447=ORIENTED_EDGE('',*,*,#207242,.T.); #280448=ORIENTED_EDGE('',*,*,#207241,.T.); #280449=ORIENTED_EDGE('',*,*,#207243,.F.); #280450=ORIENTED_EDGE('',*,*,#207244,.F.); #280451=ORIENTED_EDGE('',*,*,#207245,.T.); #280452=ORIENTED_EDGE('',*,*,#207244,.T.); #280453=ORIENTED_EDGE('',*,*,#207246,.F.); #280454=ORIENTED_EDGE('',*,*,#207247,.F.); #280455=ORIENTED_EDGE('',*,*,#207248,.T.); #280456=ORIENTED_EDGE('',*,*,#207247,.T.); #280457=ORIENTED_EDGE('',*,*,#207249,.F.); #280458=ORIENTED_EDGE('',*,*,#207250,.F.); #280459=ORIENTED_EDGE('',*,*,#207251,.T.); #280460=ORIENTED_EDGE('',*,*,#207250,.T.); #280461=ORIENTED_EDGE('',*,*,#207252,.F.); #280462=ORIENTED_EDGE('',*,*,#207253,.F.); #280463=ORIENTED_EDGE('',*,*,#207254,.T.); #280464=ORIENTED_EDGE('',*,*,#207253,.T.); #280465=ORIENTED_EDGE('',*,*,#207255,.F.); #280466=ORIENTED_EDGE('',*,*,#207256,.F.); #280467=ORIENTED_EDGE('',*,*,#207257,.T.); #280468=ORIENTED_EDGE('',*,*,#207256,.T.); #280469=ORIENTED_EDGE('',*,*,#207258,.F.); #280470=ORIENTED_EDGE('',*,*,#207259,.F.); #280471=ORIENTED_EDGE('',*,*,#207260,.T.); #280472=ORIENTED_EDGE('',*,*,#207259,.T.); #280473=ORIENTED_EDGE('',*,*,#207261,.F.); #280474=ORIENTED_EDGE('',*,*,#207262,.F.); #280475=ORIENTED_EDGE('',*,*,#207263,.T.); #280476=ORIENTED_EDGE('',*,*,#207262,.T.); #280477=ORIENTED_EDGE('',*,*,#207264,.F.); #280478=ORIENTED_EDGE('',*,*,#207265,.F.); #280479=ORIENTED_EDGE('',*,*,#207266,.T.); #280480=ORIENTED_EDGE('',*,*,#207265,.T.); #280481=ORIENTED_EDGE('',*,*,#207267,.F.); #280482=ORIENTED_EDGE('',*,*,#207268,.F.); #280483=ORIENTED_EDGE('',*,*,#207269,.T.); #280484=ORIENTED_EDGE('',*,*,#207268,.T.); #280485=ORIENTED_EDGE('',*,*,#207270,.F.); #280486=ORIENTED_EDGE('',*,*,#207271,.F.); #280487=ORIENTED_EDGE('',*,*,#207272,.T.); #280488=ORIENTED_EDGE('',*,*,#207271,.T.); #280489=ORIENTED_EDGE('',*,*,#207273,.F.); #280490=ORIENTED_EDGE('',*,*,#207274,.F.); #280491=ORIENTED_EDGE('',*,*,#207275,.T.); #280492=ORIENTED_EDGE('',*,*,#207274,.T.); #280493=ORIENTED_EDGE('',*,*,#207276,.F.); #280494=ORIENTED_EDGE('',*,*,#207277,.F.); #280495=ORIENTED_EDGE('',*,*,#207278,.T.); #280496=ORIENTED_EDGE('',*,*,#207277,.T.); #280497=ORIENTED_EDGE('',*,*,#207279,.F.); #280498=ORIENTED_EDGE('',*,*,#207280,.F.); #280499=ORIENTED_EDGE('',*,*,#207281,.T.); #280500=ORIENTED_EDGE('',*,*,#207280,.T.); #280501=ORIENTED_EDGE('',*,*,#207282,.F.); #280502=ORIENTED_EDGE('',*,*,#207283,.F.); #280503=ORIENTED_EDGE('',*,*,#207284,.T.); #280504=ORIENTED_EDGE('',*,*,#207283,.T.); #280505=ORIENTED_EDGE('',*,*,#207285,.F.); #280506=ORIENTED_EDGE('',*,*,#207286,.F.); #280507=ORIENTED_EDGE('',*,*,#207287,.T.); #280508=ORIENTED_EDGE('',*,*,#207286,.T.); #280509=ORIENTED_EDGE('',*,*,#207288,.F.); #280510=ORIENTED_EDGE('',*,*,#207289,.F.); #280511=ORIENTED_EDGE('',*,*,#207290,.T.); #280512=ORIENTED_EDGE('',*,*,#207289,.T.); #280513=ORIENTED_EDGE('',*,*,#207291,.F.); #280514=ORIENTED_EDGE('',*,*,#207292,.F.); #280515=ORIENTED_EDGE('',*,*,#207293,.T.); #280516=ORIENTED_EDGE('',*,*,#207292,.T.); #280517=ORIENTED_EDGE('',*,*,#207294,.F.); #280518=ORIENTED_EDGE('',*,*,#207295,.F.); #280519=ORIENTED_EDGE('',*,*,#207296,.T.); #280520=ORIENTED_EDGE('',*,*,#207295,.T.); #280521=ORIENTED_EDGE('',*,*,#207297,.F.); #280522=ORIENTED_EDGE('',*,*,#207298,.F.); #280523=ORIENTED_EDGE('',*,*,#207299,.T.); #280524=ORIENTED_EDGE('',*,*,#207298,.T.); #280525=ORIENTED_EDGE('',*,*,#207300,.F.); #280526=ORIENTED_EDGE('',*,*,#207301,.F.); #280527=ORIENTED_EDGE('',*,*,#207302,.T.); #280528=ORIENTED_EDGE('',*,*,#207301,.T.); #280529=ORIENTED_EDGE('',*,*,#207303,.F.); #280530=ORIENTED_EDGE('',*,*,#207304,.F.); #280531=ORIENTED_EDGE('',*,*,#207305,.T.); #280532=ORIENTED_EDGE('',*,*,#207304,.T.); #280533=ORIENTED_EDGE('',*,*,#207306,.F.); #280534=ORIENTED_EDGE('',*,*,#207307,.F.); #280535=ORIENTED_EDGE('',*,*,#207308,.T.); #280536=ORIENTED_EDGE('',*,*,#207307,.T.); #280537=ORIENTED_EDGE('',*,*,#207309,.F.); #280538=ORIENTED_EDGE('',*,*,#207179,.F.); #280539=ORIENTED_EDGE('',*,*,#207309,.T.); #280540=ORIENTED_EDGE('',*,*,#207306,.T.); #280541=ORIENTED_EDGE('',*,*,#207303,.T.); #280542=ORIENTED_EDGE('',*,*,#207300,.T.); #280543=ORIENTED_EDGE('',*,*,#207297,.T.); #280544=ORIENTED_EDGE('',*,*,#207294,.T.); #280545=ORIENTED_EDGE('',*,*,#207291,.T.); #280546=ORIENTED_EDGE('',*,*,#207288,.T.); #280547=ORIENTED_EDGE('',*,*,#207285,.T.); #280548=ORIENTED_EDGE('',*,*,#207282,.T.); #280549=ORIENTED_EDGE('',*,*,#207279,.T.); #280550=ORIENTED_EDGE('',*,*,#207276,.T.); #280551=ORIENTED_EDGE('',*,*,#207273,.T.); #280552=ORIENTED_EDGE('',*,*,#207270,.T.); #280553=ORIENTED_EDGE('',*,*,#207267,.T.); #280554=ORIENTED_EDGE('',*,*,#207264,.T.); #280555=ORIENTED_EDGE('',*,*,#207261,.T.); #280556=ORIENTED_EDGE('',*,*,#207258,.T.); #280557=ORIENTED_EDGE('',*,*,#207255,.T.); #280558=ORIENTED_EDGE('',*,*,#207252,.T.); #280559=ORIENTED_EDGE('',*,*,#207249,.T.); #280560=ORIENTED_EDGE('',*,*,#207246,.T.); #280561=ORIENTED_EDGE('',*,*,#207243,.T.); #280562=ORIENTED_EDGE('',*,*,#207240,.T.); #280563=ORIENTED_EDGE('',*,*,#207237,.T.); #280564=ORIENTED_EDGE('',*,*,#207234,.T.); #280565=ORIENTED_EDGE('',*,*,#207231,.T.); #280566=ORIENTED_EDGE('',*,*,#207228,.T.); #280567=ORIENTED_EDGE('',*,*,#207225,.T.); #280568=ORIENTED_EDGE('',*,*,#207222,.T.); #280569=ORIENTED_EDGE('',*,*,#207219,.T.); #280570=ORIENTED_EDGE('',*,*,#207216,.T.); #280571=ORIENTED_EDGE('',*,*,#207213,.T.); #280572=ORIENTED_EDGE('',*,*,#207210,.T.); #280573=ORIENTED_EDGE('',*,*,#207207,.T.); #280574=ORIENTED_EDGE('',*,*,#207204,.T.); #280575=ORIENTED_EDGE('',*,*,#207201,.T.); #280576=ORIENTED_EDGE('',*,*,#207198,.T.); #280577=ORIENTED_EDGE('',*,*,#207195,.T.); #280578=ORIENTED_EDGE('',*,*,#207192,.T.); #280579=ORIENTED_EDGE('',*,*,#207189,.T.); #280580=ORIENTED_EDGE('',*,*,#207186,.T.); #280581=ORIENTED_EDGE('',*,*,#207183,.T.); #280582=ORIENTED_EDGE('',*,*,#207180,.T.); #280583=ORIENTED_EDGE('',*,*,#207174,.T.); #280584=ORIENTED_EDGE('',*,*,#207177,.T.); #280585=ORIENTED_EDGE('',*,*,#207308,.F.); #280586=ORIENTED_EDGE('',*,*,#207178,.F.); #280587=ORIENTED_EDGE('',*,*,#207182,.F.); #280588=ORIENTED_EDGE('',*,*,#207185,.F.); #280589=ORIENTED_EDGE('',*,*,#207188,.F.); #280590=ORIENTED_EDGE('',*,*,#207191,.F.); #280591=ORIENTED_EDGE('',*,*,#207194,.F.); #280592=ORIENTED_EDGE('',*,*,#207197,.F.); #280593=ORIENTED_EDGE('',*,*,#207200,.F.); #280594=ORIENTED_EDGE('',*,*,#207203,.F.); #280595=ORIENTED_EDGE('',*,*,#207206,.F.); #280596=ORIENTED_EDGE('',*,*,#207209,.F.); #280597=ORIENTED_EDGE('',*,*,#207212,.F.); #280598=ORIENTED_EDGE('',*,*,#207215,.F.); #280599=ORIENTED_EDGE('',*,*,#207218,.F.); #280600=ORIENTED_EDGE('',*,*,#207221,.F.); #280601=ORIENTED_EDGE('',*,*,#207224,.F.); #280602=ORIENTED_EDGE('',*,*,#207227,.F.); #280603=ORIENTED_EDGE('',*,*,#207230,.F.); #280604=ORIENTED_EDGE('',*,*,#207233,.F.); #280605=ORIENTED_EDGE('',*,*,#207236,.F.); #280606=ORIENTED_EDGE('',*,*,#207239,.F.); #280607=ORIENTED_EDGE('',*,*,#207242,.F.); #280608=ORIENTED_EDGE('',*,*,#207245,.F.); #280609=ORIENTED_EDGE('',*,*,#207248,.F.); #280610=ORIENTED_EDGE('',*,*,#207251,.F.); #280611=ORIENTED_EDGE('',*,*,#207254,.F.); #280612=ORIENTED_EDGE('',*,*,#207257,.F.); #280613=ORIENTED_EDGE('',*,*,#207260,.F.); #280614=ORIENTED_EDGE('',*,*,#207263,.F.); #280615=ORIENTED_EDGE('',*,*,#207266,.F.); #280616=ORIENTED_EDGE('',*,*,#207269,.F.); #280617=ORIENTED_EDGE('',*,*,#207272,.F.); #280618=ORIENTED_EDGE('',*,*,#207275,.F.); #280619=ORIENTED_EDGE('',*,*,#207278,.F.); #280620=ORIENTED_EDGE('',*,*,#207281,.F.); #280621=ORIENTED_EDGE('',*,*,#207284,.F.); #280622=ORIENTED_EDGE('',*,*,#207287,.F.); #280623=ORIENTED_EDGE('',*,*,#207290,.F.); #280624=ORIENTED_EDGE('',*,*,#207293,.F.); #280625=ORIENTED_EDGE('',*,*,#207296,.F.); #280626=ORIENTED_EDGE('',*,*,#207299,.F.); #280627=ORIENTED_EDGE('',*,*,#207302,.F.); #280628=ORIENTED_EDGE('',*,*,#207305,.F.); #280629=ORIENTED_EDGE('',*,*,#207172,.T.); #280630=ORIENTED_EDGE('',*,*,#207175,.T.); #280631=ORIENTED_EDGE('',*,*,#207310,.F.); #280632=ORIENTED_EDGE('',*,*,#207311,.T.); #280633=ORIENTED_EDGE('',*,*,#207312,.F.); #280634=ORIENTED_EDGE('',*,*,#207311,.F.); #280635=ORIENTED_EDGE('',*,*,#207313,.F.); #280636=ORIENTED_EDGE('',*,*,#207314,.T.); #280637=ORIENTED_EDGE('',*,*,#207315,.F.); #280638=ORIENTED_EDGE('',*,*,#207314,.F.); #280639=ORIENTED_EDGE('',*,*,#207316,.T.); #280640=ORIENTED_EDGE('',*,*,#207317,.T.); #280641=ORIENTED_EDGE('',*,*,#207318,.F.); #280642=ORIENTED_EDGE('',*,*,#207319,.F.); #280643=ORIENTED_EDGE('',*,*,#207320,.T.); #280644=ORIENTED_EDGE('',*,*,#207319,.T.); #280645=ORIENTED_EDGE('',*,*,#207321,.F.); #280646=ORIENTED_EDGE('',*,*,#207322,.F.); #280647=ORIENTED_EDGE('',*,*,#207323,.T.); #280648=ORIENTED_EDGE('',*,*,#207322,.T.); #280649=ORIENTED_EDGE('',*,*,#207324,.F.); #280650=ORIENTED_EDGE('',*,*,#207325,.F.); #280651=ORIENTED_EDGE('',*,*,#207326,.T.); #280652=ORIENTED_EDGE('',*,*,#207325,.T.); #280653=ORIENTED_EDGE('',*,*,#207327,.F.); #280654=ORIENTED_EDGE('',*,*,#207328,.F.); #280655=ORIENTED_EDGE('',*,*,#207329,.T.); #280656=ORIENTED_EDGE('',*,*,#207328,.T.); #280657=ORIENTED_EDGE('',*,*,#207330,.F.); #280658=ORIENTED_EDGE('',*,*,#207331,.F.); #280659=ORIENTED_EDGE('',*,*,#207332,.T.); #280660=ORIENTED_EDGE('',*,*,#207331,.T.); #280661=ORIENTED_EDGE('',*,*,#207333,.F.); #280662=ORIENTED_EDGE('',*,*,#207334,.F.); #280663=ORIENTED_EDGE('',*,*,#207335,.T.); #280664=ORIENTED_EDGE('',*,*,#207334,.T.); #280665=ORIENTED_EDGE('',*,*,#207336,.F.); #280666=ORIENTED_EDGE('',*,*,#207337,.F.); #280667=ORIENTED_EDGE('',*,*,#207338,.T.); #280668=ORIENTED_EDGE('',*,*,#207337,.T.); #280669=ORIENTED_EDGE('',*,*,#207339,.F.); #280670=ORIENTED_EDGE('',*,*,#207340,.F.); #280671=ORIENTED_EDGE('',*,*,#207341,.T.); #280672=ORIENTED_EDGE('',*,*,#207340,.T.); #280673=ORIENTED_EDGE('',*,*,#207342,.F.); #280674=ORIENTED_EDGE('',*,*,#207343,.F.); #280675=ORIENTED_EDGE('',*,*,#207344,.T.); #280676=ORIENTED_EDGE('',*,*,#207343,.T.); #280677=ORIENTED_EDGE('',*,*,#207345,.F.); #280678=ORIENTED_EDGE('',*,*,#207346,.F.); #280679=ORIENTED_EDGE('',*,*,#207347,.T.); #280680=ORIENTED_EDGE('',*,*,#207346,.T.); #280681=ORIENTED_EDGE('',*,*,#207348,.F.); #280682=ORIENTED_EDGE('',*,*,#207317,.F.); #280683=ORIENTED_EDGE('',*,*,#207348,.T.); #280684=ORIENTED_EDGE('',*,*,#207345,.T.); #280685=ORIENTED_EDGE('',*,*,#207342,.T.); #280686=ORIENTED_EDGE('',*,*,#207339,.T.); #280687=ORIENTED_EDGE('',*,*,#207336,.T.); #280688=ORIENTED_EDGE('',*,*,#207333,.T.); #280689=ORIENTED_EDGE('',*,*,#207330,.T.); #280690=ORIENTED_EDGE('',*,*,#207327,.T.); #280691=ORIENTED_EDGE('',*,*,#207324,.T.); #280692=ORIENTED_EDGE('',*,*,#207321,.T.); #280693=ORIENTED_EDGE('',*,*,#207318,.T.); #280694=ORIENTED_EDGE('',*,*,#207312,.T.); #280695=ORIENTED_EDGE('',*,*,#207315,.T.); #280696=ORIENTED_EDGE('',*,*,#207347,.F.); #280697=ORIENTED_EDGE('',*,*,#207316,.F.); #280698=ORIENTED_EDGE('',*,*,#207320,.F.); #280699=ORIENTED_EDGE('',*,*,#207323,.F.); #280700=ORIENTED_EDGE('',*,*,#207326,.F.); #280701=ORIENTED_EDGE('',*,*,#207329,.F.); #280702=ORIENTED_EDGE('',*,*,#207332,.F.); #280703=ORIENTED_EDGE('',*,*,#207335,.F.); #280704=ORIENTED_EDGE('',*,*,#207338,.F.); #280705=ORIENTED_EDGE('',*,*,#207341,.F.); #280706=ORIENTED_EDGE('',*,*,#207344,.F.); #280707=ORIENTED_EDGE('',*,*,#207310,.T.); #280708=ORIENTED_EDGE('',*,*,#207313,.T.); #280709=ORIENTED_EDGE('',*,*,#207349,.F.); #280710=ORIENTED_EDGE('',*,*,#207350,.T.); #280711=ORIENTED_EDGE('',*,*,#207351,.F.); #280712=ORIENTED_EDGE('',*,*,#207350,.F.); #280713=ORIENTED_EDGE('',*,*,#207352,.T.); #280714=ORIENTED_EDGE('',*,*,#207353,.T.); #280715=ORIENTED_EDGE('',*,*,#207354,.F.); #280716=ORIENTED_EDGE('',*,*,#207355,.F.); #280717=ORIENTED_EDGE('',*,*,#207356,.T.); #280718=ORIENTED_EDGE('',*,*,#207355,.T.); #280719=ORIENTED_EDGE('',*,*,#207357,.F.); #280720=ORIENTED_EDGE('',*,*,#207358,.F.); #280721=ORIENTED_EDGE('',*,*,#207359,.T.); #280722=ORIENTED_EDGE('',*,*,#207358,.T.); #280723=ORIENTED_EDGE('',*,*,#207360,.F.); #280724=ORIENTED_EDGE('',*,*,#207361,.F.); #280725=ORIENTED_EDGE('',*,*,#207362,.T.); #280726=ORIENTED_EDGE('',*,*,#207361,.T.); #280727=ORIENTED_EDGE('',*,*,#207363,.F.); #280728=ORIENTED_EDGE('',*,*,#207364,.F.); #280729=ORIENTED_EDGE('',*,*,#207365,.T.); #280730=ORIENTED_EDGE('',*,*,#207364,.T.); #280731=ORIENTED_EDGE('',*,*,#207366,.F.); #280732=ORIENTED_EDGE('',*,*,#207367,.F.); #280733=ORIENTED_EDGE('',*,*,#207368,.T.); #280734=ORIENTED_EDGE('',*,*,#207367,.T.); #280735=ORIENTED_EDGE('',*,*,#207369,.F.); #280736=ORIENTED_EDGE('',*,*,#207370,.F.); #280737=ORIENTED_EDGE('',*,*,#207371,.T.); #280738=ORIENTED_EDGE('',*,*,#207370,.T.); #280739=ORIENTED_EDGE('',*,*,#207372,.F.); #280740=ORIENTED_EDGE('',*,*,#207373,.F.); #280741=ORIENTED_EDGE('',*,*,#207374,.T.); #280742=ORIENTED_EDGE('',*,*,#207373,.T.); #280743=ORIENTED_EDGE('',*,*,#207375,.F.); #280744=ORIENTED_EDGE('',*,*,#207376,.F.); #280745=ORIENTED_EDGE('',*,*,#207377,.T.); #280746=ORIENTED_EDGE('',*,*,#207376,.T.); #280747=ORIENTED_EDGE('',*,*,#207378,.F.); #280748=ORIENTED_EDGE('',*,*,#207379,.F.); #280749=ORIENTED_EDGE('',*,*,#207380,.T.); #280750=ORIENTED_EDGE('',*,*,#207379,.T.); #280751=ORIENTED_EDGE('',*,*,#207381,.F.); #280752=ORIENTED_EDGE('',*,*,#207382,.F.); #280753=ORIENTED_EDGE('',*,*,#207383,.T.); #280754=ORIENTED_EDGE('',*,*,#207382,.T.); #280755=ORIENTED_EDGE('',*,*,#207384,.F.); #280756=ORIENTED_EDGE('',*,*,#207385,.F.); #280757=ORIENTED_EDGE('',*,*,#207386,.T.); #280758=ORIENTED_EDGE('',*,*,#207385,.T.); #280759=ORIENTED_EDGE('',*,*,#207387,.F.); #280760=ORIENTED_EDGE('',*,*,#207388,.F.); #280761=ORIENTED_EDGE('',*,*,#207389,.T.); #280762=ORIENTED_EDGE('',*,*,#207388,.T.); #280763=ORIENTED_EDGE('',*,*,#207390,.F.); #280764=ORIENTED_EDGE('',*,*,#207391,.F.); #280765=ORIENTED_EDGE('',*,*,#207392,.T.); #280766=ORIENTED_EDGE('',*,*,#207391,.T.); #280767=ORIENTED_EDGE('',*,*,#207393,.F.); #280768=ORIENTED_EDGE('',*,*,#207394,.F.); #280769=ORIENTED_EDGE('',*,*,#207395,.T.); #280770=ORIENTED_EDGE('',*,*,#207394,.T.); #280771=ORIENTED_EDGE('',*,*,#207396,.F.); #280772=ORIENTED_EDGE('',*,*,#207397,.F.); #280773=ORIENTED_EDGE('',*,*,#207398,.T.); #280774=ORIENTED_EDGE('',*,*,#207397,.T.); #280775=ORIENTED_EDGE('',*,*,#207399,.F.); #280776=ORIENTED_EDGE('',*,*,#207400,.F.); #280777=ORIENTED_EDGE('',*,*,#207401,.T.); #280778=ORIENTED_EDGE('',*,*,#207400,.T.); #280779=ORIENTED_EDGE('',*,*,#207402,.F.); #280780=ORIENTED_EDGE('',*,*,#207403,.F.); #280781=ORIENTED_EDGE('',*,*,#207404,.T.); #280782=ORIENTED_EDGE('',*,*,#207403,.T.); #280783=ORIENTED_EDGE('',*,*,#207405,.F.); #280784=ORIENTED_EDGE('',*,*,#207406,.F.); #280785=ORIENTED_EDGE('',*,*,#207407,.T.); #280786=ORIENTED_EDGE('',*,*,#207406,.T.); #280787=ORIENTED_EDGE('',*,*,#207408,.F.); #280788=ORIENTED_EDGE('',*,*,#207409,.F.); #280789=ORIENTED_EDGE('',*,*,#207410,.T.); #280790=ORIENTED_EDGE('',*,*,#207409,.T.); #280791=ORIENTED_EDGE('',*,*,#207411,.F.); #280792=ORIENTED_EDGE('',*,*,#207412,.F.); #280793=ORIENTED_EDGE('',*,*,#207413,.T.); #280794=ORIENTED_EDGE('',*,*,#207412,.T.); #280795=ORIENTED_EDGE('',*,*,#207414,.F.); #280796=ORIENTED_EDGE('',*,*,#207415,.F.); #280797=ORIENTED_EDGE('',*,*,#207416,.T.); #280798=ORIENTED_EDGE('',*,*,#207415,.T.); #280799=ORIENTED_EDGE('',*,*,#207417,.F.); #280800=ORIENTED_EDGE('',*,*,#207418,.F.); #280801=ORIENTED_EDGE('',*,*,#207419,.T.); #280802=ORIENTED_EDGE('',*,*,#207418,.T.); #280803=ORIENTED_EDGE('',*,*,#207420,.F.); #280804=ORIENTED_EDGE('',*,*,#207421,.F.); #280805=ORIENTED_EDGE('',*,*,#207422,.T.); #280806=ORIENTED_EDGE('',*,*,#207421,.T.); #280807=ORIENTED_EDGE('',*,*,#207423,.F.); #280808=ORIENTED_EDGE('',*,*,#207353,.F.); #280809=ORIENTED_EDGE('',*,*,#207423,.T.); #280810=ORIENTED_EDGE('',*,*,#207420,.T.); #280811=ORIENTED_EDGE('',*,*,#207417,.T.); #280812=ORIENTED_EDGE('',*,*,#207414,.T.); #280813=ORIENTED_EDGE('',*,*,#207411,.T.); #280814=ORIENTED_EDGE('',*,*,#207408,.T.); #280815=ORIENTED_EDGE('',*,*,#207405,.T.); #280816=ORIENTED_EDGE('',*,*,#207402,.T.); #280817=ORIENTED_EDGE('',*,*,#207399,.T.); #280818=ORIENTED_EDGE('',*,*,#207396,.T.); #280819=ORIENTED_EDGE('',*,*,#207393,.T.); #280820=ORIENTED_EDGE('',*,*,#207390,.T.); #280821=ORIENTED_EDGE('',*,*,#207387,.T.); #280822=ORIENTED_EDGE('',*,*,#207384,.T.); #280823=ORIENTED_EDGE('',*,*,#207381,.T.); #280824=ORIENTED_EDGE('',*,*,#207378,.T.); #280825=ORIENTED_EDGE('',*,*,#207375,.T.); #280826=ORIENTED_EDGE('',*,*,#207372,.T.); #280827=ORIENTED_EDGE('',*,*,#207369,.T.); #280828=ORIENTED_EDGE('',*,*,#207366,.T.); #280829=ORIENTED_EDGE('',*,*,#207363,.T.); #280830=ORIENTED_EDGE('',*,*,#207360,.T.); #280831=ORIENTED_EDGE('',*,*,#207357,.T.); #280832=ORIENTED_EDGE('',*,*,#207354,.T.); #280833=ORIENTED_EDGE('',*,*,#207351,.T.); #280834=ORIENTED_EDGE('',*,*,#207422,.F.); #280835=ORIENTED_EDGE('',*,*,#207352,.F.); #280836=ORIENTED_EDGE('',*,*,#207356,.F.); #280837=ORIENTED_EDGE('',*,*,#207359,.F.); #280838=ORIENTED_EDGE('',*,*,#207362,.F.); #280839=ORIENTED_EDGE('',*,*,#207365,.F.); #280840=ORIENTED_EDGE('',*,*,#207368,.F.); #280841=ORIENTED_EDGE('',*,*,#207371,.F.); #280842=ORIENTED_EDGE('',*,*,#207374,.F.); #280843=ORIENTED_EDGE('',*,*,#207377,.F.); #280844=ORIENTED_EDGE('',*,*,#207380,.F.); #280845=ORIENTED_EDGE('',*,*,#207383,.F.); #280846=ORIENTED_EDGE('',*,*,#207386,.F.); #280847=ORIENTED_EDGE('',*,*,#207389,.F.); #280848=ORIENTED_EDGE('',*,*,#207392,.F.); #280849=ORIENTED_EDGE('',*,*,#207395,.F.); #280850=ORIENTED_EDGE('',*,*,#207398,.F.); #280851=ORIENTED_EDGE('',*,*,#207401,.F.); #280852=ORIENTED_EDGE('',*,*,#207404,.F.); #280853=ORIENTED_EDGE('',*,*,#207407,.F.); #280854=ORIENTED_EDGE('',*,*,#207410,.F.); #280855=ORIENTED_EDGE('',*,*,#207413,.F.); #280856=ORIENTED_EDGE('',*,*,#207416,.F.); #280857=ORIENTED_EDGE('',*,*,#207419,.F.); #280858=ORIENTED_EDGE('',*,*,#207349,.T.); #280859=ORIENTED_EDGE('',*,*,#207424,.F.); #280860=ORIENTED_EDGE('',*,*,#207425,.T.); #280861=ORIENTED_EDGE('',*,*,#207426,.F.); #280862=ORIENTED_EDGE('',*,*,#207425,.F.); #280863=ORIENTED_EDGE('',*,*,#207427,.T.); #280864=ORIENTED_EDGE('',*,*,#207428,.T.); #280865=ORIENTED_EDGE('',*,*,#207429,.F.); #280866=ORIENTED_EDGE('',*,*,#207430,.F.); #280867=ORIENTED_EDGE('',*,*,#207431,.T.); #280868=ORIENTED_EDGE('',*,*,#207430,.T.); #280869=ORIENTED_EDGE('',*,*,#207432,.F.); #280870=ORIENTED_EDGE('',*,*,#207433,.F.); #280871=ORIENTED_EDGE('',*,*,#207434,.T.); #280872=ORIENTED_EDGE('',*,*,#207433,.T.); #280873=ORIENTED_EDGE('',*,*,#207435,.F.); #280874=ORIENTED_EDGE('',*,*,#207436,.F.); #280875=ORIENTED_EDGE('',*,*,#207437,.T.); #280876=ORIENTED_EDGE('',*,*,#207436,.T.); #280877=ORIENTED_EDGE('',*,*,#207438,.F.); #280878=ORIENTED_EDGE('',*,*,#207439,.F.); #280879=ORIENTED_EDGE('',*,*,#207440,.T.); #280880=ORIENTED_EDGE('',*,*,#207439,.T.); #280881=ORIENTED_EDGE('',*,*,#207441,.F.); #280882=ORIENTED_EDGE('',*,*,#207442,.F.); #280883=ORIENTED_EDGE('',*,*,#207443,.T.); #280884=ORIENTED_EDGE('',*,*,#207442,.T.); #280885=ORIENTED_EDGE('',*,*,#207444,.F.); #280886=ORIENTED_EDGE('',*,*,#207445,.F.); #280887=ORIENTED_EDGE('',*,*,#207446,.T.); #280888=ORIENTED_EDGE('',*,*,#207445,.T.); #280889=ORIENTED_EDGE('',*,*,#207447,.F.); #280890=ORIENTED_EDGE('',*,*,#207448,.F.); #280891=ORIENTED_EDGE('',*,*,#207449,.T.); #280892=ORIENTED_EDGE('',*,*,#207448,.T.); #280893=ORIENTED_EDGE('',*,*,#207450,.F.); #280894=ORIENTED_EDGE('',*,*,#207451,.F.); #280895=ORIENTED_EDGE('',*,*,#207452,.T.); #280896=ORIENTED_EDGE('',*,*,#207451,.T.); #280897=ORIENTED_EDGE('',*,*,#207453,.F.); #280898=ORIENTED_EDGE('',*,*,#207454,.F.); #280899=ORIENTED_EDGE('',*,*,#207455,.T.); #280900=ORIENTED_EDGE('',*,*,#207454,.T.); #280901=ORIENTED_EDGE('',*,*,#207456,.F.); #280902=ORIENTED_EDGE('',*,*,#207457,.F.); #280903=ORIENTED_EDGE('',*,*,#207458,.T.); #280904=ORIENTED_EDGE('',*,*,#207457,.T.); #280905=ORIENTED_EDGE('',*,*,#207459,.F.); #280906=ORIENTED_EDGE('',*,*,#207460,.F.); #280907=ORIENTED_EDGE('',*,*,#207461,.T.); #280908=ORIENTED_EDGE('',*,*,#207460,.T.); #280909=ORIENTED_EDGE('',*,*,#207462,.F.); #280910=ORIENTED_EDGE('',*,*,#207463,.F.); #280911=ORIENTED_EDGE('',*,*,#207464,.T.); #280912=ORIENTED_EDGE('',*,*,#207463,.T.); #280913=ORIENTED_EDGE('',*,*,#207465,.F.); #280914=ORIENTED_EDGE('',*,*,#207466,.F.); #280915=ORIENTED_EDGE('',*,*,#207467,.T.); #280916=ORIENTED_EDGE('',*,*,#207466,.T.); #280917=ORIENTED_EDGE('',*,*,#207468,.F.); #280918=ORIENTED_EDGE('',*,*,#207469,.F.); #280919=ORIENTED_EDGE('',*,*,#207470,.T.); #280920=ORIENTED_EDGE('',*,*,#207469,.T.); #280921=ORIENTED_EDGE('',*,*,#207471,.F.); #280922=ORIENTED_EDGE('',*,*,#207472,.F.); #280923=ORIENTED_EDGE('',*,*,#207473,.T.); #280924=ORIENTED_EDGE('',*,*,#207472,.T.); #280925=ORIENTED_EDGE('',*,*,#207474,.F.); #280926=ORIENTED_EDGE('',*,*,#207475,.F.); #280927=ORIENTED_EDGE('',*,*,#207476,.T.); #280928=ORIENTED_EDGE('',*,*,#207475,.T.); #280929=ORIENTED_EDGE('',*,*,#207477,.F.); #280930=ORIENTED_EDGE('',*,*,#207478,.F.); #280931=ORIENTED_EDGE('',*,*,#207479,.T.); #280932=ORIENTED_EDGE('',*,*,#207478,.T.); #280933=ORIENTED_EDGE('',*,*,#207480,.F.); #280934=ORIENTED_EDGE('',*,*,#207481,.F.); #280935=ORIENTED_EDGE('',*,*,#207482,.T.); #280936=ORIENTED_EDGE('',*,*,#207481,.T.); #280937=ORIENTED_EDGE('',*,*,#207483,.F.); #280938=ORIENTED_EDGE('',*,*,#207484,.F.); #280939=ORIENTED_EDGE('',*,*,#207485,.T.); #280940=ORIENTED_EDGE('',*,*,#207484,.T.); #280941=ORIENTED_EDGE('',*,*,#207486,.F.); #280942=ORIENTED_EDGE('',*,*,#207487,.F.); #280943=ORIENTED_EDGE('',*,*,#207488,.T.); #280944=ORIENTED_EDGE('',*,*,#207487,.T.); #280945=ORIENTED_EDGE('',*,*,#207489,.F.); #280946=ORIENTED_EDGE('',*,*,#207490,.F.); #280947=ORIENTED_EDGE('',*,*,#207491,.T.); #280948=ORIENTED_EDGE('',*,*,#207490,.T.); #280949=ORIENTED_EDGE('',*,*,#207492,.F.); #280950=ORIENTED_EDGE('',*,*,#207493,.F.); #280951=ORIENTED_EDGE('',*,*,#207494,.T.); #280952=ORIENTED_EDGE('',*,*,#207493,.T.); #280953=ORIENTED_EDGE('',*,*,#207495,.F.); #280954=ORIENTED_EDGE('',*,*,#207496,.F.); #280955=ORIENTED_EDGE('',*,*,#207497,.T.); #280956=ORIENTED_EDGE('',*,*,#207496,.T.); #280957=ORIENTED_EDGE('',*,*,#207498,.F.); #280958=ORIENTED_EDGE('',*,*,#207499,.F.); #280959=ORIENTED_EDGE('',*,*,#207500,.T.); #280960=ORIENTED_EDGE('',*,*,#207499,.T.); #280961=ORIENTED_EDGE('',*,*,#207501,.F.); #280962=ORIENTED_EDGE('',*,*,#207502,.F.); #280963=ORIENTED_EDGE('',*,*,#207503,.T.); #280964=ORIENTED_EDGE('',*,*,#207502,.T.); #280965=ORIENTED_EDGE('',*,*,#207504,.F.); #280966=ORIENTED_EDGE('',*,*,#207428,.F.); #280967=ORIENTED_EDGE('',*,*,#207504,.T.); #280968=ORIENTED_EDGE('',*,*,#207501,.T.); #280969=ORIENTED_EDGE('',*,*,#207498,.T.); #280970=ORIENTED_EDGE('',*,*,#207495,.T.); #280971=ORIENTED_EDGE('',*,*,#207492,.T.); #280972=ORIENTED_EDGE('',*,*,#207489,.T.); #280973=ORIENTED_EDGE('',*,*,#207486,.T.); #280974=ORIENTED_EDGE('',*,*,#207483,.T.); #280975=ORIENTED_EDGE('',*,*,#207480,.T.); #280976=ORIENTED_EDGE('',*,*,#207477,.T.); #280977=ORIENTED_EDGE('',*,*,#207474,.T.); #280978=ORIENTED_EDGE('',*,*,#207471,.T.); #280979=ORIENTED_EDGE('',*,*,#207468,.T.); #280980=ORIENTED_EDGE('',*,*,#207465,.T.); #280981=ORIENTED_EDGE('',*,*,#207462,.T.); #280982=ORIENTED_EDGE('',*,*,#207459,.T.); #280983=ORIENTED_EDGE('',*,*,#207456,.T.); #280984=ORIENTED_EDGE('',*,*,#207453,.T.); #280985=ORIENTED_EDGE('',*,*,#207450,.T.); #280986=ORIENTED_EDGE('',*,*,#207447,.T.); #280987=ORIENTED_EDGE('',*,*,#207444,.T.); #280988=ORIENTED_EDGE('',*,*,#207441,.T.); #280989=ORIENTED_EDGE('',*,*,#207438,.T.); #280990=ORIENTED_EDGE('',*,*,#207435,.T.); #280991=ORIENTED_EDGE('',*,*,#207432,.T.); #280992=ORIENTED_EDGE('',*,*,#207429,.T.); #280993=ORIENTED_EDGE('',*,*,#207426,.T.); #280994=ORIENTED_EDGE('',*,*,#207503,.F.); #280995=ORIENTED_EDGE('',*,*,#207427,.F.); #280996=ORIENTED_EDGE('',*,*,#207431,.F.); #280997=ORIENTED_EDGE('',*,*,#207434,.F.); #280998=ORIENTED_EDGE('',*,*,#207437,.F.); #280999=ORIENTED_EDGE('',*,*,#207440,.F.); #281000=ORIENTED_EDGE('',*,*,#207443,.F.); #281001=ORIENTED_EDGE('',*,*,#207446,.F.); #281002=ORIENTED_EDGE('',*,*,#207449,.F.); #281003=ORIENTED_EDGE('',*,*,#207452,.F.); #281004=ORIENTED_EDGE('',*,*,#207455,.F.); #281005=ORIENTED_EDGE('',*,*,#207458,.F.); #281006=ORIENTED_EDGE('',*,*,#207461,.F.); #281007=ORIENTED_EDGE('',*,*,#207464,.F.); #281008=ORIENTED_EDGE('',*,*,#207467,.F.); #281009=ORIENTED_EDGE('',*,*,#207470,.F.); #281010=ORIENTED_EDGE('',*,*,#207473,.F.); #281011=ORIENTED_EDGE('',*,*,#207476,.F.); #281012=ORIENTED_EDGE('',*,*,#207479,.F.); #281013=ORIENTED_EDGE('',*,*,#207482,.F.); #281014=ORIENTED_EDGE('',*,*,#207485,.F.); #281015=ORIENTED_EDGE('',*,*,#207488,.F.); #281016=ORIENTED_EDGE('',*,*,#207491,.F.); #281017=ORIENTED_EDGE('',*,*,#207494,.F.); #281018=ORIENTED_EDGE('',*,*,#207497,.F.); #281019=ORIENTED_EDGE('',*,*,#207500,.F.); #281020=ORIENTED_EDGE('',*,*,#207424,.T.); #281021=ORIENTED_EDGE('',*,*,#207505,.F.); #281022=ORIENTED_EDGE('',*,*,#207506,.T.); #281023=ORIENTED_EDGE('',*,*,#207507,.F.); #281024=ORIENTED_EDGE('',*,*,#207506,.F.); #281025=ORIENTED_EDGE('',*,*,#207508,.F.); #281026=ORIENTED_EDGE('',*,*,#207509,.T.); #281027=ORIENTED_EDGE('',*,*,#207510,.F.); #281028=ORIENTED_EDGE('',*,*,#207509,.F.); #281029=ORIENTED_EDGE('',*,*,#207511,.T.); #281030=ORIENTED_EDGE('',*,*,#207512,.T.); #281031=ORIENTED_EDGE('',*,*,#207513,.F.); #281032=ORIENTED_EDGE('',*,*,#207514,.F.); #281033=ORIENTED_EDGE('',*,*,#207515,.T.); #281034=ORIENTED_EDGE('',*,*,#207514,.T.); #281035=ORIENTED_EDGE('',*,*,#207516,.F.); #281036=ORIENTED_EDGE('',*,*,#207517,.F.); #281037=ORIENTED_EDGE('',*,*,#207518,.T.); #281038=ORIENTED_EDGE('',*,*,#207517,.T.); #281039=ORIENTED_EDGE('',*,*,#207519,.F.); #281040=ORIENTED_EDGE('',*,*,#207520,.F.); #281041=ORIENTED_EDGE('',*,*,#207521,.T.); #281042=ORIENTED_EDGE('',*,*,#207520,.T.); #281043=ORIENTED_EDGE('',*,*,#207522,.F.); #281044=ORIENTED_EDGE('',*,*,#207523,.F.); #281045=ORIENTED_EDGE('',*,*,#207524,.T.); #281046=ORIENTED_EDGE('',*,*,#207523,.T.); #281047=ORIENTED_EDGE('',*,*,#207525,.F.); #281048=ORIENTED_EDGE('',*,*,#207526,.F.); #281049=ORIENTED_EDGE('',*,*,#207527,.T.); #281050=ORIENTED_EDGE('',*,*,#207526,.T.); #281051=ORIENTED_EDGE('',*,*,#207528,.F.); #281052=ORIENTED_EDGE('',*,*,#207529,.F.); #281053=ORIENTED_EDGE('',*,*,#207530,.T.); #281054=ORIENTED_EDGE('',*,*,#207529,.T.); #281055=ORIENTED_EDGE('',*,*,#207531,.F.); #281056=ORIENTED_EDGE('',*,*,#207532,.F.); #281057=ORIENTED_EDGE('',*,*,#207533,.T.); #281058=ORIENTED_EDGE('',*,*,#207532,.T.); #281059=ORIENTED_EDGE('',*,*,#207534,.F.); #281060=ORIENTED_EDGE('',*,*,#207535,.F.); #281061=ORIENTED_EDGE('',*,*,#207536,.T.); #281062=ORIENTED_EDGE('',*,*,#207535,.T.); #281063=ORIENTED_EDGE('',*,*,#207537,.F.); #281064=ORIENTED_EDGE('',*,*,#207538,.F.); #281065=ORIENTED_EDGE('',*,*,#207539,.T.); #281066=ORIENTED_EDGE('',*,*,#207538,.T.); #281067=ORIENTED_EDGE('',*,*,#207540,.F.); #281068=ORIENTED_EDGE('',*,*,#207541,.F.); #281069=ORIENTED_EDGE('',*,*,#207542,.T.); #281070=ORIENTED_EDGE('',*,*,#207541,.T.); #281071=ORIENTED_EDGE('',*,*,#207543,.F.); #281072=ORIENTED_EDGE('',*,*,#207544,.F.); #281073=ORIENTED_EDGE('',*,*,#207545,.T.); #281074=ORIENTED_EDGE('',*,*,#207544,.T.); #281075=ORIENTED_EDGE('',*,*,#207546,.F.); #281076=ORIENTED_EDGE('',*,*,#207547,.F.); #281077=ORIENTED_EDGE('',*,*,#207548,.T.); #281078=ORIENTED_EDGE('',*,*,#207547,.T.); #281079=ORIENTED_EDGE('',*,*,#207549,.F.); #281080=ORIENTED_EDGE('',*,*,#207550,.F.); #281081=ORIENTED_EDGE('',*,*,#207551,.T.); #281082=ORIENTED_EDGE('',*,*,#207550,.T.); #281083=ORIENTED_EDGE('',*,*,#207552,.F.); #281084=ORIENTED_EDGE('',*,*,#207553,.F.); #281085=ORIENTED_EDGE('',*,*,#207554,.T.); #281086=ORIENTED_EDGE('',*,*,#207553,.T.); #281087=ORIENTED_EDGE('',*,*,#207555,.F.); #281088=ORIENTED_EDGE('',*,*,#207556,.F.); #281089=ORIENTED_EDGE('',*,*,#207557,.T.); #281090=ORIENTED_EDGE('',*,*,#207556,.T.); #281091=ORIENTED_EDGE('',*,*,#207558,.F.); #281092=ORIENTED_EDGE('',*,*,#207559,.F.); #281093=ORIENTED_EDGE('',*,*,#207560,.T.); #281094=ORIENTED_EDGE('',*,*,#207559,.T.); #281095=ORIENTED_EDGE('',*,*,#207561,.F.); #281096=ORIENTED_EDGE('',*,*,#207562,.F.); #281097=ORIENTED_EDGE('',*,*,#207563,.T.); #281098=ORIENTED_EDGE('',*,*,#207562,.T.); #281099=ORIENTED_EDGE('',*,*,#207564,.F.); #281100=ORIENTED_EDGE('',*,*,#207565,.F.); #281101=ORIENTED_EDGE('',*,*,#207566,.T.); #281102=ORIENTED_EDGE('',*,*,#207565,.T.); #281103=ORIENTED_EDGE('',*,*,#207567,.F.); #281104=ORIENTED_EDGE('',*,*,#207568,.F.); #281105=ORIENTED_EDGE('',*,*,#207569,.T.); #281106=ORIENTED_EDGE('',*,*,#207568,.T.); #281107=ORIENTED_EDGE('',*,*,#207570,.F.); #281108=ORIENTED_EDGE('',*,*,#207571,.F.); #281109=ORIENTED_EDGE('',*,*,#207572,.T.); #281110=ORIENTED_EDGE('',*,*,#207571,.T.); #281111=ORIENTED_EDGE('',*,*,#207573,.F.); #281112=ORIENTED_EDGE('',*,*,#207574,.F.); #281113=ORIENTED_EDGE('',*,*,#207575,.T.); #281114=ORIENTED_EDGE('',*,*,#207574,.T.); #281115=ORIENTED_EDGE('',*,*,#207576,.F.); #281116=ORIENTED_EDGE('',*,*,#207577,.F.); #281117=ORIENTED_EDGE('',*,*,#207578,.T.); #281118=ORIENTED_EDGE('',*,*,#207577,.T.); #281119=ORIENTED_EDGE('',*,*,#207579,.F.); #281120=ORIENTED_EDGE('',*,*,#207580,.F.); #281121=ORIENTED_EDGE('',*,*,#207581,.T.); #281122=ORIENTED_EDGE('',*,*,#207580,.T.); #281123=ORIENTED_EDGE('',*,*,#207582,.F.); #281124=ORIENTED_EDGE('',*,*,#207583,.F.); #281125=ORIENTED_EDGE('',*,*,#207584,.T.); #281126=ORIENTED_EDGE('',*,*,#207583,.T.); #281127=ORIENTED_EDGE('',*,*,#207585,.F.); #281128=ORIENTED_EDGE('',*,*,#207586,.F.); #281129=ORIENTED_EDGE('',*,*,#207587,.T.); #281130=ORIENTED_EDGE('',*,*,#207586,.T.); #281131=ORIENTED_EDGE('',*,*,#207588,.F.); #281132=ORIENTED_EDGE('',*,*,#207589,.F.); #281133=ORIENTED_EDGE('',*,*,#207590,.T.); #281134=ORIENTED_EDGE('',*,*,#207589,.T.); #281135=ORIENTED_EDGE('',*,*,#207591,.F.); #281136=ORIENTED_EDGE('',*,*,#207592,.F.); #281137=ORIENTED_EDGE('',*,*,#207593,.T.); #281138=ORIENTED_EDGE('',*,*,#207592,.T.); #281139=ORIENTED_EDGE('',*,*,#207594,.F.); #281140=ORIENTED_EDGE('',*,*,#207595,.F.); #281141=ORIENTED_EDGE('',*,*,#207596,.T.); #281142=ORIENTED_EDGE('',*,*,#207595,.T.); #281143=ORIENTED_EDGE('',*,*,#207597,.F.); #281144=ORIENTED_EDGE('',*,*,#207598,.F.); #281145=ORIENTED_EDGE('',*,*,#207599,.T.); #281146=ORIENTED_EDGE('',*,*,#207598,.T.); #281147=ORIENTED_EDGE('',*,*,#207600,.F.); #281148=ORIENTED_EDGE('',*,*,#207601,.F.); #281149=ORIENTED_EDGE('',*,*,#207602,.T.); #281150=ORIENTED_EDGE('',*,*,#207601,.T.); #281151=ORIENTED_EDGE('',*,*,#207603,.F.); #281152=ORIENTED_EDGE('',*,*,#207604,.F.); #281153=ORIENTED_EDGE('',*,*,#207605,.T.); #281154=ORIENTED_EDGE('',*,*,#207604,.T.); #281155=ORIENTED_EDGE('',*,*,#207606,.F.); #281156=ORIENTED_EDGE('',*,*,#207607,.F.); #281157=ORIENTED_EDGE('',*,*,#207608,.T.); #281158=ORIENTED_EDGE('',*,*,#207607,.T.); #281159=ORIENTED_EDGE('',*,*,#207609,.F.); #281160=ORIENTED_EDGE('',*,*,#207610,.F.); #281161=ORIENTED_EDGE('',*,*,#207611,.T.); #281162=ORIENTED_EDGE('',*,*,#207610,.T.); #281163=ORIENTED_EDGE('',*,*,#207612,.F.); #281164=ORIENTED_EDGE('',*,*,#207613,.F.); #281165=ORIENTED_EDGE('',*,*,#207614,.T.); #281166=ORIENTED_EDGE('',*,*,#207613,.T.); #281167=ORIENTED_EDGE('',*,*,#207615,.F.); #281168=ORIENTED_EDGE('',*,*,#207616,.F.); #281169=ORIENTED_EDGE('',*,*,#207617,.T.); #281170=ORIENTED_EDGE('',*,*,#207616,.T.); #281171=ORIENTED_EDGE('',*,*,#207618,.F.); #281172=ORIENTED_EDGE('',*,*,#207619,.F.); #281173=ORIENTED_EDGE('',*,*,#207620,.T.); #281174=ORIENTED_EDGE('',*,*,#207619,.T.); #281175=ORIENTED_EDGE('',*,*,#207621,.F.); #281176=ORIENTED_EDGE('',*,*,#207622,.F.); #281177=ORIENTED_EDGE('',*,*,#207623,.T.); #281178=ORIENTED_EDGE('',*,*,#207622,.T.); #281179=ORIENTED_EDGE('',*,*,#207624,.F.); #281180=ORIENTED_EDGE('',*,*,#207625,.F.); #281181=ORIENTED_EDGE('',*,*,#207626,.T.); #281182=ORIENTED_EDGE('',*,*,#207625,.T.); #281183=ORIENTED_EDGE('',*,*,#207627,.F.); #281184=ORIENTED_EDGE('',*,*,#207628,.F.); #281185=ORIENTED_EDGE('',*,*,#207629,.T.); #281186=ORIENTED_EDGE('',*,*,#207628,.T.); #281187=ORIENTED_EDGE('',*,*,#207630,.F.); #281188=ORIENTED_EDGE('',*,*,#207631,.F.); #281189=ORIENTED_EDGE('',*,*,#207632,.T.); #281190=ORIENTED_EDGE('',*,*,#207631,.T.); #281191=ORIENTED_EDGE('',*,*,#207633,.F.); #281192=ORIENTED_EDGE('',*,*,#207634,.F.); #281193=ORIENTED_EDGE('',*,*,#207635,.T.); #281194=ORIENTED_EDGE('',*,*,#207634,.T.); #281195=ORIENTED_EDGE('',*,*,#207636,.F.); #281196=ORIENTED_EDGE('',*,*,#207637,.F.); #281197=ORIENTED_EDGE('',*,*,#207638,.T.); #281198=ORIENTED_EDGE('',*,*,#207637,.T.); #281199=ORIENTED_EDGE('',*,*,#207639,.F.); #281200=ORIENTED_EDGE('',*,*,#207640,.F.); #281201=ORIENTED_EDGE('',*,*,#207641,.T.); #281202=ORIENTED_EDGE('',*,*,#207640,.T.); #281203=ORIENTED_EDGE('',*,*,#207642,.F.); #281204=ORIENTED_EDGE('',*,*,#207643,.F.); #281205=ORIENTED_EDGE('',*,*,#207644,.T.); #281206=ORIENTED_EDGE('',*,*,#207643,.T.); #281207=ORIENTED_EDGE('',*,*,#207645,.F.); #281208=ORIENTED_EDGE('',*,*,#207646,.F.); #281209=ORIENTED_EDGE('',*,*,#207647,.T.); #281210=ORIENTED_EDGE('',*,*,#207646,.T.); #281211=ORIENTED_EDGE('',*,*,#207648,.F.); #281212=ORIENTED_EDGE('',*,*,#207649,.F.); #281213=ORIENTED_EDGE('',*,*,#207650,.T.); #281214=ORIENTED_EDGE('',*,*,#207649,.T.); #281215=ORIENTED_EDGE('',*,*,#207651,.F.); #281216=ORIENTED_EDGE('',*,*,#207652,.F.); #281217=ORIENTED_EDGE('',*,*,#207653,.T.); #281218=ORIENTED_EDGE('',*,*,#207652,.T.); #281219=ORIENTED_EDGE('',*,*,#207654,.F.); #281220=ORIENTED_EDGE('',*,*,#207655,.F.); #281221=ORIENTED_EDGE('',*,*,#207656,.T.); #281222=ORIENTED_EDGE('',*,*,#207655,.T.); #281223=ORIENTED_EDGE('',*,*,#207657,.F.); #281224=ORIENTED_EDGE('',*,*,#207658,.F.); #281225=ORIENTED_EDGE('',*,*,#207659,.T.); #281226=ORIENTED_EDGE('',*,*,#207658,.T.); #281227=ORIENTED_EDGE('',*,*,#207660,.F.); #281228=ORIENTED_EDGE('',*,*,#207661,.F.); #281229=ORIENTED_EDGE('',*,*,#207662,.T.); #281230=ORIENTED_EDGE('',*,*,#207661,.T.); #281231=ORIENTED_EDGE('',*,*,#207663,.F.); #281232=ORIENTED_EDGE('',*,*,#207664,.F.); #281233=ORIENTED_EDGE('',*,*,#207665,.T.); #281234=ORIENTED_EDGE('',*,*,#207664,.T.); #281235=ORIENTED_EDGE('',*,*,#207666,.F.); #281236=ORIENTED_EDGE('',*,*,#207667,.F.); #281237=ORIENTED_EDGE('',*,*,#207668,.T.); #281238=ORIENTED_EDGE('',*,*,#207667,.T.); #281239=ORIENTED_EDGE('',*,*,#207669,.F.); #281240=ORIENTED_EDGE('',*,*,#207670,.F.); #281241=ORIENTED_EDGE('',*,*,#207671,.T.); #281242=ORIENTED_EDGE('',*,*,#207670,.T.); #281243=ORIENTED_EDGE('',*,*,#207672,.F.); #281244=ORIENTED_EDGE('',*,*,#207673,.F.); #281245=ORIENTED_EDGE('',*,*,#207674,.T.); #281246=ORIENTED_EDGE('',*,*,#207673,.T.); #281247=ORIENTED_EDGE('',*,*,#207675,.F.); #281248=ORIENTED_EDGE('',*,*,#207676,.F.); #281249=ORIENTED_EDGE('',*,*,#207677,.T.); #281250=ORIENTED_EDGE('',*,*,#207676,.T.); #281251=ORIENTED_EDGE('',*,*,#207678,.F.); #281252=ORIENTED_EDGE('',*,*,#207679,.F.); #281253=ORIENTED_EDGE('',*,*,#207680,.T.); #281254=ORIENTED_EDGE('',*,*,#207679,.T.); #281255=ORIENTED_EDGE('',*,*,#207681,.F.); #281256=ORIENTED_EDGE('',*,*,#207682,.F.); #281257=ORIENTED_EDGE('',*,*,#207683,.T.); #281258=ORIENTED_EDGE('',*,*,#207682,.T.); #281259=ORIENTED_EDGE('',*,*,#207684,.F.); #281260=ORIENTED_EDGE('',*,*,#207685,.F.); #281261=ORIENTED_EDGE('',*,*,#207686,.T.); #281262=ORIENTED_EDGE('',*,*,#207685,.T.); #281263=ORIENTED_EDGE('',*,*,#207687,.F.); #281264=ORIENTED_EDGE('',*,*,#207688,.F.); #281265=ORIENTED_EDGE('',*,*,#207689,.T.); #281266=ORIENTED_EDGE('',*,*,#207688,.T.); #281267=ORIENTED_EDGE('',*,*,#207690,.F.); #281268=ORIENTED_EDGE('',*,*,#207691,.F.); #281269=ORIENTED_EDGE('',*,*,#207692,.T.); #281270=ORIENTED_EDGE('',*,*,#207691,.T.); #281271=ORIENTED_EDGE('',*,*,#207693,.F.); #281272=ORIENTED_EDGE('',*,*,#207512,.F.); #281273=ORIENTED_EDGE('',*,*,#207693,.T.); #281274=ORIENTED_EDGE('',*,*,#207690,.T.); #281275=ORIENTED_EDGE('',*,*,#207687,.T.); #281276=ORIENTED_EDGE('',*,*,#207684,.T.); #281277=ORIENTED_EDGE('',*,*,#207681,.T.); #281278=ORIENTED_EDGE('',*,*,#207678,.T.); #281279=ORIENTED_EDGE('',*,*,#207675,.T.); #281280=ORIENTED_EDGE('',*,*,#207672,.T.); #281281=ORIENTED_EDGE('',*,*,#207669,.T.); #281282=ORIENTED_EDGE('',*,*,#207666,.T.); #281283=ORIENTED_EDGE('',*,*,#207663,.T.); #281284=ORIENTED_EDGE('',*,*,#207660,.T.); #281285=ORIENTED_EDGE('',*,*,#207657,.T.); #281286=ORIENTED_EDGE('',*,*,#207654,.T.); #281287=ORIENTED_EDGE('',*,*,#207651,.T.); #281288=ORIENTED_EDGE('',*,*,#207648,.T.); #281289=ORIENTED_EDGE('',*,*,#207645,.T.); #281290=ORIENTED_EDGE('',*,*,#207642,.T.); #281291=ORIENTED_EDGE('',*,*,#207639,.T.); #281292=ORIENTED_EDGE('',*,*,#207636,.T.); #281293=ORIENTED_EDGE('',*,*,#207633,.T.); #281294=ORIENTED_EDGE('',*,*,#207630,.T.); #281295=ORIENTED_EDGE('',*,*,#207627,.T.); #281296=ORIENTED_EDGE('',*,*,#207624,.T.); #281297=ORIENTED_EDGE('',*,*,#207621,.T.); #281298=ORIENTED_EDGE('',*,*,#207618,.T.); #281299=ORIENTED_EDGE('',*,*,#207615,.T.); #281300=ORIENTED_EDGE('',*,*,#207612,.T.); #281301=ORIENTED_EDGE('',*,*,#207609,.T.); #281302=ORIENTED_EDGE('',*,*,#207606,.T.); #281303=ORIENTED_EDGE('',*,*,#207603,.T.); #281304=ORIENTED_EDGE('',*,*,#207600,.T.); #281305=ORIENTED_EDGE('',*,*,#207597,.T.); #281306=ORIENTED_EDGE('',*,*,#207594,.T.); #281307=ORIENTED_EDGE('',*,*,#207591,.T.); #281308=ORIENTED_EDGE('',*,*,#207588,.T.); #281309=ORIENTED_EDGE('',*,*,#207585,.T.); #281310=ORIENTED_EDGE('',*,*,#207582,.T.); #281311=ORIENTED_EDGE('',*,*,#207579,.T.); #281312=ORIENTED_EDGE('',*,*,#207576,.T.); #281313=ORIENTED_EDGE('',*,*,#207573,.T.); #281314=ORIENTED_EDGE('',*,*,#207570,.T.); #281315=ORIENTED_EDGE('',*,*,#207567,.T.); #281316=ORIENTED_EDGE('',*,*,#207564,.T.); #281317=ORIENTED_EDGE('',*,*,#207561,.T.); #281318=ORIENTED_EDGE('',*,*,#207558,.T.); #281319=ORIENTED_EDGE('',*,*,#207555,.T.); #281320=ORIENTED_EDGE('',*,*,#207552,.T.); #281321=ORIENTED_EDGE('',*,*,#207549,.T.); #281322=ORIENTED_EDGE('',*,*,#207546,.T.); #281323=ORIENTED_EDGE('',*,*,#207543,.T.); #281324=ORIENTED_EDGE('',*,*,#207540,.T.); #281325=ORIENTED_EDGE('',*,*,#207537,.T.); #281326=ORIENTED_EDGE('',*,*,#207534,.T.); #281327=ORIENTED_EDGE('',*,*,#207531,.T.); #281328=ORIENTED_EDGE('',*,*,#207528,.T.); #281329=ORIENTED_EDGE('',*,*,#207525,.T.); #281330=ORIENTED_EDGE('',*,*,#207522,.T.); #281331=ORIENTED_EDGE('',*,*,#207519,.T.); #281332=ORIENTED_EDGE('',*,*,#207516,.T.); #281333=ORIENTED_EDGE('',*,*,#207513,.T.); #281334=ORIENTED_EDGE('',*,*,#207507,.T.); #281335=ORIENTED_EDGE('',*,*,#207510,.T.); #281336=ORIENTED_EDGE('',*,*,#207692,.F.); #281337=ORIENTED_EDGE('',*,*,#207511,.F.); #281338=ORIENTED_EDGE('',*,*,#207515,.F.); #281339=ORIENTED_EDGE('',*,*,#207518,.F.); #281340=ORIENTED_EDGE('',*,*,#207521,.F.); #281341=ORIENTED_EDGE('',*,*,#207524,.F.); #281342=ORIENTED_EDGE('',*,*,#207527,.F.); #281343=ORIENTED_EDGE('',*,*,#207530,.F.); #281344=ORIENTED_EDGE('',*,*,#207533,.F.); #281345=ORIENTED_EDGE('',*,*,#207536,.F.); #281346=ORIENTED_EDGE('',*,*,#207539,.F.); #281347=ORIENTED_EDGE('',*,*,#207542,.F.); #281348=ORIENTED_EDGE('',*,*,#207545,.F.); #281349=ORIENTED_EDGE('',*,*,#207548,.F.); #281350=ORIENTED_EDGE('',*,*,#207551,.F.); #281351=ORIENTED_EDGE('',*,*,#207554,.F.); #281352=ORIENTED_EDGE('',*,*,#207557,.F.); #281353=ORIENTED_EDGE('',*,*,#207560,.F.); #281354=ORIENTED_EDGE('',*,*,#207563,.F.); #281355=ORIENTED_EDGE('',*,*,#207566,.F.); #281356=ORIENTED_EDGE('',*,*,#207569,.F.); #281357=ORIENTED_EDGE('',*,*,#207572,.F.); #281358=ORIENTED_EDGE('',*,*,#207575,.F.); #281359=ORIENTED_EDGE('',*,*,#207578,.F.); #281360=ORIENTED_EDGE('',*,*,#207581,.F.); #281361=ORIENTED_EDGE('',*,*,#207584,.F.); #281362=ORIENTED_EDGE('',*,*,#207587,.F.); #281363=ORIENTED_EDGE('',*,*,#207590,.F.); #281364=ORIENTED_EDGE('',*,*,#207593,.F.); #281365=ORIENTED_EDGE('',*,*,#207596,.F.); #281366=ORIENTED_EDGE('',*,*,#207599,.F.); #281367=ORIENTED_EDGE('',*,*,#207602,.F.); #281368=ORIENTED_EDGE('',*,*,#207605,.F.); #281369=ORIENTED_EDGE('',*,*,#207608,.F.); #281370=ORIENTED_EDGE('',*,*,#207611,.F.); #281371=ORIENTED_EDGE('',*,*,#207614,.F.); #281372=ORIENTED_EDGE('',*,*,#207617,.F.); #281373=ORIENTED_EDGE('',*,*,#207620,.F.); #281374=ORIENTED_EDGE('',*,*,#207623,.F.); #281375=ORIENTED_EDGE('',*,*,#207626,.F.); #281376=ORIENTED_EDGE('',*,*,#207629,.F.); #281377=ORIENTED_EDGE('',*,*,#207632,.F.); #281378=ORIENTED_EDGE('',*,*,#207635,.F.); #281379=ORIENTED_EDGE('',*,*,#207638,.F.); #281380=ORIENTED_EDGE('',*,*,#207641,.F.); #281381=ORIENTED_EDGE('',*,*,#207644,.F.); #281382=ORIENTED_EDGE('',*,*,#207647,.F.); #281383=ORIENTED_EDGE('',*,*,#207650,.F.); #281384=ORIENTED_EDGE('',*,*,#207653,.F.); #281385=ORIENTED_EDGE('',*,*,#207656,.F.); #281386=ORIENTED_EDGE('',*,*,#207659,.F.); #281387=ORIENTED_EDGE('',*,*,#207662,.F.); #281388=ORIENTED_EDGE('',*,*,#207665,.F.); #281389=ORIENTED_EDGE('',*,*,#207668,.F.); #281390=ORIENTED_EDGE('',*,*,#207671,.F.); #281391=ORIENTED_EDGE('',*,*,#207674,.F.); #281392=ORIENTED_EDGE('',*,*,#207677,.F.); #281393=ORIENTED_EDGE('',*,*,#207680,.F.); #281394=ORIENTED_EDGE('',*,*,#207683,.F.); #281395=ORIENTED_EDGE('',*,*,#207686,.F.); #281396=ORIENTED_EDGE('',*,*,#207689,.F.); #281397=ORIENTED_EDGE('',*,*,#207505,.T.); #281398=ORIENTED_EDGE('',*,*,#207508,.T.); #281399=ORIENTED_EDGE('',*,*,#207694,.F.); #281400=ORIENTED_EDGE('',*,*,#207695,.T.); #281401=ORIENTED_EDGE('',*,*,#207696,.F.); #281402=ORIENTED_EDGE('',*,*,#207695,.F.); #281403=ORIENTED_EDGE('',*,*,#207697,.F.); #281404=ORIENTED_EDGE('',*,*,#207698,.T.); #281405=ORIENTED_EDGE('',*,*,#207699,.F.); #281406=ORIENTED_EDGE('',*,*,#207698,.F.); #281407=ORIENTED_EDGE('',*,*,#207700,.T.); #281408=ORIENTED_EDGE('',*,*,#207701,.T.); #281409=ORIENTED_EDGE('',*,*,#207702,.F.); #281410=ORIENTED_EDGE('',*,*,#207703,.F.); #281411=ORIENTED_EDGE('',*,*,#207704,.T.); #281412=ORIENTED_EDGE('',*,*,#207703,.T.); #281413=ORIENTED_EDGE('',*,*,#207705,.F.); #281414=ORIENTED_EDGE('',*,*,#207706,.F.); #281415=ORIENTED_EDGE('',*,*,#207707,.T.); #281416=ORIENTED_EDGE('',*,*,#207706,.T.); #281417=ORIENTED_EDGE('',*,*,#207708,.F.); #281418=ORIENTED_EDGE('',*,*,#207709,.F.); #281419=ORIENTED_EDGE('',*,*,#207710,.T.); #281420=ORIENTED_EDGE('',*,*,#207709,.T.); #281421=ORIENTED_EDGE('',*,*,#207711,.F.); #281422=ORIENTED_EDGE('',*,*,#207712,.F.); #281423=ORIENTED_EDGE('',*,*,#207713,.T.); #281424=ORIENTED_EDGE('',*,*,#207712,.T.); #281425=ORIENTED_EDGE('',*,*,#207714,.F.); #281426=ORIENTED_EDGE('',*,*,#207715,.F.); #281427=ORIENTED_EDGE('',*,*,#207716,.T.); #281428=ORIENTED_EDGE('',*,*,#207715,.T.); #281429=ORIENTED_EDGE('',*,*,#207717,.F.); #281430=ORIENTED_EDGE('',*,*,#207718,.F.); #281431=ORIENTED_EDGE('',*,*,#207719,.T.); #281432=ORIENTED_EDGE('',*,*,#207718,.T.); #281433=ORIENTED_EDGE('',*,*,#207720,.F.); #281434=ORIENTED_EDGE('',*,*,#207721,.F.); #281435=ORIENTED_EDGE('',*,*,#207722,.T.); #281436=ORIENTED_EDGE('',*,*,#207721,.T.); #281437=ORIENTED_EDGE('',*,*,#207723,.F.); #281438=ORIENTED_EDGE('',*,*,#207701,.F.); #281439=ORIENTED_EDGE('',*,*,#207723,.T.); #281440=ORIENTED_EDGE('',*,*,#207720,.T.); #281441=ORIENTED_EDGE('',*,*,#207717,.T.); #281442=ORIENTED_EDGE('',*,*,#207714,.T.); #281443=ORIENTED_EDGE('',*,*,#207711,.T.); #281444=ORIENTED_EDGE('',*,*,#207708,.T.); #281445=ORIENTED_EDGE('',*,*,#207705,.T.); #281446=ORIENTED_EDGE('',*,*,#207702,.T.); #281447=ORIENTED_EDGE('',*,*,#207696,.T.); #281448=ORIENTED_EDGE('',*,*,#207699,.T.); #281449=ORIENTED_EDGE('',*,*,#207722,.F.); #281450=ORIENTED_EDGE('',*,*,#207700,.F.); #281451=ORIENTED_EDGE('',*,*,#207704,.F.); #281452=ORIENTED_EDGE('',*,*,#207707,.F.); #281453=ORIENTED_EDGE('',*,*,#207710,.F.); #281454=ORIENTED_EDGE('',*,*,#207713,.F.); #281455=ORIENTED_EDGE('',*,*,#207716,.F.); #281456=ORIENTED_EDGE('',*,*,#207719,.F.); #281457=ORIENTED_EDGE('',*,*,#207694,.T.); #281458=ORIENTED_EDGE('',*,*,#207697,.T.); #281459=ORIENTED_EDGE('',*,*,#207724,.F.); #281460=ORIENTED_EDGE('',*,*,#207725,.T.); #281461=ORIENTED_EDGE('',*,*,#207726,.F.); #281462=ORIENTED_EDGE('',*,*,#207725,.F.); #281463=ORIENTED_EDGE('',*,*,#207727,.F.); #281464=ORIENTED_EDGE('',*,*,#207728,.T.); #281465=ORIENTED_EDGE('',*,*,#207729,.F.); #281466=ORIENTED_EDGE('',*,*,#207728,.F.); #281467=ORIENTED_EDGE('',*,*,#207730,.T.); #281468=ORIENTED_EDGE('',*,*,#207731,.T.); #281469=ORIENTED_EDGE('',*,*,#207732,.F.); #281470=ORIENTED_EDGE('',*,*,#207733,.F.); #281471=ORIENTED_EDGE('',*,*,#207734,.T.); #281472=ORIENTED_EDGE('',*,*,#207733,.T.); #281473=ORIENTED_EDGE('',*,*,#207735,.F.); #281474=ORIENTED_EDGE('',*,*,#207736,.F.); #281475=ORIENTED_EDGE('',*,*,#207737,.T.); #281476=ORIENTED_EDGE('',*,*,#207736,.T.); #281477=ORIENTED_EDGE('',*,*,#207738,.F.); #281478=ORIENTED_EDGE('',*,*,#207739,.F.); #281479=ORIENTED_EDGE('',*,*,#207740,.T.); #281480=ORIENTED_EDGE('',*,*,#207739,.T.); #281481=ORIENTED_EDGE('',*,*,#207741,.F.); #281482=ORIENTED_EDGE('',*,*,#207742,.F.); #281483=ORIENTED_EDGE('',*,*,#207743,.T.); #281484=ORIENTED_EDGE('',*,*,#207742,.T.); #281485=ORIENTED_EDGE('',*,*,#207744,.F.); #281486=ORIENTED_EDGE('',*,*,#207745,.F.); #281487=ORIENTED_EDGE('',*,*,#207746,.T.); #281488=ORIENTED_EDGE('',*,*,#207745,.T.); #281489=ORIENTED_EDGE('',*,*,#207747,.F.); #281490=ORIENTED_EDGE('',*,*,#207748,.F.); #281491=ORIENTED_EDGE('',*,*,#207749,.T.); #281492=ORIENTED_EDGE('',*,*,#207748,.T.); #281493=ORIENTED_EDGE('',*,*,#207750,.F.); #281494=ORIENTED_EDGE('',*,*,#207751,.F.); #281495=ORIENTED_EDGE('',*,*,#207752,.T.); #281496=ORIENTED_EDGE('',*,*,#207751,.T.); #281497=ORIENTED_EDGE('',*,*,#207753,.F.); #281498=ORIENTED_EDGE('',*,*,#207731,.F.); #281499=ORIENTED_EDGE('',*,*,#207753,.T.); #281500=ORIENTED_EDGE('',*,*,#207750,.T.); #281501=ORIENTED_EDGE('',*,*,#207747,.T.); #281502=ORIENTED_EDGE('',*,*,#207744,.T.); #281503=ORIENTED_EDGE('',*,*,#207741,.T.); #281504=ORIENTED_EDGE('',*,*,#207738,.T.); #281505=ORIENTED_EDGE('',*,*,#207735,.T.); #281506=ORIENTED_EDGE('',*,*,#207732,.T.); #281507=ORIENTED_EDGE('',*,*,#207726,.T.); #281508=ORIENTED_EDGE('',*,*,#207729,.T.); #281509=ORIENTED_EDGE('',*,*,#207752,.F.); #281510=ORIENTED_EDGE('',*,*,#207730,.F.); #281511=ORIENTED_EDGE('',*,*,#207734,.F.); #281512=ORIENTED_EDGE('',*,*,#207737,.F.); #281513=ORIENTED_EDGE('',*,*,#207740,.F.); #281514=ORIENTED_EDGE('',*,*,#207743,.F.); #281515=ORIENTED_EDGE('',*,*,#207746,.F.); #281516=ORIENTED_EDGE('',*,*,#207749,.F.); #281517=ORIENTED_EDGE('',*,*,#207724,.T.); #281518=ORIENTED_EDGE('',*,*,#207727,.T.); #281519=ORIENTED_EDGE('',*,*,#207754,.F.); #281520=ORIENTED_EDGE('',*,*,#207755,.T.); #281521=ORIENTED_EDGE('',*,*,#207756,.F.); #281522=ORIENTED_EDGE('',*,*,#207755,.F.); #281523=ORIENTED_EDGE('',*,*,#207757,.F.); #281524=ORIENTED_EDGE('',*,*,#207758,.T.); #281525=ORIENTED_EDGE('',*,*,#207759,.F.); #281526=ORIENTED_EDGE('',*,*,#207758,.F.); #281527=ORIENTED_EDGE('',*,*,#207760,.T.); #281528=ORIENTED_EDGE('',*,*,#207761,.T.); #281529=ORIENTED_EDGE('',*,*,#207762,.F.); #281530=ORIENTED_EDGE('',*,*,#207763,.F.); #281531=ORIENTED_EDGE('',*,*,#207764,.T.); #281532=ORIENTED_EDGE('',*,*,#207763,.T.); #281533=ORIENTED_EDGE('',*,*,#207765,.F.); #281534=ORIENTED_EDGE('',*,*,#207766,.F.); #281535=ORIENTED_EDGE('',*,*,#207767,.T.); #281536=ORIENTED_EDGE('',*,*,#207766,.T.); #281537=ORIENTED_EDGE('',*,*,#207768,.F.); #281538=ORIENTED_EDGE('',*,*,#207769,.F.); #281539=ORIENTED_EDGE('',*,*,#207770,.T.); #281540=ORIENTED_EDGE('',*,*,#207769,.T.); #281541=ORIENTED_EDGE('',*,*,#207771,.F.); #281542=ORIENTED_EDGE('',*,*,#207772,.F.); #281543=ORIENTED_EDGE('',*,*,#207773,.T.); #281544=ORIENTED_EDGE('',*,*,#207772,.T.); #281545=ORIENTED_EDGE('',*,*,#207774,.F.); #281546=ORIENTED_EDGE('',*,*,#207775,.F.); #281547=ORIENTED_EDGE('',*,*,#207776,.T.); #281548=ORIENTED_EDGE('',*,*,#207775,.T.); #281549=ORIENTED_EDGE('',*,*,#207777,.F.); #281550=ORIENTED_EDGE('',*,*,#207778,.F.); #281551=ORIENTED_EDGE('',*,*,#207779,.T.); #281552=ORIENTED_EDGE('',*,*,#207778,.T.); #281553=ORIENTED_EDGE('',*,*,#207780,.F.); #281554=ORIENTED_EDGE('',*,*,#207781,.F.); #281555=ORIENTED_EDGE('',*,*,#207782,.T.); #281556=ORIENTED_EDGE('',*,*,#207781,.T.); #281557=ORIENTED_EDGE('',*,*,#207783,.F.); #281558=ORIENTED_EDGE('',*,*,#207761,.F.); #281559=ORIENTED_EDGE('',*,*,#207783,.T.); #281560=ORIENTED_EDGE('',*,*,#207780,.T.); #281561=ORIENTED_EDGE('',*,*,#207777,.T.); #281562=ORIENTED_EDGE('',*,*,#207774,.T.); #281563=ORIENTED_EDGE('',*,*,#207771,.T.); #281564=ORIENTED_EDGE('',*,*,#207768,.T.); #281565=ORIENTED_EDGE('',*,*,#207765,.T.); #281566=ORIENTED_EDGE('',*,*,#207762,.T.); #281567=ORIENTED_EDGE('',*,*,#207756,.T.); #281568=ORIENTED_EDGE('',*,*,#207759,.T.); #281569=ORIENTED_EDGE('',*,*,#207782,.F.); #281570=ORIENTED_EDGE('',*,*,#207760,.F.); #281571=ORIENTED_EDGE('',*,*,#207764,.F.); #281572=ORIENTED_EDGE('',*,*,#207767,.F.); #281573=ORIENTED_EDGE('',*,*,#207770,.F.); #281574=ORIENTED_EDGE('',*,*,#207773,.F.); #281575=ORIENTED_EDGE('',*,*,#207776,.F.); #281576=ORIENTED_EDGE('',*,*,#207779,.F.); #281577=ORIENTED_EDGE('',*,*,#207754,.T.); #281578=ORIENTED_EDGE('',*,*,#207757,.T.); #281579=ORIENTED_EDGE('',*,*,#207784,.F.); #281580=ORIENTED_EDGE('',*,*,#207785,.T.); #281581=ORIENTED_EDGE('',*,*,#207786,.F.); #281582=ORIENTED_EDGE('',*,*,#207785,.F.); #281583=ORIENTED_EDGE('',*,*,#207787,.F.); #281584=ORIENTED_EDGE('',*,*,#207788,.T.); #281585=ORIENTED_EDGE('',*,*,#207789,.F.); #281586=ORIENTED_EDGE('',*,*,#207788,.F.); #281587=ORIENTED_EDGE('',*,*,#207790,.T.); #281588=ORIENTED_EDGE('',*,*,#207791,.T.); #281589=ORIENTED_EDGE('',*,*,#207792,.F.); #281590=ORIENTED_EDGE('',*,*,#207793,.F.); #281591=ORIENTED_EDGE('',*,*,#207794,.T.); #281592=ORIENTED_EDGE('',*,*,#207793,.T.); #281593=ORIENTED_EDGE('',*,*,#207795,.F.); #281594=ORIENTED_EDGE('',*,*,#207796,.F.); #281595=ORIENTED_EDGE('',*,*,#207797,.T.); #281596=ORIENTED_EDGE('',*,*,#207796,.T.); #281597=ORIENTED_EDGE('',*,*,#207798,.F.); #281598=ORIENTED_EDGE('',*,*,#207799,.F.); #281599=ORIENTED_EDGE('',*,*,#207800,.T.); #281600=ORIENTED_EDGE('',*,*,#207799,.T.); #281601=ORIENTED_EDGE('',*,*,#207801,.F.); #281602=ORIENTED_EDGE('',*,*,#207802,.F.); #281603=ORIENTED_EDGE('',*,*,#207803,.T.); #281604=ORIENTED_EDGE('',*,*,#207802,.T.); #281605=ORIENTED_EDGE('',*,*,#207804,.F.); #281606=ORIENTED_EDGE('',*,*,#207805,.F.); #281607=ORIENTED_EDGE('',*,*,#207806,.T.); #281608=ORIENTED_EDGE('',*,*,#207805,.T.); #281609=ORIENTED_EDGE('',*,*,#207807,.F.); #281610=ORIENTED_EDGE('',*,*,#207808,.F.); #281611=ORIENTED_EDGE('',*,*,#207809,.T.); #281612=ORIENTED_EDGE('',*,*,#207808,.T.); #281613=ORIENTED_EDGE('',*,*,#207810,.F.); #281614=ORIENTED_EDGE('',*,*,#207811,.F.); #281615=ORIENTED_EDGE('',*,*,#207812,.T.); #281616=ORIENTED_EDGE('',*,*,#207811,.T.); #281617=ORIENTED_EDGE('',*,*,#207813,.F.); #281618=ORIENTED_EDGE('',*,*,#207814,.F.); #281619=ORIENTED_EDGE('',*,*,#207815,.T.); #281620=ORIENTED_EDGE('',*,*,#207814,.T.); #281621=ORIENTED_EDGE('',*,*,#207816,.F.); #281622=ORIENTED_EDGE('',*,*,#207817,.F.); #281623=ORIENTED_EDGE('',*,*,#207818,.T.); #281624=ORIENTED_EDGE('',*,*,#207817,.T.); #281625=ORIENTED_EDGE('',*,*,#207819,.F.); #281626=ORIENTED_EDGE('',*,*,#207820,.F.); #281627=ORIENTED_EDGE('',*,*,#207821,.T.); #281628=ORIENTED_EDGE('',*,*,#207820,.T.); #281629=ORIENTED_EDGE('',*,*,#207822,.F.); #281630=ORIENTED_EDGE('',*,*,#207823,.F.); #281631=ORIENTED_EDGE('',*,*,#207824,.T.); #281632=ORIENTED_EDGE('',*,*,#207823,.T.); #281633=ORIENTED_EDGE('',*,*,#207825,.F.); #281634=ORIENTED_EDGE('',*,*,#207826,.F.); #281635=ORIENTED_EDGE('',*,*,#207827,.T.); #281636=ORIENTED_EDGE('',*,*,#207826,.T.); #281637=ORIENTED_EDGE('',*,*,#207828,.F.); #281638=ORIENTED_EDGE('',*,*,#207791,.F.); #281639=ORIENTED_EDGE('',*,*,#207828,.T.); #281640=ORIENTED_EDGE('',*,*,#207825,.T.); #281641=ORIENTED_EDGE('',*,*,#207822,.T.); #281642=ORIENTED_EDGE('',*,*,#207819,.T.); #281643=ORIENTED_EDGE('',*,*,#207816,.T.); #281644=ORIENTED_EDGE('',*,*,#207813,.T.); #281645=ORIENTED_EDGE('',*,*,#207810,.T.); #281646=ORIENTED_EDGE('',*,*,#207807,.T.); #281647=ORIENTED_EDGE('',*,*,#207804,.T.); #281648=ORIENTED_EDGE('',*,*,#207801,.T.); #281649=ORIENTED_EDGE('',*,*,#207798,.T.); #281650=ORIENTED_EDGE('',*,*,#207795,.T.); #281651=ORIENTED_EDGE('',*,*,#207792,.T.); #281652=ORIENTED_EDGE('',*,*,#207786,.T.); #281653=ORIENTED_EDGE('',*,*,#207789,.T.); #281654=ORIENTED_EDGE('',*,*,#207827,.F.); #281655=ORIENTED_EDGE('',*,*,#207790,.F.); #281656=ORIENTED_EDGE('',*,*,#207794,.F.); #281657=ORIENTED_EDGE('',*,*,#207797,.F.); #281658=ORIENTED_EDGE('',*,*,#207800,.F.); #281659=ORIENTED_EDGE('',*,*,#207803,.F.); #281660=ORIENTED_EDGE('',*,*,#207806,.F.); #281661=ORIENTED_EDGE('',*,*,#207809,.F.); #281662=ORIENTED_EDGE('',*,*,#207812,.F.); #281663=ORIENTED_EDGE('',*,*,#207815,.F.); #281664=ORIENTED_EDGE('',*,*,#207818,.F.); #281665=ORIENTED_EDGE('',*,*,#207821,.F.); #281666=ORIENTED_EDGE('',*,*,#207824,.F.); #281667=ORIENTED_EDGE('',*,*,#207784,.T.); #281668=ORIENTED_EDGE('',*,*,#207787,.T.); #281669=ORIENTED_EDGE('',*,*,#207829,.F.); #281670=ORIENTED_EDGE('',*,*,#207830,.T.); #281671=ORIENTED_EDGE('',*,*,#207831,.F.); #281672=ORIENTED_EDGE('',*,*,#207830,.F.); #281673=ORIENTED_EDGE('',*,*,#207832,.T.); #281674=ORIENTED_EDGE('',*,*,#207833,.T.); #281675=ORIENTED_EDGE('',*,*,#207834,.F.); #281676=ORIENTED_EDGE('',*,*,#207835,.F.); #281677=ORIENTED_EDGE('',*,*,#207836,.T.); #281678=ORIENTED_EDGE('',*,*,#207835,.T.); #281679=ORIENTED_EDGE('',*,*,#207837,.F.); #281680=ORIENTED_EDGE('',*,*,#207838,.F.); #281681=ORIENTED_EDGE('',*,*,#207839,.T.); #281682=ORIENTED_EDGE('',*,*,#207838,.T.); #281683=ORIENTED_EDGE('',*,*,#207840,.F.); #281684=ORIENTED_EDGE('',*,*,#207841,.F.); #281685=ORIENTED_EDGE('',*,*,#207842,.T.); #281686=ORIENTED_EDGE('',*,*,#207841,.T.); #281687=ORIENTED_EDGE('',*,*,#207843,.F.); #281688=ORIENTED_EDGE('',*,*,#207833,.F.); #281689=ORIENTED_EDGE('',*,*,#207843,.T.); #281690=ORIENTED_EDGE('',*,*,#207840,.T.); #281691=ORIENTED_EDGE('',*,*,#207837,.T.); #281692=ORIENTED_EDGE('',*,*,#207834,.T.); #281693=ORIENTED_EDGE('',*,*,#207831,.T.); #281694=ORIENTED_EDGE('',*,*,#207842,.F.); #281695=ORIENTED_EDGE('',*,*,#207832,.F.); #281696=ORIENTED_EDGE('',*,*,#207836,.F.); #281697=ORIENTED_EDGE('',*,*,#207839,.F.); #281698=ORIENTED_EDGE('',*,*,#207829,.T.); #281699=ORIENTED_EDGE('',*,*,#207844,.F.); #281700=ORIENTED_EDGE('',*,*,#207845,.T.); #281701=ORIENTED_EDGE('',*,*,#207846,.F.); #281702=ORIENTED_EDGE('',*,*,#207845,.F.); #281703=ORIENTED_EDGE('',*,*,#207847,.T.); #281704=ORIENTED_EDGE('',*,*,#207848,.T.); #281705=ORIENTED_EDGE('',*,*,#207849,.F.); #281706=ORIENTED_EDGE('',*,*,#207850,.F.); #281707=ORIENTED_EDGE('',*,*,#207851,.T.); #281708=ORIENTED_EDGE('',*,*,#207850,.T.); #281709=ORIENTED_EDGE('',*,*,#207852,.F.); #281710=ORIENTED_EDGE('',*,*,#207853,.F.); #281711=ORIENTED_EDGE('',*,*,#207854,.T.); #281712=ORIENTED_EDGE('',*,*,#207853,.T.); #281713=ORIENTED_EDGE('',*,*,#207855,.F.); #281714=ORIENTED_EDGE('',*,*,#207856,.F.); #281715=ORIENTED_EDGE('',*,*,#207857,.T.); #281716=ORIENTED_EDGE('',*,*,#207856,.T.); #281717=ORIENTED_EDGE('',*,*,#207858,.F.); #281718=ORIENTED_EDGE('',*,*,#207848,.F.); #281719=ORIENTED_EDGE('',*,*,#207858,.T.); #281720=ORIENTED_EDGE('',*,*,#207855,.T.); #281721=ORIENTED_EDGE('',*,*,#207852,.T.); #281722=ORIENTED_EDGE('',*,*,#207849,.T.); #281723=ORIENTED_EDGE('',*,*,#207846,.T.); #281724=ORIENTED_EDGE('',*,*,#207857,.F.); #281725=ORIENTED_EDGE('',*,*,#207847,.F.); #281726=ORIENTED_EDGE('',*,*,#207851,.F.); #281727=ORIENTED_EDGE('',*,*,#207854,.F.); #281728=ORIENTED_EDGE('',*,*,#207844,.T.); #281729=ORIENTED_EDGE('',*,*,#207859,.F.); #281730=ORIENTED_EDGE('',*,*,#207860,.T.); #281731=ORIENTED_EDGE('',*,*,#207861,.F.); #281732=ORIENTED_EDGE('',*,*,#207860,.F.); #281733=ORIENTED_EDGE('',*,*,#207862,.T.); #281734=ORIENTED_EDGE('',*,*,#207863,.T.); #281735=ORIENTED_EDGE('',*,*,#207864,.F.); #281736=ORIENTED_EDGE('',*,*,#207865,.F.); #281737=ORIENTED_EDGE('',*,*,#207866,.T.); #281738=ORIENTED_EDGE('',*,*,#207865,.T.); #281739=ORIENTED_EDGE('',*,*,#207867,.F.); #281740=ORIENTED_EDGE('',*,*,#207868,.F.); #281741=ORIENTED_EDGE('',*,*,#207869,.T.); #281742=ORIENTED_EDGE('',*,*,#207868,.T.); #281743=ORIENTED_EDGE('',*,*,#207870,.F.); #281744=ORIENTED_EDGE('',*,*,#207871,.F.); #281745=ORIENTED_EDGE('',*,*,#207872,.T.); #281746=ORIENTED_EDGE('',*,*,#207871,.T.); #281747=ORIENTED_EDGE('',*,*,#207873,.F.); #281748=ORIENTED_EDGE('',*,*,#207863,.F.); #281749=ORIENTED_EDGE('',*,*,#207873,.T.); #281750=ORIENTED_EDGE('',*,*,#207870,.T.); #281751=ORIENTED_EDGE('',*,*,#207867,.T.); #281752=ORIENTED_EDGE('',*,*,#207864,.T.); #281753=ORIENTED_EDGE('',*,*,#207861,.T.); #281754=ORIENTED_EDGE('',*,*,#207872,.F.); #281755=ORIENTED_EDGE('',*,*,#207862,.F.); #281756=ORIENTED_EDGE('',*,*,#207866,.F.); #281757=ORIENTED_EDGE('',*,*,#207869,.F.); #281758=ORIENTED_EDGE('',*,*,#207859,.T.); #281759=ORIENTED_EDGE('',*,*,#207874,.F.); #281760=ORIENTED_EDGE('',*,*,#207875,.T.); #281761=ORIENTED_EDGE('',*,*,#207876,.F.); #281762=ORIENTED_EDGE('',*,*,#207875,.F.); #281763=ORIENTED_EDGE('',*,*,#207877,.T.); #281764=ORIENTED_EDGE('',*,*,#207878,.T.); #281765=ORIENTED_EDGE('',*,*,#207879,.F.); #281766=ORIENTED_EDGE('',*,*,#207880,.F.); #281767=ORIENTED_EDGE('',*,*,#207881,.T.); #281768=ORIENTED_EDGE('',*,*,#207880,.T.); #281769=ORIENTED_EDGE('',*,*,#207882,.F.); #281770=ORIENTED_EDGE('',*,*,#207883,.F.); #281771=ORIENTED_EDGE('',*,*,#207884,.T.); #281772=ORIENTED_EDGE('',*,*,#207883,.T.); #281773=ORIENTED_EDGE('',*,*,#207885,.F.); #281774=ORIENTED_EDGE('',*,*,#207886,.F.); #281775=ORIENTED_EDGE('',*,*,#207887,.T.); #281776=ORIENTED_EDGE('',*,*,#207886,.T.); #281777=ORIENTED_EDGE('',*,*,#207888,.F.); #281778=ORIENTED_EDGE('',*,*,#207889,.F.); #281779=ORIENTED_EDGE('',*,*,#207890,.T.); #281780=ORIENTED_EDGE('',*,*,#207889,.T.); #281781=ORIENTED_EDGE('',*,*,#207891,.F.); #281782=ORIENTED_EDGE('',*,*,#207892,.F.); #281783=ORIENTED_EDGE('',*,*,#207893,.T.); #281784=ORIENTED_EDGE('',*,*,#207892,.T.); #281785=ORIENTED_EDGE('',*,*,#207894,.F.); #281786=ORIENTED_EDGE('',*,*,#207895,.F.); #281787=ORIENTED_EDGE('',*,*,#207896,.T.); #281788=ORIENTED_EDGE('',*,*,#207895,.T.); #281789=ORIENTED_EDGE('',*,*,#207897,.F.); #281790=ORIENTED_EDGE('',*,*,#207898,.F.); #281791=ORIENTED_EDGE('',*,*,#207899,.T.); #281792=ORIENTED_EDGE('',*,*,#207898,.T.); #281793=ORIENTED_EDGE('',*,*,#207900,.F.); #281794=ORIENTED_EDGE('',*,*,#207901,.F.); #281795=ORIENTED_EDGE('',*,*,#207902,.T.); #281796=ORIENTED_EDGE('',*,*,#207901,.T.); #281797=ORIENTED_EDGE('',*,*,#207903,.F.); #281798=ORIENTED_EDGE('',*,*,#207904,.F.); #281799=ORIENTED_EDGE('',*,*,#207905,.T.); #281800=ORIENTED_EDGE('',*,*,#207904,.T.); #281801=ORIENTED_EDGE('',*,*,#207906,.F.); #281802=ORIENTED_EDGE('',*,*,#207878,.F.); #281803=ORIENTED_EDGE('',*,*,#207906,.T.); #281804=ORIENTED_EDGE('',*,*,#207903,.T.); #281805=ORIENTED_EDGE('',*,*,#207900,.T.); #281806=ORIENTED_EDGE('',*,*,#207897,.T.); #281807=ORIENTED_EDGE('',*,*,#207894,.T.); #281808=ORIENTED_EDGE('',*,*,#207891,.T.); #281809=ORIENTED_EDGE('',*,*,#207888,.T.); #281810=ORIENTED_EDGE('',*,*,#207885,.T.); #281811=ORIENTED_EDGE('',*,*,#207882,.T.); #281812=ORIENTED_EDGE('',*,*,#207879,.T.); #281813=ORIENTED_EDGE('',*,*,#207876,.T.); #281814=ORIENTED_EDGE('',*,*,#207905,.F.); #281815=ORIENTED_EDGE('',*,*,#207877,.F.); #281816=ORIENTED_EDGE('',*,*,#207881,.F.); #281817=ORIENTED_EDGE('',*,*,#207884,.F.); #281818=ORIENTED_EDGE('',*,*,#207887,.F.); #281819=ORIENTED_EDGE('',*,*,#207890,.F.); #281820=ORIENTED_EDGE('',*,*,#207893,.F.); #281821=ORIENTED_EDGE('',*,*,#207896,.F.); #281822=ORIENTED_EDGE('',*,*,#207899,.F.); #281823=ORIENTED_EDGE('',*,*,#207902,.F.); #281824=ORIENTED_EDGE('',*,*,#207874,.T.); #281825=ORIENTED_EDGE('',*,*,#207907,.F.); #281826=ORIENTED_EDGE('',*,*,#207908,.T.); #281827=ORIENTED_EDGE('',*,*,#207909,.F.); #281828=ORIENTED_EDGE('',*,*,#207908,.F.); #281829=ORIENTED_EDGE('',*,*,#207910,.F.); #281830=ORIENTED_EDGE('',*,*,#207911,.T.); #281831=ORIENTED_EDGE('',*,*,#207912,.F.); #281832=ORIENTED_EDGE('',*,*,#207911,.F.); #281833=ORIENTED_EDGE('',*,*,#207913,.T.); #281834=ORIENTED_EDGE('',*,*,#207914,.T.); #281835=ORIENTED_EDGE('',*,*,#207915,.F.); #281836=ORIENTED_EDGE('',*,*,#207916,.F.); #281837=ORIENTED_EDGE('',*,*,#207917,.T.); #281838=ORIENTED_EDGE('',*,*,#207916,.T.); #281839=ORIENTED_EDGE('',*,*,#207918,.F.); #281840=ORIENTED_EDGE('',*,*,#207919,.F.); #281841=ORIENTED_EDGE('',*,*,#207920,.T.); #281842=ORIENTED_EDGE('',*,*,#207919,.T.); #281843=ORIENTED_EDGE('',*,*,#207921,.F.); #281844=ORIENTED_EDGE('',*,*,#207922,.F.); #281845=ORIENTED_EDGE('',*,*,#207923,.T.); #281846=ORIENTED_EDGE('',*,*,#207922,.T.); #281847=ORIENTED_EDGE('',*,*,#207924,.F.); #281848=ORIENTED_EDGE('',*,*,#207925,.F.); #281849=ORIENTED_EDGE('',*,*,#207926,.T.); #281850=ORIENTED_EDGE('',*,*,#207925,.T.); #281851=ORIENTED_EDGE('',*,*,#207927,.F.); #281852=ORIENTED_EDGE('',*,*,#207928,.F.); #281853=ORIENTED_EDGE('',*,*,#207929,.T.); #281854=ORIENTED_EDGE('',*,*,#207928,.T.); #281855=ORIENTED_EDGE('',*,*,#207930,.F.); #281856=ORIENTED_EDGE('',*,*,#207931,.F.); #281857=ORIENTED_EDGE('',*,*,#207932,.T.); #281858=ORIENTED_EDGE('',*,*,#207931,.T.); #281859=ORIENTED_EDGE('',*,*,#207933,.F.); #281860=ORIENTED_EDGE('',*,*,#207934,.F.); #281861=ORIENTED_EDGE('',*,*,#207935,.T.); #281862=ORIENTED_EDGE('',*,*,#207934,.T.); #281863=ORIENTED_EDGE('',*,*,#207936,.F.); #281864=ORIENTED_EDGE('',*,*,#207937,.F.); #281865=ORIENTED_EDGE('',*,*,#207938,.T.); #281866=ORIENTED_EDGE('',*,*,#207937,.T.); #281867=ORIENTED_EDGE('',*,*,#207939,.F.); #281868=ORIENTED_EDGE('',*,*,#207940,.F.); #281869=ORIENTED_EDGE('',*,*,#207941,.T.); #281870=ORIENTED_EDGE('',*,*,#207940,.T.); #281871=ORIENTED_EDGE('',*,*,#207942,.F.); #281872=ORIENTED_EDGE('',*,*,#207943,.F.); #281873=ORIENTED_EDGE('',*,*,#207944,.T.); #281874=ORIENTED_EDGE('',*,*,#207943,.T.); #281875=ORIENTED_EDGE('',*,*,#207945,.F.); #281876=ORIENTED_EDGE('',*,*,#207946,.F.); #281877=ORIENTED_EDGE('',*,*,#207947,.T.); #281878=ORIENTED_EDGE('',*,*,#207946,.T.); #281879=ORIENTED_EDGE('',*,*,#207948,.F.); #281880=ORIENTED_EDGE('',*,*,#207949,.F.); #281881=ORIENTED_EDGE('',*,*,#207950,.T.); #281882=ORIENTED_EDGE('',*,*,#207949,.T.); #281883=ORIENTED_EDGE('',*,*,#207951,.F.); #281884=ORIENTED_EDGE('',*,*,#207952,.F.); #281885=ORIENTED_EDGE('',*,*,#207953,.T.); #281886=ORIENTED_EDGE('',*,*,#207952,.T.); #281887=ORIENTED_EDGE('',*,*,#207954,.F.); #281888=ORIENTED_EDGE('',*,*,#207955,.F.); #281889=ORIENTED_EDGE('',*,*,#207956,.T.); #281890=ORIENTED_EDGE('',*,*,#207955,.T.); #281891=ORIENTED_EDGE('',*,*,#207957,.F.); #281892=ORIENTED_EDGE('',*,*,#207958,.F.); #281893=ORIENTED_EDGE('',*,*,#207959,.T.); #281894=ORIENTED_EDGE('',*,*,#207958,.T.); #281895=ORIENTED_EDGE('',*,*,#207960,.F.); #281896=ORIENTED_EDGE('',*,*,#207961,.F.); #281897=ORIENTED_EDGE('',*,*,#207962,.T.); #281898=ORIENTED_EDGE('',*,*,#207961,.T.); #281899=ORIENTED_EDGE('',*,*,#207963,.F.); #281900=ORIENTED_EDGE('',*,*,#207964,.F.); #281901=ORIENTED_EDGE('',*,*,#207965,.T.); #281902=ORIENTED_EDGE('',*,*,#207964,.T.); #281903=ORIENTED_EDGE('',*,*,#207966,.F.); #281904=ORIENTED_EDGE('',*,*,#207967,.F.); #281905=ORIENTED_EDGE('',*,*,#207968,.T.); #281906=ORIENTED_EDGE('',*,*,#207967,.T.); #281907=ORIENTED_EDGE('',*,*,#207969,.F.); #281908=ORIENTED_EDGE('',*,*,#207970,.F.); #281909=ORIENTED_EDGE('',*,*,#207971,.T.); #281910=ORIENTED_EDGE('',*,*,#207970,.T.); #281911=ORIENTED_EDGE('',*,*,#207972,.F.); #281912=ORIENTED_EDGE('',*,*,#207973,.F.); #281913=ORIENTED_EDGE('',*,*,#207974,.T.); #281914=ORIENTED_EDGE('',*,*,#207973,.T.); #281915=ORIENTED_EDGE('',*,*,#207975,.F.); #281916=ORIENTED_EDGE('',*,*,#207976,.F.); #281917=ORIENTED_EDGE('',*,*,#207977,.T.); #281918=ORIENTED_EDGE('',*,*,#207976,.T.); #281919=ORIENTED_EDGE('',*,*,#207978,.F.); #281920=ORIENTED_EDGE('',*,*,#207979,.F.); #281921=ORIENTED_EDGE('',*,*,#207980,.T.); #281922=ORIENTED_EDGE('',*,*,#207979,.T.); #281923=ORIENTED_EDGE('',*,*,#207981,.F.); #281924=ORIENTED_EDGE('',*,*,#207982,.F.); #281925=ORIENTED_EDGE('',*,*,#207983,.T.); #281926=ORIENTED_EDGE('',*,*,#207982,.T.); #281927=ORIENTED_EDGE('',*,*,#207984,.F.); #281928=ORIENTED_EDGE('',*,*,#207985,.F.); #281929=ORIENTED_EDGE('',*,*,#207986,.T.); #281930=ORIENTED_EDGE('',*,*,#207985,.T.); #281931=ORIENTED_EDGE('',*,*,#207987,.F.); #281932=ORIENTED_EDGE('',*,*,#207988,.F.); #281933=ORIENTED_EDGE('',*,*,#207989,.T.); #281934=ORIENTED_EDGE('',*,*,#207988,.T.); #281935=ORIENTED_EDGE('',*,*,#207990,.F.); #281936=ORIENTED_EDGE('',*,*,#207991,.F.); #281937=ORIENTED_EDGE('',*,*,#207992,.T.); #281938=ORIENTED_EDGE('',*,*,#207991,.T.); #281939=ORIENTED_EDGE('',*,*,#207993,.F.); #281940=ORIENTED_EDGE('',*,*,#207994,.F.); #281941=ORIENTED_EDGE('',*,*,#207995,.T.); #281942=ORIENTED_EDGE('',*,*,#207994,.T.); #281943=ORIENTED_EDGE('',*,*,#207996,.F.); #281944=ORIENTED_EDGE('',*,*,#207997,.F.); #281945=ORIENTED_EDGE('',*,*,#207998,.T.); #281946=ORIENTED_EDGE('',*,*,#207997,.T.); #281947=ORIENTED_EDGE('',*,*,#207999,.F.); #281948=ORIENTED_EDGE('',*,*,#208000,.F.); #281949=ORIENTED_EDGE('',*,*,#208001,.T.); #281950=ORIENTED_EDGE('',*,*,#208000,.T.); #281951=ORIENTED_EDGE('',*,*,#208002,.F.); #281952=ORIENTED_EDGE('',*,*,#208003,.F.); #281953=ORIENTED_EDGE('',*,*,#208004,.T.); #281954=ORIENTED_EDGE('',*,*,#208003,.T.); #281955=ORIENTED_EDGE('',*,*,#208005,.F.); #281956=ORIENTED_EDGE('',*,*,#208006,.F.); #281957=ORIENTED_EDGE('',*,*,#208007,.T.); #281958=ORIENTED_EDGE('',*,*,#208006,.T.); #281959=ORIENTED_EDGE('',*,*,#208008,.F.); #281960=ORIENTED_EDGE('',*,*,#208009,.F.); #281961=ORIENTED_EDGE('',*,*,#208010,.T.); #281962=ORIENTED_EDGE('',*,*,#208009,.T.); #281963=ORIENTED_EDGE('',*,*,#208011,.F.); #281964=ORIENTED_EDGE('',*,*,#208012,.F.); #281965=ORIENTED_EDGE('',*,*,#208013,.T.); #281966=ORIENTED_EDGE('',*,*,#208012,.T.); #281967=ORIENTED_EDGE('',*,*,#208014,.F.); #281968=ORIENTED_EDGE('',*,*,#208015,.F.); #281969=ORIENTED_EDGE('',*,*,#208016,.T.); #281970=ORIENTED_EDGE('',*,*,#208015,.T.); #281971=ORIENTED_EDGE('',*,*,#208017,.F.); #281972=ORIENTED_EDGE('',*,*,#208018,.F.); #281973=ORIENTED_EDGE('',*,*,#208019,.T.); #281974=ORIENTED_EDGE('',*,*,#208018,.T.); #281975=ORIENTED_EDGE('',*,*,#208020,.F.); #281976=ORIENTED_EDGE('',*,*,#208021,.F.); #281977=ORIENTED_EDGE('',*,*,#208022,.T.); #281978=ORIENTED_EDGE('',*,*,#208021,.T.); #281979=ORIENTED_EDGE('',*,*,#208023,.F.); #281980=ORIENTED_EDGE('',*,*,#208024,.F.); #281981=ORIENTED_EDGE('',*,*,#208025,.T.); #281982=ORIENTED_EDGE('',*,*,#208024,.T.); #281983=ORIENTED_EDGE('',*,*,#208026,.F.); #281984=ORIENTED_EDGE('',*,*,#208027,.F.); #281985=ORIENTED_EDGE('',*,*,#208028,.T.); #281986=ORIENTED_EDGE('',*,*,#208027,.T.); #281987=ORIENTED_EDGE('',*,*,#208029,.F.); #281988=ORIENTED_EDGE('',*,*,#208030,.F.); #281989=ORIENTED_EDGE('',*,*,#208031,.T.); #281990=ORIENTED_EDGE('',*,*,#208030,.T.); #281991=ORIENTED_EDGE('',*,*,#208032,.F.); #281992=ORIENTED_EDGE('',*,*,#208033,.F.); #281993=ORIENTED_EDGE('',*,*,#208034,.T.); #281994=ORIENTED_EDGE('',*,*,#208033,.T.); #281995=ORIENTED_EDGE('',*,*,#208035,.F.); #281996=ORIENTED_EDGE('',*,*,#208036,.F.); #281997=ORIENTED_EDGE('',*,*,#208037,.T.); #281998=ORIENTED_EDGE('',*,*,#208036,.T.); #281999=ORIENTED_EDGE('',*,*,#208038,.F.); #282000=ORIENTED_EDGE('',*,*,#208039,.F.); #282001=ORIENTED_EDGE('',*,*,#208040,.T.); #282002=ORIENTED_EDGE('',*,*,#208039,.T.); #282003=ORIENTED_EDGE('',*,*,#208041,.F.); #282004=ORIENTED_EDGE('',*,*,#208042,.F.); #282005=ORIENTED_EDGE('',*,*,#208043,.T.); #282006=ORIENTED_EDGE('',*,*,#208042,.T.); #282007=ORIENTED_EDGE('',*,*,#208044,.F.); #282008=ORIENTED_EDGE('',*,*,#208045,.F.); #282009=ORIENTED_EDGE('',*,*,#208046,.T.); #282010=ORIENTED_EDGE('',*,*,#208045,.T.); #282011=ORIENTED_EDGE('',*,*,#208047,.F.); #282012=ORIENTED_EDGE('',*,*,#208048,.F.); #282013=ORIENTED_EDGE('',*,*,#208049,.T.); #282014=ORIENTED_EDGE('',*,*,#208048,.T.); #282015=ORIENTED_EDGE('',*,*,#208050,.F.); #282016=ORIENTED_EDGE('',*,*,#208051,.F.); #282017=ORIENTED_EDGE('',*,*,#208052,.T.); #282018=ORIENTED_EDGE('',*,*,#208051,.T.); #282019=ORIENTED_EDGE('',*,*,#208053,.F.); #282020=ORIENTED_EDGE('',*,*,#208054,.F.); #282021=ORIENTED_EDGE('',*,*,#208055,.T.); #282022=ORIENTED_EDGE('',*,*,#208054,.T.); #282023=ORIENTED_EDGE('',*,*,#208056,.F.); #282024=ORIENTED_EDGE('',*,*,#208057,.F.); #282025=ORIENTED_EDGE('',*,*,#208058,.T.); #282026=ORIENTED_EDGE('',*,*,#208057,.T.); #282027=ORIENTED_EDGE('',*,*,#208059,.F.); #282028=ORIENTED_EDGE('',*,*,#208060,.F.); #282029=ORIENTED_EDGE('',*,*,#208061,.T.); #282030=ORIENTED_EDGE('',*,*,#208060,.T.); #282031=ORIENTED_EDGE('',*,*,#208062,.F.); #282032=ORIENTED_EDGE('',*,*,#208063,.F.); #282033=ORIENTED_EDGE('',*,*,#208064,.T.); #282034=ORIENTED_EDGE('',*,*,#208063,.T.); #282035=ORIENTED_EDGE('',*,*,#208065,.F.); #282036=ORIENTED_EDGE('',*,*,#208066,.F.); #282037=ORIENTED_EDGE('',*,*,#208067,.T.); #282038=ORIENTED_EDGE('',*,*,#208066,.T.); #282039=ORIENTED_EDGE('',*,*,#208068,.F.); #282040=ORIENTED_EDGE('',*,*,#208069,.F.); #282041=ORIENTED_EDGE('',*,*,#208070,.T.); #282042=ORIENTED_EDGE('',*,*,#208069,.T.); #282043=ORIENTED_EDGE('',*,*,#208071,.F.); #282044=ORIENTED_EDGE('',*,*,#208072,.F.); #282045=ORIENTED_EDGE('',*,*,#208073,.T.); #282046=ORIENTED_EDGE('',*,*,#208072,.T.); #282047=ORIENTED_EDGE('',*,*,#208074,.F.); #282048=ORIENTED_EDGE('',*,*,#208075,.F.); #282049=ORIENTED_EDGE('',*,*,#208076,.T.); #282050=ORIENTED_EDGE('',*,*,#208075,.T.); #282051=ORIENTED_EDGE('',*,*,#208077,.F.); #282052=ORIENTED_EDGE('',*,*,#208078,.F.); #282053=ORIENTED_EDGE('',*,*,#208079,.T.); #282054=ORIENTED_EDGE('',*,*,#208078,.T.); #282055=ORIENTED_EDGE('',*,*,#208080,.F.); #282056=ORIENTED_EDGE('',*,*,#208081,.F.); #282057=ORIENTED_EDGE('',*,*,#208082,.T.); #282058=ORIENTED_EDGE('',*,*,#208081,.T.); #282059=ORIENTED_EDGE('',*,*,#208083,.F.); #282060=ORIENTED_EDGE('',*,*,#208084,.F.); #282061=ORIENTED_EDGE('',*,*,#208085,.T.); #282062=ORIENTED_EDGE('',*,*,#208084,.T.); #282063=ORIENTED_EDGE('',*,*,#208086,.F.); #282064=ORIENTED_EDGE('',*,*,#208087,.F.); #282065=ORIENTED_EDGE('',*,*,#208088,.T.); #282066=ORIENTED_EDGE('',*,*,#208087,.T.); #282067=ORIENTED_EDGE('',*,*,#208089,.F.); #282068=ORIENTED_EDGE('',*,*,#208090,.F.); #282069=ORIENTED_EDGE('',*,*,#208091,.T.); #282070=ORIENTED_EDGE('',*,*,#208090,.T.); #282071=ORIENTED_EDGE('',*,*,#208092,.F.); #282072=ORIENTED_EDGE('',*,*,#208093,.F.); #282073=ORIENTED_EDGE('',*,*,#208094,.T.); #282074=ORIENTED_EDGE('',*,*,#208093,.T.); #282075=ORIENTED_EDGE('',*,*,#208095,.F.); #282076=ORIENTED_EDGE('',*,*,#207914,.F.); #282077=ORIENTED_EDGE('',*,*,#208095,.T.); #282078=ORIENTED_EDGE('',*,*,#208092,.T.); #282079=ORIENTED_EDGE('',*,*,#208089,.T.); #282080=ORIENTED_EDGE('',*,*,#208086,.T.); #282081=ORIENTED_EDGE('',*,*,#208083,.T.); #282082=ORIENTED_EDGE('',*,*,#208080,.T.); #282083=ORIENTED_EDGE('',*,*,#208077,.T.); #282084=ORIENTED_EDGE('',*,*,#208074,.T.); #282085=ORIENTED_EDGE('',*,*,#208071,.T.); #282086=ORIENTED_EDGE('',*,*,#208068,.T.); #282087=ORIENTED_EDGE('',*,*,#208065,.T.); #282088=ORIENTED_EDGE('',*,*,#208062,.T.); #282089=ORIENTED_EDGE('',*,*,#208059,.T.); #282090=ORIENTED_EDGE('',*,*,#208056,.T.); #282091=ORIENTED_EDGE('',*,*,#208053,.T.); #282092=ORIENTED_EDGE('',*,*,#208050,.T.); #282093=ORIENTED_EDGE('',*,*,#208047,.T.); #282094=ORIENTED_EDGE('',*,*,#208044,.T.); #282095=ORIENTED_EDGE('',*,*,#208041,.T.); #282096=ORIENTED_EDGE('',*,*,#208038,.T.); #282097=ORIENTED_EDGE('',*,*,#208035,.T.); #282098=ORIENTED_EDGE('',*,*,#208032,.T.); #282099=ORIENTED_EDGE('',*,*,#208029,.T.); #282100=ORIENTED_EDGE('',*,*,#208026,.T.); #282101=ORIENTED_EDGE('',*,*,#208023,.T.); #282102=ORIENTED_EDGE('',*,*,#208020,.T.); #282103=ORIENTED_EDGE('',*,*,#208017,.T.); #282104=ORIENTED_EDGE('',*,*,#208014,.T.); #282105=ORIENTED_EDGE('',*,*,#208011,.T.); #282106=ORIENTED_EDGE('',*,*,#208008,.T.); #282107=ORIENTED_EDGE('',*,*,#208005,.T.); #282108=ORIENTED_EDGE('',*,*,#208002,.T.); #282109=ORIENTED_EDGE('',*,*,#207999,.T.); #282110=ORIENTED_EDGE('',*,*,#207996,.T.); #282111=ORIENTED_EDGE('',*,*,#207993,.T.); #282112=ORIENTED_EDGE('',*,*,#207990,.T.); #282113=ORIENTED_EDGE('',*,*,#207987,.T.); #282114=ORIENTED_EDGE('',*,*,#207984,.T.); #282115=ORIENTED_EDGE('',*,*,#207981,.T.); #282116=ORIENTED_EDGE('',*,*,#207978,.T.); #282117=ORIENTED_EDGE('',*,*,#207975,.T.); #282118=ORIENTED_EDGE('',*,*,#207972,.T.); #282119=ORIENTED_EDGE('',*,*,#207969,.T.); #282120=ORIENTED_EDGE('',*,*,#207966,.T.); #282121=ORIENTED_EDGE('',*,*,#207963,.T.); #282122=ORIENTED_EDGE('',*,*,#207960,.T.); #282123=ORIENTED_EDGE('',*,*,#207957,.T.); #282124=ORIENTED_EDGE('',*,*,#207954,.T.); #282125=ORIENTED_EDGE('',*,*,#207951,.T.); #282126=ORIENTED_EDGE('',*,*,#207948,.T.); #282127=ORIENTED_EDGE('',*,*,#207945,.T.); #282128=ORIENTED_EDGE('',*,*,#207942,.T.); #282129=ORIENTED_EDGE('',*,*,#207939,.T.); #282130=ORIENTED_EDGE('',*,*,#207936,.T.); #282131=ORIENTED_EDGE('',*,*,#207933,.T.); #282132=ORIENTED_EDGE('',*,*,#207930,.T.); #282133=ORIENTED_EDGE('',*,*,#207927,.T.); #282134=ORIENTED_EDGE('',*,*,#207924,.T.); #282135=ORIENTED_EDGE('',*,*,#207921,.T.); #282136=ORIENTED_EDGE('',*,*,#207918,.T.); #282137=ORIENTED_EDGE('',*,*,#207915,.T.); #282138=ORIENTED_EDGE('',*,*,#207909,.T.); #282139=ORIENTED_EDGE('',*,*,#207912,.T.); #282140=ORIENTED_EDGE('',*,*,#208094,.F.); #282141=ORIENTED_EDGE('',*,*,#207913,.F.); #282142=ORIENTED_EDGE('',*,*,#207917,.F.); #282143=ORIENTED_EDGE('',*,*,#207920,.F.); #282144=ORIENTED_EDGE('',*,*,#207923,.F.); #282145=ORIENTED_EDGE('',*,*,#207926,.F.); #282146=ORIENTED_EDGE('',*,*,#207929,.F.); #282147=ORIENTED_EDGE('',*,*,#207932,.F.); #282148=ORIENTED_EDGE('',*,*,#207935,.F.); #282149=ORIENTED_EDGE('',*,*,#207938,.F.); #282150=ORIENTED_EDGE('',*,*,#207941,.F.); #282151=ORIENTED_EDGE('',*,*,#207944,.F.); #282152=ORIENTED_EDGE('',*,*,#207947,.F.); #282153=ORIENTED_EDGE('',*,*,#207950,.F.); #282154=ORIENTED_EDGE('',*,*,#207953,.F.); #282155=ORIENTED_EDGE('',*,*,#207956,.F.); #282156=ORIENTED_EDGE('',*,*,#207959,.F.); #282157=ORIENTED_EDGE('',*,*,#207962,.F.); #282158=ORIENTED_EDGE('',*,*,#207965,.F.); #282159=ORIENTED_EDGE('',*,*,#207968,.F.); #282160=ORIENTED_EDGE('',*,*,#207971,.F.); #282161=ORIENTED_EDGE('',*,*,#207974,.F.); #282162=ORIENTED_EDGE('',*,*,#207977,.F.); #282163=ORIENTED_EDGE('',*,*,#207980,.F.); #282164=ORIENTED_EDGE('',*,*,#207983,.F.); #282165=ORIENTED_EDGE('',*,*,#207986,.F.); #282166=ORIENTED_EDGE('',*,*,#207989,.F.); #282167=ORIENTED_EDGE('',*,*,#207992,.F.); #282168=ORIENTED_EDGE('',*,*,#207995,.F.); #282169=ORIENTED_EDGE('',*,*,#207998,.F.); #282170=ORIENTED_EDGE('',*,*,#208001,.F.); #282171=ORIENTED_EDGE('',*,*,#208004,.F.); #282172=ORIENTED_EDGE('',*,*,#208007,.F.); #282173=ORIENTED_EDGE('',*,*,#208010,.F.); #282174=ORIENTED_EDGE('',*,*,#208013,.F.); #282175=ORIENTED_EDGE('',*,*,#208016,.F.); #282176=ORIENTED_EDGE('',*,*,#208019,.F.); #282177=ORIENTED_EDGE('',*,*,#208022,.F.); #282178=ORIENTED_EDGE('',*,*,#208025,.F.); #282179=ORIENTED_EDGE('',*,*,#208028,.F.); #282180=ORIENTED_EDGE('',*,*,#208031,.F.); #282181=ORIENTED_EDGE('',*,*,#208034,.F.); #282182=ORIENTED_EDGE('',*,*,#208037,.F.); #282183=ORIENTED_EDGE('',*,*,#208040,.F.); #282184=ORIENTED_EDGE('',*,*,#208043,.F.); #282185=ORIENTED_EDGE('',*,*,#208046,.F.); #282186=ORIENTED_EDGE('',*,*,#208049,.F.); #282187=ORIENTED_EDGE('',*,*,#208052,.F.); #282188=ORIENTED_EDGE('',*,*,#208055,.F.); #282189=ORIENTED_EDGE('',*,*,#208058,.F.); #282190=ORIENTED_EDGE('',*,*,#208061,.F.); #282191=ORIENTED_EDGE('',*,*,#208064,.F.); #282192=ORIENTED_EDGE('',*,*,#208067,.F.); #282193=ORIENTED_EDGE('',*,*,#208070,.F.); #282194=ORIENTED_EDGE('',*,*,#208073,.F.); #282195=ORIENTED_EDGE('',*,*,#208076,.F.); #282196=ORIENTED_EDGE('',*,*,#208079,.F.); #282197=ORIENTED_EDGE('',*,*,#208082,.F.); #282198=ORIENTED_EDGE('',*,*,#208085,.F.); #282199=ORIENTED_EDGE('',*,*,#208088,.F.); #282200=ORIENTED_EDGE('',*,*,#208091,.F.); #282201=ORIENTED_EDGE('',*,*,#207907,.T.); #282202=ORIENTED_EDGE('',*,*,#207910,.T.); #282203=ORIENTED_EDGE('',*,*,#208096,.F.); #282204=ORIENTED_EDGE('',*,*,#208097,.T.); #282205=ORIENTED_EDGE('',*,*,#208098,.F.); #282206=ORIENTED_EDGE('',*,*,#208097,.F.); #282207=ORIENTED_EDGE('',*,*,#208099,.F.); #282208=ORIENTED_EDGE('',*,*,#208100,.T.); #282209=ORIENTED_EDGE('',*,*,#208101,.F.); #282210=ORIENTED_EDGE('',*,*,#208100,.F.); #282211=ORIENTED_EDGE('',*,*,#208102,.T.); #282212=ORIENTED_EDGE('',*,*,#208103,.T.); #282213=ORIENTED_EDGE('',*,*,#208104,.F.); #282214=ORIENTED_EDGE('',*,*,#208105,.F.); #282215=ORIENTED_EDGE('',*,*,#208106,.T.); #282216=ORIENTED_EDGE('',*,*,#208105,.T.); #282217=ORIENTED_EDGE('',*,*,#208107,.F.); #282218=ORIENTED_EDGE('',*,*,#208108,.F.); #282219=ORIENTED_EDGE('',*,*,#208109,.T.); #282220=ORIENTED_EDGE('',*,*,#208108,.T.); #282221=ORIENTED_EDGE('',*,*,#208110,.F.); #282222=ORIENTED_EDGE('',*,*,#208111,.F.); #282223=ORIENTED_EDGE('',*,*,#208112,.T.); #282224=ORIENTED_EDGE('',*,*,#208111,.T.); #282225=ORIENTED_EDGE('',*,*,#208113,.F.); #282226=ORIENTED_EDGE('',*,*,#208114,.F.); #282227=ORIENTED_EDGE('',*,*,#208115,.T.); #282228=ORIENTED_EDGE('',*,*,#208114,.T.); #282229=ORIENTED_EDGE('',*,*,#208116,.F.); #282230=ORIENTED_EDGE('',*,*,#208117,.F.); #282231=ORIENTED_EDGE('',*,*,#208118,.T.); #282232=ORIENTED_EDGE('',*,*,#208117,.T.); #282233=ORIENTED_EDGE('',*,*,#208119,.F.); #282234=ORIENTED_EDGE('',*,*,#208120,.F.); #282235=ORIENTED_EDGE('',*,*,#208121,.T.); #282236=ORIENTED_EDGE('',*,*,#208120,.T.); #282237=ORIENTED_EDGE('',*,*,#208122,.F.); #282238=ORIENTED_EDGE('',*,*,#208103,.F.); #282239=ORIENTED_EDGE('',*,*,#208122,.T.); #282240=ORIENTED_EDGE('',*,*,#208119,.T.); #282241=ORIENTED_EDGE('',*,*,#208116,.T.); #282242=ORIENTED_EDGE('',*,*,#208113,.T.); #282243=ORIENTED_EDGE('',*,*,#208110,.T.); #282244=ORIENTED_EDGE('',*,*,#208107,.T.); #282245=ORIENTED_EDGE('',*,*,#208104,.T.); #282246=ORIENTED_EDGE('',*,*,#208098,.T.); #282247=ORIENTED_EDGE('',*,*,#208101,.T.); #282248=ORIENTED_EDGE('',*,*,#208121,.F.); #282249=ORIENTED_EDGE('',*,*,#208102,.F.); #282250=ORIENTED_EDGE('',*,*,#208106,.F.); #282251=ORIENTED_EDGE('',*,*,#208109,.F.); #282252=ORIENTED_EDGE('',*,*,#208112,.F.); #282253=ORIENTED_EDGE('',*,*,#208115,.F.); #282254=ORIENTED_EDGE('',*,*,#208118,.F.); #282255=ORIENTED_EDGE('',*,*,#208096,.T.); #282256=ORIENTED_EDGE('',*,*,#208099,.T.); #282257=ORIENTED_EDGE('',*,*,#208123,.F.); #282258=ORIENTED_EDGE('',*,*,#208124,.T.); #282259=ORIENTED_EDGE('',*,*,#208125,.F.); #282260=ORIENTED_EDGE('',*,*,#208124,.F.); #282261=ORIENTED_EDGE('',*,*,#208126,.T.); #282262=ORIENTED_EDGE('',*,*,#208127,.T.); #282263=ORIENTED_EDGE('',*,*,#208128,.F.); #282264=ORIENTED_EDGE('',*,*,#208129,.F.); #282265=ORIENTED_EDGE('',*,*,#208130,.T.); #282266=ORIENTED_EDGE('',*,*,#208129,.T.); #282267=ORIENTED_EDGE('',*,*,#208131,.F.); #282268=ORIENTED_EDGE('',*,*,#208132,.F.); #282269=ORIENTED_EDGE('',*,*,#208133,.T.); #282270=ORIENTED_EDGE('',*,*,#208132,.T.); #282271=ORIENTED_EDGE('',*,*,#208134,.F.); #282272=ORIENTED_EDGE('',*,*,#208135,.F.); #282273=ORIENTED_EDGE('',*,*,#208136,.T.); #282274=ORIENTED_EDGE('',*,*,#208135,.T.); #282275=ORIENTED_EDGE('',*,*,#208137,.F.); #282276=ORIENTED_EDGE('',*,*,#208138,.F.); #282277=ORIENTED_EDGE('',*,*,#208139,.T.); #282278=ORIENTED_EDGE('',*,*,#208138,.T.); #282279=ORIENTED_EDGE('',*,*,#208140,.F.); #282280=ORIENTED_EDGE('',*,*,#208141,.F.); #282281=ORIENTED_EDGE('',*,*,#208142,.T.); #282282=ORIENTED_EDGE('',*,*,#208141,.T.); #282283=ORIENTED_EDGE('',*,*,#208143,.F.); #282284=ORIENTED_EDGE('',*,*,#208144,.F.); #282285=ORIENTED_EDGE('',*,*,#208145,.T.); #282286=ORIENTED_EDGE('',*,*,#208144,.T.); #282287=ORIENTED_EDGE('',*,*,#208146,.F.); #282288=ORIENTED_EDGE('',*,*,#208147,.F.); #282289=ORIENTED_EDGE('',*,*,#208148,.T.); #282290=ORIENTED_EDGE('',*,*,#208147,.T.); #282291=ORIENTED_EDGE('',*,*,#208149,.F.); #282292=ORIENTED_EDGE('',*,*,#208150,.F.); #282293=ORIENTED_EDGE('',*,*,#208151,.T.); #282294=ORIENTED_EDGE('',*,*,#208150,.T.); #282295=ORIENTED_EDGE('',*,*,#208152,.F.); #282296=ORIENTED_EDGE('',*,*,#208153,.F.); #282297=ORIENTED_EDGE('',*,*,#208154,.T.); #282298=ORIENTED_EDGE('',*,*,#208153,.T.); #282299=ORIENTED_EDGE('',*,*,#208155,.F.); #282300=ORIENTED_EDGE('',*,*,#208127,.F.); #282301=ORIENTED_EDGE('',*,*,#208155,.T.); #282302=ORIENTED_EDGE('',*,*,#208152,.T.); #282303=ORIENTED_EDGE('',*,*,#208149,.T.); #282304=ORIENTED_EDGE('',*,*,#208146,.T.); #282305=ORIENTED_EDGE('',*,*,#208143,.T.); #282306=ORIENTED_EDGE('',*,*,#208140,.T.); #282307=ORIENTED_EDGE('',*,*,#208137,.T.); #282308=ORIENTED_EDGE('',*,*,#208134,.T.); #282309=ORIENTED_EDGE('',*,*,#208131,.T.); #282310=ORIENTED_EDGE('',*,*,#208128,.T.); #282311=ORIENTED_EDGE('',*,*,#208125,.T.); #282312=ORIENTED_EDGE('',*,*,#208154,.F.); #282313=ORIENTED_EDGE('',*,*,#208126,.F.); #282314=ORIENTED_EDGE('',*,*,#208130,.F.); #282315=ORIENTED_EDGE('',*,*,#208133,.F.); #282316=ORIENTED_EDGE('',*,*,#208136,.F.); #282317=ORIENTED_EDGE('',*,*,#208139,.F.); #282318=ORIENTED_EDGE('',*,*,#208142,.F.); #282319=ORIENTED_EDGE('',*,*,#208145,.F.); #282320=ORIENTED_EDGE('',*,*,#208148,.F.); #282321=ORIENTED_EDGE('',*,*,#208151,.F.); #282322=ORIENTED_EDGE('',*,*,#208123,.T.); #282323=ORIENTED_EDGE('',*,*,#208156,.F.); #282324=ORIENTED_EDGE('',*,*,#208157,.T.); #282325=ORIENTED_EDGE('',*,*,#208158,.F.); #282326=ORIENTED_EDGE('',*,*,#208157,.F.); #282327=ORIENTED_EDGE('',*,*,#208159,.T.); #282328=ORIENTED_EDGE('',*,*,#208160,.T.); #282329=ORIENTED_EDGE('',*,*,#208161,.F.); #282330=ORIENTED_EDGE('',*,*,#208162,.F.); #282331=ORIENTED_EDGE('',*,*,#208163,.T.); #282332=ORIENTED_EDGE('',*,*,#208162,.T.); #282333=ORIENTED_EDGE('',*,*,#208164,.F.); #282334=ORIENTED_EDGE('',*,*,#208165,.F.); #282335=ORIENTED_EDGE('',*,*,#208166,.T.); #282336=ORIENTED_EDGE('',*,*,#208165,.T.); #282337=ORIENTED_EDGE('',*,*,#208167,.F.); #282338=ORIENTED_EDGE('',*,*,#208168,.F.); #282339=ORIENTED_EDGE('',*,*,#208169,.T.); #282340=ORIENTED_EDGE('',*,*,#208168,.T.); #282341=ORIENTED_EDGE('',*,*,#208170,.F.); #282342=ORIENTED_EDGE('',*,*,#208171,.F.); #282343=ORIENTED_EDGE('',*,*,#208172,.T.); #282344=ORIENTED_EDGE('',*,*,#208171,.T.); #282345=ORIENTED_EDGE('',*,*,#208173,.F.); #282346=ORIENTED_EDGE('',*,*,#208174,.F.); #282347=ORIENTED_EDGE('',*,*,#208175,.T.); #282348=ORIENTED_EDGE('',*,*,#208174,.T.); #282349=ORIENTED_EDGE('',*,*,#208176,.F.); #282350=ORIENTED_EDGE('',*,*,#208177,.F.); #282351=ORIENTED_EDGE('',*,*,#208178,.T.); #282352=ORIENTED_EDGE('',*,*,#208177,.T.); #282353=ORIENTED_EDGE('',*,*,#208179,.F.); #282354=ORIENTED_EDGE('',*,*,#208180,.F.); #282355=ORIENTED_EDGE('',*,*,#208181,.T.); #282356=ORIENTED_EDGE('',*,*,#208180,.T.); #282357=ORIENTED_EDGE('',*,*,#208182,.F.); #282358=ORIENTED_EDGE('',*,*,#208183,.F.); #282359=ORIENTED_EDGE('',*,*,#208184,.T.); #282360=ORIENTED_EDGE('',*,*,#208183,.T.); #282361=ORIENTED_EDGE('',*,*,#208185,.F.); #282362=ORIENTED_EDGE('',*,*,#208186,.F.); #282363=ORIENTED_EDGE('',*,*,#208187,.T.); #282364=ORIENTED_EDGE('',*,*,#208186,.T.); #282365=ORIENTED_EDGE('',*,*,#208188,.F.); #282366=ORIENTED_EDGE('',*,*,#208160,.F.); #282367=ORIENTED_EDGE('',*,*,#208188,.T.); #282368=ORIENTED_EDGE('',*,*,#208185,.T.); #282369=ORIENTED_EDGE('',*,*,#208182,.T.); #282370=ORIENTED_EDGE('',*,*,#208179,.T.); #282371=ORIENTED_EDGE('',*,*,#208176,.T.); #282372=ORIENTED_EDGE('',*,*,#208173,.T.); #282373=ORIENTED_EDGE('',*,*,#208170,.T.); #282374=ORIENTED_EDGE('',*,*,#208167,.T.); #282375=ORIENTED_EDGE('',*,*,#208164,.T.); #282376=ORIENTED_EDGE('',*,*,#208161,.T.); #282377=ORIENTED_EDGE('',*,*,#208158,.T.); #282378=ORIENTED_EDGE('',*,*,#208187,.F.); #282379=ORIENTED_EDGE('',*,*,#208159,.F.); #282380=ORIENTED_EDGE('',*,*,#208163,.F.); #282381=ORIENTED_EDGE('',*,*,#208166,.F.); #282382=ORIENTED_EDGE('',*,*,#208169,.F.); #282383=ORIENTED_EDGE('',*,*,#208172,.F.); #282384=ORIENTED_EDGE('',*,*,#208175,.F.); #282385=ORIENTED_EDGE('',*,*,#208178,.F.); #282386=ORIENTED_EDGE('',*,*,#208181,.F.); #282387=ORIENTED_EDGE('',*,*,#208184,.F.); #282388=ORIENTED_EDGE('',*,*,#208156,.T.); #282389=ORIENTED_EDGE('',*,*,#208189,.F.); #282390=ORIENTED_EDGE('',*,*,#208190,.T.); #282391=ORIENTED_EDGE('',*,*,#208191,.F.); #282392=ORIENTED_EDGE('',*,*,#208190,.F.); #282393=ORIENTED_EDGE('',*,*,#208192,.T.); #282394=ORIENTED_EDGE('',*,*,#208193,.T.); #282395=ORIENTED_EDGE('',*,*,#208194,.F.); #282396=ORIENTED_EDGE('',*,*,#208195,.F.); #282397=ORIENTED_EDGE('',*,*,#208196,.T.); #282398=ORIENTED_EDGE('',*,*,#208195,.T.); #282399=ORIENTED_EDGE('',*,*,#208197,.F.); #282400=ORIENTED_EDGE('',*,*,#208198,.F.); #282401=ORIENTED_EDGE('',*,*,#208199,.T.); #282402=ORIENTED_EDGE('',*,*,#208198,.T.); #282403=ORIENTED_EDGE('',*,*,#208200,.F.); #282404=ORIENTED_EDGE('',*,*,#208201,.F.); #282405=ORIENTED_EDGE('',*,*,#208202,.T.); #282406=ORIENTED_EDGE('',*,*,#208201,.T.); #282407=ORIENTED_EDGE('',*,*,#208203,.F.); #282408=ORIENTED_EDGE('',*,*,#208204,.F.); #282409=ORIENTED_EDGE('',*,*,#208205,.T.); #282410=ORIENTED_EDGE('',*,*,#208204,.T.); #282411=ORIENTED_EDGE('',*,*,#208206,.F.); #282412=ORIENTED_EDGE('',*,*,#208207,.F.); #282413=ORIENTED_EDGE('',*,*,#208208,.T.); #282414=ORIENTED_EDGE('',*,*,#208207,.T.); #282415=ORIENTED_EDGE('',*,*,#208209,.F.); #282416=ORIENTED_EDGE('',*,*,#208210,.F.); #282417=ORIENTED_EDGE('',*,*,#208211,.T.); #282418=ORIENTED_EDGE('',*,*,#208210,.T.); #282419=ORIENTED_EDGE('',*,*,#208212,.F.); #282420=ORIENTED_EDGE('',*,*,#208213,.F.); #282421=ORIENTED_EDGE('',*,*,#208214,.T.); #282422=ORIENTED_EDGE('',*,*,#208213,.T.); #282423=ORIENTED_EDGE('',*,*,#208215,.F.); #282424=ORIENTED_EDGE('',*,*,#208216,.F.); #282425=ORIENTED_EDGE('',*,*,#208217,.T.); #282426=ORIENTED_EDGE('',*,*,#208216,.T.); #282427=ORIENTED_EDGE('',*,*,#208218,.F.); #282428=ORIENTED_EDGE('',*,*,#208219,.F.); #282429=ORIENTED_EDGE('',*,*,#208220,.T.); #282430=ORIENTED_EDGE('',*,*,#208219,.T.); #282431=ORIENTED_EDGE('',*,*,#208221,.F.); #282432=ORIENTED_EDGE('',*,*,#208222,.F.); #282433=ORIENTED_EDGE('',*,*,#208223,.T.); #282434=ORIENTED_EDGE('',*,*,#208222,.T.); #282435=ORIENTED_EDGE('',*,*,#208224,.F.); #282436=ORIENTED_EDGE('',*,*,#208225,.F.); #282437=ORIENTED_EDGE('',*,*,#208226,.T.); #282438=ORIENTED_EDGE('',*,*,#208225,.T.); #282439=ORIENTED_EDGE('',*,*,#208227,.F.); #282440=ORIENTED_EDGE('',*,*,#208228,.F.); #282441=ORIENTED_EDGE('',*,*,#208229,.T.); #282442=ORIENTED_EDGE('',*,*,#208228,.T.); #282443=ORIENTED_EDGE('',*,*,#208230,.F.); #282444=ORIENTED_EDGE('',*,*,#208231,.F.); #282445=ORIENTED_EDGE('',*,*,#208232,.T.); #282446=ORIENTED_EDGE('',*,*,#208231,.T.); #282447=ORIENTED_EDGE('',*,*,#208233,.F.); #282448=ORIENTED_EDGE('',*,*,#208234,.F.); #282449=ORIENTED_EDGE('',*,*,#208235,.T.); #282450=ORIENTED_EDGE('',*,*,#208234,.T.); #282451=ORIENTED_EDGE('',*,*,#208236,.F.); #282452=ORIENTED_EDGE('',*,*,#208237,.F.); #282453=ORIENTED_EDGE('',*,*,#208238,.T.); #282454=ORIENTED_EDGE('',*,*,#208237,.T.); #282455=ORIENTED_EDGE('',*,*,#208239,.F.); #282456=ORIENTED_EDGE('',*,*,#208240,.F.); #282457=ORIENTED_EDGE('',*,*,#208241,.T.); #282458=ORIENTED_EDGE('',*,*,#208240,.T.); #282459=ORIENTED_EDGE('',*,*,#208242,.F.); #282460=ORIENTED_EDGE('',*,*,#208193,.F.); #282461=ORIENTED_EDGE('',*,*,#208242,.T.); #282462=ORIENTED_EDGE('',*,*,#208239,.T.); #282463=ORIENTED_EDGE('',*,*,#208236,.T.); #282464=ORIENTED_EDGE('',*,*,#208233,.T.); #282465=ORIENTED_EDGE('',*,*,#208230,.T.); #282466=ORIENTED_EDGE('',*,*,#208227,.T.); #282467=ORIENTED_EDGE('',*,*,#208224,.T.); #282468=ORIENTED_EDGE('',*,*,#208221,.T.); #282469=ORIENTED_EDGE('',*,*,#208218,.T.); #282470=ORIENTED_EDGE('',*,*,#208215,.T.); #282471=ORIENTED_EDGE('',*,*,#208212,.T.); #282472=ORIENTED_EDGE('',*,*,#208209,.T.); #282473=ORIENTED_EDGE('',*,*,#208206,.T.); #282474=ORIENTED_EDGE('',*,*,#208203,.T.); #282475=ORIENTED_EDGE('',*,*,#208200,.T.); #282476=ORIENTED_EDGE('',*,*,#208197,.T.); #282477=ORIENTED_EDGE('',*,*,#208194,.T.); #282478=ORIENTED_EDGE('',*,*,#208191,.T.); #282479=ORIENTED_EDGE('',*,*,#208241,.F.); #282480=ORIENTED_EDGE('',*,*,#208192,.F.); #282481=ORIENTED_EDGE('',*,*,#208196,.F.); #282482=ORIENTED_EDGE('',*,*,#208199,.F.); #282483=ORIENTED_EDGE('',*,*,#208202,.F.); #282484=ORIENTED_EDGE('',*,*,#208205,.F.); #282485=ORIENTED_EDGE('',*,*,#208208,.F.); #282486=ORIENTED_EDGE('',*,*,#208211,.F.); #282487=ORIENTED_EDGE('',*,*,#208214,.F.); #282488=ORIENTED_EDGE('',*,*,#208217,.F.); #282489=ORIENTED_EDGE('',*,*,#208220,.F.); #282490=ORIENTED_EDGE('',*,*,#208223,.F.); #282491=ORIENTED_EDGE('',*,*,#208226,.F.); #282492=ORIENTED_EDGE('',*,*,#208229,.F.); #282493=ORIENTED_EDGE('',*,*,#208232,.F.); #282494=ORIENTED_EDGE('',*,*,#208235,.F.); #282495=ORIENTED_EDGE('',*,*,#208238,.F.); #282496=ORIENTED_EDGE('',*,*,#208189,.T.); #282497=ORIENTED_EDGE('',*,*,#208243,.F.); #282498=ORIENTED_EDGE('',*,*,#208244,.T.); #282499=ORIENTED_EDGE('',*,*,#208245,.F.); #282500=ORIENTED_EDGE('',*,*,#208244,.F.); #282501=ORIENTED_EDGE('',*,*,#208246,.T.); #282502=ORIENTED_EDGE('',*,*,#208247,.T.); #282503=ORIENTED_EDGE('',*,*,#208248,.F.); #282504=ORIENTED_EDGE('',*,*,#208249,.F.); #282505=ORIENTED_EDGE('',*,*,#208250,.T.); #282506=ORIENTED_EDGE('',*,*,#208249,.T.); #282507=ORIENTED_EDGE('',*,*,#208251,.F.); #282508=ORIENTED_EDGE('',*,*,#208252,.F.); #282509=ORIENTED_EDGE('',*,*,#208253,.T.); #282510=ORIENTED_EDGE('',*,*,#208252,.T.); #282511=ORIENTED_EDGE('',*,*,#208254,.F.); #282512=ORIENTED_EDGE('',*,*,#208255,.F.); #282513=ORIENTED_EDGE('',*,*,#208256,.T.); #282514=ORIENTED_EDGE('',*,*,#208255,.T.); #282515=ORIENTED_EDGE('',*,*,#208257,.F.); #282516=ORIENTED_EDGE('',*,*,#208258,.F.); #282517=ORIENTED_EDGE('',*,*,#208259,.T.); #282518=ORIENTED_EDGE('',*,*,#208258,.T.); #282519=ORIENTED_EDGE('',*,*,#208260,.F.); #282520=ORIENTED_EDGE('',*,*,#208261,.F.); #282521=ORIENTED_EDGE('',*,*,#208262,.T.); #282522=ORIENTED_EDGE('',*,*,#208261,.T.); #282523=ORIENTED_EDGE('',*,*,#208263,.F.); #282524=ORIENTED_EDGE('',*,*,#208264,.F.); #282525=ORIENTED_EDGE('',*,*,#208265,.T.); #282526=ORIENTED_EDGE('',*,*,#208264,.T.); #282527=ORIENTED_EDGE('',*,*,#208266,.F.); #282528=ORIENTED_EDGE('',*,*,#208267,.F.); #282529=ORIENTED_EDGE('',*,*,#208268,.T.); #282530=ORIENTED_EDGE('',*,*,#208267,.T.); #282531=ORIENTED_EDGE('',*,*,#208269,.F.); #282532=ORIENTED_EDGE('',*,*,#208270,.F.); #282533=ORIENTED_EDGE('',*,*,#208271,.T.); #282534=ORIENTED_EDGE('',*,*,#208270,.T.); #282535=ORIENTED_EDGE('',*,*,#208272,.F.); #282536=ORIENTED_EDGE('',*,*,#208273,.F.); #282537=ORIENTED_EDGE('',*,*,#208274,.T.); #282538=ORIENTED_EDGE('',*,*,#208273,.T.); #282539=ORIENTED_EDGE('',*,*,#208275,.F.); #282540=ORIENTED_EDGE('',*,*,#208276,.F.); #282541=ORIENTED_EDGE('',*,*,#208277,.T.); #282542=ORIENTED_EDGE('',*,*,#208276,.T.); #282543=ORIENTED_EDGE('',*,*,#208278,.F.); #282544=ORIENTED_EDGE('',*,*,#208279,.F.); #282545=ORIENTED_EDGE('',*,*,#208280,.T.); #282546=ORIENTED_EDGE('',*,*,#208279,.T.); #282547=ORIENTED_EDGE('',*,*,#208281,.F.); #282548=ORIENTED_EDGE('',*,*,#208282,.F.); #282549=ORIENTED_EDGE('',*,*,#208283,.T.); #282550=ORIENTED_EDGE('',*,*,#208282,.T.); #282551=ORIENTED_EDGE('',*,*,#208284,.F.); #282552=ORIENTED_EDGE('',*,*,#208285,.F.); #282553=ORIENTED_EDGE('',*,*,#208286,.T.); #282554=ORIENTED_EDGE('',*,*,#208285,.T.); #282555=ORIENTED_EDGE('',*,*,#208287,.F.); #282556=ORIENTED_EDGE('',*,*,#208288,.F.); #282557=ORIENTED_EDGE('',*,*,#208289,.T.); #282558=ORIENTED_EDGE('',*,*,#208288,.T.); #282559=ORIENTED_EDGE('',*,*,#208290,.F.); #282560=ORIENTED_EDGE('',*,*,#208247,.F.); #282561=ORIENTED_EDGE('',*,*,#208290,.T.); #282562=ORIENTED_EDGE('',*,*,#208287,.T.); #282563=ORIENTED_EDGE('',*,*,#208284,.T.); #282564=ORIENTED_EDGE('',*,*,#208281,.T.); #282565=ORIENTED_EDGE('',*,*,#208278,.T.); #282566=ORIENTED_EDGE('',*,*,#208275,.T.); #282567=ORIENTED_EDGE('',*,*,#208272,.T.); #282568=ORIENTED_EDGE('',*,*,#208269,.T.); #282569=ORIENTED_EDGE('',*,*,#208266,.T.); #282570=ORIENTED_EDGE('',*,*,#208263,.T.); #282571=ORIENTED_EDGE('',*,*,#208260,.T.); #282572=ORIENTED_EDGE('',*,*,#208257,.T.); #282573=ORIENTED_EDGE('',*,*,#208254,.T.); #282574=ORIENTED_EDGE('',*,*,#208251,.T.); #282575=ORIENTED_EDGE('',*,*,#208248,.T.); #282576=ORIENTED_EDGE('',*,*,#208245,.T.); #282577=ORIENTED_EDGE('',*,*,#208289,.F.); #282578=ORIENTED_EDGE('',*,*,#208246,.F.); #282579=ORIENTED_EDGE('',*,*,#208250,.F.); #282580=ORIENTED_EDGE('',*,*,#208253,.F.); #282581=ORIENTED_EDGE('',*,*,#208256,.F.); #282582=ORIENTED_EDGE('',*,*,#208259,.F.); #282583=ORIENTED_EDGE('',*,*,#208262,.F.); #282584=ORIENTED_EDGE('',*,*,#208265,.F.); #282585=ORIENTED_EDGE('',*,*,#208268,.F.); #282586=ORIENTED_EDGE('',*,*,#208271,.F.); #282587=ORIENTED_EDGE('',*,*,#208274,.F.); #282588=ORIENTED_EDGE('',*,*,#208277,.F.); #282589=ORIENTED_EDGE('',*,*,#208280,.F.); #282590=ORIENTED_EDGE('',*,*,#208283,.F.); #282591=ORIENTED_EDGE('',*,*,#208286,.F.); #282592=ORIENTED_EDGE('',*,*,#208243,.T.); #282593=ORIENTED_EDGE('',*,*,#208291,.F.); #282594=ORIENTED_EDGE('',*,*,#208292,.T.); #282595=ORIENTED_EDGE('',*,*,#208293,.F.); #282596=ORIENTED_EDGE('',*,*,#208292,.F.); #282597=ORIENTED_EDGE('',*,*,#208294,.T.); #282598=ORIENTED_EDGE('',*,*,#208295,.T.); #282599=ORIENTED_EDGE('',*,*,#208296,.F.); #282600=ORIENTED_EDGE('',*,*,#208297,.F.); #282601=ORIENTED_EDGE('',*,*,#208298,.T.); #282602=ORIENTED_EDGE('',*,*,#208297,.T.); #282603=ORIENTED_EDGE('',*,*,#208299,.F.); #282604=ORIENTED_EDGE('',*,*,#208300,.F.); #282605=ORIENTED_EDGE('',*,*,#208301,.T.); #282606=ORIENTED_EDGE('',*,*,#208300,.T.); #282607=ORIENTED_EDGE('',*,*,#208302,.F.); #282608=ORIENTED_EDGE('',*,*,#208295,.F.); #282609=ORIENTED_EDGE('',*,*,#208302,.T.); #282610=ORIENTED_EDGE('',*,*,#208299,.T.); #282611=ORIENTED_EDGE('',*,*,#208296,.T.); #282612=ORIENTED_EDGE('',*,*,#208293,.T.); #282613=ORIENTED_EDGE('',*,*,#208301,.F.); #282614=ORIENTED_EDGE('',*,*,#208294,.F.); #282615=ORIENTED_EDGE('',*,*,#208298,.F.); #282616=ORIENTED_EDGE('',*,*,#208291,.T.); #282617=ORIENTED_EDGE('',*,*,#208303,.F.); #282618=ORIENTED_EDGE('',*,*,#208304,.T.); #282619=ORIENTED_EDGE('',*,*,#208305,.F.); #282620=ORIENTED_EDGE('',*,*,#208304,.F.); #282621=ORIENTED_EDGE('',*,*,#208306,.T.); #282622=ORIENTED_EDGE('',*,*,#208307,.T.); #282623=ORIENTED_EDGE('',*,*,#208308,.F.); #282624=ORIENTED_EDGE('',*,*,#208309,.F.); #282625=ORIENTED_EDGE('',*,*,#208310,.T.); #282626=ORIENTED_EDGE('',*,*,#208309,.T.); #282627=ORIENTED_EDGE('',*,*,#208311,.F.); #282628=ORIENTED_EDGE('',*,*,#208312,.F.); #282629=ORIENTED_EDGE('',*,*,#208313,.T.); #282630=ORIENTED_EDGE('',*,*,#208312,.T.); #282631=ORIENTED_EDGE('',*,*,#208314,.F.); #282632=ORIENTED_EDGE('',*,*,#208315,.F.); #282633=ORIENTED_EDGE('',*,*,#208316,.T.); #282634=ORIENTED_EDGE('',*,*,#208315,.T.); #282635=ORIENTED_EDGE('',*,*,#208317,.F.); #282636=ORIENTED_EDGE('',*,*,#208318,.F.); #282637=ORIENTED_EDGE('',*,*,#208319,.T.); #282638=ORIENTED_EDGE('',*,*,#208318,.T.); #282639=ORIENTED_EDGE('',*,*,#208320,.F.); #282640=ORIENTED_EDGE('',*,*,#208321,.F.); #282641=ORIENTED_EDGE('',*,*,#208322,.T.); #282642=ORIENTED_EDGE('',*,*,#208321,.T.); #282643=ORIENTED_EDGE('',*,*,#208323,.F.); #282644=ORIENTED_EDGE('',*,*,#208324,.F.); #282645=ORIENTED_EDGE('',*,*,#208325,.T.); #282646=ORIENTED_EDGE('',*,*,#208324,.T.); #282647=ORIENTED_EDGE('',*,*,#208326,.F.); #282648=ORIENTED_EDGE('',*,*,#208327,.F.); #282649=ORIENTED_EDGE('',*,*,#208328,.T.); #282650=ORIENTED_EDGE('',*,*,#208327,.T.); #282651=ORIENTED_EDGE('',*,*,#208329,.F.); #282652=ORIENTED_EDGE('',*,*,#208330,.F.); #282653=ORIENTED_EDGE('',*,*,#208331,.T.); #282654=ORIENTED_EDGE('',*,*,#208330,.T.); #282655=ORIENTED_EDGE('',*,*,#208332,.F.); #282656=ORIENTED_EDGE('',*,*,#208333,.F.); #282657=ORIENTED_EDGE('',*,*,#208334,.T.); #282658=ORIENTED_EDGE('',*,*,#208333,.T.); #282659=ORIENTED_EDGE('',*,*,#208335,.F.); #282660=ORIENTED_EDGE('',*,*,#208336,.F.); #282661=ORIENTED_EDGE('',*,*,#208337,.T.); #282662=ORIENTED_EDGE('',*,*,#208336,.T.); #282663=ORIENTED_EDGE('',*,*,#208338,.F.); #282664=ORIENTED_EDGE('',*,*,#208339,.F.); #282665=ORIENTED_EDGE('',*,*,#208340,.T.); #282666=ORIENTED_EDGE('',*,*,#208339,.T.); #282667=ORIENTED_EDGE('',*,*,#208341,.F.); #282668=ORIENTED_EDGE('',*,*,#208342,.F.); #282669=ORIENTED_EDGE('',*,*,#208343,.T.); #282670=ORIENTED_EDGE('',*,*,#208342,.T.); #282671=ORIENTED_EDGE('',*,*,#208344,.F.); #282672=ORIENTED_EDGE('',*,*,#208345,.F.); #282673=ORIENTED_EDGE('',*,*,#208346,.T.); #282674=ORIENTED_EDGE('',*,*,#208345,.T.); #282675=ORIENTED_EDGE('',*,*,#208347,.F.); #282676=ORIENTED_EDGE('',*,*,#208348,.F.); #282677=ORIENTED_EDGE('',*,*,#208349,.T.); #282678=ORIENTED_EDGE('',*,*,#208348,.T.); #282679=ORIENTED_EDGE('',*,*,#208350,.F.); #282680=ORIENTED_EDGE('',*,*,#208351,.F.); #282681=ORIENTED_EDGE('',*,*,#208352,.T.); #282682=ORIENTED_EDGE('',*,*,#208351,.T.); #282683=ORIENTED_EDGE('',*,*,#208353,.F.); #282684=ORIENTED_EDGE('',*,*,#208354,.F.); #282685=ORIENTED_EDGE('',*,*,#208355,.T.); #282686=ORIENTED_EDGE('',*,*,#208354,.T.); #282687=ORIENTED_EDGE('',*,*,#208356,.F.); #282688=ORIENTED_EDGE('',*,*,#208357,.F.); #282689=ORIENTED_EDGE('',*,*,#208358,.T.); #282690=ORIENTED_EDGE('',*,*,#208357,.T.); #282691=ORIENTED_EDGE('',*,*,#208359,.F.); #282692=ORIENTED_EDGE('',*,*,#208360,.F.); #282693=ORIENTED_EDGE('',*,*,#208361,.T.); #282694=ORIENTED_EDGE('',*,*,#208360,.T.); #282695=ORIENTED_EDGE('',*,*,#208362,.F.); #282696=ORIENTED_EDGE('',*,*,#208363,.F.); #282697=ORIENTED_EDGE('',*,*,#208364,.T.); #282698=ORIENTED_EDGE('',*,*,#208363,.T.); #282699=ORIENTED_EDGE('',*,*,#208365,.F.); #282700=ORIENTED_EDGE('',*,*,#208366,.F.); #282701=ORIENTED_EDGE('',*,*,#208367,.T.); #282702=ORIENTED_EDGE('',*,*,#208366,.T.); #282703=ORIENTED_EDGE('',*,*,#208368,.F.); #282704=ORIENTED_EDGE('',*,*,#208307,.F.); #282705=ORIENTED_EDGE('',*,*,#208368,.T.); #282706=ORIENTED_EDGE('',*,*,#208365,.T.); #282707=ORIENTED_EDGE('',*,*,#208362,.T.); #282708=ORIENTED_EDGE('',*,*,#208359,.T.); #282709=ORIENTED_EDGE('',*,*,#208356,.T.); #282710=ORIENTED_EDGE('',*,*,#208353,.T.); #282711=ORIENTED_EDGE('',*,*,#208350,.T.); #282712=ORIENTED_EDGE('',*,*,#208347,.T.); #282713=ORIENTED_EDGE('',*,*,#208344,.T.); #282714=ORIENTED_EDGE('',*,*,#208341,.T.); #282715=ORIENTED_EDGE('',*,*,#208338,.T.); #282716=ORIENTED_EDGE('',*,*,#208335,.T.); #282717=ORIENTED_EDGE('',*,*,#208332,.T.); #282718=ORIENTED_EDGE('',*,*,#208329,.T.); #282719=ORIENTED_EDGE('',*,*,#208326,.T.); #282720=ORIENTED_EDGE('',*,*,#208323,.T.); #282721=ORIENTED_EDGE('',*,*,#208320,.T.); #282722=ORIENTED_EDGE('',*,*,#208317,.T.); #282723=ORIENTED_EDGE('',*,*,#208314,.T.); #282724=ORIENTED_EDGE('',*,*,#208311,.T.); #282725=ORIENTED_EDGE('',*,*,#208308,.T.); #282726=ORIENTED_EDGE('',*,*,#208305,.T.); #282727=ORIENTED_EDGE('',*,*,#208367,.F.); #282728=ORIENTED_EDGE('',*,*,#208306,.F.); #282729=ORIENTED_EDGE('',*,*,#208310,.F.); #282730=ORIENTED_EDGE('',*,*,#208313,.F.); #282731=ORIENTED_EDGE('',*,*,#208316,.F.); #282732=ORIENTED_EDGE('',*,*,#208319,.F.); #282733=ORIENTED_EDGE('',*,*,#208322,.F.); #282734=ORIENTED_EDGE('',*,*,#208325,.F.); #282735=ORIENTED_EDGE('',*,*,#208328,.F.); #282736=ORIENTED_EDGE('',*,*,#208331,.F.); #282737=ORIENTED_EDGE('',*,*,#208334,.F.); #282738=ORIENTED_EDGE('',*,*,#208337,.F.); #282739=ORIENTED_EDGE('',*,*,#208340,.F.); #282740=ORIENTED_EDGE('',*,*,#208343,.F.); #282741=ORIENTED_EDGE('',*,*,#208346,.F.); #282742=ORIENTED_EDGE('',*,*,#208349,.F.); #282743=ORIENTED_EDGE('',*,*,#208352,.F.); #282744=ORIENTED_EDGE('',*,*,#208355,.F.); #282745=ORIENTED_EDGE('',*,*,#208358,.F.); #282746=ORIENTED_EDGE('',*,*,#208361,.F.); #282747=ORIENTED_EDGE('',*,*,#208364,.F.); #282748=ORIENTED_EDGE('',*,*,#208303,.T.); #282749=ORIENTED_EDGE('',*,*,#208369,.F.); #282750=ORIENTED_EDGE('',*,*,#208370,.T.); #282751=ORIENTED_EDGE('',*,*,#208371,.F.); #282752=ORIENTED_EDGE('',*,*,#208370,.F.); #282753=ORIENTED_EDGE('',*,*,#208372,.T.); #282754=ORIENTED_EDGE('',*,*,#208373,.T.); #282755=ORIENTED_EDGE('',*,*,#208374,.F.); #282756=ORIENTED_EDGE('',*,*,#208375,.F.); #282757=ORIENTED_EDGE('',*,*,#208376,.T.); #282758=ORIENTED_EDGE('',*,*,#208375,.T.); #282759=ORIENTED_EDGE('',*,*,#208377,.F.); #282760=ORIENTED_EDGE('',*,*,#208378,.F.); #282761=ORIENTED_EDGE('',*,*,#208379,.T.); #282762=ORIENTED_EDGE('',*,*,#208378,.T.); #282763=ORIENTED_EDGE('',*,*,#208380,.F.); #282764=ORIENTED_EDGE('',*,*,#208381,.F.); #282765=ORIENTED_EDGE('',*,*,#208382,.T.); #282766=ORIENTED_EDGE('',*,*,#208381,.T.); #282767=ORIENTED_EDGE('',*,*,#208383,.F.); #282768=ORIENTED_EDGE('',*,*,#208384,.F.); #282769=ORIENTED_EDGE('',*,*,#208385,.T.); #282770=ORIENTED_EDGE('',*,*,#208384,.T.); #282771=ORIENTED_EDGE('',*,*,#208386,.F.); #282772=ORIENTED_EDGE('',*,*,#208387,.F.); #282773=ORIENTED_EDGE('',*,*,#208388,.T.); #282774=ORIENTED_EDGE('',*,*,#208387,.T.); #282775=ORIENTED_EDGE('',*,*,#208389,.F.); #282776=ORIENTED_EDGE('',*,*,#208390,.F.); #282777=ORIENTED_EDGE('',*,*,#208391,.T.); #282778=ORIENTED_EDGE('',*,*,#208390,.T.); #282779=ORIENTED_EDGE('',*,*,#208392,.F.); #282780=ORIENTED_EDGE('',*,*,#208393,.F.); #282781=ORIENTED_EDGE('',*,*,#208394,.T.); #282782=ORIENTED_EDGE('',*,*,#208393,.T.); #282783=ORIENTED_EDGE('',*,*,#208395,.F.); #282784=ORIENTED_EDGE('',*,*,#208396,.F.); #282785=ORIENTED_EDGE('',*,*,#208397,.T.); #282786=ORIENTED_EDGE('',*,*,#208396,.T.); #282787=ORIENTED_EDGE('',*,*,#208398,.F.); #282788=ORIENTED_EDGE('',*,*,#208399,.F.); #282789=ORIENTED_EDGE('',*,*,#208400,.T.); #282790=ORIENTED_EDGE('',*,*,#208399,.T.); #282791=ORIENTED_EDGE('',*,*,#208401,.F.); #282792=ORIENTED_EDGE('',*,*,#208402,.F.); #282793=ORIENTED_EDGE('',*,*,#208403,.T.); #282794=ORIENTED_EDGE('',*,*,#208402,.T.); #282795=ORIENTED_EDGE('',*,*,#208404,.F.); #282796=ORIENTED_EDGE('',*,*,#208405,.F.); #282797=ORIENTED_EDGE('',*,*,#208406,.T.); #282798=ORIENTED_EDGE('',*,*,#208405,.T.); #282799=ORIENTED_EDGE('',*,*,#208407,.F.); #282800=ORIENTED_EDGE('',*,*,#208408,.F.); #282801=ORIENTED_EDGE('',*,*,#208409,.T.); #282802=ORIENTED_EDGE('',*,*,#208408,.T.); #282803=ORIENTED_EDGE('',*,*,#208410,.F.); #282804=ORIENTED_EDGE('',*,*,#208411,.F.); #282805=ORIENTED_EDGE('',*,*,#208412,.T.); #282806=ORIENTED_EDGE('',*,*,#208411,.T.); #282807=ORIENTED_EDGE('',*,*,#208413,.F.); #282808=ORIENTED_EDGE('',*,*,#208414,.F.); #282809=ORIENTED_EDGE('',*,*,#208415,.T.); #282810=ORIENTED_EDGE('',*,*,#208414,.T.); #282811=ORIENTED_EDGE('',*,*,#208416,.F.); #282812=ORIENTED_EDGE('',*,*,#208373,.F.); #282813=ORIENTED_EDGE('',*,*,#208416,.T.); #282814=ORIENTED_EDGE('',*,*,#208413,.T.); #282815=ORIENTED_EDGE('',*,*,#208410,.T.); #282816=ORIENTED_EDGE('',*,*,#208407,.T.); #282817=ORIENTED_EDGE('',*,*,#208404,.T.); #282818=ORIENTED_EDGE('',*,*,#208401,.T.); #282819=ORIENTED_EDGE('',*,*,#208398,.T.); #282820=ORIENTED_EDGE('',*,*,#208395,.T.); #282821=ORIENTED_EDGE('',*,*,#208392,.T.); #282822=ORIENTED_EDGE('',*,*,#208389,.T.); #282823=ORIENTED_EDGE('',*,*,#208386,.T.); #282824=ORIENTED_EDGE('',*,*,#208383,.T.); #282825=ORIENTED_EDGE('',*,*,#208380,.T.); #282826=ORIENTED_EDGE('',*,*,#208377,.T.); #282827=ORIENTED_EDGE('',*,*,#208374,.T.); #282828=ORIENTED_EDGE('',*,*,#208371,.T.); #282829=ORIENTED_EDGE('',*,*,#208415,.F.); #282830=ORIENTED_EDGE('',*,*,#208372,.F.); #282831=ORIENTED_EDGE('',*,*,#208376,.F.); #282832=ORIENTED_EDGE('',*,*,#208379,.F.); #282833=ORIENTED_EDGE('',*,*,#208382,.F.); #282834=ORIENTED_EDGE('',*,*,#208385,.F.); #282835=ORIENTED_EDGE('',*,*,#208388,.F.); #282836=ORIENTED_EDGE('',*,*,#208391,.F.); #282837=ORIENTED_EDGE('',*,*,#208394,.F.); #282838=ORIENTED_EDGE('',*,*,#208397,.F.); #282839=ORIENTED_EDGE('',*,*,#208400,.F.); #282840=ORIENTED_EDGE('',*,*,#208403,.F.); #282841=ORIENTED_EDGE('',*,*,#208406,.F.); #282842=ORIENTED_EDGE('',*,*,#208409,.F.); #282843=ORIENTED_EDGE('',*,*,#208412,.F.); #282844=ORIENTED_EDGE('',*,*,#208369,.T.); #282845=ORIENTED_EDGE('',*,*,#208417,.T.); #282846=ORIENTED_EDGE('',*,*,#208418,.T.); #282847=ORIENTED_EDGE('',*,*,#208419,.F.); #282848=ORIENTED_EDGE('',*,*,#208420,.F.); #282849=ORIENTED_EDGE('',*,*,#208421,.T.); #282850=ORIENTED_EDGE('',*,*,#208420,.T.); #282851=ORIENTED_EDGE('',*,*,#208422,.F.); #282852=ORIENTED_EDGE('',*,*,#208423,.F.); #282853=ORIENTED_EDGE('',*,*,#208424,.T.); #282854=ORIENTED_EDGE('',*,*,#208423,.T.); #282855=ORIENTED_EDGE('',*,*,#208425,.F.); #282856=ORIENTED_EDGE('',*,*,#208426,.F.); #282857=ORIENTED_EDGE('',*,*,#208427,.T.); #282858=ORIENTED_EDGE('',*,*,#208426,.T.); #282859=ORIENTED_EDGE('',*,*,#208428,.F.); #282860=ORIENTED_EDGE('',*,*,#208429,.F.); #282861=ORIENTED_EDGE('',*,*,#208430,.T.); #282862=ORIENTED_EDGE('',*,*,#208429,.T.); #282863=ORIENTED_EDGE('',*,*,#208431,.F.); #282864=ORIENTED_EDGE('',*,*,#208432,.F.); #282865=ORIENTED_EDGE('',*,*,#208433,.T.); #282866=ORIENTED_EDGE('',*,*,#208432,.T.); #282867=ORIENTED_EDGE('',*,*,#208434,.F.); #282868=ORIENTED_EDGE('',*,*,#208435,.F.); #282869=ORIENTED_EDGE('',*,*,#208436,.T.); #282870=ORIENTED_EDGE('',*,*,#208435,.T.); #282871=ORIENTED_EDGE('',*,*,#208437,.F.); #282872=ORIENTED_EDGE('',*,*,#208438,.F.); #282873=ORIENTED_EDGE('',*,*,#208439,.T.); #282874=ORIENTED_EDGE('',*,*,#208438,.T.); #282875=ORIENTED_EDGE('',*,*,#208440,.F.); #282876=ORIENTED_EDGE('',*,*,#208441,.F.); #282877=ORIENTED_EDGE('',*,*,#208442,.T.); #282878=ORIENTED_EDGE('',*,*,#208441,.T.); #282879=ORIENTED_EDGE('',*,*,#208443,.F.); #282880=ORIENTED_EDGE('',*,*,#208444,.F.); #282881=ORIENTED_EDGE('',*,*,#208445,.T.); #282882=ORIENTED_EDGE('',*,*,#208444,.T.); #282883=ORIENTED_EDGE('',*,*,#208446,.F.); #282884=ORIENTED_EDGE('',*,*,#208447,.F.); #282885=ORIENTED_EDGE('',*,*,#208448,.T.); #282886=ORIENTED_EDGE('',*,*,#208447,.T.); #282887=ORIENTED_EDGE('',*,*,#208449,.F.); #282888=ORIENTED_EDGE('',*,*,#208450,.F.); #282889=ORIENTED_EDGE('',*,*,#208451,.T.); #282890=ORIENTED_EDGE('',*,*,#208450,.T.); #282891=ORIENTED_EDGE('',*,*,#208452,.F.); #282892=ORIENTED_EDGE('',*,*,#208453,.F.); #282893=ORIENTED_EDGE('',*,*,#208454,.T.); #282894=ORIENTED_EDGE('',*,*,#208453,.T.); #282895=ORIENTED_EDGE('',*,*,#208455,.F.); #282896=ORIENTED_EDGE('',*,*,#208456,.F.); #282897=ORIENTED_EDGE('',*,*,#208457,.T.); #282898=ORIENTED_EDGE('',*,*,#208456,.T.); #282899=ORIENTED_EDGE('',*,*,#208458,.F.); #282900=ORIENTED_EDGE('',*,*,#208418,.F.); #282901=ORIENTED_EDGE('',*,*,#208458,.T.); #282902=ORIENTED_EDGE('',*,*,#208455,.T.); #282903=ORIENTED_EDGE('',*,*,#208452,.T.); #282904=ORIENTED_EDGE('',*,*,#208449,.T.); #282905=ORIENTED_EDGE('',*,*,#208446,.T.); #282906=ORIENTED_EDGE('',*,*,#208443,.T.); #282907=ORIENTED_EDGE('',*,*,#208440,.T.); #282908=ORIENTED_EDGE('',*,*,#208437,.T.); #282909=ORIENTED_EDGE('',*,*,#208434,.T.); #282910=ORIENTED_EDGE('',*,*,#208431,.T.); #282911=ORIENTED_EDGE('',*,*,#208428,.T.); #282912=ORIENTED_EDGE('',*,*,#208425,.T.); #282913=ORIENTED_EDGE('',*,*,#208422,.T.); #282914=ORIENTED_EDGE('',*,*,#208419,.T.); #282915=ORIENTED_EDGE('',*,*,#208457,.F.); #282916=ORIENTED_EDGE('',*,*,#208417,.F.); #282917=ORIENTED_EDGE('',*,*,#208421,.F.); #282918=ORIENTED_EDGE('',*,*,#208424,.F.); #282919=ORIENTED_EDGE('',*,*,#208427,.F.); #282920=ORIENTED_EDGE('',*,*,#208430,.F.); #282921=ORIENTED_EDGE('',*,*,#208433,.F.); #282922=ORIENTED_EDGE('',*,*,#208436,.F.); #282923=ORIENTED_EDGE('',*,*,#208439,.F.); #282924=ORIENTED_EDGE('',*,*,#208442,.F.); #282925=ORIENTED_EDGE('',*,*,#208445,.F.); #282926=ORIENTED_EDGE('',*,*,#208448,.F.); #282927=ORIENTED_EDGE('',*,*,#208451,.F.); #282928=ORIENTED_EDGE('',*,*,#208454,.F.); #282929=ORIENTED_EDGE('',*,*,#208459,.T.); #282930=ORIENTED_EDGE('',*,*,#208460,.T.); #282931=ORIENTED_EDGE('',*,*,#208461,.F.); #282932=ORIENTED_EDGE('',*,*,#208462,.F.); #282933=ORIENTED_EDGE('',*,*,#208463,.T.); #282934=ORIENTED_EDGE('',*,*,#208462,.T.); #282935=ORIENTED_EDGE('',*,*,#208464,.F.); #282936=ORIENTED_EDGE('',*,*,#208465,.F.); #282937=ORIENTED_EDGE('',*,*,#208466,.T.); #282938=ORIENTED_EDGE('',*,*,#208465,.T.); #282939=ORIENTED_EDGE('',*,*,#208467,.F.); #282940=ORIENTED_EDGE('',*,*,#208468,.F.); #282941=ORIENTED_EDGE('',*,*,#208469,.T.); #282942=ORIENTED_EDGE('',*,*,#208468,.T.); #282943=ORIENTED_EDGE('',*,*,#208470,.F.); #282944=ORIENTED_EDGE('',*,*,#208471,.F.); #282945=ORIENTED_EDGE('',*,*,#208472,.T.); #282946=ORIENTED_EDGE('',*,*,#208471,.T.); #282947=ORIENTED_EDGE('',*,*,#208473,.F.); #282948=ORIENTED_EDGE('',*,*,#208474,.F.); #282949=ORIENTED_EDGE('',*,*,#208475,.T.); #282950=ORIENTED_EDGE('',*,*,#208474,.T.); #282951=ORIENTED_EDGE('',*,*,#208476,.F.); #282952=ORIENTED_EDGE('',*,*,#208477,.F.); #282953=ORIENTED_EDGE('',*,*,#208478,.T.); #282954=ORIENTED_EDGE('',*,*,#208477,.T.); #282955=ORIENTED_EDGE('',*,*,#208479,.F.); #282956=ORIENTED_EDGE('',*,*,#208480,.F.); #282957=ORIENTED_EDGE('',*,*,#208481,.T.); #282958=ORIENTED_EDGE('',*,*,#208480,.T.); #282959=ORIENTED_EDGE('',*,*,#208482,.F.); #282960=ORIENTED_EDGE('',*,*,#208483,.F.); #282961=ORIENTED_EDGE('',*,*,#208484,.T.); #282962=ORIENTED_EDGE('',*,*,#208483,.T.); #282963=ORIENTED_EDGE('',*,*,#208485,.F.); #282964=ORIENTED_EDGE('',*,*,#208486,.F.); #282965=ORIENTED_EDGE('',*,*,#208487,.T.); #282966=ORIENTED_EDGE('',*,*,#208486,.T.); #282967=ORIENTED_EDGE('',*,*,#208488,.F.); #282968=ORIENTED_EDGE('',*,*,#208489,.F.); #282969=ORIENTED_EDGE('',*,*,#208490,.T.); #282970=ORIENTED_EDGE('',*,*,#208489,.T.); #282971=ORIENTED_EDGE('',*,*,#208491,.F.); #282972=ORIENTED_EDGE('',*,*,#208492,.F.); #282973=ORIENTED_EDGE('',*,*,#208493,.T.); #282974=ORIENTED_EDGE('',*,*,#208492,.T.); #282975=ORIENTED_EDGE('',*,*,#208494,.F.); #282976=ORIENTED_EDGE('',*,*,#208495,.F.); #282977=ORIENTED_EDGE('',*,*,#208496,.T.); #282978=ORIENTED_EDGE('',*,*,#208495,.T.); #282979=ORIENTED_EDGE('',*,*,#208497,.F.); #282980=ORIENTED_EDGE('',*,*,#208498,.F.); #282981=ORIENTED_EDGE('',*,*,#208499,.T.); #282982=ORIENTED_EDGE('',*,*,#208498,.T.); #282983=ORIENTED_EDGE('',*,*,#208500,.F.); #282984=ORIENTED_EDGE('',*,*,#208460,.F.); #282985=ORIENTED_EDGE('',*,*,#208500,.T.); #282986=ORIENTED_EDGE('',*,*,#208497,.T.); #282987=ORIENTED_EDGE('',*,*,#208494,.T.); #282988=ORIENTED_EDGE('',*,*,#208491,.T.); #282989=ORIENTED_EDGE('',*,*,#208488,.T.); #282990=ORIENTED_EDGE('',*,*,#208485,.T.); #282991=ORIENTED_EDGE('',*,*,#208482,.T.); #282992=ORIENTED_EDGE('',*,*,#208479,.T.); #282993=ORIENTED_EDGE('',*,*,#208476,.T.); #282994=ORIENTED_EDGE('',*,*,#208473,.T.); #282995=ORIENTED_EDGE('',*,*,#208470,.T.); #282996=ORIENTED_EDGE('',*,*,#208467,.T.); #282997=ORIENTED_EDGE('',*,*,#208464,.T.); #282998=ORIENTED_EDGE('',*,*,#208461,.T.); #282999=ORIENTED_EDGE('',*,*,#208499,.F.); #283000=ORIENTED_EDGE('',*,*,#208459,.F.); #283001=ORIENTED_EDGE('',*,*,#208463,.F.); #283002=ORIENTED_EDGE('',*,*,#208466,.F.); #283003=ORIENTED_EDGE('',*,*,#208469,.F.); #283004=ORIENTED_EDGE('',*,*,#208472,.F.); #283005=ORIENTED_EDGE('',*,*,#208475,.F.); #283006=ORIENTED_EDGE('',*,*,#208478,.F.); #283007=ORIENTED_EDGE('',*,*,#208481,.F.); #283008=ORIENTED_EDGE('',*,*,#208484,.F.); #283009=ORIENTED_EDGE('',*,*,#208487,.F.); #283010=ORIENTED_EDGE('',*,*,#208490,.F.); #283011=ORIENTED_EDGE('',*,*,#208493,.F.); #283012=ORIENTED_EDGE('',*,*,#208496,.F.); #283013=ORIENTED_EDGE('',*,*,#208501,.F.); #283014=ORIENTED_EDGE('',*,*,#208502,.T.); #283015=ORIENTED_EDGE('',*,*,#208503,.F.); #283016=ORIENTED_EDGE('',*,*,#208502,.F.); #283017=ORIENTED_EDGE('',*,*,#208504,.T.); #283018=ORIENTED_EDGE('',*,*,#208505,.T.); #283019=ORIENTED_EDGE('',*,*,#208506,.F.); #283020=ORIENTED_EDGE('',*,*,#208507,.F.); #283021=ORIENTED_EDGE('',*,*,#208508,.T.); #283022=ORIENTED_EDGE('',*,*,#208507,.T.); #283023=ORIENTED_EDGE('',*,*,#208509,.F.); #283024=ORIENTED_EDGE('',*,*,#208510,.F.); #283025=ORIENTED_EDGE('',*,*,#208511,.T.); #283026=ORIENTED_EDGE('',*,*,#208510,.T.); #283027=ORIENTED_EDGE('',*,*,#208512,.F.); #283028=ORIENTED_EDGE('',*,*,#208513,.F.); #283029=ORIENTED_EDGE('',*,*,#208514,.T.); #283030=ORIENTED_EDGE('',*,*,#208513,.T.); #283031=ORIENTED_EDGE('',*,*,#208515,.F.); #283032=ORIENTED_EDGE('',*,*,#208516,.F.); #283033=ORIENTED_EDGE('',*,*,#208517,.T.); #283034=ORIENTED_EDGE('',*,*,#208516,.T.); #283035=ORIENTED_EDGE('',*,*,#208518,.F.); #283036=ORIENTED_EDGE('',*,*,#208519,.F.); #283037=ORIENTED_EDGE('',*,*,#208520,.T.); #283038=ORIENTED_EDGE('',*,*,#208519,.T.); #283039=ORIENTED_EDGE('',*,*,#208521,.F.); #283040=ORIENTED_EDGE('',*,*,#208522,.F.); #283041=ORIENTED_EDGE('',*,*,#208523,.T.); #283042=ORIENTED_EDGE('',*,*,#208522,.T.); #283043=ORIENTED_EDGE('',*,*,#208524,.F.); #283044=ORIENTED_EDGE('',*,*,#208525,.F.); #283045=ORIENTED_EDGE('',*,*,#208526,.T.); #283046=ORIENTED_EDGE('',*,*,#208525,.T.); #283047=ORIENTED_EDGE('',*,*,#208527,.F.); #283048=ORIENTED_EDGE('',*,*,#208528,.F.); #283049=ORIENTED_EDGE('',*,*,#208529,.T.); #283050=ORIENTED_EDGE('',*,*,#208528,.T.); #283051=ORIENTED_EDGE('',*,*,#208530,.F.); #283052=ORIENTED_EDGE('',*,*,#208531,.F.); #283053=ORIENTED_EDGE('',*,*,#208532,.T.); #283054=ORIENTED_EDGE('',*,*,#208531,.T.); #283055=ORIENTED_EDGE('',*,*,#208533,.F.); #283056=ORIENTED_EDGE('',*,*,#208534,.F.); #283057=ORIENTED_EDGE('',*,*,#208535,.T.); #283058=ORIENTED_EDGE('',*,*,#208534,.T.); #283059=ORIENTED_EDGE('',*,*,#208536,.F.); #283060=ORIENTED_EDGE('',*,*,#208537,.F.); #283061=ORIENTED_EDGE('',*,*,#208538,.T.); #283062=ORIENTED_EDGE('',*,*,#208537,.T.); #283063=ORIENTED_EDGE('',*,*,#208539,.F.); #283064=ORIENTED_EDGE('',*,*,#208540,.F.); #283065=ORIENTED_EDGE('',*,*,#208541,.T.); #283066=ORIENTED_EDGE('',*,*,#208540,.T.); #283067=ORIENTED_EDGE('',*,*,#208542,.F.); #283068=ORIENTED_EDGE('',*,*,#208543,.F.); #283069=ORIENTED_EDGE('',*,*,#208544,.T.); #283070=ORIENTED_EDGE('',*,*,#208543,.T.); #283071=ORIENTED_EDGE('',*,*,#208545,.F.); #283072=ORIENTED_EDGE('',*,*,#208546,.F.); #283073=ORIENTED_EDGE('',*,*,#208547,.T.); #283074=ORIENTED_EDGE('',*,*,#208546,.T.); #283075=ORIENTED_EDGE('',*,*,#208548,.F.); #283076=ORIENTED_EDGE('',*,*,#208549,.F.); #283077=ORIENTED_EDGE('',*,*,#208550,.T.); #283078=ORIENTED_EDGE('',*,*,#208549,.T.); #283079=ORIENTED_EDGE('',*,*,#208551,.F.); #283080=ORIENTED_EDGE('',*,*,#208552,.F.); #283081=ORIENTED_EDGE('',*,*,#208553,.T.); #283082=ORIENTED_EDGE('',*,*,#208552,.T.); #283083=ORIENTED_EDGE('',*,*,#208554,.F.); #283084=ORIENTED_EDGE('',*,*,#208555,.F.); #283085=ORIENTED_EDGE('',*,*,#208556,.T.); #283086=ORIENTED_EDGE('',*,*,#208555,.T.); #283087=ORIENTED_EDGE('',*,*,#208557,.F.); #283088=ORIENTED_EDGE('',*,*,#208558,.F.); #283089=ORIENTED_EDGE('',*,*,#208559,.T.); #283090=ORIENTED_EDGE('',*,*,#208558,.T.); #283091=ORIENTED_EDGE('',*,*,#208560,.F.); #283092=ORIENTED_EDGE('',*,*,#208561,.F.); #283093=ORIENTED_EDGE('',*,*,#208562,.T.); #283094=ORIENTED_EDGE('',*,*,#208561,.T.); #283095=ORIENTED_EDGE('',*,*,#208563,.F.); #283096=ORIENTED_EDGE('',*,*,#208564,.F.); #283097=ORIENTED_EDGE('',*,*,#208565,.T.); #283098=ORIENTED_EDGE('',*,*,#208564,.T.); #283099=ORIENTED_EDGE('',*,*,#208566,.F.); #283100=ORIENTED_EDGE('',*,*,#208567,.F.); #283101=ORIENTED_EDGE('',*,*,#208568,.T.); #283102=ORIENTED_EDGE('',*,*,#208567,.T.); #283103=ORIENTED_EDGE('',*,*,#208569,.F.); #283104=ORIENTED_EDGE('',*,*,#208570,.F.); #283105=ORIENTED_EDGE('',*,*,#208571,.T.); #283106=ORIENTED_EDGE('',*,*,#208570,.T.); #283107=ORIENTED_EDGE('',*,*,#208572,.F.); #283108=ORIENTED_EDGE('',*,*,#208573,.F.); #283109=ORIENTED_EDGE('',*,*,#208574,.T.); #283110=ORIENTED_EDGE('',*,*,#208573,.T.); #283111=ORIENTED_EDGE('',*,*,#208575,.F.); #283112=ORIENTED_EDGE('',*,*,#208576,.F.); #283113=ORIENTED_EDGE('',*,*,#208577,.T.); #283114=ORIENTED_EDGE('',*,*,#208576,.T.); #283115=ORIENTED_EDGE('',*,*,#208578,.F.); #283116=ORIENTED_EDGE('',*,*,#208579,.F.); #283117=ORIENTED_EDGE('',*,*,#208580,.T.); #283118=ORIENTED_EDGE('',*,*,#208579,.T.); #283119=ORIENTED_EDGE('',*,*,#208581,.F.); #283120=ORIENTED_EDGE('',*,*,#208582,.F.); #283121=ORIENTED_EDGE('',*,*,#208583,.T.); #283122=ORIENTED_EDGE('',*,*,#208582,.T.); #283123=ORIENTED_EDGE('',*,*,#208584,.F.); #283124=ORIENTED_EDGE('',*,*,#208585,.F.); #283125=ORIENTED_EDGE('',*,*,#208586,.T.); #283126=ORIENTED_EDGE('',*,*,#208585,.T.); #283127=ORIENTED_EDGE('',*,*,#208587,.F.); #283128=ORIENTED_EDGE('',*,*,#208588,.F.); #283129=ORIENTED_EDGE('',*,*,#208589,.T.); #283130=ORIENTED_EDGE('',*,*,#208588,.T.); #283131=ORIENTED_EDGE('',*,*,#208590,.F.); #283132=ORIENTED_EDGE('',*,*,#208591,.F.); #283133=ORIENTED_EDGE('',*,*,#208592,.T.); #283134=ORIENTED_EDGE('',*,*,#208591,.T.); #283135=ORIENTED_EDGE('',*,*,#208593,.F.); #283136=ORIENTED_EDGE('',*,*,#208594,.F.); #283137=ORIENTED_EDGE('',*,*,#208595,.T.); #283138=ORIENTED_EDGE('',*,*,#208594,.T.); #283139=ORIENTED_EDGE('',*,*,#208596,.F.); #283140=ORIENTED_EDGE('',*,*,#208597,.F.); #283141=ORIENTED_EDGE('',*,*,#208598,.T.); #283142=ORIENTED_EDGE('',*,*,#208597,.T.); #283143=ORIENTED_EDGE('',*,*,#208599,.F.); #283144=ORIENTED_EDGE('',*,*,#208600,.F.); #283145=ORIENTED_EDGE('',*,*,#208601,.T.); #283146=ORIENTED_EDGE('',*,*,#208600,.T.); #283147=ORIENTED_EDGE('',*,*,#208602,.F.); #283148=ORIENTED_EDGE('',*,*,#208603,.F.); #283149=ORIENTED_EDGE('',*,*,#208604,.T.); #283150=ORIENTED_EDGE('',*,*,#208603,.T.); #283151=ORIENTED_EDGE('',*,*,#208605,.F.); #283152=ORIENTED_EDGE('',*,*,#208606,.F.); #283153=ORIENTED_EDGE('',*,*,#208607,.T.); #283154=ORIENTED_EDGE('',*,*,#208606,.T.); #283155=ORIENTED_EDGE('',*,*,#208608,.F.); #283156=ORIENTED_EDGE('',*,*,#208609,.F.); #283157=ORIENTED_EDGE('',*,*,#208610,.T.); #283158=ORIENTED_EDGE('',*,*,#208609,.T.); #283159=ORIENTED_EDGE('',*,*,#208611,.F.); #283160=ORIENTED_EDGE('',*,*,#208612,.F.); #283161=ORIENTED_EDGE('',*,*,#208613,.T.); #283162=ORIENTED_EDGE('',*,*,#208612,.T.); #283163=ORIENTED_EDGE('',*,*,#208614,.F.); #283164=ORIENTED_EDGE('',*,*,#208615,.F.); #283165=ORIENTED_EDGE('',*,*,#208616,.T.); #283166=ORIENTED_EDGE('',*,*,#208615,.T.); #283167=ORIENTED_EDGE('',*,*,#208617,.F.); #283168=ORIENTED_EDGE('',*,*,#208618,.F.); #283169=ORIENTED_EDGE('',*,*,#208619,.T.); #283170=ORIENTED_EDGE('',*,*,#208618,.T.); #283171=ORIENTED_EDGE('',*,*,#208620,.F.); #283172=ORIENTED_EDGE('',*,*,#208505,.F.); #283173=ORIENTED_EDGE('',*,*,#208620,.T.); #283174=ORIENTED_EDGE('',*,*,#208617,.T.); #283175=ORIENTED_EDGE('',*,*,#208614,.T.); #283176=ORIENTED_EDGE('',*,*,#208611,.T.); #283177=ORIENTED_EDGE('',*,*,#208608,.T.); #283178=ORIENTED_EDGE('',*,*,#208605,.T.); #283179=ORIENTED_EDGE('',*,*,#208602,.T.); #283180=ORIENTED_EDGE('',*,*,#208599,.T.); #283181=ORIENTED_EDGE('',*,*,#208596,.T.); #283182=ORIENTED_EDGE('',*,*,#208593,.T.); #283183=ORIENTED_EDGE('',*,*,#208590,.T.); #283184=ORIENTED_EDGE('',*,*,#208587,.T.); #283185=ORIENTED_EDGE('',*,*,#208584,.T.); #283186=ORIENTED_EDGE('',*,*,#208581,.T.); #283187=ORIENTED_EDGE('',*,*,#208578,.T.); #283188=ORIENTED_EDGE('',*,*,#208575,.T.); #283189=ORIENTED_EDGE('',*,*,#208572,.T.); #283190=ORIENTED_EDGE('',*,*,#208569,.T.); #283191=ORIENTED_EDGE('',*,*,#208566,.T.); #283192=ORIENTED_EDGE('',*,*,#208563,.T.); #283193=ORIENTED_EDGE('',*,*,#208560,.T.); #283194=ORIENTED_EDGE('',*,*,#208557,.T.); #283195=ORIENTED_EDGE('',*,*,#208554,.T.); #283196=ORIENTED_EDGE('',*,*,#208551,.T.); #283197=ORIENTED_EDGE('',*,*,#208548,.T.); #283198=ORIENTED_EDGE('',*,*,#208545,.T.); #283199=ORIENTED_EDGE('',*,*,#208542,.T.); #283200=ORIENTED_EDGE('',*,*,#208539,.T.); #283201=ORIENTED_EDGE('',*,*,#208536,.T.); #283202=ORIENTED_EDGE('',*,*,#208533,.T.); #283203=ORIENTED_EDGE('',*,*,#208530,.T.); #283204=ORIENTED_EDGE('',*,*,#208527,.T.); #283205=ORIENTED_EDGE('',*,*,#208524,.T.); #283206=ORIENTED_EDGE('',*,*,#208521,.T.); #283207=ORIENTED_EDGE('',*,*,#208518,.T.); #283208=ORIENTED_EDGE('',*,*,#208515,.T.); #283209=ORIENTED_EDGE('',*,*,#208512,.T.); #283210=ORIENTED_EDGE('',*,*,#208509,.T.); #283211=ORIENTED_EDGE('',*,*,#208506,.T.); #283212=ORIENTED_EDGE('',*,*,#208503,.T.); #283213=ORIENTED_EDGE('',*,*,#208619,.F.); #283214=ORIENTED_EDGE('',*,*,#208504,.F.); #283215=ORIENTED_EDGE('',*,*,#208508,.F.); #283216=ORIENTED_EDGE('',*,*,#208511,.F.); #283217=ORIENTED_EDGE('',*,*,#208514,.F.); #283218=ORIENTED_EDGE('',*,*,#208517,.F.); #283219=ORIENTED_EDGE('',*,*,#208520,.F.); #283220=ORIENTED_EDGE('',*,*,#208523,.F.); #283221=ORIENTED_EDGE('',*,*,#208526,.F.); #283222=ORIENTED_EDGE('',*,*,#208529,.F.); #283223=ORIENTED_EDGE('',*,*,#208532,.F.); #283224=ORIENTED_EDGE('',*,*,#208535,.F.); #283225=ORIENTED_EDGE('',*,*,#208538,.F.); #283226=ORIENTED_EDGE('',*,*,#208541,.F.); #283227=ORIENTED_EDGE('',*,*,#208544,.F.); #283228=ORIENTED_EDGE('',*,*,#208547,.F.); #283229=ORIENTED_EDGE('',*,*,#208550,.F.); #283230=ORIENTED_EDGE('',*,*,#208553,.F.); #283231=ORIENTED_EDGE('',*,*,#208556,.F.); #283232=ORIENTED_EDGE('',*,*,#208559,.F.); #283233=ORIENTED_EDGE('',*,*,#208562,.F.); #283234=ORIENTED_EDGE('',*,*,#208565,.F.); #283235=ORIENTED_EDGE('',*,*,#208568,.F.); #283236=ORIENTED_EDGE('',*,*,#208571,.F.); #283237=ORIENTED_EDGE('',*,*,#208574,.F.); #283238=ORIENTED_EDGE('',*,*,#208577,.F.); #283239=ORIENTED_EDGE('',*,*,#208580,.F.); #283240=ORIENTED_EDGE('',*,*,#208583,.F.); #283241=ORIENTED_EDGE('',*,*,#208586,.F.); #283242=ORIENTED_EDGE('',*,*,#208589,.F.); #283243=ORIENTED_EDGE('',*,*,#208592,.F.); #283244=ORIENTED_EDGE('',*,*,#208595,.F.); #283245=ORIENTED_EDGE('',*,*,#208598,.F.); #283246=ORIENTED_EDGE('',*,*,#208601,.F.); #283247=ORIENTED_EDGE('',*,*,#208604,.F.); #283248=ORIENTED_EDGE('',*,*,#208607,.F.); #283249=ORIENTED_EDGE('',*,*,#208610,.F.); #283250=ORIENTED_EDGE('',*,*,#208613,.F.); #283251=ORIENTED_EDGE('',*,*,#208616,.F.); #283252=ORIENTED_EDGE('',*,*,#208501,.T.); #283253=ORIENTED_EDGE('',*,*,#208621,.F.); #283254=ORIENTED_EDGE('',*,*,#208622,.T.); #283255=ORIENTED_EDGE('',*,*,#208623,.F.); #283256=ORIENTED_EDGE('',*,*,#208622,.F.); #283257=ORIENTED_EDGE('',*,*,#208624,.F.); #283258=ORIENTED_EDGE('',*,*,#208625,.T.); #283259=ORIENTED_EDGE('',*,*,#208626,.F.); #283260=ORIENTED_EDGE('',*,*,#208625,.F.); #283261=ORIENTED_EDGE('',*,*,#208627,.T.); #283262=ORIENTED_EDGE('',*,*,#208628,.T.); #283263=ORIENTED_EDGE('',*,*,#208629,.F.); #283264=ORIENTED_EDGE('',*,*,#208630,.F.); #283265=ORIENTED_EDGE('',*,*,#208631,.T.); #283266=ORIENTED_EDGE('',*,*,#208630,.T.); #283267=ORIENTED_EDGE('',*,*,#208632,.F.); #283268=ORIENTED_EDGE('',*,*,#208633,.F.); #283269=ORIENTED_EDGE('',*,*,#208634,.T.); #283270=ORIENTED_EDGE('',*,*,#208633,.T.); #283271=ORIENTED_EDGE('',*,*,#208635,.F.); #283272=ORIENTED_EDGE('',*,*,#208636,.F.); #283273=ORIENTED_EDGE('',*,*,#208637,.T.); #283274=ORIENTED_EDGE('',*,*,#208636,.T.); #283275=ORIENTED_EDGE('',*,*,#208638,.F.); #283276=ORIENTED_EDGE('',*,*,#208639,.F.); #283277=ORIENTED_EDGE('',*,*,#208640,.T.); #283278=ORIENTED_EDGE('',*,*,#208639,.T.); #283279=ORIENTED_EDGE('',*,*,#208641,.F.); #283280=ORIENTED_EDGE('',*,*,#208642,.F.); #283281=ORIENTED_EDGE('',*,*,#208643,.T.); #283282=ORIENTED_EDGE('',*,*,#208642,.T.); #283283=ORIENTED_EDGE('',*,*,#208644,.F.); #283284=ORIENTED_EDGE('',*,*,#208645,.F.); #283285=ORIENTED_EDGE('',*,*,#208646,.T.); #283286=ORIENTED_EDGE('',*,*,#208645,.T.); #283287=ORIENTED_EDGE('',*,*,#208647,.F.); #283288=ORIENTED_EDGE('',*,*,#208628,.F.); #283289=ORIENTED_EDGE('',*,*,#208647,.T.); #283290=ORIENTED_EDGE('',*,*,#208644,.T.); #283291=ORIENTED_EDGE('',*,*,#208641,.T.); #283292=ORIENTED_EDGE('',*,*,#208638,.T.); #283293=ORIENTED_EDGE('',*,*,#208635,.T.); #283294=ORIENTED_EDGE('',*,*,#208632,.T.); #283295=ORIENTED_EDGE('',*,*,#208629,.T.); #283296=ORIENTED_EDGE('',*,*,#208623,.T.); #283297=ORIENTED_EDGE('',*,*,#208626,.T.); #283298=ORIENTED_EDGE('',*,*,#208646,.F.); #283299=ORIENTED_EDGE('',*,*,#208627,.F.); #283300=ORIENTED_EDGE('',*,*,#208631,.F.); #283301=ORIENTED_EDGE('',*,*,#208634,.F.); #283302=ORIENTED_EDGE('',*,*,#208637,.F.); #283303=ORIENTED_EDGE('',*,*,#208640,.F.); #283304=ORIENTED_EDGE('',*,*,#208643,.F.); #283305=ORIENTED_EDGE('',*,*,#208621,.T.); #283306=ORIENTED_EDGE('',*,*,#208624,.T.); #283307=ORIENTED_EDGE('',*,*,#208648,.F.); #283308=ORIENTED_EDGE('',*,*,#208649,.T.); #283309=ORIENTED_EDGE('',*,*,#208650,.F.); #283310=ORIENTED_EDGE('',*,*,#208649,.F.); #283311=ORIENTED_EDGE('',*,*,#208651,.T.); #283312=ORIENTED_EDGE('',*,*,#208652,.T.); #283313=ORIENTED_EDGE('',*,*,#208653,.F.); #283314=ORIENTED_EDGE('',*,*,#208654,.F.); #283315=ORIENTED_EDGE('',*,*,#208655,.T.); #283316=ORIENTED_EDGE('',*,*,#208654,.T.); #283317=ORIENTED_EDGE('',*,*,#208656,.F.); #283318=ORIENTED_EDGE('',*,*,#208657,.F.); #283319=ORIENTED_EDGE('',*,*,#208658,.T.); #283320=ORIENTED_EDGE('',*,*,#208657,.T.); #283321=ORIENTED_EDGE('',*,*,#208659,.F.); #283322=ORIENTED_EDGE('',*,*,#208660,.F.); #283323=ORIENTED_EDGE('',*,*,#208661,.T.); #283324=ORIENTED_EDGE('',*,*,#208660,.T.); #283325=ORIENTED_EDGE('',*,*,#208662,.F.); #283326=ORIENTED_EDGE('',*,*,#208652,.F.); #283327=ORIENTED_EDGE('',*,*,#208662,.T.); #283328=ORIENTED_EDGE('',*,*,#208659,.T.); #283329=ORIENTED_EDGE('',*,*,#208656,.T.); #283330=ORIENTED_EDGE('',*,*,#208653,.T.); #283331=ORIENTED_EDGE('',*,*,#208650,.T.); #283332=ORIENTED_EDGE('',*,*,#208661,.F.); #283333=ORIENTED_EDGE('',*,*,#208651,.F.); #283334=ORIENTED_EDGE('',*,*,#208655,.F.); #283335=ORIENTED_EDGE('',*,*,#208658,.F.); #283336=ORIENTED_EDGE('',*,*,#208648,.T.); #283337=ORIENTED_EDGE('',*,*,#208663,.F.); #283338=ORIENTED_EDGE('',*,*,#208664,.T.); #283339=ORIENTED_EDGE('',*,*,#208665,.F.); #283340=ORIENTED_EDGE('',*,*,#208664,.F.); #283341=ORIENTED_EDGE('',*,*,#208666,.F.); #283342=ORIENTED_EDGE('',*,*,#208667,.T.); #283343=ORIENTED_EDGE('',*,*,#208668,.F.); #283344=ORIENTED_EDGE('',*,*,#208667,.F.); #283345=ORIENTED_EDGE('',*,*,#208669,.T.); #283346=ORIENTED_EDGE('',*,*,#208670,.T.); #283347=ORIENTED_EDGE('',*,*,#208671,.F.); #283348=ORIENTED_EDGE('',*,*,#208672,.F.); #283349=ORIENTED_EDGE('',*,*,#208673,.T.); #283350=ORIENTED_EDGE('',*,*,#208672,.T.); #283351=ORIENTED_EDGE('',*,*,#208674,.F.); #283352=ORIENTED_EDGE('',*,*,#208675,.F.); #283353=ORIENTED_EDGE('',*,*,#208676,.T.); #283354=ORIENTED_EDGE('',*,*,#208675,.T.); #283355=ORIENTED_EDGE('',*,*,#208677,.F.); #283356=ORIENTED_EDGE('',*,*,#208678,.F.); #283357=ORIENTED_EDGE('',*,*,#208679,.T.); #283358=ORIENTED_EDGE('',*,*,#208678,.T.); #283359=ORIENTED_EDGE('',*,*,#208680,.F.); #283360=ORIENTED_EDGE('',*,*,#208681,.F.); #283361=ORIENTED_EDGE('',*,*,#208682,.T.); #283362=ORIENTED_EDGE('',*,*,#208681,.T.); #283363=ORIENTED_EDGE('',*,*,#208683,.F.); #283364=ORIENTED_EDGE('',*,*,#208684,.F.); #283365=ORIENTED_EDGE('',*,*,#208685,.T.); #283366=ORIENTED_EDGE('',*,*,#208684,.T.); #283367=ORIENTED_EDGE('',*,*,#208686,.F.); #283368=ORIENTED_EDGE('',*,*,#208687,.F.); #283369=ORIENTED_EDGE('',*,*,#208688,.T.); #283370=ORIENTED_EDGE('',*,*,#208687,.T.); #283371=ORIENTED_EDGE('',*,*,#208689,.F.); #283372=ORIENTED_EDGE('',*,*,#208690,.F.); #283373=ORIENTED_EDGE('',*,*,#208691,.T.); #283374=ORIENTED_EDGE('',*,*,#208690,.T.); #283375=ORIENTED_EDGE('',*,*,#208692,.F.); #283376=ORIENTED_EDGE('',*,*,#208693,.F.); #283377=ORIENTED_EDGE('',*,*,#208694,.T.); #283378=ORIENTED_EDGE('',*,*,#208693,.T.); #283379=ORIENTED_EDGE('',*,*,#208695,.F.); #283380=ORIENTED_EDGE('',*,*,#208696,.F.); #283381=ORIENTED_EDGE('',*,*,#208697,.T.); #283382=ORIENTED_EDGE('',*,*,#208696,.T.); #283383=ORIENTED_EDGE('',*,*,#208698,.F.); #283384=ORIENTED_EDGE('',*,*,#208699,.F.); #283385=ORIENTED_EDGE('',*,*,#208700,.T.); #283386=ORIENTED_EDGE('',*,*,#208699,.T.); #283387=ORIENTED_EDGE('',*,*,#208701,.F.); #283388=ORIENTED_EDGE('',*,*,#208702,.F.); #283389=ORIENTED_EDGE('',*,*,#208703,.T.); #283390=ORIENTED_EDGE('',*,*,#208702,.T.); #283391=ORIENTED_EDGE('',*,*,#208704,.F.); #283392=ORIENTED_EDGE('',*,*,#208705,.F.); #283393=ORIENTED_EDGE('',*,*,#208706,.T.); #283394=ORIENTED_EDGE('',*,*,#208705,.T.); #283395=ORIENTED_EDGE('',*,*,#208707,.F.); #283396=ORIENTED_EDGE('',*,*,#208708,.F.); #283397=ORIENTED_EDGE('',*,*,#208709,.T.); #283398=ORIENTED_EDGE('',*,*,#208708,.T.); #283399=ORIENTED_EDGE('',*,*,#208710,.F.); #283400=ORIENTED_EDGE('',*,*,#208711,.F.); #283401=ORIENTED_EDGE('',*,*,#208712,.T.); #283402=ORIENTED_EDGE('',*,*,#208711,.T.); #283403=ORIENTED_EDGE('',*,*,#208713,.F.); #283404=ORIENTED_EDGE('',*,*,#208714,.F.); #283405=ORIENTED_EDGE('',*,*,#208715,.T.); #283406=ORIENTED_EDGE('',*,*,#208714,.T.); #283407=ORIENTED_EDGE('',*,*,#208716,.F.); #283408=ORIENTED_EDGE('',*,*,#208717,.F.); #283409=ORIENTED_EDGE('',*,*,#208718,.T.); #283410=ORIENTED_EDGE('',*,*,#208717,.T.); #283411=ORIENTED_EDGE('',*,*,#208719,.F.); #283412=ORIENTED_EDGE('',*,*,#208720,.F.); #283413=ORIENTED_EDGE('',*,*,#208721,.T.); #283414=ORIENTED_EDGE('',*,*,#208720,.T.); #283415=ORIENTED_EDGE('',*,*,#208722,.F.); #283416=ORIENTED_EDGE('',*,*,#208723,.F.); #283417=ORIENTED_EDGE('',*,*,#208724,.T.); #283418=ORIENTED_EDGE('',*,*,#208723,.T.); #283419=ORIENTED_EDGE('',*,*,#208725,.F.); #283420=ORIENTED_EDGE('',*,*,#208726,.F.); #283421=ORIENTED_EDGE('',*,*,#208727,.T.); #283422=ORIENTED_EDGE('',*,*,#208726,.T.); #283423=ORIENTED_EDGE('',*,*,#208728,.F.); #283424=ORIENTED_EDGE('',*,*,#208729,.F.); #283425=ORIENTED_EDGE('',*,*,#208730,.T.); #283426=ORIENTED_EDGE('',*,*,#208729,.T.); #283427=ORIENTED_EDGE('',*,*,#208731,.F.); #283428=ORIENTED_EDGE('',*,*,#208732,.F.); #283429=ORIENTED_EDGE('',*,*,#208733,.T.); #283430=ORIENTED_EDGE('',*,*,#208732,.T.); #283431=ORIENTED_EDGE('',*,*,#208734,.F.); #283432=ORIENTED_EDGE('',*,*,#208735,.F.); #283433=ORIENTED_EDGE('',*,*,#208736,.T.); #283434=ORIENTED_EDGE('',*,*,#208735,.T.); #283435=ORIENTED_EDGE('',*,*,#208737,.F.); #283436=ORIENTED_EDGE('',*,*,#208670,.F.); #283437=ORIENTED_EDGE('',*,*,#208737,.T.); #283438=ORIENTED_EDGE('',*,*,#208734,.T.); #283439=ORIENTED_EDGE('',*,*,#208731,.T.); #283440=ORIENTED_EDGE('',*,*,#208728,.T.); #283441=ORIENTED_EDGE('',*,*,#208725,.T.); #283442=ORIENTED_EDGE('',*,*,#208722,.T.); #283443=ORIENTED_EDGE('',*,*,#208719,.T.); #283444=ORIENTED_EDGE('',*,*,#208716,.T.); #283445=ORIENTED_EDGE('',*,*,#208713,.T.); #283446=ORIENTED_EDGE('',*,*,#208710,.T.); #283447=ORIENTED_EDGE('',*,*,#208707,.T.); #283448=ORIENTED_EDGE('',*,*,#208704,.T.); #283449=ORIENTED_EDGE('',*,*,#208701,.T.); #283450=ORIENTED_EDGE('',*,*,#208698,.T.); #283451=ORIENTED_EDGE('',*,*,#208695,.T.); #283452=ORIENTED_EDGE('',*,*,#208692,.T.); #283453=ORIENTED_EDGE('',*,*,#208689,.T.); #283454=ORIENTED_EDGE('',*,*,#208686,.T.); #283455=ORIENTED_EDGE('',*,*,#208683,.T.); #283456=ORIENTED_EDGE('',*,*,#208680,.T.); #283457=ORIENTED_EDGE('',*,*,#208677,.T.); #283458=ORIENTED_EDGE('',*,*,#208674,.T.); #283459=ORIENTED_EDGE('',*,*,#208671,.T.); #283460=ORIENTED_EDGE('',*,*,#208665,.T.); #283461=ORIENTED_EDGE('',*,*,#208668,.T.); #283462=ORIENTED_EDGE('',*,*,#208736,.F.); #283463=ORIENTED_EDGE('',*,*,#208669,.F.); #283464=ORIENTED_EDGE('',*,*,#208673,.F.); #283465=ORIENTED_EDGE('',*,*,#208676,.F.); #283466=ORIENTED_EDGE('',*,*,#208679,.F.); #283467=ORIENTED_EDGE('',*,*,#208682,.F.); #283468=ORIENTED_EDGE('',*,*,#208685,.F.); #283469=ORIENTED_EDGE('',*,*,#208688,.F.); #283470=ORIENTED_EDGE('',*,*,#208691,.F.); #283471=ORIENTED_EDGE('',*,*,#208694,.F.); #283472=ORIENTED_EDGE('',*,*,#208697,.F.); #283473=ORIENTED_EDGE('',*,*,#208700,.F.); #283474=ORIENTED_EDGE('',*,*,#208703,.F.); #283475=ORIENTED_EDGE('',*,*,#208706,.F.); #283476=ORIENTED_EDGE('',*,*,#208709,.F.); #283477=ORIENTED_EDGE('',*,*,#208712,.F.); #283478=ORIENTED_EDGE('',*,*,#208715,.F.); #283479=ORIENTED_EDGE('',*,*,#208718,.F.); #283480=ORIENTED_EDGE('',*,*,#208721,.F.); #283481=ORIENTED_EDGE('',*,*,#208724,.F.); #283482=ORIENTED_EDGE('',*,*,#208727,.F.); #283483=ORIENTED_EDGE('',*,*,#208730,.F.); #283484=ORIENTED_EDGE('',*,*,#208733,.F.); #283485=ORIENTED_EDGE('',*,*,#208663,.T.); #283486=ORIENTED_EDGE('',*,*,#208666,.T.); #283487=ORIENTED_EDGE('',*,*,#208738,.F.); #283488=ORIENTED_EDGE('',*,*,#208739,.T.); #283489=ORIENTED_EDGE('',*,*,#208740,.F.); #283490=ORIENTED_EDGE('',*,*,#208739,.F.); #283491=ORIENTED_EDGE('',*,*,#208741,.F.); #283492=ORIENTED_EDGE('',*,*,#208742,.T.); #283493=ORIENTED_EDGE('',*,*,#208743,.F.); #283494=ORIENTED_EDGE('',*,*,#208742,.F.); #283495=ORIENTED_EDGE('',*,*,#208744,.T.); #283496=ORIENTED_EDGE('',*,*,#208745,.T.); #283497=ORIENTED_EDGE('',*,*,#208746,.F.); #283498=ORIENTED_EDGE('',*,*,#208747,.F.); #283499=ORIENTED_EDGE('',*,*,#208748,.T.); #283500=ORIENTED_EDGE('',*,*,#208747,.T.); #283501=ORIENTED_EDGE('',*,*,#208749,.F.); #283502=ORIENTED_EDGE('',*,*,#208750,.F.); #283503=ORIENTED_EDGE('',*,*,#208751,.T.); #283504=ORIENTED_EDGE('',*,*,#208750,.T.); #283505=ORIENTED_EDGE('',*,*,#208752,.F.); #283506=ORIENTED_EDGE('',*,*,#208753,.F.); #283507=ORIENTED_EDGE('',*,*,#208754,.T.); #283508=ORIENTED_EDGE('',*,*,#208753,.T.); #283509=ORIENTED_EDGE('',*,*,#208755,.F.); #283510=ORIENTED_EDGE('',*,*,#208756,.F.); #283511=ORIENTED_EDGE('',*,*,#208757,.T.); #283512=ORIENTED_EDGE('',*,*,#208756,.T.); #283513=ORIENTED_EDGE('',*,*,#208758,.F.); #283514=ORIENTED_EDGE('',*,*,#208759,.F.); #283515=ORIENTED_EDGE('',*,*,#208760,.T.); #283516=ORIENTED_EDGE('',*,*,#208759,.T.); #283517=ORIENTED_EDGE('',*,*,#208761,.F.); #283518=ORIENTED_EDGE('',*,*,#208762,.F.); #283519=ORIENTED_EDGE('',*,*,#208763,.T.); #283520=ORIENTED_EDGE('',*,*,#208762,.T.); #283521=ORIENTED_EDGE('',*,*,#208764,.F.); #283522=ORIENTED_EDGE('',*,*,#208765,.F.); #283523=ORIENTED_EDGE('',*,*,#208766,.T.); #283524=ORIENTED_EDGE('',*,*,#208765,.T.); #283525=ORIENTED_EDGE('',*,*,#208767,.F.); #283526=ORIENTED_EDGE('',*,*,#208745,.F.); #283527=ORIENTED_EDGE('',*,*,#208767,.T.); #283528=ORIENTED_EDGE('',*,*,#208764,.T.); #283529=ORIENTED_EDGE('',*,*,#208761,.T.); #283530=ORIENTED_EDGE('',*,*,#208758,.T.); #283531=ORIENTED_EDGE('',*,*,#208755,.T.); #283532=ORIENTED_EDGE('',*,*,#208752,.T.); #283533=ORIENTED_EDGE('',*,*,#208749,.T.); #283534=ORIENTED_EDGE('',*,*,#208746,.T.); #283535=ORIENTED_EDGE('',*,*,#208740,.T.); #283536=ORIENTED_EDGE('',*,*,#208743,.T.); #283537=ORIENTED_EDGE('',*,*,#208766,.F.); #283538=ORIENTED_EDGE('',*,*,#208744,.F.); #283539=ORIENTED_EDGE('',*,*,#208748,.F.); #283540=ORIENTED_EDGE('',*,*,#208751,.F.); #283541=ORIENTED_EDGE('',*,*,#208754,.F.); #283542=ORIENTED_EDGE('',*,*,#208757,.F.); #283543=ORIENTED_EDGE('',*,*,#208760,.F.); #283544=ORIENTED_EDGE('',*,*,#208763,.F.); #283545=ORIENTED_EDGE('',*,*,#208738,.T.); #283546=ORIENTED_EDGE('',*,*,#208741,.T.); #283547=ORIENTED_EDGE('',*,*,#208768,.F.); #283548=ORIENTED_EDGE('',*,*,#208769,.T.); #283549=ORIENTED_EDGE('',*,*,#208770,.F.); #283550=ORIENTED_EDGE('',*,*,#208769,.F.); #283551=ORIENTED_EDGE('',*,*,#208771,.T.); #283552=ORIENTED_EDGE('',*,*,#208772,.T.); #283553=ORIENTED_EDGE('',*,*,#208773,.F.); #283554=ORIENTED_EDGE('',*,*,#208774,.F.); #283555=ORIENTED_EDGE('',*,*,#208775,.T.); #283556=ORIENTED_EDGE('',*,*,#208774,.T.); #283557=ORIENTED_EDGE('',*,*,#208776,.F.); #283558=ORIENTED_EDGE('',*,*,#208777,.F.); #283559=ORIENTED_EDGE('',*,*,#208778,.T.); #283560=ORIENTED_EDGE('',*,*,#208777,.T.); #283561=ORIENTED_EDGE('',*,*,#208779,.F.); #283562=ORIENTED_EDGE('',*,*,#208780,.F.); #283563=ORIENTED_EDGE('',*,*,#208781,.T.); #283564=ORIENTED_EDGE('',*,*,#208780,.T.); #283565=ORIENTED_EDGE('',*,*,#208782,.F.); #283566=ORIENTED_EDGE('',*,*,#208772,.F.); #283567=ORIENTED_EDGE('',*,*,#208782,.T.); #283568=ORIENTED_EDGE('',*,*,#208779,.T.); #283569=ORIENTED_EDGE('',*,*,#208776,.T.); #283570=ORIENTED_EDGE('',*,*,#208773,.T.); #283571=ORIENTED_EDGE('',*,*,#208770,.T.); #283572=ORIENTED_EDGE('',*,*,#208781,.F.); #283573=ORIENTED_EDGE('',*,*,#208771,.F.); #283574=ORIENTED_EDGE('',*,*,#208775,.F.); #283575=ORIENTED_EDGE('',*,*,#208778,.F.); #283576=ORIENTED_EDGE('',*,*,#208768,.T.); #283577=ORIENTED_EDGE('',*,*,#208783,.F.); #283578=ORIENTED_EDGE('',*,*,#208784,.T.); #283579=ORIENTED_EDGE('',*,*,#208785,.F.); #283580=ORIENTED_EDGE('',*,*,#208784,.F.); #283581=ORIENTED_EDGE('',*,*,#208786,.F.); #283582=ORIENTED_EDGE('',*,*,#208787,.T.); #283583=ORIENTED_EDGE('',*,*,#208788,.F.); #283584=ORIENTED_EDGE('',*,*,#208787,.F.); #283585=ORIENTED_EDGE('',*,*,#208789,.F.); #283586=ORIENTED_EDGE('',*,*,#208790,.T.); #283587=ORIENTED_EDGE('',*,*,#208791,.F.); #283588=ORIENTED_EDGE('',*,*,#208790,.F.); #283589=ORIENTED_EDGE('',*,*,#208792,.F.); #283590=ORIENTED_EDGE('',*,*,#208793,.T.); #283591=ORIENTED_EDGE('',*,*,#208794,.F.); #283592=ORIENTED_EDGE('',*,*,#208793,.F.); #283593=ORIENTED_EDGE('',*,*,#208795,.T.); #283594=ORIENTED_EDGE('',*,*,#208796,.T.); #283595=ORIENTED_EDGE('',*,*,#208797,.F.); #283596=ORIENTED_EDGE('',*,*,#208798,.F.); #283597=ORIENTED_EDGE('',*,*,#208799,.T.); #283598=ORIENTED_EDGE('',*,*,#208798,.T.); #283599=ORIENTED_EDGE('',*,*,#208800,.F.); #283600=ORIENTED_EDGE('',*,*,#208801,.F.); #283601=ORIENTED_EDGE('',*,*,#208802,.T.); #283602=ORIENTED_EDGE('',*,*,#208801,.T.); #283603=ORIENTED_EDGE('',*,*,#208803,.F.); #283604=ORIENTED_EDGE('',*,*,#208804,.F.); #283605=ORIENTED_EDGE('',*,*,#208805,.T.); #283606=ORIENTED_EDGE('',*,*,#208804,.T.); #283607=ORIENTED_EDGE('',*,*,#208806,.F.); #283608=ORIENTED_EDGE('',*,*,#208807,.F.); #283609=ORIENTED_EDGE('',*,*,#208808,.T.); #283610=ORIENTED_EDGE('',*,*,#208807,.T.); #283611=ORIENTED_EDGE('',*,*,#208809,.F.); #283612=ORIENTED_EDGE('',*,*,#208810,.F.); #283613=ORIENTED_EDGE('',*,*,#208811,.T.); #283614=ORIENTED_EDGE('',*,*,#208810,.T.); #283615=ORIENTED_EDGE('',*,*,#208812,.F.); #283616=ORIENTED_EDGE('',*,*,#208813,.F.); #283617=ORIENTED_EDGE('',*,*,#208814,.T.); #283618=ORIENTED_EDGE('',*,*,#208813,.T.); #283619=ORIENTED_EDGE('',*,*,#208815,.F.); #283620=ORIENTED_EDGE('',*,*,#208816,.F.); #283621=ORIENTED_EDGE('',*,*,#208817,.T.); #283622=ORIENTED_EDGE('',*,*,#208816,.T.); #283623=ORIENTED_EDGE('',*,*,#208818,.F.); #283624=ORIENTED_EDGE('',*,*,#208819,.F.); #283625=ORIENTED_EDGE('',*,*,#208820,.T.); #283626=ORIENTED_EDGE('',*,*,#208819,.T.); #283627=ORIENTED_EDGE('',*,*,#208821,.F.); #283628=ORIENTED_EDGE('',*,*,#208822,.F.); #283629=ORIENTED_EDGE('',*,*,#208823,.T.); #283630=ORIENTED_EDGE('',*,*,#208822,.T.); #283631=ORIENTED_EDGE('',*,*,#208824,.F.); #283632=ORIENTED_EDGE('',*,*,#208825,.F.); #283633=ORIENTED_EDGE('',*,*,#208826,.T.); #283634=ORIENTED_EDGE('',*,*,#208825,.T.); #283635=ORIENTED_EDGE('',*,*,#208827,.F.); #283636=ORIENTED_EDGE('',*,*,#208828,.F.); #283637=ORIENTED_EDGE('',*,*,#208829,.T.); #283638=ORIENTED_EDGE('',*,*,#208828,.T.); #283639=ORIENTED_EDGE('',*,*,#208830,.F.); #283640=ORIENTED_EDGE('',*,*,#208831,.F.); #283641=ORIENTED_EDGE('',*,*,#208832,.T.); #283642=ORIENTED_EDGE('',*,*,#208831,.T.); #283643=ORIENTED_EDGE('',*,*,#208833,.F.); #283644=ORIENTED_EDGE('',*,*,#208834,.F.); #283645=ORIENTED_EDGE('',*,*,#208835,.T.); #283646=ORIENTED_EDGE('',*,*,#208834,.T.); #283647=ORIENTED_EDGE('',*,*,#208836,.F.); #283648=ORIENTED_EDGE('',*,*,#208837,.F.); #283649=ORIENTED_EDGE('',*,*,#208838,.T.); #283650=ORIENTED_EDGE('',*,*,#208837,.T.); #283651=ORIENTED_EDGE('',*,*,#208839,.F.); #283652=ORIENTED_EDGE('',*,*,#208840,.F.); #283653=ORIENTED_EDGE('',*,*,#208841,.T.); #283654=ORIENTED_EDGE('',*,*,#208840,.T.); #283655=ORIENTED_EDGE('',*,*,#208842,.F.); #283656=ORIENTED_EDGE('',*,*,#208796,.F.); #283657=ORIENTED_EDGE('',*,*,#208843,.T.); #283658=ORIENTED_EDGE('',*,*,#208844,.T.); #283659=ORIENTED_EDGE('',*,*,#208845,.F.); #283660=ORIENTED_EDGE('',*,*,#208846,.F.); #283661=ORIENTED_EDGE('',*,*,#208847,.T.); #283662=ORIENTED_EDGE('',*,*,#208846,.T.); #283663=ORIENTED_EDGE('',*,*,#208848,.F.); #283664=ORIENTED_EDGE('',*,*,#208849,.F.); #283665=ORIENTED_EDGE('',*,*,#208850,.T.); #283666=ORIENTED_EDGE('',*,*,#208849,.T.); #283667=ORIENTED_EDGE('',*,*,#208851,.F.); #283668=ORIENTED_EDGE('',*,*,#208852,.F.); #283669=ORIENTED_EDGE('',*,*,#208853,.T.); #283670=ORIENTED_EDGE('',*,*,#208852,.T.); #283671=ORIENTED_EDGE('',*,*,#208854,.F.); #283672=ORIENTED_EDGE('',*,*,#208855,.F.); #283673=ORIENTED_EDGE('',*,*,#208856,.T.); #283674=ORIENTED_EDGE('',*,*,#208855,.T.); #283675=ORIENTED_EDGE('',*,*,#208857,.F.); #283676=ORIENTED_EDGE('',*,*,#208858,.F.); #283677=ORIENTED_EDGE('',*,*,#208859,.T.); #283678=ORIENTED_EDGE('',*,*,#208858,.T.); #283679=ORIENTED_EDGE('',*,*,#208860,.F.); #283680=ORIENTED_EDGE('',*,*,#208861,.F.); #283681=ORIENTED_EDGE('',*,*,#208862,.T.); #283682=ORIENTED_EDGE('',*,*,#208861,.T.); #283683=ORIENTED_EDGE('',*,*,#208863,.F.); #283684=ORIENTED_EDGE('',*,*,#208864,.F.); #283685=ORIENTED_EDGE('',*,*,#208865,.T.); #283686=ORIENTED_EDGE('',*,*,#208864,.T.); #283687=ORIENTED_EDGE('',*,*,#208866,.F.); #283688=ORIENTED_EDGE('',*,*,#208867,.F.); #283689=ORIENTED_EDGE('',*,*,#208868,.T.); #283690=ORIENTED_EDGE('',*,*,#208867,.T.); #283691=ORIENTED_EDGE('',*,*,#208869,.F.); #283692=ORIENTED_EDGE('',*,*,#208870,.F.); #283693=ORIENTED_EDGE('',*,*,#208871,.T.); #283694=ORIENTED_EDGE('',*,*,#208870,.T.); #283695=ORIENTED_EDGE('',*,*,#208872,.F.); #283696=ORIENTED_EDGE('',*,*,#208873,.F.); #283697=ORIENTED_EDGE('',*,*,#208874,.T.); #283698=ORIENTED_EDGE('',*,*,#208873,.T.); #283699=ORIENTED_EDGE('',*,*,#208875,.F.); #283700=ORIENTED_EDGE('',*,*,#208876,.F.); #283701=ORIENTED_EDGE('',*,*,#208877,.T.); #283702=ORIENTED_EDGE('',*,*,#208876,.T.); #283703=ORIENTED_EDGE('',*,*,#208878,.F.); #283704=ORIENTED_EDGE('',*,*,#208879,.F.); #283705=ORIENTED_EDGE('',*,*,#208880,.T.); #283706=ORIENTED_EDGE('',*,*,#208879,.T.); #283707=ORIENTED_EDGE('',*,*,#208881,.F.); #283708=ORIENTED_EDGE('',*,*,#208882,.F.); #283709=ORIENTED_EDGE('',*,*,#208883,.T.); #283710=ORIENTED_EDGE('',*,*,#208882,.T.); #283711=ORIENTED_EDGE('',*,*,#208884,.F.); #283712=ORIENTED_EDGE('',*,*,#208885,.F.); #283713=ORIENTED_EDGE('',*,*,#208886,.T.); #283714=ORIENTED_EDGE('',*,*,#208885,.T.); #283715=ORIENTED_EDGE('',*,*,#208887,.F.); #283716=ORIENTED_EDGE('',*,*,#208888,.F.); #283717=ORIENTED_EDGE('',*,*,#208889,.T.); #283718=ORIENTED_EDGE('',*,*,#208888,.T.); #283719=ORIENTED_EDGE('',*,*,#208890,.F.); #283720=ORIENTED_EDGE('',*,*,#208891,.F.); #283721=ORIENTED_EDGE('',*,*,#208892,.T.); #283722=ORIENTED_EDGE('',*,*,#208891,.T.); #283723=ORIENTED_EDGE('',*,*,#208893,.F.); #283724=ORIENTED_EDGE('',*,*,#208894,.F.); #283725=ORIENTED_EDGE('',*,*,#208895,.T.); #283726=ORIENTED_EDGE('',*,*,#208894,.T.); #283727=ORIENTED_EDGE('',*,*,#208896,.F.); #283728=ORIENTED_EDGE('',*,*,#208897,.F.); #283729=ORIENTED_EDGE('',*,*,#208898,.T.); #283730=ORIENTED_EDGE('',*,*,#208897,.T.); #283731=ORIENTED_EDGE('',*,*,#208899,.F.); #283732=ORIENTED_EDGE('',*,*,#208900,.F.); #283733=ORIENTED_EDGE('',*,*,#208901,.T.); #283734=ORIENTED_EDGE('',*,*,#208900,.T.); #283735=ORIENTED_EDGE('',*,*,#208902,.F.); #283736=ORIENTED_EDGE('',*,*,#208903,.F.); #283737=ORIENTED_EDGE('',*,*,#208904,.T.); #283738=ORIENTED_EDGE('',*,*,#208903,.T.); #283739=ORIENTED_EDGE('',*,*,#208905,.F.); #283740=ORIENTED_EDGE('',*,*,#208906,.F.); #283741=ORIENTED_EDGE('',*,*,#208907,.T.); #283742=ORIENTED_EDGE('',*,*,#208906,.T.); #283743=ORIENTED_EDGE('',*,*,#208908,.F.); #283744=ORIENTED_EDGE('',*,*,#208909,.F.); #283745=ORIENTED_EDGE('',*,*,#208910,.T.); #283746=ORIENTED_EDGE('',*,*,#208909,.T.); #283747=ORIENTED_EDGE('',*,*,#208911,.F.); #283748=ORIENTED_EDGE('',*,*,#208912,.F.); #283749=ORIENTED_EDGE('',*,*,#208913,.T.); #283750=ORIENTED_EDGE('',*,*,#208912,.T.); #283751=ORIENTED_EDGE('',*,*,#208914,.F.); #283752=ORIENTED_EDGE('',*,*,#208915,.F.); #283753=ORIENTED_EDGE('',*,*,#208916,.T.); #283754=ORIENTED_EDGE('',*,*,#208915,.T.); #283755=ORIENTED_EDGE('',*,*,#208917,.F.); #283756=ORIENTED_EDGE('',*,*,#208918,.F.); #283757=ORIENTED_EDGE('',*,*,#208919,.T.); #283758=ORIENTED_EDGE('',*,*,#208918,.T.); #283759=ORIENTED_EDGE('',*,*,#208920,.F.); #283760=ORIENTED_EDGE('',*,*,#208921,.F.); #283761=ORIENTED_EDGE('',*,*,#208922,.T.); #283762=ORIENTED_EDGE('',*,*,#208921,.T.); #283763=ORIENTED_EDGE('',*,*,#208923,.F.); #283764=ORIENTED_EDGE('',*,*,#208924,.F.); #283765=ORIENTED_EDGE('',*,*,#208925,.T.); #283766=ORIENTED_EDGE('',*,*,#208924,.T.); #283767=ORIENTED_EDGE('',*,*,#208926,.F.); #283768=ORIENTED_EDGE('',*,*,#208927,.F.); #283769=ORIENTED_EDGE('',*,*,#208928,.T.); #283770=ORIENTED_EDGE('',*,*,#208927,.T.); #283771=ORIENTED_EDGE('',*,*,#208929,.F.); #283772=ORIENTED_EDGE('',*,*,#208930,.F.); #283773=ORIENTED_EDGE('',*,*,#208931,.T.); #283774=ORIENTED_EDGE('',*,*,#208930,.T.); #283775=ORIENTED_EDGE('',*,*,#208932,.F.); #283776=ORIENTED_EDGE('',*,*,#208933,.F.); #283777=ORIENTED_EDGE('',*,*,#208934,.T.); #283778=ORIENTED_EDGE('',*,*,#208933,.T.); #283779=ORIENTED_EDGE('',*,*,#208935,.F.); #283780=ORIENTED_EDGE('',*,*,#208936,.F.); #283781=ORIENTED_EDGE('',*,*,#208937,.T.); #283782=ORIENTED_EDGE('',*,*,#208936,.T.); #283783=ORIENTED_EDGE('',*,*,#208938,.F.); #283784=ORIENTED_EDGE('',*,*,#208939,.F.); #283785=ORIENTED_EDGE('',*,*,#208940,.T.); #283786=ORIENTED_EDGE('',*,*,#208939,.T.); #283787=ORIENTED_EDGE('',*,*,#208941,.F.); #283788=ORIENTED_EDGE('',*,*,#208942,.F.); #283789=ORIENTED_EDGE('',*,*,#208943,.T.); #283790=ORIENTED_EDGE('',*,*,#208942,.T.); #283791=ORIENTED_EDGE('',*,*,#208944,.F.); #283792=ORIENTED_EDGE('',*,*,#208945,.F.); #283793=ORIENTED_EDGE('',*,*,#208946,.T.); #283794=ORIENTED_EDGE('',*,*,#208945,.T.); #283795=ORIENTED_EDGE('',*,*,#208947,.F.); #283796=ORIENTED_EDGE('',*,*,#208948,.F.); #283797=ORIENTED_EDGE('',*,*,#208949,.T.); #283798=ORIENTED_EDGE('',*,*,#208948,.T.); #283799=ORIENTED_EDGE('',*,*,#208950,.F.); #283800=ORIENTED_EDGE('',*,*,#208951,.F.); #283801=ORIENTED_EDGE('',*,*,#208952,.T.); #283802=ORIENTED_EDGE('',*,*,#208951,.T.); #283803=ORIENTED_EDGE('',*,*,#208953,.F.); #283804=ORIENTED_EDGE('',*,*,#208954,.F.); #283805=ORIENTED_EDGE('',*,*,#208955,.T.); #283806=ORIENTED_EDGE('',*,*,#208954,.T.); #283807=ORIENTED_EDGE('',*,*,#208956,.F.); #283808=ORIENTED_EDGE('',*,*,#208957,.F.); #283809=ORIENTED_EDGE('',*,*,#208958,.T.); #283810=ORIENTED_EDGE('',*,*,#208957,.T.); #283811=ORIENTED_EDGE('',*,*,#208959,.F.); #283812=ORIENTED_EDGE('',*,*,#208960,.F.); #283813=ORIENTED_EDGE('',*,*,#208961,.T.); #283814=ORIENTED_EDGE('',*,*,#208960,.T.); #283815=ORIENTED_EDGE('',*,*,#208962,.F.); #283816=ORIENTED_EDGE('',*,*,#208963,.F.); #283817=ORIENTED_EDGE('',*,*,#208964,.T.); #283818=ORIENTED_EDGE('',*,*,#208963,.T.); #283819=ORIENTED_EDGE('',*,*,#208965,.F.); #283820=ORIENTED_EDGE('',*,*,#208966,.F.); #283821=ORIENTED_EDGE('',*,*,#208967,.T.); #283822=ORIENTED_EDGE('',*,*,#208966,.T.); #283823=ORIENTED_EDGE('',*,*,#208968,.F.); #283824=ORIENTED_EDGE('',*,*,#208969,.F.); #283825=ORIENTED_EDGE('',*,*,#208970,.T.); #283826=ORIENTED_EDGE('',*,*,#208969,.T.); #283827=ORIENTED_EDGE('',*,*,#208971,.F.); #283828=ORIENTED_EDGE('',*,*,#208972,.F.); #283829=ORIENTED_EDGE('',*,*,#208973,.T.); #283830=ORIENTED_EDGE('',*,*,#208972,.T.); #283831=ORIENTED_EDGE('',*,*,#208974,.F.); #283832=ORIENTED_EDGE('',*,*,#208975,.F.); #283833=ORIENTED_EDGE('',*,*,#208976,.T.); #283834=ORIENTED_EDGE('',*,*,#208975,.T.); #283835=ORIENTED_EDGE('',*,*,#208977,.F.); #283836=ORIENTED_EDGE('',*,*,#208978,.F.); #283837=ORIENTED_EDGE('',*,*,#208979,.T.); #283838=ORIENTED_EDGE('',*,*,#208978,.T.); #283839=ORIENTED_EDGE('',*,*,#208980,.F.); #283840=ORIENTED_EDGE('',*,*,#208981,.F.); #283841=ORIENTED_EDGE('',*,*,#208982,.T.); #283842=ORIENTED_EDGE('',*,*,#208981,.T.); #283843=ORIENTED_EDGE('',*,*,#208983,.F.); #283844=ORIENTED_EDGE('',*,*,#208984,.F.); #283845=ORIENTED_EDGE('',*,*,#208985,.T.); #283846=ORIENTED_EDGE('',*,*,#208984,.T.); #283847=ORIENTED_EDGE('',*,*,#208986,.F.); #283848=ORIENTED_EDGE('',*,*,#208987,.F.); #283849=ORIENTED_EDGE('',*,*,#208988,.T.); #283850=ORIENTED_EDGE('',*,*,#208987,.T.); #283851=ORIENTED_EDGE('',*,*,#208989,.F.); #283852=ORIENTED_EDGE('',*,*,#208990,.F.); #283853=ORIENTED_EDGE('',*,*,#208991,.T.); #283854=ORIENTED_EDGE('',*,*,#208990,.T.); #283855=ORIENTED_EDGE('',*,*,#208992,.F.); #283856=ORIENTED_EDGE('',*,*,#208993,.F.); #283857=ORIENTED_EDGE('',*,*,#208994,.T.); #283858=ORIENTED_EDGE('',*,*,#208993,.T.); #283859=ORIENTED_EDGE('',*,*,#208995,.F.); #283860=ORIENTED_EDGE('',*,*,#208996,.F.); #283861=ORIENTED_EDGE('',*,*,#208997,.T.); #283862=ORIENTED_EDGE('',*,*,#208996,.T.); #283863=ORIENTED_EDGE('',*,*,#208998,.F.); #283864=ORIENTED_EDGE('',*,*,#208999,.F.); #283865=ORIENTED_EDGE('',*,*,#209000,.T.); #283866=ORIENTED_EDGE('',*,*,#208999,.T.); #283867=ORIENTED_EDGE('',*,*,#209001,.F.); #283868=ORIENTED_EDGE('',*,*,#209002,.F.); #283869=ORIENTED_EDGE('',*,*,#209003,.T.); #283870=ORIENTED_EDGE('',*,*,#209002,.T.); #283871=ORIENTED_EDGE('',*,*,#209004,.F.); #283872=ORIENTED_EDGE('',*,*,#209005,.F.); #283873=ORIENTED_EDGE('',*,*,#209006,.T.); #283874=ORIENTED_EDGE('',*,*,#209005,.T.); #283875=ORIENTED_EDGE('',*,*,#209007,.F.); #283876=ORIENTED_EDGE('',*,*,#209008,.F.); #283877=ORIENTED_EDGE('',*,*,#209009,.T.); #283878=ORIENTED_EDGE('',*,*,#209008,.T.); #283879=ORIENTED_EDGE('',*,*,#209010,.F.); #283880=ORIENTED_EDGE('',*,*,#209011,.F.); #283881=ORIENTED_EDGE('',*,*,#209012,.T.); #283882=ORIENTED_EDGE('',*,*,#209011,.T.); #283883=ORIENTED_EDGE('',*,*,#209013,.F.); #283884=ORIENTED_EDGE('',*,*,#209014,.F.); #283885=ORIENTED_EDGE('',*,*,#209015,.T.); #283886=ORIENTED_EDGE('',*,*,#209014,.T.); #283887=ORIENTED_EDGE('',*,*,#209016,.F.); #283888=ORIENTED_EDGE('',*,*,#209017,.F.); #283889=ORIENTED_EDGE('',*,*,#209018,.T.); #283890=ORIENTED_EDGE('',*,*,#209017,.T.); #283891=ORIENTED_EDGE('',*,*,#209019,.F.); #283892=ORIENTED_EDGE('',*,*,#209020,.F.); #283893=ORIENTED_EDGE('',*,*,#209021,.T.); #283894=ORIENTED_EDGE('',*,*,#209020,.T.); #283895=ORIENTED_EDGE('',*,*,#209022,.F.); #283896=ORIENTED_EDGE('',*,*,#209023,.F.); #283897=ORIENTED_EDGE('',*,*,#209024,.T.); #283898=ORIENTED_EDGE('',*,*,#209023,.T.); #283899=ORIENTED_EDGE('',*,*,#209025,.F.); #283900=ORIENTED_EDGE('',*,*,#209026,.F.); #283901=ORIENTED_EDGE('',*,*,#209027,.T.); #283902=ORIENTED_EDGE('',*,*,#209026,.T.); #283903=ORIENTED_EDGE('',*,*,#209028,.F.); #283904=ORIENTED_EDGE('',*,*,#209029,.F.); #283905=ORIENTED_EDGE('',*,*,#209030,.T.); #283906=ORIENTED_EDGE('',*,*,#209029,.T.); #283907=ORIENTED_EDGE('',*,*,#209031,.F.); #283908=ORIENTED_EDGE('',*,*,#209032,.F.); #283909=ORIENTED_EDGE('',*,*,#209033,.T.); #283910=ORIENTED_EDGE('',*,*,#209032,.T.); #283911=ORIENTED_EDGE('',*,*,#209034,.F.); #283912=ORIENTED_EDGE('',*,*,#209035,.F.); #283913=ORIENTED_EDGE('',*,*,#209036,.T.); #283914=ORIENTED_EDGE('',*,*,#209035,.T.); #283915=ORIENTED_EDGE('',*,*,#209037,.F.); #283916=ORIENTED_EDGE('',*,*,#209038,.F.); #283917=ORIENTED_EDGE('',*,*,#209039,.T.); #283918=ORIENTED_EDGE('',*,*,#209038,.T.); #283919=ORIENTED_EDGE('',*,*,#209040,.F.); #283920=ORIENTED_EDGE('',*,*,#209041,.F.); #283921=ORIENTED_EDGE('',*,*,#209042,.T.); #283922=ORIENTED_EDGE('',*,*,#209041,.T.); #283923=ORIENTED_EDGE('',*,*,#209043,.F.); #283924=ORIENTED_EDGE('',*,*,#209044,.F.); #283925=ORIENTED_EDGE('',*,*,#209045,.T.); #283926=ORIENTED_EDGE('',*,*,#209044,.T.); #283927=ORIENTED_EDGE('',*,*,#209046,.F.); #283928=ORIENTED_EDGE('',*,*,#209047,.F.); #283929=ORIENTED_EDGE('',*,*,#209048,.T.); #283930=ORIENTED_EDGE('',*,*,#209047,.T.); #283931=ORIENTED_EDGE('',*,*,#209049,.F.); #283932=ORIENTED_EDGE('',*,*,#209050,.F.); #283933=ORIENTED_EDGE('',*,*,#209051,.T.); #283934=ORIENTED_EDGE('',*,*,#209050,.T.); #283935=ORIENTED_EDGE('',*,*,#209052,.F.); #283936=ORIENTED_EDGE('',*,*,#209053,.F.); #283937=ORIENTED_EDGE('',*,*,#209054,.T.); #283938=ORIENTED_EDGE('',*,*,#209053,.T.); #283939=ORIENTED_EDGE('',*,*,#209055,.F.); #283940=ORIENTED_EDGE('',*,*,#209056,.F.); #283941=ORIENTED_EDGE('',*,*,#209057,.T.); #283942=ORIENTED_EDGE('',*,*,#209056,.T.); #283943=ORIENTED_EDGE('',*,*,#209058,.F.); #283944=ORIENTED_EDGE('',*,*,#209059,.F.); #283945=ORIENTED_EDGE('',*,*,#209060,.T.); #283946=ORIENTED_EDGE('',*,*,#209059,.T.); #283947=ORIENTED_EDGE('',*,*,#209061,.F.); #283948=ORIENTED_EDGE('',*,*,#209062,.F.); #283949=ORIENTED_EDGE('',*,*,#209063,.T.); #283950=ORIENTED_EDGE('',*,*,#209062,.T.); #283951=ORIENTED_EDGE('',*,*,#209064,.F.); #283952=ORIENTED_EDGE('',*,*,#208844,.F.); #283953=ORIENTED_EDGE('',*,*,#209064,.T.); #283954=ORIENTED_EDGE('',*,*,#209061,.T.); #283955=ORIENTED_EDGE('',*,*,#209058,.T.); #283956=ORIENTED_EDGE('',*,*,#209055,.T.); #283957=ORIENTED_EDGE('',*,*,#209052,.T.); #283958=ORIENTED_EDGE('',*,*,#209049,.T.); #283959=ORIENTED_EDGE('',*,*,#209046,.T.); #283960=ORIENTED_EDGE('',*,*,#209043,.T.); #283961=ORIENTED_EDGE('',*,*,#209040,.T.); #283962=ORIENTED_EDGE('',*,*,#209037,.T.); #283963=ORIENTED_EDGE('',*,*,#209034,.T.); #283964=ORIENTED_EDGE('',*,*,#209031,.T.); #283965=ORIENTED_EDGE('',*,*,#209028,.T.); #283966=ORIENTED_EDGE('',*,*,#209025,.T.); #283967=ORIENTED_EDGE('',*,*,#209022,.T.); #283968=ORIENTED_EDGE('',*,*,#209019,.T.); #283969=ORIENTED_EDGE('',*,*,#209016,.T.); #283970=ORIENTED_EDGE('',*,*,#209013,.T.); #283971=ORIENTED_EDGE('',*,*,#209010,.T.); #283972=ORIENTED_EDGE('',*,*,#209007,.T.); #283973=ORIENTED_EDGE('',*,*,#209004,.T.); #283974=ORIENTED_EDGE('',*,*,#209001,.T.); #283975=ORIENTED_EDGE('',*,*,#208998,.T.); #283976=ORIENTED_EDGE('',*,*,#208995,.T.); #283977=ORIENTED_EDGE('',*,*,#208992,.T.); #283978=ORIENTED_EDGE('',*,*,#208989,.T.); #283979=ORIENTED_EDGE('',*,*,#208986,.T.); #283980=ORIENTED_EDGE('',*,*,#208983,.T.); #283981=ORIENTED_EDGE('',*,*,#208980,.T.); #283982=ORIENTED_EDGE('',*,*,#208977,.T.); #283983=ORIENTED_EDGE('',*,*,#208974,.T.); #283984=ORIENTED_EDGE('',*,*,#208971,.T.); #283985=ORIENTED_EDGE('',*,*,#208968,.T.); #283986=ORIENTED_EDGE('',*,*,#208965,.T.); #283987=ORIENTED_EDGE('',*,*,#208962,.T.); #283988=ORIENTED_EDGE('',*,*,#208959,.T.); #283989=ORIENTED_EDGE('',*,*,#208956,.T.); #283990=ORIENTED_EDGE('',*,*,#208953,.T.); #283991=ORIENTED_EDGE('',*,*,#208950,.T.); #283992=ORIENTED_EDGE('',*,*,#208947,.T.); #283993=ORIENTED_EDGE('',*,*,#208944,.T.); #283994=ORIENTED_EDGE('',*,*,#208941,.T.); #283995=ORIENTED_EDGE('',*,*,#208938,.T.); #283996=ORIENTED_EDGE('',*,*,#208935,.T.); #283997=ORIENTED_EDGE('',*,*,#208932,.T.); #283998=ORIENTED_EDGE('',*,*,#208929,.T.); #283999=ORIENTED_EDGE('',*,*,#208926,.T.); #284000=ORIENTED_EDGE('',*,*,#208923,.T.); #284001=ORIENTED_EDGE('',*,*,#208920,.T.); #284002=ORIENTED_EDGE('',*,*,#208917,.T.); #284003=ORIENTED_EDGE('',*,*,#208914,.T.); #284004=ORIENTED_EDGE('',*,*,#208911,.T.); #284005=ORIENTED_EDGE('',*,*,#208908,.T.); #284006=ORIENTED_EDGE('',*,*,#208905,.T.); #284007=ORIENTED_EDGE('',*,*,#208902,.T.); #284008=ORIENTED_EDGE('',*,*,#208899,.T.); #284009=ORIENTED_EDGE('',*,*,#208896,.T.); #284010=ORIENTED_EDGE('',*,*,#208893,.T.); #284011=ORIENTED_EDGE('',*,*,#208890,.T.); #284012=ORIENTED_EDGE('',*,*,#208887,.T.); #284013=ORIENTED_EDGE('',*,*,#208884,.T.); #284014=ORIENTED_EDGE('',*,*,#208881,.T.); #284015=ORIENTED_EDGE('',*,*,#208878,.T.); #284016=ORIENTED_EDGE('',*,*,#208875,.T.); #284017=ORIENTED_EDGE('',*,*,#208872,.T.); #284018=ORIENTED_EDGE('',*,*,#208869,.T.); #284019=ORIENTED_EDGE('',*,*,#208866,.T.); #284020=ORIENTED_EDGE('',*,*,#208863,.T.); #284021=ORIENTED_EDGE('',*,*,#208860,.T.); #284022=ORIENTED_EDGE('',*,*,#208857,.T.); #284023=ORIENTED_EDGE('',*,*,#208854,.T.); #284024=ORIENTED_EDGE('',*,*,#208851,.T.); #284025=ORIENTED_EDGE('',*,*,#208848,.T.); #284026=ORIENTED_EDGE('',*,*,#208845,.T.); #284027=ORIENTED_EDGE('',*,*,#208785,.T.); #284028=ORIENTED_EDGE('',*,*,#208788,.T.); #284029=ORIENTED_EDGE('',*,*,#208791,.T.); #284030=ORIENTED_EDGE('',*,*,#208794,.T.); #284031=ORIENTED_EDGE('',*,*,#208842,.T.); #284032=ORIENTED_EDGE('',*,*,#208839,.T.); #284033=ORIENTED_EDGE('',*,*,#208836,.T.); #284034=ORIENTED_EDGE('',*,*,#208833,.T.); #284035=ORIENTED_EDGE('',*,*,#208830,.T.); #284036=ORIENTED_EDGE('',*,*,#208827,.T.); #284037=ORIENTED_EDGE('',*,*,#208824,.T.); #284038=ORIENTED_EDGE('',*,*,#208821,.T.); #284039=ORIENTED_EDGE('',*,*,#208818,.T.); #284040=ORIENTED_EDGE('',*,*,#208815,.T.); #284041=ORIENTED_EDGE('',*,*,#208812,.T.); #284042=ORIENTED_EDGE('',*,*,#208809,.T.); #284043=ORIENTED_EDGE('',*,*,#208806,.T.); #284044=ORIENTED_EDGE('',*,*,#208803,.T.); #284045=ORIENTED_EDGE('',*,*,#208800,.T.); #284046=ORIENTED_EDGE('',*,*,#208797,.T.); #284047=ORIENTED_EDGE('',*,*,#209063,.F.); #284048=ORIENTED_EDGE('',*,*,#208843,.F.); #284049=ORIENTED_EDGE('',*,*,#208847,.F.); #284050=ORIENTED_EDGE('',*,*,#208850,.F.); #284051=ORIENTED_EDGE('',*,*,#208853,.F.); #284052=ORIENTED_EDGE('',*,*,#208856,.F.); #284053=ORIENTED_EDGE('',*,*,#208859,.F.); #284054=ORIENTED_EDGE('',*,*,#208862,.F.); #284055=ORIENTED_EDGE('',*,*,#208865,.F.); #284056=ORIENTED_EDGE('',*,*,#208868,.F.); #284057=ORIENTED_EDGE('',*,*,#208871,.F.); #284058=ORIENTED_EDGE('',*,*,#208874,.F.); #284059=ORIENTED_EDGE('',*,*,#208877,.F.); #284060=ORIENTED_EDGE('',*,*,#208880,.F.); #284061=ORIENTED_EDGE('',*,*,#208883,.F.); #284062=ORIENTED_EDGE('',*,*,#208886,.F.); #284063=ORIENTED_EDGE('',*,*,#208889,.F.); #284064=ORIENTED_EDGE('',*,*,#208892,.F.); #284065=ORIENTED_EDGE('',*,*,#208895,.F.); #284066=ORIENTED_EDGE('',*,*,#208898,.F.); #284067=ORIENTED_EDGE('',*,*,#208901,.F.); #284068=ORIENTED_EDGE('',*,*,#208904,.F.); #284069=ORIENTED_EDGE('',*,*,#208907,.F.); #284070=ORIENTED_EDGE('',*,*,#208910,.F.); #284071=ORIENTED_EDGE('',*,*,#208913,.F.); #284072=ORIENTED_EDGE('',*,*,#208916,.F.); #284073=ORIENTED_EDGE('',*,*,#208919,.F.); #284074=ORIENTED_EDGE('',*,*,#208922,.F.); #284075=ORIENTED_EDGE('',*,*,#208925,.F.); #284076=ORIENTED_EDGE('',*,*,#208928,.F.); #284077=ORIENTED_EDGE('',*,*,#208931,.F.); #284078=ORIENTED_EDGE('',*,*,#208934,.F.); #284079=ORIENTED_EDGE('',*,*,#208937,.F.); #284080=ORIENTED_EDGE('',*,*,#208940,.F.); #284081=ORIENTED_EDGE('',*,*,#208943,.F.); #284082=ORIENTED_EDGE('',*,*,#208946,.F.); #284083=ORIENTED_EDGE('',*,*,#208949,.F.); #284084=ORIENTED_EDGE('',*,*,#208952,.F.); #284085=ORIENTED_EDGE('',*,*,#208955,.F.); #284086=ORIENTED_EDGE('',*,*,#208958,.F.); #284087=ORIENTED_EDGE('',*,*,#208961,.F.); #284088=ORIENTED_EDGE('',*,*,#208964,.F.); #284089=ORIENTED_EDGE('',*,*,#208967,.F.); #284090=ORIENTED_EDGE('',*,*,#208970,.F.); #284091=ORIENTED_EDGE('',*,*,#208973,.F.); #284092=ORIENTED_EDGE('',*,*,#208976,.F.); #284093=ORIENTED_EDGE('',*,*,#208979,.F.); #284094=ORIENTED_EDGE('',*,*,#208982,.F.); #284095=ORIENTED_EDGE('',*,*,#208985,.F.); #284096=ORIENTED_EDGE('',*,*,#208988,.F.); #284097=ORIENTED_EDGE('',*,*,#208991,.F.); #284098=ORIENTED_EDGE('',*,*,#208994,.F.); #284099=ORIENTED_EDGE('',*,*,#208997,.F.); #284100=ORIENTED_EDGE('',*,*,#209000,.F.); #284101=ORIENTED_EDGE('',*,*,#209003,.F.); #284102=ORIENTED_EDGE('',*,*,#209006,.F.); #284103=ORIENTED_EDGE('',*,*,#209009,.F.); #284104=ORIENTED_EDGE('',*,*,#209012,.F.); #284105=ORIENTED_EDGE('',*,*,#209015,.F.); #284106=ORIENTED_EDGE('',*,*,#209018,.F.); #284107=ORIENTED_EDGE('',*,*,#209021,.F.); #284108=ORIENTED_EDGE('',*,*,#209024,.F.); #284109=ORIENTED_EDGE('',*,*,#209027,.F.); #284110=ORIENTED_EDGE('',*,*,#209030,.F.); #284111=ORIENTED_EDGE('',*,*,#209033,.F.); #284112=ORIENTED_EDGE('',*,*,#209036,.F.); #284113=ORIENTED_EDGE('',*,*,#209039,.F.); #284114=ORIENTED_EDGE('',*,*,#209042,.F.); #284115=ORIENTED_EDGE('',*,*,#209045,.F.); #284116=ORIENTED_EDGE('',*,*,#209048,.F.); #284117=ORIENTED_EDGE('',*,*,#209051,.F.); #284118=ORIENTED_EDGE('',*,*,#209054,.F.); #284119=ORIENTED_EDGE('',*,*,#209057,.F.); #284120=ORIENTED_EDGE('',*,*,#209060,.F.); #284121=ORIENTED_EDGE('',*,*,#208783,.T.); #284122=ORIENTED_EDGE('',*,*,#208786,.T.); #284123=ORIENTED_EDGE('',*,*,#208789,.T.); #284124=ORIENTED_EDGE('',*,*,#208792,.T.); #284125=ORIENTED_EDGE('',*,*,#208841,.F.); #284126=ORIENTED_EDGE('',*,*,#208795,.F.); #284127=ORIENTED_EDGE('',*,*,#208799,.F.); #284128=ORIENTED_EDGE('',*,*,#208802,.F.); #284129=ORIENTED_EDGE('',*,*,#208805,.F.); #284130=ORIENTED_EDGE('',*,*,#208808,.F.); #284131=ORIENTED_EDGE('',*,*,#208811,.F.); #284132=ORIENTED_EDGE('',*,*,#208814,.F.); #284133=ORIENTED_EDGE('',*,*,#208817,.F.); #284134=ORIENTED_EDGE('',*,*,#208820,.F.); #284135=ORIENTED_EDGE('',*,*,#208823,.F.); #284136=ORIENTED_EDGE('',*,*,#208826,.F.); #284137=ORIENTED_EDGE('',*,*,#208829,.F.); #284138=ORIENTED_EDGE('',*,*,#208832,.F.); #284139=ORIENTED_EDGE('',*,*,#208835,.F.); #284140=ORIENTED_EDGE('',*,*,#208838,.F.); #284141=ORIENTED_EDGE('',*,*,#209065,.F.); #284142=ORIENTED_EDGE('',*,*,#209066,.T.); #284143=ORIENTED_EDGE('',*,*,#209067,.F.); #284144=ORIENTED_EDGE('',*,*,#209066,.F.); #284145=ORIENTED_EDGE('',*,*,#209068,.T.); #284146=ORIENTED_EDGE('',*,*,#209069,.T.); #284147=ORIENTED_EDGE('',*,*,#209070,.F.); #284148=ORIENTED_EDGE('',*,*,#209071,.F.); #284149=ORIENTED_EDGE('',*,*,#209072,.T.); #284150=ORIENTED_EDGE('',*,*,#209071,.T.); #284151=ORIENTED_EDGE('',*,*,#209073,.F.); #284152=ORIENTED_EDGE('',*,*,#209074,.F.); #284153=ORIENTED_EDGE('',*,*,#209075,.T.); #284154=ORIENTED_EDGE('',*,*,#209074,.T.); #284155=ORIENTED_EDGE('',*,*,#209076,.F.); #284156=ORIENTED_EDGE('',*,*,#209077,.F.); #284157=ORIENTED_EDGE('',*,*,#209078,.T.); #284158=ORIENTED_EDGE('',*,*,#209077,.T.); #284159=ORIENTED_EDGE('',*,*,#209079,.F.); #284160=ORIENTED_EDGE('',*,*,#209080,.F.); #284161=ORIENTED_EDGE('',*,*,#209081,.T.); #284162=ORIENTED_EDGE('',*,*,#209080,.T.); #284163=ORIENTED_EDGE('',*,*,#209082,.F.); #284164=ORIENTED_EDGE('',*,*,#209083,.F.); #284165=ORIENTED_EDGE('',*,*,#209084,.T.); #284166=ORIENTED_EDGE('',*,*,#209083,.T.); #284167=ORIENTED_EDGE('',*,*,#209085,.F.); #284168=ORIENTED_EDGE('',*,*,#209086,.F.); #284169=ORIENTED_EDGE('',*,*,#209087,.T.); #284170=ORIENTED_EDGE('',*,*,#209086,.T.); #284171=ORIENTED_EDGE('',*,*,#209088,.F.); #284172=ORIENTED_EDGE('',*,*,#209089,.F.); #284173=ORIENTED_EDGE('',*,*,#209090,.T.); #284174=ORIENTED_EDGE('',*,*,#209089,.T.); #284175=ORIENTED_EDGE('',*,*,#209091,.F.); #284176=ORIENTED_EDGE('',*,*,#209092,.F.); #284177=ORIENTED_EDGE('',*,*,#209093,.T.); #284178=ORIENTED_EDGE('',*,*,#209092,.T.); #284179=ORIENTED_EDGE('',*,*,#209094,.F.); #284180=ORIENTED_EDGE('',*,*,#209095,.F.); #284181=ORIENTED_EDGE('',*,*,#209096,.T.); #284182=ORIENTED_EDGE('',*,*,#209095,.T.); #284183=ORIENTED_EDGE('',*,*,#209097,.F.); #284184=ORIENTED_EDGE('',*,*,#209098,.F.); #284185=ORIENTED_EDGE('',*,*,#209099,.T.); #284186=ORIENTED_EDGE('',*,*,#209098,.T.); #284187=ORIENTED_EDGE('',*,*,#209100,.F.); #284188=ORIENTED_EDGE('',*,*,#209101,.F.); #284189=ORIENTED_EDGE('',*,*,#209102,.T.); #284190=ORIENTED_EDGE('',*,*,#209101,.T.); #284191=ORIENTED_EDGE('',*,*,#209103,.F.); #284192=ORIENTED_EDGE('',*,*,#209104,.F.); #284193=ORIENTED_EDGE('',*,*,#209105,.T.); #284194=ORIENTED_EDGE('',*,*,#209104,.T.); #284195=ORIENTED_EDGE('',*,*,#209106,.F.); #284196=ORIENTED_EDGE('',*,*,#209107,.F.); #284197=ORIENTED_EDGE('',*,*,#209108,.T.); #284198=ORIENTED_EDGE('',*,*,#209107,.T.); #284199=ORIENTED_EDGE('',*,*,#209109,.F.); #284200=ORIENTED_EDGE('',*,*,#209110,.F.); #284201=ORIENTED_EDGE('',*,*,#209111,.T.); #284202=ORIENTED_EDGE('',*,*,#209110,.T.); #284203=ORIENTED_EDGE('',*,*,#209112,.F.); #284204=ORIENTED_EDGE('',*,*,#209113,.F.); #284205=ORIENTED_EDGE('',*,*,#209114,.T.); #284206=ORIENTED_EDGE('',*,*,#209113,.T.); #284207=ORIENTED_EDGE('',*,*,#209115,.F.); #284208=ORIENTED_EDGE('',*,*,#209116,.F.); #284209=ORIENTED_EDGE('',*,*,#209117,.T.); #284210=ORIENTED_EDGE('',*,*,#209116,.T.); #284211=ORIENTED_EDGE('',*,*,#209118,.F.); #284212=ORIENTED_EDGE('',*,*,#209119,.F.); #284213=ORIENTED_EDGE('',*,*,#209120,.T.); #284214=ORIENTED_EDGE('',*,*,#209119,.T.); #284215=ORIENTED_EDGE('',*,*,#209121,.F.); #284216=ORIENTED_EDGE('',*,*,#209122,.F.); #284217=ORIENTED_EDGE('',*,*,#209123,.T.); #284218=ORIENTED_EDGE('',*,*,#209122,.T.); #284219=ORIENTED_EDGE('',*,*,#209124,.F.); #284220=ORIENTED_EDGE('',*,*,#209125,.F.); #284221=ORIENTED_EDGE('',*,*,#209126,.T.); #284222=ORIENTED_EDGE('',*,*,#209125,.T.); #284223=ORIENTED_EDGE('',*,*,#209127,.F.); #284224=ORIENTED_EDGE('',*,*,#209128,.F.); #284225=ORIENTED_EDGE('',*,*,#209129,.T.); #284226=ORIENTED_EDGE('',*,*,#209128,.T.); #284227=ORIENTED_EDGE('',*,*,#209130,.F.); #284228=ORIENTED_EDGE('',*,*,#209131,.F.); #284229=ORIENTED_EDGE('',*,*,#209132,.T.); #284230=ORIENTED_EDGE('',*,*,#209131,.T.); #284231=ORIENTED_EDGE('',*,*,#209133,.F.); #284232=ORIENTED_EDGE('',*,*,#209134,.F.); #284233=ORIENTED_EDGE('',*,*,#209135,.T.); #284234=ORIENTED_EDGE('',*,*,#209134,.T.); #284235=ORIENTED_EDGE('',*,*,#209136,.F.); #284236=ORIENTED_EDGE('',*,*,#209137,.F.); #284237=ORIENTED_EDGE('',*,*,#209138,.T.); #284238=ORIENTED_EDGE('',*,*,#209137,.T.); #284239=ORIENTED_EDGE('',*,*,#209139,.F.); #284240=ORIENTED_EDGE('',*,*,#209140,.F.); #284241=ORIENTED_EDGE('',*,*,#209141,.T.); #284242=ORIENTED_EDGE('',*,*,#209140,.T.); #284243=ORIENTED_EDGE('',*,*,#209142,.F.); #284244=ORIENTED_EDGE('',*,*,#209143,.F.); #284245=ORIENTED_EDGE('',*,*,#209144,.T.); #284246=ORIENTED_EDGE('',*,*,#209143,.T.); #284247=ORIENTED_EDGE('',*,*,#209145,.F.); #284248=ORIENTED_EDGE('',*,*,#209146,.F.); #284249=ORIENTED_EDGE('',*,*,#209147,.T.); #284250=ORIENTED_EDGE('',*,*,#209146,.T.); #284251=ORIENTED_EDGE('',*,*,#209148,.F.); #284252=ORIENTED_EDGE('',*,*,#209149,.F.); #284253=ORIENTED_EDGE('',*,*,#209150,.T.); #284254=ORIENTED_EDGE('',*,*,#209149,.T.); #284255=ORIENTED_EDGE('',*,*,#209151,.F.); #284256=ORIENTED_EDGE('',*,*,#209152,.F.); #284257=ORIENTED_EDGE('',*,*,#209153,.T.); #284258=ORIENTED_EDGE('',*,*,#209152,.T.); #284259=ORIENTED_EDGE('',*,*,#209154,.F.); #284260=ORIENTED_EDGE('',*,*,#209155,.F.); #284261=ORIENTED_EDGE('',*,*,#209156,.T.); #284262=ORIENTED_EDGE('',*,*,#209155,.T.); #284263=ORIENTED_EDGE('',*,*,#209157,.F.); #284264=ORIENTED_EDGE('',*,*,#209158,.F.); #284265=ORIENTED_EDGE('',*,*,#209159,.T.); #284266=ORIENTED_EDGE('',*,*,#209158,.T.); #284267=ORIENTED_EDGE('',*,*,#209160,.F.); #284268=ORIENTED_EDGE('',*,*,#209161,.F.); #284269=ORIENTED_EDGE('',*,*,#209162,.T.); #284270=ORIENTED_EDGE('',*,*,#209161,.T.); #284271=ORIENTED_EDGE('',*,*,#209163,.F.); #284272=ORIENTED_EDGE('',*,*,#209164,.F.); #284273=ORIENTED_EDGE('',*,*,#209165,.T.); #284274=ORIENTED_EDGE('',*,*,#209164,.T.); #284275=ORIENTED_EDGE('',*,*,#209166,.F.); #284276=ORIENTED_EDGE('',*,*,#209167,.F.); #284277=ORIENTED_EDGE('',*,*,#209168,.T.); #284278=ORIENTED_EDGE('',*,*,#209167,.T.); #284279=ORIENTED_EDGE('',*,*,#209169,.F.); #284280=ORIENTED_EDGE('',*,*,#209170,.F.); #284281=ORIENTED_EDGE('',*,*,#209171,.T.); #284282=ORIENTED_EDGE('',*,*,#209170,.T.); #284283=ORIENTED_EDGE('',*,*,#209172,.F.); #284284=ORIENTED_EDGE('',*,*,#209173,.F.); #284285=ORIENTED_EDGE('',*,*,#209174,.T.); #284286=ORIENTED_EDGE('',*,*,#209173,.T.); #284287=ORIENTED_EDGE('',*,*,#209175,.F.); #284288=ORIENTED_EDGE('',*,*,#209176,.F.); #284289=ORIENTED_EDGE('',*,*,#209177,.T.); #284290=ORIENTED_EDGE('',*,*,#209176,.T.); #284291=ORIENTED_EDGE('',*,*,#209178,.F.); #284292=ORIENTED_EDGE('',*,*,#209179,.F.); #284293=ORIENTED_EDGE('',*,*,#209180,.T.); #284294=ORIENTED_EDGE('',*,*,#209179,.T.); #284295=ORIENTED_EDGE('',*,*,#209181,.F.); #284296=ORIENTED_EDGE('',*,*,#209182,.F.); #284297=ORIENTED_EDGE('',*,*,#209183,.T.); #284298=ORIENTED_EDGE('',*,*,#209182,.T.); #284299=ORIENTED_EDGE('',*,*,#209184,.F.); #284300=ORIENTED_EDGE('',*,*,#209185,.F.); #284301=ORIENTED_EDGE('',*,*,#209186,.T.); #284302=ORIENTED_EDGE('',*,*,#209185,.T.); #284303=ORIENTED_EDGE('',*,*,#209187,.F.); #284304=ORIENTED_EDGE('',*,*,#209188,.F.); #284305=ORIENTED_EDGE('',*,*,#209189,.T.); #284306=ORIENTED_EDGE('',*,*,#209188,.T.); #284307=ORIENTED_EDGE('',*,*,#209190,.F.); #284308=ORIENTED_EDGE('',*,*,#209191,.F.); #284309=ORIENTED_EDGE('',*,*,#209192,.T.); #284310=ORIENTED_EDGE('',*,*,#209191,.T.); #284311=ORIENTED_EDGE('',*,*,#209193,.F.); #284312=ORIENTED_EDGE('',*,*,#209194,.F.); #284313=ORIENTED_EDGE('',*,*,#209195,.T.); #284314=ORIENTED_EDGE('',*,*,#209194,.T.); #284315=ORIENTED_EDGE('',*,*,#209196,.F.); #284316=ORIENTED_EDGE('',*,*,#209197,.F.); #284317=ORIENTED_EDGE('',*,*,#209198,.T.); #284318=ORIENTED_EDGE('',*,*,#209197,.T.); #284319=ORIENTED_EDGE('',*,*,#209199,.F.); #284320=ORIENTED_EDGE('',*,*,#209200,.F.); #284321=ORIENTED_EDGE('',*,*,#209201,.T.); #284322=ORIENTED_EDGE('',*,*,#209200,.T.); #284323=ORIENTED_EDGE('',*,*,#209202,.F.); #284324=ORIENTED_EDGE('',*,*,#209203,.F.); #284325=ORIENTED_EDGE('',*,*,#209204,.T.); #284326=ORIENTED_EDGE('',*,*,#209203,.T.); #284327=ORIENTED_EDGE('',*,*,#209205,.F.); #284328=ORIENTED_EDGE('',*,*,#209206,.F.); #284329=ORIENTED_EDGE('',*,*,#209207,.T.); #284330=ORIENTED_EDGE('',*,*,#209206,.T.); #284331=ORIENTED_EDGE('',*,*,#209208,.F.); #284332=ORIENTED_EDGE('',*,*,#209209,.F.); #284333=ORIENTED_EDGE('',*,*,#209210,.T.); #284334=ORIENTED_EDGE('',*,*,#209209,.T.); #284335=ORIENTED_EDGE('',*,*,#209211,.F.); #284336=ORIENTED_EDGE('',*,*,#209069,.F.); #284337=ORIENTED_EDGE('',*,*,#209211,.T.); #284338=ORIENTED_EDGE('',*,*,#209208,.T.); #284339=ORIENTED_EDGE('',*,*,#209205,.T.); #284340=ORIENTED_EDGE('',*,*,#209202,.T.); #284341=ORIENTED_EDGE('',*,*,#209199,.T.); #284342=ORIENTED_EDGE('',*,*,#209196,.T.); #284343=ORIENTED_EDGE('',*,*,#209193,.T.); #284344=ORIENTED_EDGE('',*,*,#209190,.T.); #284345=ORIENTED_EDGE('',*,*,#209187,.T.); #284346=ORIENTED_EDGE('',*,*,#209184,.T.); #284347=ORIENTED_EDGE('',*,*,#209181,.T.); #284348=ORIENTED_EDGE('',*,*,#209178,.T.); #284349=ORIENTED_EDGE('',*,*,#209175,.T.); #284350=ORIENTED_EDGE('',*,*,#209172,.T.); #284351=ORIENTED_EDGE('',*,*,#209169,.T.); #284352=ORIENTED_EDGE('',*,*,#209166,.T.); #284353=ORIENTED_EDGE('',*,*,#209163,.T.); #284354=ORIENTED_EDGE('',*,*,#209160,.T.); #284355=ORIENTED_EDGE('',*,*,#209157,.T.); #284356=ORIENTED_EDGE('',*,*,#209154,.T.); #284357=ORIENTED_EDGE('',*,*,#209151,.T.); #284358=ORIENTED_EDGE('',*,*,#209148,.T.); #284359=ORIENTED_EDGE('',*,*,#209145,.T.); #284360=ORIENTED_EDGE('',*,*,#209142,.T.); #284361=ORIENTED_EDGE('',*,*,#209139,.T.); #284362=ORIENTED_EDGE('',*,*,#209136,.T.); #284363=ORIENTED_EDGE('',*,*,#209133,.T.); #284364=ORIENTED_EDGE('',*,*,#209130,.T.); #284365=ORIENTED_EDGE('',*,*,#209127,.T.); #284366=ORIENTED_EDGE('',*,*,#209124,.T.); #284367=ORIENTED_EDGE('',*,*,#209121,.T.); #284368=ORIENTED_EDGE('',*,*,#209118,.T.); #284369=ORIENTED_EDGE('',*,*,#209115,.T.); #284370=ORIENTED_EDGE('',*,*,#209112,.T.); #284371=ORIENTED_EDGE('',*,*,#209109,.T.); #284372=ORIENTED_EDGE('',*,*,#209106,.T.); #284373=ORIENTED_EDGE('',*,*,#209103,.T.); #284374=ORIENTED_EDGE('',*,*,#209100,.T.); #284375=ORIENTED_EDGE('',*,*,#209097,.T.); #284376=ORIENTED_EDGE('',*,*,#209094,.T.); #284377=ORIENTED_EDGE('',*,*,#209091,.T.); #284378=ORIENTED_EDGE('',*,*,#209088,.T.); #284379=ORIENTED_EDGE('',*,*,#209085,.T.); #284380=ORIENTED_EDGE('',*,*,#209082,.T.); #284381=ORIENTED_EDGE('',*,*,#209079,.T.); #284382=ORIENTED_EDGE('',*,*,#209076,.T.); #284383=ORIENTED_EDGE('',*,*,#209073,.T.); #284384=ORIENTED_EDGE('',*,*,#209070,.T.); #284385=ORIENTED_EDGE('',*,*,#209067,.T.); #284386=ORIENTED_EDGE('',*,*,#209210,.F.); #284387=ORIENTED_EDGE('',*,*,#209068,.F.); #284388=ORIENTED_EDGE('',*,*,#209072,.F.); #284389=ORIENTED_EDGE('',*,*,#209075,.F.); #284390=ORIENTED_EDGE('',*,*,#209078,.F.); #284391=ORIENTED_EDGE('',*,*,#209081,.F.); #284392=ORIENTED_EDGE('',*,*,#209084,.F.); #284393=ORIENTED_EDGE('',*,*,#209087,.F.); #284394=ORIENTED_EDGE('',*,*,#209090,.F.); #284395=ORIENTED_EDGE('',*,*,#209093,.F.); #284396=ORIENTED_EDGE('',*,*,#209096,.F.); #284397=ORIENTED_EDGE('',*,*,#209099,.F.); #284398=ORIENTED_EDGE('',*,*,#209102,.F.); #284399=ORIENTED_EDGE('',*,*,#209105,.F.); #284400=ORIENTED_EDGE('',*,*,#209108,.F.); #284401=ORIENTED_EDGE('',*,*,#209111,.F.); #284402=ORIENTED_EDGE('',*,*,#209114,.F.); #284403=ORIENTED_EDGE('',*,*,#209117,.F.); #284404=ORIENTED_EDGE('',*,*,#209120,.F.); #284405=ORIENTED_EDGE('',*,*,#209123,.F.); #284406=ORIENTED_EDGE('',*,*,#209126,.F.); #284407=ORIENTED_EDGE('',*,*,#209129,.F.); #284408=ORIENTED_EDGE('',*,*,#209132,.F.); #284409=ORIENTED_EDGE('',*,*,#209135,.F.); #284410=ORIENTED_EDGE('',*,*,#209138,.F.); #284411=ORIENTED_EDGE('',*,*,#209141,.F.); #284412=ORIENTED_EDGE('',*,*,#209144,.F.); #284413=ORIENTED_EDGE('',*,*,#209147,.F.); #284414=ORIENTED_EDGE('',*,*,#209150,.F.); #284415=ORIENTED_EDGE('',*,*,#209153,.F.); #284416=ORIENTED_EDGE('',*,*,#209156,.F.); #284417=ORIENTED_EDGE('',*,*,#209159,.F.); #284418=ORIENTED_EDGE('',*,*,#209162,.F.); #284419=ORIENTED_EDGE('',*,*,#209165,.F.); #284420=ORIENTED_EDGE('',*,*,#209168,.F.); #284421=ORIENTED_EDGE('',*,*,#209171,.F.); #284422=ORIENTED_EDGE('',*,*,#209174,.F.); #284423=ORIENTED_EDGE('',*,*,#209177,.F.); #284424=ORIENTED_EDGE('',*,*,#209180,.F.); #284425=ORIENTED_EDGE('',*,*,#209183,.F.); #284426=ORIENTED_EDGE('',*,*,#209186,.F.); #284427=ORIENTED_EDGE('',*,*,#209189,.F.); #284428=ORIENTED_EDGE('',*,*,#209192,.F.); #284429=ORIENTED_EDGE('',*,*,#209195,.F.); #284430=ORIENTED_EDGE('',*,*,#209198,.F.); #284431=ORIENTED_EDGE('',*,*,#209201,.F.); #284432=ORIENTED_EDGE('',*,*,#209204,.F.); #284433=ORIENTED_EDGE('',*,*,#209207,.F.); #284434=ORIENTED_EDGE('',*,*,#209065,.T.); #284435=ORIENTED_EDGE('',*,*,#209212,.F.); #284436=ORIENTED_EDGE('',*,*,#209213,.T.); #284437=ORIENTED_EDGE('',*,*,#209214,.F.); #284438=ORIENTED_EDGE('',*,*,#209213,.F.); #284439=ORIENTED_EDGE('',*,*,#209215,.F.); #284440=ORIENTED_EDGE('',*,*,#209216,.T.); #284441=ORIENTED_EDGE('',*,*,#209217,.F.); #284442=ORIENTED_EDGE('',*,*,#209216,.F.); #284443=ORIENTED_EDGE('',*,*,#209218,.F.); #284444=ORIENTED_EDGE('',*,*,#209219,.T.); #284445=ORIENTED_EDGE('',*,*,#209220,.F.); #284446=ORIENTED_EDGE('',*,*,#209219,.F.); #284447=ORIENTED_EDGE('',*,*,#209221,.F.); #284448=ORIENTED_EDGE('',*,*,#209222,.T.); #284449=ORIENTED_EDGE('',*,*,#209223,.F.); #284450=ORIENTED_EDGE('',*,*,#209222,.F.); #284451=ORIENTED_EDGE('',*,*,#209224,.F.); #284452=ORIENTED_EDGE('',*,*,#209225,.T.); #284453=ORIENTED_EDGE('',*,*,#209226,.F.); #284454=ORIENTED_EDGE('',*,*,#209225,.F.); #284455=ORIENTED_EDGE('',*,*,#209227,.F.); #284456=ORIENTED_EDGE('',*,*,#209228,.T.); #284457=ORIENTED_EDGE('',*,*,#209229,.F.); #284458=ORIENTED_EDGE('',*,*,#209228,.F.); #284459=ORIENTED_EDGE('',*,*,#209230,.F.); #284460=ORIENTED_EDGE('',*,*,#209231,.T.); #284461=ORIENTED_EDGE('',*,*,#209232,.F.); #284462=ORIENTED_EDGE('',*,*,#209231,.F.); #284463=ORIENTED_EDGE('',*,*,#209233,.F.); #284464=ORIENTED_EDGE('',*,*,#209234,.T.); #284465=ORIENTED_EDGE('',*,*,#209235,.F.); #284466=ORIENTED_EDGE('',*,*,#209234,.F.); #284467=ORIENTED_EDGE('',*,*,#209236,.F.); #284468=ORIENTED_EDGE('',*,*,#209237,.T.); #284469=ORIENTED_EDGE('',*,*,#209238,.F.); #284470=ORIENTED_EDGE('',*,*,#209237,.F.); #284471=ORIENTED_EDGE('',*,*,#209239,.F.); #284472=ORIENTED_EDGE('',*,*,#209240,.T.); #284473=ORIENTED_EDGE('',*,*,#209241,.F.); #284474=ORIENTED_EDGE('',*,*,#209240,.F.); #284475=ORIENTED_EDGE('',*,*,#209242,.F.); #284476=ORIENTED_EDGE('',*,*,#209243,.T.); #284477=ORIENTED_EDGE('',*,*,#209244,.F.); #284478=ORIENTED_EDGE('',*,*,#209243,.F.); #284479=ORIENTED_EDGE('',*,*,#209245,.F.); #284480=ORIENTED_EDGE('',*,*,#209246,.T.); #284481=ORIENTED_EDGE('',*,*,#209247,.F.); #284482=ORIENTED_EDGE('',*,*,#209246,.F.); #284483=ORIENTED_EDGE('',*,*,#209248,.T.); #284484=ORIENTED_EDGE('',*,*,#209249,.T.); #284485=ORIENTED_EDGE('',*,*,#209250,.F.); #284486=ORIENTED_EDGE('',*,*,#209251,.F.); #284487=ORIENTED_EDGE('',*,*,#209252,.T.); #284488=ORIENTED_EDGE('',*,*,#209251,.T.); #284489=ORIENTED_EDGE('',*,*,#209253,.F.); #284490=ORIENTED_EDGE('',*,*,#209254,.F.); #284491=ORIENTED_EDGE('',*,*,#209255,.T.); #284492=ORIENTED_EDGE('',*,*,#209254,.T.); #284493=ORIENTED_EDGE('',*,*,#209256,.F.); #284494=ORIENTED_EDGE('',*,*,#209257,.F.); #284495=ORIENTED_EDGE('',*,*,#209258,.T.); #284496=ORIENTED_EDGE('',*,*,#209257,.T.); #284497=ORIENTED_EDGE('',*,*,#209259,.F.); #284498=ORIENTED_EDGE('',*,*,#209260,.F.); #284499=ORIENTED_EDGE('',*,*,#209261,.T.); #284500=ORIENTED_EDGE('',*,*,#209260,.T.); #284501=ORIENTED_EDGE('',*,*,#209262,.F.); #284502=ORIENTED_EDGE('',*,*,#209263,.F.); #284503=ORIENTED_EDGE('',*,*,#209264,.T.); #284504=ORIENTED_EDGE('',*,*,#209263,.T.); #284505=ORIENTED_EDGE('',*,*,#209265,.F.); #284506=ORIENTED_EDGE('',*,*,#209266,.F.); #284507=ORIENTED_EDGE('',*,*,#209267,.T.); #284508=ORIENTED_EDGE('',*,*,#209266,.T.); #284509=ORIENTED_EDGE('',*,*,#209268,.F.); #284510=ORIENTED_EDGE('',*,*,#209269,.F.); #284511=ORIENTED_EDGE('',*,*,#209270,.T.); #284512=ORIENTED_EDGE('',*,*,#209269,.T.); #284513=ORIENTED_EDGE('',*,*,#209271,.F.); #284514=ORIENTED_EDGE('',*,*,#209272,.F.); #284515=ORIENTED_EDGE('',*,*,#209273,.T.); #284516=ORIENTED_EDGE('',*,*,#209272,.T.); #284517=ORIENTED_EDGE('',*,*,#209274,.F.); #284518=ORIENTED_EDGE('',*,*,#209275,.F.); #284519=ORIENTED_EDGE('',*,*,#209276,.T.); #284520=ORIENTED_EDGE('',*,*,#209275,.T.); #284521=ORIENTED_EDGE('',*,*,#209277,.F.); #284522=ORIENTED_EDGE('',*,*,#209278,.F.); #284523=ORIENTED_EDGE('',*,*,#209279,.T.); #284524=ORIENTED_EDGE('',*,*,#209278,.T.); #284525=ORIENTED_EDGE('',*,*,#209280,.F.); #284526=ORIENTED_EDGE('',*,*,#209281,.F.); #284527=ORIENTED_EDGE('',*,*,#209282,.T.); #284528=ORIENTED_EDGE('',*,*,#209281,.T.); #284529=ORIENTED_EDGE('',*,*,#209283,.F.); #284530=ORIENTED_EDGE('',*,*,#209284,.F.); #284531=ORIENTED_EDGE('',*,*,#209285,.T.); #284532=ORIENTED_EDGE('',*,*,#209284,.T.); #284533=ORIENTED_EDGE('',*,*,#209286,.F.); #284534=ORIENTED_EDGE('',*,*,#209287,.F.); #284535=ORIENTED_EDGE('',*,*,#209288,.T.); #284536=ORIENTED_EDGE('',*,*,#209287,.T.); #284537=ORIENTED_EDGE('',*,*,#209289,.F.); #284538=ORIENTED_EDGE('',*,*,#209290,.F.); #284539=ORIENTED_EDGE('',*,*,#209291,.T.); #284540=ORIENTED_EDGE('',*,*,#209290,.T.); #284541=ORIENTED_EDGE('',*,*,#209292,.F.); #284542=ORIENTED_EDGE('',*,*,#209293,.F.); #284543=ORIENTED_EDGE('',*,*,#209294,.T.); #284544=ORIENTED_EDGE('',*,*,#209293,.T.); #284545=ORIENTED_EDGE('',*,*,#209295,.F.); #284546=ORIENTED_EDGE('',*,*,#209296,.F.); #284547=ORIENTED_EDGE('',*,*,#209297,.T.); #284548=ORIENTED_EDGE('',*,*,#209296,.T.); #284549=ORIENTED_EDGE('',*,*,#209298,.F.); #284550=ORIENTED_EDGE('',*,*,#209299,.F.); #284551=ORIENTED_EDGE('',*,*,#209300,.T.); #284552=ORIENTED_EDGE('',*,*,#209299,.T.); #284553=ORIENTED_EDGE('',*,*,#209301,.F.); #284554=ORIENTED_EDGE('',*,*,#209302,.F.); #284555=ORIENTED_EDGE('',*,*,#209303,.T.); #284556=ORIENTED_EDGE('',*,*,#209302,.T.); #284557=ORIENTED_EDGE('',*,*,#209304,.F.); #284558=ORIENTED_EDGE('',*,*,#209305,.F.); #284559=ORIENTED_EDGE('',*,*,#209306,.T.); #284560=ORIENTED_EDGE('',*,*,#209305,.T.); #284561=ORIENTED_EDGE('',*,*,#209307,.F.); #284562=ORIENTED_EDGE('',*,*,#209308,.F.); #284563=ORIENTED_EDGE('',*,*,#209309,.T.); #284564=ORIENTED_EDGE('',*,*,#209308,.T.); #284565=ORIENTED_EDGE('',*,*,#209310,.F.); #284566=ORIENTED_EDGE('',*,*,#209311,.F.); #284567=ORIENTED_EDGE('',*,*,#209312,.T.); #284568=ORIENTED_EDGE('',*,*,#209311,.T.); #284569=ORIENTED_EDGE('',*,*,#209313,.F.); #284570=ORIENTED_EDGE('',*,*,#209314,.F.); #284571=ORIENTED_EDGE('',*,*,#209315,.T.); #284572=ORIENTED_EDGE('',*,*,#209314,.T.); #284573=ORIENTED_EDGE('',*,*,#209316,.F.); #284574=ORIENTED_EDGE('',*,*,#209317,.F.); #284575=ORIENTED_EDGE('',*,*,#209318,.T.); #284576=ORIENTED_EDGE('',*,*,#209317,.T.); #284577=ORIENTED_EDGE('',*,*,#209319,.F.); #284578=ORIENTED_EDGE('',*,*,#209320,.F.); #284579=ORIENTED_EDGE('',*,*,#209321,.T.); #284580=ORIENTED_EDGE('',*,*,#209320,.T.); #284581=ORIENTED_EDGE('',*,*,#209322,.F.); #284582=ORIENTED_EDGE('',*,*,#209323,.F.); #284583=ORIENTED_EDGE('',*,*,#209324,.T.); #284584=ORIENTED_EDGE('',*,*,#209323,.T.); #284585=ORIENTED_EDGE('',*,*,#209325,.F.); #284586=ORIENTED_EDGE('',*,*,#209326,.F.); #284587=ORIENTED_EDGE('',*,*,#209327,.T.); #284588=ORIENTED_EDGE('',*,*,#209326,.T.); #284589=ORIENTED_EDGE('',*,*,#209328,.F.); #284590=ORIENTED_EDGE('',*,*,#209329,.F.); #284591=ORIENTED_EDGE('',*,*,#209330,.T.); #284592=ORIENTED_EDGE('',*,*,#209329,.T.); #284593=ORIENTED_EDGE('',*,*,#209331,.F.); #284594=ORIENTED_EDGE('',*,*,#209332,.F.); #284595=ORIENTED_EDGE('',*,*,#209333,.T.); #284596=ORIENTED_EDGE('',*,*,#209332,.T.); #284597=ORIENTED_EDGE('',*,*,#209334,.F.); #284598=ORIENTED_EDGE('',*,*,#209335,.F.); #284599=ORIENTED_EDGE('',*,*,#209336,.T.); #284600=ORIENTED_EDGE('',*,*,#209335,.T.); #284601=ORIENTED_EDGE('',*,*,#209337,.F.); #284602=ORIENTED_EDGE('',*,*,#209338,.F.); #284603=ORIENTED_EDGE('',*,*,#209339,.T.); #284604=ORIENTED_EDGE('',*,*,#209338,.T.); #284605=ORIENTED_EDGE('',*,*,#209340,.F.); #284606=ORIENTED_EDGE('',*,*,#209341,.F.); #284607=ORIENTED_EDGE('',*,*,#209342,.T.); #284608=ORIENTED_EDGE('',*,*,#209341,.T.); #284609=ORIENTED_EDGE('',*,*,#209343,.F.); #284610=ORIENTED_EDGE('',*,*,#209344,.F.); #284611=ORIENTED_EDGE('',*,*,#209345,.T.); #284612=ORIENTED_EDGE('',*,*,#209344,.T.); #284613=ORIENTED_EDGE('',*,*,#209346,.F.); #284614=ORIENTED_EDGE('',*,*,#209347,.F.); #284615=ORIENTED_EDGE('',*,*,#209348,.T.); #284616=ORIENTED_EDGE('',*,*,#209347,.T.); #284617=ORIENTED_EDGE('',*,*,#209349,.F.); #284618=ORIENTED_EDGE('',*,*,#209350,.F.); #284619=ORIENTED_EDGE('',*,*,#209351,.T.); #284620=ORIENTED_EDGE('',*,*,#209350,.T.); #284621=ORIENTED_EDGE('',*,*,#209352,.F.); #284622=ORIENTED_EDGE('',*,*,#209353,.F.); #284623=ORIENTED_EDGE('',*,*,#209354,.T.); #284624=ORIENTED_EDGE('',*,*,#209353,.T.); #284625=ORIENTED_EDGE('',*,*,#209355,.F.); #284626=ORIENTED_EDGE('',*,*,#209356,.F.); #284627=ORIENTED_EDGE('',*,*,#209357,.T.); #284628=ORIENTED_EDGE('',*,*,#209356,.T.); #284629=ORIENTED_EDGE('',*,*,#209358,.F.); #284630=ORIENTED_EDGE('',*,*,#209359,.F.); #284631=ORIENTED_EDGE('',*,*,#209360,.T.); #284632=ORIENTED_EDGE('',*,*,#209359,.T.); #284633=ORIENTED_EDGE('',*,*,#209361,.F.); #284634=ORIENTED_EDGE('',*,*,#209362,.F.); #284635=ORIENTED_EDGE('',*,*,#209363,.T.); #284636=ORIENTED_EDGE('',*,*,#209362,.T.); #284637=ORIENTED_EDGE('',*,*,#209364,.F.); #284638=ORIENTED_EDGE('',*,*,#209365,.F.); #284639=ORIENTED_EDGE('',*,*,#209366,.T.); #284640=ORIENTED_EDGE('',*,*,#209365,.T.); #284641=ORIENTED_EDGE('',*,*,#209367,.F.); #284642=ORIENTED_EDGE('',*,*,#209368,.F.); #284643=ORIENTED_EDGE('',*,*,#209369,.T.); #284644=ORIENTED_EDGE('',*,*,#209368,.T.); #284645=ORIENTED_EDGE('',*,*,#209370,.F.); #284646=ORIENTED_EDGE('',*,*,#209371,.F.); #284647=ORIENTED_EDGE('',*,*,#209372,.T.); #284648=ORIENTED_EDGE('',*,*,#209371,.T.); #284649=ORIENTED_EDGE('',*,*,#209373,.F.); #284650=ORIENTED_EDGE('',*,*,#209374,.F.); #284651=ORIENTED_EDGE('',*,*,#209375,.T.); #284652=ORIENTED_EDGE('',*,*,#209374,.T.); #284653=ORIENTED_EDGE('',*,*,#209376,.F.); #284654=ORIENTED_EDGE('',*,*,#209377,.F.); #284655=ORIENTED_EDGE('',*,*,#209378,.T.); #284656=ORIENTED_EDGE('',*,*,#209377,.T.); #284657=ORIENTED_EDGE('',*,*,#209379,.F.); #284658=ORIENTED_EDGE('',*,*,#209380,.F.); #284659=ORIENTED_EDGE('',*,*,#209381,.T.); #284660=ORIENTED_EDGE('',*,*,#209380,.T.); #284661=ORIENTED_EDGE('',*,*,#209382,.F.); #284662=ORIENTED_EDGE('',*,*,#209383,.F.); #284663=ORIENTED_EDGE('',*,*,#209384,.T.); #284664=ORIENTED_EDGE('',*,*,#209383,.T.); #284665=ORIENTED_EDGE('',*,*,#209385,.F.); #284666=ORIENTED_EDGE('',*,*,#209386,.F.); #284667=ORIENTED_EDGE('',*,*,#209387,.T.); #284668=ORIENTED_EDGE('',*,*,#209386,.T.); #284669=ORIENTED_EDGE('',*,*,#209388,.F.); #284670=ORIENTED_EDGE('',*,*,#209389,.F.); #284671=ORIENTED_EDGE('',*,*,#209390,.T.); #284672=ORIENTED_EDGE('',*,*,#209389,.T.); #284673=ORIENTED_EDGE('',*,*,#209391,.F.); #284674=ORIENTED_EDGE('',*,*,#209392,.F.); #284675=ORIENTED_EDGE('',*,*,#209393,.T.); #284676=ORIENTED_EDGE('',*,*,#209392,.T.); #284677=ORIENTED_EDGE('',*,*,#209394,.F.); #284678=ORIENTED_EDGE('',*,*,#209395,.F.); #284679=ORIENTED_EDGE('',*,*,#209396,.T.); #284680=ORIENTED_EDGE('',*,*,#209395,.T.); #284681=ORIENTED_EDGE('',*,*,#209397,.F.); #284682=ORIENTED_EDGE('',*,*,#209398,.F.); #284683=ORIENTED_EDGE('',*,*,#209399,.T.); #284684=ORIENTED_EDGE('',*,*,#209398,.T.); #284685=ORIENTED_EDGE('',*,*,#209400,.F.); #284686=ORIENTED_EDGE('',*,*,#209401,.F.); #284687=ORIENTED_EDGE('',*,*,#209402,.T.); #284688=ORIENTED_EDGE('',*,*,#209401,.T.); #284689=ORIENTED_EDGE('',*,*,#209403,.F.); #284690=ORIENTED_EDGE('',*,*,#209404,.F.); #284691=ORIENTED_EDGE('',*,*,#209405,.T.); #284692=ORIENTED_EDGE('',*,*,#209404,.T.); #284693=ORIENTED_EDGE('',*,*,#209406,.F.); #284694=ORIENTED_EDGE('',*,*,#209407,.F.); #284695=ORIENTED_EDGE('',*,*,#209408,.T.); #284696=ORIENTED_EDGE('',*,*,#209407,.T.); #284697=ORIENTED_EDGE('',*,*,#209409,.F.); #284698=ORIENTED_EDGE('',*,*,#209410,.F.); #284699=ORIENTED_EDGE('',*,*,#209411,.T.); #284700=ORIENTED_EDGE('',*,*,#209410,.T.); #284701=ORIENTED_EDGE('',*,*,#209412,.F.); #284702=ORIENTED_EDGE('',*,*,#209413,.F.); #284703=ORIENTED_EDGE('',*,*,#209414,.T.); #284704=ORIENTED_EDGE('',*,*,#209413,.T.); #284705=ORIENTED_EDGE('',*,*,#209415,.F.); #284706=ORIENTED_EDGE('',*,*,#209416,.F.); #284707=ORIENTED_EDGE('',*,*,#209417,.T.); #284708=ORIENTED_EDGE('',*,*,#209416,.T.); #284709=ORIENTED_EDGE('',*,*,#209418,.F.); #284710=ORIENTED_EDGE('',*,*,#209419,.F.); #284711=ORIENTED_EDGE('',*,*,#209420,.T.); #284712=ORIENTED_EDGE('',*,*,#209419,.T.); #284713=ORIENTED_EDGE('',*,*,#209421,.F.); #284714=ORIENTED_EDGE('',*,*,#209422,.F.); #284715=ORIENTED_EDGE('',*,*,#209423,.T.); #284716=ORIENTED_EDGE('',*,*,#209422,.T.); #284717=ORIENTED_EDGE('',*,*,#209424,.F.); #284718=ORIENTED_EDGE('',*,*,#209425,.F.); #284719=ORIENTED_EDGE('',*,*,#209426,.T.); #284720=ORIENTED_EDGE('',*,*,#209425,.T.); #284721=ORIENTED_EDGE('',*,*,#209427,.F.); #284722=ORIENTED_EDGE('',*,*,#209428,.F.); #284723=ORIENTED_EDGE('',*,*,#209429,.T.); #284724=ORIENTED_EDGE('',*,*,#209428,.T.); #284725=ORIENTED_EDGE('',*,*,#209430,.F.); #284726=ORIENTED_EDGE('',*,*,#209431,.F.); #284727=ORIENTED_EDGE('',*,*,#209432,.T.); #284728=ORIENTED_EDGE('',*,*,#209431,.T.); #284729=ORIENTED_EDGE('',*,*,#209433,.F.); #284730=ORIENTED_EDGE('',*,*,#209434,.F.); #284731=ORIENTED_EDGE('',*,*,#209435,.T.); #284732=ORIENTED_EDGE('',*,*,#209434,.T.); #284733=ORIENTED_EDGE('',*,*,#209436,.F.); #284734=ORIENTED_EDGE('',*,*,#209437,.F.); #284735=ORIENTED_EDGE('',*,*,#209438,.T.); #284736=ORIENTED_EDGE('',*,*,#209437,.T.); #284737=ORIENTED_EDGE('',*,*,#209439,.F.); #284738=ORIENTED_EDGE('',*,*,#209440,.F.); #284739=ORIENTED_EDGE('',*,*,#209441,.T.); #284740=ORIENTED_EDGE('',*,*,#209440,.T.); #284741=ORIENTED_EDGE('',*,*,#209442,.F.); #284742=ORIENTED_EDGE('',*,*,#209443,.F.); #284743=ORIENTED_EDGE('',*,*,#209444,.T.); #284744=ORIENTED_EDGE('',*,*,#209443,.T.); #284745=ORIENTED_EDGE('',*,*,#209445,.F.); #284746=ORIENTED_EDGE('',*,*,#209446,.F.); #284747=ORIENTED_EDGE('',*,*,#209447,.T.); #284748=ORIENTED_EDGE('',*,*,#209446,.T.); #284749=ORIENTED_EDGE('',*,*,#209448,.F.); #284750=ORIENTED_EDGE('',*,*,#209449,.F.); #284751=ORIENTED_EDGE('',*,*,#209450,.T.); #284752=ORIENTED_EDGE('',*,*,#209449,.T.); #284753=ORIENTED_EDGE('',*,*,#209451,.F.); #284754=ORIENTED_EDGE('',*,*,#209452,.F.); #284755=ORIENTED_EDGE('',*,*,#209453,.T.); #284756=ORIENTED_EDGE('',*,*,#209452,.T.); #284757=ORIENTED_EDGE('',*,*,#209454,.F.); #284758=ORIENTED_EDGE('',*,*,#209455,.F.); #284759=ORIENTED_EDGE('',*,*,#209456,.T.); #284760=ORIENTED_EDGE('',*,*,#209455,.T.); #284761=ORIENTED_EDGE('',*,*,#209457,.F.); #284762=ORIENTED_EDGE('',*,*,#209458,.F.); #284763=ORIENTED_EDGE('',*,*,#209459,.T.); #284764=ORIENTED_EDGE('',*,*,#209458,.T.); #284765=ORIENTED_EDGE('',*,*,#209460,.F.); #284766=ORIENTED_EDGE('',*,*,#209461,.F.); #284767=ORIENTED_EDGE('',*,*,#209462,.T.); #284768=ORIENTED_EDGE('',*,*,#209461,.T.); #284769=ORIENTED_EDGE('',*,*,#209463,.F.); #284770=ORIENTED_EDGE('',*,*,#209464,.F.); #284771=ORIENTED_EDGE('',*,*,#209465,.T.); #284772=ORIENTED_EDGE('',*,*,#209464,.T.); #284773=ORIENTED_EDGE('',*,*,#209466,.F.); #284774=ORIENTED_EDGE('',*,*,#209467,.F.); #284775=ORIENTED_EDGE('',*,*,#209468,.T.); #284776=ORIENTED_EDGE('',*,*,#209467,.T.); #284777=ORIENTED_EDGE('',*,*,#209469,.F.); #284778=ORIENTED_EDGE('',*,*,#209470,.F.); #284779=ORIENTED_EDGE('',*,*,#209471,.T.); #284780=ORIENTED_EDGE('',*,*,#209470,.T.); #284781=ORIENTED_EDGE('',*,*,#209472,.F.); #284782=ORIENTED_EDGE('',*,*,#209473,.F.); #284783=ORIENTED_EDGE('',*,*,#209474,.T.); #284784=ORIENTED_EDGE('',*,*,#209473,.T.); #284785=ORIENTED_EDGE('',*,*,#209475,.F.); #284786=ORIENTED_EDGE('',*,*,#209476,.F.); #284787=ORIENTED_EDGE('',*,*,#209477,.T.); #284788=ORIENTED_EDGE('',*,*,#209476,.T.); #284789=ORIENTED_EDGE('',*,*,#209478,.F.); #284790=ORIENTED_EDGE('',*,*,#209479,.F.); #284791=ORIENTED_EDGE('',*,*,#209480,.T.); #284792=ORIENTED_EDGE('',*,*,#209479,.T.); #284793=ORIENTED_EDGE('',*,*,#209481,.F.); #284794=ORIENTED_EDGE('',*,*,#209482,.F.); #284795=ORIENTED_EDGE('',*,*,#209483,.T.); #284796=ORIENTED_EDGE('',*,*,#209482,.T.); #284797=ORIENTED_EDGE('',*,*,#209484,.F.); #284798=ORIENTED_EDGE('',*,*,#209485,.F.); #284799=ORIENTED_EDGE('',*,*,#209486,.T.); #284800=ORIENTED_EDGE('',*,*,#209485,.T.); #284801=ORIENTED_EDGE('',*,*,#209487,.F.); #284802=ORIENTED_EDGE('',*,*,#209488,.F.); #284803=ORIENTED_EDGE('',*,*,#209489,.T.); #284804=ORIENTED_EDGE('',*,*,#209488,.T.); #284805=ORIENTED_EDGE('',*,*,#209490,.F.); #284806=ORIENTED_EDGE('',*,*,#209491,.F.); #284807=ORIENTED_EDGE('',*,*,#209492,.T.); #284808=ORIENTED_EDGE('',*,*,#209491,.T.); #284809=ORIENTED_EDGE('',*,*,#209493,.F.); #284810=ORIENTED_EDGE('',*,*,#209494,.F.); #284811=ORIENTED_EDGE('',*,*,#209495,.T.); #284812=ORIENTED_EDGE('',*,*,#209494,.T.); #284813=ORIENTED_EDGE('',*,*,#209496,.F.); #284814=ORIENTED_EDGE('',*,*,#209497,.F.); #284815=ORIENTED_EDGE('',*,*,#209498,.T.); #284816=ORIENTED_EDGE('',*,*,#209497,.T.); #284817=ORIENTED_EDGE('',*,*,#209499,.F.); #284818=ORIENTED_EDGE('',*,*,#209500,.F.); #284819=ORIENTED_EDGE('',*,*,#209501,.T.); #284820=ORIENTED_EDGE('',*,*,#209500,.T.); #284821=ORIENTED_EDGE('',*,*,#209502,.F.); #284822=ORIENTED_EDGE('',*,*,#209503,.F.); #284823=ORIENTED_EDGE('',*,*,#209504,.T.); #284824=ORIENTED_EDGE('',*,*,#209503,.T.); #284825=ORIENTED_EDGE('',*,*,#209505,.F.); #284826=ORIENTED_EDGE('',*,*,#209506,.F.); #284827=ORIENTED_EDGE('',*,*,#209507,.T.); #284828=ORIENTED_EDGE('',*,*,#209506,.T.); #284829=ORIENTED_EDGE('',*,*,#209508,.F.); #284830=ORIENTED_EDGE('',*,*,#209509,.F.); #284831=ORIENTED_EDGE('',*,*,#209510,.T.); #284832=ORIENTED_EDGE('',*,*,#209509,.T.); #284833=ORIENTED_EDGE('',*,*,#209511,.F.); #284834=ORIENTED_EDGE('',*,*,#209512,.F.); #284835=ORIENTED_EDGE('',*,*,#209513,.T.); #284836=ORIENTED_EDGE('',*,*,#209512,.T.); #284837=ORIENTED_EDGE('',*,*,#209514,.F.); #284838=ORIENTED_EDGE('',*,*,#209515,.F.); #284839=ORIENTED_EDGE('',*,*,#209516,.T.); #284840=ORIENTED_EDGE('',*,*,#209515,.T.); #284841=ORIENTED_EDGE('',*,*,#209517,.F.); #284842=ORIENTED_EDGE('',*,*,#209518,.F.); #284843=ORIENTED_EDGE('',*,*,#209519,.T.); #284844=ORIENTED_EDGE('',*,*,#209518,.T.); #284845=ORIENTED_EDGE('',*,*,#209520,.F.); #284846=ORIENTED_EDGE('',*,*,#209521,.F.); #284847=ORIENTED_EDGE('',*,*,#209522,.T.); #284848=ORIENTED_EDGE('',*,*,#209521,.T.); #284849=ORIENTED_EDGE('',*,*,#209523,.F.); #284850=ORIENTED_EDGE('',*,*,#209524,.F.); #284851=ORIENTED_EDGE('',*,*,#209525,.T.); #284852=ORIENTED_EDGE('',*,*,#209524,.T.); #284853=ORIENTED_EDGE('',*,*,#209526,.F.); #284854=ORIENTED_EDGE('',*,*,#209527,.F.); #284855=ORIENTED_EDGE('',*,*,#209528,.T.); #284856=ORIENTED_EDGE('',*,*,#209527,.T.); #284857=ORIENTED_EDGE('',*,*,#209529,.F.); #284858=ORIENTED_EDGE('',*,*,#209530,.F.); #284859=ORIENTED_EDGE('',*,*,#209531,.T.); #284860=ORIENTED_EDGE('',*,*,#209530,.T.); #284861=ORIENTED_EDGE('',*,*,#209532,.F.); #284862=ORIENTED_EDGE('',*,*,#209533,.F.); #284863=ORIENTED_EDGE('',*,*,#209534,.T.); #284864=ORIENTED_EDGE('',*,*,#209533,.T.); #284865=ORIENTED_EDGE('',*,*,#209535,.F.); #284866=ORIENTED_EDGE('',*,*,#209536,.F.); #284867=ORIENTED_EDGE('',*,*,#209537,.T.); #284868=ORIENTED_EDGE('',*,*,#209536,.T.); #284869=ORIENTED_EDGE('',*,*,#209538,.F.); #284870=ORIENTED_EDGE('',*,*,#209249,.F.); #284871=ORIENTED_EDGE('',*,*,#209538,.T.); #284872=ORIENTED_EDGE('',*,*,#209535,.T.); #284873=ORIENTED_EDGE('',*,*,#209532,.T.); #284874=ORIENTED_EDGE('',*,*,#209529,.T.); #284875=ORIENTED_EDGE('',*,*,#209526,.T.); #284876=ORIENTED_EDGE('',*,*,#209523,.T.); #284877=ORIENTED_EDGE('',*,*,#209520,.T.); #284878=ORIENTED_EDGE('',*,*,#209517,.T.); #284879=ORIENTED_EDGE('',*,*,#209514,.T.); #284880=ORIENTED_EDGE('',*,*,#209511,.T.); #284881=ORIENTED_EDGE('',*,*,#209508,.T.); #284882=ORIENTED_EDGE('',*,*,#209505,.T.); #284883=ORIENTED_EDGE('',*,*,#209502,.T.); #284884=ORIENTED_EDGE('',*,*,#209499,.T.); #284885=ORIENTED_EDGE('',*,*,#209496,.T.); #284886=ORIENTED_EDGE('',*,*,#209493,.T.); #284887=ORIENTED_EDGE('',*,*,#209490,.T.); #284888=ORIENTED_EDGE('',*,*,#209487,.T.); #284889=ORIENTED_EDGE('',*,*,#209484,.T.); #284890=ORIENTED_EDGE('',*,*,#209481,.T.); #284891=ORIENTED_EDGE('',*,*,#209478,.T.); #284892=ORIENTED_EDGE('',*,*,#209475,.T.); #284893=ORIENTED_EDGE('',*,*,#209472,.T.); #284894=ORIENTED_EDGE('',*,*,#209469,.T.); #284895=ORIENTED_EDGE('',*,*,#209466,.T.); #284896=ORIENTED_EDGE('',*,*,#209463,.T.); #284897=ORIENTED_EDGE('',*,*,#209460,.T.); #284898=ORIENTED_EDGE('',*,*,#209457,.T.); #284899=ORIENTED_EDGE('',*,*,#209454,.T.); #284900=ORIENTED_EDGE('',*,*,#209451,.T.); #284901=ORIENTED_EDGE('',*,*,#209448,.T.); #284902=ORIENTED_EDGE('',*,*,#209445,.T.); #284903=ORIENTED_EDGE('',*,*,#209442,.T.); #284904=ORIENTED_EDGE('',*,*,#209439,.T.); #284905=ORIENTED_EDGE('',*,*,#209436,.T.); #284906=ORIENTED_EDGE('',*,*,#209433,.T.); #284907=ORIENTED_EDGE('',*,*,#209430,.T.); #284908=ORIENTED_EDGE('',*,*,#209427,.T.); #284909=ORIENTED_EDGE('',*,*,#209424,.T.); #284910=ORIENTED_EDGE('',*,*,#209421,.T.); #284911=ORIENTED_EDGE('',*,*,#209418,.T.); #284912=ORIENTED_EDGE('',*,*,#209415,.T.); #284913=ORIENTED_EDGE('',*,*,#209412,.T.); #284914=ORIENTED_EDGE('',*,*,#209409,.T.); #284915=ORIENTED_EDGE('',*,*,#209406,.T.); #284916=ORIENTED_EDGE('',*,*,#209403,.T.); #284917=ORIENTED_EDGE('',*,*,#209400,.T.); #284918=ORIENTED_EDGE('',*,*,#209397,.T.); #284919=ORIENTED_EDGE('',*,*,#209394,.T.); #284920=ORIENTED_EDGE('',*,*,#209391,.T.); #284921=ORIENTED_EDGE('',*,*,#209388,.T.); #284922=ORIENTED_EDGE('',*,*,#209385,.T.); #284923=ORIENTED_EDGE('',*,*,#209382,.T.); #284924=ORIENTED_EDGE('',*,*,#209379,.T.); #284925=ORIENTED_EDGE('',*,*,#209376,.T.); #284926=ORIENTED_EDGE('',*,*,#209373,.T.); #284927=ORIENTED_EDGE('',*,*,#209370,.T.); #284928=ORIENTED_EDGE('',*,*,#209367,.T.); #284929=ORIENTED_EDGE('',*,*,#209364,.T.); #284930=ORIENTED_EDGE('',*,*,#209361,.T.); #284931=ORIENTED_EDGE('',*,*,#209358,.T.); #284932=ORIENTED_EDGE('',*,*,#209355,.T.); #284933=ORIENTED_EDGE('',*,*,#209352,.T.); #284934=ORIENTED_EDGE('',*,*,#209349,.T.); #284935=ORIENTED_EDGE('',*,*,#209346,.T.); #284936=ORIENTED_EDGE('',*,*,#209343,.T.); #284937=ORIENTED_EDGE('',*,*,#209340,.T.); #284938=ORIENTED_EDGE('',*,*,#209337,.T.); #284939=ORIENTED_EDGE('',*,*,#209334,.T.); #284940=ORIENTED_EDGE('',*,*,#209331,.T.); #284941=ORIENTED_EDGE('',*,*,#209328,.T.); #284942=ORIENTED_EDGE('',*,*,#209325,.T.); #284943=ORIENTED_EDGE('',*,*,#209322,.T.); #284944=ORIENTED_EDGE('',*,*,#209319,.T.); #284945=ORIENTED_EDGE('',*,*,#209316,.T.); #284946=ORIENTED_EDGE('',*,*,#209313,.T.); #284947=ORIENTED_EDGE('',*,*,#209310,.T.); #284948=ORIENTED_EDGE('',*,*,#209307,.T.); #284949=ORIENTED_EDGE('',*,*,#209304,.T.); #284950=ORIENTED_EDGE('',*,*,#209301,.T.); #284951=ORIENTED_EDGE('',*,*,#209298,.T.); #284952=ORIENTED_EDGE('',*,*,#209295,.T.); #284953=ORIENTED_EDGE('',*,*,#209292,.T.); #284954=ORIENTED_EDGE('',*,*,#209289,.T.); #284955=ORIENTED_EDGE('',*,*,#209286,.T.); #284956=ORIENTED_EDGE('',*,*,#209283,.T.); #284957=ORIENTED_EDGE('',*,*,#209280,.T.); #284958=ORIENTED_EDGE('',*,*,#209277,.T.); #284959=ORIENTED_EDGE('',*,*,#209274,.T.); #284960=ORIENTED_EDGE('',*,*,#209271,.T.); #284961=ORIENTED_EDGE('',*,*,#209268,.T.); #284962=ORIENTED_EDGE('',*,*,#209265,.T.); #284963=ORIENTED_EDGE('',*,*,#209262,.T.); #284964=ORIENTED_EDGE('',*,*,#209259,.T.); #284965=ORIENTED_EDGE('',*,*,#209256,.T.); #284966=ORIENTED_EDGE('',*,*,#209253,.T.); #284967=ORIENTED_EDGE('',*,*,#209250,.T.); #284968=ORIENTED_EDGE('',*,*,#209214,.T.); #284969=ORIENTED_EDGE('',*,*,#209217,.T.); #284970=ORIENTED_EDGE('',*,*,#209220,.T.); #284971=ORIENTED_EDGE('',*,*,#209223,.T.); #284972=ORIENTED_EDGE('',*,*,#209226,.T.); #284973=ORIENTED_EDGE('',*,*,#209229,.T.); #284974=ORIENTED_EDGE('',*,*,#209232,.T.); #284975=ORIENTED_EDGE('',*,*,#209235,.T.); #284976=ORIENTED_EDGE('',*,*,#209238,.T.); #284977=ORIENTED_EDGE('',*,*,#209241,.T.); #284978=ORIENTED_EDGE('',*,*,#209244,.T.); #284979=ORIENTED_EDGE('',*,*,#209247,.T.); #284980=ORIENTED_EDGE('',*,*,#209537,.F.); #284981=ORIENTED_EDGE('',*,*,#209248,.F.); #284982=ORIENTED_EDGE('',*,*,#209252,.F.); #284983=ORIENTED_EDGE('',*,*,#209255,.F.); #284984=ORIENTED_EDGE('',*,*,#209258,.F.); #284985=ORIENTED_EDGE('',*,*,#209261,.F.); #284986=ORIENTED_EDGE('',*,*,#209264,.F.); #284987=ORIENTED_EDGE('',*,*,#209267,.F.); #284988=ORIENTED_EDGE('',*,*,#209270,.F.); #284989=ORIENTED_EDGE('',*,*,#209273,.F.); #284990=ORIENTED_EDGE('',*,*,#209276,.F.); #284991=ORIENTED_EDGE('',*,*,#209279,.F.); #284992=ORIENTED_EDGE('',*,*,#209282,.F.); #284993=ORIENTED_EDGE('',*,*,#209285,.F.); #284994=ORIENTED_EDGE('',*,*,#209288,.F.); #284995=ORIENTED_EDGE('',*,*,#209291,.F.); #284996=ORIENTED_EDGE('',*,*,#209294,.F.); #284997=ORIENTED_EDGE('',*,*,#209297,.F.); #284998=ORIENTED_EDGE('',*,*,#209300,.F.); #284999=ORIENTED_EDGE('',*,*,#209303,.F.); #285000=ORIENTED_EDGE('',*,*,#209306,.F.); #285001=ORIENTED_EDGE('',*,*,#209309,.F.); #285002=ORIENTED_EDGE('',*,*,#209312,.F.); #285003=ORIENTED_EDGE('',*,*,#209315,.F.); #285004=ORIENTED_EDGE('',*,*,#209318,.F.); #285005=ORIENTED_EDGE('',*,*,#209321,.F.); #285006=ORIENTED_EDGE('',*,*,#209324,.F.); #285007=ORIENTED_EDGE('',*,*,#209327,.F.); #285008=ORIENTED_EDGE('',*,*,#209330,.F.); #285009=ORIENTED_EDGE('',*,*,#209333,.F.); #285010=ORIENTED_EDGE('',*,*,#209336,.F.); #285011=ORIENTED_EDGE('',*,*,#209339,.F.); #285012=ORIENTED_EDGE('',*,*,#209342,.F.); #285013=ORIENTED_EDGE('',*,*,#209345,.F.); #285014=ORIENTED_EDGE('',*,*,#209348,.F.); #285015=ORIENTED_EDGE('',*,*,#209351,.F.); #285016=ORIENTED_EDGE('',*,*,#209354,.F.); #285017=ORIENTED_EDGE('',*,*,#209357,.F.); #285018=ORIENTED_EDGE('',*,*,#209360,.F.); #285019=ORIENTED_EDGE('',*,*,#209363,.F.); #285020=ORIENTED_EDGE('',*,*,#209366,.F.); #285021=ORIENTED_EDGE('',*,*,#209369,.F.); #285022=ORIENTED_EDGE('',*,*,#209372,.F.); #285023=ORIENTED_EDGE('',*,*,#209375,.F.); #285024=ORIENTED_EDGE('',*,*,#209378,.F.); #285025=ORIENTED_EDGE('',*,*,#209381,.F.); #285026=ORIENTED_EDGE('',*,*,#209384,.F.); #285027=ORIENTED_EDGE('',*,*,#209387,.F.); #285028=ORIENTED_EDGE('',*,*,#209390,.F.); #285029=ORIENTED_EDGE('',*,*,#209393,.F.); #285030=ORIENTED_EDGE('',*,*,#209396,.F.); #285031=ORIENTED_EDGE('',*,*,#209399,.F.); #285032=ORIENTED_EDGE('',*,*,#209402,.F.); #285033=ORIENTED_EDGE('',*,*,#209405,.F.); #285034=ORIENTED_EDGE('',*,*,#209408,.F.); #285035=ORIENTED_EDGE('',*,*,#209411,.F.); #285036=ORIENTED_EDGE('',*,*,#209414,.F.); #285037=ORIENTED_EDGE('',*,*,#209417,.F.); #285038=ORIENTED_EDGE('',*,*,#209420,.F.); #285039=ORIENTED_EDGE('',*,*,#209423,.F.); #285040=ORIENTED_EDGE('',*,*,#209426,.F.); #285041=ORIENTED_EDGE('',*,*,#209429,.F.); #285042=ORIENTED_EDGE('',*,*,#209432,.F.); #285043=ORIENTED_EDGE('',*,*,#209435,.F.); #285044=ORIENTED_EDGE('',*,*,#209438,.F.); #285045=ORIENTED_EDGE('',*,*,#209441,.F.); #285046=ORIENTED_EDGE('',*,*,#209444,.F.); #285047=ORIENTED_EDGE('',*,*,#209447,.F.); #285048=ORIENTED_EDGE('',*,*,#209450,.F.); #285049=ORIENTED_EDGE('',*,*,#209453,.F.); #285050=ORIENTED_EDGE('',*,*,#209456,.F.); #285051=ORIENTED_EDGE('',*,*,#209459,.F.); #285052=ORIENTED_EDGE('',*,*,#209462,.F.); #285053=ORIENTED_EDGE('',*,*,#209465,.F.); #285054=ORIENTED_EDGE('',*,*,#209468,.F.); #285055=ORIENTED_EDGE('',*,*,#209471,.F.); #285056=ORIENTED_EDGE('',*,*,#209474,.F.); #285057=ORIENTED_EDGE('',*,*,#209477,.F.); #285058=ORIENTED_EDGE('',*,*,#209480,.F.); #285059=ORIENTED_EDGE('',*,*,#209483,.F.); #285060=ORIENTED_EDGE('',*,*,#209486,.F.); #285061=ORIENTED_EDGE('',*,*,#209489,.F.); #285062=ORIENTED_EDGE('',*,*,#209492,.F.); #285063=ORIENTED_EDGE('',*,*,#209495,.F.); #285064=ORIENTED_EDGE('',*,*,#209498,.F.); #285065=ORIENTED_EDGE('',*,*,#209501,.F.); #285066=ORIENTED_EDGE('',*,*,#209504,.F.); #285067=ORIENTED_EDGE('',*,*,#209507,.F.); #285068=ORIENTED_EDGE('',*,*,#209510,.F.); #285069=ORIENTED_EDGE('',*,*,#209513,.F.); #285070=ORIENTED_EDGE('',*,*,#209516,.F.); #285071=ORIENTED_EDGE('',*,*,#209519,.F.); #285072=ORIENTED_EDGE('',*,*,#209522,.F.); #285073=ORIENTED_EDGE('',*,*,#209525,.F.); #285074=ORIENTED_EDGE('',*,*,#209528,.F.); #285075=ORIENTED_EDGE('',*,*,#209531,.F.); #285076=ORIENTED_EDGE('',*,*,#209534,.F.); #285077=ORIENTED_EDGE('',*,*,#209212,.T.); #285078=ORIENTED_EDGE('',*,*,#209215,.T.); #285079=ORIENTED_EDGE('',*,*,#209218,.T.); #285080=ORIENTED_EDGE('',*,*,#209221,.T.); #285081=ORIENTED_EDGE('',*,*,#209224,.T.); #285082=ORIENTED_EDGE('',*,*,#209227,.T.); #285083=ORIENTED_EDGE('',*,*,#209230,.T.); #285084=ORIENTED_EDGE('',*,*,#209233,.T.); #285085=ORIENTED_EDGE('',*,*,#209236,.T.); #285086=ORIENTED_EDGE('',*,*,#209239,.T.); #285087=ORIENTED_EDGE('',*,*,#209242,.T.); #285088=ORIENTED_EDGE('',*,*,#209245,.T.); #285089=ORIENTED_EDGE('',*,*,#209539,.T.); #285090=ORIENTED_EDGE('',*,*,#209540,.T.); #285091=ORIENTED_EDGE('',*,*,#209541,.F.); #285092=ORIENTED_EDGE('',*,*,#209542,.F.); #285093=ORIENTED_EDGE('',*,*,#209543,.T.); #285094=ORIENTED_EDGE('',*,*,#209542,.T.); #285095=ORIENTED_EDGE('',*,*,#209544,.F.); #285096=ORIENTED_EDGE('',*,*,#209545,.F.); #285097=ORIENTED_EDGE('',*,*,#209546,.T.); #285098=ORIENTED_EDGE('',*,*,#209545,.T.); #285099=ORIENTED_EDGE('',*,*,#209547,.F.); #285100=ORIENTED_EDGE('',*,*,#209548,.F.); #285101=ORIENTED_EDGE('',*,*,#209549,.T.); #285102=ORIENTED_EDGE('',*,*,#209548,.T.); #285103=ORIENTED_EDGE('',*,*,#209550,.F.); #285104=ORIENTED_EDGE('',*,*,#209551,.F.); #285105=ORIENTED_EDGE('',*,*,#209552,.T.); #285106=ORIENTED_EDGE('',*,*,#209551,.T.); #285107=ORIENTED_EDGE('',*,*,#209553,.F.); #285108=ORIENTED_EDGE('',*,*,#209554,.F.); #285109=ORIENTED_EDGE('',*,*,#209555,.T.); #285110=ORIENTED_EDGE('',*,*,#209554,.T.); #285111=ORIENTED_EDGE('',*,*,#209556,.F.); #285112=ORIENTED_EDGE('',*,*,#209557,.F.); #285113=ORIENTED_EDGE('',*,*,#209558,.T.); #285114=ORIENTED_EDGE('',*,*,#209557,.T.); #285115=ORIENTED_EDGE('',*,*,#209559,.F.); #285116=ORIENTED_EDGE('',*,*,#209560,.F.); #285117=ORIENTED_EDGE('',*,*,#209561,.T.); #285118=ORIENTED_EDGE('',*,*,#209560,.T.); #285119=ORIENTED_EDGE('',*,*,#209562,.F.); #285120=ORIENTED_EDGE('',*,*,#209563,.F.); #285121=ORIENTED_EDGE('',*,*,#209564,.T.); #285122=ORIENTED_EDGE('',*,*,#209563,.T.); #285123=ORIENTED_EDGE('',*,*,#209565,.F.); #285124=ORIENTED_EDGE('',*,*,#209566,.F.); #285125=ORIENTED_EDGE('',*,*,#209567,.T.); #285126=ORIENTED_EDGE('',*,*,#209566,.T.); #285127=ORIENTED_EDGE('',*,*,#209568,.F.); #285128=ORIENTED_EDGE('',*,*,#209569,.F.); #285129=ORIENTED_EDGE('',*,*,#209570,.T.); #285130=ORIENTED_EDGE('',*,*,#209569,.T.); #285131=ORIENTED_EDGE('',*,*,#209571,.F.); #285132=ORIENTED_EDGE('',*,*,#209572,.F.); #285133=ORIENTED_EDGE('',*,*,#209573,.T.); #285134=ORIENTED_EDGE('',*,*,#209572,.T.); #285135=ORIENTED_EDGE('',*,*,#209574,.F.); #285136=ORIENTED_EDGE('',*,*,#209575,.F.); #285137=ORIENTED_EDGE('',*,*,#209576,.T.); #285138=ORIENTED_EDGE('',*,*,#209575,.T.); #285139=ORIENTED_EDGE('',*,*,#209577,.F.); #285140=ORIENTED_EDGE('',*,*,#209578,.F.); #285141=ORIENTED_EDGE('',*,*,#209579,.T.); #285142=ORIENTED_EDGE('',*,*,#209578,.T.); #285143=ORIENTED_EDGE('',*,*,#209580,.F.); #285144=ORIENTED_EDGE('',*,*,#209581,.F.); #285145=ORIENTED_EDGE('',*,*,#209582,.T.); #285146=ORIENTED_EDGE('',*,*,#209581,.T.); #285147=ORIENTED_EDGE('',*,*,#209583,.F.); #285148=ORIENTED_EDGE('',*,*,#209584,.F.); #285149=ORIENTED_EDGE('',*,*,#209585,.T.); #285150=ORIENTED_EDGE('',*,*,#209584,.T.); #285151=ORIENTED_EDGE('',*,*,#209586,.F.); #285152=ORIENTED_EDGE('',*,*,#209587,.F.); #285153=ORIENTED_EDGE('',*,*,#209588,.T.); #285154=ORIENTED_EDGE('',*,*,#209587,.T.); #285155=ORIENTED_EDGE('',*,*,#209589,.F.); #285156=ORIENTED_EDGE('',*,*,#209590,.F.); #285157=ORIENTED_EDGE('',*,*,#209591,.T.); #285158=ORIENTED_EDGE('',*,*,#209590,.T.); #285159=ORIENTED_EDGE('',*,*,#209592,.F.); #285160=ORIENTED_EDGE('',*,*,#209593,.F.); #285161=ORIENTED_EDGE('',*,*,#209594,.T.); #285162=ORIENTED_EDGE('',*,*,#209593,.T.); #285163=ORIENTED_EDGE('',*,*,#209595,.F.); #285164=ORIENTED_EDGE('',*,*,#209596,.F.); #285165=ORIENTED_EDGE('',*,*,#209597,.T.); #285166=ORIENTED_EDGE('',*,*,#209596,.T.); #285167=ORIENTED_EDGE('',*,*,#209598,.F.); #285168=ORIENTED_EDGE('',*,*,#209599,.F.); #285169=ORIENTED_EDGE('',*,*,#209600,.T.); #285170=ORIENTED_EDGE('',*,*,#209599,.T.); #285171=ORIENTED_EDGE('',*,*,#209601,.F.); #285172=ORIENTED_EDGE('',*,*,#209540,.F.); #285173=ORIENTED_EDGE('',*,*,#209601,.T.); #285174=ORIENTED_EDGE('',*,*,#209598,.T.); #285175=ORIENTED_EDGE('',*,*,#209595,.T.); #285176=ORIENTED_EDGE('',*,*,#209592,.T.); #285177=ORIENTED_EDGE('',*,*,#209589,.T.); #285178=ORIENTED_EDGE('',*,*,#209586,.T.); #285179=ORIENTED_EDGE('',*,*,#209583,.T.); #285180=ORIENTED_EDGE('',*,*,#209580,.T.); #285181=ORIENTED_EDGE('',*,*,#209577,.T.); #285182=ORIENTED_EDGE('',*,*,#209574,.T.); #285183=ORIENTED_EDGE('',*,*,#209571,.T.); #285184=ORIENTED_EDGE('',*,*,#209568,.T.); #285185=ORIENTED_EDGE('',*,*,#209565,.T.); #285186=ORIENTED_EDGE('',*,*,#209562,.T.); #285187=ORIENTED_EDGE('',*,*,#209559,.T.); #285188=ORIENTED_EDGE('',*,*,#209556,.T.); #285189=ORIENTED_EDGE('',*,*,#209553,.T.); #285190=ORIENTED_EDGE('',*,*,#209550,.T.); #285191=ORIENTED_EDGE('',*,*,#209547,.T.); #285192=ORIENTED_EDGE('',*,*,#209544,.T.); #285193=ORIENTED_EDGE('',*,*,#209541,.T.); #285194=ORIENTED_EDGE('',*,*,#209600,.F.); #285195=ORIENTED_EDGE('',*,*,#209539,.F.); #285196=ORIENTED_EDGE('',*,*,#209543,.F.); #285197=ORIENTED_EDGE('',*,*,#209546,.F.); #285198=ORIENTED_EDGE('',*,*,#209549,.F.); #285199=ORIENTED_EDGE('',*,*,#209552,.F.); #285200=ORIENTED_EDGE('',*,*,#209555,.F.); #285201=ORIENTED_EDGE('',*,*,#209558,.F.); #285202=ORIENTED_EDGE('',*,*,#209561,.F.); #285203=ORIENTED_EDGE('',*,*,#209564,.F.); #285204=ORIENTED_EDGE('',*,*,#209567,.F.); #285205=ORIENTED_EDGE('',*,*,#209570,.F.); #285206=ORIENTED_EDGE('',*,*,#209573,.F.); #285207=ORIENTED_EDGE('',*,*,#209576,.F.); #285208=ORIENTED_EDGE('',*,*,#209579,.F.); #285209=ORIENTED_EDGE('',*,*,#209582,.F.); #285210=ORIENTED_EDGE('',*,*,#209585,.F.); #285211=ORIENTED_EDGE('',*,*,#209588,.F.); #285212=ORIENTED_EDGE('',*,*,#209591,.F.); #285213=ORIENTED_EDGE('',*,*,#209594,.F.); #285214=ORIENTED_EDGE('',*,*,#209597,.F.); #285215=ORIENTED_EDGE('',*,*,#209602,.T.); #285216=ORIENTED_EDGE('',*,*,#209603,.T.); #285217=ORIENTED_EDGE('',*,*,#209604,.F.); #285218=ORIENTED_EDGE('',*,*,#209605,.F.); #285219=ORIENTED_EDGE('',*,*,#209606,.T.); #285220=ORIENTED_EDGE('',*,*,#209605,.T.); #285221=ORIENTED_EDGE('',*,*,#209607,.F.); #285222=ORIENTED_EDGE('',*,*,#209608,.F.); #285223=ORIENTED_EDGE('',*,*,#209609,.T.); #285224=ORIENTED_EDGE('',*,*,#209608,.T.); #285225=ORIENTED_EDGE('',*,*,#209610,.F.); #285226=ORIENTED_EDGE('',*,*,#209611,.F.); #285227=ORIENTED_EDGE('',*,*,#209612,.T.); #285228=ORIENTED_EDGE('',*,*,#209611,.T.); #285229=ORIENTED_EDGE('',*,*,#209613,.F.); #285230=ORIENTED_EDGE('',*,*,#209614,.F.); #285231=ORIENTED_EDGE('',*,*,#209615,.T.); #285232=ORIENTED_EDGE('',*,*,#209614,.T.); #285233=ORIENTED_EDGE('',*,*,#209616,.F.); #285234=ORIENTED_EDGE('',*,*,#209617,.F.); #285235=ORIENTED_EDGE('',*,*,#209618,.T.); #285236=ORIENTED_EDGE('',*,*,#209617,.T.); #285237=ORIENTED_EDGE('',*,*,#209619,.F.); #285238=ORIENTED_EDGE('',*,*,#209620,.F.); #285239=ORIENTED_EDGE('',*,*,#209621,.T.); #285240=ORIENTED_EDGE('',*,*,#209620,.T.); #285241=ORIENTED_EDGE('',*,*,#209622,.F.); #285242=ORIENTED_EDGE('',*,*,#209623,.F.); #285243=ORIENTED_EDGE('',*,*,#209624,.T.); #285244=ORIENTED_EDGE('',*,*,#209623,.T.); #285245=ORIENTED_EDGE('',*,*,#209625,.F.); #285246=ORIENTED_EDGE('',*,*,#209626,.F.); #285247=ORIENTED_EDGE('',*,*,#209627,.T.); #285248=ORIENTED_EDGE('',*,*,#209626,.T.); #285249=ORIENTED_EDGE('',*,*,#209628,.F.); #285250=ORIENTED_EDGE('',*,*,#209629,.F.); #285251=ORIENTED_EDGE('',*,*,#209630,.T.); #285252=ORIENTED_EDGE('',*,*,#209629,.T.); #285253=ORIENTED_EDGE('',*,*,#209631,.F.); #285254=ORIENTED_EDGE('',*,*,#209632,.F.); #285255=ORIENTED_EDGE('',*,*,#209633,.T.); #285256=ORIENTED_EDGE('',*,*,#209632,.T.); #285257=ORIENTED_EDGE('',*,*,#209634,.F.); #285258=ORIENTED_EDGE('',*,*,#209635,.F.); #285259=ORIENTED_EDGE('',*,*,#209636,.T.); #285260=ORIENTED_EDGE('',*,*,#209635,.T.); #285261=ORIENTED_EDGE('',*,*,#209637,.F.); #285262=ORIENTED_EDGE('',*,*,#209638,.F.); #285263=ORIENTED_EDGE('',*,*,#209639,.T.); #285264=ORIENTED_EDGE('',*,*,#209638,.T.); #285265=ORIENTED_EDGE('',*,*,#209640,.F.); #285266=ORIENTED_EDGE('',*,*,#209641,.F.); #285267=ORIENTED_EDGE('',*,*,#209642,.T.); #285268=ORIENTED_EDGE('',*,*,#209641,.T.); #285269=ORIENTED_EDGE('',*,*,#209643,.F.); #285270=ORIENTED_EDGE('',*,*,#209644,.F.); #285271=ORIENTED_EDGE('',*,*,#209645,.T.); #285272=ORIENTED_EDGE('',*,*,#209644,.T.); #285273=ORIENTED_EDGE('',*,*,#209646,.F.); #285274=ORIENTED_EDGE('',*,*,#209647,.F.); #285275=ORIENTED_EDGE('',*,*,#209648,.T.); #285276=ORIENTED_EDGE('',*,*,#209647,.T.); #285277=ORIENTED_EDGE('',*,*,#209649,.F.); #285278=ORIENTED_EDGE('',*,*,#209650,.F.); #285279=ORIENTED_EDGE('',*,*,#209651,.T.); #285280=ORIENTED_EDGE('',*,*,#209650,.T.); #285281=ORIENTED_EDGE('',*,*,#209652,.F.); #285282=ORIENTED_EDGE('',*,*,#209653,.F.); #285283=ORIENTED_EDGE('',*,*,#209654,.T.); #285284=ORIENTED_EDGE('',*,*,#209653,.T.); #285285=ORIENTED_EDGE('',*,*,#209655,.F.); #285286=ORIENTED_EDGE('',*,*,#209656,.F.); #285287=ORIENTED_EDGE('',*,*,#209657,.T.); #285288=ORIENTED_EDGE('',*,*,#209656,.T.); #285289=ORIENTED_EDGE('',*,*,#209658,.F.); #285290=ORIENTED_EDGE('',*,*,#209659,.F.); #285291=ORIENTED_EDGE('',*,*,#209660,.T.); #285292=ORIENTED_EDGE('',*,*,#209659,.T.); #285293=ORIENTED_EDGE('',*,*,#209661,.F.); #285294=ORIENTED_EDGE('',*,*,#209603,.F.); #285295=ORIENTED_EDGE('',*,*,#209661,.T.); #285296=ORIENTED_EDGE('',*,*,#209658,.T.); #285297=ORIENTED_EDGE('',*,*,#209655,.T.); #285298=ORIENTED_EDGE('',*,*,#209652,.T.); #285299=ORIENTED_EDGE('',*,*,#209649,.T.); #285300=ORIENTED_EDGE('',*,*,#209646,.T.); #285301=ORIENTED_EDGE('',*,*,#209643,.T.); #285302=ORIENTED_EDGE('',*,*,#209640,.T.); #285303=ORIENTED_EDGE('',*,*,#209637,.T.); #285304=ORIENTED_EDGE('',*,*,#209634,.T.); #285305=ORIENTED_EDGE('',*,*,#209631,.T.); #285306=ORIENTED_EDGE('',*,*,#209628,.T.); #285307=ORIENTED_EDGE('',*,*,#209625,.T.); #285308=ORIENTED_EDGE('',*,*,#209622,.T.); #285309=ORIENTED_EDGE('',*,*,#209619,.T.); #285310=ORIENTED_EDGE('',*,*,#209616,.T.); #285311=ORIENTED_EDGE('',*,*,#209613,.T.); #285312=ORIENTED_EDGE('',*,*,#209610,.T.); #285313=ORIENTED_EDGE('',*,*,#209607,.T.); #285314=ORIENTED_EDGE('',*,*,#209604,.T.); #285315=ORIENTED_EDGE('',*,*,#209660,.F.); #285316=ORIENTED_EDGE('',*,*,#209602,.F.); #285317=ORIENTED_EDGE('',*,*,#209606,.F.); #285318=ORIENTED_EDGE('',*,*,#209609,.F.); #285319=ORIENTED_EDGE('',*,*,#209612,.F.); #285320=ORIENTED_EDGE('',*,*,#209615,.F.); #285321=ORIENTED_EDGE('',*,*,#209618,.F.); #285322=ORIENTED_EDGE('',*,*,#209621,.F.); #285323=ORIENTED_EDGE('',*,*,#209624,.F.); #285324=ORIENTED_EDGE('',*,*,#209627,.F.); #285325=ORIENTED_EDGE('',*,*,#209630,.F.); #285326=ORIENTED_EDGE('',*,*,#209633,.F.); #285327=ORIENTED_EDGE('',*,*,#209636,.F.); #285328=ORIENTED_EDGE('',*,*,#209639,.F.); #285329=ORIENTED_EDGE('',*,*,#209642,.F.); #285330=ORIENTED_EDGE('',*,*,#209645,.F.); #285331=ORIENTED_EDGE('',*,*,#209648,.F.); #285332=ORIENTED_EDGE('',*,*,#209651,.F.); #285333=ORIENTED_EDGE('',*,*,#209654,.F.); #285334=ORIENTED_EDGE('',*,*,#209657,.F.); #285335=ORIENTED_EDGE('',*,*,#209662,.T.); #285336=ORIENTED_EDGE('',*,*,#209663,.T.); #285337=ORIENTED_EDGE('',*,*,#209664,.F.); #285338=ORIENTED_EDGE('',*,*,#209665,.F.); #285339=ORIENTED_EDGE('',*,*,#209666,.T.); #285340=ORIENTED_EDGE('',*,*,#209665,.T.); #285341=ORIENTED_EDGE('',*,*,#209667,.F.); #285342=ORIENTED_EDGE('',*,*,#209668,.F.); #285343=ORIENTED_EDGE('',*,*,#209669,.T.); #285344=ORIENTED_EDGE('',*,*,#209668,.T.); #285345=ORIENTED_EDGE('',*,*,#209670,.F.); #285346=ORIENTED_EDGE('',*,*,#209671,.F.); #285347=ORIENTED_EDGE('',*,*,#209672,.T.); #285348=ORIENTED_EDGE('',*,*,#209671,.T.); #285349=ORIENTED_EDGE('',*,*,#209673,.F.); #285350=ORIENTED_EDGE('',*,*,#209674,.F.); #285351=ORIENTED_EDGE('',*,*,#209675,.T.); #285352=ORIENTED_EDGE('',*,*,#209674,.T.); #285353=ORIENTED_EDGE('',*,*,#209676,.F.); #285354=ORIENTED_EDGE('',*,*,#209677,.F.); #285355=ORIENTED_EDGE('',*,*,#209678,.T.); #285356=ORIENTED_EDGE('',*,*,#209677,.T.); #285357=ORIENTED_EDGE('',*,*,#209679,.F.); #285358=ORIENTED_EDGE('',*,*,#209680,.F.); #285359=ORIENTED_EDGE('',*,*,#209681,.T.); #285360=ORIENTED_EDGE('',*,*,#209680,.T.); #285361=ORIENTED_EDGE('',*,*,#209682,.F.); #285362=ORIENTED_EDGE('',*,*,#209683,.F.); #285363=ORIENTED_EDGE('',*,*,#209684,.T.); #285364=ORIENTED_EDGE('',*,*,#209683,.T.); #285365=ORIENTED_EDGE('',*,*,#209685,.F.); #285366=ORIENTED_EDGE('',*,*,#209686,.F.); #285367=ORIENTED_EDGE('',*,*,#209687,.T.); #285368=ORIENTED_EDGE('',*,*,#209686,.T.); #285369=ORIENTED_EDGE('',*,*,#209688,.F.); #285370=ORIENTED_EDGE('',*,*,#209689,.F.); #285371=ORIENTED_EDGE('',*,*,#209690,.T.); #285372=ORIENTED_EDGE('',*,*,#209689,.T.); #285373=ORIENTED_EDGE('',*,*,#209691,.F.); #285374=ORIENTED_EDGE('',*,*,#209692,.F.); #285375=ORIENTED_EDGE('',*,*,#209693,.T.); #285376=ORIENTED_EDGE('',*,*,#209692,.T.); #285377=ORIENTED_EDGE('',*,*,#209694,.F.); #285378=ORIENTED_EDGE('',*,*,#209695,.F.); #285379=ORIENTED_EDGE('',*,*,#209696,.T.); #285380=ORIENTED_EDGE('',*,*,#209695,.T.); #285381=ORIENTED_EDGE('',*,*,#209697,.F.); #285382=ORIENTED_EDGE('',*,*,#209698,.F.); #285383=ORIENTED_EDGE('',*,*,#209699,.T.); #285384=ORIENTED_EDGE('',*,*,#209698,.T.); #285385=ORIENTED_EDGE('',*,*,#209700,.F.); #285386=ORIENTED_EDGE('',*,*,#209701,.F.); #285387=ORIENTED_EDGE('',*,*,#209702,.T.); #285388=ORIENTED_EDGE('',*,*,#209701,.T.); #285389=ORIENTED_EDGE('',*,*,#209703,.F.); #285390=ORIENTED_EDGE('',*,*,#209704,.F.); #285391=ORIENTED_EDGE('',*,*,#209705,.T.); #285392=ORIENTED_EDGE('',*,*,#209704,.T.); #285393=ORIENTED_EDGE('',*,*,#209706,.F.); #285394=ORIENTED_EDGE('',*,*,#209707,.F.); #285395=ORIENTED_EDGE('',*,*,#209708,.T.); #285396=ORIENTED_EDGE('',*,*,#209707,.T.); #285397=ORIENTED_EDGE('',*,*,#209709,.F.); #285398=ORIENTED_EDGE('',*,*,#209710,.F.); #285399=ORIENTED_EDGE('',*,*,#209711,.T.); #285400=ORIENTED_EDGE('',*,*,#209710,.T.); #285401=ORIENTED_EDGE('',*,*,#209712,.F.); #285402=ORIENTED_EDGE('',*,*,#209713,.F.); #285403=ORIENTED_EDGE('',*,*,#209714,.T.); #285404=ORIENTED_EDGE('',*,*,#209713,.T.); #285405=ORIENTED_EDGE('',*,*,#209715,.F.); #285406=ORIENTED_EDGE('',*,*,#209716,.F.); #285407=ORIENTED_EDGE('',*,*,#209717,.T.); #285408=ORIENTED_EDGE('',*,*,#209716,.T.); #285409=ORIENTED_EDGE('',*,*,#209718,.F.); #285410=ORIENTED_EDGE('',*,*,#209719,.F.); #285411=ORIENTED_EDGE('',*,*,#209720,.T.); #285412=ORIENTED_EDGE('',*,*,#209719,.T.); #285413=ORIENTED_EDGE('',*,*,#209721,.F.); #285414=ORIENTED_EDGE('',*,*,#209722,.F.); #285415=ORIENTED_EDGE('',*,*,#209723,.T.); #285416=ORIENTED_EDGE('',*,*,#209722,.T.); #285417=ORIENTED_EDGE('',*,*,#209724,.F.); #285418=ORIENTED_EDGE('',*,*,#209725,.F.); #285419=ORIENTED_EDGE('',*,*,#209726,.T.); #285420=ORIENTED_EDGE('',*,*,#209725,.T.); #285421=ORIENTED_EDGE('',*,*,#209727,.F.); #285422=ORIENTED_EDGE('',*,*,#209728,.F.); #285423=ORIENTED_EDGE('',*,*,#209729,.T.); #285424=ORIENTED_EDGE('',*,*,#209728,.T.); #285425=ORIENTED_EDGE('',*,*,#209730,.F.); #285426=ORIENTED_EDGE('',*,*,#209731,.F.); #285427=ORIENTED_EDGE('',*,*,#209732,.T.); #285428=ORIENTED_EDGE('',*,*,#209731,.T.); #285429=ORIENTED_EDGE('',*,*,#209733,.F.); #285430=ORIENTED_EDGE('',*,*,#209663,.F.); #285431=ORIENTED_EDGE('',*,*,#209733,.T.); #285432=ORIENTED_EDGE('',*,*,#209730,.T.); #285433=ORIENTED_EDGE('',*,*,#209727,.T.); #285434=ORIENTED_EDGE('',*,*,#209724,.T.); #285435=ORIENTED_EDGE('',*,*,#209721,.T.); #285436=ORIENTED_EDGE('',*,*,#209718,.T.); #285437=ORIENTED_EDGE('',*,*,#209715,.T.); #285438=ORIENTED_EDGE('',*,*,#209712,.T.); #285439=ORIENTED_EDGE('',*,*,#209709,.T.); #285440=ORIENTED_EDGE('',*,*,#209706,.T.); #285441=ORIENTED_EDGE('',*,*,#209703,.T.); #285442=ORIENTED_EDGE('',*,*,#209700,.T.); #285443=ORIENTED_EDGE('',*,*,#209697,.T.); #285444=ORIENTED_EDGE('',*,*,#209694,.T.); #285445=ORIENTED_EDGE('',*,*,#209691,.T.); #285446=ORIENTED_EDGE('',*,*,#209688,.T.); #285447=ORIENTED_EDGE('',*,*,#209685,.T.); #285448=ORIENTED_EDGE('',*,*,#209682,.T.); #285449=ORIENTED_EDGE('',*,*,#209679,.T.); #285450=ORIENTED_EDGE('',*,*,#209676,.T.); #285451=ORIENTED_EDGE('',*,*,#209673,.T.); #285452=ORIENTED_EDGE('',*,*,#209670,.T.); #285453=ORIENTED_EDGE('',*,*,#209667,.T.); #285454=ORIENTED_EDGE('',*,*,#209664,.T.); #285455=ORIENTED_EDGE('',*,*,#209732,.F.); #285456=ORIENTED_EDGE('',*,*,#209662,.F.); #285457=ORIENTED_EDGE('',*,*,#209666,.F.); #285458=ORIENTED_EDGE('',*,*,#209669,.F.); #285459=ORIENTED_EDGE('',*,*,#209672,.F.); #285460=ORIENTED_EDGE('',*,*,#209675,.F.); #285461=ORIENTED_EDGE('',*,*,#209678,.F.); #285462=ORIENTED_EDGE('',*,*,#209681,.F.); #285463=ORIENTED_EDGE('',*,*,#209684,.F.); #285464=ORIENTED_EDGE('',*,*,#209687,.F.); #285465=ORIENTED_EDGE('',*,*,#209690,.F.); #285466=ORIENTED_EDGE('',*,*,#209693,.F.); #285467=ORIENTED_EDGE('',*,*,#209696,.F.); #285468=ORIENTED_EDGE('',*,*,#209699,.F.); #285469=ORIENTED_EDGE('',*,*,#209702,.F.); #285470=ORIENTED_EDGE('',*,*,#209705,.F.); #285471=ORIENTED_EDGE('',*,*,#209708,.F.); #285472=ORIENTED_EDGE('',*,*,#209711,.F.); #285473=ORIENTED_EDGE('',*,*,#209714,.F.); #285474=ORIENTED_EDGE('',*,*,#209717,.F.); #285475=ORIENTED_EDGE('',*,*,#209720,.F.); #285476=ORIENTED_EDGE('',*,*,#209723,.F.); #285477=ORIENTED_EDGE('',*,*,#209726,.F.); #285478=ORIENTED_EDGE('',*,*,#209729,.F.); #285479=ORIENTED_EDGE('',*,*,#209734,.T.); #285480=ORIENTED_EDGE('',*,*,#209735,.T.); #285481=ORIENTED_EDGE('',*,*,#209736,.F.); #285482=ORIENTED_EDGE('',*,*,#209737,.F.); #285483=ORIENTED_EDGE('',*,*,#209738,.T.); #285484=ORIENTED_EDGE('',*,*,#209737,.T.); #285485=ORIENTED_EDGE('',*,*,#209739,.F.); #285486=ORIENTED_EDGE('',*,*,#209740,.F.); #285487=ORIENTED_EDGE('',*,*,#209741,.T.); #285488=ORIENTED_EDGE('',*,*,#209740,.T.); #285489=ORIENTED_EDGE('',*,*,#209742,.F.); #285490=ORIENTED_EDGE('',*,*,#209743,.F.); #285491=ORIENTED_EDGE('',*,*,#209744,.T.); #285492=ORIENTED_EDGE('',*,*,#209743,.T.); #285493=ORIENTED_EDGE('',*,*,#209745,.F.); #285494=ORIENTED_EDGE('',*,*,#209746,.F.); #285495=ORIENTED_EDGE('',*,*,#209747,.T.); #285496=ORIENTED_EDGE('',*,*,#209746,.T.); #285497=ORIENTED_EDGE('',*,*,#209748,.F.); #285498=ORIENTED_EDGE('',*,*,#209749,.F.); #285499=ORIENTED_EDGE('',*,*,#209750,.T.); #285500=ORIENTED_EDGE('',*,*,#209749,.T.); #285501=ORIENTED_EDGE('',*,*,#209751,.F.); #285502=ORIENTED_EDGE('',*,*,#209752,.F.); #285503=ORIENTED_EDGE('',*,*,#209753,.T.); #285504=ORIENTED_EDGE('',*,*,#209752,.T.); #285505=ORIENTED_EDGE('',*,*,#209754,.F.); #285506=ORIENTED_EDGE('',*,*,#209755,.F.); #285507=ORIENTED_EDGE('',*,*,#209756,.T.); #285508=ORIENTED_EDGE('',*,*,#209755,.T.); #285509=ORIENTED_EDGE('',*,*,#209757,.F.); #285510=ORIENTED_EDGE('',*,*,#209758,.F.); #285511=ORIENTED_EDGE('',*,*,#209759,.T.); #285512=ORIENTED_EDGE('',*,*,#209758,.T.); #285513=ORIENTED_EDGE('',*,*,#209760,.F.); #285514=ORIENTED_EDGE('',*,*,#209761,.F.); #285515=ORIENTED_EDGE('',*,*,#209762,.T.); #285516=ORIENTED_EDGE('',*,*,#209761,.T.); #285517=ORIENTED_EDGE('',*,*,#209763,.F.); #285518=ORIENTED_EDGE('',*,*,#209764,.F.); #285519=ORIENTED_EDGE('',*,*,#209765,.T.); #285520=ORIENTED_EDGE('',*,*,#209764,.T.); #285521=ORIENTED_EDGE('',*,*,#209766,.F.); #285522=ORIENTED_EDGE('',*,*,#209767,.F.); #285523=ORIENTED_EDGE('',*,*,#209768,.T.); #285524=ORIENTED_EDGE('',*,*,#209767,.T.); #285525=ORIENTED_EDGE('',*,*,#209769,.F.); #285526=ORIENTED_EDGE('',*,*,#209770,.F.); #285527=ORIENTED_EDGE('',*,*,#209771,.T.); #285528=ORIENTED_EDGE('',*,*,#209770,.T.); #285529=ORIENTED_EDGE('',*,*,#209772,.F.); #285530=ORIENTED_EDGE('',*,*,#209773,.F.); #285531=ORIENTED_EDGE('',*,*,#209774,.T.); #285532=ORIENTED_EDGE('',*,*,#209773,.T.); #285533=ORIENTED_EDGE('',*,*,#209775,.F.); #285534=ORIENTED_EDGE('',*,*,#209776,.F.); #285535=ORIENTED_EDGE('',*,*,#209777,.T.); #285536=ORIENTED_EDGE('',*,*,#209776,.T.); #285537=ORIENTED_EDGE('',*,*,#209778,.F.); #285538=ORIENTED_EDGE('',*,*,#209779,.F.); #285539=ORIENTED_EDGE('',*,*,#209780,.T.); #285540=ORIENTED_EDGE('',*,*,#209779,.T.); #285541=ORIENTED_EDGE('',*,*,#209781,.F.); #285542=ORIENTED_EDGE('',*,*,#209782,.F.); #285543=ORIENTED_EDGE('',*,*,#209783,.T.); #285544=ORIENTED_EDGE('',*,*,#209782,.T.); #285545=ORIENTED_EDGE('',*,*,#209784,.F.); #285546=ORIENTED_EDGE('',*,*,#209785,.F.); #285547=ORIENTED_EDGE('',*,*,#209786,.T.); #285548=ORIENTED_EDGE('',*,*,#209785,.T.); #285549=ORIENTED_EDGE('',*,*,#209787,.F.); #285550=ORIENTED_EDGE('',*,*,#209788,.F.); #285551=ORIENTED_EDGE('',*,*,#209789,.T.); #285552=ORIENTED_EDGE('',*,*,#209788,.T.); #285553=ORIENTED_EDGE('',*,*,#209790,.F.); #285554=ORIENTED_EDGE('',*,*,#209791,.F.); #285555=ORIENTED_EDGE('',*,*,#209792,.T.); #285556=ORIENTED_EDGE('',*,*,#209791,.T.); #285557=ORIENTED_EDGE('',*,*,#209793,.F.); #285558=ORIENTED_EDGE('',*,*,#209794,.F.); #285559=ORIENTED_EDGE('',*,*,#209795,.T.); #285560=ORIENTED_EDGE('',*,*,#209794,.T.); #285561=ORIENTED_EDGE('',*,*,#209796,.F.); #285562=ORIENTED_EDGE('',*,*,#209735,.F.); #285563=ORIENTED_EDGE('',*,*,#209796,.T.); #285564=ORIENTED_EDGE('',*,*,#209793,.T.); #285565=ORIENTED_EDGE('',*,*,#209790,.T.); #285566=ORIENTED_EDGE('',*,*,#209787,.T.); #285567=ORIENTED_EDGE('',*,*,#209784,.T.); #285568=ORIENTED_EDGE('',*,*,#209781,.T.); #285569=ORIENTED_EDGE('',*,*,#209778,.T.); #285570=ORIENTED_EDGE('',*,*,#209775,.T.); #285571=ORIENTED_EDGE('',*,*,#209772,.T.); #285572=ORIENTED_EDGE('',*,*,#209769,.T.); #285573=ORIENTED_EDGE('',*,*,#209766,.T.); #285574=ORIENTED_EDGE('',*,*,#209763,.T.); #285575=ORIENTED_EDGE('',*,*,#209760,.T.); #285576=ORIENTED_EDGE('',*,*,#209757,.T.); #285577=ORIENTED_EDGE('',*,*,#209754,.T.); #285578=ORIENTED_EDGE('',*,*,#209751,.T.); #285579=ORIENTED_EDGE('',*,*,#209748,.T.); #285580=ORIENTED_EDGE('',*,*,#209745,.T.); #285581=ORIENTED_EDGE('',*,*,#209742,.T.); #285582=ORIENTED_EDGE('',*,*,#209739,.T.); #285583=ORIENTED_EDGE('',*,*,#209736,.T.); #285584=ORIENTED_EDGE('',*,*,#209795,.F.); #285585=ORIENTED_EDGE('',*,*,#209734,.F.); #285586=ORIENTED_EDGE('',*,*,#209738,.F.); #285587=ORIENTED_EDGE('',*,*,#209741,.F.); #285588=ORIENTED_EDGE('',*,*,#209744,.F.); #285589=ORIENTED_EDGE('',*,*,#209747,.F.); #285590=ORIENTED_EDGE('',*,*,#209750,.F.); #285591=ORIENTED_EDGE('',*,*,#209753,.F.); #285592=ORIENTED_EDGE('',*,*,#209756,.F.); #285593=ORIENTED_EDGE('',*,*,#209759,.F.); #285594=ORIENTED_EDGE('',*,*,#209762,.F.); #285595=ORIENTED_EDGE('',*,*,#209765,.F.); #285596=ORIENTED_EDGE('',*,*,#209768,.F.); #285597=ORIENTED_EDGE('',*,*,#209771,.F.); #285598=ORIENTED_EDGE('',*,*,#209774,.F.); #285599=ORIENTED_EDGE('',*,*,#209777,.F.); #285600=ORIENTED_EDGE('',*,*,#209780,.F.); #285601=ORIENTED_EDGE('',*,*,#209783,.F.); #285602=ORIENTED_EDGE('',*,*,#209786,.F.); #285603=ORIENTED_EDGE('',*,*,#209789,.F.); #285604=ORIENTED_EDGE('',*,*,#209792,.F.); #285605=ORIENTED_EDGE('',*,*,#209797,.T.); #285606=ORIENTED_EDGE('',*,*,#209798,.T.); #285607=ORIENTED_EDGE('',*,*,#209799,.F.); #285608=ORIENTED_EDGE('',*,*,#209800,.F.); #285609=ORIENTED_EDGE('',*,*,#209801,.T.); #285610=ORIENTED_EDGE('',*,*,#209800,.T.); #285611=ORIENTED_EDGE('',*,*,#209802,.F.); #285612=ORIENTED_EDGE('',*,*,#209803,.F.); #285613=ORIENTED_EDGE('',*,*,#209804,.T.); #285614=ORIENTED_EDGE('',*,*,#209803,.T.); #285615=ORIENTED_EDGE('',*,*,#209805,.F.); #285616=ORIENTED_EDGE('',*,*,#209806,.F.); #285617=ORIENTED_EDGE('',*,*,#209807,.T.); #285618=ORIENTED_EDGE('',*,*,#209806,.T.); #285619=ORIENTED_EDGE('',*,*,#209808,.F.); #285620=ORIENTED_EDGE('',*,*,#209809,.F.); #285621=ORIENTED_EDGE('',*,*,#209810,.T.); #285622=ORIENTED_EDGE('',*,*,#209809,.T.); #285623=ORIENTED_EDGE('',*,*,#209811,.F.); #285624=ORIENTED_EDGE('',*,*,#209812,.F.); #285625=ORIENTED_EDGE('',*,*,#209813,.T.); #285626=ORIENTED_EDGE('',*,*,#209812,.T.); #285627=ORIENTED_EDGE('',*,*,#209814,.F.); #285628=ORIENTED_EDGE('',*,*,#209815,.F.); #285629=ORIENTED_EDGE('',*,*,#209816,.T.); #285630=ORIENTED_EDGE('',*,*,#209815,.T.); #285631=ORIENTED_EDGE('',*,*,#209817,.F.); #285632=ORIENTED_EDGE('',*,*,#209818,.F.); #285633=ORIENTED_EDGE('',*,*,#209819,.T.); #285634=ORIENTED_EDGE('',*,*,#209818,.T.); #285635=ORIENTED_EDGE('',*,*,#209820,.F.); #285636=ORIENTED_EDGE('',*,*,#209821,.F.); #285637=ORIENTED_EDGE('',*,*,#209822,.T.); #285638=ORIENTED_EDGE('',*,*,#209821,.T.); #285639=ORIENTED_EDGE('',*,*,#209823,.F.); #285640=ORIENTED_EDGE('',*,*,#209824,.F.); #285641=ORIENTED_EDGE('',*,*,#209825,.T.); #285642=ORIENTED_EDGE('',*,*,#209824,.T.); #285643=ORIENTED_EDGE('',*,*,#209826,.F.); #285644=ORIENTED_EDGE('',*,*,#209827,.F.); #285645=ORIENTED_EDGE('',*,*,#209828,.T.); #285646=ORIENTED_EDGE('',*,*,#209827,.T.); #285647=ORIENTED_EDGE('',*,*,#209829,.F.); #285648=ORIENTED_EDGE('',*,*,#209830,.F.); #285649=ORIENTED_EDGE('',*,*,#209831,.T.); #285650=ORIENTED_EDGE('',*,*,#209830,.T.); #285651=ORIENTED_EDGE('',*,*,#209832,.F.); #285652=ORIENTED_EDGE('',*,*,#209833,.F.); #285653=ORIENTED_EDGE('',*,*,#209834,.T.); #285654=ORIENTED_EDGE('',*,*,#209833,.T.); #285655=ORIENTED_EDGE('',*,*,#209835,.F.); #285656=ORIENTED_EDGE('',*,*,#209836,.F.); #285657=ORIENTED_EDGE('',*,*,#209837,.T.); #285658=ORIENTED_EDGE('',*,*,#209836,.T.); #285659=ORIENTED_EDGE('',*,*,#209838,.F.); #285660=ORIENTED_EDGE('',*,*,#209839,.F.); #285661=ORIENTED_EDGE('',*,*,#209840,.T.); #285662=ORIENTED_EDGE('',*,*,#209839,.T.); #285663=ORIENTED_EDGE('',*,*,#209841,.F.); #285664=ORIENTED_EDGE('',*,*,#209842,.F.); #285665=ORIENTED_EDGE('',*,*,#209843,.T.); #285666=ORIENTED_EDGE('',*,*,#209842,.T.); #285667=ORIENTED_EDGE('',*,*,#209844,.F.); #285668=ORIENTED_EDGE('',*,*,#209845,.F.); #285669=ORIENTED_EDGE('',*,*,#209846,.T.); #285670=ORIENTED_EDGE('',*,*,#209845,.T.); #285671=ORIENTED_EDGE('',*,*,#209847,.F.); #285672=ORIENTED_EDGE('',*,*,#209848,.F.); #285673=ORIENTED_EDGE('',*,*,#209849,.T.); #285674=ORIENTED_EDGE('',*,*,#209848,.T.); #285675=ORIENTED_EDGE('',*,*,#209850,.F.); #285676=ORIENTED_EDGE('',*,*,#209851,.F.); #285677=ORIENTED_EDGE('',*,*,#209852,.T.); #285678=ORIENTED_EDGE('',*,*,#209851,.T.); #285679=ORIENTED_EDGE('',*,*,#209853,.F.); #285680=ORIENTED_EDGE('',*,*,#209854,.F.); #285681=ORIENTED_EDGE('',*,*,#209855,.T.); #285682=ORIENTED_EDGE('',*,*,#209854,.T.); #285683=ORIENTED_EDGE('',*,*,#209856,.F.); #285684=ORIENTED_EDGE('',*,*,#209857,.F.); #285685=ORIENTED_EDGE('',*,*,#209858,.T.); #285686=ORIENTED_EDGE('',*,*,#209857,.T.); #285687=ORIENTED_EDGE('',*,*,#209859,.F.); #285688=ORIENTED_EDGE('',*,*,#209860,.F.); #285689=ORIENTED_EDGE('',*,*,#209861,.T.); #285690=ORIENTED_EDGE('',*,*,#209860,.T.); #285691=ORIENTED_EDGE('',*,*,#209862,.F.); #285692=ORIENTED_EDGE('',*,*,#209863,.F.); #285693=ORIENTED_EDGE('',*,*,#209864,.T.); #285694=ORIENTED_EDGE('',*,*,#209863,.T.); #285695=ORIENTED_EDGE('',*,*,#209865,.F.); #285696=ORIENTED_EDGE('',*,*,#209866,.F.); #285697=ORIENTED_EDGE('',*,*,#209867,.T.); #285698=ORIENTED_EDGE('',*,*,#209866,.T.); #285699=ORIENTED_EDGE('',*,*,#209868,.F.); #285700=ORIENTED_EDGE('',*,*,#209869,.F.); #285701=ORIENTED_EDGE('',*,*,#209870,.T.); #285702=ORIENTED_EDGE('',*,*,#209869,.T.); #285703=ORIENTED_EDGE('',*,*,#209871,.F.); #285704=ORIENTED_EDGE('',*,*,#209872,.F.); #285705=ORIENTED_EDGE('',*,*,#209873,.T.); #285706=ORIENTED_EDGE('',*,*,#209872,.T.); #285707=ORIENTED_EDGE('',*,*,#209874,.F.); #285708=ORIENTED_EDGE('',*,*,#209875,.F.); #285709=ORIENTED_EDGE('',*,*,#209876,.T.); #285710=ORIENTED_EDGE('',*,*,#209875,.T.); #285711=ORIENTED_EDGE('',*,*,#209877,.F.); #285712=ORIENTED_EDGE('',*,*,#209878,.F.); #285713=ORIENTED_EDGE('',*,*,#209879,.T.); #285714=ORIENTED_EDGE('',*,*,#209878,.T.); #285715=ORIENTED_EDGE('',*,*,#209880,.F.); #285716=ORIENTED_EDGE('',*,*,#209881,.F.); #285717=ORIENTED_EDGE('',*,*,#209882,.T.); #285718=ORIENTED_EDGE('',*,*,#209881,.T.); #285719=ORIENTED_EDGE('',*,*,#209883,.F.); #285720=ORIENTED_EDGE('',*,*,#209884,.F.); #285721=ORIENTED_EDGE('',*,*,#209885,.T.); #285722=ORIENTED_EDGE('',*,*,#209884,.T.); #285723=ORIENTED_EDGE('',*,*,#209886,.F.); #285724=ORIENTED_EDGE('',*,*,#209887,.F.); #285725=ORIENTED_EDGE('',*,*,#209888,.T.); #285726=ORIENTED_EDGE('',*,*,#209887,.T.); #285727=ORIENTED_EDGE('',*,*,#209889,.F.); #285728=ORIENTED_EDGE('',*,*,#209890,.F.); #285729=ORIENTED_EDGE('',*,*,#209891,.T.); #285730=ORIENTED_EDGE('',*,*,#209890,.T.); #285731=ORIENTED_EDGE('',*,*,#209892,.F.); #285732=ORIENTED_EDGE('',*,*,#209893,.F.); #285733=ORIENTED_EDGE('',*,*,#209894,.T.); #285734=ORIENTED_EDGE('',*,*,#209893,.T.); #285735=ORIENTED_EDGE('',*,*,#209895,.F.); #285736=ORIENTED_EDGE('',*,*,#209896,.F.); #285737=ORIENTED_EDGE('',*,*,#209897,.T.); #285738=ORIENTED_EDGE('',*,*,#209896,.T.); #285739=ORIENTED_EDGE('',*,*,#209898,.F.); #285740=ORIENTED_EDGE('',*,*,#209899,.F.); #285741=ORIENTED_EDGE('',*,*,#209900,.T.); #285742=ORIENTED_EDGE('',*,*,#209899,.T.); #285743=ORIENTED_EDGE('',*,*,#209901,.F.); #285744=ORIENTED_EDGE('',*,*,#209798,.F.); #285745=ORIENTED_EDGE('',*,*,#209901,.T.); #285746=ORIENTED_EDGE('',*,*,#209898,.T.); #285747=ORIENTED_EDGE('',*,*,#209895,.T.); #285748=ORIENTED_EDGE('',*,*,#209892,.T.); #285749=ORIENTED_EDGE('',*,*,#209889,.T.); #285750=ORIENTED_EDGE('',*,*,#209886,.T.); #285751=ORIENTED_EDGE('',*,*,#209883,.T.); #285752=ORIENTED_EDGE('',*,*,#209880,.T.); #285753=ORIENTED_EDGE('',*,*,#209877,.T.); #285754=ORIENTED_EDGE('',*,*,#209874,.T.); #285755=ORIENTED_EDGE('',*,*,#209871,.T.); #285756=ORIENTED_EDGE('',*,*,#209868,.T.); #285757=ORIENTED_EDGE('',*,*,#209865,.T.); #285758=ORIENTED_EDGE('',*,*,#209862,.T.); #285759=ORIENTED_EDGE('',*,*,#209859,.T.); #285760=ORIENTED_EDGE('',*,*,#209856,.T.); #285761=ORIENTED_EDGE('',*,*,#209853,.T.); #285762=ORIENTED_EDGE('',*,*,#209850,.T.); #285763=ORIENTED_EDGE('',*,*,#209847,.T.); #285764=ORIENTED_EDGE('',*,*,#209844,.T.); #285765=ORIENTED_EDGE('',*,*,#209841,.T.); #285766=ORIENTED_EDGE('',*,*,#209838,.T.); #285767=ORIENTED_EDGE('',*,*,#209835,.T.); #285768=ORIENTED_EDGE('',*,*,#209832,.T.); #285769=ORIENTED_EDGE('',*,*,#209829,.T.); #285770=ORIENTED_EDGE('',*,*,#209826,.T.); #285771=ORIENTED_EDGE('',*,*,#209823,.T.); #285772=ORIENTED_EDGE('',*,*,#209820,.T.); #285773=ORIENTED_EDGE('',*,*,#209817,.T.); #285774=ORIENTED_EDGE('',*,*,#209814,.T.); #285775=ORIENTED_EDGE('',*,*,#209811,.T.); #285776=ORIENTED_EDGE('',*,*,#209808,.T.); #285777=ORIENTED_EDGE('',*,*,#209805,.T.); #285778=ORIENTED_EDGE('',*,*,#209802,.T.); #285779=ORIENTED_EDGE('',*,*,#209799,.T.); #285780=ORIENTED_EDGE('',*,*,#209900,.F.); #285781=ORIENTED_EDGE('',*,*,#209797,.F.); #285782=ORIENTED_EDGE('',*,*,#209801,.F.); #285783=ORIENTED_EDGE('',*,*,#209804,.F.); #285784=ORIENTED_EDGE('',*,*,#209807,.F.); #285785=ORIENTED_EDGE('',*,*,#209810,.F.); #285786=ORIENTED_EDGE('',*,*,#209813,.F.); #285787=ORIENTED_EDGE('',*,*,#209816,.F.); #285788=ORIENTED_EDGE('',*,*,#209819,.F.); #285789=ORIENTED_EDGE('',*,*,#209822,.F.); #285790=ORIENTED_EDGE('',*,*,#209825,.F.); #285791=ORIENTED_EDGE('',*,*,#209828,.F.); #285792=ORIENTED_EDGE('',*,*,#209831,.F.); #285793=ORIENTED_EDGE('',*,*,#209834,.F.); #285794=ORIENTED_EDGE('',*,*,#209837,.F.); #285795=ORIENTED_EDGE('',*,*,#209840,.F.); #285796=ORIENTED_EDGE('',*,*,#209843,.F.); #285797=ORIENTED_EDGE('',*,*,#209846,.F.); #285798=ORIENTED_EDGE('',*,*,#209849,.F.); #285799=ORIENTED_EDGE('',*,*,#209852,.F.); #285800=ORIENTED_EDGE('',*,*,#209855,.F.); #285801=ORIENTED_EDGE('',*,*,#209858,.F.); #285802=ORIENTED_EDGE('',*,*,#209861,.F.); #285803=ORIENTED_EDGE('',*,*,#209864,.F.); #285804=ORIENTED_EDGE('',*,*,#209867,.F.); #285805=ORIENTED_EDGE('',*,*,#209870,.F.); #285806=ORIENTED_EDGE('',*,*,#209873,.F.); #285807=ORIENTED_EDGE('',*,*,#209876,.F.); #285808=ORIENTED_EDGE('',*,*,#209879,.F.); #285809=ORIENTED_EDGE('',*,*,#209882,.F.); #285810=ORIENTED_EDGE('',*,*,#209885,.F.); #285811=ORIENTED_EDGE('',*,*,#209888,.F.); #285812=ORIENTED_EDGE('',*,*,#209891,.F.); #285813=ORIENTED_EDGE('',*,*,#209894,.F.); #285814=ORIENTED_EDGE('',*,*,#209897,.F.); #285815=ORIENTED_EDGE('',*,*,#209902,.T.); #285816=ORIENTED_EDGE('',*,*,#209903,.T.); #285817=ORIENTED_EDGE('',*,*,#209904,.F.); #285818=ORIENTED_EDGE('',*,*,#209905,.F.); #285819=ORIENTED_EDGE('',*,*,#209906,.T.); #285820=ORIENTED_EDGE('',*,*,#209905,.T.); #285821=ORIENTED_EDGE('',*,*,#209907,.F.); #285822=ORIENTED_EDGE('',*,*,#209908,.F.); #285823=ORIENTED_EDGE('',*,*,#209909,.T.); #285824=ORIENTED_EDGE('',*,*,#209908,.T.); #285825=ORIENTED_EDGE('',*,*,#209910,.F.); #285826=ORIENTED_EDGE('',*,*,#209911,.F.); #285827=ORIENTED_EDGE('',*,*,#209912,.T.); #285828=ORIENTED_EDGE('',*,*,#209911,.T.); #285829=ORIENTED_EDGE('',*,*,#209913,.F.); #285830=ORIENTED_EDGE('',*,*,#209914,.F.); #285831=ORIENTED_EDGE('',*,*,#209915,.T.); #285832=ORIENTED_EDGE('',*,*,#209914,.T.); #285833=ORIENTED_EDGE('',*,*,#209916,.F.); #285834=ORIENTED_EDGE('',*,*,#209917,.F.); #285835=ORIENTED_EDGE('',*,*,#209918,.T.); #285836=ORIENTED_EDGE('',*,*,#209917,.T.); #285837=ORIENTED_EDGE('',*,*,#209919,.F.); #285838=ORIENTED_EDGE('',*,*,#209920,.F.); #285839=ORIENTED_EDGE('',*,*,#209921,.T.); #285840=ORIENTED_EDGE('',*,*,#209920,.T.); #285841=ORIENTED_EDGE('',*,*,#209922,.F.); #285842=ORIENTED_EDGE('',*,*,#209923,.F.); #285843=ORIENTED_EDGE('',*,*,#209924,.T.); #285844=ORIENTED_EDGE('',*,*,#209923,.T.); #285845=ORIENTED_EDGE('',*,*,#209925,.F.); #285846=ORIENTED_EDGE('',*,*,#209926,.F.); #285847=ORIENTED_EDGE('',*,*,#209927,.T.); #285848=ORIENTED_EDGE('',*,*,#209926,.T.); #285849=ORIENTED_EDGE('',*,*,#209928,.F.); #285850=ORIENTED_EDGE('',*,*,#209929,.F.); #285851=ORIENTED_EDGE('',*,*,#209930,.T.); #285852=ORIENTED_EDGE('',*,*,#209929,.T.); #285853=ORIENTED_EDGE('',*,*,#209931,.F.); #285854=ORIENTED_EDGE('',*,*,#209932,.F.); #285855=ORIENTED_EDGE('',*,*,#209933,.T.); #285856=ORIENTED_EDGE('',*,*,#209932,.T.); #285857=ORIENTED_EDGE('',*,*,#209934,.F.); #285858=ORIENTED_EDGE('',*,*,#209935,.F.); #285859=ORIENTED_EDGE('',*,*,#209936,.T.); #285860=ORIENTED_EDGE('',*,*,#209935,.T.); #285861=ORIENTED_EDGE('',*,*,#209937,.F.); #285862=ORIENTED_EDGE('',*,*,#209938,.F.); #285863=ORIENTED_EDGE('',*,*,#209939,.T.); #285864=ORIENTED_EDGE('',*,*,#209938,.T.); #285865=ORIENTED_EDGE('',*,*,#209940,.F.); #285866=ORIENTED_EDGE('',*,*,#209941,.F.); #285867=ORIENTED_EDGE('',*,*,#209942,.T.); #285868=ORIENTED_EDGE('',*,*,#209941,.T.); #285869=ORIENTED_EDGE('',*,*,#209943,.F.); #285870=ORIENTED_EDGE('',*,*,#209944,.F.); #285871=ORIENTED_EDGE('',*,*,#209945,.T.); #285872=ORIENTED_EDGE('',*,*,#209944,.T.); #285873=ORIENTED_EDGE('',*,*,#209946,.F.); #285874=ORIENTED_EDGE('',*,*,#209947,.F.); #285875=ORIENTED_EDGE('',*,*,#209948,.T.); #285876=ORIENTED_EDGE('',*,*,#209947,.T.); #285877=ORIENTED_EDGE('',*,*,#209949,.F.); #285878=ORIENTED_EDGE('',*,*,#209950,.F.); #285879=ORIENTED_EDGE('',*,*,#209951,.T.); #285880=ORIENTED_EDGE('',*,*,#209950,.T.); #285881=ORIENTED_EDGE('',*,*,#209952,.F.); #285882=ORIENTED_EDGE('',*,*,#209953,.F.); #285883=ORIENTED_EDGE('',*,*,#209954,.T.); #285884=ORIENTED_EDGE('',*,*,#209953,.T.); #285885=ORIENTED_EDGE('',*,*,#209955,.F.); #285886=ORIENTED_EDGE('',*,*,#209956,.F.); #285887=ORIENTED_EDGE('',*,*,#209957,.T.); #285888=ORIENTED_EDGE('',*,*,#209956,.T.); #285889=ORIENTED_EDGE('',*,*,#209958,.F.); #285890=ORIENTED_EDGE('',*,*,#209959,.F.); #285891=ORIENTED_EDGE('',*,*,#209960,.T.); #285892=ORIENTED_EDGE('',*,*,#209959,.T.); #285893=ORIENTED_EDGE('',*,*,#209961,.F.); #285894=ORIENTED_EDGE('',*,*,#209962,.F.); #285895=ORIENTED_EDGE('',*,*,#209963,.T.); #285896=ORIENTED_EDGE('',*,*,#209962,.T.); #285897=ORIENTED_EDGE('',*,*,#209964,.F.); #285898=ORIENTED_EDGE('',*,*,#209903,.F.); #285899=ORIENTED_EDGE('',*,*,#209964,.T.); #285900=ORIENTED_EDGE('',*,*,#209961,.T.); #285901=ORIENTED_EDGE('',*,*,#209958,.T.); #285902=ORIENTED_EDGE('',*,*,#209955,.T.); #285903=ORIENTED_EDGE('',*,*,#209952,.T.); #285904=ORIENTED_EDGE('',*,*,#209949,.T.); #285905=ORIENTED_EDGE('',*,*,#209946,.T.); #285906=ORIENTED_EDGE('',*,*,#209943,.T.); #285907=ORIENTED_EDGE('',*,*,#209940,.T.); #285908=ORIENTED_EDGE('',*,*,#209937,.T.); #285909=ORIENTED_EDGE('',*,*,#209934,.T.); #285910=ORIENTED_EDGE('',*,*,#209931,.T.); #285911=ORIENTED_EDGE('',*,*,#209928,.T.); #285912=ORIENTED_EDGE('',*,*,#209925,.T.); #285913=ORIENTED_EDGE('',*,*,#209922,.T.); #285914=ORIENTED_EDGE('',*,*,#209919,.T.); #285915=ORIENTED_EDGE('',*,*,#209916,.T.); #285916=ORIENTED_EDGE('',*,*,#209913,.T.); #285917=ORIENTED_EDGE('',*,*,#209910,.T.); #285918=ORIENTED_EDGE('',*,*,#209907,.T.); #285919=ORIENTED_EDGE('',*,*,#209904,.T.); #285920=ORIENTED_EDGE('',*,*,#209963,.F.); #285921=ORIENTED_EDGE('',*,*,#209902,.F.); #285922=ORIENTED_EDGE('',*,*,#209906,.F.); #285923=ORIENTED_EDGE('',*,*,#209909,.F.); #285924=ORIENTED_EDGE('',*,*,#209912,.F.); #285925=ORIENTED_EDGE('',*,*,#209915,.F.); #285926=ORIENTED_EDGE('',*,*,#209918,.F.); #285927=ORIENTED_EDGE('',*,*,#209921,.F.); #285928=ORIENTED_EDGE('',*,*,#209924,.F.); #285929=ORIENTED_EDGE('',*,*,#209927,.F.); #285930=ORIENTED_EDGE('',*,*,#209930,.F.); #285931=ORIENTED_EDGE('',*,*,#209933,.F.); #285932=ORIENTED_EDGE('',*,*,#209936,.F.); #285933=ORIENTED_EDGE('',*,*,#209939,.F.); #285934=ORIENTED_EDGE('',*,*,#209942,.F.); #285935=ORIENTED_EDGE('',*,*,#209945,.F.); #285936=ORIENTED_EDGE('',*,*,#209948,.F.); #285937=ORIENTED_EDGE('',*,*,#209951,.F.); #285938=ORIENTED_EDGE('',*,*,#209954,.F.); #285939=ORIENTED_EDGE('',*,*,#209957,.F.); #285940=ORIENTED_EDGE('',*,*,#209960,.F.); #285941=ORIENTED_EDGE('',*,*,#209965,.T.); #285942=ORIENTED_EDGE('',*,*,#209966,.T.); #285943=ORIENTED_EDGE('',*,*,#209967,.F.); #285944=ORIENTED_EDGE('',*,*,#209968,.F.); #285945=ORIENTED_EDGE('',*,*,#209969,.T.); #285946=ORIENTED_EDGE('',*,*,#209968,.T.); #285947=ORIENTED_EDGE('',*,*,#209970,.F.); #285948=ORIENTED_EDGE('',*,*,#209971,.F.); #285949=ORIENTED_EDGE('',*,*,#209972,.T.); #285950=ORIENTED_EDGE('',*,*,#209971,.T.); #285951=ORIENTED_EDGE('',*,*,#209973,.F.); #285952=ORIENTED_EDGE('',*,*,#209974,.F.); #285953=ORIENTED_EDGE('',*,*,#209975,.T.); #285954=ORIENTED_EDGE('',*,*,#209974,.T.); #285955=ORIENTED_EDGE('',*,*,#209976,.F.); #285956=ORIENTED_EDGE('',*,*,#209977,.F.); #285957=ORIENTED_EDGE('',*,*,#209978,.T.); #285958=ORIENTED_EDGE('',*,*,#209977,.T.); #285959=ORIENTED_EDGE('',*,*,#209979,.F.); #285960=ORIENTED_EDGE('',*,*,#209980,.F.); #285961=ORIENTED_EDGE('',*,*,#209981,.T.); #285962=ORIENTED_EDGE('',*,*,#209980,.T.); #285963=ORIENTED_EDGE('',*,*,#209982,.F.); #285964=ORIENTED_EDGE('',*,*,#209983,.F.); #285965=ORIENTED_EDGE('',*,*,#209984,.T.); #285966=ORIENTED_EDGE('',*,*,#209983,.T.); #285967=ORIENTED_EDGE('',*,*,#209985,.F.); #285968=ORIENTED_EDGE('',*,*,#209986,.F.); #285969=ORIENTED_EDGE('',*,*,#209987,.T.); #285970=ORIENTED_EDGE('',*,*,#209986,.T.); #285971=ORIENTED_EDGE('',*,*,#209988,.F.); #285972=ORIENTED_EDGE('',*,*,#209989,.F.); #285973=ORIENTED_EDGE('',*,*,#209990,.T.); #285974=ORIENTED_EDGE('',*,*,#209989,.T.); #285975=ORIENTED_EDGE('',*,*,#209991,.F.); #285976=ORIENTED_EDGE('',*,*,#209992,.F.); #285977=ORIENTED_EDGE('',*,*,#209993,.T.); #285978=ORIENTED_EDGE('',*,*,#209992,.T.); #285979=ORIENTED_EDGE('',*,*,#209994,.F.); #285980=ORIENTED_EDGE('',*,*,#209995,.F.); #285981=ORIENTED_EDGE('',*,*,#209996,.T.); #285982=ORIENTED_EDGE('',*,*,#209995,.T.); #285983=ORIENTED_EDGE('',*,*,#209997,.F.); #285984=ORIENTED_EDGE('',*,*,#209998,.F.); #285985=ORIENTED_EDGE('',*,*,#209999,.T.); #285986=ORIENTED_EDGE('',*,*,#209998,.T.); #285987=ORIENTED_EDGE('',*,*,#210000,.F.); #285988=ORIENTED_EDGE('',*,*,#210001,.F.); #285989=ORIENTED_EDGE('',*,*,#210002,.T.); #285990=ORIENTED_EDGE('',*,*,#210001,.T.); #285991=ORIENTED_EDGE('',*,*,#210003,.F.); #285992=ORIENTED_EDGE('',*,*,#210004,.F.); #285993=ORIENTED_EDGE('',*,*,#210005,.T.); #285994=ORIENTED_EDGE('',*,*,#210004,.T.); #285995=ORIENTED_EDGE('',*,*,#210006,.F.); #285996=ORIENTED_EDGE('',*,*,#210007,.F.); #285997=ORIENTED_EDGE('',*,*,#210008,.T.); #285998=ORIENTED_EDGE('',*,*,#210007,.T.); #285999=ORIENTED_EDGE('',*,*,#210009,.F.); #286000=ORIENTED_EDGE('',*,*,#210010,.F.); #286001=ORIENTED_EDGE('',*,*,#210011,.T.); #286002=ORIENTED_EDGE('',*,*,#210010,.T.); #286003=ORIENTED_EDGE('',*,*,#210012,.F.); #286004=ORIENTED_EDGE('',*,*,#210013,.F.); #286005=ORIENTED_EDGE('',*,*,#210014,.T.); #286006=ORIENTED_EDGE('',*,*,#210013,.T.); #286007=ORIENTED_EDGE('',*,*,#210015,.F.); #286008=ORIENTED_EDGE('',*,*,#210016,.F.); #286009=ORIENTED_EDGE('',*,*,#210017,.T.); #286010=ORIENTED_EDGE('',*,*,#210016,.T.); #286011=ORIENTED_EDGE('',*,*,#210018,.F.); #286012=ORIENTED_EDGE('',*,*,#210019,.F.); #286013=ORIENTED_EDGE('',*,*,#210020,.T.); #286014=ORIENTED_EDGE('',*,*,#210019,.T.); #286015=ORIENTED_EDGE('',*,*,#210021,.F.); #286016=ORIENTED_EDGE('',*,*,#210022,.F.); #286017=ORIENTED_EDGE('',*,*,#210023,.T.); #286018=ORIENTED_EDGE('',*,*,#210022,.T.); #286019=ORIENTED_EDGE('',*,*,#210024,.F.); #286020=ORIENTED_EDGE('',*,*,#210025,.F.); #286021=ORIENTED_EDGE('',*,*,#210026,.T.); #286022=ORIENTED_EDGE('',*,*,#210025,.T.); #286023=ORIENTED_EDGE('',*,*,#210027,.F.); #286024=ORIENTED_EDGE('',*,*,#210028,.F.); #286025=ORIENTED_EDGE('',*,*,#210029,.T.); #286026=ORIENTED_EDGE('',*,*,#210028,.T.); #286027=ORIENTED_EDGE('',*,*,#210030,.F.); #286028=ORIENTED_EDGE('',*,*,#210031,.F.); #286029=ORIENTED_EDGE('',*,*,#210032,.T.); #286030=ORIENTED_EDGE('',*,*,#210031,.T.); #286031=ORIENTED_EDGE('',*,*,#210033,.F.); #286032=ORIENTED_EDGE('',*,*,#210034,.F.); #286033=ORIENTED_EDGE('',*,*,#210035,.T.); #286034=ORIENTED_EDGE('',*,*,#210034,.T.); #286035=ORIENTED_EDGE('',*,*,#210036,.F.); #286036=ORIENTED_EDGE('',*,*,#210037,.F.); #286037=ORIENTED_EDGE('',*,*,#210038,.T.); #286038=ORIENTED_EDGE('',*,*,#210037,.T.); #286039=ORIENTED_EDGE('',*,*,#210039,.F.); #286040=ORIENTED_EDGE('',*,*,#210040,.F.); #286041=ORIENTED_EDGE('',*,*,#210041,.T.); #286042=ORIENTED_EDGE('',*,*,#210040,.T.); #286043=ORIENTED_EDGE('',*,*,#210042,.F.); #286044=ORIENTED_EDGE('',*,*,#210043,.F.); #286045=ORIENTED_EDGE('',*,*,#210044,.T.); #286046=ORIENTED_EDGE('',*,*,#210043,.T.); #286047=ORIENTED_EDGE('',*,*,#210045,.F.); #286048=ORIENTED_EDGE('',*,*,#210046,.F.); #286049=ORIENTED_EDGE('',*,*,#210047,.T.); #286050=ORIENTED_EDGE('',*,*,#210046,.T.); #286051=ORIENTED_EDGE('',*,*,#210048,.F.); #286052=ORIENTED_EDGE('',*,*,#210049,.F.); #286053=ORIENTED_EDGE('',*,*,#210050,.T.); #286054=ORIENTED_EDGE('',*,*,#210049,.T.); #286055=ORIENTED_EDGE('',*,*,#210051,.F.); #286056=ORIENTED_EDGE('',*,*,#210052,.F.); #286057=ORIENTED_EDGE('',*,*,#210053,.T.); #286058=ORIENTED_EDGE('',*,*,#210052,.T.); #286059=ORIENTED_EDGE('',*,*,#210054,.F.); #286060=ORIENTED_EDGE('',*,*,#210055,.F.); #286061=ORIENTED_EDGE('',*,*,#210056,.T.); #286062=ORIENTED_EDGE('',*,*,#210055,.T.); #286063=ORIENTED_EDGE('',*,*,#210057,.F.); #286064=ORIENTED_EDGE('',*,*,#209966,.F.); #286065=ORIENTED_EDGE('',*,*,#210057,.T.); #286066=ORIENTED_EDGE('',*,*,#210054,.T.); #286067=ORIENTED_EDGE('',*,*,#210051,.T.); #286068=ORIENTED_EDGE('',*,*,#210048,.T.); #286069=ORIENTED_EDGE('',*,*,#210045,.T.); #286070=ORIENTED_EDGE('',*,*,#210042,.T.); #286071=ORIENTED_EDGE('',*,*,#210039,.T.); #286072=ORIENTED_EDGE('',*,*,#210036,.T.); #286073=ORIENTED_EDGE('',*,*,#210033,.T.); #286074=ORIENTED_EDGE('',*,*,#210030,.T.); #286075=ORIENTED_EDGE('',*,*,#210027,.T.); #286076=ORIENTED_EDGE('',*,*,#210024,.T.); #286077=ORIENTED_EDGE('',*,*,#210021,.T.); #286078=ORIENTED_EDGE('',*,*,#210018,.T.); #286079=ORIENTED_EDGE('',*,*,#210015,.T.); #286080=ORIENTED_EDGE('',*,*,#210012,.T.); #286081=ORIENTED_EDGE('',*,*,#210009,.T.); #286082=ORIENTED_EDGE('',*,*,#210006,.T.); #286083=ORIENTED_EDGE('',*,*,#210003,.T.); #286084=ORIENTED_EDGE('',*,*,#210000,.T.); #286085=ORIENTED_EDGE('',*,*,#209997,.T.); #286086=ORIENTED_EDGE('',*,*,#209994,.T.); #286087=ORIENTED_EDGE('',*,*,#209991,.T.); #286088=ORIENTED_EDGE('',*,*,#209988,.T.); #286089=ORIENTED_EDGE('',*,*,#209985,.T.); #286090=ORIENTED_EDGE('',*,*,#209982,.T.); #286091=ORIENTED_EDGE('',*,*,#209979,.T.); #286092=ORIENTED_EDGE('',*,*,#209976,.T.); #286093=ORIENTED_EDGE('',*,*,#209973,.T.); #286094=ORIENTED_EDGE('',*,*,#209970,.T.); #286095=ORIENTED_EDGE('',*,*,#209967,.T.); #286096=ORIENTED_EDGE('',*,*,#210056,.F.); #286097=ORIENTED_EDGE('',*,*,#209965,.F.); #286098=ORIENTED_EDGE('',*,*,#209969,.F.); #286099=ORIENTED_EDGE('',*,*,#209972,.F.); #286100=ORIENTED_EDGE('',*,*,#209975,.F.); #286101=ORIENTED_EDGE('',*,*,#209978,.F.); #286102=ORIENTED_EDGE('',*,*,#209981,.F.); #286103=ORIENTED_EDGE('',*,*,#209984,.F.); #286104=ORIENTED_EDGE('',*,*,#209987,.F.); #286105=ORIENTED_EDGE('',*,*,#209990,.F.); #286106=ORIENTED_EDGE('',*,*,#209993,.F.); #286107=ORIENTED_EDGE('',*,*,#209996,.F.); #286108=ORIENTED_EDGE('',*,*,#209999,.F.); #286109=ORIENTED_EDGE('',*,*,#210002,.F.); #286110=ORIENTED_EDGE('',*,*,#210005,.F.); #286111=ORIENTED_EDGE('',*,*,#210008,.F.); #286112=ORIENTED_EDGE('',*,*,#210011,.F.); #286113=ORIENTED_EDGE('',*,*,#210014,.F.); #286114=ORIENTED_EDGE('',*,*,#210017,.F.); #286115=ORIENTED_EDGE('',*,*,#210020,.F.); #286116=ORIENTED_EDGE('',*,*,#210023,.F.); #286117=ORIENTED_EDGE('',*,*,#210026,.F.); #286118=ORIENTED_EDGE('',*,*,#210029,.F.); #286119=ORIENTED_EDGE('',*,*,#210032,.F.); #286120=ORIENTED_EDGE('',*,*,#210035,.F.); #286121=ORIENTED_EDGE('',*,*,#210038,.F.); #286122=ORIENTED_EDGE('',*,*,#210041,.F.); #286123=ORIENTED_EDGE('',*,*,#210044,.F.); #286124=ORIENTED_EDGE('',*,*,#210047,.F.); #286125=ORIENTED_EDGE('',*,*,#210050,.F.); #286126=ORIENTED_EDGE('',*,*,#210053,.F.); #286127=ORIENTED_EDGE('',*,*,#210058,.T.); #286128=ORIENTED_EDGE('',*,*,#210059,.T.); #286129=ORIENTED_EDGE('',*,*,#210060,.F.); #286130=ORIENTED_EDGE('',*,*,#210061,.F.); #286131=ORIENTED_EDGE('',*,*,#210062,.T.); #286132=ORIENTED_EDGE('',*,*,#210061,.T.); #286133=ORIENTED_EDGE('',*,*,#210063,.F.); #286134=ORIENTED_EDGE('',*,*,#210064,.F.); #286135=ORIENTED_EDGE('',*,*,#210065,.T.); #286136=ORIENTED_EDGE('',*,*,#210064,.T.); #286137=ORIENTED_EDGE('',*,*,#210066,.F.); #286138=ORIENTED_EDGE('',*,*,#210067,.F.); #286139=ORIENTED_EDGE('',*,*,#210068,.T.); #286140=ORIENTED_EDGE('',*,*,#210067,.T.); #286141=ORIENTED_EDGE('',*,*,#210069,.F.); #286142=ORIENTED_EDGE('',*,*,#210070,.F.); #286143=ORIENTED_EDGE('',*,*,#210071,.T.); #286144=ORIENTED_EDGE('',*,*,#210070,.T.); #286145=ORIENTED_EDGE('',*,*,#210072,.F.); #286146=ORIENTED_EDGE('',*,*,#210073,.F.); #286147=ORIENTED_EDGE('',*,*,#210074,.T.); #286148=ORIENTED_EDGE('',*,*,#210073,.T.); #286149=ORIENTED_EDGE('',*,*,#210075,.F.); #286150=ORIENTED_EDGE('',*,*,#210076,.F.); #286151=ORIENTED_EDGE('',*,*,#210077,.T.); #286152=ORIENTED_EDGE('',*,*,#210076,.T.); #286153=ORIENTED_EDGE('',*,*,#210078,.F.); #286154=ORIENTED_EDGE('',*,*,#210079,.F.); #286155=ORIENTED_EDGE('',*,*,#210080,.T.); #286156=ORIENTED_EDGE('',*,*,#210079,.T.); #286157=ORIENTED_EDGE('',*,*,#210081,.F.); #286158=ORIENTED_EDGE('',*,*,#210082,.F.); #286159=ORIENTED_EDGE('',*,*,#210083,.T.); #286160=ORIENTED_EDGE('',*,*,#210082,.T.); #286161=ORIENTED_EDGE('',*,*,#210084,.F.); #286162=ORIENTED_EDGE('',*,*,#210085,.F.); #286163=ORIENTED_EDGE('',*,*,#210086,.T.); #286164=ORIENTED_EDGE('',*,*,#210085,.T.); #286165=ORIENTED_EDGE('',*,*,#210087,.F.); #286166=ORIENTED_EDGE('',*,*,#210088,.F.); #286167=ORIENTED_EDGE('',*,*,#210089,.T.); #286168=ORIENTED_EDGE('',*,*,#210088,.T.); #286169=ORIENTED_EDGE('',*,*,#210090,.F.); #286170=ORIENTED_EDGE('',*,*,#210091,.F.); #286171=ORIENTED_EDGE('',*,*,#210092,.T.); #286172=ORIENTED_EDGE('',*,*,#210091,.T.); #286173=ORIENTED_EDGE('',*,*,#210093,.F.); #286174=ORIENTED_EDGE('',*,*,#210094,.F.); #286175=ORIENTED_EDGE('',*,*,#210095,.T.); #286176=ORIENTED_EDGE('',*,*,#210094,.T.); #286177=ORIENTED_EDGE('',*,*,#210096,.F.); #286178=ORIENTED_EDGE('',*,*,#210097,.F.); #286179=ORIENTED_EDGE('',*,*,#210098,.T.); #286180=ORIENTED_EDGE('',*,*,#210097,.T.); #286181=ORIENTED_EDGE('',*,*,#210099,.F.); #286182=ORIENTED_EDGE('',*,*,#210100,.F.); #286183=ORIENTED_EDGE('',*,*,#210101,.T.); #286184=ORIENTED_EDGE('',*,*,#210100,.T.); #286185=ORIENTED_EDGE('',*,*,#210102,.F.); #286186=ORIENTED_EDGE('',*,*,#210103,.F.); #286187=ORIENTED_EDGE('',*,*,#210104,.T.); #286188=ORIENTED_EDGE('',*,*,#210103,.T.); #286189=ORIENTED_EDGE('',*,*,#210105,.F.); #286190=ORIENTED_EDGE('',*,*,#210106,.F.); #286191=ORIENTED_EDGE('',*,*,#210107,.T.); #286192=ORIENTED_EDGE('',*,*,#210106,.T.); #286193=ORIENTED_EDGE('',*,*,#210108,.F.); #286194=ORIENTED_EDGE('',*,*,#210109,.F.); #286195=ORIENTED_EDGE('',*,*,#210110,.T.); #286196=ORIENTED_EDGE('',*,*,#210109,.T.); #286197=ORIENTED_EDGE('',*,*,#210111,.F.); #286198=ORIENTED_EDGE('',*,*,#210112,.F.); #286199=ORIENTED_EDGE('',*,*,#210113,.T.); #286200=ORIENTED_EDGE('',*,*,#210112,.T.); #286201=ORIENTED_EDGE('',*,*,#210114,.F.); #286202=ORIENTED_EDGE('',*,*,#210115,.F.); #286203=ORIENTED_EDGE('',*,*,#210116,.T.); #286204=ORIENTED_EDGE('',*,*,#210115,.T.); #286205=ORIENTED_EDGE('',*,*,#210117,.F.); #286206=ORIENTED_EDGE('',*,*,#210118,.F.); #286207=ORIENTED_EDGE('',*,*,#210119,.T.); #286208=ORIENTED_EDGE('',*,*,#210118,.T.); #286209=ORIENTED_EDGE('',*,*,#210120,.F.); #286210=ORIENTED_EDGE('',*,*,#210121,.F.); #286211=ORIENTED_EDGE('',*,*,#210122,.T.); #286212=ORIENTED_EDGE('',*,*,#210121,.T.); #286213=ORIENTED_EDGE('',*,*,#210123,.F.); #286214=ORIENTED_EDGE('',*,*,#210059,.F.); #286215=ORIENTED_EDGE('',*,*,#210123,.T.); #286216=ORIENTED_EDGE('',*,*,#210120,.T.); #286217=ORIENTED_EDGE('',*,*,#210117,.T.); #286218=ORIENTED_EDGE('',*,*,#210114,.T.); #286219=ORIENTED_EDGE('',*,*,#210111,.T.); #286220=ORIENTED_EDGE('',*,*,#210108,.T.); #286221=ORIENTED_EDGE('',*,*,#210105,.T.); #286222=ORIENTED_EDGE('',*,*,#210102,.T.); #286223=ORIENTED_EDGE('',*,*,#210099,.T.); #286224=ORIENTED_EDGE('',*,*,#210096,.T.); #286225=ORIENTED_EDGE('',*,*,#210093,.T.); #286226=ORIENTED_EDGE('',*,*,#210090,.T.); #286227=ORIENTED_EDGE('',*,*,#210087,.T.); #286228=ORIENTED_EDGE('',*,*,#210084,.T.); #286229=ORIENTED_EDGE('',*,*,#210081,.T.); #286230=ORIENTED_EDGE('',*,*,#210078,.T.); #286231=ORIENTED_EDGE('',*,*,#210075,.T.); #286232=ORIENTED_EDGE('',*,*,#210072,.T.); #286233=ORIENTED_EDGE('',*,*,#210069,.T.); #286234=ORIENTED_EDGE('',*,*,#210066,.T.); #286235=ORIENTED_EDGE('',*,*,#210063,.T.); #286236=ORIENTED_EDGE('',*,*,#210060,.T.); #286237=ORIENTED_EDGE('',*,*,#210122,.F.); #286238=ORIENTED_EDGE('',*,*,#210058,.F.); #286239=ORIENTED_EDGE('',*,*,#210062,.F.); #286240=ORIENTED_EDGE('',*,*,#210065,.F.); #286241=ORIENTED_EDGE('',*,*,#210068,.F.); #286242=ORIENTED_EDGE('',*,*,#210071,.F.); #286243=ORIENTED_EDGE('',*,*,#210074,.F.); #286244=ORIENTED_EDGE('',*,*,#210077,.F.); #286245=ORIENTED_EDGE('',*,*,#210080,.F.); #286246=ORIENTED_EDGE('',*,*,#210083,.F.); #286247=ORIENTED_EDGE('',*,*,#210086,.F.); #286248=ORIENTED_EDGE('',*,*,#210089,.F.); #286249=ORIENTED_EDGE('',*,*,#210092,.F.); #286250=ORIENTED_EDGE('',*,*,#210095,.F.); #286251=ORIENTED_EDGE('',*,*,#210098,.F.); #286252=ORIENTED_EDGE('',*,*,#210101,.F.); #286253=ORIENTED_EDGE('',*,*,#210104,.F.); #286254=ORIENTED_EDGE('',*,*,#210107,.F.); #286255=ORIENTED_EDGE('',*,*,#210110,.F.); #286256=ORIENTED_EDGE('',*,*,#210113,.F.); #286257=ORIENTED_EDGE('',*,*,#210116,.F.); #286258=ORIENTED_EDGE('',*,*,#210119,.F.); #286259=ORIENTED_EDGE('',*,*,#210124,.T.); #286260=ORIENTED_EDGE('',*,*,#210125,.T.); #286261=ORIENTED_EDGE('',*,*,#210126,.F.); #286262=ORIENTED_EDGE('',*,*,#210127,.F.); #286263=ORIENTED_EDGE('',*,*,#210128,.T.); #286264=ORIENTED_EDGE('',*,*,#210127,.T.); #286265=ORIENTED_EDGE('',*,*,#210129,.F.); #286266=ORIENTED_EDGE('',*,*,#210130,.F.); #286267=ORIENTED_EDGE('',*,*,#210131,.T.); #286268=ORIENTED_EDGE('',*,*,#210130,.T.); #286269=ORIENTED_EDGE('',*,*,#210132,.F.); #286270=ORIENTED_EDGE('',*,*,#210133,.F.); #286271=ORIENTED_EDGE('',*,*,#210134,.T.); #286272=ORIENTED_EDGE('',*,*,#210133,.T.); #286273=ORIENTED_EDGE('',*,*,#210135,.F.); #286274=ORIENTED_EDGE('',*,*,#210136,.F.); #286275=ORIENTED_EDGE('',*,*,#210137,.T.); #286276=ORIENTED_EDGE('',*,*,#210136,.T.); #286277=ORIENTED_EDGE('',*,*,#210138,.F.); #286278=ORIENTED_EDGE('',*,*,#210139,.F.); #286279=ORIENTED_EDGE('',*,*,#210140,.T.); #286280=ORIENTED_EDGE('',*,*,#210139,.T.); #286281=ORIENTED_EDGE('',*,*,#210141,.F.); #286282=ORIENTED_EDGE('',*,*,#210142,.F.); #286283=ORIENTED_EDGE('',*,*,#210143,.T.); #286284=ORIENTED_EDGE('',*,*,#210142,.T.); #286285=ORIENTED_EDGE('',*,*,#210144,.F.); #286286=ORIENTED_EDGE('',*,*,#210145,.F.); #286287=ORIENTED_EDGE('',*,*,#210146,.T.); #286288=ORIENTED_EDGE('',*,*,#210145,.T.); #286289=ORIENTED_EDGE('',*,*,#210147,.F.); #286290=ORIENTED_EDGE('',*,*,#210148,.F.); #286291=ORIENTED_EDGE('',*,*,#210149,.T.); #286292=ORIENTED_EDGE('',*,*,#210148,.T.); #286293=ORIENTED_EDGE('',*,*,#210150,.F.); #286294=ORIENTED_EDGE('',*,*,#210151,.F.); #286295=ORIENTED_EDGE('',*,*,#210152,.T.); #286296=ORIENTED_EDGE('',*,*,#210151,.T.); #286297=ORIENTED_EDGE('',*,*,#210153,.F.); #286298=ORIENTED_EDGE('',*,*,#210154,.F.); #286299=ORIENTED_EDGE('',*,*,#210155,.T.); #286300=ORIENTED_EDGE('',*,*,#210154,.T.); #286301=ORIENTED_EDGE('',*,*,#210156,.F.); #286302=ORIENTED_EDGE('',*,*,#210157,.F.); #286303=ORIENTED_EDGE('',*,*,#210158,.T.); #286304=ORIENTED_EDGE('',*,*,#210157,.T.); #286305=ORIENTED_EDGE('',*,*,#210159,.F.); #286306=ORIENTED_EDGE('',*,*,#210160,.F.); #286307=ORIENTED_EDGE('',*,*,#210161,.T.); #286308=ORIENTED_EDGE('',*,*,#210160,.T.); #286309=ORIENTED_EDGE('',*,*,#210162,.F.); #286310=ORIENTED_EDGE('',*,*,#210163,.F.); #286311=ORIENTED_EDGE('',*,*,#210164,.T.); #286312=ORIENTED_EDGE('',*,*,#210163,.T.); #286313=ORIENTED_EDGE('',*,*,#210165,.F.); #286314=ORIENTED_EDGE('',*,*,#210166,.F.); #286315=ORIENTED_EDGE('',*,*,#210167,.T.); #286316=ORIENTED_EDGE('',*,*,#210166,.T.); #286317=ORIENTED_EDGE('',*,*,#210168,.F.); #286318=ORIENTED_EDGE('',*,*,#210169,.F.); #286319=ORIENTED_EDGE('',*,*,#210170,.T.); #286320=ORIENTED_EDGE('',*,*,#210169,.T.); #286321=ORIENTED_EDGE('',*,*,#210171,.F.); #286322=ORIENTED_EDGE('',*,*,#210172,.F.); #286323=ORIENTED_EDGE('',*,*,#210173,.T.); #286324=ORIENTED_EDGE('',*,*,#210172,.T.); #286325=ORIENTED_EDGE('',*,*,#210174,.F.); #286326=ORIENTED_EDGE('',*,*,#210175,.F.); #286327=ORIENTED_EDGE('',*,*,#210176,.T.); #286328=ORIENTED_EDGE('',*,*,#210175,.T.); #286329=ORIENTED_EDGE('',*,*,#210177,.F.); #286330=ORIENTED_EDGE('',*,*,#210178,.F.); #286331=ORIENTED_EDGE('',*,*,#210179,.T.); #286332=ORIENTED_EDGE('',*,*,#210178,.T.); #286333=ORIENTED_EDGE('',*,*,#210180,.F.); #286334=ORIENTED_EDGE('',*,*,#210181,.F.); #286335=ORIENTED_EDGE('',*,*,#210182,.T.); #286336=ORIENTED_EDGE('',*,*,#210181,.T.); #286337=ORIENTED_EDGE('',*,*,#210183,.F.); #286338=ORIENTED_EDGE('',*,*,#210125,.F.); #286339=ORIENTED_EDGE('',*,*,#210183,.T.); #286340=ORIENTED_EDGE('',*,*,#210180,.T.); #286341=ORIENTED_EDGE('',*,*,#210177,.T.); #286342=ORIENTED_EDGE('',*,*,#210174,.T.); #286343=ORIENTED_EDGE('',*,*,#210171,.T.); #286344=ORIENTED_EDGE('',*,*,#210168,.T.); #286345=ORIENTED_EDGE('',*,*,#210165,.T.); #286346=ORIENTED_EDGE('',*,*,#210162,.T.); #286347=ORIENTED_EDGE('',*,*,#210159,.T.); #286348=ORIENTED_EDGE('',*,*,#210156,.T.); #286349=ORIENTED_EDGE('',*,*,#210153,.T.); #286350=ORIENTED_EDGE('',*,*,#210150,.T.); #286351=ORIENTED_EDGE('',*,*,#210147,.T.); #286352=ORIENTED_EDGE('',*,*,#210144,.T.); #286353=ORIENTED_EDGE('',*,*,#210141,.T.); #286354=ORIENTED_EDGE('',*,*,#210138,.T.); #286355=ORIENTED_EDGE('',*,*,#210135,.T.); #286356=ORIENTED_EDGE('',*,*,#210132,.T.); #286357=ORIENTED_EDGE('',*,*,#210129,.T.); #286358=ORIENTED_EDGE('',*,*,#210126,.T.); #286359=ORIENTED_EDGE('',*,*,#210182,.F.); #286360=ORIENTED_EDGE('',*,*,#210124,.F.); #286361=ORIENTED_EDGE('',*,*,#210128,.F.); #286362=ORIENTED_EDGE('',*,*,#210131,.F.); #286363=ORIENTED_EDGE('',*,*,#210134,.F.); #286364=ORIENTED_EDGE('',*,*,#210137,.F.); #286365=ORIENTED_EDGE('',*,*,#210140,.F.); #286366=ORIENTED_EDGE('',*,*,#210143,.F.); #286367=ORIENTED_EDGE('',*,*,#210146,.F.); #286368=ORIENTED_EDGE('',*,*,#210149,.F.); #286369=ORIENTED_EDGE('',*,*,#210152,.F.); #286370=ORIENTED_EDGE('',*,*,#210155,.F.); #286371=ORIENTED_EDGE('',*,*,#210158,.F.); #286372=ORIENTED_EDGE('',*,*,#210161,.F.); #286373=ORIENTED_EDGE('',*,*,#210164,.F.); #286374=ORIENTED_EDGE('',*,*,#210167,.F.); #286375=ORIENTED_EDGE('',*,*,#210170,.F.); #286376=ORIENTED_EDGE('',*,*,#210173,.F.); #286377=ORIENTED_EDGE('',*,*,#210176,.F.); #286378=ORIENTED_EDGE('',*,*,#210179,.F.); #286379=ORIENTED_EDGE('',*,*,#210184,.F.); #286380=ORIENTED_EDGE('',*,*,#210185,.T.); #286381=ORIENTED_EDGE('',*,*,#210186,.F.); #286382=ORIENTED_EDGE('',*,*,#210185,.F.); #286383=ORIENTED_EDGE('',*,*,#210187,.F.); #286384=ORIENTED_EDGE('',*,*,#210188,.T.); #286385=ORIENTED_EDGE('',*,*,#210189,.F.); #286386=ORIENTED_EDGE('',*,*,#210188,.F.); #286387=ORIENTED_EDGE('',*,*,#210190,.F.); #286388=ORIENTED_EDGE('',*,*,#210191,.T.); #286389=ORIENTED_EDGE('',*,*,#210192,.F.); #286390=ORIENTED_EDGE('',*,*,#210191,.F.); #286391=ORIENTED_EDGE('',*,*,#210193,.F.); #286392=ORIENTED_EDGE('',*,*,#210194,.T.); #286393=ORIENTED_EDGE('',*,*,#210195,.F.); #286394=ORIENTED_EDGE('',*,*,#210194,.F.); #286395=ORIENTED_EDGE('',*,*,#210196,.F.); #286396=ORIENTED_EDGE('',*,*,#210197,.T.); #286397=ORIENTED_EDGE('',*,*,#210198,.F.); #286398=ORIENTED_EDGE('',*,*,#210197,.F.); #286399=ORIENTED_EDGE('',*,*,#210199,.F.); #286400=ORIENTED_EDGE('',*,*,#210200,.T.); #286401=ORIENTED_EDGE('',*,*,#210201,.F.); #286402=ORIENTED_EDGE('',*,*,#210200,.F.); #286403=ORIENTED_EDGE('',*,*,#210202,.F.); #286404=ORIENTED_EDGE('',*,*,#210203,.T.); #286405=ORIENTED_EDGE('',*,*,#210204,.F.); #286406=ORIENTED_EDGE('',*,*,#210203,.F.); #286407=ORIENTED_EDGE('',*,*,#210205,.F.); #286408=ORIENTED_EDGE('',*,*,#210206,.T.); #286409=ORIENTED_EDGE('',*,*,#210207,.F.); #286410=ORIENTED_EDGE('',*,*,#210206,.F.); #286411=ORIENTED_EDGE('',*,*,#210208,.F.); #286412=ORIENTED_EDGE('',*,*,#210209,.T.); #286413=ORIENTED_EDGE('',*,*,#210210,.F.); #286414=ORIENTED_EDGE('',*,*,#210209,.F.); #286415=ORIENTED_EDGE('',*,*,#210211,.F.); #286416=ORIENTED_EDGE('',*,*,#210212,.T.); #286417=ORIENTED_EDGE('',*,*,#210213,.F.); #286418=ORIENTED_EDGE('',*,*,#210212,.F.); #286419=ORIENTED_EDGE('',*,*,#210214,.F.); #286420=ORIENTED_EDGE('',*,*,#210215,.T.); #286421=ORIENTED_EDGE('',*,*,#210216,.F.); #286422=ORIENTED_EDGE('',*,*,#210215,.F.); #286423=ORIENTED_EDGE('',*,*,#210217,.F.); #286424=ORIENTED_EDGE('',*,*,#210218,.T.); #286425=ORIENTED_EDGE('',*,*,#210219,.F.); #286426=ORIENTED_EDGE('',*,*,#210218,.F.); #286427=ORIENTED_EDGE('',*,*,#210220,.F.); #286428=ORIENTED_EDGE('',*,*,#210221,.T.); #286429=ORIENTED_EDGE('',*,*,#210222,.F.); #286430=ORIENTED_EDGE('',*,*,#210221,.F.); #286431=ORIENTED_EDGE('',*,*,#210223,.F.); #286432=ORIENTED_EDGE('',*,*,#210224,.T.); #286433=ORIENTED_EDGE('',*,*,#210225,.F.); #286434=ORIENTED_EDGE('',*,*,#210224,.F.); #286435=ORIENTED_EDGE('',*,*,#210226,.F.); #286436=ORIENTED_EDGE('',*,*,#210227,.T.); #286437=ORIENTED_EDGE('',*,*,#210228,.F.); #286438=ORIENTED_EDGE('',*,*,#210227,.F.); #286439=ORIENTED_EDGE('',*,*,#210229,.F.); #286440=ORIENTED_EDGE('',*,*,#210230,.T.); #286441=ORIENTED_EDGE('',*,*,#210231,.F.); #286442=ORIENTED_EDGE('',*,*,#210230,.F.); #286443=ORIENTED_EDGE('',*,*,#210232,.F.); #286444=ORIENTED_EDGE('',*,*,#210233,.T.); #286445=ORIENTED_EDGE('',*,*,#210234,.F.); #286446=ORIENTED_EDGE('',*,*,#210233,.F.); #286447=ORIENTED_EDGE('',*,*,#210235,.F.); #286448=ORIENTED_EDGE('',*,*,#210236,.T.); #286449=ORIENTED_EDGE('',*,*,#210237,.F.); #286450=ORIENTED_EDGE('',*,*,#210236,.F.); #286451=ORIENTED_EDGE('',*,*,#210238,.F.); #286452=ORIENTED_EDGE('',*,*,#210239,.T.); #286453=ORIENTED_EDGE('',*,*,#210240,.F.); #286454=ORIENTED_EDGE('',*,*,#210239,.F.); #286455=ORIENTED_EDGE('',*,*,#210241,.F.); #286456=ORIENTED_EDGE('',*,*,#210242,.T.); #286457=ORIENTED_EDGE('',*,*,#210243,.F.); #286458=ORIENTED_EDGE('',*,*,#210242,.F.); #286459=ORIENTED_EDGE('',*,*,#210244,.F.); #286460=ORIENTED_EDGE('',*,*,#210245,.T.); #286461=ORIENTED_EDGE('',*,*,#210246,.F.); #286462=ORIENTED_EDGE('',*,*,#210245,.F.); #286463=ORIENTED_EDGE('',*,*,#210247,.F.); #286464=ORIENTED_EDGE('',*,*,#210248,.T.); #286465=ORIENTED_EDGE('',*,*,#210249,.F.); #286466=ORIENTED_EDGE('',*,*,#210248,.F.); #286467=ORIENTED_EDGE('',*,*,#210250,.F.); #286468=ORIENTED_EDGE('',*,*,#210251,.T.); #286469=ORIENTED_EDGE('',*,*,#210252,.F.); #286470=ORIENTED_EDGE('',*,*,#210251,.F.); #286471=ORIENTED_EDGE('',*,*,#210253,.F.); #286472=ORIENTED_EDGE('',*,*,#210254,.T.); #286473=ORIENTED_EDGE('',*,*,#210255,.F.); #286474=ORIENTED_EDGE('',*,*,#210254,.F.); #286475=ORIENTED_EDGE('',*,*,#210256,.F.); #286476=ORIENTED_EDGE('',*,*,#210257,.T.); #286477=ORIENTED_EDGE('',*,*,#210258,.F.); #286478=ORIENTED_EDGE('',*,*,#210257,.F.); #286479=ORIENTED_EDGE('',*,*,#210259,.F.); #286480=ORIENTED_EDGE('',*,*,#210260,.T.); #286481=ORIENTED_EDGE('',*,*,#210261,.F.); #286482=ORIENTED_EDGE('',*,*,#210260,.F.); #286483=ORIENTED_EDGE('',*,*,#210262,.F.); #286484=ORIENTED_EDGE('',*,*,#210263,.T.); #286485=ORIENTED_EDGE('',*,*,#210264,.F.); #286486=ORIENTED_EDGE('',*,*,#210263,.F.); #286487=ORIENTED_EDGE('',*,*,#210265,.F.); #286488=ORIENTED_EDGE('',*,*,#210266,.T.); #286489=ORIENTED_EDGE('',*,*,#210267,.F.); #286490=ORIENTED_EDGE('',*,*,#210266,.F.); #286491=ORIENTED_EDGE('',*,*,#210268,.F.); #286492=ORIENTED_EDGE('',*,*,#210269,.T.); #286493=ORIENTED_EDGE('',*,*,#210270,.F.); #286494=ORIENTED_EDGE('',*,*,#210269,.F.); #286495=ORIENTED_EDGE('',*,*,#210271,.F.); #286496=ORIENTED_EDGE('',*,*,#210272,.T.); #286497=ORIENTED_EDGE('',*,*,#210273,.F.); #286498=ORIENTED_EDGE('',*,*,#210272,.F.); #286499=ORIENTED_EDGE('',*,*,#210274,.F.); #286500=ORIENTED_EDGE('',*,*,#210275,.T.); #286501=ORIENTED_EDGE('',*,*,#210276,.F.); #286502=ORIENTED_EDGE('',*,*,#210275,.F.); #286503=ORIENTED_EDGE('',*,*,#210277,.F.); #286504=ORIENTED_EDGE('',*,*,#210278,.T.); #286505=ORIENTED_EDGE('',*,*,#210279,.F.); #286506=ORIENTED_EDGE('',*,*,#210278,.F.); #286507=ORIENTED_EDGE('',*,*,#210280,.F.); #286508=ORIENTED_EDGE('',*,*,#210281,.T.); #286509=ORIENTED_EDGE('',*,*,#210282,.F.); #286510=ORIENTED_EDGE('',*,*,#210281,.F.); #286511=ORIENTED_EDGE('',*,*,#210283,.F.); #286512=ORIENTED_EDGE('',*,*,#210284,.T.); #286513=ORIENTED_EDGE('',*,*,#210285,.F.); #286514=ORIENTED_EDGE('',*,*,#210284,.F.); #286515=ORIENTED_EDGE('',*,*,#210286,.F.); #286516=ORIENTED_EDGE('',*,*,#210287,.T.); #286517=ORIENTED_EDGE('',*,*,#210288,.F.); #286518=ORIENTED_EDGE('',*,*,#210287,.F.); #286519=ORIENTED_EDGE('',*,*,#210289,.F.); #286520=ORIENTED_EDGE('',*,*,#210290,.T.); #286521=ORIENTED_EDGE('',*,*,#210291,.F.); #286522=ORIENTED_EDGE('',*,*,#210290,.F.); #286523=ORIENTED_EDGE('',*,*,#210292,.F.); #286524=ORIENTED_EDGE('',*,*,#210293,.T.); #286525=ORIENTED_EDGE('',*,*,#210294,.F.); #286526=ORIENTED_EDGE('',*,*,#210293,.F.); #286527=ORIENTED_EDGE('',*,*,#210295,.F.); #286528=ORIENTED_EDGE('',*,*,#210296,.T.); #286529=ORIENTED_EDGE('',*,*,#210297,.F.); #286530=ORIENTED_EDGE('',*,*,#210296,.F.); #286531=ORIENTED_EDGE('',*,*,#210298,.F.); #286532=ORIENTED_EDGE('',*,*,#210299,.T.); #286533=ORIENTED_EDGE('',*,*,#210300,.F.); #286534=ORIENTED_EDGE('',*,*,#210299,.F.); #286535=ORIENTED_EDGE('',*,*,#210301,.F.); #286536=ORIENTED_EDGE('',*,*,#210302,.T.); #286537=ORIENTED_EDGE('',*,*,#210303,.F.); #286538=ORIENTED_EDGE('',*,*,#210302,.F.); #286539=ORIENTED_EDGE('',*,*,#210304,.F.); #286540=ORIENTED_EDGE('',*,*,#210305,.T.); #286541=ORIENTED_EDGE('',*,*,#210306,.F.); #286542=ORIENTED_EDGE('',*,*,#210305,.F.); #286543=ORIENTED_EDGE('',*,*,#210307,.F.); #286544=ORIENTED_EDGE('',*,*,#210308,.T.); #286545=ORIENTED_EDGE('',*,*,#210309,.F.); #286546=ORIENTED_EDGE('',*,*,#210308,.F.); #286547=ORIENTED_EDGE('',*,*,#210310,.F.); #286548=ORIENTED_EDGE('',*,*,#210311,.T.); #286549=ORIENTED_EDGE('',*,*,#210312,.F.); #286550=ORIENTED_EDGE('',*,*,#210311,.F.); #286551=ORIENTED_EDGE('',*,*,#210313,.F.); #286552=ORIENTED_EDGE('',*,*,#210314,.T.); #286553=ORIENTED_EDGE('',*,*,#210315,.F.); #286554=ORIENTED_EDGE('',*,*,#210314,.F.); #286555=ORIENTED_EDGE('',*,*,#210316,.F.); #286556=ORIENTED_EDGE('',*,*,#210317,.T.); #286557=ORIENTED_EDGE('',*,*,#210318,.F.); #286558=ORIENTED_EDGE('',*,*,#210317,.F.); #286559=ORIENTED_EDGE('',*,*,#210319,.F.); #286560=ORIENTED_EDGE('',*,*,#210320,.T.); #286561=ORIENTED_EDGE('',*,*,#210321,.F.); #286562=ORIENTED_EDGE('',*,*,#210320,.F.); #286563=ORIENTED_EDGE('',*,*,#210322,.F.); #286564=ORIENTED_EDGE('',*,*,#210323,.T.); #286565=ORIENTED_EDGE('',*,*,#210324,.F.); #286566=ORIENTED_EDGE('',*,*,#210323,.F.); #286567=ORIENTED_EDGE('',*,*,#210325,.F.); #286568=ORIENTED_EDGE('',*,*,#210326,.T.); #286569=ORIENTED_EDGE('',*,*,#210327,.F.); #286570=ORIENTED_EDGE('',*,*,#210326,.F.); #286571=ORIENTED_EDGE('',*,*,#210328,.F.); #286572=ORIENTED_EDGE('',*,*,#210329,.T.); #286573=ORIENTED_EDGE('',*,*,#210330,.F.); #286574=ORIENTED_EDGE('',*,*,#210329,.F.); #286575=ORIENTED_EDGE('',*,*,#210331,.F.); #286576=ORIENTED_EDGE('',*,*,#210332,.T.); #286577=ORIENTED_EDGE('',*,*,#210333,.F.); #286578=ORIENTED_EDGE('',*,*,#210332,.F.); #286579=ORIENTED_EDGE('',*,*,#210334,.F.); #286580=ORIENTED_EDGE('',*,*,#210335,.T.); #286581=ORIENTED_EDGE('',*,*,#210336,.F.); #286582=ORIENTED_EDGE('',*,*,#210335,.F.); #286583=ORIENTED_EDGE('',*,*,#210337,.F.); #286584=ORIENTED_EDGE('',*,*,#210338,.T.); #286585=ORIENTED_EDGE('',*,*,#210339,.F.); #286586=ORIENTED_EDGE('',*,*,#210338,.F.); #286587=ORIENTED_EDGE('',*,*,#210340,.F.); #286588=ORIENTED_EDGE('',*,*,#210341,.T.); #286589=ORIENTED_EDGE('',*,*,#210342,.F.); #286590=ORIENTED_EDGE('',*,*,#210341,.F.); #286591=ORIENTED_EDGE('',*,*,#210343,.F.); #286592=ORIENTED_EDGE('',*,*,#210344,.T.); #286593=ORIENTED_EDGE('',*,*,#210345,.F.); #286594=ORIENTED_EDGE('',*,*,#210344,.F.); #286595=ORIENTED_EDGE('',*,*,#210346,.F.); #286596=ORIENTED_EDGE('',*,*,#210347,.T.); #286597=ORIENTED_EDGE('',*,*,#210348,.F.); #286598=ORIENTED_EDGE('',*,*,#210347,.F.); #286599=ORIENTED_EDGE('',*,*,#210349,.F.); #286600=ORIENTED_EDGE('',*,*,#210350,.T.); #286601=ORIENTED_EDGE('',*,*,#210351,.F.); #286602=ORIENTED_EDGE('',*,*,#210350,.F.); #286603=ORIENTED_EDGE('',*,*,#210352,.F.); #286604=ORIENTED_EDGE('',*,*,#210353,.T.); #286605=ORIENTED_EDGE('',*,*,#210354,.F.); #286606=ORIENTED_EDGE('',*,*,#210353,.F.); #286607=ORIENTED_EDGE('',*,*,#210355,.F.); #286608=ORIENTED_EDGE('',*,*,#210356,.T.); #286609=ORIENTED_EDGE('',*,*,#210357,.F.); #286610=ORIENTED_EDGE('',*,*,#210356,.F.); #286611=ORIENTED_EDGE('',*,*,#210358,.F.); #286612=ORIENTED_EDGE('',*,*,#210359,.T.); #286613=ORIENTED_EDGE('',*,*,#210360,.F.); #286614=ORIENTED_EDGE('',*,*,#210359,.F.); #286615=ORIENTED_EDGE('',*,*,#210361,.F.); #286616=ORIENTED_EDGE('',*,*,#210362,.T.); #286617=ORIENTED_EDGE('',*,*,#210363,.F.); #286618=ORIENTED_EDGE('',*,*,#210362,.F.); #286619=ORIENTED_EDGE('',*,*,#210364,.F.); #286620=ORIENTED_EDGE('',*,*,#210365,.T.); #286621=ORIENTED_EDGE('',*,*,#210366,.F.); #286622=ORIENTED_EDGE('',*,*,#210365,.F.); #286623=ORIENTED_EDGE('',*,*,#210367,.F.); #286624=ORIENTED_EDGE('',*,*,#210368,.T.); #286625=ORIENTED_EDGE('',*,*,#210369,.F.); #286626=ORIENTED_EDGE('',*,*,#210368,.F.); #286627=ORIENTED_EDGE('',*,*,#210370,.F.); #286628=ORIENTED_EDGE('',*,*,#210371,.T.); #286629=ORIENTED_EDGE('',*,*,#210372,.F.); #286630=ORIENTED_EDGE('',*,*,#210371,.F.); #286631=ORIENTED_EDGE('',*,*,#210373,.F.); #286632=ORIENTED_EDGE('',*,*,#210374,.T.); #286633=ORIENTED_EDGE('',*,*,#210375,.F.); #286634=ORIENTED_EDGE('',*,*,#210374,.F.); #286635=ORIENTED_EDGE('',*,*,#210376,.F.); #286636=ORIENTED_EDGE('',*,*,#210377,.F.); #286637=ORIENTED_EDGE('',*,*,#210378,.F.); #286638=ORIENTED_EDGE('',*,*,#210379,.T.); #286639=ORIENTED_EDGE('',*,*,#210380,.F.); #286640=ORIENTED_EDGE('',*,*,#210379,.F.); #286641=ORIENTED_EDGE('',*,*,#210381,.F.); #286642=ORIENTED_EDGE('',*,*,#210382,.T.); #286643=ORIENTED_EDGE('',*,*,#210383,.F.); #286644=ORIENTED_EDGE('',*,*,#210382,.F.); #286645=ORIENTED_EDGE('',*,*,#210384,.F.); #286646=ORIENTED_EDGE('',*,*,#210385,.T.); #286647=ORIENTED_EDGE('',*,*,#210386,.F.); #286648=ORIENTED_EDGE('',*,*,#210385,.F.); #286649=ORIENTED_EDGE('',*,*,#210387,.F.); #286650=ORIENTED_EDGE('',*,*,#210377,.T.); #286651=ORIENTED_EDGE('',*,*,#210388,.F.); #286652=ORIENTED_EDGE('',*,*,#210389,.F.); #286653=ORIENTED_EDGE('',*,*,#210390,.F.); #286654=ORIENTED_EDGE('',*,*,#210391,.T.); #286655=ORIENTED_EDGE('',*,*,#210392,.F.); #286656=ORIENTED_EDGE('',*,*,#210391,.F.); #286657=ORIENTED_EDGE('',*,*,#210393,.F.); #286658=ORIENTED_EDGE('',*,*,#210394,.T.); #286659=ORIENTED_EDGE('',*,*,#210395,.F.); #286660=ORIENTED_EDGE('',*,*,#210394,.F.); #286661=ORIENTED_EDGE('',*,*,#210396,.F.); #286662=ORIENTED_EDGE('',*,*,#210397,.T.); #286663=ORIENTED_EDGE('',*,*,#210398,.F.); #286664=ORIENTED_EDGE('',*,*,#210397,.F.); #286665=ORIENTED_EDGE('',*,*,#210399,.F.); #286666=ORIENTED_EDGE('',*,*,#210389,.T.); #286667=ORIENTED_EDGE('',*,*,#210400,.F.); #286668=ORIENTED_EDGE('',*,*,#210401,.T.); #286669=ORIENTED_EDGE('',*,*,#210402,.F.); #286670=ORIENTED_EDGE('',*,*,#210401,.F.); #286671=ORIENTED_EDGE('',*,*,#210403,.F.); #286672=ORIENTED_EDGE('',*,*,#210404,.T.); #286673=ORIENTED_EDGE('',*,*,#210405,.F.); #286674=ORIENTED_EDGE('',*,*,#210404,.F.); #286675=ORIENTED_EDGE('',*,*,#210406,.F.); #286676=ORIENTED_EDGE('',*,*,#210407,.F.); #286677=ORIENTED_EDGE('',*,*,#210408,.F.); #286678=ORIENTED_EDGE('',*,*,#210409,.T.); #286679=ORIENTED_EDGE('',*,*,#210410,.F.); #286680=ORIENTED_EDGE('',*,*,#210409,.F.); #286681=ORIENTED_EDGE('',*,*,#210411,.F.); #286682=ORIENTED_EDGE('',*,*,#210412,.T.); #286683=ORIENTED_EDGE('',*,*,#210413,.F.); #286684=ORIENTED_EDGE('',*,*,#210412,.F.); #286685=ORIENTED_EDGE('',*,*,#210414,.F.); #286686=ORIENTED_EDGE('',*,*,#210415,.T.); #286687=ORIENTED_EDGE('',*,*,#210416,.F.); #286688=ORIENTED_EDGE('',*,*,#210415,.F.); #286689=ORIENTED_EDGE('',*,*,#210417,.F.); #286690=ORIENTED_EDGE('',*,*,#210407,.T.); #286691=ORIENTED_EDGE('',*,*,#210418,.F.); #286692=ORIENTED_EDGE('',*,*,#210419,.T.); #286693=ORIENTED_EDGE('',*,*,#210420,.F.); #286694=ORIENTED_EDGE('',*,*,#210419,.F.); #286695=ORIENTED_EDGE('',*,*,#210421,.F.); #286696=ORIENTED_EDGE('',*,*,#210422,.T.); #286697=ORIENTED_EDGE('',*,*,#210423,.F.); #286698=ORIENTED_EDGE('',*,*,#210422,.F.); #286699=ORIENTED_EDGE('',*,*,#210424,.F.); #286700=ORIENTED_EDGE('',*,*,#210425,.T.); #286701=ORIENTED_EDGE('',*,*,#210426,.F.); #286702=ORIENTED_EDGE('',*,*,#210425,.F.); #286703=ORIENTED_EDGE('',*,*,#210427,.F.); #286704=ORIENTED_EDGE('',*,*,#210428,.T.); #286705=ORIENTED_EDGE('',*,*,#210429,.F.); #286706=ORIENTED_EDGE('',*,*,#210428,.F.); #286707=ORIENTED_EDGE('',*,*,#210430,.F.); #286708=ORIENTED_EDGE('',*,*,#210431,.T.); #286709=ORIENTED_EDGE('',*,*,#210432,.F.); #286710=ORIENTED_EDGE('',*,*,#210431,.F.); #286711=ORIENTED_EDGE('',*,*,#210433,.F.); #286712=ORIENTED_EDGE('',*,*,#210434,.F.); #286713=ORIENTED_EDGE('',*,*,#210435,.F.); #286714=ORIENTED_EDGE('',*,*,#210436,.T.); #286715=ORIENTED_EDGE('',*,*,#210437,.F.); #286716=ORIENTED_EDGE('',*,*,#210436,.F.); #286717=ORIENTED_EDGE('',*,*,#210438,.F.); #286718=ORIENTED_EDGE('',*,*,#210439,.T.); #286719=ORIENTED_EDGE('',*,*,#210440,.F.); #286720=ORIENTED_EDGE('',*,*,#210439,.F.); #286721=ORIENTED_EDGE('',*,*,#210441,.F.); #286722=ORIENTED_EDGE('',*,*,#210442,.T.); #286723=ORIENTED_EDGE('',*,*,#210443,.F.); #286724=ORIENTED_EDGE('',*,*,#210442,.F.); #286725=ORIENTED_EDGE('',*,*,#210444,.F.); #286726=ORIENTED_EDGE('',*,*,#210434,.T.); #286727=ORIENTED_EDGE('',*,*,#210445,.F.); #286728=ORIENTED_EDGE('',*,*,#210446,.T.); #286729=ORIENTED_EDGE('',*,*,#210447,.F.); #286730=ORIENTED_EDGE('',*,*,#210446,.F.); #286731=ORIENTED_EDGE('',*,*,#210448,.F.); #286732=ORIENTED_EDGE('',*,*,#210449,.F.); #286733=ORIENTED_EDGE('',*,*,#210450,.F.); #286734=ORIENTED_EDGE('',*,*,#210451,.T.); #286735=ORIENTED_EDGE('',*,*,#210452,.F.); #286736=ORIENTED_EDGE('',*,*,#210451,.F.); #286737=ORIENTED_EDGE('',*,*,#210453,.F.); #286738=ORIENTED_EDGE('',*,*,#210454,.T.); #286739=ORIENTED_EDGE('',*,*,#210455,.F.); #286740=ORIENTED_EDGE('',*,*,#210454,.F.); #286741=ORIENTED_EDGE('',*,*,#210456,.F.); #286742=ORIENTED_EDGE('',*,*,#210457,.T.); #286743=ORIENTED_EDGE('',*,*,#210458,.F.); #286744=ORIENTED_EDGE('',*,*,#210457,.F.); #286745=ORIENTED_EDGE('',*,*,#210459,.F.); #286746=ORIENTED_EDGE('',*,*,#210449,.T.); #286747=ORIENTED_EDGE('',*,*,#210460,.F.); #286748=ORIENTED_EDGE('',*,*,#210461,.F.); #286749=ORIENTED_EDGE('',*,*,#210462,.F.); #286750=ORIENTED_EDGE('',*,*,#210463,.T.); #286751=ORIENTED_EDGE('',*,*,#210464,.F.); #286752=ORIENTED_EDGE('',*,*,#210463,.F.); #286753=ORIENTED_EDGE('',*,*,#210465,.F.); #286754=ORIENTED_EDGE('',*,*,#210466,.T.); #286755=ORIENTED_EDGE('',*,*,#210467,.F.); #286756=ORIENTED_EDGE('',*,*,#210466,.F.); #286757=ORIENTED_EDGE('',*,*,#210468,.F.); #286758=ORIENTED_EDGE('',*,*,#210469,.T.); #286759=ORIENTED_EDGE('',*,*,#210470,.F.); #286760=ORIENTED_EDGE('',*,*,#210469,.F.); #286761=ORIENTED_EDGE('',*,*,#210471,.F.); #286762=ORIENTED_EDGE('',*,*,#210461,.T.); #286763=ORIENTED_EDGE('',*,*,#210472,.F.); #286764=ORIENTED_EDGE('',*,*,#210473,.T.); #286765=ORIENTED_EDGE('',*,*,#210474,.F.); #286766=ORIENTED_EDGE('',*,*,#210473,.F.); #286767=ORIENTED_EDGE('',*,*,#210475,.F.); #286768=ORIENTED_EDGE('',*,*,#210476,.T.); #286769=ORIENTED_EDGE('',*,*,#210477,.F.); #286770=ORIENTED_EDGE('',*,*,#210476,.F.); #286771=ORIENTED_EDGE('',*,*,#210478,.F.); #286772=ORIENTED_EDGE('',*,*,#210479,.T.); #286773=ORIENTED_EDGE('',*,*,#210480,.F.); #286774=ORIENTED_EDGE('',*,*,#210479,.F.); #286775=ORIENTED_EDGE('',*,*,#210481,.F.); #286776=ORIENTED_EDGE('',*,*,#210482,.T.); #286777=ORIENTED_EDGE('',*,*,#210483,.F.); #286778=ORIENTED_EDGE('',*,*,#210482,.F.); #286779=ORIENTED_EDGE('',*,*,#210484,.F.); #286780=ORIENTED_EDGE('',*,*,#210485,.T.); #286781=ORIENTED_EDGE('',*,*,#210486,.F.); #286782=ORIENTED_EDGE('',*,*,#210485,.F.); #286783=ORIENTED_EDGE('',*,*,#210487,.F.); #286784=ORIENTED_EDGE('',*,*,#210488,.T.); #286785=ORIENTED_EDGE('',*,*,#210489,.F.); #286786=ORIENTED_EDGE('',*,*,#210488,.F.); #286787=ORIENTED_EDGE('',*,*,#210490,.F.); #286788=ORIENTED_EDGE('',*,*,#210491,.T.); #286789=ORIENTED_EDGE('',*,*,#210492,.F.); #286790=ORIENTED_EDGE('',*,*,#210491,.F.); #286791=ORIENTED_EDGE('',*,*,#210493,.F.); #286792=ORIENTED_EDGE('',*,*,#210494,.T.); #286793=ORIENTED_EDGE('',*,*,#210495,.F.); #286794=ORIENTED_EDGE('',*,*,#210494,.F.); #286795=ORIENTED_EDGE('',*,*,#210496,.F.); #286796=ORIENTED_EDGE('',*,*,#210497,.T.); #286797=ORIENTED_EDGE('',*,*,#210498,.F.); #286798=ORIENTED_EDGE('',*,*,#210497,.F.); #286799=ORIENTED_EDGE('',*,*,#210499,.F.); #286800=ORIENTED_EDGE('',*,*,#210500,.T.); #286801=ORIENTED_EDGE('',*,*,#210501,.F.); #286802=ORIENTED_EDGE('',*,*,#210500,.F.); #286803=ORIENTED_EDGE('',*,*,#210502,.F.); #286804=ORIENTED_EDGE('',*,*,#210503,.T.); #286805=ORIENTED_EDGE('',*,*,#210504,.F.); #286806=ORIENTED_EDGE('',*,*,#210503,.F.); #286807=ORIENTED_EDGE('',*,*,#210505,.F.); #286808=ORIENTED_EDGE('',*,*,#210506,.T.); #286809=ORIENTED_EDGE('',*,*,#210507,.F.); #286810=ORIENTED_EDGE('',*,*,#210506,.F.); #286811=ORIENTED_EDGE('',*,*,#210508,.F.); #286812=ORIENTED_EDGE('',*,*,#210509,.T.); #286813=ORIENTED_EDGE('',*,*,#210510,.F.); #286814=ORIENTED_EDGE('',*,*,#210509,.F.); #286815=ORIENTED_EDGE('',*,*,#210511,.F.); #286816=ORIENTED_EDGE('',*,*,#210512,.T.); #286817=ORIENTED_EDGE('',*,*,#210513,.F.); #286818=ORIENTED_EDGE('',*,*,#210512,.F.); #286819=ORIENTED_EDGE('',*,*,#210514,.F.); #286820=ORIENTED_EDGE('',*,*,#210515,.T.); #286821=ORIENTED_EDGE('',*,*,#210516,.F.); #286822=ORIENTED_EDGE('',*,*,#210515,.F.); #286823=ORIENTED_EDGE('',*,*,#210517,.F.); #286824=ORIENTED_EDGE('',*,*,#210518,.T.); #286825=ORIENTED_EDGE('',*,*,#210519,.F.); #286826=ORIENTED_EDGE('',*,*,#210518,.F.); #286827=ORIENTED_EDGE('',*,*,#210520,.F.); #286828=ORIENTED_EDGE('',*,*,#210521,.T.); #286829=ORIENTED_EDGE('',*,*,#210522,.F.); #286830=ORIENTED_EDGE('',*,*,#210521,.F.); #286831=ORIENTED_EDGE('',*,*,#210523,.F.); #286832=ORIENTED_EDGE('',*,*,#210524,.T.); #286833=ORIENTED_EDGE('',*,*,#210525,.F.); #286834=ORIENTED_EDGE('',*,*,#210524,.F.); #286835=ORIENTED_EDGE('',*,*,#210526,.F.); #286836=ORIENTED_EDGE('',*,*,#210527,.T.); #286837=ORIENTED_EDGE('',*,*,#210528,.F.); #286838=ORIENTED_EDGE('',*,*,#210527,.F.); #286839=ORIENTED_EDGE('',*,*,#210529,.F.); #286840=ORIENTED_EDGE('',*,*,#210530,.T.); #286841=ORIENTED_EDGE('',*,*,#210531,.F.); #286842=ORIENTED_EDGE('',*,*,#210530,.F.); #286843=ORIENTED_EDGE('',*,*,#210532,.F.); #286844=ORIENTED_EDGE('',*,*,#210533,.T.); #286845=ORIENTED_EDGE('',*,*,#210534,.F.); #286846=ORIENTED_EDGE('',*,*,#210533,.F.); #286847=ORIENTED_EDGE('',*,*,#210535,.F.); #286848=ORIENTED_EDGE('',*,*,#210536,.T.); #286849=ORIENTED_EDGE('',*,*,#210537,.F.); #286850=ORIENTED_EDGE('',*,*,#210536,.F.); #286851=ORIENTED_EDGE('',*,*,#210538,.F.); #286852=ORIENTED_EDGE('',*,*,#210539,.T.); #286853=ORIENTED_EDGE('',*,*,#210540,.F.); #286854=ORIENTED_EDGE('',*,*,#210539,.F.); #286855=ORIENTED_EDGE('',*,*,#210541,.F.); #286856=ORIENTED_EDGE('',*,*,#210542,.T.); #286857=ORIENTED_EDGE('',*,*,#210543,.F.); #286858=ORIENTED_EDGE('',*,*,#210542,.F.); #286859=ORIENTED_EDGE('',*,*,#210544,.F.); #286860=ORIENTED_EDGE('',*,*,#210545,.T.); #286861=ORIENTED_EDGE('',*,*,#210546,.F.); #286862=ORIENTED_EDGE('',*,*,#210545,.F.); #286863=ORIENTED_EDGE('',*,*,#210547,.F.); #286864=ORIENTED_EDGE('',*,*,#210548,.T.); #286865=ORIENTED_EDGE('',*,*,#210549,.F.); #286866=ORIENTED_EDGE('',*,*,#210548,.F.); #286867=ORIENTED_EDGE('',*,*,#210550,.F.); #286868=ORIENTED_EDGE('',*,*,#210551,.T.); #286869=ORIENTED_EDGE('',*,*,#210552,.F.); #286870=ORIENTED_EDGE('',*,*,#210551,.F.); #286871=ORIENTED_EDGE('',*,*,#210553,.F.); #286872=ORIENTED_EDGE('',*,*,#210554,.T.); #286873=ORIENTED_EDGE('',*,*,#210555,.F.); #286874=ORIENTED_EDGE('',*,*,#210554,.F.); #286875=ORIENTED_EDGE('',*,*,#210556,.F.); #286876=ORIENTED_EDGE('',*,*,#210557,.T.); #286877=ORIENTED_EDGE('',*,*,#210558,.F.); #286878=ORIENTED_EDGE('',*,*,#210557,.F.); #286879=ORIENTED_EDGE('',*,*,#210559,.F.); #286880=ORIENTED_EDGE('',*,*,#210560,.T.); #286881=ORIENTED_EDGE('',*,*,#210561,.F.); #286882=ORIENTED_EDGE('',*,*,#210560,.F.); #286883=ORIENTED_EDGE('',*,*,#210562,.F.); #286884=ORIENTED_EDGE('',*,*,#210563,.T.); #286885=ORIENTED_EDGE('',*,*,#210564,.F.); #286886=ORIENTED_EDGE('',*,*,#210563,.F.); #286887=ORIENTED_EDGE('',*,*,#210565,.F.); #286888=ORIENTED_EDGE('',*,*,#210566,.T.); #286889=ORIENTED_EDGE('',*,*,#210567,.F.); #286890=ORIENTED_EDGE('',*,*,#210566,.F.); #286891=ORIENTED_EDGE('',*,*,#210568,.F.); #286892=ORIENTED_EDGE('',*,*,#210569,.T.); #286893=ORIENTED_EDGE('',*,*,#210570,.F.); #286894=ORIENTED_EDGE('',*,*,#210569,.F.); #286895=ORIENTED_EDGE('',*,*,#210571,.F.); #286896=ORIENTED_EDGE('',*,*,#210572,.T.); #286897=ORIENTED_EDGE('',*,*,#210573,.F.); #286898=ORIENTED_EDGE('',*,*,#210572,.F.); #286899=ORIENTED_EDGE('',*,*,#210574,.F.); #286900=ORIENTED_EDGE('',*,*,#210575,.T.); #286901=ORIENTED_EDGE('',*,*,#210576,.F.); #286902=ORIENTED_EDGE('',*,*,#210575,.F.); #286903=ORIENTED_EDGE('',*,*,#210577,.F.); #286904=ORIENTED_EDGE('',*,*,#210578,.T.); #286905=ORIENTED_EDGE('',*,*,#210579,.F.); #286906=ORIENTED_EDGE('',*,*,#210578,.F.); #286907=ORIENTED_EDGE('',*,*,#210580,.F.); #286908=ORIENTED_EDGE('',*,*,#210581,.T.); #286909=ORIENTED_EDGE('',*,*,#210582,.F.); #286910=ORIENTED_EDGE('',*,*,#210581,.F.); #286911=ORIENTED_EDGE('',*,*,#210583,.F.); #286912=ORIENTED_EDGE('',*,*,#210584,.T.); #286913=ORIENTED_EDGE('',*,*,#210585,.F.); #286914=ORIENTED_EDGE('',*,*,#210584,.F.); #286915=ORIENTED_EDGE('',*,*,#210586,.F.); #286916=ORIENTED_EDGE('',*,*,#210587,.T.); #286917=ORIENTED_EDGE('',*,*,#210588,.F.); #286918=ORIENTED_EDGE('',*,*,#210587,.F.); #286919=ORIENTED_EDGE('',*,*,#210589,.F.); #286920=ORIENTED_EDGE('',*,*,#210590,.T.); #286921=ORIENTED_EDGE('',*,*,#210591,.F.); #286922=ORIENTED_EDGE('',*,*,#210590,.F.); #286923=ORIENTED_EDGE('',*,*,#210592,.F.); #286924=ORIENTED_EDGE('',*,*,#210593,.T.); #286925=ORIENTED_EDGE('',*,*,#210594,.F.); #286926=ORIENTED_EDGE('',*,*,#210593,.F.); #286927=ORIENTED_EDGE('',*,*,#210595,.F.); #286928=ORIENTED_EDGE('',*,*,#210596,.T.); #286929=ORIENTED_EDGE('',*,*,#210597,.F.); #286930=ORIENTED_EDGE('',*,*,#210596,.F.); #286931=ORIENTED_EDGE('',*,*,#210598,.F.); #286932=ORIENTED_EDGE('',*,*,#210599,.T.); #286933=ORIENTED_EDGE('',*,*,#210600,.F.); #286934=ORIENTED_EDGE('',*,*,#210599,.F.); #286935=ORIENTED_EDGE('',*,*,#210601,.F.); #286936=ORIENTED_EDGE('',*,*,#210602,.T.); #286937=ORIENTED_EDGE('',*,*,#210603,.F.); #286938=ORIENTED_EDGE('',*,*,#210602,.F.); #286939=ORIENTED_EDGE('',*,*,#210604,.F.); #286940=ORIENTED_EDGE('',*,*,#210605,.T.); #286941=ORIENTED_EDGE('',*,*,#210606,.F.); #286942=ORIENTED_EDGE('',*,*,#210605,.F.); #286943=ORIENTED_EDGE('',*,*,#210607,.F.); #286944=ORIENTED_EDGE('',*,*,#210608,.T.); #286945=ORIENTED_EDGE('',*,*,#210609,.F.); #286946=ORIENTED_EDGE('',*,*,#210608,.F.); #286947=ORIENTED_EDGE('',*,*,#210610,.F.); #286948=ORIENTED_EDGE('',*,*,#210611,.T.); #286949=ORIENTED_EDGE('',*,*,#210612,.F.); #286950=ORIENTED_EDGE('',*,*,#210611,.F.); #286951=ORIENTED_EDGE('',*,*,#210613,.F.); #286952=ORIENTED_EDGE('',*,*,#210614,.T.); #286953=ORIENTED_EDGE('',*,*,#210615,.F.); #286954=ORIENTED_EDGE('',*,*,#210614,.F.); #286955=ORIENTED_EDGE('',*,*,#210616,.F.); #286956=ORIENTED_EDGE('',*,*,#210617,.T.); #286957=ORIENTED_EDGE('',*,*,#210618,.F.); #286958=ORIENTED_EDGE('',*,*,#210617,.F.); #286959=ORIENTED_EDGE('',*,*,#210619,.F.); #286960=ORIENTED_EDGE('',*,*,#210620,.T.); #286961=ORIENTED_EDGE('',*,*,#210621,.F.); #286962=ORIENTED_EDGE('',*,*,#210620,.F.); #286963=ORIENTED_EDGE('',*,*,#210622,.F.); #286964=ORIENTED_EDGE('',*,*,#210623,.T.); #286965=ORIENTED_EDGE('',*,*,#210624,.F.); #286966=ORIENTED_EDGE('',*,*,#210623,.F.); #286967=ORIENTED_EDGE('',*,*,#210625,.F.); #286968=ORIENTED_EDGE('',*,*,#210626,.T.); #286969=ORIENTED_EDGE('',*,*,#210627,.F.); #286970=ORIENTED_EDGE('',*,*,#210626,.F.); #286971=ORIENTED_EDGE('',*,*,#210628,.T.); #286972=ORIENTED_EDGE('',*,*,#210629,.T.); #286973=ORIENTED_EDGE('',*,*,#210630,.F.); #286974=ORIENTED_EDGE('',*,*,#210631,.F.); #286975=ORIENTED_EDGE('',*,*,#210632,.T.); #286976=ORIENTED_EDGE('',*,*,#210631,.T.); #286977=ORIENTED_EDGE('',*,*,#210633,.F.); #286978=ORIENTED_EDGE('',*,*,#210634,.F.); #286979=ORIENTED_EDGE('',*,*,#210635,.T.); #286980=ORIENTED_EDGE('',*,*,#210634,.T.); #286981=ORIENTED_EDGE('',*,*,#210636,.F.); #286982=ORIENTED_EDGE('',*,*,#210637,.F.); #286983=ORIENTED_EDGE('',*,*,#210638,.T.); #286984=ORIENTED_EDGE('',*,*,#210637,.T.); #286985=ORIENTED_EDGE('',*,*,#210639,.F.); #286986=ORIENTED_EDGE('',*,*,#210640,.F.); #286987=ORIENTED_EDGE('',*,*,#210641,.T.); #286988=ORIENTED_EDGE('',*,*,#210640,.T.); #286989=ORIENTED_EDGE('',*,*,#210642,.F.); #286990=ORIENTED_EDGE('',*,*,#210643,.F.); #286991=ORIENTED_EDGE('',*,*,#210644,.T.); #286992=ORIENTED_EDGE('',*,*,#210643,.T.); #286993=ORIENTED_EDGE('',*,*,#210645,.F.); #286994=ORIENTED_EDGE('',*,*,#210646,.F.); #286995=ORIENTED_EDGE('',*,*,#210647,.T.); #286996=ORIENTED_EDGE('',*,*,#210646,.T.); #286997=ORIENTED_EDGE('',*,*,#210648,.F.); #286998=ORIENTED_EDGE('',*,*,#210649,.F.); #286999=ORIENTED_EDGE('',*,*,#210650,.T.); #287000=ORIENTED_EDGE('',*,*,#210649,.T.); #287001=ORIENTED_EDGE('',*,*,#210651,.F.); #287002=ORIENTED_EDGE('',*,*,#210652,.F.); #287003=ORIENTED_EDGE('',*,*,#210653,.T.); #287004=ORIENTED_EDGE('',*,*,#210652,.T.); #287005=ORIENTED_EDGE('',*,*,#210654,.F.); #287006=ORIENTED_EDGE('',*,*,#210655,.F.); #287007=ORIENTED_EDGE('',*,*,#210656,.T.); #287008=ORIENTED_EDGE('',*,*,#210655,.T.); #287009=ORIENTED_EDGE('',*,*,#210657,.F.); #287010=ORIENTED_EDGE('',*,*,#210658,.F.); #287011=ORIENTED_EDGE('',*,*,#210659,.T.); #287012=ORIENTED_EDGE('',*,*,#210658,.T.); #287013=ORIENTED_EDGE('',*,*,#210660,.F.); #287014=ORIENTED_EDGE('',*,*,#210661,.F.); #287015=ORIENTED_EDGE('',*,*,#210662,.T.); #287016=ORIENTED_EDGE('',*,*,#210661,.T.); #287017=ORIENTED_EDGE('',*,*,#210663,.F.); #287018=ORIENTED_EDGE('',*,*,#210664,.F.); #287019=ORIENTED_EDGE('',*,*,#210665,.T.); #287020=ORIENTED_EDGE('',*,*,#210664,.T.); #287021=ORIENTED_EDGE('',*,*,#210666,.F.); #287022=ORIENTED_EDGE('',*,*,#210667,.F.); #287023=ORIENTED_EDGE('',*,*,#210668,.T.); #287024=ORIENTED_EDGE('',*,*,#210667,.T.); #287025=ORIENTED_EDGE('',*,*,#210669,.F.); #287026=ORIENTED_EDGE('',*,*,#210670,.F.); #287027=ORIENTED_EDGE('',*,*,#210671,.T.); #287028=ORIENTED_EDGE('',*,*,#210670,.T.); #287029=ORIENTED_EDGE('',*,*,#210672,.F.); #287030=ORIENTED_EDGE('',*,*,#210673,.F.); #287031=ORIENTED_EDGE('',*,*,#210674,.T.); #287032=ORIENTED_EDGE('',*,*,#210673,.T.); #287033=ORIENTED_EDGE('',*,*,#210675,.F.); #287034=ORIENTED_EDGE('',*,*,#210676,.F.); #287035=ORIENTED_EDGE('',*,*,#210677,.T.); #287036=ORIENTED_EDGE('',*,*,#210676,.T.); #287037=ORIENTED_EDGE('',*,*,#210678,.F.); #287038=ORIENTED_EDGE('',*,*,#210679,.F.); #287039=ORIENTED_EDGE('',*,*,#210680,.T.); #287040=ORIENTED_EDGE('',*,*,#210679,.T.); #287041=ORIENTED_EDGE('',*,*,#210681,.F.); #287042=ORIENTED_EDGE('',*,*,#210682,.F.); #287043=ORIENTED_EDGE('',*,*,#210683,.T.); #287044=ORIENTED_EDGE('',*,*,#210682,.T.); #287045=ORIENTED_EDGE('',*,*,#210684,.F.); #287046=ORIENTED_EDGE('',*,*,#210685,.F.); #287047=ORIENTED_EDGE('',*,*,#210686,.T.); #287048=ORIENTED_EDGE('',*,*,#210685,.T.); #287049=ORIENTED_EDGE('',*,*,#210687,.F.); #287050=ORIENTED_EDGE('',*,*,#210688,.F.); #287051=ORIENTED_EDGE('',*,*,#210689,.T.); #287052=ORIENTED_EDGE('',*,*,#210688,.T.); #287053=ORIENTED_EDGE('',*,*,#210690,.F.); #287054=ORIENTED_EDGE('',*,*,#210691,.F.); #287055=ORIENTED_EDGE('',*,*,#210692,.T.); #287056=ORIENTED_EDGE('',*,*,#210691,.T.); #287057=ORIENTED_EDGE('',*,*,#210693,.F.); #287058=ORIENTED_EDGE('',*,*,#210694,.F.); #287059=ORIENTED_EDGE('',*,*,#210695,.T.); #287060=ORIENTED_EDGE('',*,*,#210694,.T.); #287061=ORIENTED_EDGE('',*,*,#210696,.F.); #287062=ORIENTED_EDGE('',*,*,#210697,.F.); #287063=ORIENTED_EDGE('',*,*,#210698,.T.); #287064=ORIENTED_EDGE('',*,*,#210697,.T.); #287065=ORIENTED_EDGE('',*,*,#210699,.F.); #287066=ORIENTED_EDGE('',*,*,#210700,.F.); #287067=ORIENTED_EDGE('',*,*,#210701,.T.); #287068=ORIENTED_EDGE('',*,*,#210700,.T.); #287069=ORIENTED_EDGE('',*,*,#210702,.F.); #287070=ORIENTED_EDGE('',*,*,#210703,.F.); #287071=ORIENTED_EDGE('',*,*,#210704,.T.); #287072=ORIENTED_EDGE('',*,*,#210703,.T.); #287073=ORIENTED_EDGE('',*,*,#210705,.F.); #287074=ORIENTED_EDGE('',*,*,#210706,.F.); #287075=ORIENTED_EDGE('',*,*,#210707,.T.); #287076=ORIENTED_EDGE('',*,*,#210706,.T.); #287077=ORIENTED_EDGE('',*,*,#210708,.F.); #287078=ORIENTED_EDGE('',*,*,#210709,.F.); #287079=ORIENTED_EDGE('',*,*,#210710,.T.); #287080=ORIENTED_EDGE('',*,*,#210709,.T.); #287081=ORIENTED_EDGE('',*,*,#210711,.F.); #287082=ORIENTED_EDGE('',*,*,#210712,.F.); #287083=ORIENTED_EDGE('',*,*,#210713,.T.); #287084=ORIENTED_EDGE('',*,*,#210712,.T.); #287085=ORIENTED_EDGE('',*,*,#210714,.F.); #287086=ORIENTED_EDGE('',*,*,#210715,.F.); #287087=ORIENTED_EDGE('',*,*,#210716,.T.); #287088=ORIENTED_EDGE('',*,*,#210715,.T.); #287089=ORIENTED_EDGE('',*,*,#210717,.F.); #287090=ORIENTED_EDGE('',*,*,#210718,.F.); #287091=ORIENTED_EDGE('',*,*,#210719,.T.); #287092=ORIENTED_EDGE('',*,*,#210718,.T.); #287093=ORIENTED_EDGE('',*,*,#210720,.F.); #287094=ORIENTED_EDGE('',*,*,#210721,.F.); #287095=ORIENTED_EDGE('',*,*,#210722,.T.); #287096=ORIENTED_EDGE('',*,*,#210721,.T.); #287097=ORIENTED_EDGE('',*,*,#210723,.F.); #287098=ORIENTED_EDGE('',*,*,#210724,.F.); #287099=ORIENTED_EDGE('',*,*,#210725,.T.); #287100=ORIENTED_EDGE('',*,*,#210724,.T.); #287101=ORIENTED_EDGE('',*,*,#210726,.F.); #287102=ORIENTED_EDGE('',*,*,#210727,.F.); #287103=ORIENTED_EDGE('',*,*,#210728,.T.); #287104=ORIENTED_EDGE('',*,*,#210727,.T.); #287105=ORIENTED_EDGE('',*,*,#210729,.F.); #287106=ORIENTED_EDGE('',*,*,#210730,.F.); #287107=ORIENTED_EDGE('',*,*,#210731,.T.); #287108=ORIENTED_EDGE('',*,*,#210730,.T.); #287109=ORIENTED_EDGE('',*,*,#210732,.F.); #287110=ORIENTED_EDGE('',*,*,#210733,.F.); #287111=ORIENTED_EDGE('',*,*,#210734,.T.); #287112=ORIENTED_EDGE('',*,*,#210733,.T.); #287113=ORIENTED_EDGE('',*,*,#210735,.F.); #287114=ORIENTED_EDGE('',*,*,#210736,.F.); #287115=ORIENTED_EDGE('',*,*,#210737,.T.); #287116=ORIENTED_EDGE('',*,*,#210736,.T.); #287117=ORIENTED_EDGE('',*,*,#210738,.F.); #287118=ORIENTED_EDGE('',*,*,#210739,.F.); #287119=ORIENTED_EDGE('',*,*,#210740,.T.); #287120=ORIENTED_EDGE('',*,*,#210739,.T.); #287121=ORIENTED_EDGE('',*,*,#210741,.F.); #287122=ORIENTED_EDGE('',*,*,#210742,.F.); #287123=ORIENTED_EDGE('',*,*,#210743,.T.); #287124=ORIENTED_EDGE('',*,*,#210742,.T.); #287125=ORIENTED_EDGE('',*,*,#210744,.F.); #287126=ORIENTED_EDGE('',*,*,#210745,.F.); #287127=ORIENTED_EDGE('',*,*,#210746,.T.); #287128=ORIENTED_EDGE('',*,*,#210745,.T.); #287129=ORIENTED_EDGE('',*,*,#210747,.F.); #287130=ORIENTED_EDGE('',*,*,#210748,.F.); #287131=ORIENTED_EDGE('',*,*,#210749,.T.); #287132=ORIENTED_EDGE('',*,*,#210748,.T.); #287133=ORIENTED_EDGE('',*,*,#210750,.F.); #287134=ORIENTED_EDGE('',*,*,#210751,.F.); #287135=ORIENTED_EDGE('',*,*,#210752,.T.); #287136=ORIENTED_EDGE('',*,*,#210751,.T.); #287137=ORIENTED_EDGE('',*,*,#210753,.F.); #287138=ORIENTED_EDGE('',*,*,#210754,.F.); #287139=ORIENTED_EDGE('',*,*,#210755,.T.); #287140=ORIENTED_EDGE('',*,*,#210754,.T.); #287141=ORIENTED_EDGE('',*,*,#210756,.F.); #287142=ORIENTED_EDGE('',*,*,#210757,.F.); #287143=ORIENTED_EDGE('',*,*,#210758,.T.); #287144=ORIENTED_EDGE('',*,*,#210757,.T.); #287145=ORIENTED_EDGE('',*,*,#210759,.F.); #287146=ORIENTED_EDGE('',*,*,#210760,.F.); #287147=ORIENTED_EDGE('',*,*,#210761,.T.); #287148=ORIENTED_EDGE('',*,*,#210760,.T.); #287149=ORIENTED_EDGE('',*,*,#210762,.F.); #287150=ORIENTED_EDGE('',*,*,#210763,.F.); #287151=ORIENTED_EDGE('',*,*,#210764,.T.); #287152=ORIENTED_EDGE('',*,*,#210763,.T.); #287153=ORIENTED_EDGE('',*,*,#210765,.F.); #287154=ORIENTED_EDGE('',*,*,#210766,.F.); #287155=ORIENTED_EDGE('',*,*,#210767,.T.); #287156=ORIENTED_EDGE('',*,*,#210766,.T.); #287157=ORIENTED_EDGE('',*,*,#210768,.F.); #287158=ORIENTED_EDGE('',*,*,#210769,.F.); #287159=ORIENTED_EDGE('',*,*,#210770,.T.); #287160=ORIENTED_EDGE('',*,*,#210769,.T.); #287161=ORIENTED_EDGE('',*,*,#210771,.F.); #287162=ORIENTED_EDGE('',*,*,#210772,.F.); #287163=ORIENTED_EDGE('',*,*,#210773,.T.); #287164=ORIENTED_EDGE('',*,*,#210772,.T.); #287165=ORIENTED_EDGE('',*,*,#210774,.F.); #287166=ORIENTED_EDGE('',*,*,#210775,.F.); #287167=ORIENTED_EDGE('',*,*,#210776,.T.); #287168=ORIENTED_EDGE('',*,*,#210775,.T.); #287169=ORIENTED_EDGE('',*,*,#210777,.F.); #287170=ORIENTED_EDGE('',*,*,#210778,.F.); #287171=ORIENTED_EDGE('',*,*,#210779,.T.); #287172=ORIENTED_EDGE('',*,*,#210778,.T.); #287173=ORIENTED_EDGE('',*,*,#210780,.F.); #287174=ORIENTED_EDGE('',*,*,#210781,.F.); #287175=ORIENTED_EDGE('',*,*,#210782,.T.); #287176=ORIENTED_EDGE('',*,*,#210781,.T.); #287177=ORIENTED_EDGE('',*,*,#210783,.F.); #287178=ORIENTED_EDGE('',*,*,#210784,.F.); #287179=ORIENTED_EDGE('',*,*,#210785,.T.); #287180=ORIENTED_EDGE('',*,*,#210784,.T.); #287181=ORIENTED_EDGE('',*,*,#210786,.F.); #287182=ORIENTED_EDGE('',*,*,#210787,.F.); #287183=ORIENTED_EDGE('',*,*,#210788,.T.); #287184=ORIENTED_EDGE('',*,*,#210787,.T.); #287185=ORIENTED_EDGE('',*,*,#210789,.F.); #287186=ORIENTED_EDGE('',*,*,#210790,.F.); #287187=ORIENTED_EDGE('',*,*,#210791,.T.); #287188=ORIENTED_EDGE('',*,*,#210790,.T.); #287189=ORIENTED_EDGE('',*,*,#210792,.F.); #287190=ORIENTED_EDGE('',*,*,#210793,.F.); #287191=ORIENTED_EDGE('',*,*,#210794,.T.); #287192=ORIENTED_EDGE('',*,*,#210793,.T.); #287193=ORIENTED_EDGE('',*,*,#210795,.F.); #287194=ORIENTED_EDGE('',*,*,#210796,.F.); #287195=ORIENTED_EDGE('',*,*,#210797,.T.); #287196=ORIENTED_EDGE('',*,*,#210796,.T.); #287197=ORIENTED_EDGE('',*,*,#210798,.F.); #287198=ORIENTED_EDGE('',*,*,#210799,.F.); #287199=ORIENTED_EDGE('',*,*,#210800,.T.); #287200=ORIENTED_EDGE('',*,*,#210799,.T.); #287201=ORIENTED_EDGE('',*,*,#210801,.F.); #287202=ORIENTED_EDGE('',*,*,#210802,.F.); #287203=ORIENTED_EDGE('',*,*,#210803,.T.); #287204=ORIENTED_EDGE('',*,*,#210802,.T.); #287205=ORIENTED_EDGE('',*,*,#210804,.F.); #287206=ORIENTED_EDGE('',*,*,#210805,.F.); #287207=ORIENTED_EDGE('',*,*,#210806,.T.); #287208=ORIENTED_EDGE('',*,*,#210805,.T.); #287209=ORIENTED_EDGE('',*,*,#210807,.F.); #287210=ORIENTED_EDGE('',*,*,#210808,.F.); #287211=ORIENTED_EDGE('',*,*,#210809,.T.); #287212=ORIENTED_EDGE('',*,*,#210808,.T.); #287213=ORIENTED_EDGE('',*,*,#210810,.F.); #287214=ORIENTED_EDGE('',*,*,#210811,.F.); #287215=ORIENTED_EDGE('',*,*,#210812,.T.); #287216=ORIENTED_EDGE('',*,*,#210811,.T.); #287217=ORIENTED_EDGE('',*,*,#210813,.F.); #287218=ORIENTED_EDGE('',*,*,#210814,.F.); #287219=ORIENTED_EDGE('',*,*,#210815,.T.); #287220=ORIENTED_EDGE('',*,*,#210814,.T.); #287221=ORIENTED_EDGE('',*,*,#210816,.F.); #287222=ORIENTED_EDGE('',*,*,#210817,.F.); #287223=ORIENTED_EDGE('',*,*,#210818,.T.); #287224=ORIENTED_EDGE('',*,*,#210817,.T.); #287225=ORIENTED_EDGE('',*,*,#210819,.F.); #287226=ORIENTED_EDGE('',*,*,#210820,.F.); #287227=ORIENTED_EDGE('',*,*,#210821,.T.); #287228=ORIENTED_EDGE('',*,*,#210820,.T.); #287229=ORIENTED_EDGE('',*,*,#210822,.F.); #287230=ORIENTED_EDGE('',*,*,#210823,.F.); #287231=ORIENTED_EDGE('',*,*,#210824,.T.); #287232=ORIENTED_EDGE('',*,*,#210823,.T.); #287233=ORIENTED_EDGE('',*,*,#210825,.F.); #287234=ORIENTED_EDGE('',*,*,#210826,.F.); #287235=ORIENTED_EDGE('',*,*,#210827,.T.); #287236=ORIENTED_EDGE('',*,*,#210826,.T.); #287237=ORIENTED_EDGE('',*,*,#210828,.F.); #287238=ORIENTED_EDGE('',*,*,#210829,.F.); #287239=ORIENTED_EDGE('',*,*,#210830,.T.); #287240=ORIENTED_EDGE('',*,*,#210829,.T.); #287241=ORIENTED_EDGE('',*,*,#210831,.F.); #287242=ORIENTED_EDGE('',*,*,#210832,.F.); #287243=ORIENTED_EDGE('',*,*,#210833,.T.); #287244=ORIENTED_EDGE('',*,*,#210832,.T.); #287245=ORIENTED_EDGE('',*,*,#210834,.F.); #287246=ORIENTED_EDGE('',*,*,#210835,.F.); #287247=ORIENTED_EDGE('',*,*,#210836,.T.); #287248=ORIENTED_EDGE('',*,*,#210835,.T.); #287249=ORIENTED_EDGE('',*,*,#210837,.F.); #287250=ORIENTED_EDGE('',*,*,#210838,.F.); #287251=ORIENTED_EDGE('',*,*,#210839,.T.); #287252=ORIENTED_EDGE('',*,*,#210838,.T.); #287253=ORIENTED_EDGE('',*,*,#210840,.F.); #287254=ORIENTED_EDGE('',*,*,#210841,.F.); #287255=ORIENTED_EDGE('',*,*,#210842,.T.); #287256=ORIENTED_EDGE('',*,*,#210841,.T.); #287257=ORIENTED_EDGE('',*,*,#210843,.F.); #287258=ORIENTED_EDGE('',*,*,#210844,.F.); #287259=ORIENTED_EDGE('',*,*,#210845,.T.); #287260=ORIENTED_EDGE('',*,*,#210844,.T.); #287261=ORIENTED_EDGE('',*,*,#210846,.F.); #287262=ORIENTED_EDGE('',*,*,#210847,.F.); #287263=ORIENTED_EDGE('',*,*,#210848,.T.); #287264=ORIENTED_EDGE('',*,*,#210847,.T.); #287265=ORIENTED_EDGE('',*,*,#210849,.F.); #287266=ORIENTED_EDGE('',*,*,#210850,.F.); #287267=ORIENTED_EDGE('',*,*,#210851,.T.); #287268=ORIENTED_EDGE('',*,*,#210850,.T.); #287269=ORIENTED_EDGE('',*,*,#210852,.F.); #287270=ORIENTED_EDGE('',*,*,#210853,.F.); #287271=ORIENTED_EDGE('',*,*,#210854,.T.); #287272=ORIENTED_EDGE('',*,*,#210853,.T.); #287273=ORIENTED_EDGE('',*,*,#210855,.F.); #287274=ORIENTED_EDGE('',*,*,#210856,.F.); #287275=ORIENTED_EDGE('',*,*,#210857,.T.); #287276=ORIENTED_EDGE('',*,*,#210856,.T.); #287277=ORIENTED_EDGE('',*,*,#210858,.F.); #287278=ORIENTED_EDGE('',*,*,#210859,.F.); #287279=ORIENTED_EDGE('',*,*,#210860,.T.); #287280=ORIENTED_EDGE('',*,*,#210859,.T.); #287281=ORIENTED_EDGE('',*,*,#210861,.F.); #287282=ORIENTED_EDGE('',*,*,#210862,.F.); #287283=ORIENTED_EDGE('',*,*,#210863,.T.); #287284=ORIENTED_EDGE('',*,*,#210862,.T.); #287285=ORIENTED_EDGE('',*,*,#210864,.F.); #287286=ORIENTED_EDGE('',*,*,#210865,.F.); #287287=ORIENTED_EDGE('',*,*,#210866,.T.); #287288=ORIENTED_EDGE('',*,*,#210865,.T.); #287289=ORIENTED_EDGE('',*,*,#210867,.F.); #287290=ORIENTED_EDGE('',*,*,#210868,.F.); #287291=ORIENTED_EDGE('',*,*,#210869,.T.); #287292=ORIENTED_EDGE('',*,*,#210868,.T.); #287293=ORIENTED_EDGE('',*,*,#210870,.F.); #287294=ORIENTED_EDGE('',*,*,#210871,.F.); #287295=ORIENTED_EDGE('',*,*,#210872,.T.); #287296=ORIENTED_EDGE('',*,*,#210871,.T.); #287297=ORIENTED_EDGE('',*,*,#210873,.F.); #287298=ORIENTED_EDGE('',*,*,#210874,.F.); #287299=ORIENTED_EDGE('',*,*,#210875,.T.); #287300=ORIENTED_EDGE('',*,*,#210874,.T.); #287301=ORIENTED_EDGE('',*,*,#210876,.F.); #287302=ORIENTED_EDGE('',*,*,#210877,.F.); #287303=ORIENTED_EDGE('',*,*,#210878,.T.); #287304=ORIENTED_EDGE('',*,*,#210877,.T.); #287305=ORIENTED_EDGE('',*,*,#210879,.F.); #287306=ORIENTED_EDGE('',*,*,#210880,.F.); #287307=ORIENTED_EDGE('',*,*,#210881,.T.); #287308=ORIENTED_EDGE('',*,*,#210880,.T.); #287309=ORIENTED_EDGE('',*,*,#210882,.F.); #287310=ORIENTED_EDGE('',*,*,#210883,.F.); #287311=ORIENTED_EDGE('',*,*,#210884,.T.); #287312=ORIENTED_EDGE('',*,*,#210883,.T.); #287313=ORIENTED_EDGE('',*,*,#210885,.F.); #287314=ORIENTED_EDGE('',*,*,#210886,.F.); #287315=ORIENTED_EDGE('',*,*,#210887,.T.); #287316=ORIENTED_EDGE('',*,*,#210886,.T.); #287317=ORIENTED_EDGE('',*,*,#210888,.F.); #287318=ORIENTED_EDGE('',*,*,#210889,.F.); #287319=ORIENTED_EDGE('',*,*,#210890,.T.); #287320=ORIENTED_EDGE('',*,*,#210889,.T.); #287321=ORIENTED_EDGE('',*,*,#210891,.F.); #287322=ORIENTED_EDGE('',*,*,#210892,.F.); #287323=ORIENTED_EDGE('',*,*,#210893,.T.); #287324=ORIENTED_EDGE('',*,*,#210892,.T.); #287325=ORIENTED_EDGE('',*,*,#210894,.F.); #287326=ORIENTED_EDGE('',*,*,#210895,.F.); #287327=ORIENTED_EDGE('',*,*,#210896,.T.); #287328=ORIENTED_EDGE('',*,*,#210895,.T.); #287329=ORIENTED_EDGE('',*,*,#210897,.F.); #287330=ORIENTED_EDGE('',*,*,#210898,.F.); #287331=ORIENTED_EDGE('',*,*,#210899,.T.); #287332=ORIENTED_EDGE('',*,*,#210898,.T.); #287333=ORIENTED_EDGE('',*,*,#210900,.F.); #287334=ORIENTED_EDGE('',*,*,#210901,.F.); #287335=ORIENTED_EDGE('',*,*,#210902,.T.); #287336=ORIENTED_EDGE('',*,*,#210901,.T.); #287337=ORIENTED_EDGE('',*,*,#210903,.F.); #287338=ORIENTED_EDGE('',*,*,#210904,.F.); #287339=ORIENTED_EDGE('',*,*,#210905,.T.); #287340=ORIENTED_EDGE('',*,*,#210904,.T.); #287341=ORIENTED_EDGE('',*,*,#210906,.F.); #287342=ORIENTED_EDGE('',*,*,#210907,.F.); #287343=ORIENTED_EDGE('',*,*,#210908,.T.); #287344=ORIENTED_EDGE('',*,*,#210907,.T.); #287345=ORIENTED_EDGE('',*,*,#210909,.F.); #287346=ORIENTED_EDGE('',*,*,#210910,.F.); #287347=ORIENTED_EDGE('',*,*,#210911,.T.); #287348=ORIENTED_EDGE('',*,*,#210910,.T.); #287349=ORIENTED_EDGE('',*,*,#210912,.F.); #287350=ORIENTED_EDGE('',*,*,#210913,.F.); #287351=ORIENTED_EDGE('',*,*,#210914,.T.); #287352=ORIENTED_EDGE('',*,*,#210913,.T.); #287353=ORIENTED_EDGE('',*,*,#210915,.F.); #287354=ORIENTED_EDGE('',*,*,#210916,.F.); #287355=ORIENTED_EDGE('',*,*,#210917,.T.); #287356=ORIENTED_EDGE('',*,*,#210916,.T.); #287357=ORIENTED_EDGE('',*,*,#210918,.F.); #287358=ORIENTED_EDGE('',*,*,#210919,.F.); #287359=ORIENTED_EDGE('',*,*,#210920,.T.); #287360=ORIENTED_EDGE('',*,*,#210919,.T.); #287361=ORIENTED_EDGE('',*,*,#210921,.F.); #287362=ORIENTED_EDGE('',*,*,#210922,.F.); #287363=ORIENTED_EDGE('',*,*,#210923,.T.); #287364=ORIENTED_EDGE('',*,*,#210922,.T.); #287365=ORIENTED_EDGE('',*,*,#210924,.F.); #287366=ORIENTED_EDGE('',*,*,#210925,.F.); #287367=ORIENTED_EDGE('',*,*,#210926,.T.); #287368=ORIENTED_EDGE('',*,*,#210925,.T.); #287369=ORIENTED_EDGE('',*,*,#210927,.F.); #287370=ORIENTED_EDGE('',*,*,#210928,.F.); #287371=ORIENTED_EDGE('',*,*,#210929,.T.); #287372=ORIENTED_EDGE('',*,*,#210928,.T.); #287373=ORIENTED_EDGE('',*,*,#210930,.F.); #287374=ORIENTED_EDGE('',*,*,#210931,.F.); #287375=ORIENTED_EDGE('',*,*,#210932,.T.); #287376=ORIENTED_EDGE('',*,*,#210931,.T.); #287377=ORIENTED_EDGE('',*,*,#210933,.F.); #287378=ORIENTED_EDGE('',*,*,#210934,.F.); #287379=ORIENTED_EDGE('',*,*,#210935,.T.); #287380=ORIENTED_EDGE('',*,*,#210934,.T.); #287381=ORIENTED_EDGE('',*,*,#210936,.F.); #287382=ORIENTED_EDGE('',*,*,#210937,.F.); #287383=ORIENTED_EDGE('',*,*,#210938,.T.); #287384=ORIENTED_EDGE('',*,*,#210937,.T.); #287385=ORIENTED_EDGE('',*,*,#210939,.F.); #287386=ORIENTED_EDGE('',*,*,#210940,.F.); #287387=ORIENTED_EDGE('',*,*,#210941,.T.); #287388=ORIENTED_EDGE('',*,*,#210940,.T.); #287389=ORIENTED_EDGE('',*,*,#210942,.F.); #287390=ORIENTED_EDGE('',*,*,#210943,.F.); #287391=ORIENTED_EDGE('',*,*,#210944,.T.); #287392=ORIENTED_EDGE('',*,*,#210943,.T.); #287393=ORIENTED_EDGE('',*,*,#210945,.F.); #287394=ORIENTED_EDGE('',*,*,#210946,.F.); #287395=ORIENTED_EDGE('',*,*,#210947,.T.); #287396=ORIENTED_EDGE('',*,*,#210946,.T.); #287397=ORIENTED_EDGE('',*,*,#210948,.F.); #287398=ORIENTED_EDGE('',*,*,#210949,.F.); #287399=ORIENTED_EDGE('',*,*,#210950,.T.); #287400=ORIENTED_EDGE('',*,*,#210949,.T.); #287401=ORIENTED_EDGE('',*,*,#210951,.F.); #287402=ORIENTED_EDGE('',*,*,#210952,.F.); #287403=ORIENTED_EDGE('',*,*,#210953,.T.); #287404=ORIENTED_EDGE('',*,*,#210952,.T.); #287405=ORIENTED_EDGE('',*,*,#210954,.F.); #287406=ORIENTED_EDGE('',*,*,#210955,.F.); #287407=ORIENTED_EDGE('',*,*,#210956,.T.); #287408=ORIENTED_EDGE('',*,*,#210955,.T.); #287409=ORIENTED_EDGE('',*,*,#210957,.F.); #287410=ORIENTED_EDGE('',*,*,#210958,.F.); #287411=ORIENTED_EDGE('',*,*,#210959,.T.); #287412=ORIENTED_EDGE('',*,*,#210958,.T.); #287413=ORIENTED_EDGE('',*,*,#210960,.F.); #287414=ORIENTED_EDGE('',*,*,#210961,.F.); #287415=ORIENTED_EDGE('',*,*,#210962,.T.); #287416=ORIENTED_EDGE('',*,*,#210961,.T.); #287417=ORIENTED_EDGE('',*,*,#210963,.F.); #287418=ORIENTED_EDGE('',*,*,#210964,.F.); #287419=ORIENTED_EDGE('',*,*,#210965,.T.); #287420=ORIENTED_EDGE('',*,*,#210964,.T.); #287421=ORIENTED_EDGE('',*,*,#210966,.F.); #287422=ORIENTED_EDGE('',*,*,#210967,.F.); #287423=ORIENTED_EDGE('',*,*,#210968,.T.); #287424=ORIENTED_EDGE('',*,*,#210967,.T.); #287425=ORIENTED_EDGE('',*,*,#210969,.F.); #287426=ORIENTED_EDGE('',*,*,#210970,.F.); #287427=ORIENTED_EDGE('',*,*,#210971,.T.); #287428=ORIENTED_EDGE('',*,*,#210970,.T.); #287429=ORIENTED_EDGE('',*,*,#210972,.F.); #287430=ORIENTED_EDGE('',*,*,#210973,.F.); #287431=ORIENTED_EDGE('',*,*,#210974,.T.); #287432=ORIENTED_EDGE('',*,*,#210973,.T.); #287433=ORIENTED_EDGE('',*,*,#210975,.F.); #287434=ORIENTED_EDGE('',*,*,#210976,.F.); #287435=ORIENTED_EDGE('',*,*,#210977,.T.); #287436=ORIENTED_EDGE('',*,*,#210976,.T.); #287437=ORIENTED_EDGE('',*,*,#210978,.F.); #287438=ORIENTED_EDGE('',*,*,#210979,.F.); #287439=ORIENTED_EDGE('',*,*,#210980,.T.); #287440=ORIENTED_EDGE('',*,*,#210979,.T.); #287441=ORIENTED_EDGE('',*,*,#210981,.F.); #287442=ORIENTED_EDGE('',*,*,#210982,.F.); #287443=ORIENTED_EDGE('',*,*,#210983,.T.); #287444=ORIENTED_EDGE('',*,*,#210982,.T.); #287445=ORIENTED_EDGE('',*,*,#210984,.F.); #287446=ORIENTED_EDGE('',*,*,#210985,.F.); #287447=ORIENTED_EDGE('',*,*,#210986,.T.); #287448=ORIENTED_EDGE('',*,*,#210985,.T.); #287449=ORIENTED_EDGE('',*,*,#210987,.F.); #287450=ORIENTED_EDGE('',*,*,#210988,.F.); #287451=ORIENTED_EDGE('',*,*,#210989,.T.); #287452=ORIENTED_EDGE('',*,*,#210988,.T.); #287453=ORIENTED_EDGE('',*,*,#210990,.F.); #287454=ORIENTED_EDGE('',*,*,#210991,.F.); #287455=ORIENTED_EDGE('',*,*,#210992,.T.); #287456=ORIENTED_EDGE('',*,*,#210991,.T.); #287457=ORIENTED_EDGE('',*,*,#210993,.F.); #287458=ORIENTED_EDGE('',*,*,#210994,.F.); #287459=ORIENTED_EDGE('',*,*,#210995,.T.); #287460=ORIENTED_EDGE('',*,*,#210994,.T.); #287461=ORIENTED_EDGE('',*,*,#210996,.F.); #287462=ORIENTED_EDGE('',*,*,#210997,.F.); #287463=ORIENTED_EDGE('',*,*,#210998,.T.); #287464=ORIENTED_EDGE('',*,*,#210997,.T.); #287465=ORIENTED_EDGE('',*,*,#210999,.F.); #287466=ORIENTED_EDGE('',*,*,#211000,.F.); #287467=ORIENTED_EDGE('',*,*,#211001,.T.); #287468=ORIENTED_EDGE('',*,*,#211000,.T.); #287469=ORIENTED_EDGE('',*,*,#211002,.F.); #287470=ORIENTED_EDGE('',*,*,#211003,.F.); #287471=ORIENTED_EDGE('',*,*,#211004,.T.); #287472=ORIENTED_EDGE('',*,*,#211003,.T.); #287473=ORIENTED_EDGE('',*,*,#211005,.F.); #287474=ORIENTED_EDGE('',*,*,#211006,.F.); #287475=ORIENTED_EDGE('',*,*,#211007,.T.); #287476=ORIENTED_EDGE('',*,*,#211006,.T.); #287477=ORIENTED_EDGE('',*,*,#211008,.F.); #287478=ORIENTED_EDGE('',*,*,#211009,.F.); #287479=ORIENTED_EDGE('',*,*,#211010,.T.); #287480=ORIENTED_EDGE('',*,*,#211009,.T.); #287481=ORIENTED_EDGE('',*,*,#211011,.F.); #287482=ORIENTED_EDGE('',*,*,#211012,.F.); #287483=ORIENTED_EDGE('',*,*,#211013,.T.); #287484=ORIENTED_EDGE('',*,*,#211012,.T.); #287485=ORIENTED_EDGE('',*,*,#211014,.F.); #287486=ORIENTED_EDGE('',*,*,#211015,.F.); #287487=ORIENTED_EDGE('',*,*,#211016,.T.); #287488=ORIENTED_EDGE('',*,*,#211015,.T.); #287489=ORIENTED_EDGE('',*,*,#211017,.F.); #287490=ORIENTED_EDGE('',*,*,#211018,.F.); #287491=ORIENTED_EDGE('',*,*,#211019,.T.); #287492=ORIENTED_EDGE('',*,*,#211018,.T.); #287493=ORIENTED_EDGE('',*,*,#211020,.F.); #287494=ORIENTED_EDGE('',*,*,#211021,.F.); #287495=ORIENTED_EDGE('',*,*,#211022,.T.); #287496=ORIENTED_EDGE('',*,*,#211021,.T.); #287497=ORIENTED_EDGE('',*,*,#211023,.F.); #287498=ORIENTED_EDGE('',*,*,#210629,.F.); #287499=ORIENTED_EDGE('',*,*,#211024,.T.); #287500=ORIENTED_EDGE('',*,*,#211025,.T.); #287501=ORIENTED_EDGE('',*,*,#211026,.F.); #287502=ORIENTED_EDGE('',*,*,#211027,.F.); #287503=ORIENTED_EDGE('',*,*,#211028,.T.); #287504=ORIENTED_EDGE('',*,*,#211027,.T.); #287505=ORIENTED_EDGE('',*,*,#211029,.F.); #287506=ORIENTED_EDGE('',*,*,#211030,.F.); #287507=ORIENTED_EDGE('',*,*,#211031,.T.); #287508=ORIENTED_EDGE('',*,*,#211030,.T.); #287509=ORIENTED_EDGE('',*,*,#211032,.F.); #287510=ORIENTED_EDGE('',*,*,#211033,.F.); #287511=ORIENTED_EDGE('',*,*,#211034,.T.); #287512=ORIENTED_EDGE('',*,*,#211033,.T.); #287513=ORIENTED_EDGE('',*,*,#211035,.F.); #287514=ORIENTED_EDGE('',*,*,#211036,.F.); #287515=ORIENTED_EDGE('',*,*,#211037,.T.); #287516=ORIENTED_EDGE('',*,*,#211036,.T.); #287517=ORIENTED_EDGE('',*,*,#211038,.F.); #287518=ORIENTED_EDGE('',*,*,#211039,.F.); #287519=ORIENTED_EDGE('',*,*,#211040,.T.); #287520=ORIENTED_EDGE('',*,*,#211039,.T.); #287521=ORIENTED_EDGE('',*,*,#211041,.F.); #287522=ORIENTED_EDGE('',*,*,#211042,.F.); #287523=ORIENTED_EDGE('',*,*,#211043,.T.); #287524=ORIENTED_EDGE('',*,*,#211042,.T.); #287525=ORIENTED_EDGE('',*,*,#211044,.F.); #287526=ORIENTED_EDGE('',*,*,#211045,.F.); #287527=ORIENTED_EDGE('',*,*,#211046,.T.); #287528=ORIENTED_EDGE('',*,*,#211045,.T.); #287529=ORIENTED_EDGE('',*,*,#211047,.F.); #287530=ORIENTED_EDGE('',*,*,#211025,.F.); #287531=ORIENTED_EDGE('',*,*,#211048,.T.); #287532=ORIENTED_EDGE('',*,*,#211049,.T.); #287533=ORIENTED_EDGE('',*,*,#211050,.F.); #287534=ORIENTED_EDGE('',*,*,#211051,.F.); #287535=ORIENTED_EDGE('',*,*,#211052,.T.); #287536=ORIENTED_EDGE('',*,*,#211051,.T.); #287537=ORIENTED_EDGE('',*,*,#211053,.F.); #287538=ORIENTED_EDGE('',*,*,#211054,.F.); #287539=ORIENTED_EDGE('',*,*,#211055,.T.); #287540=ORIENTED_EDGE('',*,*,#211054,.T.); #287541=ORIENTED_EDGE('',*,*,#211056,.F.); #287542=ORIENTED_EDGE('',*,*,#211057,.F.); #287543=ORIENTED_EDGE('',*,*,#211058,.T.); #287544=ORIENTED_EDGE('',*,*,#211057,.T.); #287545=ORIENTED_EDGE('',*,*,#211059,.F.); #287546=ORIENTED_EDGE('',*,*,#211060,.F.); #287547=ORIENTED_EDGE('',*,*,#211061,.T.); #287548=ORIENTED_EDGE('',*,*,#211060,.T.); #287549=ORIENTED_EDGE('',*,*,#211062,.F.); #287550=ORIENTED_EDGE('',*,*,#211063,.F.); #287551=ORIENTED_EDGE('',*,*,#211064,.T.); #287552=ORIENTED_EDGE('',*,*,#211063,.T.); #287553=ORIENTED_EDGE('',*,*,#211065,.F.); #287554=ORIENTED_EDGE('',*,*,#211066,.F.); #287555=ORIENTED_EDGE('',*,*,#211067,.T.); #287556=ORIENTED_EDGE('',*,*,#211066,.T.); #287557=ORIENTED_EDGE('',*,*,#211068,.F.); #287558=ORIENTED_EDGE('',*,*,#211069,.F.); #287559=ORIENTED_EDGE('',*,*,#211070,.T.); #287560=ORIENTED_EDGE('',*,*,#211069,.T.); #287561=ORIENTED_EDGE('',*,*,#211071,.F.); #287562=ORIENTED_EDGE('',*,*,#211049,.F.); #287563=ORIENTED_EDGE('',*,*,#211072,.T.); #287564=ORIENTED_EDGE('',*,*,#211073,.T.); #287565=ORIENTED_EDGE('',*,*,#211074,.F.); #287566=ORIENTED_EDGE('',*,*,#211075,.F.); #287567=ORIENTED_EDGE('',*,*,#211076,.T.); #287568=ORIENTED_EDGE('',*,*,#211075,.T.); #287569=ORIENTED_EDGE('',*,*,#211077,.F.); #287570=ORIENTED_EDGE('',*,*,#211078,.F.); #287571=ORIENTED_EDGE('',*,*,#211079,.T.); #287572=ORIENTED_EDGE('',*,*,#211078,.T.); #287573=ORIENTED_EDGE('',*,*,#211080,.F.); #287574=ORIENTED_EDGE('',*,*,#211081,.F.); #287575=ORIENTED_EDGE('',*,*,#211082,.T.); #287576=ORIENTED_EDGE('',*,*,#211081,.T.); #287577=ORIENTED_EDGE('',*,*,#211083,.F.); #287578=ORIENTED_EDGE('',*,*,#211084,.F.); #287579=ORIENTED_EDGE('',*,*,#211085,.T.); #287580=ORIENTED_EDGE('',*,*,#211084,.T.); #287581=ORIENTED_EDGE('',*,*,#211086,.F.); #287582=ORIENTED_EDGE('',*,*,#211087,.F.); #287583=ORIENTED_EDGE('',*,*,#211088,.T.); #287584=ORIENTED_EDGE('',*,*,#211087,.T.); #287585=ORIENTED_EDGE('',*,*,#211089,.F.); #287586=ORIENTED_EDGE('',*,*,#211090,.F.); #287587=ORIENTED_EDGE('',*,*,#211091,.T.); #287588=ORIENTED_EDGE('',*,*,#211090,.T.); #287589=ORIENTED_EDGE('',*,*,#211092,.F.); #287590=ORIENTED_EDGE('',*,*,#211093,.F.); #287591=ORIENTED_EDGE('',*,*,#211094,.T.); #287592=ORIENTED_EDGE('',*,*,#211093,.T.); #287593=ORIENTED_EDGE('',*,*,#211095,.F.); #287594=ORIENTED_EDGE('',*,*,#211073,.F.); #287595=ORIENTED_EDGE('',*,*,#211096,.T.); #287596=ORIENTED_EDGE('',*,*,#211097,.T.); #287597=ORIENTED_EDGE('',*,*,#211098,.F.); #287598=ORIENTED_EDGE('',*,*,#211099,.F.); #287599=ORIENTED_EDGE('',*,*,#211100,.T.); #287600=ORIENTED_EDGE('',*,*,#211099,.T.); #287601=ORIENTED_EDGE('',*,*,#211101,.F.); #287602=ORIENTED_EDGE('',*,*,#211102,.F.); #287603=ORIENTED_EDGE('',*,*,#211103,.T.); #287604=ORIENTED_EDGE('',*,*,#211102,.T.); #287605=ORIENTED_EDGE('',*,*,#211104,.F.); #287606=ORIENTED_EDGE('',*,*,#211105,.F.); #287607=ORIENTED_EDGE('',*,*,#211106,.T.); #287608=ORIENTED_EDGE('',*,*,#211105,.T.); #287609=ORIENTED_EDGE('',*,*,#211107,.F.); #287610=ORIENTED_EDGE('',*,*,#211108,.F.); #287611=ORIENTED_EDGE('',*,*,#211109,.T.); #287612=ORIENTED_EDGE('',*,*,#211108,.T.); #287613=ORIENTED_EDGE('',*,*,#211110,.F.); #287614=ORIENTED_EDGE('',*,*,#211111,.F.); #287615=ORIENTED_EDGE('',*,*,#211112,.T.); #287616=ORIENTED_EDGE('',*,*,#211111,.T.); #287617=ORIENTED_EDGE('',*,*,#211113,.F.); #287618=ORIENTED_EDGE('',*,*,#211114,.F.); #287619=ORIENTED_EDGE('',*,*,#211115,.T.); #287620=ORIENTED_EDGE('',*,*,#211114,.T.); #287621=ORIENTED_EDGE('',*,*,#211116,.F.); #287622=ORIENTED_EDGE('',*,*,#211117,.F.); #287623=ORIENTED_EDGE('',*,*,#211118,.T.); #287624=ORIENTED_EDGE('',*,*,#211117,.T.); #287625=ORIENTED_EDGE('',*,*,#211119,.F.); #287626=ORIENTED_EDGE('',*,*,#211120,.F.); #287627=ORIENTED_EDGE('',*,*,#211121,.T.); #287628=ORIENTED_EDGE('',*,*,#211120,.T.); #287629=ORIENTED_EDGE('',*,*,#211122,.F.); #287630=ORIENTED_EDGE('',*,*,#211123,.F.); #287631=ORIENTED_EDGE('',*,*,#211124,.T.); #287632=ORIENTED_EDGE('',*,*,#211123,.T.); #287633=ORIENTED_EDGE('',*,*,#211125,.F.); #287634=ORIENTED_EDGE('',*,*,#211126,.F.); #287635=ORIENTED_EDGE('',*,*,#211127,.T.); #287636=ORIENTED_EDGE('',*,*,#211126,.T.); #287637=ORIENTED_EDGE('',*,*,#211128,.F.); #287638=ORIENTED_EDGE('',*,*,#211129,.F.); #287639=ORIENTED_EDGE('',*,*,#211130,.T.); #287640=ORIENTED_EDGE('',*,*,#211129,.T.); #287641=ORIENTED_EDGE('',*,*,#211131,.F.); #287642=ORIENTED_EDGE('',*,*,#211132,.F.); #287643=ORIENTED_EDGE('',*,*,#211133,.T.); #287644=ORIENTED_EDGE('',*,*,#211132,.T.); #287645=ORIENTED_EDGE('',*,*,#211134,.F.); #287646=ORIENTED_EDGE('',*,*,#211135,.F.); #287647=ORIENTED_EDGE('',*,*,#211136,.T.); #287648=ORIENTED_EDGE('',*,*,#211135,.T.); #287649=ORIENTED_EDGE('',*,*,#211137,.F.); #287650=ORIENTED_EDGE('',*,*,#211138,.F.); #287651=ORIENTED_EDGE('',*,*,#211139,.T.); #287652=ORIENTED_EDGE('',*,*,#211138,.T.); #287653=ORIENTED_EDGE('',*,*,#211140,.F.); #287654=ORIENTED_EDGE('',*,*,#211141,.F.); #287655=ORIENTED_EDGE('',*,*,#211142,.T.); #287656=ORIENTED_EDGE('',*,*,#211141,.T.); #287657=ORIENTED_EDGE('',*,*,#211143,.F.); #287658=ORIENTED_EDGE('',*,*,#211144,.F.); #287659=ORIENTED_EDGE('',*,*,#211145,.T.); #287660=ORIENTED_EDGE('',*,*,#211144,.T.); #287661=ORIENTED_EDGE('',*,*,#211146,.F.); #287662=ORIENTED_EDGE('',*,*,#211147,.F.); #287663=ORIENTED_EDGE('',*,*,#211148,.T.); #287664=ORIENTED_EDGE('',*,*,#211147,.T.); #287665=ORIENTED_EDGE('',*,*,#211149,.F.); #287666=ORIENTED_EDGE('',*,*,#211150,.F.); #287667=ORIENTED_EDGE('',*,*,#211151,.T.); #287668=ORIENTED_EDGE('',*,*,#211150,.T.); #287669=ORIENTED_EDGE('',*,*,#211152,.F.); #287670=ORIENTED_EDGE('',*,*,#211153,.F.); #287671=ORIENTED_EDGE('',*,*,#211154,.T.); #287672=ORIENTED_EDGE('',*,*,#211153,.T.); #287673=ORIENTED_EDGE('',*,*,#211155,.F.); #287674=ORIENTED_EDGE('',*,*,#211156,.F.); #287675=ORIENTED_EDGE('',*,*,#211157,.T.); #287676=ORIENTED_EDGE('',*,*,#211156,.T.); #287677=ORIENTED_EDGE('',*,*,#211158,.F.); #287678=ORIENTED_EDGE('',*,*,#211159,.F.); #287679=ORIENTED_EDGE('',*,*,#211160,.T.); #287680=ORIENTED_EDGE('',*,*,#211159,.T.); #287681=ORIENTED_EDGE('',*,*,#211161,.F.); #287682=ORIENTED_EDGE('',*,*,#211162,.F.); #287683=ORIENTED_EDGE('',*,*,#211163,.T.); #287684=ORIENTED_EDGE('',*,*,#211162,.T.); #287685=ORIENTED_EDGE('',*,*,#211164,.F.); #287686=ORIENTED_EDGE('',*,*,#211165,.F.); #287687=ORIENTED_EDGE('',*,*,#211166,.T.); #287688=ORIENTED_EDGE('',*,*,#211165,.T.); #287689=ORIENTED_EDGE('',*,*,#211167,.F.); #287690=ORIENTED_EDGE('',*,*,#211168,.F.); #287691=ORIENTED_EDGE('',*,*,#211169,.T.); #287692=ORIENTED_EDGE('',*,*,#211168,.T.); #287693=ORIENTED_EDGE('',*,*,#211170,.F.); #287694=ORIENTED_EDGE('',*,*,#211171,.F.); #287695=ORIENTED_EDGE('',*,*,#211172,.T.); #287696=ORIENTED_EDGE('',*,*,#211171,.T.); #287697=ORIENTED_EDGE('',*,*,#211173,.F.); #287698=ORIENTED_EDGE('',*,*,#211174,.F.); #287699=ORIENTED_EDGE('',*,*,#211175,.T.); #287700=ORIENTED_EDGE('',*,*,#211174,.T.); #287701=ORIENTED_EDGE('',*,*,#211176,.F.); #287702=ORIENTED_EDGE('',*,*,#211177,.F.); #287703=ORIENTED_EDGE('',*,*,#211178,.T.); #287704=ORIENTED_EDGE('',*,*,#211177,.T.); #287705=ORIENTED_EDGE('',*,*,#211179,.F.); #287706=ORIENTED_EDGE('',*,*,#211180,.F.); #287707=ORIENTED_EDGE('',*,*,#211181,.T.); #287708=ORIENTED_EDGE('',*,*,#211180,.T.); #287709=ORIENTED_EDGE('',*,*,#211182,.F.); #287710=ORIENTED_EDGE('',*,*,#211183,.F.); #287711=ORIENTED_EDGE('',*,*,#211184,.T.); #287712=ORIENTED_EDGE('',*,*,#211183,.T.); #287713=ORIENTED_EDGE('',*,*,#211185,.F.); #287714=ORIENTED_EDGE('',*,*,#211186,.F.); #287715=ORIENTED_EDGE('',*,*,#211187,.T.); #287716=ORIENTED_EDGE('',*,*,#211186,.T.); #287717=ORIENTED_EDGE('',*,*,#211188,.F.); #287718=ORIENTED_EDGE('',*,*,#211189,.F.); #287719=ORIENTED_EDGE('',*,*,#211190,.T.); #287720=ORIENTED_EDGE('',*,*,#211189,.T.); #287721=ORIENTED_EDGE('',*,*,#211191,.F.); #287722=ORIENTED_EDGE('',*,*,#211192,.F.); #287723=ORIENTED_EDGE('',*,*,#211193,.T.); #287724=ORIENTED_EDGE('',*,*,#211192,.T.); #287725=ORIENTED_EDGE('',*,*,#211194,.F.); #287726=ORIENTED_EDGE('',*,*,#211195,.F.); #287727=ORIENTED_EDGE('',*,*,#211196,.T.); #287728=ORIENTED_EDGE('',*,*,#211195,.T.); #287729=ORIENTED_EDGE('',*,*,#211197,.F.); #287730=ORIENTED_EDGE('',*,*,#211097,.F.); #287731=ORIENTED_EDGE('',*,*,#211198,.T.); #287732=ORIENTED_EDGE('',*,*,#211199,.T.); #287733=ORIENTED_EDGE('',*,*,#211200,.F.); #287734=ORIENTED_EDGE('',*,*,#211201,.F.); #287735=ORIENTED_EDGE('',*,*,#211202,.T.); #287736=ORIENTED_EDGE('',*,*,#211201,.T.); #287737=ORIENTED_EDGE('',*,*,#211203,.F.); #287738=ORIENTED_EDGE('',*,*,#211204,.F.); #287739=ORIENTED_EDGE('',*,*,#211205,.T.); #287740=ORIENTED_EDGE('',*,*,#211204,.T.); #287741=ORIENTED_EDGE('',*,*,#211206,.F.); #287742=ORIENTED_EDGE('',*,*,#211207,.F.); #287743=ORIENTED_EDGE('',*,*,#211208,.T.); #287744=ORIENTED_EDGE('',*,*,#211207,.T.); #287745=ORIENTED_EDGE('',*,*,#211209,.F.); #287746=ORIENTED_EDGE('',*,*,#211210,.F.); #287747=ORIENTED_EDGE('',*,*,#211211,.T.); #287748=ORIENTED_EDGE('',*,*,#211210,.T.); #287749=ORIENTED_EDGE('',*,*,#211212,.F.); #287750=ORIENTED_EDGE('',*,*,#211213,.F.); #287751=ORIENTED_EDGE('',*,*,#211214,.T.); #287752=ORIENTED_EDGE('',*,*,#211213,.T.); #287753=ORIENTED_EDGE('',*,*,#211215,.F.); #287754=ORIENTED_EDGE('',*,*,#211216,.F.); #287755=ORIENTED_EDGE('',*,*,#211217,.T.); #287756=ORIENTED_EDGE('',*,*,#211216,.T.); #287757=ORIENTED_EDGE('',*,*,#211218,.F.); #287758=ORIENTED_EDGE('',*,*,#211219,.F.); #287759=ORIENTED_EDGE('',*,*,#211220,.T.); #287760=ORIENTED_EDGE('',*,*,#211219,.T.); #287761=ORIENTED_EDGE('',*,*,#211221,.F.); #287762=ORIENTED_EDGE('',*,*,#211222,.F.); #287763=ORIENTED_EDGE('',*,*,#211223,.T.); #287764=ORIENTED_EDGE('',*,*,#211222,.T.); #287765=ORIENTED_EDGE('',*,*,#211224,.F.); #287766=ORIENTED_EDGE('',*,*,#211225,.F.); #287767=ORIENTED_EDGE('',*,*,#211226,.T.); #287768=ORIENTED_EDGE('',*,*,#211225,.T.); #287769=ORIENTED_EDGE('',*,*,#211227,.F.); #287770=ORIENTED_EDGE('',*,*,#211228,.F.); #287771=ORIENTED_EDGE('',*,*,#211229,.T.); #287772=ORIENTED_EDGE('',*,*,#211228,.T.); #287773=ORIENTED_EDGE('',*,*,#211230,.F.); #287774=ORIENTED_EDGE('',*,*,#211231,.F.); #287775=ORIENTED_EDGE('',*,*,#211232,.T.); #287776=ORIENTED_EDGE('',*,*,#211231,.T.); #287777=ORIENTED_EDGE('',*,*,#211233,.F.); #287778=ORIENTED_EDGE('',*,*,#211234,.F.); #287779=ORIENTED_EDGE('',*,*,#211235,.T.); #287780=ORIENTED_EDGE('',*,*,#211234,.T.); #287781=ORIENTED_EDGE('',*,*,#211236,.F.); #287782=ORIENTED_EDGE('',*,*,#211237,.F.); #287783=ORIENTED_EDGE('',*,*,#211238,.T.); #287784=ORIENTED_EDGE('',*,*,#211237,.T.); #287785=ORIENTED_EDGE('',*,*,#211239,.F.); #287786=ORIENTED_EDGE('',*,*,#211240,.F.); #287787=ORIENTED_EDGE('',*,*,#211241,.T.); #287788=ORIENTED_EDGE('',*,*,#211240,.T.); #287789=ORIENTED_EDGE('',*,*,#211242,.F.); #287790=ORIENTED_EDGE('',*,*,#211243,.F.); #287791=ORIENTED_EDGE('',*,*,#211244,.T.); #287792=ORIENTED_EDGE('',*,*,#211243,.T.); #287793=ORIENTED_EDGE('',*,*,#211245,.F.); #287794=ORIENTED_EDGE('',*,*,#211246,.F.); #287795=ORIENTED_EDGE('',*,*,#211247,.T.); #287796=ORIENTED_EDGE('',*,*,#211246,.T.); #287797=ORIENTED_EDGE('',*,*,#211248,.F.); #287798=ORIENTED_EDGE('',*,*,#211249,.F.); #287799=ORIENTED_EDGE('',*,*,#211250,.T.); #287800=ORIENTED_EDGE('',*,*,#211249,.T.); #287801=ORIENTED_EDGE('',*,*,#211251,.F.); #287802=ORIENTED_EDGE('',*,*,#211252,.F.); #287803=ORIENTED_EDGE('',*,*,#211253,.T.); #287804=ORIENTED_EDGE('',*,*,#211252,.T.); #287805=ORIENTED_EDGE('',*,*,#211254,.F.); #287806=ORIENTED_EDGE('',*,*,#211255,.F.); #287807=ORIENTED_EDGE('',*,*,#211256,.T.); #287808=ORIENTED_EDGE('',*,*,#211255,.T.); #287809=ORIENTED_EDGE('',*,*,#211257,.F.); #287810=ORIENTED_EDGE('',*,*,#211258,.F.); #287811=ORIENTED_EDGE('',*,*,#211259,.T.); #287812=ORIENTED_EDGE('',*,*,#211258,.T.); #287813=ORIENTED_EDGE('',*,*,#211260,.F.); #287814=ORIENTED_EDGE('',*,*,#211261,.F.); #287815=ORIENTED_EDGE('',*,*,#211262,.T.); #287816=ORIENTED_EDGE('',*,*,#211261,.T.); #287817=ORIENTED_EDGE('',*,*,#211263,.F.); #287818=ORIENTED_EDGE('',*,*,#211264,.F.); #287819=ORIENTED_EDGE('',*,*,#211265,.T.); #287820=ORIENTED_EDGE('',*,*,#211264,.T.); #287821=ORIENTED_EDGE('',*,*,#211266,.F.); #287822=ORIENTED_EDGE('',*,*,#211267,.F.); #287823=ORIENTED_EDGE('',*,*,#211268,.T.); #287824=ORIENTED_EDGE('',*,*,#211267,.T.); #287825=ORIENTED_EDGE('',*,*,#211269,.F.); #287826=ORIENTED_EDGE('',*,*,#211270,.F.); #287827=ORIENTED_EDGE('',*,*,#211271,.T.); #287828=ORIENTED_EDGE('',*,*,#211270,.T.); #287829=ORIENTED_EDGE('',*,*,#211272,.F.); #287830=ORIENTED_EDGE('',*,*,#211273,.F.); #287831=ORIENTED_EDGE('',*,*,#211274,.T.); #287832=ORIENTED_EDGE('',*,*,#211273,.T.); #287833=ORIENTED_EDGE('',*,*,#211275,.F.); #287834=ORIENTED_EDGE('',*,*,#211276,.F.); #287835=ORIENTED_EDGE('',*,*,#211277,.T.); #287836=ORIENTED_EDGE('',*,*,#211276,.T.); #287837=ORIENTED_EDGE('',*,*,#211278,.F.); #287838=ORIENTED_EDGE('',*,*,#211279,.F.); #287839=ORIENTED_EDGE('',*,*,#211280,.T.); #287840=ORIENTED_EDGE('',*,*,#211279,.T.); #287841=ORIENTED_EDGE('',*,*,#211281,.F.); #287842=ORIENTED_EDGE('',*,*,#211282,.F.); #287843=ORIENTED_EDGE('',*,*,#211283,.T.); #287844=ORIENTED_EDGE('',*,*,#211282,.T.); #287845=ORIENTED_EDGE('',*,*,#211284,.F.); #287846=ORIENTED_EDGE('',*,*,#211285,.F.); #287847=ORIENTED_EDGE('',*,*,#211286,.T.); #287848=ORIENTED_EDGE('',*,*,#211285,.T.); #287849=ORIENTED_EDGE('',*,*,#211287,.F.); #287850=ORIENTED_EDGE('',*,*,#211288,.F.); #287851=ORIENTED_EDGE('',*,*,#211289,.T.); #287852=ORIENTED_EDGE('',*,*,#211288,.T.); #287853=ORIENTED_EDGE('',*,*,#211290,.F.); #287854=ORIENTED_EDGE('',*,*,#211291,.F.); #287855=ORIENTED_EDGE('',*,*,#211292,.T.); #287856=ORIENTED_EDGE('',*,*,#211291,.T.); #287857=ORIENTED_EDGE('',*,*,#211293,.F.); #287858=ORIENTED_EDGE('',*,*,#211294,.F.); #287859=ORIENTED_EDGE('',*,*,#211295,.T.); #287860=ORIENTED_EDGE('',*,*,#211294,.T.); #287861=ORIENTED_EDGE('',*,*,#211296,.F.); #287862=ORIENTED_EDGE('',*,*,#211297,.F.); #287863=ORIENTED_EDGE('',*,*,#211298,.T.); #287864=ORIENTED_EDGE('',*,*,#211297,.T.); #287865=ORIENTED_EDGE('',*,*,#211299,.F.); #287866=ORIENTED_EDGE('',*,*,#211199,.F.); #287867=ORIENTED_EDGE('',*,*,#211300,.T.); #287868=ORIENTED_EDGE('',*,*,#211301,.T.); #287869=ORIENTED_EDGE('',*,*,#211302,.F.); #287870=ORIENTED_EDGE('',*,*,#211303,.F.); #287871=ORIENTED_EDGE('',*,*,#211304,.T.); #287872=ORIENTED_EDGE('',*,*,#211303,.T.); #287873=ORIENTED_EDGE('',*,*,#211305,.F.); #287874=ORIENTED_EDGE('',*,*,#211306,.F.); #287875=ORIENTED_EDGE('',*,*,#211307,.T.); #287876=ORIENTED_EDGE('',*,*,#211306,.T.); #287877=ORIENTED_EDGE('',*,*,#211308,.F.); #287878=ORIENTED_EDGE('',*,*,#211309,.F.); #287879=ORIENTED_EDGE('',*,*,#211310,.T.); #287880=ORIENTED_EDGE('',*,*,#211309,.T.); #287881=ORIENTED_EDGE('',*,*,#211311,.F.); #287882=ORIENTED_EDGE('',*,*,#211312,.F.); #287883=ORIENTED_EDGE('',*,*,#211313,.T.); #287884=ORIENTED_EDGE('',*,*,#211312,.T.); #287885=ORIENTED_EDGE('',*,*,#211314,.F.); #287886=ORIENTED_EDGE('',*,*,#211315,.F.); #287887=ORIENTED_EDGE('',*,*,#211316,.T.); #287888=ORIENTED_EDGE('',*,*,#211315,.T.); #287889=ORIENTED_EDGE('',*,*,#211317,.F.); #287890=ORIENTED_EDGE('',*,*,#211318,.F.); #287891=ORIENTED_EDGE('',*,*,#211319,.T.); #287892=ORIENTED_EDGE('',*,*,#211318,.T.); #287893=ORIENTED_EDGE('',*,*,#211320,.F.); #287894=ORIENTED_EDGE('',*,*,#211321,.F.); #287895=ORIENTED_EDGE('',*,*,#211322,.T.); #287896=ORIENTED_EDGE('',*,*,#211321,.T.); #287897=ORIENTED_EDGE('',*,*,#211323,.F.); #287898=ORIENTED_EDGE('',*,*,#211324,.F.); #287899=ORIENTED_EDGE('',*,*,#211325,.T.); #287900=ORIENTED_EDGE('',*,*,#211324,.T.); #287901=ORIENTED_EDGE('',*,*,#211326,.F.); #287902=ORIENTED_EDGE('',*,*,#211327,.F.); #287903=ORIENTED_EDGE('',*,*,#211328,.T.); #287904=ORIENTED_EDGE('',*,*,#211327,.T.); #287905=ORIENTED_EDGE('',*,*,#211329,.F.); #287906=ORIENTED_EDGE('',*,*,#211330,.F.); #287907=ORIENTED_EDGE('',*,*,#211331,.T.); #287908=ORIENTED_EDGE('',*,*,#211330,.T.); #287909=ORIENTED_EDGE('',*,*,#211332,.F.); #287910=ORIENTED_EDGE('',*,*,#211333,.F.); #287911=ORIENTED_EDGE('',*,*,#211334,.T.); #287912=ORIENTED_EDGE('',*,*,#211333,.T.); #287913=ORIENTED_EDGE('',*,*,#211335,.F.); #287914=ORIENTED_EDGE('',*,*,#211336,.F.); #287915=ORIENTED_EDGE('',*,*,#211337,.T.); #287916=ORIENTED_EDGE('',*,*,#211336,.T.); #287917=ORIENTED_EDGE('',*,*,#211338,.F.); #287918=ORIENTED_EDGE('',*,*,#211339,.F.); #287919=ORIENTED_EDGE('',*,*,#211340,.T.); #287920=ORIENTED_EDGE('',*,*,#211339,.T.); #287921=ORIENTED_EDGE('',*,*,#211341,.F.); #287922=ORIENTED_EDGE('',*,*,#211342,.F.); #287923=ORIENTED_EDGE('',*,*,#211343,.T.); #287924=ORIENTED_EDGE('',*,*,#211342,.T.); #287925=ORIENTED_EDGE('',*,*,#211344,.F.); #287926=ORIENTED_EDGE('',*,*,#211345,.F.); #287927=ORIENTED_EDGE('',*,*,#211346,.T.); #287928=ORIENTED_EDGE('',*,*,#211345,.T.); #287929=ORIENTED_EDGE('',*,*,#211347,.F.); #287930=ORIENTED_EDGE('',*,*,#211348,.F.); #287931=ORIENTED_EDGE('',*,*,#211349,.T.); #287932=ORIENTED_EDGE('',*,*,#211348,.T.); #287933=ORIENTED_EDGE('',*,*,#211350,.F.); #287934=ORIENTED_EDGE('',*,*,#211351,.F.); #287935=ORIENTED_EDGE('',*,*,#211352,.T.); #287936=ORIENTED_EDGE('',*,*,#211351,.T.); #287937=ORIENTED_EDGE('',*,*,#211353,.F.); #287938=ORIENTED_EDGE('',*,*,#211354,.F.); #287939=ORIENTED_EDGE('',*,*,#211355,.T.); #287940=ORIENTED_EDGE('',*,*,#211354,.T.); #287941=ORIENTED_EDGE('',*,*,#211356,.F.); #287942=ORIENTED_EDGE('',*,*,#211357,.F.); #287943=ORIENTED_EDGE('',*,*,#211358,.T.); #287944=ORIENTED_EDGE('',*,*,#211357,.T.); #287945=ORIENTED_EDGE('',*,*,#211359,.F.); #287946=ORIENTED_EDGE('',*,*,#211360,.F.); #287947=ORIENTED_EDGE('',*,*,#211361,.T.); #287948=ORIENTED_EDGE('',*,*,#211360,.T.); #287949=ORIENTED_EDGE('',*,*,#211362,.F.); #287950=ORIENTED_EDGE('',*,*,#211363,.F.); #287951=ORIENTED_EDGE('',*,*,#211364,.T.); #287952=ORIENTED_EDGE('',*,*,#211363,.T.); #287953=ORIENTED_EDGE('',*,*,#211365,.F.); #287954=ORIENTED_EDGE('',*,*,#211366,.F.); #287955=ORIENTED_EDGE('',*,*,#211367,.T.); #287956=ORIENTED_EDGE('',*,*,#211366,.T.); #287957=ORIENTED_EDGE('',*,*,#211368,.F.); #287958=ORIENTED_EDGE('',*,*,#211369,.F.); #287959=ORIENTED_EDGE('',*,*,#211370,.T.); #287960=ORIENTED_EDGE('',*,*,#211369,.T.); #287961=ORIENTED_EDGE('',*,*,#211371,.F.); #287962=ORIENTED_EDGE('',*,*,#211372,.F.); #287963=ORIENTED_EDGE('',*,*,#211373,.T.); #287964=ORIENTED_EDGE('',*,*,#211372,.T.); #287965=ORIENTED_EDGE('',*,*,#211374,.F.); #287966=ORIENTED_EDGE('',*,*,#211375,.F.); #287967=ORIENTED_EDGE('',*,*,#211376,.T.); #287968=ORIENTED_EDGE('',*,*,#211375,.T.); #287969=ORIENTED_EDGE('',*,*,#211377,.F.); #287970=ORIENTED_EDGE('',*,*,#211378,.F.); #287971=ORIENTED_EDGE('',*,*,#211379,.T.); #287972=ORIENTED_EDGE('',*,*,#211378,.T.); #287973=ORIENTED_EDGE('',*,*,#211380,.F.); #287974=ORIENTED_EDGE('',*,*,#211381,.F.); #287975=ORIENTED_EDGE('',*,*,#211382,.T.); #287976=ORIENTED_EDGE('',*,*,#211381,.T.); #287977=ORIENTED_EDGE('',*,*,#211383,.F.); #287978=ORIENTED_EDGE('',*,*,#211384,.F.); #287979=ORIENTED_EDGE('',*,*,#211385,.T.); #287980=ORIENTED_EDGE('',*,*,#211384,.T.); #287981=ORIENTED_EDGE('',*,*,#211386,.F.); #287982=ORIENTED_EDGE('',*,*,#211387,.F.); #287983=ORIENTED_EDGE('',*,*,#211388,.T.); #287984=ORIENTED_EDGE('',*,*,#211387,.T.); #287985=ORIENTED_EDGE('',*,*,#211389,.F.); #287986=ORIENTED_EDGE('',*,*,#211390,.F.); #287987=ORIENTED_EDGE('',*,*,#211391,.T.); #287988=ORIENTED_EDGE('',*,*,#211390,.T.); #287989=ORIENTED_EDGE('',*,*,#211392,.F.); #287990=ORIENTED_EDGE('',*,*,#211393,.F.); #287991=ORIENTED_EDGE('',*,*,#211394,.T.); #287992=ORIENTED_EDGE('',*,*,#211393,.T.); #287993=ORIENTED_EDGE('',*,*,#211395,.F.); #287994=ORIENTED_EDGE('',*,*,#211396,.F.); #287995=ORIENTED_EDGE('',*,*,#211397,.T.); #287996=ORIENTED_EDGE('',*,*,#211396,.T.); #287997=ORIENTED_EDGE('',*,*,#211398,.F.); #287998=ORIENTED_EDGE('',*,*,#211399,.F.); #287999=ORIENTED_EDGE('',*,*,#211400,.T.); #288000=ORIENTED_EDGE('',*,*,#211399,.T.); #288001=ORIENTED_EDGE('',*,*,#211401,.F.); #288002=ORIENTED_EDGE('',*,*,#211402,.F.); #288003=ORIENTED_EDGE('',*,*,#211403,.T.); #288004=ORIENTED_EDGE('',*,*,#211402,.T.); #288005=ORIENTED_EDGE('',*,*,#211404,.F.); #288006=ORIENTED_EDGE('',*,*,#211405,.F.); #288007=ORIENTED_EDGE('',*,*,#211406,.T.); #288008=ORIENTED_EDGE('',*,*,#211405,.T.); #288009=ORIENTED_EDGE('',*,*,#211407,.F.); #288010=ORIENTED_EDGE('',*,*,#211408,.F.); #288011=ORIENTED_EDGE('',*,*,#211409,.T.); #288012=ORIENTED_EDGE('',*,*,#211408,.T.); #288013=ORIENTED_EDGE('',*,*,#211410,.F.); #288014=ORIENTED_EDGE('',*,*,#211411,.F.); #288015=ORIENTED_EDGE('',*,*,#211412,.T.); #288016=ORIENTED_EDGE('',*,*,#211411,.T.); #288017=ORIENTED_EDGE('',*,*,#211413,.F.); #288018=ORIENTED_EDGE('',*,*,#211414,.F.); #288019=ORIENTED_EDGE('',*,*,#211415,.T.); #288020=ORIENTED_EDGE('',*,*,#211414,.T.); #288021=ORIENTED_EDGE('',*,*,#211416,.F.); #288022=ORIENTED_EDGE('',*,*,#211417,.F.); #288023=ORIENTED_EDGE('',*,*,#211418,.T.); #288024=ORIENTED_EDGE('',*,*,#211417,.T.); #288025=ORIENTED_EDGE('',*,*,#211419,.F.); #288026=ORIENTED_EDGE('',*,*,#211301,.F.); #288027=ORIENTED_EDGE('',*,*,#211420,.T.); #288028=ORIENTED_EDGE('',*,*,#211421,.T.); #288029=ORIENTED_EDGE('',*,*,#211422,.F.); #288030=ORIENTED_EDGE('',*,*,#211423,.F.); #288031=ORIENTED_EDGE('',*,*,#211424,.T.); #288032=ORIENTED_EDGE('',*,*,#211423,.T.); #288033=ORIENTED_EDGE('',*,*,#211425,.F.); #288034=ORIENTED_EDGE('',*,*,#211426,.F.); #288035=ORIENTED_EDGE('',*,*,#211427,.T.); #288036=ORIENTED_EDGE('',*,*,#211426,.T.); #288037=ORIENTED_EDGE('',*,*,#211428,.F.); #288038=ORIENTED_EDGE('',*,*,#211429,.F.); #288039=ORIENTED_EDGE('',*,*,#211430,.T.); #288040=ORIENTED_EDGE('',*,*,#211429,.T.); #288041=ORIENTED_EDGE('',*,*,#211431,.F.); #288042=ORIENTED_EDGE('',*,*,#211432,.F.); #288043=ORIENTED_EDGE('',*,*,#211433,.T.); #288044=ORIENTED_EDGE('',*,*,#211432,.T.); #288045=ORIENTED_EDGE('',*,*,#211434,.F.); #288046=ORIENTED_EDGE('',*,*,#211435,.F.); #288047=ORIENTED_EDGE('',*,*,#211436,.T.); #288048=ORIENTED_EDGE('',*,*,#211435,.T.); #288049=ORIENTED_EDGE('',*,*,#211437,.F.); #288050=ORIENTED_EDGE('',*,*,#211438,.F.); #288051=ORIENTED_EDGE('',*,*,#211439,.T.); #288052=ORIENTED_EDGE('',*,*,#211438,.T.); #288053=ORIENTED_EDGE('',*,*,#211440,.F.); #288054=ORIENTED_EDGE('',*,*,#211441,.F.); #288055=ORIENTED_EDGE('',*,*,#211442,.T.); #288056=ORIENTED_EDGE('',*,*,#211441,.T.); #288057=ORIENTED_EDGE('',*,*,#211443,.F.); #288058=ORIENTED_EDGE('',*,*,#211444,.F.); #288059=ORIENTED_EDGE('',*,*,#211445,.T.); #288060=ORIENTED_EDGE('',*,*,#211444,.T.); #288061=ORIENTED_EDGE('',*,*,#211446,.F.); #288062=ORIENTED_EDGE('',*,*,#211447,.F.); #288063=ORIENTED_EDGE('',*,*,#211448,.T.); #288064=ORIENTED_EDGE('',*,*,#211447,.T.); #288065=ORIENTED_EDGE('',*,*,#211449,.F.); #288066=ORIENTED_EDGE('',*,*,#211450,.F.); #288067=ORIENTED_EDGE('',*,*,#211451,.T.); #288068=ORIENTED_EDGE('',*,*,#211450,.T.); #288069=ORIENTED_EDGE('',*,*,#211452,.F.); #288070=ORIENTED_EDGE('',*,*,#211453,.F.); #288071=ORIENTED_EDGE('',*,*,#211454,.T.); #288072=ORIENTED_EDGE('',*,*,#211453,.T.); #288073=ORIENTED_EDGE('',*,*,#211455,.F.); #288074=ORIENTED_EDGE('',*,*,#211456,.F.); #288075=ORIENTED_EDGE('',*,*,#211457,.T.); #288076=ORIENTED_EDGE('',*,*,#211456,.T.); #288077=ORIENTED_EDGE('',*,*,#211458,.F.); #288078=ORIENTED_EDGE('',*,*,#211459,.F.); #288079=ORIENTED_EDGE('',*,*,#211460,.T.); #288080=ORIENTED_EDGE('',*,*,#211459,.T.); #288081=ORIENTED_EDGE('',*,*,#211461,.F.); #288082=ORIENTED_EDGE('',*,*,#211462,.F.); #288083=ORIENTED_EDGE('',*,*,#211463,.T.); #288084=ORIENTED_EDGE('',*,*,#211462,.T.); #288085=ORIENTED_EDGE('',*,*,#211464,.F.); #288086=ORIENTED_EDGE('',*,*,#211465,.F.); #288087=ORIENTED_EDGE('',*,*,#211466,.T.); #288088=ORIENTED_EDGE('',*,*,#211465,.T.); #288089=ORIENTED_EDGE('',*,*,#211467,.F.); #288090=ORIENTED_EDGE('',*,*,#211468,.F.); #288091=ORIENTED_EDGE('',*,*,#211469,.T.); #288092=ORIENTED_EDGE('',*,*,#211468,.T.); #288093=ORIENTED_EDGE('',*,*,#211470,.F.); #288094=ORIENTED_EDGE('',*,*,#211471,.F.); #288095=ORIENTED_EDGE('',*,*,#211472,.T.); #288096=ORIENTED_EDGE('',*,*,#211471,.T.); #288097=ORIENTED_EDGE('',*,*,#211473,.F.); #288098=ORIENTED_EDGE('',*,*,#211474,.F.); #288099=ORIENTED_EDGE('',*,*,#211475,.T.); #288100=ORIENTED_EDGE('',*,*,#211474,.T.); #288101=ORIENTED_EDGE('',*,*,#211476,.F.); #288102=ORIENTED_EDGE('',*,*,#211477,.F.); #288103=ORIENTED_EDGE('',*,*,#211478,.T.); #288104=ORIENTED_EDGE('',*,*,#211477,.T.); #288105=ORIENTED_EDGE('',*,*,#211479,.F.); #288106=ORIENTED_EDGE('',*,*,#211480,.F.); #288107=ORIENTED_EDGE('',*,*,#211481,.T.); #288108=ORIENTED_EDGE('',*,*,#211480,.T.); #288109=ORIENTED_EDGE('',*,*,#211482,.F.); #288110=ORIENTED_EDGE('',*,*,#211483,.F.); #288111=ORIENTED_EDGE('',*,*,#211484,.T.); #288112=ORIENTED_EDGE('',*,*,#211483,.T.); #288113=ORIENTED_EDGE('',*,*,#211485,.F.); #288114=ORIENTED_EDGE('',*,*,#211486,.F.); #288115=ORIENTED_EDGE('',*,*,#211487,.T.); #288116=ORIENTED_EDGE('',*,*,#211486,.T.); #288117=ORIENTED_EDGE('',*,*,#211488,.F.); #288118=ORIENTED_EDGE('',*,*,#211489,.F.); #288119=ORIENTED_EDGE('',*,*,#211490,.T.); #288120=ORIENTED_EDGE('',*,*,#211489,.T.); #288121=ORIENTED_EDGE('',*,*,#211491,.F.); #288122=ORIENTED_EDGE('',*,*,#211492,.F.); #288123=ORIENTED_EDGE('',*,*,#211493,.T.); #288124=ORIENTED_EDGE('',*,*,#211492,.T.); #288125=ORIENTED_EDGE('',*,*,#211494,.F.); #288126=ORIENTED_EDGE('',*,*,#211495,.F.); #288127=ORIENTED_EDGE('',*,*,#211496,.T.); #288128=ORIENTED_EDGE('',*,*,#211495,.T.); #288129=ORIENTED_EDGE('',*,*,#211497,.F.); #288130=ORIENTED_EDGE('',*,*,#211498,.F.); #288131=ORIENTED_EDGE('',*,*,#211499,.T.); #288132=ORIENTED_EDGE('',*,*,#211498,.T.); #288133=ORIENTED_EDGE('',*,*,#211500,.F.); #288134=ORIENTED_EDGE('',*,*,#211501,.F.); #288135=ORIENTED_EDGE('',*,*,#211502,.T.); #288136=ORIENTED_EDGE('',*,*,#211501,.T.); #288137=ORIENTED_EDGE('',*,*,#211503,.F.); #288138=ORIENTED_EDGE('',*,*,#211504,.F.); #288139=ORIENTED_EDGE('',*,*,#211505,.T.); #288140=ORIENTED_EDGE('',*,*,#211504,.T.); #288141=ORIENTED_EDGE('',*,*,#211506,.F.); #288142=ORIENTED_EDGE('',*,*,#211507,.F.); #288143=ORIENTED_EDGE('',*,*,#211508,.T.); #288144=ORIENTED_EDGE('',*,*,#211507,.T.); #288145=ORIENTED_EDGE('',*,*,#211509,.F.); #288146=ORIENTED_EDGE('',*,*,#211510,.F.); #288147=ORIENTED_EDGE('',*,*,#211511,.T.); #288148=ORIENTED_EDGE('',*,*,#211510,.T.); #288149=ORIENTED_EDGE('',*,*,#211512,.F.); #288150=ORIENTED_EDGE('',*,*,#211513,.F.); #288151=ORIENTED_EDGE('',*,*,#211514,.T.); #288152=ORIENTED_EDGE('',*,*,#211513,.T.); #288153=ORIENTED_EDGE('',*,*,#211515,.F.); #288154=ORIENTED_EDGE('',*,*,#211516,.F.); #288155=ORIENTED_EDGE('',*,*,#211517,.T.); #288156=ORIENTED_EDGE('',*,*,#211516,.T.); #288157=ORIENTED_EDGE('',*,*,#211518,.F.); #288158=ORIENTED_EDGE('',*,*,#211519,.F.); #288159=ORIENTED_EDGE('',*,*,#211520,.T.); #288160=ORIENTED_EDGE('',*,*,#211519,.T.); #288161=ORIENTED_EDGE('',*,*,#211521,.F.); #288162=ORIENTED_EDGE('',*,*,#211522,.F.); #288163=ORIENTED_EDGE('',*,*,#211523,.T.); #288164=ORIENTED_EDGE('',*,*,#211522,.T.); #288165=ORIENTED_EDGE('',*,*,#211524,.F.); #288166=ORIENTED_EDGE('',*,*,#211525,.F.); #288167=ORIENTED_EDGE('',*,*,#211526,.T.); #288168=ORIENTED_EDGE('',*,*,#211525,.T.); #288169=ORIENTED_EDGE('',*,*,#211527,.F.); #288170=ORIENTED_EDGE('',*,*,#211528,.F.); #288171=ORIENTED_EDGE('',*,*,#211529,.T.); #288172=ORIENTED_EDGE('',*,*,#211528,.T.); #288173=ORIENTED_EDGE('',*,*,#211530,.F.); #288174=ORIENTED_EDGE('',*,*,#211531,.F.); #288175=ORIENTED_EDGE('',*,*,#211532,.T.); #288176=ORIENTED_EDGE('',*,*,#211531,.T.); #288177=ORIENTED_EDGE('',*,*,#211533,.F.); #288178=ORIENTED_EDGE('',*,*,#211421,.F.); #288179=ORIENTED_EDGE('',*,*,#211534,.T.); #288180=ORIENTED_EDGE('',*,*,#211535,.T.); #288181=ORIENTED_EDGE('',*,*,#211536,.F.); #288182=ORIENTED_EDGE('',*,*,#211537,.F.); #288183=ORIENTED_EDGE('',*,*,#211538,.T.); #288184=ORIENTED_EDGE('',*,*,#211537,.T.); #288185=ORIENTED_EDGE('',*,*,#211539,.F.); #288186=ORIENTED_EDGE('',*,*,#211540,.F.); #288187=ORIENTED_EDGE('',*,*,#211541,.T.); #288188=ORIENTED_EDGE('',*,*,#211540,.T.); #288189=ORIENTED_EDGE('',*,*,#211542,.F.); #288190=ORIENTED_EDGE('',*,*,#211543,.F.); #288191=ORIENTED_EDGE('',*,*,#211544,.T.); #288192=ORIENTED_EDGE('',*,*,#211543,.T.); #288193=ORIENTED_EDGE('',*,*,#211545,.F.); #288194=ORIENTED_EDGE('',*,*,#211546,.F.); #288195=ORIENTED_EDGE('',*,*,#211547,.T.); #288196=ORIENTED_EDGE('',*,*,#211546,.T.); #288197=ORIENTED_EDGE('',*,*,#211548,.F.); #288198=ORIENTED_EDGE('',*,*,#211549,.F.); #288199=ORIENTED_EDGE('',*,*,#211550,.T.); #288200=ORIENTED_EDGE('',*,*,#211549,.T.); #288201=ORIENTED_EDGE('',*,*,#211551,.F.); #288202=ORIENTED_EDGE('',*,*,#211552,.F.); #288203=ORIENTED_EDGE('',*,*,#211553,.T.); #288204=ORIENTED_EDGE('',*,*,#211552,.T.); #288205=ORIENTED_EDGE('',*,*,#211554,.F.); #288206=ORIENTED_EDGE('',*,*,#211555,.F.); #288207=ORIENTED_EDGE('',*,*,#211556,.T.); #288208=ORIENTED_EDGE('',*,*,#211555,.T.); #288209=ORIENTED_EDGE('',*,*,#211557,.F.); #288210=ORIENTED_EDGE('',*,*,#211558,.F.); #288211=ORIENTED_EDGE('',*,*,#211559,.T.); #288212=ORIENTED_EDGE('',*,*,#211558,.T.); #288213=ORIENTED_EDGE('',*,*,#211560,.F.); #288214=ORIENTED_EDGE('',*,*,#211561,.F.); #288215=ORIENTED_EDGE('',*,*,#211562,.T.); #288216=ORIENTED_EDGE('',*,*,#211561,.T.); #288217=ORIENTED_EDGE('',*,*,#211563,.F.); #288218=ORIENTED_EDGE('',*,*,#211564,.F.); #288219=ORIENTED_EDGE('',*,*,#211565,.T.); #288220=ORIENTED_EDGE('',*,*,#211564,.T.); #288221=ORIENTED_EDGE('',*,*,#211566,.F.); #288222=ORIENTED_EDGE('',*,*,#211567,.F.); #288223=ORIENTED_EDGE('',*,*,#211568,.T.); #288224=ORIENTED_EDGE('',*,*,#211567,.T.); #288225=ORIENTED_EDGE('',*,*,#211569,.F.); #288226=ORIENTED_EDGE('',*,*,#211570,.F.); #288227=ORIENTED_EDGE('',*,*,#211571,.T.); #288228=ORIENTED_EDGE('',*,*,#211570,.T.); #288229=ORIENTED_EDGE('',*,*,#211572,.F.); #288230=ORIENTED_EDGE('',*,*,#211573,.F.); #288231=ORIENTED_EDGE('',*,*,#211574,.T.); #288232=ORIENTED_EDGE('',*,*,#211573,.T.); #288233=ORIENTED_EDGE('',*,*,#211575,.F.); #288234=ORIENTED_EDGE('',*,*,#211576,.F.); #288235=ORIENTED_EDGE('',*,*,#211577,.T.); #288236=ORIENTED_EDGE('',*,*,#211576,.T.); #288237=ORIENTED_EDGE('',*,*,#211578,.F.); #288238=ORIENTED_EDGE('',*,*,#211579,.F.); #288239=ORIENTED_EDGE('',*,*,#211580,.T.); #288240=ORIENTED_EDGE('',*,*,#211579,.T.); #288241=ORIENTED_EDGE('',*,*,#211581,.F.); #288242=ORIENTED_EDGE('',*,*,#211582,.F.); #288243=ORIENTED_EDGE('',*,*,#211583,.T.); #288244=ORIENTED_EDGE('',*,*,#211582,.T.); #288245=ORIENTED_EDGE('',*,*,#211584,.F.); #288246=ORIENTED_EDGE('',*,*,#211585,.F.); #288247=ORIENTED_EDGE('',*,*,#211586,.T.); #288248=ORIENTED_EDGE('',*,*,#211585,.T.); #288249=ORIENTED_EDGE('',*,*,#211587,.F.); #288250=ORIENTED_EDGE('',*,*,#211588,.F.); #288251=ORIENTED_EDGE('',*,*,#211589,.T.); #288252=ORIENTED_EDGE('',*,*,#211588,.T.); #288253=ORIENTED_EDGE('',*,*,#211590,.F.); #288254=ORIENTED_EDGE('',*,*,#211591,.F.); #288255=ORIENTED_EDGE('',*,*,#211592,.T.); #288256=ORIENTED_EDGE('',*,*,#211591,.T.); #288257=ORIENTED_EDGE('',*,*,#211593,.F.); #288258=ORIENTED_EDGE('',*,*,#211594,.F.); #288259=ORIENTED_EDGE('',*,*,#211595,.T.); #288260=ORIENTED_EDGE('',*,*,#211594,.T.); #288261=ORIENTED_EDGE('',*,*,#211596,.F.); #288262=ORIENTED_EDGE('',*,*,#211597,.F.); #288263=ORIENTED_EDGE('',*,*,#211598,.T.); #288264=ORIENTED_EDGE('',*,*,#211597,.T.); #288265=ORIENTED_EDGE('',*,*,#211599,.F.); #288266=ORIENTED_EDGE('',*,*,#211600,.F.); #288267=ORIENTED_EDGE('',*,*,#211601,.T.); #288268=ORIENTED_EDGE('',*,*,#211600,.T.); #288269=ORIENTED_EDGE('',*,*,#211602,.F.); #288270=ORIENTED_EDGE('',*,*,#211603,.F.); #288271=ORIENTED_EDGE('',*,*,#211604,.T.); #288272=ORIENTED_EDGE('',*,*,#211603,.T.); #288273=ORIENTED_EDGE('',*,*,#211605,.F.); #288274=ORIENTED_EDGE('',*,*,#211535,.F.); #288275=ORIENTED_EDGE('',*,*,#211606,.T.); #288276=ORIENTED_EDGE('',*,*,#211607,.T.); #288277=ORIENTED_EDGE('',*,*,#211608,.F.); #288278=ORIENTED_EDGE('',*,*,#211609,.F.); #288279=ORIENTED_EDGE('',*,*,#211610,.T.); #288280=ORIENTED_EDGE('',*,*,#211609,.T.); #288281=ORIENTED_EDGE('',*,*,#211611,.F.); #288282=ORIENTED_EDGE('',*,*,#211612,.F.); #288283=ORIENTED_EDGE('',*,*,#211613,.T.); #288284=ORIENTED_EDGE('',*,*,#211612,.T.); #288285=ORIENTED_EDGE('',*,*,#211614,.F.); #288286=ORIENTED_EDGE('',*,*,#211615,.F.); #288287=ORIENTED_EDGE('',*,*,#211616,.T.); #288288=ORIENTED_EDGE('',*,*,#211615,.T.); #288289=ORIENTED_EDGE('',*,*,#211617,.F.); #288290=ORIENTED_EDGE('',*,*,#211618,.F.); #288291=ORIENTED_EDGE('',*,*,#211619,.T.); #288292=ORIENTED_EDGE('',*,*,#211618,.T.); #288293=ORIENTED_EDGE('',*,*,#211620,.F.); #288294=ORIENTED_EDGE('',*,*,#211621,.F.); #288295=ORIENTED_EDGE('',*,*,#211622,.T.); #288296=ORIENTED_EDGE('',*,*,#211621,.T.); #288297=ORIENTED_EDGE('',*,*,#211623,.F.); #288298=ORIENTED_EDGE('',*,*,#211624,.F.); #288299=ORIENTED_EDGE('',*,*,#211625,.T.); #288300=ORIENTED_EDGE('',*,*,#211624,.T.); #288301=ORIENTED_EDGE('',*,*,#211626,.F.); #288302=ORIENTED_EDGE('',*,*,#211627,.F.); #288303=ORIENTED_EDGE('',*,*,#211628,.T.); #288304=ORIENTED_EDGE('',*,*,#211627,.T.); #288305=ORIENTED_EDGE('',*,*,#211629,.F.); #288306=ORIENTED_EDGE('',*,*,#211630,.F.); #288307=ORIENTED_EDGE('',*,*,#211631,.T.); #288308=ORIENTED_EDGE('',*,*,#211630,.T.); #288309=ORIENTED_EDGE('',*,*,#211632,.F.); #288310=ORIENTED_EDGE('',*,*,#211633,.F.); #288311=ORIENTED_EDGE('',*,*,#211634,.T.); #288312=ORIENTED_EDGE('',*,*,#211633,.T.); #288313=ORIENTED_EDGE('',*,*,#211635,.F.); #288314=ORIENTED_EDGE('',*,*,#211636,.F.); #288315=ORIENTED_EDGE('',*,*,#211637,.T.); #288316=ORIENTED_EDGE('',*,*,#211636,.T.); #288317=ORIENTED_EDGE('',*,*,#211638,.F.); #288318=ORIENTED_EDGE('',*,*,#211639,.F.); #288319=ORIENTED_EDGE('',*,*,#211640,.T.); #288320=ORIENTED_EDGE('',*,*,#211639,.T.); #288321=ORIENTED_EDGE('',*,*,#211641,.F.); #288322=ORIENTED_EDGE('',*,*,#211642,.F.); #288323=ORIENTED_EDGE('',*,*,#211643,.T.); #288324=ORIENTED_EDGE('',*,*,#211642,.T.); #288325=ORIENTED_EDGE('',*,*,#211644,.F.); #288326=ORIENTED_EDGE('',*,*,#211645,.F.); #288327=ORIENTED_EDGE('',*,*,#211646,.T.); #288328=ORIENTED_EDGE('',*,*,#211645,.T.); #288329=ORIENTED_EDGE('',*,*,#211647,.F.); #288330=ORIENTED_EDGE('',*,*,#211648,.F.); #288331=ORIENTED_EDGE('',*,*,#211649,.T.); #288332=ORIENTED_EDGE('',*,*,#211648,.T.); #288333=ORIENTED_EDGE('',*,*,#211650,.F.); #288334=ORIENTED_EDGE('',*,*,#211651,.F.); #288335=ORIENTED_EDGE('',*,*,#211652,.T.); #288336=ORIENTED_EDGE('',*,*,#211651,.T.); #288337=ORIENTED_EDGE('',*,*,#211653,.F.); #288338=ORIENTED_EDGE('',*,*,#211654,.F.); #288339=ORIENTED_EDGE('',*,*,#211655,.T.); #288340=ORIENTED_EDGE('',*,*,#211654,.T.); #288341=ORIENTED_EDGE('',*,*,#211656,.F.); #288342=ORIENTED_EDGE('',*,*,#211657,.F.); #288343=ORIENTED_EDGE('',*,*,#211658,.T.); #288344=ORIENTED_EDGE('',*,*,#211657,.T.); #288345=ORIENTED_EDGE('',*,*,#211659,.F.); #288346=ORIENTED_EDGE('',*,*,#211660,.F.); #288347=ORIENTED_EDGE('',*,*,#211661,.T.); #288348=ORIENTED_EDGE('',*,*,#211660,.T.); #288349=ORIENTED_EDGE('',*,*,#211662,.F.); #288350=ORIENTED_EDGE('',*,*,#211663,.F.); #288351=ORIENTED_EDGE('',*,*,#211664,.T.); #288352=ORIENTED_EDGE('',*,*,#211663,.T.); #288353=ORIENTED_EDGE('',*,*,#211665,.F.); #288354=ORIENTED_EDGE('',*,*,#211666,.F.); #288355=ORIENTED_EDGE('',*,*,#211667,.T.); #288356=ORIENTED_EDGE('',*,*,#211666,.T.); #288357=ORIENTED_EDGE('',*,*,#211668,.F.); #288358=ORIENTED_EDGE('',*,*,#211669,.F.); #288359=ORIENTED_EDGE('',*,*,#211670,.T.); #288360=ORIENTED_EDGE('',*,*,#211669,.T.); #288361=ORIENTED_EDGE('',*,*,#211671,.F.); #288362=ORIENTED_EDGE('',*,*,#211672,.F.); #288363=ORIENTED_EDGE('',*,*,#211673,.T.); #288364=ORIENTED_EDGE('',*,*,#211672,.T.); #288365=ORIENTED_EDGE('',*,*,#211674,.F.); #288366=ORIENTED_EDGE('',*,*,#211675,.F.); #288367=ORIENTED_EDGE('',*,*,#211676,.T.); #288368=ORIENTED_EDGE('',*,*,#211675,.T.); #288369=ORIENTED_EDGE('',*,*,#211677,.F.); #288370=ORIENTED_EDGE('',*,*,#211678,.F.); #288371=ORIENTED_EDGE('',*,*,#211679,.T.); #288372=ORIENTED_EDGE('',*,*,#211678,.T.); #288373=ORIENTED_EDGE('',*,*,#211680,.F.); #288374=ORIENTED_EDGE('',*,*,#211681,.F.); #288375=ORIENTED_EDGE('',*,*,#211682,.T.); #288376=ORIENTED_EDGE('',*,*,#211681,.T.); #288377=ORIENTED_EDGE('',*,*,#211683,.F.); #288378=ORIENTED_EDGE('',*,*,#211684,.F.); #288379=ORIENTED_EDGE('',*,*,#211685,.T.); #288380=ORIENTED_EDGE('',*,*,#211684,.T.); #288381=ORIENTED_EDGE('',*,*,#211686,.F.); #288382=ORIENTED_EDGE('',*,*,#211687,.F.); #288383=ORIENTED_EDGE('',*,*,#211688,.T.); #288384=ORIENTED_EDGE('',*,*,#211687,.T.); #288385=ORIENTED_EDGE('',*,*,#211689,.F.); #288386=ORIENTED_EDGE('',*,*,#211690,.F.); #288387=ORIENTED_EDGE('',*,*,#211691,.T.); #288388=ORIENTED_EDGE('',*,*,#211690,.T.); #288389=ORIENTED_EDGE('',*,*,#211692,.F.); #288390=ORIENTED_EDGE('',*,*,#211693,.F.); #288391=ORIENTED_EDGE('',*,*,#211694,.T.); #288392=ORIENTED_EDGE('',*,*,#211693,.T.); #288393=ORIENTED_EDGE('',*,*,#211695,.F.); #288394=ORIENTED_EDGE('',*,*,#211696,.F.); #288395=ORIENTED_EDGE('',*,*,#211697,.T.); #288396=ORIENTED_EDGE('',*,*,#211696,.T.); #288397=ORIENTED_EDGE('',*,*,#211698,.F.); #288398=ORIENTED_EDGE('',*,*,#211699,.F.); #288399=ORIENTED_EDGE('',*,*,#211700,.T.); #288400=ORIENTED_EDGE('',*,*,#211699,.T.); #288401=ORIENTED_EDGE('',*,*,#211701,.F.); #288402=ORIENTED_EDGE('',*,*,#211702,.F.); #288403=ORIENTED_EDGE('',*,*,#211703,.T.); #288404=ORIENTED_EDGE('',*,*,#211702,.T.); #288405=ORIENTED_EDGE('',*,*,#211704,.F.); #288406=ORIENTED_EDGE('',*,*,#211705,.F.); #288407=ORIENTED_EDGE('',*,*,#211706,.T.); #288408=ORIENTED_EDGE('',*,*,#211705,.T.); #288409=ORIENTED_EDGE('',*,*,#211707,.F.); #288410=ORIENTED_EDGE('',*,*,#211708,.F.); #288411=ORIENTED_EDGE('',*,*,#211709,.T.); #288412=ORIENTED_EDGE('',*,*,#211708,.T.); #288413=ORIENTED_EDGE('',*,*,#211710,.F.); #288414=ORIENTED_EDGE('',*,*,#211711,.F.); #288415=ORIENTED_EDGE('',*,*,#211712,.T.); #288416=ORIENTED_EDGE('',*,*,#211711,.T.); #288417=ORIENTED_EDGE('',*,*,#211713,.F.); #288418=ORIENTED_EDGE('',*,*,#211714,.F.); #288419=ORIENTED_EDGE('',*,*,#211715,.T.); #288420=ORIENTED_EDGE('',*,*,#211714,.T.); #288421=ORIENTED_EDGE('',*,*,#211716,.F.); #288422=ORIENTED_EDGE('',*,*,#211717,.F.); #288423=ORIENTED_EDGE('',*,*,#211718,.T.); #288424=ORIENTED_EDGE('',*,*,#211717,.T.); #288425=ORIENTED_EDGE('',*,*,#211719,.F.); #288426=ORIENTED_EDGE('',*,*,#211720,.F.); #288427=ORIENTED_EDGE('',*,*,#211721,.T.); #288428=ORIENTED_EDGE('',*,*,#211720,.T.); #288429=ORIENTED_EDGE('',*,*,#211722,.F.); #288430=ORIENTED_EDGE('',*,*,#211723,.F.); #288431=ORIENTED_EDGE('',*,*,#211724,.T.); #288432=ORIENTED_EDGE('',*,*,#211723,.T.); #288433=ORIENTED_EDGE('',*,*,#211725,.F.); #288434=ORIENTED_EDGE('',*,*,#211726,.F.); #288435=ORIENTED_EDGE('',*,*,#211727,.T.); #288436=ORIENTED_EDGE('',*,*,#211726,.T.); #288437=ORIENTED_EDGE('',*,*,#211728,.F.); #288438=ORIENTED_EDGE('',*,*,#211729,.F.); #288439=ORIENTED_EDGE('',*,*,#211730,.T.); #288440=ORIENTED_EDGE('',*,*,#211729,.T.); #288441=ORIENTED_EDGE('',*,*,#211731,.F.); #288442=ORIENTED_EDGE('',*,*,#211732,.F.); #288443=ORIENTED_EDGE('',*,*,#211733,.T.); #288444=ORIENTED_EDGE('',*,*,#211732,.T.); #288445=ORIENTED_EDGE('',*,*,#211734,.F.); #288446=ORIENTED_EDGE('',*,*,#211735,.F.); #288447=ORIENTED_EDGE('',*,*,#211736,.T.); #288448=ORIENTED_EDGE('',*,*,#211735,.T.); #288449=ORIENTED_EDGE('',*,*,#211737,.F.); #288450=ORIENTED_EDGE('',*,*,#211738,.F.); #288451=ORIENTED_EDGE('',*,*,#211739,.T.); #288452=ORIENTED_EDGE('',*,*,#211738,.T.); #288453=ORIENTED_EDGE('',*,*,#211740,.F.); #288454=ORIENTED_EDGE('',*,*,#211741,.F.); #288455=ORIENTED_EDGE('',*,*,#211742,.T.); #288456=ORIENTED_EDGE('',*,*,#211741,.T.); #288457=ORIENTED_EDGE('',*,*,#211743,.F.); #288458=ORIENTED_EDGE('',*,*,#211744,.F.); #288459=ORIENTED_EDGE('',*,*,#211745,.T.); #288460=ORIENTED_EDGE('',*,*,#211744,.T.); #288461=ORIENTED_EDGE('',*,*,#211746,.F.); #288462=ORIENTED_EDGE('',*,*,#211747,.F.); #288463=ORIENTED_EDGE('',*,*,#211748,.T.); #288464=ORIENTED_EDGE('',*,*,#211747,.T.); #288465=ORIENTED_EDGE('',*,*,#211749,.F.); #288466=ORIENTED_EDGE('',*,*,#211750,.F.); #288467=ORIENTED_EDGE('',*,*,#211751,.T.); #288468=ORIENTED_EDGE('',*,*,#211750,.T.); #288469=ORIENTED_EDGE('',*,*,#211752,.F.); #288470=ORIENTED_EDGE('',*,*,#211753,.F.); #288471=ORIENTED_EDGE('',*,*,#211754,.T.); #288472=ORIENTED_EDGE('',*,*,#211753,.T.); #288473=ORIENTED_EDGE('',*,*,#211755,.F.); #288474=ORIENTED_EDGE('',*,*,#211756,.F.); #288475=ORIENTED_EDGE('',*,*,#211757,.T.); #288476=ORIENTED_EDGE('',*,*,#211756,.T.); #288477=ORIENTED_EDGE('',*,*,#211758,.F.); #288478=ORIENTED_EDGE('',*,*,#211759,.F.); #288479=ORIENTED_EDGE('',*,*,#211760,.T.); #288480=ORIENTED_EDGE('',*,*,#211759,.T.); #288481=ORIENTED_EDGE('',*,*,#211761,.F.); #288482=ORIENTED_EDGE('',*,*,#211762,.F.); #288483=ORIENTED_EDGE('',*,*,#211763,.T.); #288484=ORIENTED_EDGE('',*,*,#211762,.T.); #288485=ORIENTED_EDGE('',*,*,#211764,.F.); #288486=ORIENTED_EDGE('',*,*,#211765,.F.); #288487=ORIENTED_EDGE('',*,*,#211766,.T.); #288488=ORIENTED_EDGE('',*,*,#211765,.T.); #288489=ORIENTED_EDGE('',*,*,#211767,.F.); #288490=ORIENTED_EDGE('',*,*,#211768,.F.); #288491=ORIENTED_EDGE('',*,*,#211769,.T.); #288492=ORIENTED_EDGE('',*,*,#211768,.T.); #288493=ORIENTED_EDGE('',*,*,#211770,.F.); #288494=ORIENTED_EDGE('',*,*,#211771,.F.); #288495=ORIENTED_EDGE('',*,*,#211772,.T.); #288496=ORIENTED_EDGE('',*,*,#211771,.T.); #288497=ORIENTED_EDGE('',*,*,#211773,.F.); #288498=ORIENTED_EDGE('',*,*,#211774,.F.); #288499=ORIENTED_EDGE('',*,*,#211775,.T.); #288500=ORIENTED_EDGE('',*,*,#211774,.T.); #288501=ORIENTED_EDGE('',*,*,#211776,.F.); #288502=ORIENTED_EDGE('',*,*,#211777,.F.); #288503=ORIENTED_EDGE('',*,*,#211778,.T.); #288504=ORIENTED_EDGE('',*,*,#211777,.T.); #288505=ORIENTED_EDGE('',*,*,#211779,.F.); #288506=ORIENTED_EDGE('',*,*,#211780,.F.); #288507=ORIENTED_EDGE('',*,*,#211781,.T.); #288508=ORIENTED_EDGE('',*,*,#211780,.T.); #288509=ORIENTED_EDGE('',*,*,#211782,.F.); #288510=ORIENTED_EDGE('',*,*,#211783,.F.); #288511=ORIENTED_EDGE('',*,*,#211784,.T.); #288512=ORIENTED_EDGE('',*,*,#211783,.T.); #288513=ORIENTED_EDGE('',*,*,#211785,.F.); #288514=ORIENTED_EDGE('',*,*,#211786,.F.); #288515=ORIENTED_EDGE('',*,*,#211787,.T.); #288516=ORIENTED_EDGE('',*,*,#211786,.T.); #288517=ORIENTED_EDGE('',*,*,#211788,.F.); #288518=ORIENTED_EDGE('',*,*,#211789,.F.); #288519=ORIENTED_EDGE('',*,*,#211790,.T.); #288520=ORIENTED_EDGE('',*,*,#211789,.T.); #288521=ORIENTED_EDGE('',*,*,#211791,.F.); #288522=ORIENTED_EDGE('',*,*,#211792,.F.); #288523=ORIENTED_EDGE('',*,*,#211793,.T.); #288524=ORIENTED_EDGE('',*,*,#211792,.T.); #288525=ORIENTED_EDGE('',*,*,#211794,.F.); #288526=ORIENTED_EDGE('',*,*,#211795,.F.); #288527=ORIENTED_EDGE('',*,*,#211796,.T.); #288528=ORIENTED_EDGE('',*,*,#211795,.T.); #288529=ORIENTED_EDGE('',*,*,#211797,.F.); #288530=ORIENTED_EDGE('',*,*,#211798,.F.); #288531=ORIENTED_EDGE('',*,*,#211799,.T.); #288532=ORIENTED_EDGE('',*,*,#211798,.T.); #288533=ORIENTED_EDGE('',*,*,#211800,.F.); #288534=ORIENTED_EDGE('',*,*,#211801,.F.); #288535=ORIENTED_EDGE('',*,*,#211802,.T.); #288536=ORIENTED_EDGE('',*,*,#211801,.T.); #288537=ORIENTED_EDGE('',*,*,#211803,.F.); #288538=ORIENTED_EDGE('',*,*,#211804,.F.); #288539=ORIENTED_EDGE('',*,*,#211805,.T.); #288540=ORIENTED_EDGE('',*,*,#211804,.T.); #288541=ORIENTED_EDGE('',*,*,#211806,.F.); #288542=ORIENTED_EDGE('',*,*,#211807,.F.); #288543=ORIENTED_EDGE('',*,*,#211808,.T.); #288544=ORIENTED_EDGE('',*,*,#211807,.T.); #288545=ORIENTED_EDGE('',*,*,#211809,.F.); #288546=ORIENTED_EDGE('',*,*,#211810,.F.); #288547=ORIENTED_EDGE('',*,*,#211811,.T.); #288548=ORIENTED_EDGE('',*,*,#211810,.T.); #288549=ORIENTED_EDGE('',*,*,#211812,.F.); #288550=ORIENTED_EDGE('',*,*,#211813,.F.); #288551=ORIENTED_EDGE('',*,*,#211814,.T.); #288552=ORIENTED_EDGE('',*,*,#211813,.T.); #288553=ORIENTED_EDGE('',*,*,#211815,.F.); #288554=ORIENTED_EDGE('',*,*,#211816,.F.); #288555=ORIENTED_EDGE('',*,*,#211817,.T.); #288556=ORIENTED_EDGE('',*,*,#211816,.T.); #288557=ORIENTED_EDGE('',*,*,#211818,.F.); #288558=ORIENTED_EDGE('',*,*,#211819,.F.); #288559=ORIENTED_EDGE('',*,*,#211820,.T.); #288560=ORIENTED_EDGE('',*,*,#211819,.T.); #288561=ORIENTED_EDGE('',*,*,#211821,.F.); #288562=ORIENTED_EDGE('',*,*,#211822,.F.); #288563=ORIENTED_EDGE('',*,*,#211823,.T.); #288564=ORIENTED_EDGE('',*,*,#211822,.T.); #288565=ORIENTED_EDGE('',*,*,#211824,.F.); #288566=ORIENTED_EDGE('',*,*,#211825,.F.); #288567=ORIENTED_EDGE('',*,*,#211826,.T.); #288568=ORIENTED_EDGE('',*,*,#211825,.T.); #288569=ORIENTED_EDGE('',*,*,#211827,.F.); #288570=ORIENTED_EDGE('',*,*,#211828,.F.); #288571=ORIENTED_EDGE('',*,*,#211829,.T.); #288572=ORIENTED_EDGE('',*,*,#211828,.T.); #288573=ORIENTED_EDGE('',*,*,#211830,.F.); #288574=ORIENTED_EDGE('',*,*,#211831,.F.); #288575=ORIENTED_EDGE('',*,*,#211832,.T.); #288576=ORIENTED_EDGE('',*,*,#211831,.T.); #288577=ORIENTED_EDGE('',*,*,#211833,.F.); #288578=ORIENTED_EDGE('',*,*,#211834,.F.); #288579=ORIENTED_EDGE('',*,*,#211835,.T.); #288580=ORIENTED_EDGE('',*,*,#211834,.T.); #288581=ORIENTED_EDGE('',*,*,#211836,.F.); #288582=ORIENTED_EDGE('',*,*,#211837,.F.); #288583=ORIENTED_EDGE('',*,*,#211838,.T.); #288584=ORIENTED_EDGE('',*,*,#211837,.T.); #288585=ORIENTED_EDGE('',*,*,#211839,.F.); #288586=ORIENTED_EDGE('',*,*,#211840,.F.); #288587=ORIENTED_EDGE('',*,*,#211841,.T.); #288588=ORIENTED_EDGE('',*,*,#211840,.T.); #288589=ORIENTED_EDGE('',*,*,#211842,.F.); #288590=ORIENTED_EDGE('',*,*,#211843,.F.); #288591=ORIENTED_EDGE('',*,*,#211844,.T.); #288592=ORIENTED_EDGE('',*,*,#211843,.T.); #288593=ORIENTED_EDGE('',*,*,#211845,.F.); #288594=ORIENTED_EDGE('',*,*,#211846,.F.); #288595=ORIENTED_EDGE('',*,*,#211847,.T.); #288596=ORIENTED_EDGE('',*,*,#211846,.T.); #288597=ORIENTED_EDGE('',*,*,#211848,.F.); #288598=ORIENTED_EDGE('',*,*,#211849,.F.); #288599=ORIENTED_EDGE('',*,*,#211850,.T.); #288600=ORIENTED_EDGE('',*,*,#211849,.T.); #288601=ORIENTED_EDGE('',*,*,#211851,.F.); #288602=ORIENTED_EDGE('',*,*,#211852,.F.); #288603=ORIENTED_EDGE('',*,*,#211853,.T.); #288604=ORIENTED_EDGE('',*,*,#211852,.T.); #288605=ORIENTED_EDGE('',*,*,#211854,.F.); #288606=ORIENTED_EDGE('',*,*,#211855,.F.); #288607=ORIENTED_EDGE('',*,*,#211856,.T.); #288608=ORIENTED_EDGE('',*,*,#211855,.T.); #288609=ORIENTED_EDGE('',*,*,#211857,.F.); #288610=ORIENTED_EDGE('',*,*,#211858,.F.); #288611=ORIENTED_EDGE('',*,*,#211859,.T.); #288612=ORIENTED_EDGE('',*,*,#211858,.T.); #288613=ORIENTED_EDGE('',*,*,#211860,.F.); #288614=ORIENTED_EDGE('',*,*,#211861,.F.); #288615=ORIENTED_EDGE('',*,*,#211862,.T.); #288616=ORIENTED_EDGE('',*,*,#211861,.T.); #288617=ORIENTED_EDGE('',*,*,#211863,.F.); #288618=ORIENTED_EDGE('',*,*,#211864,.F.); #288619=ORIENTED_EDGE('',*,*,#211865,.T.); #288620=ORIENTED_EDGE('',*,*,#211864,.T.); #288621=ORIENTED_EDGE('',*,*,#211866,.F.); #288622=ORIENTED_EDGE('',*,*,#211867,.F.); #288623=ORIENTED_EDGE('',*,*,#211868,.T.); #288624=ORIENTED_EDGE('',*,*,#211867,.T.); #288625=ORIENTED_EDGE('',*,*,#211869,.F.); #288626=ORIENTED_EDGE('',*,*,#211870,.F.); #288627=ORIENTED_EDGE('',*,*,#211871,.T.); #288628=ORIENTED_EDGE('',*,*,#211870,.T.); #288629=ORIENTED_EDGE('',*,*,#211872,.F.); #288630=ORIENTED_EDGE('',*,*,#211873,.F.); #288631=ORIENTED_EDGE('',*,*,#211874,.T.); #288632=ORIENTED_EDGE('',*,*,#211873,.T.); #288633=ORIENTED_EDGE('',*,*,#211875,.F.); #288634=ORIENTED_EDGE('',*,*,#211876,.F.); #288635=ORIENTED_EDGE('',*,*,#211877,.T.); #288636=ORIENTED_EDGE('',*,*,#211876,.T.); #288637=ORIENTED_EDGE('',*,*,#211878,.F.); #288638=ORIENTED_EDGE('',*,*,#211879,.F.); #288639=ORIENTED_EDGE('',*,*,#211880,.T.); #288640=ORIENTED_EDGE('',*,*,#211879,.T.); #288641=ORIENTED_EDGE('',*,*,#211881,.F.); #288642=ORIENTED_EDGE('',*,*,#211882,.F.); #288643=ORIENTED_EDGE('',*,*,#211883,.T.); #288644=ORIENTED_EDGE('',*,*,#211882,.T.); #288645=ORIENTED_EDGE('',*,*,#211884,.F.); #288646=ORIENTED_EDGE('',*,*,#211885,.F.); #288647=ORIENTED_EDGE('',*,*,#211886,.T.); #288648=ORIENTED_EDGE('',*,*,#211885,.T.); #288649=ORIENTED_EDGE('',*,*,#211887,.F.); #288650=ORIENTED_EDGE('',*,*,#211888,.F.); #288651=ORIENTED_EDGE('',*,*,#211889,.T.); #288652=ORIENTED_EDGE('',*,*,#211888,.T.); #288653=ORIENTED_EDGE('',*,*,#211890,.F.); #288654=ORIENTED_EDGE('',*,*,#211891,.F.); #288655=ORIENTED_EDGE('',*,*,#211892,.T.); #288656=ORIENTED_EDGE('',*,*,#211891,.T.); #288657=ORIENTED_EDGE('',*,*,#211893,.F.); #288658=ORIENTED_EDGE('',*,*,#211894,.F.); #288659=ORIENTED_EDGE('',*,*,#211895,.T.); #288660=ORIENTED_EDGE('',*,*,#211894,.T.); #288661=ORIENTED_EDGE('',*,*,#211896,.F.); #288662=ORIENTED_EDGE('',*,*,#211897,.F.); #288663=ORIENTED_EDGE('',*,*,#211898,.T.); #288664=ORIENTED_EDGE('',*,*,#211897,.T.); #288665=ORIENTED_EDGE('',*,*,#211899,.F.); #288666=ORIENTED_EDGE('',*,*,#211900,.F.); #288667=ORIENTED_EDGE('',*,*,#211901,.T.); #288668=ORIENTED_EDGE('',*,*,#211900,.T.); #288669=ORIENTED_EDGE('',*,*,#211902,.F.); #288670=ORIENTED_EDGE('',*,*,#211903,.F.); #288671=ORIENTED_EDGE('',*,*,#211904,.T.); #288672=ORIENTED_EDGE('',*,*,#211903,.T.); #288673=ORIENTED_EDGE('',*,*,#211905,.F.); #288674=ORIENTED_EDGE('',*,*,#211906,.F.); #288675=ORIENTED_EDGE('',*,*,#211907,.T.); #288676=ORIENTED_EDGE('',*,*,#211906,.T.); #288677=ORIENTED_EDGE('',*,*,#211908,.F.); #288678=ORIENTED_EDGE('',*,*,#211909,.F.); #288679=ORIENTED_EDGE('',*,*,#211910,.T.); #288680=ORIENTED_EDGE('',*,*,#211909,.T.); #288681=ORIENTED_EDGE('',*,*,#211911,.F.); #288682=ORIENTED_EDGE('',*,*,#211912,.F.); #288683=ORIENTED_EDGE('',*,*,#211913,.T.); #288684=ORIENTED_EDGE('',*,*,#211912,.T.); #288685=ORIENTED_EDGE('',*,*,#211914,.F.); #288686=ORIENTED_EDGE('',*,*,#211915,.F.); #288687=ORIENTED_EDGE('',*,*,#211916,.T.); #288688=ORIENTED_EDGE('',*,*,#211915,.T.); #288689=ORIENTED_EDGE('',*,*,#211917,.F.); #288690=ORIENTED_EDGE('',*,*,#211918,.F.); #288691=ORIENTED_EDGE('',*,*,#211919,.T.); #288692=ORIENTED_EDGE('',*,*,#211918,.T.); #288693=ORIENTED_EDGE('',*,*,#211920,.F.); #288694=ORIENTED_EDGE('',*,*,#211921,.F.); #288695=ORIENTED_EDGE('',*,*,#211922,.T.); #288696=ORIENTED_EDGE('',*,*,#211921,.T.); #288697=ORIENTED_EDGE('',*,*,#211923,.F.); #288698=ORIENTED_EDGE('',*,*,#211924,.F.); #288699=ORIENTED_EDGE('',*,*,#211925,.T.); #288700=ORIENTED_EDGE('',*,*,#211924,.T.); #288701=ORIENTED_EDGE('',*,*,#211926,.F.); #288702=ORIENTED_EDGE('',*,*,#211927,.F.); #288703=ORIENTED_EDGE('',*,*,#211928,.T.); #288704=ORIENTED_EDGE('',*,*,#211927,.T.); #288705=ORIENTED_EDGE('',*,*,#211929,.F.); #288706=ORIENTED_EDGE('',*,*,#211930,.F.); #288707=ORIENTED_EDGE('',*,*,#211931,.T.); #288708=ORIENTED_EDGE('',*,*,#211930,.T.); #288709=ORIENTED_EDGE('',*,*,#211932,.F.); #288710=ORIENTED_EDGE('',*,*,#211933,.F.); #288711=ORIENTED_EDGE('',*,*,#211934,.T.); #288712=ORIENTED_EDGE('',*,*,#211933,.T.); #288713=ORIENTED_EDGE('',*,*,#211935,.F.); #288714=ORIENTED_EDGE('',*,*,#211936,.F.); #288715=ORIENTED_EDGE('',*,*,#211937,.T.); #288716=ORIENTED_EDGE('',*,*,#211936,.T.); #288717=ORIENTED_EDGE('',*,*,#211938,.F.); #288718=ORIENTED_EDGE('',*,*,#211939,.F.); #288719=ORIENTED_EDGE('',*,*,#211940,.T.); #288720=ORIENTED_EDGE('',*,*,#211939,.T.); #288721=ORIENTED_EDGE('',*,*,#211941,.F.); #288722=ORIENTED_EDGE('',*,*,#211942,.F.); #288723=ORIENTED_EDGE('',*,*,#211943,.T.); #288724=ORIENTED_EDGE('',*,*,#211942,.T.); #288725=ORIENTED_EDGE('',*,*,#211944,.F.); #288726=ORIENTED_EDGE('',*,*,#211945,.F.); #288727=ORIENTED_EDGE('',*,*,#211946,.T.); #288728=ORIENTED_EDGE('',*,*,#211945,.T.); #288729=ORIENTED_EDGE('',*,*,#211947,.F.); #288730=ORIENTED_EDGE('',*,*,#211948,.F.); #288731=ORIENTED_EDGE('',*,*,#211949,.T.); #288732=ORIENTED_EDGE('',*,*,#211948,.T.); #288733=ORIENTED_EDGE('',*,*,#211950,.F.); #288734=ORIENTED_EDGE('',*,*,#211951,.F.); #288735=ORIENTED_EDGE('',*,*,#211952,.T.); #288736=ORIENTED_EDGE('',*,*,#211951,.T.); #288737=ORIENTED_EDGE('',*,*,#211953,.F.); #288738=ORIENTED_EDGE('',*,*,#211954,.F.); #288739=ORIENTED_EDGE('',*,*,#211955,.T.); #288740=ORIENTED_EDGE('',*,*,#211954,.T.); #288741=ORIENTED_EDGE('',*,*,#211956,.F.); #288742=ORIENTED_EDGE('',*,*,#211957,.F.); #288743=ORIENTED_EDGE('',*,*,#211958,.T.); #288744=ORIENTED_EDGE('',*,*,#211957,.T.); #288745=ORIENTED_EDGE('',*,*,#211959,.F.); #288746=ORIENTED_EDGE('',*,*,#211960,.F.); #288747=ORIENTED_EDGE('',*,*,#211961,.T.); #288748=ORIENTED_EDGE('',*,*,#211960,.T.); #288749=ORIENTED_EDGE('',*,*,#211962,.F.); #288750=ORIENTED_EDGE('',*,*,#211963,.F.); #288751=ORIENTED_EDGE('',*,*,#211964,.T.); #288752=ORIENTED_EDGE('',*,*,#211963,.T.); #288753=ORIENTED_EDGE('',*,*,#211965,.F.); #288754=ORIENTED_EDGE('',*,*,#211966,.F.); #288755=ORIENTED_EDGE('',*,*,#211967,.T.); #288756=ORIENTED_EDGE('',*,*,#211966,.T.); #288757=ORIENTED_EDGE('',*,*,#211968,.F.); #288758=ORIENTED_EDGE('',*,*,#211969,.F.); #288759=ORIENTED_EDGE('',*,*,#211970,.T.); #288760=ORIENTED_EDGE('',*,*,#211969,.T.); #288761=ORIENTED_EDGE('',*,*,#211971,.F.); #288762=ORIENTED_EDGE('',*,*,#211972,.F.); #288763=ORIENTED_EDGE('',*,*,#211973,.T.); #288764=ORIENTED_EDGE('',*,*,#211972,.T.); #288765=ORIENTED_EDGE('',*,*,#211974,.F.); #288766=ORIENTED_EDGE('',*,*,#211975,.F.); #288767=ORIENTED_EDGE('',*,*,#211976,.T.); #288768=ORIENTED_EDGE('',*,*,#211975,.T.); #288769=ORIENTED_EDGE('',*,*,#211977,.F.); #288770=ORIENTED_EDGE('',*,*,#211978,.F.); #288771=ORIENTED_EDGE('',*,*,#211979,.T.); #288772=ORIENTED_EDGE('',*,*,#211978,.T.); #288773=ORIENTED_EDGE('',*,*,#211980,.F.); #288774=ORIENTED_EDGE('',*,*,#211981,.F.); #288775=ORIENTED_EDGE('',*,*,#211982,.T.); #288776=ORIENTED_EDGE('',*,*,#211981,.T.); #288777=ORIENTED_EDGE('',*,*,#211983,.F.); #288778=ORIENTED_EDGE('',*,*,#211984,.F.); #288779=ORIENTED_EDGE('',*,*,#211985,.T.); #288780=ORIENTED_EDGE('',*,*,#211984,.T.); #288781=ORIENTED_EDGE('',*,*,#211986,.F.); #288782=ORIENTED_EDGE('',*,*,#211987,.F.); #288783=ORIENTED_EDGE('',*,*,#211988,.T.); #288784=ORIENTED_EDGE('',*,*,#211987,.T.); #288785=ORIENTED_EDGE('',*,*,#211989,.F.); #288786=ORIENTED_EDGE('',*,*,#211990,.F.); #288787=ORIENTED_EDGE('',*,*,#211991,.T.); #288788=ORIENTED_EDGE('',*,*,#211990,.T.); #288789=ORIENTED_EDGE('',*,*,#211992,.F.); #288790=ORIENTED_EDGE('',*,*,#211993,.F.); #288791=ORIENTED_EDGE('',*,*,#211994,.T.); #288792=ORIENTED_EDGE('',*,*,#211993,.T.); #288793=ORIENTED_EDGE('',*,*,#211995,.F.); #288794=ORIENTED_EDGE('',*,*,#211996,.F.); #288795=ORIENTED_EDGE('',*,*,#211997,.T.); #288796=ORIENTED_EDGE('',*,*,#211996,.T.); #288797=ORIENTED_EDGE('',*,*,#211998,.F.); #288798=ORIENTED_EDGE('',*,*,#211999,.F.); #288799=ORIENTED_EDGE('',*,*,#212000,.T.); #288800=ORIENTED_EDGE('',*,*,#211999,.T.); #288801=ORIENTED_EDGE('',*,*,#212001,.F.); #288802=ORIENTED_EDGE('',*,*,#212002,.F.); #288803=ORIENTED_EDGE('',*,*,#212003,.T.); #288804=ORIENTED_EDGE('',*,*,#212002,.T.); #288805=ORIENTED_EDGE('',*,*,#212004,.F.); #288806=ORIENTED_EDGE('',*,*,#212005,.F.); #288807=ORIENTED_EDGE('',*,*,#212006,.T.); #288808=ORIENTED_EDGE('',*,*,#212005,.T.); #288809=ORIENTED_EDGE('',*,*,#212007,.F.); #288810=ORIENTED_EDGE('',*,*,#212008,.F.); #288811=ORIENTED_EDGE('',*,*,#212009,.T.); #288812=ORIENTED_EDGE('',*,*,#212008,.T.); #288813=ORIENTED_EDGE('',*,*,#212010,.F.); #288814=ORIENTED_EDGE('',*,*,#212011,.F.); #288815=ORIENTED_EDGE('',*,*,#212012,.T.); #288816=ORIENTED_EDGE('',*,*,#212011,.T.); #288817=ORIENTED_EDGE('',*,*,#212013,.F.); #288818=ORIENTED_EDGE('',*,*,#212014,.F.); #288819=ORIENTED_EDGE('',*,*,#212015,.T.); #288820=ORIENTED_EDGE('',*,*,#212014,.T.); #288821=ORIENTED_EDGE('',*,*,#212016,.F.); #288822=ORIENTED_EDGE('',*,*,#212017,.F.); #288823=ORIENTED_EDGE('',*,*,#212018,.T.); #288824=ORIENTED_EDGE('',*,*,#212017,.T.); #288825=ORIENTED_EDGE('',*,*,#212019,.F.); #288826=ORIENTED_EDGE('',*,*,#212020,.F.); #288827=ORIENTED_EDGE('',*,*,#212021,.T.); #288828=ORIENTED_EDGE('',*,*,#212020,.T.); #288829=ORIENTED_EDGE('',*,*,#212022,.F.); #288830=ORIENTED_EDGE('',*,*,#212023,.F.); #288831=ORIENTED_EDGE('',*,*,#212024,.T.); #288832=ORIENTED_EDGE('',*,*,#212023,.T.); #288833=ORIENTED_EDGE('',*,*,#212025,.F.); #288834=ORIENTED_EDGE('',*,*,#212026,.F.); #288835=ORIENTED_EDGE('',*,*,#212027,.T.); #288836=ORIENTED_EDGE('',*,*,#212026,.T.); #288837=ORIENTED_EDGE('',*,*,#212028,.F.); #288838=ORIENTED_EDGE('',*,*,#212029,.F.); #288839=ORIENTED_EDGE('',*,*,#212030,.T.); #288840=ORIENTED_EDGE('',*,*,#212029,.T.); #288841=ORIENTED_EDGE('',*,*,#212031,.F.); #288842=ORIENTED_EDGE('',*,*,#212032,.F.); #288843=ORIENTED_EDGE('',*,*,#212033,.T.); #288844=ORIENTED_EDGE('',*,*,#212032,.T.); #288845=ORIENTED_EDGE('',*,*,#212034,.F.); #288846=ORIENTED_EDGE('',*,*,#212035,.F.); #288847=ORIENTED_EDGE('',*,*,#212036,.T.); #288848=ORIENTED_EDGE('',*,*,#212035,.T.); #288849=ORIENTED_EDGE('',*,*,#212037,.F.); #288850=ORIENTED_EDGE('',*,*,#212038,.F.); #288851=ORIENTED_EDGE('',*,*,#212039,.T.); #288852=ORIENTED_EDGE('',*,*,#212038,.T.); #288853=ORIENTED_EDGE('',*,*,#212040,.F.); #288854=ORIENTED_EDGE('',*,*,#212041,.F.); #288855=ORIENTED_EDGE('',*,*,#212042,.T.); #288856=ORIENTED_EDGE('',*,*,#212041,.T.); #288857=ORIENTED_EDGE('',*,*,#212043,.F.); #288858=ORIENTED_EDGE('',*,*,#212044,.F.); #288859=ORIENTED_EDGE('',*,*,#212045,.T.); #288860=ORIENTED_EDGE('',*,*,#212044,.T.); #288861=ORIENTED_EDGE('',*,*,#212046,.F.); #288862=ORIENTED_EDGE('',*,*,#212047,.F.); #288863=ORIENTED_EDGE('',*,*,#212048,.T.); #288864=ORIENTED_EDGE('',*,*,#212047,.T.); #288865=ORIENTED_EDGE('',*,*,#212049,.F.); #288866=ORIENTED_EDGE('',*,*,#212050,.F.); #288867=ORIENTED_EDGE('',*,*,#212051,.T.); #288868=ORIENTED_EDGE('',*,*,#212050,.T.); #288869=ORIENTED_EDGE('',*,*,#212052,.F.); #288870=ORIENTED_EDGE('',*,*,#212053,.F.); #288871=ORIENTED_EDGE('',*,*,#212054,.T.); #288872=ORIENTED_EDGE('',*,*,#212053,.T.); #288873=ORIENTED_EDGE('',*,*,#212055,.F.); #288874=ORIENTED_EDGE('',*,*,#212056,.F.); #288875=ORIENTED_EDGE('',*,*,#212057,.T.); #288876=ORIENTED_EDGE('',*,*,#212056,.T.); #288877=ORIENTED_EDGE('',*,*,#212058,.F.); #288878=ORIENTED_EDGE('',*,*,#212059,.F.); #288879=ORIENTED_EDGE('',*,*,#212060,.T.); #288880=ORIENTED_EDGE('',*,*,#212059,.T.); #288881=ORIENTED_EDGE('',*,*,#212061,.F.); #288882=ORIENTED_EDGE('',*,*,#212062,.F.); #288883=ORIENTED_EDGE('',*,*,#212063,.T.); #288884=ORIENTED_EDGE('',*,*,#212062,.T.); #288885=ORIENTED_EDGE('',*,*,#212064,.F.); #288886=ORIENTED_EDGE('',*,*,#212065,.F.); #288887=ORIENTED_EDGE('',*,*,#212066,.T.); #288888=ORIENTED_EDGE('',*,*,#212065,.T.); #288889=ORIENTED_EDGE('',*,*,#212067,.F.); #288890=ORIENTED_EDGE('',*,*,#212068,.F.); #288891=ORIENTED_EDGE('',*,*,#212069,.T.); #288892=ORIENTED_EDGE('',*,*,#212068,.T.); #288893=ORIENTED_EDGE('',*,*,#212070,.F.); #288894=ORIENTED_EDGE('',*,*,#212071,.F.); #288895=ORIENTED_EDGE('',*,*,#212072,.T.); #288896=ORIENTED_EDGE('',*,*,#212071,.T.); #288897=ORIENTED_EDGE('',*,*,#212073,.F.); #288898=ORIENTED_EDGE('',*,*,#212074,.F.); #288899=ORIENTED_EDGE('',*,*,#212075,.T.); #288900=ORIENTED_EDGE('',*,*,#212074,.T.); #288901=ORIENTED_EDGE('',*,*,#212076,.F.); #288902=ORIENTED_EDGE('',*,*,#212077,.F.); #288903=ORIENTED_EDGE('',*,*,#212078,.T.); #288904=ORIENTED_EDGE('',*,*,#212077,.T.); #288905=ORIENTED_EDGE('',*,*,#212079,.F.); #288906=ORIENTED_EDGE('',*,*,#212080,.F.); #288907=ORIENTED_EDGE('',*,*,#212081,.T.); #288908=ORIENTED_EDGE('',*,*,#212080,.T.); #288909=ORIENTED_EDGE('',*,*,#212082,.F.); #288910=ORIENTED_EDGE('',*,*,#212083,.F.); #288911=ORIENTED_EDGE('',*,*,#212084,.T.); #288912=ORIENTED_EDGE('',*,*,#212083,.T.); #288913=ORIENTED_EDGE('',*,*,#212085,.F.); #288914=ORIENTED_EDGE('',*,*,#212086,.F.); #288915=ORIENTED_EDGE('',*,*,#212087,.T.); #288916=ORIENTED_EDGE('',*,*,#212086,.T.); #288917=ORIENTED_EDGE('',*,*,#212088,.F.); #288918=ORIENTED_EDGE('',*,*,#212089,.F.); #288919=ORIENTED_EDGE('',*,*,#212090,.T.); #288920=ORIENTED_EDGE('',*,*,#212089,.T.); #288921=ORIENTED_EDGE('',*,*,#212091,.F.); #288922=ORIENTED_EDGE('',*,*,#212092,.F.); #288923=ORIENTED_EDGE('',*,*,#212093,.T.); #288924=ORIENTED_EDGE('',*,*,#212092,.T.); #288925=ORIENTED_EDGE('',*,*,#212094,.F.); #288926=ORIENTED_EDGE('',*,*,#212095,.F.); #288927=ORIENTED_EDGE('',*,*,#212096,.T.); #288928=ORIENTED_EDGE('',*,*,#212095,.T.); #288929=ORIENTED_EDGE('',*,*,#212097,.F.); #288930=ORIENTED_EDGE('',*,*,#212098,.F.); #288931=ORIENTED_EDGE('',*,*,#212099,.T.); #288932=ORIENTED_EDGE('',*,*,#212098,.T.); #288933=ORIENTED_EDGE('',*,*,#212100,.F.); #288934=ORIENTED_EDGE('',*,*,#212101,.F.); #288935=ORIENTED_EDGE('',*,*,#212102,.T.); #288936=ORIENTED_EDGE('',*,*,#212101,.T.); #288937=ORIENTED_EDGE('',*,*,#212103,.F.); #288938=ORIENTED_EDGE('',*,*,#212104,.F.); #288939=ORIENTED_EDGE('',*,*,#212105,.T.); #288940=ORIENTED_EDGE('',*,*,#212104,.T.); #288941=ORIENTED_EDGE('',*,*,#212106,.F.); #288942=ORIENTED_EDGE('',*,*,#212107,.F.); #288943=ORIENTED_EDGE('',*,*,#212108,.T.); #288944=ORIENTED_EDGE('',*,*,#212107,.T.); #288945=ORIENTED_EDGE('',*,*,#212109,.F.); #288946=ORIENTED_EDGE('',*,*,#212110,.F.); #288947=ORIENTED_EDGE('',*,*,#212111,.T.); #288948=ORIENTED_EDGE('',*,*,#212110,.T.); #288949=ORIENTED_EDGE('',*,*,#212112,.F.); #288950=ORIENTED_EDGE('',*,*,#212113,.F.); #288951=ORIENTED_EDGE('',*,*,#212114,.T.); #288952=ORIENTED_EDGE('',*,*,#212113,.T.); #288953=ORIENTED_EDGE('',*,*,#212115,.F.); #288954=ORIENTED_EDGE('',*,*,#212116,.F.); #288955=ORIENTED_EDGE('',*,*,#212117,.T.); #288956=ORIENTED_EDGE('',*,*,#212116,.T.); #288957=ORIENTED_EDGE('',*,*,#212118,.F.); #288958=ORIENTED_EDGE('',*,*,#212119,.F.); #288959=ORIENTED_EDGE('',*,*,#212120,.T.); #288960=ORIENTED_EDGE('',*,*,#212119,.T.); #288961=ORIENTED_EDGE('',*,*,#212121,.F.); #288962=ORIENTED_EDGE('',*,*,#212122,.F.); #288963=ORIENTED_EDGE('',*,*,#212123,.T.); #288964=ORIENTED_EDGE('',*,*,#212122,.T.); #288965=ORIENTED_EDGE('',*,*,#212124,.F.); #288966=ORIENTED_EDGE('',*,*,#212125,.F.); #288967=ORIENTED_EDGE('',*,*,#212126,.T.); #288968=ORIENTED_EDGE('',*,*,#212125,.T.); #288969=ORIENTED_EDGE('',*,*,#212127,.F.); #288970=ORIENTED_EDGE('',*,*,#212128,.F.); #288971=ORIENTED_EDGE('',*,*,#212129,.T.); #288972=ORIENTED_EDGE('',*,*,#212128,.T.); #288973=ORIENTED_EDGE('',*,*,#212130,.F.); #288974=ORIENTED_EDGE('',*,*,#212131,.F.); #288975=ORIENTED_EDGE('',*,*,#212132,.T.); #288976=ORIENTED_EDGE('',*,*,#212131,.T.); #288977=ORIENTED_EDGE('',*,*,#212133,.F.); #288978=ORIENTED_EDGE('',*,*,#212134,.F.); #288979=ORIENTED_EDGE('',*,*,#212135,.T.); #288980=ORIENTED_EDGE('',*,*,#212134,.T.); #288981=ORIENTED_EDGE('',*,*,#212136,.F.); #288982=ORIENTED_EDGE('',*,*,#212137,.F.); #288983=ORIENTED_EDGE('',*,*,#212138,.T.); #288984=ORIENTED_EDGE('',*,*,#212137,.T.); #288985=ORIENTED_EDGE('',*,*,#212139,.F.); #288986=ORIENTED_EDGE('',*,*,#212140,.F.); #288987=ORIENTED_EDGE('',*,*,#212141,.T.); #288988=ORIENTED_EDGE('',*,*,#212140,.T.); #288989=ORIENTED_EDGE('',*,*,#212142,.F.); #288990=ORIENTED_EDGE('',*,*,#212143,.F.); #288991=ORIENTED_EDGE('',*,*,#212144,.T.); #288992=ORIENTED_EDGE('',*,*,#212143,.T.); #288993=ORIENTED_EDGE('',*,*,#212145,.F.); #288994=ORIENTED_EDGE('',*,*,#212146,.F.); #288995=ORIENTED_EDGE('',*,*,#212147,.T.); #288996=ORIENTED_EDGE('',*,*,#212146,.T.); #288997=ORIENTED_EDGE('',*,*,#212148,.F.); #288998=ORIENTED_EDGE('',*,*,#212149,.F.); #288999=ORIENTED_EDGE('',*,*,#212150,.T.); #289000=ORIENTED_EDGE('',*,*,#212149,.T.); #289001=ORIENTED_EDGE('',*,*,#212151,.F.); #289002=ORIENTED_EDGE('',*,*,#212152,.F.); #289003=ORIENTED_EDGE('',*,*,#212153,.T.); #289004=ORIENTED_EDGE('',*,*,#212152,.T.); #289005=ORIENTED_EDGE('',*,*,#212154,.F.); #289006=ORIENTED_EDGE('',*,*,#212155,.F.); #289007=ORIENTED_EDGE('',*,*,#212156,.T.); #289008=ORIENTED_EDGE('',*,*,#212155,.T.); #289009=ORIENTED_EDGE('',*,*,#212157,.F.); #289010=ORIENTED_EDGE('',*,*,#212158,.F.); #289011=ORIENTED_EDGE('',*,*,#212159,.T.); #289012=ORIENTED_EDGE('',*,*,#212158,.T.); #289013=ORIENTED_EDGE('',*,*,#212160,.F.); #289014=ORIENTED_EDGE('',*,*,#212161,.F.); #289015=ORIENTED_EDGE('',*,*,#212162,.T.); #289016=ORIENTED_EDGE('',*,*,#212161,.T.); #289017=ORIENTED_EDGE('',*,*,#212163,.F.); #289018=ORIENTED_EDGE('',*,*,#212164,.F.); #289019=ORIENTED_EDGE('',*,*,#212165,.T.); #289020=ORIENTED_EDGE('',*,*,#212164,.T.); #289021=ORIENTED_EDGE('',*,*,#212166,.F.); #289022=ORIENTED_EDGE('',*,*,#212167,.F.); #289023=ORIENTED_EDGE('',*,*,#212168,.T.); #289024=ORIENTED_EDGE('',*,*,#212167,.T.); #289025=ORIENTED_EDGE('',*,*,#212169,.F.); #289026=ORIENTED_EDGE('',*,*,#212170,.F.); #289027=ORIENTED_EDGE('',*,*,#212171,.T.); #289028=ORIENTED_EDGE('',*,*,#212170,.T.); #289029=ORIENTED_EDGE('',*,*,#212172,.F.); #289030=ORIENTED_EDGE('',*,*,#212173,.F.); #289031=ORIENTED_EDGE('',*,*,#212174,.T.); #289032=ORIENTED_EDGE('',*,*,#212173,.T.); #289033=ORIENTED_EDGE('',*,*,#212175,.F.); #289034=ORIENTED_EDGE('',*,*,#212176,.F.); #289035=ORIENTED_EDGE('',*,*,#212177,.T.); #289036=ORIENTED_EDGE('',*,*,#212176,.T.); #289037=ORIENTED_EDGE('',*,*,#212178,.F.); #289038=ORIENTED_EDGE('',*,*,#212179,.F.); #289039=ORIENTED_EDGE('',*,*,#212180,.T.); #289040=ORIENTED_EDGE('',*,*,#212179,.T.); #289041=ORIENTED_EDGE('',*,*,#212181,.F.); #289042=ORIENTED_EDGE('',*,*,#212182,.F.); #289043=ORIENTED_EDGE('',*,*,#212183,.T.); #289044=ORIENTED_EDGE('',*,*,#212182,.T.); #289045=ORIENTED_EDGE('',*,*,#212184,.F.); #289046=ORIENTED_EDGE('',*,*,#212185,.F.); #289047=ORIENTED_EDGE('',*,*,#212186,.T.); #289048=ORIENTED_EDGE('',*,*,#212185,.T.); #289049=ORIENTED_EDGE('',*,*,#212187,.F.); #289050=ORIENTED_EDGE('',*,*,#212188,.F.); #289051=ORIENTED_EDGE('',*,*,#212189,.T.); #289052=ORIENTED_EDGE('',*,*,#212188,.T.); #289053=ORIENTED_EDGE('',*,*,#212190,.F.); #289054=ORIENTED_EDGE('',*,*,#212191,.F.); #289055=ORIENTED_EDGE('',*,*,#212192,.T.); #289056=ORIENTED_EDGE('',*,*,#212191,.T.); #289057=ORIENTED_EDGE('',*,*,#212193,.F.); #289058=ORIENTED_EDGE('',*,*,#212194,.F.); #289059=ORIENTED_EDGE('',*,*,#212195,.T.); #289060=ORIENTED_EDGE('',*,*,#212194,.T.); #289061=ORIENTED_EDGE('',*,*,#212196,.F.); #289062=ORIENTED_EDGE('',*,*,#212197,.F.); #289063=ORIENTED_EDGE('',*,*,#212198,.T.); #289064=ORIENTED_EDGE('',*,*,#212197,.T.); #289065=ORIENTED_EDGE('',*,*,#212199,.F.); #289066=ORIENTED_EDGE('',*,*,#212200,.F.); #289067=ORIENTED_EDGE('',*,*,#212201,.T.); #289068=ORIENTED_EDGE('',*,*,#212200,.T.); #289069=ORIENTED_EDGE('',*,*,#212202,.F.); #289070=ORIENTED_EDGE('',*,*,#212203,.F.); #289071=ORIENTED_EDGE('',*,*,#212204,.T.); #289072=ORIENTED_EDGE('',*,*,#212203,.T.); #289073=ORIENTED_EDGE('',*,*,#212205,.F.); #289074=ORIENTED_EDGE('',*,*,#212206,.F.); #289075=ORIENTED_EDGE('',*,*,#212207,.T.); #289076=ORIENTED_EDGE('',*,*,#212206,.T.); #289077=ORIENTED_EDGE('',*,*,#212208,.F.); #289078=ORIENTED_EDGE('',*,*,#212209,.F.); #289079=ORIENTED_EDGE('',*,*,#212210,.T.); #289080=ORIENTED_EDGE('',*,*,#212209,.T.); #289081=ORIENTED_EDGE('',*,*,#212211,.F.); #289082=ORIENTED_EDGE('',*,*,#212212,.F.); #289083=ORIENTED_EDGE('',*,*,#212213,.T.); #289084=ORIENTED_EDGE('',*,*,#212212,.T.); #289085=ORIENTED_EDGE('',*,*,#212214,.F.); #289086=ORIENTED_EDGE('',*,*,#212215,.F.); #289087=ORIENTED_EDGE('',*,*,#212216,.T.); #289088=ORIENTED_EDGE('',*,*,#212215,.T.); #289089=ORIENTED_EDGE('',*,*,#212217,.F.); #289090=ORIENTED_EDGE('',*,*,#212218,.F.); #289091=ORIENTED_EDGE('',*,*,#212219,.T.); #289092=ORIENTED_EDGE('',*,*,#212218,.T.); #289093=ORIENTED_EDGE('',*,*,#212220,.F.); #289094=ORIENTED_EDGE('',*,*,#212221,.F.); #289095=ORIENTED_EDGE('',*,*,#212222,.T.); #289096=ORIENTED_EDGE('',*,*,#212221,.T.); #289097=ORIENTED_EDGE('',*,*,#212223,.F.); #289098=ORIENTED_EDGE('',*,*,#212224,.F.); #289099=ORIENTED_EDGE('',*,*,#212225,.T.); #289100=ORIENTED_EDGE('',*,*,#212224,.T.); #289101=ORIENTED_EDGE('',*,*,#212226,.F.); #289102=ORIENTED_EDGE('',*,*,#212227,.F.); #289103=ORIENTED_EDGE('',*,*,#212228,.T.); #289104=ORIENTED_EDGE('',*,*,#212227,.T.); #289105=ORIENTED_EDGE('',*,*,#212229,.F.); #289106=ORIENTED_EDGE('',*,*,#212230,.F.); #289107=ORIENTED_EDGE('',*,*,#212231,.T.); #289108=ORIENTED_EDGE('',*,*,#212230,.T.); #289109=ORIENTED_EDGE('',*,*,#212232,.F.); #289110=ORIENTED_EDGE('',*,*,#212233,.F.); #289111=ORIENTED_EDGE('',*,*,#212234,.T.); #289112=ORIENTED_EDGE('',*,*,#212233,.T.); #289113=ORIENTED_EDGE('',*,*,#212235,.F.); #289114=ORIENTED_EDGE('',*,*,#212236,.F.); #289115=ORIENTED_EDGE('',*,*,#212237,.T.); #289116=ORIENTED_EDGE('',*,*,#212236,.T.); #289117=ORIENTED_EDGE('',*,*,#212238,.F.); #289118=ORIENTED_EDGE('',*,*,#212239,.F.); #289119=ORIENTED_EDGE('',*,*,#212240,.T.); #289120=ORIENTED_EDGE('',*,*,#212239,.T.); #289121=ORIENTED_EDGE('',*,*,#212241,.F.); #289122=ORIENTED_EDGE('',*,*,#212242,.F.); #289123=ORIENTED_EDGE('',*,*,#212243,.T.); #289124=ORIENTED_EDGE('',*,*,#212242,.T.); #289125=ORIENTED_EDGE('',*,*,#212244,.F.); #289126=ORIENTED_EDGE('',*,*,#212245,.F.); #289127=ORIENTED_EDGE('',*,*,#212246,.T.); #289128=ORIENTED_EDGE('',*,*,#212245,.T.); #289129=ORIENTED_EDGE('',*,*,#212247,.F.); #289130=ORIENTED_EDGE('',*,*,#212248,.F.); #289131=ORIENTED_EDGE('',*,*,#212249,.T.); #289132=ORIENTED_EDGE('',*,*,#212248,.T.); #289133=ORIENTED_EDGE('',*,*,#212250,.F.); #289134=ORIENTED_EDGE('',*,*,#212251,.F.); #289135=ORIENTED_EDGE('',*,*,#212252,.T.); #289136=ORIENTED_EDGE('',*,*,#212251,.T.); #289137=ORIENTED_EDGE('',*,*,#212253,.F.); #289138=ORIENTED_EDGE('',*,*,#212254,.F.); #289139=ORIENTED_EDGE('',*,*,#212255,.T.); #289140=ORIENTED_EDGE('',*,*,#212254,.T.); #289141=ORIENTED_EDGE('',*,*,#212256,.F.); #289142=ORIENTED_EDGE('',*,*,#212257,.F.); #289143=ORIENTED_EDGE('',*,*,#212258,.T.); #289144=ORIENTED_EDGE('',*,*,#212257,.T.); #289145=ORIENTED_EDGE('',*,*,#212259,.F.); #289146=ORIENTED_EDGE('',*,*,#212260,.F.); #289147=ORIENTED_EDGE('',*,*,#212261,.T.); #289148=ORIENTED_EDGE('',*,*,#212260,.T.); #289149=ORIENTED_EDGE('',*,*,#212262,.F.); #289150=ORIENTED_EDGE('',*,*,#212263,.F.); #289151=ORIENTED_EDGE('',*,*,#212264,.T.); #289152=ORIENTED_EDGE('',*,*,#212263,.T.); #289153=ORIENTED_EDGE('',*,*,#212265,.F.); #289154=ORIENTED_EDGE('',*,*,#212266,.F.); #289155=ORIENTED_EDGE('',*,*,#212267,.T.); #289156=ORIENTED_EDGE('',*,*,#212266,.T.); #289157=ORIENTED_EDGE('',*,*,#212268,.F.); #289158=ORIENTED_EDGE('',*,*,#212269,.F.); #289159=ORIENTED_EDGE('',*,*,#212270,.T.); #289160=ORIENTED_EDGE('',*,*,#212269,.T.); #289161=ORIENTED_EDGE('',*,*,#212271,.F.); #289162=ORIENTED_EDGE('',*,*,#212272,.F.); #289163=ORIENTED_EDGE('',*,*,#212273,.T.); #289164=ORIENTED_EDGE('',*,*,#212272,.T.); #289165=ORIENTED_EDGE('',*,*,#212274,.F.); #289166=ORIENTED_EDGE('',*,*,#212275,.F.); #289167=ORIENTED_EDGE('',*,*,#212276,.T.); #289168=ORIENTED_EDGE('',*,*,#212275,.T.); #289169=ORIENTED_EDGE('',*,*,#212277,.F.); #289170=ORIENTED_EDGE('',*,*,#212278,.F.); #289171=ORIENTED_EDGE('',*,*,#212279,.T.); #289172=ORIENTED_EDGE('',*,*,#212278,.T.); #289173=ORIENTED_EDGE('',*,*,#212280,.F.); #289174=ORIENTED_EDGE('',*,*,#212281,.F.); #289175=ORIENTED_EDGE('',*,*,#212282,.T.); #289176=ORIENTED_EDGE('',*,*,#212281,.T.); #289177=ORIENTED_EDGE('',*,*,#212283,.F.); #289178=ORIENTED_EDGE('',*,*,#212284,.F.); #289179=ORIENTED_EDGE('',*,*,#212285,.T.); #289180=ORIENTED_EDGE('',*,*,#212284,.T.); #289181=ORIENTED_EDGE('',*,*,#212286,.F.); #289182=ORIENTED_EDGE('',*,*,#212287,.F.); #289183=ORIENTED_EDGE('',*,*,#212288,.T.); #289184=ORIENTED_EDGE('',*,*,#212287,.T.); #289185=ORIENTED_EDGE('',*,*,#212289,.F.); #289186=ORIENTED_EDGE('',*,*,#212290,.F.); #289187=ORIENTED_EDGE('',*,*,#212291,.T.); #289188=ORIENTED_EDGE('',*,*,#212290,.T.); #289189=ORIENTED_EDGE('',*,*,#212292,.F.); #289190=ORIENTED_EDGE('',*,*,#212293,.F.); #289191=ORIENTED_EDGE('',*,*,#212294,.T.); #289192=ORIENTED_EDGE('',*,*,#212293,.T.); #289193=ORIENTED_EDGE('',*,*,#212295,.F.); #289194=ORIENTED_EDGE('',*,*,#212296,.F.); #289195=ORIENTED_EDGE('',*,*,#212297,.T.); #289196=ORIENTED_EDGE('',*,*,#212296,.T.); #289197=ORIENTED_EDGE('',*,*,#212298,.F.); #289198=ORIENTED_EDGE('',*,*,#212299,.F.); #289199=ORIENTED_EDGE('',*,*,#212300,.T.); #289200=ORIENTED_EDGE('',*,*,#212299,.T.); #289201=ORIENTED_EDGE('',*,*,#212301,.F.); #289202=ORIENTED_EDGE('',*,*,#212302,.F.); #289203=ORIENTED_EDGE('',*,*,#212303,.T.); #289204=ORIENTED_EDGE('',*,*,#212302,.T.); #289205=ORIENTED_EDGE('',*,*,#212304,.F.); #289206=ORIENTED_EDGE('',*,*,#212305,.F.); #289207=ORIENTED_EDGE('',*,*,#212306,.T.); #289208=ORIENTED_EDGE('',*,*,#212305,.T.); #289209=ORIENTED_EDGE('',*,*,#212307,.F.); #289210=ORIENTED_EDGE('',*,*,#212308,.F.); #289211=ORIENTED_EDGE('',*,*,#212309,.T.); #289212=ORIENTED_EDGE('',*,*,#212308,.T.); #289213=ORIENTED_EDGE('',*,*,#212310,.F.); #289214=ORIENTED_EDGE('',*,*,#212311,.F.); #289215=ORIENTED_EDGE('',*,*,#212312,.T.); #289216=ORIENTED_EDGE('',*,*,#212311,.T.); #289217=ORIENTED_EDGE('',*,*,#212313,.F.); #289218=ORIENTED_EDGE('',*,*,#212314,.F.); #289219=ORIENTED_EDGE('',*,*,#212315,.T.); #289220=ORIENTED_EDGE('',*,*,#212314,.T.); #289221=ORIENTED_EDGE('',*,*,#212316,.F.); #289222=ORIENTED_EDGE('',*,*,#212317,.F.); #289223=ORIENTED_EDGE('',*,*,#212318,.T.); #289224=ORIENTED_EDGE('',*,*,#212317,.T.); #289225=ORIENTED_EDGE('',*,*,#212319,.F.); #289226=ORIENTED_EDGE('',*,*,#212320,.F.); #289227=ORIENTED_EDGE('',*,*,#212321,.T.); #289228=ORIENTED_EDGE('',*,*,#212320,.T.); #289229=ORIENTED_EDGE('',*,*,#212322,.F.); #289230=ORIENTED_EDGE('',*,*,#212323,.F.); #289231=ORIENTED_EDGE('',*,*,#212324,.T.); #289232=ORIENTED_EDGE('',*,*,#212323,.T.); #289233=ORIENTED_EDGE('',*,*,#212325,.F.); #289234=ORIENTED_EDGE('',*,*,#212326,.F.); #289235=ORIENTED_EDGE('',*,*,#212327,.T.); #289236=ORIENTED_EDGE('',*,*,#212326,.T.); #289237=ORIENTED_EDGE('',*,*,#212328,.F.); #289238=ORIENTED_EDGE('',*,*,#212329,.F.); #289239=ORIENTED_EDGE('',*,*,#212330,.T.); #289240=ORIENTED_EDGE('',*,*,#212329,.T.); #289241=ORIENTED_EDGE('',*,*,#212331,.F.); #289242=ORIENTED_EDGE('',*,*,#212332,.F.); #289243=ORIENTED_EDGE('',*,*,#212333,.T.); #289244=ORIENTED_EDGE('',*,*,#212332,.T.); #289245=ORIENTED_EDGE('',*,*,#212334,.F.); #289246=ORIENTED_EDGE('',*,*,#212335,.F.); #289247=ORIENTED_EDGE('',*,*,#212336,.T.); #289248=ORIENTED_EDGE('',*,*,#212335,.T.); #289249=ORIENTED_EDGE('',*,*,#212337,.F.); #289250=ORIENTED_EDGE('',*,*,#212338,.F.); #289251=ORIENTED_EDGE('',*,*,#212339,.T.); #289252=ORIENTED_EDGE('',*,*,#212338,.T.); #289253=ORIENTED_EDGE('',*,*,#212340,.F.); #289254=ORIENTED_EDGE('',*,*,#212341,.F.); #289255=ORIENTED_EDGE('',*,*,#212342,.T.); #289256=ORIENTED_EDGE('',*,*,#212341,.T.); #289257=ORIENTED_EDGE('',*,*,#212343,.F.); #289258=ORIENTED_EDGE('',*,*,#212344,.F.); #289259=ORIENTED_EDGE('',*,*,#212345,.T.); #289260=ORIENTED_EDGE('',*,*,#212344,.T.); #289261=ORIENTED_EDGE('',*,*,#212346,.F.); #289262=ORIENTED_EDGE('',*,*,#212347,.F.); #289263=ORIENTED_EDGE('',*,*,#212348,.T.); #289264=ORIENTED_EDGE('',*,*,#212347,.T.); #289265=ORIENTED_EDGE('',*,*,#212349,.F.); #289266=ORIENTED_EDGE('',*,*,#212350,.F.); #289267=ORIENTED_EDGE('',*,*,#212351,.T.); #289268=ORIENTED_EDGE('',*,*,#212350,.T.); #289269=ORIENTED_EDGE('',*,*,#212352,.F.); #289270=ORIENTED_EDGE('',*,*,#212353,.F.); #289271=ORIENTED_EDGE('',*,*,#212354,.T.); #289272=ORIENTED_EDGE('',*,*,#212353,.T.); #289273=ORIENTED_EDGE('',*,*,#212355,.F.); #289274=ORIENTED_EDGE('',*,*,#212356,.F.); #289275=ORIENTED_EDGE('',*,*,#212357,.T.); #289276=ORIENTED_EDGE('',*,*,#212356,.T.); #289277=ORIENTED_EDGE('',*,*,#212358,.F.); #289278=ORIENTED_EDGE('',*,*,#212359,.F.); #289279=ORIENTED_EDGE('',*,*,#212360,.T.); #289280=ORIENTED_EDGE('',*,*,#212359,.T.); #289281=ORIENTED_EDGE('',*,*,#212361,.F.); #289282=ORIENTED_EDGE('',*,*,#212362,.F.); #289283=ORIENTED_EDGE('',*,*,#212363,.T.); #289284=ORIENTED_EDGE('',*,*,#212362,.T.); #289285=ORIENTED_EDGE('',*,*,#212364,.F.); #289286=ORIENTED_EDGE('',*,*,#212365,.F.); #289287=ORIENTED_EDGE('',*,*,#212366,.T.); #289288=ORIENTED_EDGE('',*,*,#212365,.T.); #289289=ORIENTED_EDGE('',*,*,#212367,.F.); #289290=ORIENTED_EDGE('',*,*,#212368,.F.); #289291=ORIENTED_EDGE('',*,*,#212369,.T.); #289292=ORIENTED_EDGE('',*,*,#212368,.T.); #289293=ORIENTED_EDGE('',*,*,#212370,.F.); #289294=ORIENTED_EDGE('',*,*,#212371,.F.); #289295=ORIENTED_EDGE('',*,*,#212372,.T.); #289296=ORIENTED_EDGE('',*,*,#212371,.T.); #289297=ORIENTED_EDGE('',*,*,#212373,.F.); #289298=ORIENTED_EDGE('',*,*,#212374,.F.); #289299=ORIENTED_EDGE('',*,*,#212375,.T.); #289300=ORIENTED_EDGE('',*,*,#212374,.T.); #289301=ORIENTED_EDGE('',*,*,#212376,.F.); #289302=ORIENTED_EDGE('',*,*,#212377,.F.); #289303=ORIENTED_EDGE('',*,*,#212378,.T.); #289304=ORIENTED_EDGE('',*,*,#212377,.T.); #289305=ORIENTED_EDGE('',*,*,#212379,.F.); #289306=ORIENTED_EDGE('',*,*,#212380,.F.); #289307=ORIENTED_EDGE('',*,*,#212381,.T.); #289308=ORIENTED_EDGE('',*,*,#212380,.T.); #289309=ORIENTED_EDGE('',*,*,#212382,.F.); #289310=ORIENTED_EDGE('',*,*,#212383,.F.); #289311=ORIENTED_EDGE('',*,*,#212384,.T.); #289312=ORIENTED_EDGE('',*,*,#212383,.T.); #289313=ORIENTED_EDGE('',*,*,#212385,.F.); #289314=ORIENTED_EDGE('',*,*,#212386,.F.); #289315=ORIENTED_EDGE('',*,*,#212387,.T.); #289316=ORIENTED_EDGE('',*,*,#212386,.T.); #289317=ORIENTED_EDGE('',*,*,#212388,.F.); #289318=ORIENTED_EDGE('',*,*,#212389,.F.); #289319=ORIENTED_EDGE('',*,*,#212390,.T.); #289320=ORIENTED_EDGE('',*,*,#212389,.T.); #289321=ORIENTED_EDGE('',*,*,#212391,.F.); #289322=ORIENTED_EDGE('',*,*,#212392,.F.); #289323=ORIENTED_EDGE('',*,*,#212393,.T.); #289324=ORIENTED_EDGE('',*,*,#212392,.T.); #289325=ORIENTED_EDGE('',*,*,#212394,.F.); #289326=ORIENTED_EDGE('',*,*,#212395,.F.); #289327=ORIENTED_EDGE('',*,*,#212396,.T.); #289328=ORIENTED_EDGE('',*,*,#212395,.T.); #289329=ORIENTED_EDGE('',*,*,#212397,.F.); #289330=ORIENTED_EDGE('',*,*,#212398,.F.); #289331=ORIENTED_EDGE('',*,*,#212399,.T.); #289332=ORIENTED_EDGE('',*,*,#212398,.T.); #289333=ORIENTED_EDGE('',*,*,#212400,.F.); #289334=ORIENTED_EDGE('',*,*,#212401,.F.); #289335=ORIENTED_EDGE('',*,*,#212402,.T.); #289336=ORIENTED_EDGE('',*,*,#212401,.T.); #289337=ORIENTED_EDGE('',*,*,#212403,.F.); #289338=ORIENTED_EDGE('',*,*,#212404,.F.); #289339=ORIENTED_EDGE('',*,*,#212405,.T.); #289340=ORIENTED_EDGE('',*,*,#212404,.T.); #289341=ORIENTED_EDGE('',*,*,#212406,.F.); #289342=ORIENTED_EDGE('',*,*,#212407,.F.); #289343=ORIENTED_EDGE('',*,*,#212408,.T.); #289344=ORIENTED_EDGE('',*,*,#212407,.T.); #289345=ORIENTED_EDGE('',*,*,#212409,.F.); #289346=ORIENTED_EDGE('',*,*,#212410,.F.); #289347=ORIENTED_EDGE('',*,*,#212411,.T.); #289348=ORIENTED_EDGE('',*,*,#212410,.T.); #289349=ORIENTED_EDGE('',*,*,#212412,.F.); #289350=ORIENTED_EDGE('',*,*,#212413,.F.); #289351=ORIENTED_EDGE('',*,*,#212414,.T.); #289352=ORIENTED_EDGE('',*,*,#212413,.T.); #289353=ORIENTED_EDGE('',*,*,#212415,.F.); #289354=ORIENTED_EDGE('',*,*,#212416,.F.); #289355=ORIENTED_EDGE('',*,*,#212417,.T.); #289356=ORIENTED_EDGE('',*,*,#212416,.T.); #289357=ORIENTED_EDGE('',*,*,#212418,.F.); #289358=ORIENTED_EDGE('',*,*,#212419,.F.); #289359=ORIENTED_EDGE('',*,*,#212420,.T.); #289360=ORIENTED_EDGE('',*,*,#212419,.T.); #289361=ORIENTED_EDGE('',*,*,#212421,.F.); #289362=ORIENTED_EDGE('',*,*,#212422,.F.); #289363=ORIENTED_EDGE('',*,*,#212423,.T.); #289364=ORIENTED_EDGE('',*,*,#212422,.T.); #289365=ORIENTED_EDGE('',*,*,#212424,.F.); #289366=ORIENTED_EDGE('',*,*,#212425,.F.); #289367=ORIENTED_EDGE('',*,*,#212426,.T.); #289368=ORIENTED_EDGE('',*,*,#212425,.T.); #289369=ORIENTED_EDGE('',*,*,#212427,.F.); #289370=ORIENTED_EDGE('',*,*,#212428,.F.); #289371=ORIENTED_EDGE('',*,*,#212429,.T.); #289372=ORIENTED_EDGE('',*,*,#212428,.T.); #289373=ORIENTED_EDGE('',*,*,#212430,.F.); #289374=ORIENTED_EDGE('',*,*,#212431,.F.); #289375=ORIENTED_EDGE('',*,*,#212432,.T.); #289376=ORIENTED_EDGE('',*,*,#212431,.T.); #289377=ORIENTED_EDGE('',*,*,#212433,.F.); #289378=ORIENTED_EDGE('',*,*,#212434,.F.); #289379=ORIENTED_EDGE('',*,*,#212435,.T.); #289380=ORIENTED_EDGE('',*,*,#212434,.T.); #289381=ORIENTED_EDGE('',*,*,#212436,.F.); #289382=ORIENTED_EDGE('',*,*,#212437,.F.); #289383=ORIENTED_EDGE('',*,*,#212438,.T.); #289384=ORIENTED_EDGE('',*,*,#212437,.T.); #289385=ORIENTED_EDGE('',*,*,#212439,.F.); #289386=ORIENTED_EDGE('',*,*,#212440,.F.); #289387=ORIENTED_EDGE('',*,*,#212441,.T.); #289388=ORIENTED_EDGE('',*,*,#212440,.T.); #289389=ORIENTED_EDGE('',*,*,#212442,.F.); #289390=ORIENTED_EDGE('',*,*,#212443,.F.); #289391=ORIENTED_EDGE('',*,*,#212444,.T.); #289392=ORIENTED_EDGE('',*,*,#212443,.T.); #289393=ORIENTED_EDGE('',*,*,#212445,.F.); #289394=ORIENTED_EDGE('',*,*,#212446,.F.); #289395=ORIENTED_EDGE('',*,*,#212447,.T.); #289396=ORIENTED_EDGE('',*,*,#212446,.T.); #289397=ORIENTED_EDGE('',*,*,#212448,.F.); #289398=ORIENTED_EDGE('',*,*,#212449,.F.); #289399=ORIENTED_EDGE('',*,*,#212450,.T.); #289400=ORIENTED_EDGE('',*,*,#212449,.T.); #289401=ORIENTED_EDGE('',*,*,#212451,.F.); #289402=ORIENTED_EDGE('',*,*,#212452,.F.); #289403=ORIENTED_EDGE('',*,*,#212453,.T.); #289404=ORIENTED_EDGE('',*,*,#212452,.T.); #289405=ORIENTED_EDGE('',*,*,#212454,.F.); #289406=ORIENTED_EDGE('',*,*,#212455,.F.); #289407=ORIENTED_EDGE('',*,*,#212456,.T.); #289408=ORIENTED_EDGE('',*,*,#212455,.T.); #289409=ORIENTED_EDGE('',*,*,#212457,.F.); #289410=ORIENTED_EDGE('',*,*,#212458,.F.); #289411=ORIENTED_EDGE('',*,*,#212459,.T.); #289412=ORIENTED_EDGE('',*,*,#212458,.T.); #289413=ORIENTED_EDGE('',*,*,#212460,.F.); #289414=ORIENTED_EDGE('',*,*,#212461,.F.); #289415=ORIENTED_EDGE('',*,*,#212462,.T.); #289416=ORIENTED_EDGE('',*,*,#212461,.T.); #289417=ORIENTED_EDGE('',*,*,#212463,.F.); #289418=ORIENTED_EDGE('',*,*,#212464,.F.); #289419=ORIENTED_EDGE('',*,*,#212465,.T.); #289420=ORIENTED_EDGE('',*,*,#212464,.T.); #289421=ORIENTED_EDGE('',*,*,#212466,.F.); #289422=ORIENTED_EDGE('',*,*,#212467,.F.); #289423=ORIENTED_EDGE('',*,*,#212468,.T.); #289424=ORIENTED_EDGE('',*,*,#212467,.T.); #289425=ORIENTED_EDGE('',*,*,#212469,.F.); #289426=ORIENTED_EDGE('',*,*,#212470,.F.); #289427=ORIENTED_EDGE('',*,*,#212471,.T.); #289428=ORIENTED_EDGE('',*,*,#212470,.T.); #289429=ORIENTED_EDGE('',*,*,#212472,.F.); #289430=ORIENTED_EDGE('',*,*,#212473,.F.); #289431=ORIENTED_EDGE('',*,*,#212474,.T.); #289432=ORIENTED_EDGE('',*,*,#212473,.T.); #289433=ORIENTED_EDGE('',*,*,#212475,.F.); #289434=ORIENTED_EDGE('',*,*,#212476,.F.); #289435=ORIENTED_EDGE('',*,*,#212477,.T.); #289436=ORIENTED_EDGE('',*,*,#212476,.T.); #289437=ORIENTED_EDGE('',*,*,#212478,.F.); #289438=ORIENTED_EDGE('',*,*,#212479,.F.); #289439=ORIENTED_EDGE('',*,*,#212480,.T.); #289440=ORIENTED_EDGE('',*,*,#212479,.T.); #289441=ORIENTED_EDGE('',*,*,#212481,.F.); #289442=ORIENTED_EDGE('',*,*,#212482,.F.); #289443=ORIENTED_EDGE('',*,*,#212483,.T.); #289444=ORIENTED_EDGE('',*,*,#212482,.T.); #289445=ORIENTED_EDGE('',*,*,#212484,.F.); #289446=ORIENTED_EDGE('',*,*,#212485,.F.); #289447=ORIENTED_EDGE('',*,*,#212486,.T.); #289448=ORIENTED_EDGE('',*,*,#212485,.T.); #289449=ORIENTED_EDGE('',*,*,#212487,.F.); #289450=ORIENTED_EDGE('',*,*,#212488,.F.); #289451=ORIENTED_EDGE('',*,*,#212489,.T.); #289452=ORIENTED_EDGE('',*,*,#212488,.T.); #289453=ORIENTED_EDGE('',*,*,#212490,.F.); #289454=ORIENTED_EDGE('',*,*,#212491,.F.); #289455=ORIENTED_EDGE('',*,*,#212492,.T.); #289456=ORIENTED_EDGE('',*,*,#212491,.T.); #289457=ORIENTED_EDGE('',*,*,#212493,.F.); #289458=ORIENTED_EDGE('',*,*,#212494,.F.); #289459=ORIENTED_EDGE('',*,*,#212495,.T.); #289460=ORIENTED_EDGE('',*,*,#212494,.T.); #289461=ORIENTED_EDGE('',*,*,#212496,.F.); #289462=ORIENTED_EDGE('',*,*,#212497,.F.); #289463=ORIENTED_EDGE('',*,*,#212498,.T.); #289464=ORIENTED_EDGE('',*,*,#212497,.T.); #289465=ORIENTED_EDGE('',*,*,#212499,.F.); #289466=ORIENTED_EDGE('',*,*,#212500,.F.); #289467=ORIENTED_EDGE('',*,*,#212501,.T.); #289468=ORIENTED_EDGE('',*,*,#212500,.T.); #289469=ORIENTED_EDGE('',*,*,#212502,.F.); #289470=ORIENTED_EDGE('',*,*,#212503,.F.); #289471=ORIENTED_EDGE('',*,*,#212504,.T.); #289472=ORIENTED_EDGE('',*,*,#212503,.T.); #289473=ORIENTED_EDGE('',*,*,#212505,.F.); #289474=ORIENTED_EDGE('',*,*,#212506,.F.); #289475=ORIENTED_EDGE('',*,*,#212507,.T.); #289476=ORIENTED_EDGE('',*,*,#212506,.T.); #289477=ORIENTED_EDGE('',*,*,#212508,.F.); #289478=ORIENTED_EDGE('',*,*,#212509,.F.); #289479=ORIENTED_EDGE('',*,*,#212510,.T.); #289480=ORIENTED_EDGE('',*,*,#212509,.T.); #289481=ORIENTED_EDGE('',*,*,#212511,.F.); #289482=ORIENTED_EDGE('',*,*,#212512,.F.); #289483=ORIENTED_EDGE('',*,*,#212513,.T.); #289484=ORIENTED_EDGE('',*,*,#212512,.T.); #289485=ORIENTED_EDGE('',*,*,#212514,.F.); #289486=ORIENTED_EDGE('',*,*,#212515,.F.); #289487=ORIENTED_EDGE('',*,*,#212516,.T.); #289488=ORIENTED_EDGE('',*,*,#212515,.T.); #289489=ORIENTED_EDGE('',*,*,#212517,.F.); #289490=ORIENTED_EDGE('',*,*,#212518,.F.); #289491=ORIENTED_EDGE('',*,*,#212519,.T.); #289492=ORIENTED_EDGE('',*,*,#212518,.T.); #289493=ORIENTED_EDGE('',*,*,#212520,.F.); #289494=ORIENTED_EDGE('',*,*,#212521,.F.); #289495=ORIENTED_EDGE('',*,*,#212522,.T.); #289496=ORIENTED_EDGE('',*,*,#212521,.T.); #289497=ORIENTED_EDGE('',*,*,#212523,.F.); #289498=ORIENTED_EDGE('',*,*,#212524,.F.); #289499=ORIENTED_EDGE('',*,*,#212525,.T.); #289500=ORIENTED_EDGE('',*,*,#212524,.T.); #289501=ORIENTED_EDGE('',*,*,#212526,.F.); #289502=ORIENTED_EDGE('',*,*,#212527,.F.); #289503=ORIENTED_EDGE('',*,*,#212528,.T.); #289504=ORIENTED_EDGE('',*,*,#212527,.T.); #289505=ORIENTED_EDGE('',*,*,#212529,.F.); #289506=ORIENTED_EDGE('',*,*,#212530,.F.); #289507=ORIENTED_EDGE('',*,*,#212531,.T.); #289508=ORIENTED_EDGE('',*,*,#212530,.T.); #289509=ORIENTED_EDGE('',*,*,#212532,.F.); #289510=ORIENTED_EDGE('',*,*,#212533,.F.); #289511=ORIENTED_EDGE('',*,*,#212534,.T.); #289512=ORIENTED_EDGE('',*,*,#212533,.T.); #289513=ORIENTED_EDGE('',*,*,#212535,.F.); #289514=ORIENTED_EDGE('',*,*,#212536,.F.); #289515=ORIENTED_EDGE('',*,*,#212537,.T.); #289516=ORIENTED_EDGE('',*,*,#212536,.T.); #289517=ORIENTED_EDGE('',*,*,#212538,.F.); #289518=ORIENTED_EDGE('',*,*,#212539,.F.); #289519=ORIENTED_EDGE('',*,*,#212540,.T.); #289520=ORIENTED_EDGE('',*,*,#212539,.T.); #289521=ORIENTED_EDGE('',*,*,#212541,.F.); #289522=ORIENTED_EDGE('',*,*,#212542,.F.); #289523=ORIENTED_EDGE('',*,*,#212543,.T.); #289524=ORIENTED_EDGE('',*,*,#212542,.T.); #289525=ORIENTED_EDGE('',*,*,#212544,.F.); #289526=ORIENTED_EDGE('',*,*,#212545,.F.); #289527=ORIENTED_EDGE('',*,*,#212546,.T.); #289528=ORIENTED_EDGE('',*,*,#212545,.T.); #289529=ORIENTED_EDGE('',*,*,#212547,.F.); #289530=ORIENTED_EDGE('',*,*,#212548,.F.); #289531=ORIENTED_EDGE('',*,*,#212549,.T.); #289532=ORIENTED_EDGE('',*,*,#212548,.T.); #289533=ORIENTED_EDGE('',*,*,#212550,.F.); #289534=ORIENTED_EDGE('',*,*,#212551,.F.); #289535=ORIENTED_EDGE('',*,*,#212552,.T.); #289536=ORIENTED_EDGE('',*,*,#212551,.T.); #289537=ORIENTED_EDGE('',*,*,#212553,.F.); #289538=ORIENTED_EDGE('',*,*,#212554,.F.); #289539=ORIENTED_EDGE('',*,*,#212555,.T.); #289540=ORIENTED_EDGE('',*,*,#212554,.T.); #289541=ORIENTED_EDGE('',*,*,#212556,.F.); #289542=ORIENTED_EDGE('',*,*,#212557,.F.); #289543=ORIENTED_EDGE('',*,*,#212558,.T.); #289544=ORIENTED_EDGE('',*,*,#212557,.T.); #289545=ORIENTED_EDGE('',*,*,#212559,.F.); #289546=ORIENTED_EDGE('',*,*,#212560,.F.); #289547=ORIENTED_EDGE('',*,*,#212561,.T.); #289548=ORIENTED_EDGE('',*,*,#212560,.T.); #289549=ORIENTED_EDGE('',*,*,#212562,.F.); #289550=ORIENTED_EDGE('',*,*,#212563,.F.); #289551=ORIENTED_EDGE('',*,*,#212564,.T.); #289552=ORIENTED_EDGE('',*,*,#212563,.T.); #289553=ORIENTED_EDGE('',*,*,#212565,.F.); #289554=ORIENTED_EDGE('',*,*,#212566,.F.); #289555=ORIENTED_EDGE('',*,*,#212567,.T.); #289556=ORIENTED_EDGE('',*,*,#212566,.T.); #289557=ORIENTED_EDGE('',*,*,#212568,.F.); #289558=ORIENTED_EDGE('',*,*,#212569,.F.); #289559=ORIENTED_EDGE('',*,*,#212570,.T.); #289560=ORIENTED_EDGE('',*,*,#212569,.T.); #289561=ORIENTED_EDGE('',*,*,#212571,.F.); #289562=ORIENTED_EDGE('',*,*,#212572,.F.); #289563=ORIENTED_EDGE('',*,*,#212573,.T.); #289564=ORIENTED_EDGE('',*,*,#212572,.T.); #289565=ORIENTED_EDGE('',*,*,#212574,.F.); #289566=ORIENTED_EDGE('',*,*,#212575,.F.); #289567=ORIENTED_EDGE('',*,*,#212576,.T.); #289568=ORIENTED_EDGE('',*,*,#212575,.T.); #289569=ORIENTED_EDGE('',*,*,#212577,.F.); #289570=ORIENTED_EDGE('',*,*,#212578,.F.); #289571=ORIENTED_EDGE('',*,*,#212579,.T.); #289572=ORIENTED_EDGE('',*,*,#212578,.T.); #289573=ORIENTED_EDGE('',*,*,#212580,.F.); #289574=ORIENTED_EDGE('',*,*,#212581,.F.); #289575=ORIENTED_EDGE('',*,*,#212582,.T.); #289576=ORIENTED_EDGE('',*,*,#212581,.T.); #289577=ORIENTED_EDGE('',*,*,#212583,.F.); #289578=ORIENTED_EDGE('',*,*,#212584,.F.); #289579=ORIENTED_EDGE('',*,*,#212585,.T.); #289580=ORIENTED_EDGE('',*,*,#212584,.T.); #289581=ORIENTED_EDGE('',*,*,#212586,.F.); #289582=ORIENTED_EDGE('',*,*,#212587,.F.); #289583=ORIENTED_EDGE('',*,*,#212588,.T.); #289584=ORIENTED_EDGE('',*,*,#212587,.T.); #289585=ORIENTED_EDGE('',*,*,#212589,.F.); #289586=ORIENTED_EDGE('',*,*,#212590,.F.); #289587=ORIENTED_EDGE('',*,*,#212591,.T.); #289588=ORIENTED_EDGE('',*,*,#212590,.T.); #289589=ORIENTED_EDGE('',*,*,#212592,.F.); #289590=ORIENTED_EDGE('',*,*,#212593,.F.); #289591=ORIENTED_EDGE('',*,*,#212594,.T.); #289592=ORIENTED_EDGE('',*,*,#212593,.T.); #289593=ORIENTED_EDGE('',*,*,#212595,.F.); #289594=ORIENTED_EDGE('',*,*,#212596,.F.); #289595=ORIENTED_EDGE('',*,*,#212597,.T.); #289596=ORIENTED_EDGE('',*,*,#212596,.T.); #289597=ORIENTED_EDGE('',*,*,#212598,.F.); #289598=ORIENTED_EDGE('',*,*,#212599,.F.); #289599=ORIENTED_EDGE('',*,*,#212600,.T.); #289600=ORIENTED_EDGE('',*,*,#212599,.T.); #289601=ORIENTED_EDGE('',*,*,#212601,.F.); #289602=ORIENTED_EDGE('',*,*,#212602,.F.); #289603=ORIENTED_EDGE('',*,*,#212603,.T.); #289604=ORIENTED_EDGE('',*,*,#212602,.T.); #289605=ORIENTED_EDGE('',*,*,#212604,.F.); #289606=ORIENTED_EDGE('',*,*,#212605,.F.); #289607=ORIENTED_EDGE('',*,*,#212606,.T.); #289608=ORIENTED_EDGE('',*,*,#212605,.T.); #289609=ORIENTED_EDGE('',*,*,#212607,.F.); #289610=ORIENTED_EDGE('',*,*,#212608,.F.); #289611=ORIENTED_EDGE('',*,*,#212609,.T.); #289612=ORIENTED_EDGE('',*,*,#212608,.T.); #289613=ORIENTED_EDGE('',*,*,#212610,.F.); #289614=ORIENTED_EDGE('',*,*,#212611,.F.); #289615=ORIENTED_EDGE('',*,*,#212612,.T.); #289616=ORIENTED_EDGE('',*,*,#212611,.T.); #289617=ORIENTED_EDGE('',*,*,#212613,.F.); #289618=ORIENTED_EDGE('',*,*,#212614,.F.); #289619=ORIENTED_EDGE('',*,*,#212615,.T.); #289620=ORIENTED_EDGE('',*,*,#212614,.T.); #289621=ORIENTED_EDGE('',*,*,#212616,.F.); #289622=ORIENTED_EDGE('',*,*,#212617,.F.); #289623=ORIENTED_EDGE('',*,*,#212618,.T.); #289624=ORIENTED_EDGE('',*,*,#212617,.T.); #289625=ORIENTED_EDGE('',*,*,#212619,.F.); #289626=ORIENTED_EDGE('',*,*,#212620,.F.); #289627=ORIENTED_EDGE('',*,*,#212621,.T.); #289628=ORIENTED_EDGE('',*,*,#212620,.T.); #289629=ORIENTED_EDGE('',*,*,#212622,.F.); #289630=ORIENTED_EDGE('',*,*,#212623,.F.); #289631=ORIENTED_EDGE('',*,*,#212624,.T.); #289632=ORIENTED_EDGE('',*,*,#212623,.T.); #289633=ORIENTED_EDGE('',*,*,#212625,.F.); #289634=ORIENTED_EDGE('',*,*,#212626,.F.); #289635=ORIENTED_EDGE('',*,*,#212627,.T.); #289636=ORIENTED_EDGE('',*,*,#212626,.T.); #289637=ORIENTED_EDGE('',*,*,#212628,.F.); #289638=ORIENTED_EDGE('',*,*,#212629,.F.); #289639=ORIENTED_EDGE('',*,*,#212630,.T.); #289640=ORIENTED_EDGE('',*,*,#212629,.T.); #289641=ORIENTED_EDGE('',*,*,#212631,.F.); #289642=ORIENTED_EDGE('',*,*,#212632,.F.); #289643=ORIENTED_EDGE('',*,*,#212633,.T.); #289644=ORIENTED_EDGE('',*,*,#212632,.T.); #289645=ORIENTED_EDGE('',*,*,#212634,.F.); #289646=ORIENTED_EDGE('',*,*,#212635,.F.); #289647=ORIENTED_EDGE('',*,*,#212636,.T.); #289648=ORIENTED_EDGE('',*,*,#212635,.T.); #289649=ORIENTED_EDGE('',*,*,#212637,.F.); #289650=ORIENTED_EDGE('',*,*,#212638,.F.); #289651=ORIENTED_EDGE('',*,*,#212639,.T.); #289652=ORIENTED_EDGE('',*,*,#212638,.T.); #289653=ORIENTED_EDGE('',*,*,#212640,.F.); #289654=ORIENTED_EDGE('',*,*,#212641,.F.); #289655=ORIENTED_EDGE('',*,*,#212642,.T.); #289656=ORIENTED_EDGE('',*,*,#212641,.T.); #289657=ORIENTED_EDGE('',*,*,#212643,.F.); #289658=ORIENTED_EDGE('',*,*,#212644,.F.); #289659=ORIENTED_EDGE('',*,*,#212645,.T.); #289660=ORIENTED_EDGE('',*,*,#212644,.T.); #289661=ORIENTED_EDGE('',*,*,#212646,.F.); #289662=ORIENTED_EDGE('',*,*,#212647,.F.); #289663=ORIENTED_EDGE('',*,*,#212648,.T.); #289664=ORIENTED_EDGE('',*,*,#212647,.T.); #289665=ORIENTED_EDGE('',*,*,#212649,.F.); #289666=ORIENTED_EDGE('',*,*,#212650,.F.); #289667=ORIENTED_EDGE('',*,*,#212651,.T.); #289668=ORIENTED_EDGE('',*,*,#212650,.T.); #289669=ORIENTED_EDGE('',*,*,#212652,.F.); #289670=ORIENTED_EDGE('',*,*,#212653,.F.); #289671=ORIENTED_EDGE('',*,*,#212654,.T.); #289672=ORIENTED_EDGE('',*,*,#212653,.T.); #289673=ORIENTED_EDGE('',*,*,#212655,.F.); #289674=ORIENTED_EDGE('',*,*,#212656,.F.); #289675=ORIENTED_EDGE('',*,*,#212657,.T.); #289676=ORIENTED_EDGE('',*,*,#212656,.T.); #289677=ORIENTED_EDGE('',*,*,#212658,.F.); #289678=ORIENTED_EDGE('',*,*,#212659,.F.); #289679=ORIENTED_EDGE('',*,*,#212660,.T.); #289680=ORIENTED_EDGE('',*,*,#212659,.T.); #289681=ORIENTED_EDGE('',*,*,#212661,.F.); #289682=ORIENTED_EDGE('',*,*,#212662,.F.); #289683=ORIENTED_EDGE('',*,*,#212663,.T.); #289684=ORIENTED_EDGE('',*,*,#212662,.T.); #289685=ORIENTED_EDGE('',*,*,#212664,.F.); #289686=ORIENTED_EDGE('',*,*,#212665,.F.); #289687=ORIENTED_EDGE('',*,*,#212666,.T.); #289688=ORIENTED_EDGE('',*,*,#212665,.T.); #289689=ORIENTED_EDGE('',*,*,#212667,.F.); #289690=ORIENTED_EDGE('',*,*,#212668,.F.); #289691=ORIENTED_EDGE('',*,*,#212669,.T.); #289692=ORIENTED_EDGE('',*,*,#212668,.T.); #289693=ORIENTED_EDGE('',*,*,#212670,.F.); #289694=ORIENTED_EDGE('',*,*,#212671,.F.); #289695=ORIENTED_EDGE('',*,*,#212672,.T.); #289696=ORIENTED_EDGE('',*,*,#212671,.T.); #289697=ORIENTED_EDGE('',*,*,#212673,.F.); #289698=ORIENTED_EDGE('',*,*,#212674,.F.); #289699=ORIENTED_EDGE('',*,*,#212675,.T.); #289700=ORIENTED_EDGE('',*,*,#212674,.T.); #289701=ORIENTED_EDGE('',*,*,#212676,.F.); #289702=ORIENTED_EDGE('',*,*,#212677,.F.); #289703=ORIENTED_EDGE('',*,*,#212678,.T.); #289704=ORIENTED_EDGE('',*,*,#212677,.T.); #289705=ORIENTED_EDGE('',*,*,#212679,.F.); #289706=ORIENTED_EDGE('',*,*,#212680,.F.); #289707=ORIENTED_EDGE('',*,*,#212681,.T.); #289708=ORIENTED_EDGE('',*,*,#212680,.T.); #289709=ORIENTED_EDGE('',*,*,#212682,.F.); #289710=ORIENTED_EDGE('',*,*,#212683,.F.); #289711=ORIENTED_EDGE('',*,*,#212684,.T.); #289712=ORIENTED_EDGE('',*,*,#212683,.T.); #289713=ORIENTED_EDGE('',*,*,#212685,.F.); #289714=ORIENTED_EDGE('',*,*,#212686,.F.); #289715=ORIENTED_EDGE('',*,*,#212687,.T.); #289716=ORIENTED_EDGE('',*,*,#212686,.T.); #289717=ORIENTED_EDGE('',*,*,#212688,.F.); #289718=ORIENTED_EDGE('',*,*,#212689,.F.); #289719=ORIENTED_EDGE('',*,*,#212690,.T.); #289720=ORIENTED_EDGE('',*,*,#212689,.T.); #289721=ORIENTED_EDGE('',*,*,#212691,.F.); #289722=ORIENTED_EDGE('',*,*,#212692,.F.); #289723=ORIENTED_EDGE('',*,*,#212693,.T.); #289724=ORIENTED_EDGE('',*,*,#212692,.T.); #289725=ORIENTED_EDGE('',*,*,#212694,.F.); #289726=ORIENTED_EDGE('',*,*,#212695,.F.); #289727=ORIENTED_EDGE('',*,*,#212696,.T.); #289728=ORIENTED_EDGE('',*,*,#212695,.T.); #289729=ORIENTED_EDGE('',*,*,#212697,.F.); #289730=ORIENTED_EDGE('',*,*,#212698,.F.); #289731=ORIENTED_EDGE('',*,*,#212699,.T.); #289732=ORIENTED_EDGE('',*,*,#212698,.T.); #289733=ORIENTED_EDGE('',*,*,#212700,.F.); #289734=ORIENTED_EDGE('',*,*,#212701,.F.); #289735=ORIENTED_EDGE('',*,*,#212702,.T.); #289736=ORIENTED_EDGE('',*,*,#212701,.T.); #289737=ORIENTED_EDGE('',*,*,#212703,.F.); #289738=ORIENTED_EDGE('',*,*,#212704,.F.); #289739=ORIENTED_EDGE('',*,*,#212705,.T.); #289740=ORIENTED_EDGE('',*,*,#212704,.T.); #289741=ORIENTED_EDGE('',*,*,#212706,.F.); #289742=ORIENTED_EDGE('',*,*,#212707,.F.); #289743=ORIENTED_EDGE('',*,*,#212708,.T.); #289744=ORIENTED_EDGE('',*,*,#212707,.T.); #289745=ORIENTED_EDGE('',*,*,#212709,.F.); #289746=ORIENTED_EDGE('',*,*,#212710,.F.); #289747=ORIENTED_EDGE('',*,*,#212711,.T.); #289748=ORIENTED_EDGE('',*,*,#212710,.T.); #289749=ORIENTED_EDGE('',*,*,#212712,.F.); #289750=ORIENTED_EDGE('',*,*,#212713,.F.); #289751=ORIENTED_EDGE('',*,*,#212714,.T.); #289752=ORIENTED_EDGE('',*,*,#212713,.T.); #289753=ORIENTED_EDGE('',*,*,#212715,.F.); #289754=ORIENTED_EDGE('',*,*,#212716,.F.); #289755=ORIENTED_EDGE('',*,*,#212717,.T.); #289756=ORIENTED_EDGE('',*,*,#212716,.T.); #289757=ORIENTED_EDGE('',*,*,#212718,.F.); #289758=ORIENTED_EDGE('',*,*,#212719,.F.); #289759=ORIENTED_EDGE('',*,*,#212720,.T.); #289760=ORIENTED_EDGE('',*,*,#212719,.T.); #289761=ORIENTED_EDGE('',*,*,#212721,.F.); #289762=ORIENTED_EDGE('',*,*,#212722,.F.); #289763=ORIENTED_EDGE('',*,*,#212723,.T.); #289764=ORIENTED_EDGE('',*,*,#212722,.T.); #289765=ORIENTED_EDGE('',*,*,#212724,.F.); #289766=ORIENTED_EDGE('',*,*,#212725,.F.); #289767=ORIENTED_EDGE('',*,*,#212726,.T.); #289768=ORIENTED_EDGE('',*,*,#212725,.T.); #289769=ORIENTED_EDGE('',*,*,#212727,.F.); #289770=ORIENTED_EDGE('',*,*,#212728,.F.); #289771=ORIENTED_EDGE('',*,*,#212729,.T.); #289772=ORIENTED_EDGE('',*,*,#212728,.T.); #289773=ORIENTED_EDGE('',*,*,#212730,.F.); #289774=ORIENTED_EDGE('',*,*,#212731,.F.); #289775=ORIENTED_EDGE('',*,*,#212732,.T.); #289776=ORIENTED_EDGE('',*,*,#212731,.T.); #289777=ORIENTED_EDGE('',*,*,#212733,.F.); #289778=ORIENTED_EDGE('',*,*,#212734,.F.); #289779=ORIENTED_EDGE('',*,*,#212735,.T.); #289780=ORIENTED_EDGE('',*,*,#212734,.T.); #289781=ORIENTED_EDGE('',*,*,#212736,.F.); #289782=ORIENTED_EDGE('',*,*,#212737,.F.); #289783=ORIENTED_EDGE('',*,*,#212738,.T.); #289784=ORIENTED_EDGE('',*,*,#212737,.T.); #289785=ORIENTED_EDGE('',*,*,#212739,.F.); #289786=ORIENTED_EDGE('',*,*,#212740,.F.); #289787=ORIENTED_EDGE('',*,*,#212741,.T.); #289788=ORIENTED_EDGE('',*,*,#212740,.T.); #289789=ORIENTED_EDGE('',*,*,#212742,.F.); #289790=ORIENTED_EDGE('',*,*,#212743,.F.); #289791=ORIENTED_EDGE('',*,*,#212744,.T.); #289792=ORIENTED_EDGE('',*,*,#212743,.T.); #289793=ORIENTED_EDGE('',*,*,#212745,.F.); #289794=ORIENTED_EDGE('',*,*,#212746,.F.); #289795=ORIENTED_EDGE('',*,*,#212747,.T.); #289796=ORIENTED_EDGE('',*,*,#212746,.T.); #289797=ORIENTED_EDGE('',*,*,#212748,.F.); #289798=ORIENTED_EDGE('',*,*,#212749,.F.); #289799=ORIENTED_EDGE('',*,*,#212750,.T.); #289800=ORIENTED_EDGE('',*,*,#212749,.T.); #289801=ORIENTED_EDGE('',*,*,#212751,.F.); #289802=ORIENTED_EDGE('',*,*,#212752,.F.); #289803=ORIENTED_EDGE('',*,*,#212753,.T.); #289804=ORIENTED_EDGE('',*,*,#212752,.T.); #289805=ORIENTED_EDGE('',*,*,#212754,.F.); #289806=ORIENTED_EDGE('',*,*,#212755,.F.); #289807=ORIENTED_EDGE('',*,*,#212756,.T.); #289808=ORIENTED_EDGE('',*,*,#212755,.T.); #289809=ORIENTED_EDGE('',*,*,#212757,.F.); #289810=ORIENTED_EDGE('',*,*,#212758,.F.); #289811=ORIENTED_EDGE('',*,*,#212759,.T.); #289812=ORIENTED_EDGE('',*,*,#212758,.T.); #289813=ORIENTED_EDGE('',*,*,#212760,.F.); #289814=ORIENTED_EDGE('',*,*,#212761,.F.); #289815=ORIENTED_EDGE('',*,*,#212762,.T.); #289816=ORIENTED_EDGE('',*,*,#212761,.T.); #289817=ORIENTED_EDGE('',*,*,#212763,.F.); #289818=ORIENTED_EDGE('',*,*,#212764,.F.); #289819=ORIENTED_EDGE('',*,*,#212765,.T.); #289820=ORIENTED_EDGE('',*,*,#212764,.T.); #289821=ORIENTED_EDGE('',*,*,#212766,.F.); #289822=ORIENTED_EDGE('',*,*,#212767,.F.); #289823=ORIENTED_EDGE('',*,*,#212768,.T.); #289824=ORIENTED_EDGE('',*,*,#212767,.T.); #289825=ORIENTED_EDGE('',*,*,#212769,.F.); #289826=ORIENTED_EDGE('',*,*,#212770,.F.); #289827=ORIENTED_EDGE('',*,*,#212771,.T.); #289828=ORIENTED_EDGE('',*,*,#212770,.T.); #289829=ORIENTED_EDGE('',*,*,#212772,.F.); #289830=ORIENTED_EDGE('',*,*,#212773,.F.); #289831=ORIENTED_EDGE('',*,*,#212774,.T.); #289832=ORIENTED_EDGE('',*,*,#212773,.T.); #289833=ORIENTED_EDGE('',*,*,#212775,.F.); #289834=ORIENTED_EDGE('',*,*,#212776,.F.); #289835=ORIENTED_EDGE('',*,*,#212777,.T.); #289836=ORIENTED_EDGE('',*,*,#212776,.T.); #289837=ORIENTED_EDGE('',*,*,#212778,.F.); #289838=ORIENTED_EDGE('',*,*,#212779,.F.); #289839=ORIENTED_EDGE('',*,*,#212780,.T.); #289840=ORIENTED_EDGE('',*,*,#212779,.T.); #289841=ORIENTED_EDGE('',*,*,#212781,.F.); #289842=ORIENTED_EDGE('',*,*,#212782,.F.); #289843=ORIENTED_EDGE('',*,*,#212783,.T.); #289844=ORIENTED_EDGE('',*,*,#212782,.T.); #289845=ORIENTED_EDGE('',*,*,#212784,.F.); #289846=ORIENTED_EDGE('',*,*,#212785,.F.); #289847=ORIENTED_EDGE('',*,*,#212786,.T.); #289848=ORIENTED_EDGE('',*,*,#212785,.T.); #289849=ORIENTED_EDGE('',*,*,#212787,.F.); #289850=ORIENTED_EDGE('',*,*,#212788,.F.); #289851=ORIENTED_EDGE('',*,*,#212789,.T.); #289852=ORIENTED_EDGE('',*,*,#212788,.T.); #289853=ORIENTED_EDGE('',*,*,#212790,.F.); #289854=ORIENTED_EDGE('',*,*,#212791,.F.); #289855=ORIENTED_EDGE('',*,*,#212792,.T.); #289856=ORIENTED_EDGE('',*,*,#212791,.T.); #289857=ORIENTED_EDGE('',*,*,#212793,.F.); #289858=ORIENTED_EDGE('',*,*,#212794,.F.); #289859=ORIENTED_EDGE('',*,*,#212795,.T.); #289860=ORIENTED_EDGE('',*,*,#212794,.T.); #289861=ORIENTED_EDGE('',*,*,#212796,.F.); #289862=ORIENTED_EDGE('',*,*,#212797,.F.); #289863=ORIENTED_EDGE('',*,*,#212798,.T.); #289864=ORIENTED_EDGE('',*,*,#212797,.T.); #289865=ORIENTED_EDGE('',*,*,#212799,.F.); #289866=ORIENTED_EDGE('',*,*,#212800,.F.); #289867=ORIENTED_EDGE('',*,*,#212801,.T.); #289868=ORIENTED_EDGE('',*,*,#212800,.T.); #289869=ORIENTED_EDGE('',*,*,#212802,.F.); #289870=ORIENTED_EDGE('',*,*,#212803,.F.); #289871=ORIENTED_EDGE('',*,*,#212804,.T.); #289872=ORIENTED_EDGE('',*,*,#212803,.T.); #289873=ORIENTED_EDGE('',*,*,#212805,.F.); #289874=ORIENTED_EDGE('',*,*,#212806,.F.); #289875=ORIENTED_EDGE('',*,*,#212807,.T.); #289876=ORIENTED_EDGE('',*,*,#212806,.T.); #289877=ORIENTED_EDGE('',*,*,#212808,.F.); #289878=ORIENTED_EDGE('',*,*,#212809,.F.); #289879=ORIENTED_EDGE('',*,*,#212810,.T.); #289880=ORIENTED_EDGE('',*,*,#212809,.T.); #289881=ORIENTED_EDGE('',*,*,#212811,.F.); #289882=ORIENTED_EDGE('',*,*,#212812,.F.); #289883=ORIENTED_EDGE('',*,*,#212813,.T.); #289884=ORIENTED_EDGE('',*,*,#212812,.T.); #289885=ORIENTED_EDGE('',*,*,#212814,.F.); #289886=ORIENTED_EDGE('',*,*,#212815,.F.); #289887=ORIENTED_EDGE('',*,*,#212816,.T.); #289888=ORIENTED_EDGE('',*,*,#212815,.T.); #289889=ORIENTED_EDGE('',*,*,#212817,.F.); #289890=ORIENTED_EDGE('',*,*,#212818,.F.); #289891=ORIENTED_EDGE('',*,*,#212819,.T.); #289892=ORIENTED_EDGE('',*,*,#212818,.T.); #289893=ORIENTED_EDGE('',*,*,#212820,.F.); #289894=ORIENTED_EDGE('',*,*,#212821,.F.); #289895=ORIENTED_EDGE('',*,*,#212822,.T.); #289896=ORIENTED_EDGE('',*,*,#212821,.T.); #289897=ORIENTED_EDGE('',*,*,#212823,.F.); #289898=ORIENTED_EDGE('',*,*,#212824,.F.); #289899=ORIENTED_EDGE('',*,*,#212825,.T.); #289900=ORIENTED_EDGE('',*,*,#212824,.T.); #289901=ORIENTED_EDGE('',*,*,#212826,.F.); #289902=ORIENTED_EDGE('',*,*,#212827,.F.); #289903=ORIENTED_EDGE('',*,*,#212828,.T.); #289904=ORIENTED_EDGE('',*,*,#212827,.T.); #289905=ORIENTED_EDGE('',*,*,#212829,.F.); #289906=ORIENTED_EDGE('',*,*,#212830,.F.); #289907=ORIENTED_EDGE('',*,*,#212831,.T.); #289908=ORIENTED_EDGE('',*,*,#212830,.T.); #289909=ORIENTED_EDGE('',*,*,#212832,.F.); #289910=ORIENTED_EDGE('',*,*,#212833,.F.); #289911=ORIENTED_EDGE('',*,*,#212834,.T.); #289912=ORIENTED_EDGE('',*,*,#212833,.T.); #289913=ORIENTED_EDGE('',*,*,#212835,.F.); #289914=ORIENTED_EDGE('',*,*,#212836,.F.); #289915=ORIENTED_EDGE('',*,*,#212837,.T.); #289916=ORIENTED_EDGE('',*,*,#212836,.T.); #289917=ORIENTED_EDGE('',*,*,#212838,.F.); #289918=ORIENTED_EDGE('',*,*,#212839,.F.); #289919=ORIENTED_EDGE('',*,*,#212840,.T.); #289920=ORIENTED_EDGE('',*,*,#212839,.T.); #289921=ORIENTED_EDGE('',*,*,#212841,.F.); #289922=ORIENTED_EDGE('',*,*,#212842,.F.); #289923=ORIENTED_EDGE('',*,*,#212843,.T.); #289924=ORIENTED_EDGE('',*,*,#212842,.T.); #289925=ORIENTED_EDGE('',*,*,#212844,.F.); #289926=ORIENTED_EDGE('',*,*,#212845,.F.); #289927=ORIENTED_EDGE('',*,*,#212846,.T.); #289928=ORIENTED_EDGE('',*,*,#212845,.T.); #289929=ORIENTED_EDGE('',*,*,#212847,.F.); #289930=ORIENTED_EDGE('',*,*,#212848,.F.); #289931=ORIENTED_EDGE('',*,*,#212849,.T.); #289932=ORIENTED_EDGE('',*,*,#212848,.T.); #289933=ORIENTED_EDGE('',*,*,#212850,.F.); #289934=ORIENTED_EDGE('',*,*,#212851,.F.); #289935=ORIENTED_EDGE('',*,*,#212852,.T.); #289936=ORIENTED_EDGE('',*,*,#212851,.T.); #289937=ORIENTED_EDGE('',*,*,#212853,.F.); #289938=ORIENTED_EDGE('',*,*,#212854,.F.); #289939=ORIENTED_EDGE('',*,*,#212855,.T.); #289940=ORIENTED_EDGE('',*,*,#212854,.T.); #289941=ORIENTED_EDGE('',*,*,#212856,.F.); #289942=ORIENTED_EDGE('',*,*,#212857,.F.); #289943=ORIENTED_EDGE('',*,*,#212858,.T.); #289944=ORIENTED_EDGE('',*,*,#212857,.T.); #289945=ORIENTED_EDGE('',*,*,#212859,.F.); #289946=ORIENTED_EDGE('',*,*,#212860,.F.); #289947=ORIENTED_EDGE('',*,*,#212861,.T.); #289948=ORIENTED_EDGE('',*,*,#212860,.T.); #289949=ORIENTED_EDGE('',*,*,#212862,.F.); #289950=ORIENTED_EDGE('',*,*,#212863,.F.); #289951=ORIENTED_EDGE('',*,*,#212864,.T.); #289952=ORIENTED_EDGE('',*,*,#212863,.T.); #289953=ORIENTED_EDGE('',*,*,#212865,.F.); #289954=ORIENTED_EDGE('',*,*,#212866,.F.); #289955=ORIENTED_EDGE('',*,*,#212867,.T.); #289956=ORIENTED_EDGE('',*,*,#212866,.T.); #289957=ORIENTED_EDGE('',*,*,#212868,.F.); #289958=ORIENTED_EDGE('',*,*,#212869,.F.); #289959=ORIENTED_EDGE('',*,*,#212870,.T.); #289960=ORIENTED_EDGE('',*,*,#212869,.T.); #289961=ORIENTED_EDGE('',*,*,#212871,.F.); #289962=ORIENTED_EDGE('',*,*,#212872,.F.); #289963=ORIENTED_EDGE('',*,*,#212873,.T.); #289964=ORIENTED_EDGE('',*,*,#212872,.T.); #289965=ORIENTED_EDGE('',*,*,#212874,.F.); #289966=ORIENTED_EDGE('',*,*,#212875,.F.); #289967=ORIENTED_EDGE('',*,*,#212876,.T.); #289968=ORIENTED_EDGE('',*,*,#212875,.T.); #289969=ORIENTED_EDGE('',*,*,#212877,.F.); #289970=ORIENTED_EDGE('',*,*,#212878,.F.); #289971=ORIENTED_EDGE('',*,*,#212879,.T.); #289972=ORIENTED_EDGE('',*,*,#212878,.T.); #289973=ORIENTED_EDGE('',*,*,#212880,.F.); #289974=ORIENTED_EDGE('',*,*,#212881,.F.); #289975=ORIENTED_EDGE('',*,*,#212882,.T.); #289976=ORIENTED_EDGE('',*,*,#212881,.T.); #289977=ORIENTED_EDGE('',*,*,#212883,.F.); #289978=ORIENTED_EDGE('',*,*,#212884,.F.); #289979=ORIENTED_EDGE('',*,*,#212885,.T.); #289980=ORIENTED_EDGE('',*,*,#212884,.T.); #289981=ORIENTED_EDGE('',*,*,#212886,.F.); #289982=ORIENTED_EDGE('',*,*,#212887,.F.); #289983=ORIENTED_EDGE('',*,*,#212888,.T.); #289984=ORIENTED_EDGE('',*,*,#212887,.T.); #289985=ORIENTED_EDGE('',*,*,#212889,.F.); #289986=ORIENTED_EDGE('',*,*,#212890,.F.); #289987=ORIENTED_EDGE('',*,*,#212891,.T.); #289988=ORIENTED_EDGE('',*,*,#212890,.T.); #289989=ORIENTED_EDGE('',*,*,#212892,.F.); #289990=ORIENTED_EDGE('',*,*,#212893,.F.); #289991=ORIENTED_EDGE('',*,*,#212894,.T.); #289992=ORIENTED_EDGE('',*,*,#212893,.T.); #289993=ORIENTED_EDGE('',*,*,#212895,.F.); #289994=ORIENTED_EDGE('',*,*,#212896,.F.); #289995=ORIENTED_EDGE('',*,*,#212897,.T.); #289996=ORIENTED_EDGE('',*,*,#212896,.T.); #289997=ORIENTED_EDGE('',*,*,#212898,.F.); #289998=ORIENTED_EDGE('',*,*,#212899,.F.); #289999=ORIENTED_EDGE('',*,*,#212900,.T.); #290000=ORIENTED_EDGE('',*,*,#212899,.T.); #290001=ORIENTED_EDGE('',*,*,#212901,.F.); #290002=ORIENTED_EDGE('',*,*,#212902,.F.); #290003=ORIENTED_EDGE('',*,*,#212903,.T.); #290004=ORIENTED_EDGE('',*,*,#212902,.T.); #290005=ORIENTED_EDGE('',*,*,#212904,.F.); #290006=ORIENTED_EDGE('',*,*,#212905,.F.); #290007=ORIENTED_EDGE('',*,*,#212906,.T.); #290008=ORIENTED_EDGE('',*,*,#212905,.T.); #290009=ORIENTED_EDGE('',*,*,#212907,.F.); #290010=ORIENTED_EDGE('',*,*,#212908,.F.); #290011=ORIENTED_EDGE('',*,*,#212909,.T.); #290012=ORIENTED_EDGE('',*,*,#212908,.T.); #290013=ORIENTED_EDGE('',*,*,#212910,.F.); #290014=ORIENTED_EDGE('',*,*,#212911,.F.); #290015=ORIENTED_EDGE('',*,*,#212912,.T.); #290016=ORIENTED_EDGE('',*,*,#212911,.T.); #290017=ORIENTED_EDGE('',*,*,#212913,.F.); #290018=ORIENTED_EDGE('',*,*,#212914,.F.); #290019=ORIENTED_EDGE('',*,*,#212915,.T.); #290020=ORIENTED_EDGE('',*,*,#212914,.T.); #290021=ORIENTED_EDGE('',*,*,#212916,.F.); #290022=ORIENTED_EDGE('',*,*,#212917,.F.); #290023=ORIENTED_EDGE('',*,*,#212918,.T.); #290024=ORIENTED_EDGE('',*,*,#212917,.T.); #290025=ORIENTED_EDGE('',*,*,#212919,.F.); #290026=ORIENTED_EDGE('',*,*,#212920,.F.); #290027=ORIENTED_EDGE('',*,*,#212921,.T.); #290028=ORIENTED_EDGE('',*,*,#212920,.T.); #290029=ORIENTED_EDGE('',*,*,#212922,.F.); #290030=ORIENTED_EDGE('',*,*,#212923,.F.); #290031=ORIENTED_EDGE('',*,*,#212924,.T.); #290032=ORIENTED_EDGE('',*,*,#212923,.T.); #290033=ORIENTED_EDGE('',*,*,#212925,.F.); #290034=ORIENTED_EDGE('',*,*,#212926,.F.); #290035=ORIENTED_EDGE('',*,*,#212927,.T.); #290036=ORIENTED_EDGE('',*,*,#212926,.T.); #290037=ORIENTED_EDGE('',*,*,#212928,.F.); #290038=ORIENTED_EDGE('',*,*,#212929,.F.); #290039=ORIENTED_EDGE('',*,*,#212930,.T.); #290040=ORIENTED_EDGE('',*,*,#212929,.T.); #290041=ORIENTED_EDGE('',*,*,#212931,.F.); #290042=ORIENTED_EDGE('',*,*,#212932,.F.); #290043=ORIENTED_EDGE('',*,*,#212933,.T.); #290044=ORIENTED_EDGE('',*,*,#212932,.T.); #290045=ORIENTED_EDGE('',*,*,#212934,.F.); #290046=ORIENTED_EDGE('',*,*,#212935,.F.); #290047=ORIENTED_EDGE('',*,*,#212936,.T.); #290048=ORIENTED_EDGE('',*,*,#212935,.T.); #290049=ORIENTED_EDGE('',*,*,#212937,.F.); #290050=ORIENTED_EDGE('',*,*,#212938,.F.); #290051=ORIENTED_EDGE('',*,*,#212939,.T.); #290052=ORIENTED_EDGE('',*,*,#212938,.T.); #290053=ORIENTED_EDGE('',*,*,#212940,.F.); #290054=ORIENTED_EDGE('',*,*,#212941,.F.); #290055=ORIENTED_EDGE('',*,*,#212942,.T.); #290056=ORIENTED_EDGE('',*,*,#212941,.T.); #290057=ORIENTED_EDGE('',*,*,#212943,.F.); #290058=ORIENTED_EDGE('',*,*,#212944,.F.); #290059=ORIENTED_EDGE('',*,*,#212945,.T.); #290060=ORIENTED_EDGE('',*,*,#212944,.T.); #290061=ORIENTED_EDGE('',*,*,#212946,.F.); #290062=ORIENTED_EDGE('',*,*,#212947,.F.); #290063=ORIENTED_EDGE('',*,*,#212948,.T.); #290064=ORIENTED_EDGE('',*,*,#212947,.T.); #290065=ORIENTED_EDGE('',*,*,#212949,.F.); #290066=ORIENTED_EDGE('',*,*,#212950,.F.); #290067=ORIENTED_EDGE('',*,*,#212951,.T.); #290068=ORIENTED_EDGE('',*,*,#212950,.T.); #290069=ORIENTED_EDGE('',*,*,#212952,.F.); #290070=ORIENTED_EDGE('',*,*,#212953,.F.); #290071=ORIENTED_EDGE('',*,*,#212954,.T.); #290072=ORIENTED_EDGE('',*,*,#212953,.T.); #290073=ORIENTED_EDGE('',*,*,#212955,.F.); #290074=ORIENTED_EDGE('',*,*,#212956,.F.); #290075=ORIENTED_EDGE('',*,*,#212957,.T.); #290076=ORIENTED_EDGE('',*,*,#212956,.T.); #290077=ORIENTED_EDGE('',*,*,#212958,.F.); #290078=ORIENTED_EDGE('',*,*,#212959,.F.); #290079=ORIENTED_EDGE('',*,*,#212960,.T.); #290080=ORIENTED_EDGE('',*,*,#212959,.T.); #290081=ORIENTED_EDGE('',*,*,#212961,.F.); #290082=ORIENTED_EDGE('',*,*,#212962,.F.); #290083=ORIENTED_EDGE('',*,*,#212963,.T.); #290084=ORIENTED_EDGE('',*,*,#212962,.T.); #290085=ORIENTED_EDGE('',*,*,#212964,.F.); #290086=ORIENTED_EDGE('',*,*,#212965,.F.); #290087=ORIENTED_EDGE('',*,*,#212966,.T.); #290088=ORIENTED_EDGE('',*,*,#212965,.T.); #290089=ORIENTED_EDGE('',*,*,#212967,.F.); #290090=ORIENTED_EDGE('',*,*,#212968,.F.); #290091=ORIENTED_EDGE('',*,*,#212969,.T.); #290092=ORIENTED_EDGE('',*,*,#212968,.T.); #290093=ORIENTED_EDGE('',*,*,#212970,.F.); #290094=ORIENTED_EDGE('',*,*,#212971,.F.); #290095=ORIENTED_EDGE('',*,*,#212972,.T.); #290096=ORIENTED_EDGE('',*,*,#212971,.T.); #290097=ORIENTED_EDGE('',*,*,#212973,.F.); #290098=ORIENTED_EDGE('',*,*,#212974,.F.); #290099=ORIENTED_EDGE('',*,*,#212975,.T.); #290100=ORIENTED_EDGE('',*,*,#212974,.T.); #290101=ORIENTED_EDGE('',*,*,#212976,.F.); #290102=ORIENTED_EDGE('',*,*,#212977,.F.); #290103=ORIENTED_EDGE('',*,*,#212978,.T.); #290104=ORIENTED_EDGE('',*,*,#212977,.T.); #290105=ORIENTED_EDGE('',*,*,#212979,.F.); #290106=ORIENTED_EDGE('',*,*,#212980,.F.); #290107=ORIENTED_EDGE('',*,*,#212981,.T.); #290108=ORIENTED_EDGE('',*,*,#212980,.T.); #290109=ORIENTED_EDGE('',*,*,#212982,.F.); #290110=ORIENTED_EDGE('',*,*,#212983,.F.); #290111=ORIENTED_EDGE('',*,*,#212984,.T.); #290112=ORIENTED_EDGE('',*,*,#212983,.T.); #290113=ORIENTED_EDGE('',*,*,#212985,.F.); #290114=ORIENTED_EDGE('',*,*,#212986,.F.); #290115=ORIENTED_EDGE('',*,*,#212987,.T.); #290116=ORIENTED_EDGE('',*,*,#212986,.T.); #290117=ORIENTED_EDGE('',*,*,#212988,.F.); #290118=ORIENTED_EDGE('',*,*,#212989,.F.); #290119=ORIENTED_EDGE('',*,*,#212990,.T.); #290120=ORIENTED_EDGE('',*,*,#212989,.T.); #290121=ORIENTED_EDGE('',*,*,#212991,.F.); #290122=ORIENTED_EDGE('',*,*,#212992,.F.); #290123=ORIENTED_EDGE('',*,*,#212993,.T.); #290124=ORIENTED_EDGE('',*,*,#212992,.T.); #290125=ORIENTED_EDGE('',*,*,#212994,.F.); #290126=ORIENTED_EDGE('',*,*,#212995,.F.); #290127=ORIENTED_EDGE('',*,*,#212996,.T.); #290128=ORIENTED_EDGE('',*,*,#212995,.T.); #290129=ORIENTED_EDGE('',*,*,#212997,.F.); #290130=ORIENTED_EDGE('',*,*,#212998,.F.); #290131=ORIENTED_EDGE('',*,*,#212999,.T.); #290132=ORIENTED_EDGE('',*,*,#212998,.T.); #290133=ORIENTED_EDGE('',*,*,#213000,.F.); #290134=ORIENTED_EDGE('',*,*,#213001,.F.); #290135=ORIENTED_EDGE('',*,*,#213002,.T.); #290136=ORIENTED_EDGE('',*,*,#213001,.T.); #290137=ORIENTED_EDGE('',*,*,#213003,.F.); #290138=ORIENTED_EDGE('',*,*,#213004,.F.); #290139=ORIENTED_EDGE('',*,*,#213005,.T.); #290140=ORIENTED_EDGE('',*,*,#213004,.T.); #290141=ORIENTED_EDGE('',*,*,#213006,.F.); #290142=ORIENTED_EDGE('',*,*,#213007,.F.); #290143=ORIENTED_EDGE('',*,*,#213008,.T.); #290144=ORIENTED_EDGE('',*,*,#213007,.T.); #290145=ORIENTED_EDGE('',*,*,#213009,.F.); #290146=ORIENTED_EDGE('',*,*,#213010,.F.); #290147=ORIENTED_EDGE('',*,*,#213011,.T.); #290148=ORIENTED_EDGE('',*,*,#213010,.T.); #290149=ORIENTED_EDGE('',*,*,#213012,.F.); #290150=ORIENTED_EDGE('',*,*,#213013,.F.); #290151=ORIENTED_EDGE('',*,*,#213014,.T.); #290152=ORIENTED_EDGE('',*,*,#213013,.T.); #290153=ORIENTED_EDGE('',*,*,#213015,.F.); #290154=ORIENTED_EDGE('',*,*,#213016,.F.); #290155=ORIENTED_EDGE('',*,*,#213017,.T.); #290156=ORIENTED_EDGE('',*,*,#213016,.T.); #290157=ORIENTED_EDGE('',*,*,#213018,.F.); #290158=ORIENTED_EDGE('',*,*,#213019,.F.); #290159=ORIENTED_EDGE('',*,*,#213020,.T.); #290160=ORIENTED_EDGE('',*,*,#213019,.T.); #290161=ORIENTED_EDGE('',*,*,#213021,.F.); #290162=ORIENTED_EDGE('',*,*,#213022,.F.); #290163=ORIENTED_EDGE('',*,*,#213023,.T.); #290164=ORIENTED_EDGE('',*,*,#213022,.T.); #290165=ORIENTED_EDGE('',*,*,#213024,.F.); #290166=ORIENTED_EDGE('',*,*,#213025,.F.); #290167=ORIENTED_EDGE('',*,*,#213026,.T.); #290168=ORIENTED_EDGE('',*,*,#213025,.T.); #290169=ORIENTED_EDGE('',*,*,#213027,.F.); #290170=ORIENTED_EDGE('',*,*,#213028,.F.); #290171=ORIENTED_EDGE('',*,*,#213029,.T.); #290172=ORIENTED_EDGE('',*,*,#213028,.T.); #290173=ORIENTED_EDGE('',*,*,#213030,.F.); #290174=ORIENTED_EDGE('',*,*,#213031,.F.); #290175=ORIENTED_EDGE('',*,*,#213032,.T.); #290176=ORIENTED_EDGE('',*,*,#213031,.T.); #290177=ORIENTED_EDGE('',*,*,#213033,.F.); #290178=ORIENTED_EDGE('',*,*,#213034,.F.); #290179=ORIENTED_EDGE('',*,*,#213035,.T.); #290180=ORIENTED_EDGE('',*,*,#213034,.T.); #290181=ORIENTED_EDGE('',*,*,#213036,.F.); #290182=ORIENTED_EDGE('',*,*,#213037,.F.); #290183=ORIENTED_EDGE('',*,*,#213038,.T.); #290184=ORIENTED_EDGE('',*,*,#213037,.T.); #290185=ORIENTED_EDGE('',*,*,#213039,.F.); #290186=ORIENTED_EDGE('',*,*,#213040,.F.); #290187=ORIENTED_EDGE('',*,*,#213041,.T.); #290188=ORIENTED_EDGE('',*,*,#213040,.T.); #290189=ORIENTED_EDGE('',*,*,#213042,.F.); #290190=ORIENTED_EDGE('',*,*,#213043,.F.); #290191=ORIENTED_EDGE('',*,*,#213044,.T.); #290192=ORIENTED_EDGE('',*,*,#213043,.T.); #290193=ORIENTED_EDGE('',*,*,#213045,.F.); #290194=ORIENTED_EDGE('',*,*,#213046,.F.); #290195=ORIENTED_EDGE('',*,*,#213047,.T.); #290196=ORIENTED_EDGE('',*,*,#213046,.T.); #290197=ORIENTED_EDGE('',*,*,#213048,.F.); #290198=ORIENTED_EDGE('',*,*,#213049,.F.); #290199=ORIENTED_EDGE('',*,*,#213050,.T.); #290200=ORIENTED_EDGE('',*,*,#213049,.T.); #290201=ORIENTED_EDGE('',*,*,#213051,.F.); #290202=ORIENTED_EDGE('',*,*,#213052,.F.); #290203=ORIENTED_EDGE('',*,*,#213053,.T.); #290204=ORIENTED_EDGE('',*,*,#213052,.T.); #290205=ORIENTED_EDGE('',*,*,#213054,.F.); #290206=ORIENTED_EDGE('',*,*,#213055,.F.); #290207=ORIENTED_EDGE('',*,*,#213056,.T.); #290208=ORIENTED_EDGE('',*,*,#213055,.T.); #290209=ORIENTED_EDGE('',*,*,#213057,.F.); #290210=ORIENTED_EDGE('',*,*,#213058,.F.); #290211=ORIENTED_EDGE('',*,*,#213059,.T.); #290212=ORIENTED_EDGE('',*,*,#213058,.T.); #290213=ORIENTED_EDGE('',*,*,#213060,.F.); #290214=ORIENTED_EDGE('',*,*,#213061,.F.); #290215=ORIENTED_EDGE('',*,*,#213062,.T.); #290216=ORIENTED_EDGE('',*,*,#213061,.T.); #290217=ORIENTED_EDGE('',*,*,#213063,.F.); #290218=ORIENTED_EDGE('',*,*,#213064,.F.); #290219=ORIENTED_EDGE('',*,*,#213065,.T.); #290220=ORIENTED_EDGE('',*,*,#213064,.T.); #290221=ORIENTED_EDGE('',*,*,#213066,.F.); #290222=ORIENTED_EDGE('',*,*,#213067,.F.); #290223=ORIENTED_EDGE('',*,*,#213068,.T.); #290224=ORIENTED_EDGE('',*,*,#213067,.T.); #290225=ORIENTED_EDGE('',*,*,#213069,.F.); #290226=ORIENTED_EDGE('',*,*,#213070,.F.); #290227=ORIENTED_EDGE('',*,*,#213071,.T.); #290228=ORIENTED_EDGE('',*,*,#213070,.T.); #290229=ORIENTED_EDGE('',*,*,#213072,.F.); #290230=ORIENTED_EDGE('',*,*,#213073,.F.); #290231=ORIENTED_EDGE('',*,*,#213074,.T.); #290232=ORIENTED_EDGE('',*,*,#213073,.T.); #290233=ORIENTED_EDGE('',*,*,#213075,.F.); #290234=ORIENTED_EDGE('',*,*,#213076,.F.); #290235=ORIENTED_EDGE('',*,*,#213077,.T.); #290236=ORIENTED_EDGE('',*,*,#213076,.T.); #290237=ORIENTED_EDGE('',*,*,#213078,.F.); #290238=ORIENTED_EDGE('',*,*,#213079,.F.); #290239=ORIENTED_EDGE('',*,*,#213080,.T.); #290240=ORIENTED_EDGE('',*,*,#213079,.T.); #290241=ORIENTED_EDGE('',*,*,#213081,.F.); #290242=ORIENTED_EDGE('',*,*,#213082,.F.); #290243=ORIENTED_EDGE('',*,*,#213083,.T.); #290244=ORIENTED_EDGE('',*,*,#213082,.T.); #290245=ORIENTED_EDGE('',*,*,#213084,.F.); #290246=ORIENTED_EDGE('',*,*,#213085,.F.); #290247=ORIENTED_EDGE('',*,*,#213086,.T.); #290248=ORIENTED_EDGE('',*,*,#213085,.T.); #290249=ORIENTED_EDGE('',*,*,#213087,.F.); #290250=ORIENTED_EDGE('',*,*,#213088,.F.); #290251=ORIENTED_EDGE('',*,*,#213089,.T.); #290252=ORIENTED_EDGE('',*,*,#213088,.T.); #290253=ORIENTED_EDGE('',*,*,#213090,.F.); #290254=ORIENTED_EDGE('',*,*,#213091,.F.); #290255=ORIENTED_EDGE('',*,*,#213092,.T.); #290256=ORIENTED_EDGE('',*,*,#213091,.T.); #290257=ORIENTED_EDGE('',*,*,#213093,.F.); #290258=ORIENTED_EDGE('',*,*,#213094,.F.); #290259=ORIENTED_EDGE('',*,*,#213095,.T.); #290260=ORIENTED_EDGE('',*,*,#213094,.T.); #290261=ORIENTED_EDGE('',*,*,#213096,.F.); #290262=ORIENTED_EDGE('',*,*,#213097,.F.); #290263=ORIENTED_EDGE('',*,*,#213098,.T.); #290264=ORIENTED_EDGE('',*,*,#213097,.T.); #290265=ORIENTED_EDGE('',*,*,#213099,.F.); #290266=ORIENTED_EDGE('',*,*,#213100,.F.); #290267=ORIENTED_EDGE('',*,*,#213101,.T.); #290268=ORIENTED_EDGE('',*,*,#213100,.T.); #290269=ORIENTED_EDGE('',*,*,#213102,.F.); #290270=ORIENTED_EDGE('',*,*,#213103,.F.); #290271=ORIENTED_EDGE('',*,*,#213104,.T.); #290272=ORIENTED_EDGE('',*,*,#213103,.T.); #290273=ORIENTED_EDGE('',*,*,#213105,.F.); #290274=ORIENTED_EDGE('',*,*,#213106,.F.); #290275=ORIENTED_EDGE('',*,*,#213107,.T.); #290276=ORIENTED_EDGE('',*,*,#213106,.T.); #290277=ORIENTED_EDGE('',*,*,#213108,.F.); #290278=ORIENTED_EDGE('',*,*,#213109,.F.); #290279=ORIENTED_EDGE('',*,*,#213110,.T.); #290280=ORIENTED_EDGE('',*,*,#213109,.T.); #290281=ORIENTED_EDGE('',*,*,#213111,.F.); #290282=ORIENTED_EDGE('',*,*,#213112,.F.); #290283=ORIENTED_EDGE('',*,*,#213113,.T.); #290284=ORIENTED_EDGE('',*,*,#213112,.T.); #290285=ORIENTED_EDGE('',*,*,#213114,.F.); #290286=ORIENTED_EDGE('',*,*,#213115,.F.); #290287=ORIENTED_EDGE('',*,*,#213116,.T.); #290288=ORIENTED_EDGE('',*,*,#213115,.T.); #290289=ORIENTED_EDGE('',*,*,#213117,.F.); #290290=ORIENTED_EDGE('',*,*,#213118,.F.); #290291=ORIENTED_EDGE('',*,*,#213119,.T.); #290292=ORIENTED_EDGE('',*,*,#213118,.T.); #290293=ORIENTED_EDGE('',*,*,#213120,.F.); #290294=ORIENTED_EDGE('',*,*,#213121,.F.); #290295=ORIENTED_EDGE('',*,*,#213122,.T.); #290296=ORIENTED_EDGE('',*,*,#213121,.T.); #290297=ORIENTED_EDGE('',*,*,#213123,.F.); #290298=ORIENTED_EDGE('',*,*,#213124,.F.); #290299=ORIENTED_EDGE('',*,*,#213125,.T.); #290300=ORIENTED_EDGE('',*,*,#213124,.T.); #290301=ORIENTED_EDGE('',*,*,#213126,.F.); #290302=ORIENTED_EDGE('',*,*,#213127,.F.); #290303=ORIENTED_EDGE('',*,*,#213128,.T.); #290304=ORIENTED_EDGE('',*,*,#213127,.T.); #290305=ORIENTED_EDGE('',*,*,#213129,.F.); #290306=ORIENTED_EDGE('',*,*,#213130,.F.); #290307=ORIENTED_EDGE('',*,*,#213131,.T.); #290308=ORIENTED_EDGE('',*,*,#213130,.T.); #290309=ORIENTED_EDGE('',*,*,#213132,.F.); #290310=ORIENTED_EDGE('',*,*,#213133,.F.); #290311=ORIENTED_EDGE('',*,*,#213134,.T.); #290312=ORIENTED_EDGE('',*,*,#213133,.T.); #290313=ORIENTED_EDGE('',*,*,#213135,.F.); #290314=ORIENTED_EDGE('',*,*,#213136,.F.); #290315=ORIENTED_EDGE('',*,*,#213137,.T.); #290316=ORIENTED_EDGE('',*,*,#213136,.T.); #290317=ORIENTED_EDGE('',*,*,#213138,.F.); #290318=ORIENTED_EDGE('',*,*,#213139,.F.); #290319=ORIENTED_EDGE('',*,*,#213140,.T.); #290320=ORIENTED_EDGE('',*,*,#213139,.T.); #290321=ORIENTED_EDGE('',*,*,#213141,.F.); #290322=ORIENTED_EDGE('',*,*,#213142,.F.); #290323=ORIENTED_EDGE('',*,*,#213143,.T.); #290324=ORIENTED_EDGE('',*,*,#213142,.T.); #290325=ORIENTED_EDGE('',*,*,#213144,.F.); #290326=ORIENTED_EDGE('',*,*,#213145,.F.); #290327=ORIENTED_EDGE('',*,*,#213146,.T.); #290328=ORIENTED_EDGE('',*,*,#213145,.T.); #290329=ORIENTED_EDGE('',*,*,#213147,.F.); #290330=ORIENTED_EDGE('',*,*,#213148,.F.); #290331=ORIENTED_EDGE('',*,*,#213149,.T.); #290332=ORIENTED_EDGE('',*,*,#213148,.T.); #290333=ORIENTED_EDGE('',*,*,#213150,.F.); #290334=ORIENTED_EDGE('',*,*,#213151,.F.); #290335=ORIENTED_EDGE('',*,*,#213152,.T.); #290336=ORIENTED_EDGE('',*,*,#213151,.T.); #290337=ORIENTED_EDGE('',*,*,#213153,.F.); #290338=ORIENTED_EDGE('',*,*,#213154,.F.); #290339=ORIENTED_EDGE('',*,*,#213155,.T.); #290340=ORIENTED_EDGE('',*,*,#213154,.T.); #290341=ORIENTED_EDGE('',*,*,#213156,.F.); #290342=ORIENTED_EDGE('',*,*,#213157,.F.); #290343=ORIENTED_EDGE('',*,*,#213158,.T.); #290344=ORIENTED_EDGE('',*,*,#213157,.T.); #290345=ORIENTED_EDGE('',*,*,#213159,.F.); #290346=ORIENTED_EDGE('',*,*,#213160,.F.); #290347=ORIENTED_EDGE('',*,*,#213161,.T.); #290348=ORIENTED_EDGE('',*,*,#213160,.T.); #290349=ORIENTED_EDGE('',*,*,#213162,.F.); #290350=ORIENTED_EDGE('',*,*,#213163,.F.); #290351=ORIENTED_EDGE('',*,*,#213164,.T.); #290352=ORIENTED_EDGE('',*,*,#213163,.T.); #290353=ORIENTED_EDGE('',*,*,#213165,.F.); #290354=ORIENTED_EDGE('',*,*,#213166,.F.); #290355=ORIENTED_EDGE('',*,*,#213167,.T.); #290356=ORIENTED_EDGE('',*,*,#213166,.T.); #290357=ORIENTED_EDGE('',*,*,#213168,.F.); #290358=ORIENTED_EDGE('',*,*,#213169,.F.); #290359=ORIENTED_EDGE('',*,*,#213170,.T.); #290360=ORIENTED_EDGE('',*,*,#213169,.T.); #290361=ORIENTED_EDGE('',*,*,#213171,.F.); #290362=ORIENTED_EDGE('',*,*,#213172,.F.); #290363=ORIENTED_EDGE('',*,*,#213173,.T.); #290364=ORIENTED_EDGE('',*,*,#213172,.T.); #290365=ORIENTED_EDGE('',*,*,#213174,.F.); #290366=ORIENTED_EDGE('',*,*,#213175,.F.); #290367=ORIENTED_EDGE('',*,*,#213176,.T.); #290368=ORIENTED_EDGE('',*,*,#213175,.T.); #290369=ORIENTED_EDGE('',*,*,#213177,.F.); #290370=ORIENTED_EDGE('',*,*,#213178,.F.); #290371=ORIENTED_EDGE('',*,*,#213179,.T.); #290372=ORIENTED_EDGE('',*,*,#213178,.T.); #290373=ORIENTED_EDGE('',*,*,#213180,.F.); #290374=ORIENTED_EDGE('',*,*,#213181,.F.); #290375=ORIENTED_EDGE('',*,*,#213182,.T.); #290376=ORIENTED_EDGE('',*,*,#213181,.T.); #290377=ORIENTED_EDGE('',*,*,#213183,.F.); #290378=ORIENTED_EDGE('',*,*,#213184,.F.); #290379=ORIENTED_EDGE('',*,*,#213185,.T.); #290380=ORIENTED_EDGE('',*,*,#213184,.T.); #290381=ORIENTED_EDGE('',*,*,#213186,.F.); #290382=ORIENTED_EDGE('',*,*,#213187,.F.); #290383=ORIENTED_EDGE('',*,*,#213188,.T.); #290384=ORIENTED_EDGE('',*,*,#213187,.T.); #290385=ORIENTED_EDGE('',*,*,#213189,.F.); #290386=ORIENTED_EDGE('',*,*,#213190,.F.); #290387=ORIENTED_EDGE('',*,*,#213191,.T.); #290388=ORIENTED_EDGE('',*,*,#213190,.T.); #290389=ORIENTED_EDGE('',*,*,#213192,.F.); #290390=ORIENTED_EDGE('',*,*,#213193,.F.); #290391=ORIENTED_EDGE('',*,*,#213194,.T.); #290392=ORIENTED_EDGE('',*,*,#213193,.T.); #290393=ORIENTED_EDGE('',*,*,#213195,.F.); #290394=ORIENTED_EDGE('',*,*,#213196,.F.); #290395=ORIENTED_EDGE('',*,*,#213197,.T.); #290396=ORIENTED_EDGE('',*,*,#213196,.T.); #290397=ORIENTED_EDGE('',*,*,#213198,.F.); #290398=ORIENTED_EDGE('',*,*,#213199,.F.); #290399=ORIENTED_EDGE('',*,*,#213200,.T.); #290400=ORIENTED_EDGE('',*,*,#213199,.T.); #290401=ORIENTED_EDGE('',*,*,#213201,.F.); #290402=ORIENTED_EDGE('',*,*,#213202,.F.); #290403=ORIENTED_EDGE('',*,*,#213203,.T.); #290404=ORIENTED_EDGE('',*,*,#213202,.T.); #290405=ORIENTED_EDGE('',*,*,#213204,.F.); #290406=ORIENTED_EDGE('',*,*,#213205,.F.); #290407=ORIENTED_EDGE('',*,*,#213206,.T.); #290408=ORIENTED_EDGE('',*,*,#213205,.T.); #290409=ORIENTED_EDGE('',*,*,#213207,.F.); #290410=ORIENTED_EDGE('',*,*,#213208,.F.); #290411=ORIENTED_EDGE('',*,*,#213209,.T.); #290412=ORIENTED_EDGE('',*,*,#213208,.T.); #290413=ORIENTED_EDGE('',*,*,#213210,.F.); #290414=ORIENTED_EDGE('',*,*,#213211,.F.); #290415=ORIENTED_EDGE('',*,*,#213212,.T.); #290416=ORIENTED_EDGE('',*,*,#213211,.T.); #290417=ORIENTED_EDGE('',*,*,#213213,.F.); #290418=ORIENTED_EDGE('',*,*,#213214,.F.); #290419=ORIENTED_EDGE('',*,*,#213215,.T.); #290420=ORIENTED_EDGE('',*,*,#213214,.T.); #290421=ORIENTED_EDGE('',*,*,#213216,.F.); #290422=ORIENTED_EDGE('',*,*,#213217,.F.); #290423=ORIENTED_EDGE('',*,*,#213218,.T.); #290424=ORIENTED_EDGE('',*,*,#213217,.T.); #290425=ORIENTED_EDGE('',*,*,#213219,.F.); #290426=ORIENTED_EDGE('',*,*,#213220,.F.); #290427=ORIENTED_EDGE('',*,*,#213221,.T.); #290428=ORIENTED_EDGE('',*,*,#213220,.T.); #290429=ORIENTED_EDGE('',*,*,#213222,.F.); #290430=ORIENTED_EDGE('',*,*,#213223,.F.); #290431=ORIENTED_EDGE('',*,*,#213224,.T.); #290432=ORIENTED_EDGE('',*,*,#213223,.T.); #290433=ORIENTED_EDGE('',*,*,#213225,.F.); #290434=ORIENTED_EDGE('',*,*,#213226,.F.); #290435=ORIENTED_EDGE('',*,*,#213227,.T.); #290436=ORIENTED_EDGE('',*,*,#213226,.T.); #290437=ORIENTED_EDGE('',*,*,#213228,.F.); #290438=ORIENTED_EDGE('',*,*,#213229,.F.); #290439=ORIENTED_EDGE('',*,*,#213230,.T.); #290440=ORIENTED_EDGE('',*,*,#213229,.T.); #290441=ORIENTED_EDGE('',*,*,#213231,.F.); #290442=ORIENTED_EDGE('',*,*,#213232,.F.); #290443=ORIENTED_EDGE('',*,*,#213233,.T.); #290444=ORIENTED_EDGE('',*,*,#213232,.T.); #290445=ORIENTED_EDGE('',*,*,#213234,.F.); #290446=ORIENTED_EDGE('',*,*,#213235,.F.); #290447=ORIENTED_EDGE('',*,*,#213236,.T.); #290448=ORIENTED_EDGE('',*,*,#213235,.T.); #290449=ORIENTED_EDGE('',*,*,#213237,.F.); #290450=ORIENTED_EDGE('',*,*,#213238,.F.); #290451=ORIENTED_EDGE('',*,*,#213239,.T.); #290452=ORIENTED_EDGE('',*,*,#213238,.T.); #290453=ORIENTED_EDGE('',*,*,#213240,.F.); #290454=ORIENTED_EDGE('',*,*,#213241,.F.); #290455=ORIENTED_EDGE('',*,*,#213242,.T.); #290456=ORIENTED_EDGE('',*,*,#213241,.T.); #290457=ORIENTED_EDGE('',*,*,#213243,.F.); #290458=ORIENTED_EDGE('',*,*,#213244,.F.); #290459=ORIENTED_EDGE('',*,*,#213245,.T.); #290460=ORIENTED_EDGE('',*,*,#213244,.T.); #290461=ORIENTED_EDGE('',*,*,#213246,.F.); #290462=ORIENTED_EDGE('',*,*,#213247,.F.); #290463=ORIENTED_EDGE('',*,*,#213248,.T.); #290464=ORIENTED_EDGE('',*,*,#213247,.T.); #290465=ORIENTED_EDGE('',*,*,#213249,.F.); #290466=ORIENTED_EDGE('',*,*,#213250,.F.); #290467=ORIENTED_EDGE('',*,*,#213251,.T.); #290468=ORIENTED_EDGE('',*,*,#213250,.T.); #290469=ORIENTED_EDGE('',*,*,#213252,.F.); #290470=ORIENTED_EDGE('',*,*,#213253,.F.); #290471=ORIENTED_EDGE('',*,*,#213254,.T.); #290472=ORIENTED_EDGE('',*,*,#213253,.T.); #290473=ORIENTED_EDGE('',*,*,#213255,.F.); #290474=ORIENTED_EDGE('',*,*,#213256,.F.); #290475=ORIENTED_EDGE('',*,*,#213257,.T.); #290476=ORIENTED_EDGE('',*,*,#213256,.T.); #290477=ORIENTED_EDGE('',*,*,#213258,.F.); #290478=ORIENTED_EDGE('',*,*,#213259,.F.); #290479=ORIENTED_EDGE('',*,*,#213260,.T.); #290480=ORIENTED_EDGE('',*,*,#213259,.T.); #290481=ORIENTED_EDGE('',*,*,#213261,.F.); #290482=ORIENTED_EDGE('',*,*,#213262,.F.); #290483=ORIENTED_EDGE('',*,*,#213263,.T.); #290484=ORIENTED_EDGE('',*,*,#213262,.T.); #290485=ORIENTED_EDGE('',*,*,#213264,.F.); #290486=ORIENTED_EDGE('',*,*,#213265,.F.); #290487=ORIENTED_EDGE('',*,*,#213266,.T.); #290488=ORIENTED_EDGE('',*,*,#213265,.T.); #290489=ORIENTED_EDGE('',*,*,#213267,.F.); #290490=ORIENTED_EDGE('',*,*,#213268,.F.); #290491=ORIENTED_EDGE('',*,*,#213269,.T.); #290492=ORIENTED_EDGE('',*,*,#213268,.T.); #290493=ORIENTED_EDGE('',*,*,#213270,.F.); #290494=ORIENTED_EDGE('',*,*,#213271,.F.); #290495=ORIENTED_EDGE('',*,*,#213272,.T.); #290496=ORIENTED_EDGE('',*,*,#213271,.T.); #290497=ORIENTED_EDGE('',*,*,#213273,.F.); #290498=ORIENTED_EDGE('',*,*,#213274,.F.); #290499=ORIENTED_EDGE('',*,*,#213275,.T.); #290500=ORIENTED_EDGE('',*,*,#213274,.T.); #290501=ORIENTED_EDGE('',*,*,#213276,.F.); #290502=ORIENTED_EDGE('',*,*,#213277,.F.); #290503=ORIENTED_EDGE('',*,*,#213278,.T.); #290504=ORIENTED_EDGE('',*,*,#213277,.T.); #290505=ORIENTED_EDGE('',*,*,#213279,.F.); #290506=ORIENTED_EDGE('',*,*,#213280,.F.); #290507=ORIENTED_EDGE('',*,*,#213281,.T.); #290508=ORIENTED_EDGE('',*,*,#213280,.T.); #290509=ORIENTED_EDGE('',*,*,#213282,.F.); #290510=ORIENTED_EDGE('',*,*,#213283,.F.); #290511=ORIENTED_EDGE('',*,*,#213284,.T.); #290512=ORIENTED_EDGE('',*,*,#213283,.T.); #290513=ORIENTED_EDGE('',*,*,#213285,.F.); #290514=ORIENTED_EDGE('',*,*,#213286,.F.); #290515=ORIENTED_EDGE('',*,*,#213287,.T.); #290516=ORIENTED_EDGE('',*,*,#213286,.T.); #290517=ORIENTED_EDGE('',*,*,#213288,.F.); #290518=ORIENTED_EDGE('',*,*,#213289,.F.); #290519=ORIENTED_EDGE('',*,*,#213290,.T.); #290520=ORIENTED_EDGE('',*,*,#213289,.T.); #290521=ORIENTED_EDGE('',*,*,#213291,.F.); #290522=ORIENTED_EDGE('',*,*,#213292,.F.); #290523=ORIENTED_EDGE('',*,*,#213293,.T.); #290524=ORIENTED_EDGE('',*,*,#213292,.T.); #290525=ORIENTED_EDGE('',*,*,#213294,.F.); #290526=ORIENTED_EDGE('',*,*,#213295,.F.); #290527=ORIENTED_EDGE('',*,*,#213296,.T.); #290528=ORIENTED_EDGE('',*,*,#213295,.T.); #290529=ORIENTED_EDGE('',*,*,#213297,.F.); #290530=ORIENTED_EDGE('',*,*,#213298,.F.); #290531=ORIENTED_EDGE('',*,*,#213299,.T.); #290532=ORIENTED_EDGE('',*,*,#213298,.T.); #290533=ORIENTED_EDGE('',*,*,#213300,.F.); #290534=ORIENTED_EDGE('',*,*,#213301,.F.); #290535=ORIENTED_EDGE('',*,*,#213302,.T.); #290536=ORIENTED_EDGE('',*,*,#213301,.T.); #290537=ORIENTED_EDGE('',*,*,#213303,.F.); #290538=ORIENTED_EDGE('',*,*,#213304,.F.); #290539=ORIENTED_EDGE('',*,*,#213305,.T.); #290540=ORIENTED_EDGE('',*,*,#213304,.T.); #290541=ORIENTED_EDGE('',*,*,#213306,.F.); #290542=ORIENTED_EDGE('',*,*,#213307,.F.); #290543=ORIENTED_EDGE('',*,*,#213308,.T.); #290544=ORIENTED_EDGE('',*,*,#213307,.T.); #290545=ORIENTED_EDGE('',*,*,#213309,.F.); #290546=ORIENTED_EDGE('',*,*,#213310,.F.); #290547=ORIENTED_EDGE('',*,*,#213311,.T.); #290548=ORIENTED_EDGE('',*,*,#213310,.T.); #290549=ORIENTED_EDGE('',*,*,#213312,.F.); #290550=ORIENTED_EDGE('',*,*,#213313,.F.); #290551=ORIENTED_EDGE('',*,*,#213314,.T.); #290552=ORIENTED_EDGE('',*,*,#213313,.T.); #290553=ORIENTED_EDGE('',*,*,#213315,.F.); #290554=ORIENTED_EDGE('',*,*,#213316,.F.); #290555=ORIENTED_EDGE('',*,*,#213317,.T.); #290556=ORIENTED_EDGE('',*,*,#213316,.T.); #290557=ORIENTED_EDGE('',*,*,#213318,.F.); #290558=ORIENTED_EDGE('',*,*,#213319,.F.); #290559=ORIENTED_EDGE('',*,*,#213320,.T.); #290560=ORIENTED_EDGE('',*,*,#213319,.T.); #290561=ORIENTED_EDGE('',*,*,#213321,.F.); #290562=ORIENTED_EDGE('',*,*,#213322,.F.); #290563=ORIENTED_EDGE('',*,*,#213323,.T.); #290564=ORIENTED_EDGE('',*,*,#213322,.T.); #290565=ORIENTED_EDGE('',*,*,#213324,.F.); #290566=ORIENTED_EDGE('',*,*,#213325,.F.); #290567=ORIENTED_EDGE('',*,*,#213326,.T.); #290568=ORIENTED_EDGE('',*,*,#213325,.T.); #290569=ORIENTED_EDGE('',*,*,#213327,.F.); #290570=ORIENTED_EDGE('',*,*,#213328,.F.); #290571=ORIENTED_EDGE('',*,*,#213329,.T.); #290572=ORIENTED_EDGE('',*,*,#213328,.T.); #290573=ORIENTED_EDGE('',*,*,#213330,.F.); #290574=ORIENTED_EDGE('',*,*,#213331,.F.); #290575=ORIENTED_EDGE('',*,*,#213332,.T.); #290576=ORIENTED_EDGE('',*,*,#213331,.T.); #290577=ORIENTED_EDGE('',*,*,#213333,.F.); #290578=ORIENTED_EDGE('',*,*,#213334,.F.); #290579=ORIENTED_EDGE('',*,*,#213335,.T.); #290580=ORIENTED_EDGE('',*,*,#213334,.T.); #290581=ORIENTED_EDGE('',*,*,#213336,.F.); #290582=ORIENTED_EDGE('',*,*,#213337,.F.); #290583=ORIENTED_EDGE('',*,*,#213338,.T.); #290584=ORIENTED_EDGE('',*,*,#213337,.T.); #290585=ORIENTED_EDGE('',*,*,#213339,.F.); #290586=ORIENTED_EDGE('',*,*,#213340,.F.); #290587=ORIENTED_EDGE('',*,*,#213341,.T.); #290588=ORIENTED_EDGE('',*,*,#213340,.T.); #290589=ORIENTED_EDGE('',*,*,#213342,.F.); #290590=ORIENTED_EDGE('',*,*,#213343,.F.); #290591=ORIENTED_EDGE('',*,*,#213344,.T.); #290592=ORIENTED_EDGE('',*,*,#213343,.T.); #290593=ORIENTED_EDGE('',*,*,#213345,.F.); #290594=ORIENTED_EDGE('',*,*,#213346,.F.); #290595=ORIENTED_EDGE('',*,*,#213347,.T.); #290596=ORIENTED_EDGE('',*,*,#213346,.T.); #290597=ORIENTED_EDGE('',*,*,#213348,.F.); #290598=ORIENTED_EDGE('',*,*,#213349,.F.); #290599=ORIENTED_EDGE('',*,*,#213350,.T.); #290600=ORIENTED_EDGE('',*,*,#213349,.T.); #290601=ORIENTED_EDGE('',*,*,#213351,.F.); #290602=ORIENTED_EDGE('',*,*,#213352,.F.); #290603=ORIENTED_EDGE('',*,*,#213353,.T.); #290604=ORIENTED_EDGE('',*,*,#213352,.T.); #290605=ORIENTED_EDGE('',*,*,#213354,.F.); #290606=ORIENTED_EDGE('',*,*,#213355,.F.); #290607=ORIENTED_EDGE('',*,*,#213356,.T.); #290608=ORIENTED_EDGE('',*,*,#213355,.T.); #290609=ORIENTED_EDGE('',*,*,#213357,.F.); #290610=ORIENTED_EDGE('',*,*,#213358,.F.); #290611=ORIENTED_EDGE('',*,*,#213359,.T.); #290612=ORIENTED_EDGE('',*,*,#213358,.T.); #290613=ORIENTED_EDGE('',*,*,#213360,.F.); #290614=ORIENTED_EDGE('',*,*,#213361,.F.); #290615=ORIENTED_EDGE('',*,*,#213362,.T.); #290616=ORIENTED_EDGE('',*,*,#213361,.T.); #290617=ORIENTED_EDGE('',*,*,#213363,.F.); #290618=ORIENTED_EDGE('',*,*,#213364,.F.); #290619=ORIENTED_EDGE('',*,*,#213365,.T.); #290620=ORIENTED_EDGE('',*,*,#213364,.T.); #290621=ORIENTED_EDGE('',*,*,#213366,.F.); #290622=ORIENTED_EDGE('',*,*,#213367,.F.); #290623=ORIENTED_EDGE('',*,*,#213368,.T.); #290624=ORIENTED_EDGE('',*,*,#213367,.T.); #290625=ORIENTED_EDGE('',*,*,#213369,.F.); #290626=ORIENTED_EDGE('',*,*,#213370,.F.); #290627=ORIENTED_EDGE('',*,*,#213371,.T.); #290628=ORIENTED_EDGE('',*,*,#213370,.T.); #290629=ORIENTED_EDGE('',*,*,#213372,.F.); #290630=ORIENTED_EDGE('',*,*,#213373,.F.); #290631=ORIENTED_EDGE('',*,*,#213374,.T.); #290632=ORIENTED_EDGE('',*,*,#213373,.T.); #290633=ORIENTED_EDGE('',*,*,#213375,.F.); #290634=ORIENTED_EDGE('',*,*,#213376,.F.); #290635=ORIENTED_EDGE('',*,*,#213377,.T.); #290636=ORIENTED_EDGE('',*,*,#213376,.T.); #290637=ORIENTED_EDGE('',*,*,#213378,.F.); #290638=ORIENTED_EDGE('',*,*,#213379,.F.); #290639=ORIENTED_EDGE('',*,*,#213380,.T.); #290640=ORIENTED_EDGE('',*,*,#213379,.T.); #290641=ORIENTED_EDGE('',*,*,#213381,.F.); #290642=ORIENTED_EDGE('',*,*,#213382,.F.); #290643=ORIENTED_EDGE('',*,*,#213383,.T.); #290644=ORIENTED_EDGE('',*,*,#213382,.T.); #290645=ORIENTED_EDGE('',*,*,#213384,.F.); #290646=ORIENTED_EDGE('',*,*,#213385,.F.); #290647=ORIENTED_EDGE('',*,*,#213386,.T.); #290648=ORIENTED_EDGE('',*,*,#213385,.T.); #290649=ORIENTED_EDGE('',*,*,#213387,.F.); #290650=ORIENTED_EDGE('',*,*,#213388,.F.); #290651=ORIENTED_EDGE('',*,*,#213389,.T.); #290652=ORIENTED_EDGE('',*,*,#213388,.T.); #290653=ORIENTED_EDGE('',*,*,#213390,.F.); #290654=ORIENTED_EDGE('',*,*,#213391,.F.); #290655=ORIENTED_EDGE('',*,*,#213392,.T.); #290656=ORIENTED_EDGE('',*,*,#213391,.T.); #290657=ORIENTED_EDGE('',*,*,#213393,.F.); #290658=ORIENTED_EDGE('',*,*,#213394,.F.); #290659=ORIENTED_EDGE('',*,*,#213395,.T.); #290660=ORIENTED_EDGE('',*,*,#213394,.T.); #290661=ORIENTED_EDGE('',*,*,#213396,.F.); #290662=ORIENTED_EDGE('',*,*,#213397,.F.); #290663=ORIENTED_EDGE('',*,*,#213398,.T.); #290664=ORIENTED_EDGE('',*,*,#213397,.T.); #290665=ORIENTED_EDGE('',*,*,#213399,.F.); #290666=ORIENTED_EDGE('',*,*,#213400,.F.); #290667=ORIENTED_EDGE('',*,*,#213401,.T.); #290668=ORIENTED_EDGE('',*,*,#213400,.T.); #290669=ORIENTED_EDGE('',*,*,#213402,.F.); #290670=ORIENTED_EDGE('',*,*,#213403,.F.); #290671=ORIENTED_EDGE('',*,*,#213404,.T.); #290672=ORIENTED_EDGE('',*,*,#213403,.T.); #290673=ORIENTED_EDGE('',*,*,#213405,.F.); #290674=ORIENTED_EDGE('',*,*,#213406,.F.); #290675=ORIENTED_EDGE('',*,*,#213407,.T.); #290676=ORIENTED_EDGE('',*,*,#213406,.T.); #290677=ORIENTED_EDGE('',*,*,#213408,.F.); #290678=ORIENTED_EDGE('',*,*,#213409,.F.); #290679=ORIENTED_EDGE('',*,*,#213410,.T.); #290680=ORIENTED_EDGE('',*,*,#213409,.T.); #290681=ORIENTED_EDGE('',*,*,#213411,.F.); #290682=ORIENTED_EDGE('',*,*,#213412,.F.); #290683=ORIENTED_EDGE('',*,*,#213413,.T.); #290684=ORIENTED_EDGE('',*,*,#213412,.T.); #290685=ORIENTED_EDGE('',*,*,#213414,.F.); #290686=ORIENTED_EDGE('',*,*,#213415,.F.); #290687=ORIENTED_EDGE('',*,*,#213416,.T.); #290688=ORIENTED_EDGE('',*,*,#213415,.T.); #290689=ORIENTED_EDGE('',*,*,#213417,.F.); #290690=ORIENTED_EDGE('',*,*,#213418,.F.); #290691=ORIENTED_EDGE('',*,*,#213419,.T.); #290692=ORIENTED_EDGE('',*,*,#213418,.T.); #290693=ORIENTED_EDGE('',*,*,#213420,.F.); #290694=ORIENTED_EDGE('',*,*,#213421,.F.); #290695=ORIENTED_EDGE('',*,*,#213422,.T.); #290696=ORIENTED_EDGE('',*,*,#213421,.T.); #290697=ORIENTED_EDGE('',*,*,#213423,.F.); #290698=ORIENTED_EDGE('',*,*,#213424,.F.); #290699=ORIENTED_EDGE('',*,*,#213425,.T.); #290700=ORIENTED_EDGE('',*,*,#213424,.T.); #290701=ORIENTED_EDGE('',*,*,#213426,.F.); #290702=ORIENTED_EDGE('',*,*,#213427,.F.); #290703=ORIENTED_EDGE('',*,*,#213428,.T.); #290704=ORIENTED_EDGE('',*,*,#213427,.T.); #290705=ORIENTED_EDGE('',*,*,#213429,.F.); #290706=ORIENTED_EDGE('',*,*,#213430,.F.); #290707=ORIENTED_EDGE('',*,*,#213431,.T.); #290708=ORIENTED_EDGE('',*,*,#213430,.T.); #290709=ORIENTED_EDGE('',*,*,#213432,.F.); #290710=ORIENTED_EDGE('',*,*,#213433,.F.); #290711=ORIENTED_EDGE('',*,*,#213434,.T.); #290712=ORIENTED_EDGE('',*,*,#213433,.T.); #290713=ORIENTED_EDGE('',*,*,#213435,.F.); #290714=ORIENTED_EDGE('',*,*,#213436,.F.); #290715=ORIENTED_EDGE('',*,*,#213437,.T.); #290716=ORIENTED_EDGE('',*,*,#213436,.T.); #290717=ORIENTED_EDGE('',*,*,#213438,.F.); #290718=ORIENTED_EDGE('',*,*,#213439,.F.); #290719=ORIENTED_EDGE('',*,*,#213440,.T.); #290720=ORIENTED_EDGE('',*,*,#213439,.T.); #290721=ORIENTED_EDGE('',*,*,#213441,.F.); #290722=ORIENTED_EDGE('',*,*,#213442,.F.); #290723=ORIENTED_EDGE('',*,*,#213443,.T.); #290724=ORIENTED_EDGE('',*,*,#213442,.T.); #290725=ORIENTED_EDGE('',*,*,#213444,.F.); #290726=ORIENTED_EDGE('',*,*,#213445,.F.); #290727=ORIENTED_EDGE('',*,*,#213446,.T.); #290728=ORIENTED_EDGE('',*,*,#213445,.T.); #290729=ORIENTED_EDGE('',*,*,#213447,.F.); #290730=ORIENTED_EDGE('',*,*,#213448,.F.); #290731=ORIENTED_EDGE('',*,*,#213449,.T.); #290732=ORIENTED_EDGE('',*,*,#213448,.T.); #290733=ORIENTED_EDGE('',*,*,#213450,.F.); #290734=ORIENTED_EDGE('',*,*,#213451,.F.); #290735=ORIENTED_EDGE('',*,*,#213452,.T.); #290736=ORIENTED_EDGE('',*,*,#213451,.T.); #290737=ORIENTED_EDGE('',*,*,#213453,.F.); #290738=ORIENTED_EDGE('',*,*,#213454,.F.); #290739=ORIENTED_EDGE('',*,*,#213455,.T.); #290740=ORIENTED_EDGE('',*,*,#213454,.T.); #290741=ORIENTED_EDGE('',*,*,#213456,.F.); #290742=ORIENTED_EDGE('',*,*,#213457,.F.); #290743=ORIENTED_EDGE('',*,*,#213458,.T.); #290744=ORIENTED_EDGE('',*,*,#213457,.T.); #290745=ORIENTED_EDGE('',*,*,#213459,.F.); #290746=ORIENTED_EDGE('',*,*,#213460,.F.); #290747=ORIENTED_EDGE('',*,*,#213461,.T.); #290748=ORIENTED_EDGE('',*,*,#213460,.T.); #290749=ORIENTED_EDGE('',*,*,#213462,.F.); #290750=ORIENTED_EDGE('',*,*,#213463,.F.); #290751=ORIENTED_EDGE('',*,*,#213464,.T.); #290752=ORIENTED_EDGE('',*,*,#213463,.T.); #290753=ORIENTED_EDGE('',*,*,#213465,.F.); #290754=ORIENTED_EDGE('',*,*,#213466,.F.); #290755=ORIENTED_EDGE('',*,*,#213467,.T.); #290756=ORIENTED_EDGE('',*,*,#213466,.T.); #290757=ORIENTED_EDGE('',*,*,#213468,.F.); #290758=ORIENTED_EDGE('',*,*,#213469,.F.); #290759=ORIENTED_EDGE('',*,*,#213470,.T.); #290760=ORIENTED_EDGE('',*,*,#213469,.T.); #290761=ORIENTED_EDGE('',*,*,#213471,.F.); #290762=ORIENTED_EDGE('',*,*,#213472,.F.); #290763=ORIENTED_EDGE('',*,*,#213473,.T.); #290764=ORIENTED_EDGE('',*,*,#213472,.T.); #290765=ORIENTED_EDGE('',*,*,#213474,.F.); #290766=ORIENTED_EDGE('',*,*,#213475,.F.); #290767=ORIENTED_EDGE('',*,*,#213476,.T.); #290768=ORIENTED_EDGE('',*,*,#213475,.T.); #290769=ORIENTED_EDGE('',*,*,#213477,.F.); #290770=ORIENTED_EDGE('',*,*,#213478,.F.); #290771=ORIENTED_EDGE('',*,*,#213479,.T.); #290772=ORIENTED_EDGE('',*,*,#213478,.T.); #290773=ORIENTED_EDGE('',*,*,#213480,.F.); #290774=ORIENTED_EDGE('',*,*,#213481,.F.); #290775=ORIENTED_EDGE('',*,*,#213482,.T.); #290776=ORIENTED_EDGE('',*,*,#213481,.T.); #290777=ORIENTED_EDGE('',*,*,#213483,.F.); #290778=ORIENTED_EDGE('',*,*,#213484,.F.); #290779=ORIENTED_EDGE('',*,*,#213485,.T.); #290780=ORIENTED_EDGE('',*,*,#213484,.T.); #290781=ORIENTED_EDGE('',*,*,#213486,.F.); #290782=ORIENTED_EDGE('',*,*,#213487,.F.); #290783=ORIENTED_EDGE('',*,*,#213488,.T.); #290784=ORIENTED_EDGE('',*,*,#213487,.T.); #290785=ORIENTED_EDGE('',*,*,#213489,.F.); #290786=ORIENTED_EDGE('',*,*,#213490,.F.); #290787=ORIENTED_EDGE('',*,*,#213491,.T.); #290788=ORIENTED_EDGE('',*,*,#213490,.T.); #290789=ORIENTED_EDGE('',*,*,#213492,.F.); #290790=ORIENTED_EDGE('',*,*,#213493,.F.); #290791=ORIENTED_EDGE('',*,*,#213494,.T.); #290792=ORIENTED_EDGE('',*,*,#213493,.T.); #290793=ORIENTED_EDGE('',*,*,#213495,.F.); #290794=ORIENTED_EDGE('',*,*,#213496,.F.); #290795=ORIENTED_EDGE('',*,*,#213497,.T.); #290796=ORIENTED_EDGE('',*,*,#213496,.T.); #290797=ORIENTED_EDGE('',*,*,#213498,.F.); #290798=ORIENTED_EDGE('',*,*,#213499,.F.); #290799=ORIENTED_EDGE('',*,*,#213500,.T.); #290800=ORIENTED_EDGE('',*,*,#213499,.T.); #290801=ORIENTED_EDGE('',*,*,#213501,.F.); #290802=ORIENTED_EDGE('',*,*,#213502,.F.); #290803=ORIENTED_EDGE('',*,*,#213503,.T.); #290804=ORIENTED_EDGE('',*,*,#213502,.T.); #290805=ORIENTED_EDGE('',*,*,#213504,.F.); #290806=ORIENTED_EDGE('',*,*,#213505,.F.); #290807=ORIENTED_EDGE('',*,*,#213506,.T.); #290808=ORIENTED_EDGE('',*,*,#213505,.T.); #290809=ORIENTED_EDGE('',*,*,#213507,.F.); #290810=ORIENTED_EDGE('',*,*,#213508,.F.); #290811=ORIENTED_EDGE('',*,*,#213509,.T.); #290812=ORIENTED_EDGE('',*,*,#213508,.T.); #290813=ORIENTED_EDGE('',*,*,#213510,.F.); #290814=ORIENTED_EDGE('',*,*,#213511,.F.); #290815=ORIENTED_EDGE('',*,*,#213512,.T.); #290816=ORIENTED_EDGE('',*,*,#213511,.T.); #290817=ORIENTED_EDGE('',*,*,#213513,.F.); #290818=ORIENTED_EDGE('',*,*,#213514,.F.); #290819=ORIENTED_EDGE('',*,*,#213515,.T.); #290820=ORIENTED_EDGE('',*,*,#213514,.T.); #290821=ORIENTED_EDGE('',*,*,#213516,.F.); #290822=ORIENTED_EDGE('',*,*,#213517,.F.); #290823=ORIENTED_EDGE('',*,*,#213518,.T.); #290824=ORIENTED_EDGE('',*,*,#213517,.T.); #290825=ORIENTED_EDGE('',*,*,#213519,.F.); #290826=ORIENTED_EDGE('',*,*,#213520,.F.); #290827=ORIENTED_EDGE('',*,*,#213521,.T.); #290828=ORIENTED_EDGE('',*,*,#213520,.T.); #290829=ORIENTED_EDGE('',*,*,#213522,.F.); #290830=ORIENTED_EDGE('',*,*,#213523,.F.); #290831=ORIENTED_EDGE('',*,*,#213524,.T.); #290832=ORIENTED_EDGE('',*,*,#213523,.T.); #290833=ORIENTED_EDGE('',*,*,#213525,.F.); #290834=ORIENTED_EDGE('',*,*,#213526,.F.); #290835=ORIENTED_EDGE('',*,*,#213527,.T.); #290836=ORIENTED_EDGE('',*,*,#213526,.T.); #290837=ORIENTED_EDGE('',*,*,#213528,.F.); #290838=ORIENTED_EDGE('',*,*,#213529,.F.); #290839=ORIENTED_EDGE('',*,*,#213530,.T.); #290840=ORIENTED_EDGE('',*,*,#213529,.T.); #290841=ORIENTED_EDGE('',*,*,#213531,.F.); #290842=ORIENTED_EDGE('',*,*,#213532,.F.); #290843=ORIENTED_EDGE('',*,*,#213533,.T.); #290844=ORIENTED_EDGE('',*,*,#213532,.T.); #290845=ORIENTED_EDGE('',*,*,#213534,.F.); #290846=ORIENTED_EDGE('',*,*,#213535,.F.); #290847=ORIENTED_EDGE('',*,*,#213536,.T.); #290848=ORIENTED_EDGE('',*,*,#213535,.T.); #290849=ORIENTED_EDGE('',*,*,#213537,.F.); #290850=ORIENTED_EDGE('',*,*,#213538,.F.); #290851=ORIENTED_EDGE('',*,*,#213539,.T.); #290852=ORIENTED_EDGE('',*,*,#213538,.T.); #290853=ORIENTED_EDGE('',*,*,#213540,.F.); #290854=ORIENTED_EDGE('',*,*,#213541,.F.); #290855=ORIENTED_EDGE('',*,*,#213542,.T.); #290856=ORIENTED_EDGE('',*,*,#213541,.T.); #290857=ORIENTED_EDGE('',*,*,#213543,.F.); #290858=ORIENTED_EDGE('',*,*,#211607,.F.); #290859=ORIENTED_EDGE('',*,*,#213544,.T.); #290860=ORIENTED_EDGE('',*,*,#213545,.T.); #290861=ORIENTED_EDGE('',*,*,#213546,.F.); #290862=ORIENTED_EDGE('',*,*,#213547,.F.); #290863=ORIENTED_EDGE('',*,*,#213548,.T.); #290864=ORIENTED_EDGE('',*,*,#213547,.T.); #290865=ORIENTED_EDGE('',*,*,#213549,.F.); #290866=ORIENTED_EDGE('',*,*,#213550,.F.); #290867=ORIENTED_EDGE('',*,*,#213551,.T.); #290868=ORIENTED_EDGE('',*,*,#213550,.T.); #290869=ORIENTED_EDGE('',*,*,#213552,.F.); #290870=ORIENTED_EDGE('',*,*,#213553,.F.); #290871=ORIENTED_EDGE('',*,*,#213554,.T.); #290872=ORIENTED_EDGE('',*,*,#213553,.T.); #290873=ORIENTED_EDGE('',*,*,#213555,.F.); #290874=ORIENTED_EDGE('',*,*,#213556,.F.); #290875=ORIENTED_EDGE('',*,*,#213557,.T.); #290876=ORIENTED_EDGE('',*,*,#213556,.T.); #290877=ORIENTED_EDGE('',*,*,#213558,.F.); #290878=ORIENTED_EDGE('',*,*,#213559,.F.); #290879=ORIENTED_EDGE('',*,*,#213560,.T.); #290880=ORIENTED_EDGE('',*,*,#213559,.T.); #290881=ORIENTED_EDGE('',*,*,#213561,.F.); #290882=ORIENTED_EDGE('',*,*,#213562,.F.); #290883=ORIENTED_EDGE('',*,*,#213563,.T.); #290884=ORIENTED_EDGE('',*,*,#213562,.T.); #290885=ORIENTED_EDGE('',*,*,#213564,.F.); #290886=ORIENTED_EDGE('',*,*,#213565,.F.); #290887=ORIENTED_EDGE('',*,*,#213566,.T.); #290888=ORIENTED_EDGE('',*,*,#213565,.T.); #290889=ORIENTED_EDGE('',*,*,#213567,.F.); #290890=ORIENTED_EDGE('',*,*,#213568,.F.); #290891=ORIENTED_EDGE('',*,*,#213569,.T.); #290892=ORIENTED_EDGE('',*,*,#213568,.T.); #290893=ORIENTED_EDGE('',*,*,#213570,.F.); #290894=ORIENTED_EDGE('',*,*,#213571,.F.); #290895=ORIENTED_EDGE('',*,*,#213572,.T.); #290896=ORIENTED_EDGE('',*,*,#213571,.T.); #290897=ORIENTED_EDGE('',*,*,#213573,.F.); #290898=ORIENTED_EDGE('',*,*,#213574,.F.); #290899=ORIENTED_EDGE('',*,*,#213575,.T.); #290900=ORIENTED_EDGE('',*,*,#213574,.T.); #290901=ORIENTED_EDGE('',*,*,#213576,.F.); #290902=ORIENTED_EDGE('',*,*,#213577,.F.); #290903=ORIENTED_EDGE('',*,*,#213578,.T.); #290904=ORIENTED_EDGE('',*,*,#213577,.T.); #290905=ORIENTED_EDGE('',*,*,#213579,.F.); #290906=ORIENTED_EDGE('',*,*,#213580,.F.); #290907=ORIENTED_EDGE('',*,*,#213581,.T.); #290908=ORIENTED_EDGE('',*,*,#213580,.T.); #290909=ORIENTED_EDGE('',*,*,#213582,.F.); #290910=ORIENTED_EDGE('',*,*,#213583,.F.); #290911=ORIENTED_EDGE('',*,*,#213584,.T.); #290912=ORIENTED_EDGE('',*,*,#213583,.T.); #290913=ORIENTED_EDGE('',*,*,#213585,.F.); #290914=ORIENTED_EDGE('',*,*,#213586,.F.); #290915=ORIENTED_EDGE('',*,*,#213587,.T.); #290916=ORIENTED_EDGE('',*,*,#213586,.T.); #290917=ORIENTED_EDGE('',*,*,#213588,.F.); #290918=ORIENTED_EDGE('',*,*,#213589,.F.); #290919=ORIENTED_EDGE('',*,*,#213590,.T.); #290920=ORIENTED_EDGE('',*,*,#213589,.T.); #290921=ORIENTED_EDGE('',*,*,#213591,.F.); #290922=ORIENTED_EDGE('',*,*,#213592,.F.); #290923=ORIENTED_EDGE('',*,*,#213593,.T.); #290924=ORIENTED_EDGE('',*,*,#213592,.T.); #290925=ORIENTED_EDGE('',*,*,#213594,.F.); #290926=ORIENTED_EDGE('',*,*,#213595,.F.); #290927=ORIENTED_EDGE('',*,*,#213596,.T.); #290928=ORIENTED_EDGE('',*,*,#213595,.T.); #290929=ORIENTED_EDGE('',*,*,#213597,.F.); #290930=ORIENTED_EDGE('',*,*,#213598,.F.); #290931=ORIENTED_EDGE('',*,*,#213599,.T.); #290932=ORIENTED_EDGE('',*,*,#213598,.T.); #290933=ORIENTED_EDGE('',*,*,#213600,.F.); #290934=ORIENTED_EDGE('',*,*,#213601,.F.); #290935=ORIENTED_EDGE('',*,*,#213602,.T.); #290936=ORIENTED_EDGE('',*,*,#213601,.T.); #290937=ORIENTED_EDGE('',*,*,#213603,.F.); #290938=ORIENTED_EDGE('',*,*,#213604,.F.); #290939=ORIENTED_EDGE('',*,*,#213605,.T.); #290940=ORIENTED_EDGE('',*,*,#213604,.T.); #290941=ORIENTED_EDGE('',*,*,#213606,.F.); #290942=ORIENTED_EDGE('',*,*,#213607,.F.); #290943=ORIENTED_EDGE('',*,*,#213608,.T.); #290944=ORIENTED_EDGE('',*,*,#213607,.T.); #290945=ORIENTED_EDGE('',*,*,#213609,.F.); #290946=ORIENTED_EDGE('',*,*,#213610,.F.); #290947=ORIENTED_EDGE('',*,*,#213611,.T.); #290948=ORIENTED_EDGE('',*,*,#213610,.T.); #290949=ORIENTED_EDGE('',*,*,#213612,.F.); #290950=ORIENTED_EDGE('',*,*,#213613,.F.); #290951=ORIENTED_EDGE('',*,*,#213614,.T.); #290952=ORIENTED_EDGE('',*,*,#213613,.T.); #290953=ORIENTED_EDGE('',*,*,#213615,.F.); #290954=ORIENTED_EDGE('',*,*,#213616,.F.); #290955=ORIENTED_EDGE('',*,*,#213617,.T.); #290956=ORIENTED_EDGE('',*,*,#213616,.T.); #290957=ORIENTED_EDGE('',*,*,#213618,.F.); #290958=ORIENTED_EDGE('',*,*,#213619,.F.); #290959=ORIENTED_EDGE('',*,*,#213620,.T.); #290960=ORIENTED_EDGE('',*,*,#213619,.T.); #290961=ORIENTED_EDGE('',*,*,#213621,.F.); #290962=ORIENTED_EDGE('',*,*,#213622,.F.); #290963=ORIENTED_EDGE('',*,*,#213623,.T.); #290964=ORIENTED_EDGE('',*,*,#213622,.T.); #290965=ORIENTED_EDGE('',*,*,#213624,.F.); #290966=ORIENTED_EDGE('',*,*,#213625,.F.); #290967=ORIENTED_EDGE('',*,*,#213626,.T.); #290968=ORIENTED_EDGE('',*,*,#213625,.T.); #290969=ORIENTED_EDGE('',*,*,#213627,.F.); #290970=ORIENTED_EDGE('',*,*,#213628,.F.); #290971=ORIENTED_EDGE('',*,*,#213629,.T.); #290972=ORIENTED_EDGE('',*,*,#213628,.T.); #290973=ORIENTED_EDGE('',*,*,#213630,.F.); #290974=ORIENTED_EDGE('',*,*,#213631,.F.); #290975=ORIENTED_EDGE('',*,*,#213632,.T.); #290976=ORIENTED_EDGE('',*,*,#213631,.T.); #290977=ORIENTED_EDGE('',*,*,#213633,.F.); #290978=ORIENTED_EDGE('',*,*,#213634,.F.); #290979=ORIENTED_EDGE('',*,*,#213635,.T.); #290980=ORIENTED_EDGE('',*,*,#213634,.T.); #290981=ORIENTED_EDGE('',*,*,#213636,.F.); #290982=ORIENTED_EDGE('',*,*,#213637,.F.); #290983=ORIENTED_EDGE('',*,*,#213638,.T.); #290984=ORIENTED_EDGE('',*,*,#213637,.T.); #290985=ORIENTED_EDGE('',*,*,#213639,.F.); #290986=ORIENTED_EDGE('',*,*,#213640,.F.); #290987=ORIENTED_EDGE('',*,*,#213641,.T.); #290988=ORIENTED_EDGE('',*,*,#213640,.T.); #290989=ORIENTED_EDGE('',*,*,#213642,.F.); #290990=ORIENTED_EDGE('',*,*,#213643,.F.); #290991=ORIENTED_EDGE('',*,*,#213644,.T.); #290992=ORIENTED_EDGE('',*,*,#213643,.T.); #290993=ORIENTED_EDGE('',*,*,#213645,.F.); #290994=ORIENTED_EDGE('',*,*,#213646,.F.); #290995=ORIENTED_EDGE('',*,*,#213647,.T.); #290996=ORIENTED_EDGE('',*,*,#213646,.T.); #290997=ORIENTED_EDGE('',*,*,#213648,.F.); #290998=ORIENTED_EDGE('',*,*,#213649,.F.); #290999=ORIENTED_EDGE('',*,*,#213650,.T.); #291000=ORIENTED_EDGE('',*,*,#213649,.T.); #291001=ORIENTED_EDGE('',*,*,#213651,.F.); #291002=ORIENTED_EDGE('',*,*,#213652,.F.); #291003=ORIENTED_EDGE('',*,*,#213653,.T.); #291004=ORIENTED_EDGE('',*,*,#213652,.T.); #291005=ORIENTED_EDGE('',*,*,#213654,.F.); #291006=ORIENTED_EDGE('',*,*,#213655,.F.); #291007=ORIENTED_EDGE('',*,*,#213656,.T.); #291008=ORIENTED_EDGE('',*,*,#213655,.T.); #291009=ORIENTED_EDGE('',*,*,#213657,.F.); #291010=ORIENTED_EDGE('',*,*,#213658,.F.); #291011=ORIENTED_EDGE('',*,*,#213659,.T.); #291012=ORIENTED_EDGE('',*,*,#213658,.T.); #291013=ORIENTED_EDGE('',*,*,#213660,.F.); #291014=ORIENTED_EDGE('',*,*,#213661,.F.); #291015=ORIENTED_EDGE('',*,*,#213662,.T.); #291016=ORIENTED_EDGE('',*,*,#213661,.T.); #291017=ORIENTED_EDGE('',*,*,#213663,.F.); #291018=ORIENTED_EDGE('',*,*,#213664,.F.); #291019=ORIENTED_EDGE('',*,*,#213665,.T.); #291020=ORIENTED_EDGE('',*,*,#213664,.T.); #291021=ORIENTED_EDGE('',*,*,#213666,.F.); #291022=ORIENTED_EDGE('',*,*,#213667,.F.); #291023=ORIENTED_EDGE('',*,*,#213668,.T.); #291024=ORIENTED_EDGE('',*,*,#213667,.T.); #291025=ORIENTED_EDGE('',*,*,#213669,.F.); #291026=ORIENTED_EDGE('',*,*,#213670,.F.); #291027=ORIENTED_EDGE('',*,*,#213671,.T.); #291028=ORIENTED_EDGE('',*,*,#213670,.T.); #291029=ORIENTED_EDGE('',*,*,#213672,.F.); #291030=ORIENTED_EDGE('',*,*,#213673,.F.); #291031=ORIENTED_EDGE('',*,*,#213674,.T.); #291032=ORIENTED_EDGE('',*,*,#213673,.T.); #291033=ORIENTED_EDGE('',*,*,#213675,.F.); #291034=ORIENTED_EDGE('',*,*,#213676,.F.); #291035=ORIENTED_EDGE('',*,*,#213677,.T.); #291036=ORIENTED_EDGE('',*,*,#213676,.T.); #291037=ORIENTED_EDGE('',*,*,#213678,.F.); #291038=ORIENTED_EDGE('',*,*,#213679,.F.); #291039=ORIENTED_EDGE('',*,*,#213680,.T.); #291040=ORIENTED_EDGE('',*,*,#213679,.T.); #291041=ORIENTED_EDGE('',*,*,#213681,.F.); #291042=ORIENTED_EDGE('',*,*,#213682,.F.); #291043=ORIENTED_EDGE('',*,*,#213683,.T.); #291044=ORIENTED_EDGE('',*,*,#213682,.T.); #291045=ORIENTED_EDGE('',*,*,#213684,.F.); #291046=ORIENTED_EDGE('',*,*,#213685,.F.); #291047=ORIENTED_EDGE('',*,*,#213686,.T.); #291048=ORIENTED_EDGE('',*,*,#213685,.T.); #291049=ORIENTED_EDGE('',*,*,#213687,.F.); #291050=ORIENTED_EDGE('',*,*,#213688,.F.); #291051=ORIENTED_EDGE('',*,*,#213689,.T.); #291052=ORIENTED_EDGE('',*,*,#213688,.T.); #291053=ORIENTED_EDGE('',*,*,#213690,.F.); #291054=ORIENTED_EDGE('',*,*,#213691,.F.); #291055=ORIENTED_EDGE('',*,*,#213692,.T.); #291056=ORIENTED_EDGE('',*,*,#213691,.T.); #291057=ORIENTED_EDGE('',*,*,#213693,.F.); #291058=ORIENTED_EDGE('',*,*,#213694,.F.); #291059=ORIENTED_EDGE('',*,*,#213695,.T.); #291060=ORIENTED_EDGE('',*,*,#213694,.T.); #291061=ORIENTED_EDGE('',*,*,#213696,.F.); #291062=ORIENTED_EDGE('',*,*,#213697,.F.); #291063=ORIENTED_EDGE('',*,*,#213698,.T.); #291064=ORIENTED_EDGE('',*,*,#213697,.T.); #291065=ORIENTED_EDGE('',*,*,#213699,.F.); #291066=ORIENTED_EDGE('',*,*,#213700,.F.); #291067=ORIENTED_EDGE('',*,*,#213701,.T.); #291068=ORIENTED_EDGE('',*,*,#213700,.T.); #291069=ORIENTED_EDGE('',*,*,#213702,.F.); #291070=ORIENTED_EDGE('',*,*,#213703,.F.); #291071=ORIENTED_EDGE('',*,*,#213704,.T.); #291072=ORIENTED_EDGE('',*,*,#213703,.T.); #291073=ORIENTED_EDGE('',*,*,#213705,.F.); #291074=ORIENTED_EDGE('',*,*,#213706,.F.); #291075=ORIENTED_EDGE('',*,*,#213707,.T.); #291076=ORIENTED_EDGE('',*,*,#213706,.T.); #291077=ORIENTED_EDGE('',*,*,#213708,.F.); #291078=ORIENTED_EDGE('',*,*,#213709,.F.); #291079=ORIENTED_EDGE('',*,*,#213710,.T.); #291080=ORIENTED_EDGE('',*,*,#213709,.T.); #291081=ORIENTED_EDGE('',*,*,#213711,.F.); #291082=ORIENTED_EDGE('',*,*,#213712,.F.); #291083=ORIENTED_EDGE('',*,*,#213713,.T.); #291084=ORIENTED_EDGE('',*,*,#213712,.T.); #291085=ORIENTED_EDGE('',*,*,#213714,.F.); #291086=ORIENTED_EDGE('',*,*,#213715,.F.); #291087=ORIENTED_EDGE('',*,*,#213716,.T.); #291088=ORIENTED_EDGE('',*,*,#213715,.T.); #291089=ORIENTED_EDGE('',*,*,#213717,.F.); #291090=ORIENTED_EDGE('',*,*,#213718,.F.); #291091=ORIENTED_EDGE('',*,*,#213719,.T.); #291092=ORIENTED_EDGE('',*,*,#213718,.T.); #291093=ORIENTED_EDGE('',*,*,#213720,.F.); #291094=ORIENTED_EDGE('',*,*,#213721,.F.); #291095=ORIENTED_EDGE('',*,*,#213722,.T.); #291096=ORIENTED_EDGE('',*,*,#213721,.T.); #291097=ORIENTED_EDGE('',*,*,#213723,.F.); #291098=ORIENTED_EDGE('',*,*,#213724,.F.); #291099=ORIENTED_EDGE('',*,*,#213725,.T.); #291100=ORIENTED_EDGE('',*,*,#213724,.T.); #291101=ORIENTED_EDGE('',*,*,#213726,.F.); #291102=ORIENTED_EDGE('',*,*,#213727,.F.); #291103=ORIENTED_EDGE('',*,*,#213728,.T.); #291104=ORIENTED_EDGE('',*,*,#213727,.T.); #291105=ORIENTED_EDGE('',*,*,#213729,.F.); #291106=ORIENTED_EDGE('',*,*,#213730,.F.); #291107=ORIENTED_EDGE('',*,*,#213731,.T.); #291108=ORIENTED_EDGE('',*,*,#213730,.T.); #291109=ORIENTED_EDGE('',*,*,#213732,.F.); #291110=ORIENTED_EDGE('',*,*,#213733,.F.); #291111=ORIENTED_EDGE('',*,*,#213734,.T.); #291112=ORIENTED_EDGE('',*,*,#213733,.T.); #291113=ORIENTED_EDGE('',*,*,#213735,.F.); #291114=ORIENTED_EDGE('',*,*,#213736,.F.); #291115=ORIENTED_EDGE('',*,*,#213737,.T.); #291116=ORIENTED_EDGE('',*,*,#213736,.T.); #291117=ORIENTED_EDGE('',*,*,#213738,.F.); #291118=ORIENTED_EDGE('',*,*,#213739,.F.); #291119=ORIENTED_EDGE('',*,*,#213740,.T.); #291120=ORIENTED_EDGE('',*,*,#213739,.T.); #291121=ORIENTED_EDGE('',*,*,#213741,.F.); #291122=ORIENTED_EDGE('',*,*,#213742,.F.); #291123=ORIENTED_EDGE('',*,*,#213743,.T.); #291124=ORIENTED_EDGE('',*,*,#213742,.T.); #291125=ORIENTED_EDGE('',*,*,#213744,.F.); #291126=ORIENTED_EDGE('',*,*,#213745,.F.); #291127=ORIENTED_EDGE('',*,*,#213746,.T.); #291128=ORIENTED_EDGE('',*,*,#213745,.T.); #291129=ORIENTED_EDGE('',*,*,#213747,.F.); #291130=ORIENTED_EDGE('',*,*,#213748,.F.); #291131=ORIENTED_EDGE('',*,*,#213749,.T.); #291132=ORIENTED_EDGE('',*,*,#213748,.T.); #291133=ORIENTED_EDGE('',*,*,#213750,.F.); #291134=ORIENTED_EDGE('',*,*,#213751,.F.); #291135=ORIENTED_EDGE('',*,*,#213752,.T.); #291136=ORIENTED_EDGE('',*,*,#213751,.T.); #291137=ORIENTED_EDGE('',*,*,#213753,.F.); #291138=ORIENTED_EDGE('',*,*,#213754,.F.); #291139=ORIENTED_EDGE('',*,*,#213755,.T.); #291140=ORIENTED_EDGE('',*,*,#213754,.T.); #291141=ORIENTED_EDGE('',*,*,#213756,.F.); #291142=ORIENTED_EDGE('',*,*,#213757,.F.); #291143=ORIENTED_EDGE('',*,*,#213758,.T.); #291144=ORIENTED_EDGE('',*,*,#213757,.T.); #291145=ORIENTED_EDGE('',*,*,#213759,.F.); #291146=ORIENTED_EDGE('',*,*,#213760,.F.); #291147=ORIENTED_EDGE('',*,*,#213761,.T.); #291148=ORIENTED_EDGE('',*,*,#213760,.T.); #291149=ORIENTED_EDGE('',*,*,#213762,.F.); #291150=ORIENTED_EDGE('',*,*,#213763,.F.); #291151=ORIENTED_EDGE('',*,*,#213764,.T.); #291152=ORIENTED_EDGE('',*,*,#213763,.T.); #291153=ORIENTED_EDGE('',*,*,#213765,.F.); #291154=ORIENTED_EDGE('',*,*,#213766,.F.); #291155=ORIENTED_EDGE('',*,*,#213767,.T.); #291156=ORIENTED_EDGE('',*,*,#213766,.T.); #291157=ORIENTED_EDGE('',*,*,#213768,.F.); #291158=ORIENTED_EDGE('',*,*,#213769,.F.); #291159=ORIENTED_EDGE('',*,*,#213770,.T.); #291160=ORIENTED_EDGE('',*,*,#213769,.T.); #291161=ORIENTED_EDGE('',*,*,#213771,.F.); #291162=ORIENTED_EDGE('',*,*,#213772,.F.); #291163=ORIENTED_EDGE('',*,*,#213773,.T.); #291164=ORIENTED_EDGE('',*,*,#213772,.T.); #291165=ORIENTED_EDGE('',*,*,#213774,.F.); #291166=ORIENTED_EDGE('',*,*,#213775,.F.); #291167=ORIENTED_EDGE('',*,*,#213776,.T.); #291168=ORIENTED_EDGE('',*,*,#213775,.T.); #291169=ORIENTED_EDGE('',*,*,#213777,.F.); #291170=ORIENTED_EDGE('',*,*,#213778,.F.); #291171=ORIENTED_EDGE('',*,*,#213779,.T.); #291172=ORIENTED_EDGE('',*,*,#213778,.T.); #291173=ORIENTED_EDGE('',*,*,#213780,.F.); #291174=ORIENTED_EDGE('',*,*,#213781,.F.); #291175=ORIENTED_EDGE('',*,*,#213782,.T.); #291176=ORIENTED_EDGE('',*,*,#213781,.T.); #291177=ORIENTED_EDGE('',*,*,#213783,.F.); #291178=ORIENTED_EDGE('',*,*,#213784,.F.); #291179=ORIENTED_EDGE('',*,*,#213785,.T.); #291180=ORIENTED_EDGE('',*,*,#213784,.T.); #291181=ORIENTED_EDGE('',*,*,#213786,.F.); #291182=ORIENTED_EDGE('',*,*,#213787,.F.); #291183=ORIENTED_EDGE('',*,*,#213788,.T.); #291184=ORIENTED_EDGE('',*,*,#213787,.T.); #291185=ORIENTED_EDGE('',*,*,#213789,.F.); #291186=ORIENTED_EDGE('',*,*,#213790,.F.); #291187=ORIENTED_EDGE('',*,*,#213791,.T.); #291188=ORIENTED_EDGE('',*,*,#213790,.T.); #291189=ORIENTED_EDGE('',*,*,#213792,.F.); #291190=ORIENTED_EDGE('',*,*,#213793,.F.); #291191=ORIENTED_EDGE('',*,*,#213794,.T.); #291192=ORIENTED_EDGE('',*,*,#213793,.T.); #291193=ORIENTED_EDGE('',*,*,#213795,.F.); #291194=ORIENTED_EDGE('',*,*,#213796,.F.); #291195=ORIENTED_EDGE('',*,*,#213797,.T.); #291196=ORIENTED_EDGE('',*,*,#213796,.T.); #291197=ORIENTED_EDGE('',*,*,#213798,.F.); #291198=ORIENTED_EDGE('',*,*,#213799,.F.); #291199=ORIENTED_EDGE('',*,*,#213800,.T.); #291200=ORIENTED_EDGE('',*,*,#213799,.T.); #291201=ORIENTED_EDGE('',*,*,#213801,.F.); #291202=ORIENTED_EDGE('',*,*,#213802,.F.); #291203=ORIENTED_EDGE('',*,*,#213803,.T.); #291204=ORIENTED_EDGE('',*,*,#213802,.T.); #291205=ORIENTED_EDGE('',*,*,#213804,.F.); #291206=ORIENTED_EDGE('',*,*,#213805,.F.); #291207=ORIENTED_EDGE('',*,*,#213806,.T.); #291208=ORIENTED_EDGE('',*,*,#213805,.T.); #291209=ORIENTED_EDGE('',*,*,#213807,.F.); #291210=ORIENTED_EDGE('',*,*,#213808,.F.); #291211=ORIENTED_EDGE('',*,*,#213809,.T.); #291212=ORIENTED_EDGE('',*,*,#213808,.T.); #291213=ORIENTED_EDGE('',*,*,#213810,.F.); #291214=ORIENTED_EDGE('',*,*,#213811,.F.); #291215=ORIENTED_EDGE('',*,*,#213812,.T.); #291216=ORIENTED_EDGE('',*,*,#213811,.T.); #291217=ORIENTED_EDGE('',*,*,#213813,.F.); #291218=ORIENTED_EDGE('',*,*,#213814,.F.); #291219=ORIENTED_EDGE('',*,*,#213815,.T.); #291220=ORIENTED_EDGE('',*,*,#213814,.T.); #291221=ORIENTED_EDGE('',*,*,#213816,.F.); #291222=ORIENTED_EDGE('',*,*,#213817,.F.); #291223=ORIENTED_EDGE('',*,*,#213818,.T.); #291224=ORIENTED_EDGE('',*,*,#213817,.T.); #291225=ORIENTED_EDGE('',*,*,#213819,.F.); #291226=ORIENTED_EDGE('',*,*,#213820,.F.); #291227=ORIENTED_EDGE('',*,*,#213821,.T.); #291228=ORIENTED_EDGE('',*,*,#213820,.T.); #291229=ORIENTED_EDGE('',*,*,#213822,.F.); #291230=ORIENTED_EDGE('',*,*,#213823,.F.); #291231=ORIENTED_EDGE('',*,*,#213824,.T.); #291232=ORIENTED_EDGE('',*,*,#213823,.T.); #291233=ORIENTED_EDGE('',*,*,#213825,.F.); #291234=ORIENTED_EDGE('',*,*,#213826,.F.); #291235=ORIENTED_EDGE('',*,*,#213827,.T.); #291236=ORIENTED_EDGE('',*,*,#213826,.T.); #291237=ORIENTED_EDGE('',*,*,#213828,.F.); #291238=ORIENTED_EDGE('',*,*,#213829,.F.); #291239=ORIENTED_EDGE('',*,*,#213830,.T.); #291240=ORIENTED_EDGE('',*,*,#213829,.T.); #291241=ORIENTED_EDGE('',*,*,#213831,.F.); #291242=ORIENTED_EDGE('',*,*,#213832,.F.); #291243=ORIENTED_EDGE('',*,*,#213833,.T.); #291244=ORIENTED_EDGE('',*,*,#213832,.T.); #291245=ORIENTED_EDGE('',*,*,#213834,.F.); #291246=ORIENTED_EDGE('',*,*,#213835,.F.); #291247=ORIENTED_EDGE('',*,*,#213836,.T.); #291248=ORIENTED_EDGE('',*,*,#213835,.T.); #291249=ORIENTED_EDGE('',*,*,#213837,.F.); #291250=ORIENTED_EDGE('',*,*,#213838,.F.); #291251=ORIENTED_EDGE('',*,*,#213839,.T.); #291252=ORIENTED_EDGE('',*,*,#213838,.T.); #291253=ORIENTED_EDGE('',*,*,#213840,.F.); #291254=ORIENTED_EDGE('',*,*,#213841,.F.); #291255=ORIENTED_EDGE('',*,*,#213842,.T.); #291256=ORIENTED_EDGE('',*,*,#213841,.T.); #291257=ORIENTED_EDGE('',*,*,#213843,.F.); #291258=ORIENTED_EDGE('',*,*,#213545,.F.); #291259=ORIENTED_EDGE('',*,*,#213844,.T.); #291260=ORIENTED_EDGE('',*,*,#213845,.T.); #291261=ORIENTED_EDGE('',*,*,#213846,.F.); #291262=ORIENTED_EDGE('',*,*,#213847,.F.); #291263=ORIENTED_EDGE('',*,*,#213848,.T.); #291264=ORIENTED_EDGE('',*,*,#213847,.T.); #291265=ORIENTED_EDGE('',*,*,#213849,.F.); #291266=ORIENTED_EDGE('',*,*,#213850,.F.); #291267=ORIENTED_EDGE('',*,*,#213851,.T.); #291268=ORIENTED_EDGE('',*,*,#213850,.T.); #291269=ORIENTED_EDGE('',*,*,#213852,.F.); #291270=ORIENTED_EDGE('',*,*,#213853,.F.); #291271=ORIENTED_EDGE('',*,*,#213854,.T.); #291272=ORIENTED_EDGE('',*,*,#213853,.T.); #291273=ORIENTED_EDGE('',*,*,#213855,.F.); #291274=ORIENTED_EDGE('',*,*,#213856,.F.); #291275=ORIENTED_EDGE('',*,*,#213857,.T.); #291276=ORIENTED_EDGE('',*,*,#213856,.T.); #291277=ORIENTED_EDGE('',*,*,#213858,.F.); #291278=ORIENTED_EDGE('',*,*,#213859,.F.); #291279=ORIENTED_EDGE('',*,*,#213860,.T.); #291280=ORIENTED_EDGE('',*,*,#213859,.T.); #291281=ORIENTED_EDGE('',*,*,#213861,.F.); #291282=ORIENTED_EDGE('',*,*,#213862,.F.); #291283=ORIENTED_EDGE('',*,*,#213863,.T.); #291284=ORIENTED_EDGE('',*,*,#213862,.T.); #291285=ORIENTED_EDGE('',*,*,#213864,.F.); #291286=ORIENTED_EDGE('',*,*,#213865,.F.); #291287=ORIENTED_EDGE('',*,*,#213866,.T.); #291288=ORIENTED_EDGE('',*,*,#213865,.T.); #291289=ORIENTED_EDGE('',*,*,#213867,.F.); #291290=ORIENTED_EDGE('',*,*,#213868,.F.); #291291=ORIENTED_EDGE('',*,*,#213869,.T.); #291292=ORIENTED_EDGE('',*,*,#213868,.T.); #291293=ORIENTED_EDGE('',*,*,#213870,.F.); #291294=ORIENTED_EDGE('',*,*,#213871,.F.); #291295=ORIENTED_EDGE('',*,*,#213872,.T.); #291296=ORIENTED_EDGE('',*,*,#213871,.T.); #291297=ORIENTED_EDGE('',*,*,#213873,.F.); #291298=ORIENTED_EDGE('',*,*,#213874,.F.); #291299=ORIENTED_EDGE('',*,*,#213875,.T.); #291300=ORIENTED_EDGE('',*,*,#213874,.T.); #291301=ORIENTED_EDGE('',*,*,#213876,.F.); #291302=ORIENTED_EDGE('',*,*,#213877,.F.); #291303=ORIENTED_EDGE('',*,*,#213878,.T.); #291304=ORIENTED_EDGE('',*,*,#213877,.T.); #291305=ORIENTED_EDGE('',*,*,#213879,.F.); #291306=ORIENTED_EDGE('',*,*,#213880,.F.); #291307=ORIENTED_EDGE('',*,*,#213881,.T.); #291308=ORIENTED_EDGE('',*,*,#213880,.T.); #291309=ORIENTED_EDGE('',*,*,#213882,.F.); #291310=ORIENTED_EDGE('',*,*,#213883,.F.); #291311=ORIENTED_EDGE('',*,*,#213884,.T.); #291312=ORIENTED_EDGE('',*,*,#213883,.T.); #291313=ORIENTED_EDGE('',*,*,#213885,.F.); #291314=ORIENTED_EDGE('',*,*,#213886,.F.); #291315=ORIENTED_EDGE('',*,*,#213887,.T.); #291316=ORIENTED_EDGE('',*,*,#213886,.T.); #291317=ORIENTED_EDGE('',*,*,#213888,.F.); #291318=ORIENTED_EDGE('',*,*,#213889,.F.); #291319=ORIENTED_EDGE('',*,*,#213890,.T.); #291320=ORIENTED_EDGE('',*,*,#213889,.T.); #291321=ORIENTED_EDGE('',*,*,#213891,.F.); #291322=ORIENTED_EDGE('',*,*,#213892,.F.); #291323=ORIENTED_EDGE('',*,*,#213893,.T.); #291324=ORIENTED_EDGE('',*,*,#213892,.T.); #291325=ORIENTED_EDGE('',*,*,#213894,.F.); #291326=ORIENTED_EDGE('',*,*,#213895,.F.); #291327=ORIENTED_EDGE('',*,*,#213896,.T.); #291328=ORIENTED_EDGE('',*,*,#213895,.T.); #291329=ORIENTED_EDGE('',*,*,#213897,.F.); #291330=ORIENTED_EDGE('',*,*,#213898,.F.); #291331=ORIENTED_EDGE('',*,*,#213899,.T.); #291332=ORIENTED_EDGE('',*,*,#213898,.T.); #291333=ORIENTED_EDGE('',*,*,#213900,.F.); #291334=ORIENTED_EDGE('',*,*,#213901,.F.); #291335=ORIENTED_EDGE('',*,*,#213902,.T.); #291336=ORIENTED_EDGE('',*,*,#213901,.T.); #291337=ORIENTED_EDGE('',*,*,#213903,.F.); #291338=ORIENTED_EDGE('',*,*,#213904,.F.); #291339=ORIENTED_EDGE('',*,*,#213905,.T.); #291340=ORIENTED_EDGE('',*,*,#213904,.T.); #291341=ORIENTED_EDGE('',*,*,#213906,.F.); #291342=ORIENTED_EDGE('',*,*,#213907,.F.); #291343=ORIENTED_EDGE('',*,*,#213908,.T.); #291344=ORIENTED_EDGE('',*,*,#213907,.T.); #291345=ORIENTED_EDGE('',*,*,#213909,.F.); #291346=ORIENTED_EDGE('',*,*,#213910,.F.); #291347=ORIENTED_EDGE('',*,*,#213911,.T.); #291348=ORIENTED_EDGE('',*,*,#213910,.T.); #291349=ORIENTED_EDGE('',*,*,#213912,.F.); #291350=ORIENTED_EDGE('',*,*,#213913,.F.); #291351=ORIENTED_EDGE('',*,*,#213914,.T.); #291352=ORIENTED_EDGE('',*,*,#213913,.T.); #291353=ORIENTED_EDGE('',*,*,#213915,.F.); #291354=ORIENTED_EDGE('',*,*,#213916,.F.); #291355=ORIENTED_EDGE('',*,*,#213917,.T.); #291356=ORIENTED_EDGE('',*,*,#213916,.T.); #291357=ORIENTED_EDGE('',*,*,#213918,.F.); #291358=ORIENTED_EDGE('',*,*,#213919,.F.); #291359=ORIENTED_EDGE('',*,*,#213920,.T.); #291360=ORIENTED_EDGE('',*,*,#213919,.T.); #291361=ORIENTED_EDGE('',*,*,#213921,.F.); #291362=ORIENTED_EDGE('',*,*,#213922,.F.); #291363=ORIENTED_EDGE('',*,*,#213923,.T.); #291364=ORIENTED_EDGE('',*,*,#213922,.T.); #291365=ORIENTED_EDGE('',*,*,#213924,.F.); #291366=ORIENTED_EDGE('',*,*,#213925,.F.); #291367=ORIENTED_EDGE('',*,*,#213926,.T.); #291368=ORIENTED_EDGE('',*,*,#213925,.T.); #291369=ORIENTED_EDGE('',*,*,#213927,.F.); #291370=ORIENTED_EDGE('',*,*,#213928,.F.); #291371=ORIENTED_EDGE('',*,*,#213929,.T.); #291372=ORIENTED_EDGE('',*,*,#213928,.T.); #291373=ORIENTED_EDGE('',*,*,#213930,.F.); #291374=ORIENTED_EDGE('',*,*,#213931,.F.); #291375=ORIENTED_EDGE('',*,*,#213932,.T.); #291376=ORIENTED_EDGE('',*,*,#213931,.T.); #291377=ORIENTED_EDGE('',*,*,#213933,.F.); #291378=ORIENTED_EDGE('',*,*,#213934,.F.); #291379=ORIENTED_EDGE('',*,*,#213935,.T.); #291380=ORIENTED_EDGE('',*,*,#213934,.T.); #291381=ORIENTED_EDGE('',*,*,#213936,.F.); #291382=ORIENTED_EDGE('',*,*,#213937,.F.); #291383=ORIENTED_EDGE('',*,*,#213938,.T.); #291384=ORIENTED_EDGE('',*,*,#213937,.T.); #291385=ORIENTED_EDGE('',*,*,#213939,.F.); #291386=ORIENTED_EDGE('',*,*,#213940,.F.); #291387=ORIENTED_EDGE('',*,*,#213941,.T.); #291388=ORIENTED_EDGE('',*,*,#213940,.T.); #291389=ORIENTED_EDGE('',*,*,#213942,.F.); #291390=ORIENTED_EDGE('',*,*,#213943,.F.); #291391=ORIENTED_EDGE('',*,*,#213944,.T.); #291392=ORIENTED_EDGE('',*,*,#213943,.T.); #291393=ORIENTED_EDGE('',*,*,#213945,.F.); #291394=ORIENTED_EDGE('',*,*,#213946,.F.); #291395=ORIENTED_EDGE('',*,*,#213947,.T.); #291396=ORIENTED_EDGE('',*,*,#213946,.T.); #291397=ORIENTED_EDGE('',*,*,#213948,.F.); #291398=ORIENTED_EDGE('',*,*,#213949,.F.); #291399=ORIENTED_EDGE('',*,*,#213950,.T.); #291400=ORIENTED_EDGE('',*,*,#213949,.T.); #291401=ORIENTED_EDGE('',*,*,#213951,.F.); #291402=ORIENTED_EDGE('',*,*,#213952,.F.); #291403=ORIENTED_EDGE('',*,*,#213953,.T.); #291404=ORIENTED_EDGE('',*,*,#213952,.T.); #291405=ORIENTED_EDGE('',*,*,#213954,.F.); #291406=ORIENTED_EDGE('',*,*,#213955,.F.); #291407=ORIENTED_EDGE('',*,*,#213956,.T.); #291408=ORIENTED_EDGE('',*,*,#213955,.T.); #291409=ORIENTED_EDGE('',*,*,#213957,.F.); #291410=ORIENTED_EDGE('',*,*,#213958,.F.); #291411=ORIENTED_EDGE('',*,*,#213959,.T.); #291412=ORIENTED_EDGE('',*,*,#213958,.T.); #291413=ORIENTED_EDGE('',*,*,#213960,.F.); #291414=ORIENTED_EDGE('',*,*,#213961,.F.); #291415=ORIENTED_EDGE('',*,*,#213962,.T.); #291416=ORIENTED_EDGE('',*,*,#213961,.T.); #291417=ORIENTED_EDGE('',*,*,#213963,.F.); #291418=ORIENTED_EDGE('',*,*,#213964,.F.); #291419=ORIENTED_EDGE('',*,*,#213965,.T.); #291420=ORIENTED_EDGE('',*,*,#213964,.T.); #291421=ORIENTED_EDGE('',*,*,#213966,.F.); #291422=ORIENTED_EDGE('',*,*,#213967,.F.); #291423=ORIENTED_EDGE('',*,*,#213968,.T.); #291424=ORIENTED_EDGE('',*,*,#213967,.T.); #291425=ORIENTED_EDGE('',*,*,#213969,.F.); #291426=ORIENTED_EDGE('',*,*,#213970,.F.); #291427=ORIENTED_EDGE('',*,*,#213971,.T.); #291428=ORIENTED_EDGE('',*,*,#213970,.T.); #291429=ORIENTED_EDGE('',*,*,#213972,.F.); #291430=ORIENTED_EDGE('',*,*,#213973,.F.); #291431=ORIENTED_EDGE('',*,*,#213974,.T.); #291432=ORIENTED_EDGE('',*,*,#213973,.T.); #291433=ORIENTED_EDGE('',*,*,#213975,.F.); #291434=ORIENTED_EDGE('',*,*,#213976,.F.); #291435=ORIENTED_EDGE('',*,*,#213977,.T.); #291436=ORIENTED_EDGE('',*,*,#213976,.T.); #291437=ORIENTED_EDGE('',*,*,#213978,.F.); #291438=ORIENTED_EDGE('',*,*,#213979,.F.); #291439=ORIENTED_EDGE('',*,*,#213980,.T.); #291440=ORIENTED_EDGE('',*,*,#213979,.T.); #291441=ORIENTED_EDGE('',*,*,#213981,.F.); #291442=ORIENTED_EDGE('',*,*,#213982,.F.); #291443=ORIENTED_EDGE('',*,*,#213983,.T.); #291444=ORIENTED_EDGE('',*,*,#213982,.T.); #291445=ORIENTED_EDGE('',*,*,#213984,.F.); #291446=ORIENTED_EDGE('',*,*,#213985,.F.); #291447=ORIENTED_EDGE('',*,*,#213986,.T.); #291448=ORIENTED_EDGE('',*,*,#213985,.T.); #291449=ORIENTED_EDGE('',*,*,#213987,.F.); #291450=ORIENTED_EDGE('',*,*,#213988,.F.); #291451=ORIENTED_EDGE('',*,*,#213989,.T.); #291452=ORIENTED_EDGE('',*,*,#213988,.T.); #291453=ORIENTED_EDGE('',*,*,#213990,.F.); #291454=ORIENTED_EDGE('',*,*,#213991,.F.); #291455=ORIENTED_EDGE('',*,*,#213992,.T.); #291456=ORIENTED_EDGE('',*,*,#213991,.T.); #291457=ORIENTED_EDGE('',*,*,#213993,.F.); #291458=ORIENTED_EDGE('',*,*,#213994,.F.); #291459=ORIENTED_EDGE('',*,*,#213995,.T.); #291460=ORIENTED_EDGE('',*,*,#213994,.T.); #291461=ORIENTED_EDGE('',*,*,#213996,.F.); #291462=ORIENTED_EDGE('',*,*,#213997,.F.); #291463=ORIENTED_EDGE('',*,*,#213998,.T.); #291464=ORIENTED_EDGE('',*,*,#213997,.T.); #291465=ORIENTED_EDGE('',*,*,#213999,.F.); #291466=ORIENTED_EDGE('',*,*,#214000,.F.); #291467=ORIENTED_EDGE('',*,*,#214001,.T.); #291468=ORIENTED_EDGE('',*,*,#214000,.T.); #291469=ORIENTED_EDGE('',*,*,#214002,.F.); #291470=ORIENTED_EDGE('',*,*,#214003,.F.); #291471=ORIENTED_EDGE('',*,*,#214004,.T.); #291472=ORIENTED_EDGE('',*,*,#214003,.T.); #291473=ORIENTED_EDGE('',*,*,#214005,.F.); #291474=ORIENTED_EDGE('',*,*,#214006,.F.); #291475=ORIENTED_EDGE('',*,*,#214007,.T.); #291476=ORIENTED_EDGE('',*,*,#214006,.T.); #291477=ORIENTED_EDGE('',*,*,#214008,.F.); #291478=ORIENTED_EDGE('',*,*,#214009,.F.); #291479=ORIENTED_EDGE('',*,*,#214010,.T.); #291480=ORIENTED_EDGE('',*,*,#214009,.T.); #291481=ORIENTED_EDGE('',*,*,#214011,.F.); #291482=ORIENTED_EDGE('',*,*,#214012,.F.); #291483=ORIENTED_EDGE('',*,*,#214013,.T.); #291484=ORIENTED_EDGE('',*,*,#214012,.T.); #291485=ORIENTED_EDGE('',*,*,#214014,.F.); #291486=ORIENTED_EDGE('',*,*,#214015,.F.); #291487=ORIENTED_EDGE('',*,*,#214016,.T.); #291488=ORIENTED_EDGE('',*,*,#214015,.T.); #291489=ORIENTED_EDGE('',*,*,#214017,.F.); #291490=ORIENTED_EDGE('',*,*,#214018,.F.); #291491=ORIENTED_EDGE('',*,*,#214019,.T.); #291492=ORIENTED_EDGE('',*,*,#214018,.T.); #291493=ORIENTED_EDGE('',*,*,#214020,.F.); #291494=ORIENTED_EDGE('',*,*,#214021,.F.); #291495=ORIENTED_EDGE('',*,*,#214022,.T.); #291496=ORIENTED_EDGE('',*,*,#214021,.T.); #291497=ORIENTED_EDGE('',*,*,#214023,.F.); #291498=ORIENTED_EDGE('',*,*,#214024,.F.); #291499=ORIENTED_EDGE('',*,*,#214025,.T.); #291500=ORIENTED_EDGE('',*,*,#214024,.T.); #291501=ORIENTED_EDGE('',*,*,#214026,.F.); #291502=ORIENTED_EDGE('',*,*,#214027,.F.); #291503=ORIENTED_EDGE('',*,*,#214028,.T.); #291504=ORIENTED_EDGE('',*,*,#214027,.T.); #291505=ORIENTED_EDGE('',*,*,#214029,.F.); #291506=ORIENTED_EDGE('',*,*,#214030,.F.); #291507=ORIENTED_EDGE('',*,*,#214031,.T.); #291508=ORIENTED_EDGE('',*,*,#214030,.T.); #291509=ORIENTED_EDGE('',*,*,#214032,.F.); #291510=ORIENTED_EDGE('',*,*,#214033,.F.); #291511=ORIENTED_EDGE('',*,*,#214034,.T.); #291512=ORIENTED_EDGE('',*,*,#214033,.T.); #291513=ORIENTED_EDGE('',*,*,#214035,.F.); #291514=ORIENTED_EDGE('',*,*,#214036,.F.); #291515=ORIENTED_EDGE('',*,*,#214037,.T.); #291516=ORIENTED_EDGE('',*,*,#214036,.T.); #291517=ORIENTED_EDGE('',*,*,#214038,.F.); #291518=ORIENTED_EDGE('',*,*,#214039,.F.); #291519=ORIENTED_EDGE('',*,*,#214040,.T.); #291520=ORIENTED_EDGE('',*,*,#214039,.T.); #291521=ORIENTED_EDGE('',*,*,#214041,.F.); #291522=ORIENTED_EDGE('',*,*,#214042,.F.); #291523=ORIENTED_EDGE('',*,*,#214043,.T.); #291524=ORIENTED_EDGE('',*,*,#214042,.T.); #291525=ORIENTED_EDGE('',*,*,#214044,.F.); #291526=ORIENTED_EDGE('',*,*,#214045,.F.); #291527=ORIENTED_EDGE('',*,*,#214046,.T.); #291528=ORIENTED_EDGE('',*,*,#214045,.T.); #291529=ORIENTED_EDGE('',*,*,#214047,.F.); #291530=ORIENTED_EDGE('',*,*,#214048,.F.); #291531=ORIENTED_EDGE('',*,*,#214049,.T.); #291532=ORIENTED_EDGE('',*,*,#214048,.T.); #291533=ORIENTED_EDGE('',*,*,#214050,.F.); #291534=ORIENTED_EDGE('',*,*,#214051,.F.); #291535=ORIENTED_EDGE('',*,*,#214052,.T.); #291536=ORIENTED_EDGE('',*,*,#214051,.T.); #291537=ORIENTED_EDGE('',*,*,#214053,.F.); #291538=ORIENTED_EDGE('',*,*,#214054,.F.); #291539=ORIENTED_EDGE('',*,*,#214055,.T.); #291540=ORIENTED_EDGE('',*,*,#214054,.T.); #291541=ORIENTED_EDGE('',*,*,#214056,.F.); #291542=ORIENTED_EDGE('',*,*,#214057,.F.); #291543=ORIENTED_EDGE('',*,*,#214058,.T.); #291544=ORIENTED_EDGE('',*,*,#214057,.T.); #291545=ORIENTED_EDGE('',*,*,#214059,.F.); #291546=ORIENTED_EDGE('',*,*,#214060,.F.); #291547=ORIENTED_EDGE('',*,*,#214061,.T.); #291548=ORIENTED_EDGE('',*,*,#214060,.T.); #291549=ORIENTED_EDGE('',*,*,#214062,.F.); #291550=ORIENTED_EDGE('',*,*,#214063,.F.); #291551=ORIENTED_EDGE('',*,*,#214064,.T.); #291552=ORIENTED_EDGE('',*,*,#214063,.T.); #291553=ORIENTED_EDGE('',*,*,#214065,.F.); #291554=ORIENTED_EDGE('',*,*,#214066,.F.); #291555=ORIENTED_EDGE('',*,*,#214067,.T.); #291556=ORIENTED_EDGE('',*,*,#214066,.T.); #291557=ORIENTED_EDGE('',*,*,#214068,.F.); #291558=ORIENTED_EDGE('',*,*,#214069,.F.); #291559=ORIENTED_EDGE('',*,*,#214070,.T.); #291560=ORIENTED_EDGE('',*,*,#214069,.T.); #291561=ORIENTED_EDGE('',*,*,#214071,.F.); #291562=ORIENTED_EDGE('',*,*,#214072,.F.); #291563=ORIENTED_EDGE('',*,*,#214073,.T.); #291564=ORIENTED_EDGE('',*,*,#214072,.T.); #291565=ORIENTED_EDGE('',*,*,#214074,.F.); #291566=ORIENTED_EDGE('',*,*,#214075,.F.); #291567=ORIENTED_EDGE('',*,*,#214076,.T.); #291568=ORIENTED_EDGE('',*,*,#214075,.T.); #291569=ORIENTED_EDGE('',*,*,#214077,.F.); #291570=ORIENTED_EDGE('',*,*,#214078,.F.); #291571=ORIENTED_EDGE('',*,*,#214079,.T.); #291572=ORIENTED_EDGE('',*,*,#214078,.T.); #291573=ORIENTED_EDGE('',*,*,#214080,.F.); #291574=ORIENTED_EDGE('',*,*,#214081,.F.); #291575=ORIENTED_EDGE('',*,*,#214082,.T.); #291576=ORIENTED_EDGE('',*,*,#214081,.T.); #291577=ORIENTED_EDGE('',*,*,#214083,.F.); #291578=ORIENTED_EDGE('',*,*,#214084,.F.); #291579=ORIENTED_EDGE('',*,*,#214085,.T.); #291580=ORIENTED_EDGE('',*,*,#214084,.T.); #291581=ORIENTED_EDGE('',*,*,#214086,.F.); #291582=ORIENTED_EDGE('',*,*,#214087,.F.); #291583=ORIENTED_EDGE('',*,*,#214088,.T.); #291584=ORIENTED_EDGE('',*,*,#214087,.T.); #291585=ORIENTED_EDGE('',*,*,#214089,.F.); #291586=ORIENTED_EDGE('',*,*,#214090,.F.); #291587=ORIENTED_EDGE('',*,*,#214091,.T.); #291588=ORIENTED_EDGE('',*,*,#214090,.T.); #291589=ORIENTED_EDGE('',*,*,#214092,.F.); #291590=ORIENTED_EDGE('',*,*,#214093,.F.); #291591=ORIENTED_EDGE('',*,*,#214094,.T.); #291592=ORIENTED_EDGE('',*,*,#214093,.T.); #291593=ORIENTED_EDGE('',*,*,#214095,.F.); #291594=ORIENTED_EDGE('',*,*,#214096,.F.); #291595=ORIENTED_EDGE('',*,*,#214097,.T.); #291596=ORIENTED_EDGE('',*,*,#214096,.T.); #291597=ORIENTED_EDGE('',*,*,#214098,.F.); #291598=ORIENTED_EDGE('',*,*,#214099,.F.); #291599=ORIENTED_EDGE('',*,*,#214100,.T.); #291600=ORIENTED_EDGE('',*,*,#214099,.T.); #291601=ORIENTED_EDGE('',*,*,#214101,.F.); #291602=ORIENTED_EDGE('',*,*,#214102,.F.); #291603=ORIENTED_EDGE('',*,*,#214103,.T.); #291604=ORIENTED_EDGE('',*,*,#214102,.T.); #291605=ORIENTED_EDGE('',*,*,#214104,.F.); #291606=ORIENTED_EDGE('',*,*,#214105,.F.); #291607=ORIENTED_EDGE('',*,*,#214106,.T.); #291608=ORIENTED_EDGE('',*,*,#214105,.T.); #291609=ORIENTED_EDGE('',*,*,#214107,.F.); #291610=ORIENTED_EDGE('',*,*,#214108,.F.); #291611=ORIENTED_EDGE('',*,*,#214109,.T.); #291612=ORIENTED_EDGE('',*,*,#214108,.T.); #291613=ORIENTED_EDGE('',*,*,#214110,.F.); #291614=ORIENTED_EDGE('',*,*,#214111,.F.); #291615=ORIENTED_EDGE('',*,*,#214112,.T.); #291616=ORIENTED_EDGE('',*,*,#214111,.T.); #291617=ORIENTED_EDGE('',*,*,#214113,.F.); #291618=ORIENTED_EDGE('',*,*,#214114,.F.); #291619=ORIENTED_EDGE('',*,*,#214115,.T.); #291620=ORIENTED_EDGE('',*,*,#214114,.T.); #291621=ORIENTED_EDGE('',*,*,#214116,.F.); #291622=ORIENTED_EDGE('',*,*,#214117,.F.); #291623=ORIENTED_EDGE('',*,*,#214118,.T.); #291624=ORIENTED_EDGE('',*,*,#214117,.T.); #291625=ORIENTED_EDGE('',*,*,#214119,.F.); #291626=ORIENTED_EDGE('',*,*,#214120,.F.); #291627=ORIENTED_EDGE('',*,*,#214121,.T.); #291628=ORIENTED_EDGE('',*,*,#214120,.T.); #291629=ORIENTED_EDGE('',*,*,#214122,.F.); #291630=ORIENTED_EDGE('',*,*,#214123,.F.); #291631=ORIENTED_EDGE('',*,*,#214124,.T.); #291632=ORIENTED_EDGE('',*,*,#214123,.T.); #291633=ORIENTED_EDGE('',*,*,#214125,.F.); #291634=ORIENTED_EDGE('',*,*,#214126,.F.); #291635=ORIENTED_EDGE('',*,*,#214127,.T.); #291636=ORIENTED_EDGE('',*,*,#214126,.T.); #291637=ORIENTED_EDGE('',*,*,#214128,.F.); #291638=ORIENTED_EDGE('',*,*,#214129,.F.); #291639=ORIENTED_EDGE('',*,*,#214130,.T.); #291640=ORIENTED_EDGE('',*,*,#214129,.T.); #291641=ORIENTED_EDGE('',*,*,#214131,.F.); #291642=ORIENTED_EDGE('',*,*,#214132,.F.); #291643=ORIENTED_EDGE('',*,*,#214133,.T.); #291644=ORIENTED_EDGE('',*,*,#214132,.T.); #291645=ORIENTED_EDGE('',*,*,#214134,.F.); #291646=ORIENTED_EDGE('',*,*,#214135,.F.); #291647=ORIENTED_EDGE('',*,*,#214136,.T.); #291648=ORIENTED_EDGE('',*,*,#214135,.T.); #291649=ORIENTED_EDGE('',*,*,#214137,.F.); #291650=ORIENTED_EDGE('',*,*,#214138,.F.); #291651=ORIENTED_EDGE('',*,*,#214139,.T.); #291652=ORIENTED_EDGE('',*,*,#214138,.T.); #291653=ORIENTED_EDGE('',*,*,#214140,.F.); #291654=ORIENTED_EDGE('',*,*,#214141,.F.); #291655=ORIENTED_EDGE('',*,*,#214142,.T.); #291656=ORIENTED_EDGE('',*,*,#214141,.T.); #291657=ORIENTED_EDGE('',*,*,#214143,.F.); #291658=ORIENTED_EDGE('',*,*,#214144,.F.); #291659=ORIENTED_EDGE('',*,*,#214145,.T.); #291660=ORIENTED_EDGE('',*,*,#214144,.T.); #291661=ORIENTED_EDGE('',*,*,#214146,.F.); #291662=ORIENTED_EDGE('',*,*,#214147,.F.); #291663=ORIENTED_EDGE('',*,*,#214148,.T.); #291664=ORIENTED_EDGE('',*,*,#214147,.T.); #291665=ORIENTED_EDGE('',*,*,#214149,.F.); #291666=ORIENTED_EDGE('',*,*,#214150,.F.); #291667=ORIENTED_EDGE('',*,*,#214151,.T.); #291668=ORIENTED_EDGE('',*,*,#214150,.T.); #291669=ORIENTED_EDGE('',*,*,#214152,.F.); #291670=ORIENTED_EDGE('',*,*,#214153,.F.); #291671=ORIENTED_EDGE('',*,*,#214154,.T.); #291672=ORIENTED_EDGE('',*,*,#214153,.T.); #291673=ORIENTED_EDGE('',*,*,#214155,.F.); #291674=ORIENTED_EDGE('',*,*,#214156,.F.); #291675=ORIENTED_EDGE('',*,*,#214157,.T.); #291676=ORIENTED_EDGE('',*,*,#214156,.T.); #291677=ORIENTED_EDGE('',*,*,#214158,.F.); #291678=ORIENTED_EDGE('',*,*,#214159,.F.); #291679=ORIENTED_EDGE('',*,*,#214160,.T.); #291680=ORIENTED_EDGE('',*,*,#214159,.T.); #291681=ORIENTED_EDGE('',*,*,#214161,.F.); #291682=ORIENTED_EDGE('',*,*,#214162,.F.); #291683=ORIENTED_EDGE('',*,*,#214163,.T.); #291684=ORIENTED_EDGE('',*,*,#214162,.T.); #291685=ORIENTED_EDGE('',*,*,#214164,.F.); #291686=ORIENTED_EDGE('',*,*,#214165,.F.); #291687=ORIENTED_EDGE('',*,*,#214166,.T.); #291688=ORIENTED_EDGE('',*,*,#214165,.T.); #291689=ORIENTED_EDGE('',*,*,#214167,.F.); #291690=ORIENTED_EDGE('',*,*,#214168,.F.); #291691=ORIENTED_EDGE('',*,*,#214169,.T.); #291692=ORIENTED_EDGE('',*,*,#214168,.T.); #291693=ORIENTED_EDGE('',*,*,#214170,.F.); #291694=ORIENTED_EDGE('',*,*,#214171,.F.); #291695=ORIENTED_EDGE('',*,*,#214172,.T.); #291696=ORIENTED_EDGE('',*,*,#214171,.T.); #291697=ORIENTED_EDGE('',*,*,#214173,.F.); #291698=ORIENTED_EDGE('',*,*,#214174,.F.); #291699=ORIENTED_EDGE('',*,*,#214175,.T.); #291700=ORIENTED_EDGE('',*,*,#214174,.T.); #291701=ORIENTED_EDGE('',*,*,#214176,.F.); #291702=ORIENTED_EDGE('',*,*,#214177,.F.); #291703=ORIENTED_EDGE('',*,*,#214178,.T.); #291704=ORIENTED_EDGE('',*,*,#214177,.T.); #291705=ORIENTED_EDGE('',*,*,#214179,.F.); #291706=ORIENTED_EDGE('',*,*,#214180,.F.); #291707=ORIENTED_EDGE('',*,*,#214181,.T.); #291708=ORIENTED_EDGE('',*,*,#214180,.T.); #291709=ORIENTED_EDGE('',*,*,#214182,.F.); #291710=ORIENTED_EDGE('',*,*,#214183,.F.); #291711=ORIENTED_EDGE('',*,*,#214184,.T.); #291712=ORIENTED_EDGE('',*,*,#214183,.T.); #291713=ORIENTED_EDGE('',*,*,#214185,.F.); #291714=ORIENTED_EDGE('',*,*,#214186,.F.); #291715=ORIENTED_EDGE('',*,*,#214187,.T.); #291716=ORIENTED_EDGE('',*,*,#214186,.T.); #291717=ORIENTED_EDGE('',*,*,#214188,.F.); #291718=ORIENTED_EDGE('',*,*,#214189,.F.); #291719=ORIENTED_EDGE('',*,*,#214190,.T.); #291720=ORIENTED_EDGE('',*,*,#214189,.T.); #291721=ORIENTED_EDGE('',*,*,#214191,.F.); #291722=ORIENTED_EDGE('',*,*,#214192,.F.); #291723=ORIENTED_EDGE('',*,*,#214193,.T.); #291724=ORIENTED_EDGE('',*,*,#214192,.T.); #291725=ORIENTED_EDGE('',*,*,#214194,.F.); #291726=ORIENTED_EDGE('',*,*,#214195,.F.); #291727=ORIENTED_EDGE('',*,*,#214196,.T.); #291728=ORIENTED_EDGE('',*,*,#214195,.T.); #291729=ORIENTED_EDGE('',*,*,#214197,.F.); #291730=ORIENTED_EDGE('',*,*,#214198,.F.); #291731=ORIENTED_EDGE('',*,*,#214199,.T.); #291732=ORIENTED_EDGE('',*,*,#214198,.T.); #291733=ORIENTED_EDGE('',*,*,#214200,.F.); #291734=ORIENTED_EDGE('',*,*,#214201,.F.); #291735=ORIENTED_EDGE('',*,*,#214202,.T.); #291736=ORIENTED_EDGE('',*,*,#214201,.T.); #291737=ORIENTED_EDGE('',*,*,#214203,.F.); #291738=ORIENTED_EDGE('',*,*,#214204,.F.); #291739=ORIENTED_EDGE('',*,*,#214205,.T.); #291740=ORIENTED_EDGE('',*,*,#214204,.T.); #291741=ORIENTED_EDGE('',*,*,#214206,.F.); #291742=ORIENTED_EDGE('',*,*,#214207,.F.); #291743=ORIENTED_EDGE('',*,*,#214208,.T.); #291744=ORIENTED_EDGE('',*,*,#214207,.T.); #291745=ORIENTED_EDGE('',*,*,#214209,.F.); #291746=ORIENTED_EDGE('',*,*,#214210,.F.); #291747=ORIENTED_EDGE('',*,*,#214211,.T.); #291748=ORIENTED_EDGE('',*,*,#214210,.T.); #291749=ORIENTED_EDGE('',*,*,#214212,.F.); #291750=ORIENTED_EDGE('',*,*,#214213,.F.); #291751=ORIENTED_EDGE('',*,*,#214214,.T.); #291752=ORIENTED_EDGE('',*,*,#214213,.T.); #291753=ORIENTED_EDGE('',*,*,#214215,.F.); #291754=ORIENTED_EDGE('',*,*,#214216,.F.); #291755=ORIENTED_EDGE('',*,*,#214217,.T.); #291756=ORIENTED_EDGE('',*,*,#214216,.T.); #291757=ORIENTED_EDGE('',*,*,#214218,.F.); #291758=ORIENTED_EDGE('',*,*,#214219,.F.); #291759=ORIENTED_EDGE('',*,*,#214220,.T.); #291760=ORIENTED_EDGE('',*,*,#214219,.T.); #291761=ORIENTED_EDGE('',*,*,#214221,.F.); #291762=ORIENTED_EDGE('',*,*,#214222,.F.); #291763=ORIENTED_EDGE('',*,*,#214223,.T.); #291764=ORIENTED_EDGE('',*,*,#214222,.T.); #291765=ORIENTED_EDGE('',*,*,#214224,.F.); #291766=ORIENTED_EDGE('',*,*,#214225,.F.); #291767=ORIENTED_EDGE('',*,*,#214226,.T.); #291768=ORIENTED_EDGE('',*,*,#214225,.T.); #291769=ORIENTED_EDGE('',*,*,#214227,.F.); #291770=ORIENTED_EDGE('',*,*,#214228,.F.); #291771=ORIENTED_EDGE('',*,*,#214229,.T.); #291772=ORIENTED_EDGE('',*,*,#214228,.T.); #291773=ORIENTED_EDGE('',*,*,#214230,.F.); #291774=ORIENTED_EDGE('',*,*,#214231,.F.); #291775=ORIENTED_EDGE('',*,*,#214232,.T.); #291776=ORIENTED_EDGE('',*,*,#214231,.T.); #291777=ORIENTED_EDGE('',*,*,#214233,.F.); #291778=ORIENTED_EDGE('',*,*,#214234,.F.); #291779=ORIENTED_EDGE('',*,*,#214235,.T.); #291780=ORIENTED_EDGE('',*,*,#214234,.T.); #291781=ORIENTED_EDGE('',*,*,#214236,.F.); #291782=ORIENTED_EDGE('',*,*,#214237,.F.); #291783=ORIENTED_EDGE('',*,*,#214238,.T.); #291784=ORIENTED_EDGE('',*,*,#214237,.T.); #291785=ORIENTED_EDGE('',*,*,#214239,.F.); #291786=ORIENTED_EDGE('',*,*,#214240,.F.); #291787=ORIENTED_EDGE('',*,*,#214241,.T.); #291788=ORIENTED_EDGE('',*,*,#214240,.T.); #291789=ORIENTED_EDGE('',*,*,#214242,.F.); #291790=ORIENTED_EDGE('',*,*,#214243,.F.); #291791=ORIENTED_EDGE('',*,*,#214244,.T.); #291792=ORIENTED_EDGE('',*,*,#214243,.T.); #291793=ORIENTED_EDGE('',*,*,#214245,.F.); #291794=ORIENTED_EDGE('',*,*,#214246,.F.); #291795=ORIENTED_EDGE('',*,*,#214247,.T.); #291796=ORIENTED_EDGE('',*,*,#214246,.T.); #291797=ORIENTED_EDGE('',*,*,#214248,.F.); #291798=ORIENTED_EDGE('',*,*,#214249,.F.); #291799=ORIENTED_EDGE('',*,*,#214250,.T.); #291800=ORIENTED_EDGE('',*,*,#214249,.T.); #291801=ORIENTED_EDGE('',*,*,#214251,.F.); #291802=ORIENTED_EDGE('',*,*,#214252,.F.); #291803=ORIENTED_EDGE('',*,*,#214253,.T.); #291804=ORIENTED_EDGE('',*,*,#214252,.T.); #291805=ORIENTED_EDGE('',*,*,#214254,.F.); #291806=ORIENTED_EDGE('',*,*,#214255,.F.); #291807=ORIENTED_EDGE('',*,*,#214256,.T.); #291808=ORIENTED_EDGE('',*,*,#214255,.T.); #291809=ORIENTED_EDGE('',*,*,#214257,.F.); #291810=ORIENTED_EDGE('',*,*,#214258,.F.); #291811=ORIENTED_EDGE('',*,*,#214259,.T.); #291812=ORIENTED_EDGE('',*,*,#214258,.T.); #291813=ORIENTED_EDGE('',*,*,#214260,.F.); #291814=ORIENTED_EDGE('',*,*,#214261,.F.); #291815=ORIENTED_EDGE('',*,*,#214262,.T.); #291816=ORIENTED_EDGE('',*,*,#214261,.T.); #291817=ORIENTED_EDGE('',*,*,#214263,.F.); #291818=ORIENTED_EDGE('',*,*,#214264,.F.); #291819=ORIENTED_EDGE('',*,*,#214265,.T.); #291820=ORIENTED_EDGE('',*,*,#214264,.T.); #291821=ORIENTED_EDGE('',*,*,#214266,.F.); #291822=ORIENTED_EDGE('',*,*,#214267,.F.); #291823=ORIENTED_EDGE('',*,*,#214268,.T.); #291824=ORIENTED_EDGE('',*,*,#214267,.T.); #291825=ORIENTED_EDGE('',*,*,#214269,.F.); #291826=ORIENTED_EDGE('',*,*,#214270,.F.); #291827=ORIENTED_EDGE('',*,*,#214271,.T.); #291828=ORIENTED_EDGE('',*,*,#214270,.T.); #291829=ORIENTED_EDGE('',*,*,#214272,.F.); #291830=ORIENTED_EDGE('',*,*,#214273,.F.); #291831=ORIENTED_EDGE('',*,*,#214274,.T.); #291832=ORIENTED_EDGE('',*,*,#214273,.T.); #291833=ORIENTED_EDGE('',*,*,#214275,.F.); #291834=ORIENTED_EDGE('',*,*,#214276,.F.); #291835=ORIENTED_EDGE('',*,*,#214277,.T.); #291836=ORIENTED_EDGE('',*,*,#214276,.T.); #291837=ORIENTED_EDGE('',*,*,#214278,.F.); #291838=ORIENTED_EDGE('',*,*,#214279,.F.); #291839=ORIENTED_EDGE('',*,*,#214280,.T.); #291840=ORIENTED_EDGE('',*,*,#214279,.T.); #291841=ORIENTED_EDGE('',*,*,#214281,.F.); #291842=ORIENTED_EDGE('',*,*,#214282,.F.); #291843=ORIENTED_EDGE('',*,*,#214283,.T.); #291844=ORIENTED_EDGE('',*,*,#214282,.T.); #291845=ORIENTED_EDGE('',*,*,#214284,.F.); #291846=ORIENTED_EDGE('',*,*,#214285,.F.); #291847=ORIENTED_EDGE('',*,*,#214286,.T.); #291848=ORIENTED_EDGE('',*,*,#214285,.T.); #291849=ORIENTED_EDGE('',*,*,#214287,.F.); #291850=ORIENTED_EDGE('',*,*,#214288,.F.); #291851=ORIENTED_EDGE('',*,*,#214289,.T.); #291852=ORIENTED_EDGE('',*,*,#214288,.T.); #291853=ORIENTED_EDGE('',*,*,#214290,.F.); #291854=ORIENTED_EDGE('',*,*,#214291,.F.); #291855=ORIENTED_EDGE('',*,*,#214292,.T.); #291856=ORIENTED_EDGE('',*,*,#214291,.T.); #291857=ORIENTED_EDGE('',*,*,#214293,.F.); #291858=ORIENTED_EDGE('',*,*,#214294,.F.); #291859=ORIENTED_EDGE('',*,*,#214295,.T.); #291860=ORIENTED_EDGE('',*,*,#214294,.T.); #291861=ORIENTED_EDGE('',*,*,#214296,.F.); #291862=ORIENTED_EDGE('',*,*,#214297,.F.); #291863=ORIENTED_EDGE('',*,*,#214298,.T.); #291864=ORIENTED_EDGE('',*,*,#214297,.T.); #291865=ORIENTED_EDGE('',*,*,#214299,.F.); #291866=ORIENTED_EDGE('',*,*,#214300,.F.); #291867=ORIENTED_EDGE('',*,*,#214301,.T.); #291868=ORIENTED_EDGE('',*,*,#214300,.T.); #291869=ORIENTED_EDGE('',*,*,#214302,.F.); #291870=ORIENTED_EDGE('',*,*,#214303,.F.); #291871=ORIENTED_EDGE('',*,*,#214304,.T.); #291872=ORIENTED_EDGE('',*,*,#214303,.T.); #291873=ORIENTED_EDGE('',*,*,#214305,.F.); #291874=ORIENTED_EDGE('',*,*,#214306,.F.); #291875=ORIENTED_EDGE('',*,*,#214307,.T.); #291876=ORIENTED_EDGE('',*,*,#214306,.T.); #291877=ORIENTED_EDGE('',*,*,#214308,.F.); #291878=ORIENTED_EDGE('',*,*,#214309,.F.); #291879=ORIENTED_EDGE('',*,*,#214310,.T.); #291880=ORIENTED_EDGE('',*,*,#214309,.T.); #291881=ORIENTED_EDGE('',*,*,#214311,.F.); #291882=ORIENTED_EDGE('',*,*,#214312,.F.); #291883=ORIENTED_EDGE('',*,*,#214313,.T.); #291884=ORIENTED_EDGE('',*,*,#214312,.T.); #291885=ORIENTED_EDGE('',*,*,#214314,.F.); #291886=ORIENTED_EDGE('',*,*,#214315,.F.); #291887=ORIENTED_EDGE('',*,*,#214316,.T.); #291888=ORIENTED_EDGE('',*,*,#214315,.T.); #291889=ORIENTED_EDGE('',*,*,#214317,.F.); #291890=ORIENTED_EDGE('',*,*,#214318,.F.); #291891=ORIENTED_EDGE('',*,*,#214319,.T.); #291892=ORIENTED_EDGE('',*,*,#214318,.T.); #291893=ORIENTED_EDGE('',*,*,#214320,.F.); #291894=ORIENTED_EDGE('',*,*,#214321,.F.); #291895=ORIENTED_EDGE('',*,*,#214322,.T.); #291896=ORIENTED_EDGE('',*,*,#214321,.T.); #291897=ORIENTED_EDGE('',*,*,#214323,.F.); #291898=ORIENTED_EDGE('',*,*,#214324,.F.); #291899=ORIENTED_EDGE('',*,*,#214325,.T.); #291900=ORIENTED_EDGE('',*,*,#214324,.T.); #291901=ORIENTED_EDGE('',*,*,#214326,.F.); #291902=ORIENTED_EDGE('',*,*,#214327,.F.); #291903=ORIENTED_EDGE('',*,*,#214328,.T.); #291904=ORIENTED_EDGE('',*,*,#214327,.T.); #291905=ORIENTED_EDGE('',*,*,#214329,.F.); #291906=ORIENTED_EDGE('',*,*,#214330,.F.); #291907=ORIENTED_EDGE('',*,*,#214331,.T.); #291908=ORIENTED_EDGE('',*,*,#214330,.T.); #291909=ORIENTED_EDGE('',*,*,#214332,.F.); #291910=ORIENTED_EDGE('',*,*,#214333,.F.); #291911=ORIENTED_EDGE('',*,*,#214334,.T.); #291912=ORIENTED_EDGE('',*,*,#214333,.T.); #291913=ORIENTED_EDGE('',*,*,#214335,.F.); #291914=ORIENTED_EDGE('',*,*,#214336,.F.); #291915=ORIENTED_EDGE('',*,*,#214337,.T.); #291916=ORIENTED_EDGE('',*,*,#214336,.T.); #291917=ORIENTED_EDGE('',*,*,#214338,.F.); #291918=ORIENTED_EDGE('',*,*,#214339,.F.); #291919=ORIENTED_EDGE('',*,*,#214340,.T.); #291920=ORIENTED_EDGE('',*,*,#214339,.T.); #291921=ORIENTED_EDGE('',*,*,#214341,.F.); #291922=ORIENTED_EDGE('',*,*,#214342,.F.); #291923=ORIENTED_EDGE('',*,*,#214343,.T.); #291924=ORIENTED_EDGE('',*,*,#214342,.T.); #291925=ORIENTED_EDGE('',*,*,#214344,.F.); #291926=ORIENTED_EDGE('',*,*,#214345,.F.); #291927=ORIENTED_EDGE('',*,*,#214346,.T.); #291928=ORIENTED_EDGE('',*,*,#214345,.T.); #291929=ORIENTED_EDGE('',*,*,#214347,.F.); #291930=ORIENTED_EDGE('',*,*,#214348,.F.); #291931=ORIENTED_EDGE('',*,*,#214349,.T.); #291932=ORIENTED_EDGE('',*,*,#214348,.T.); #291933=ORIENTED_EDGE('',*,*,#214350,.F.); #291934=ORIENTED_EDGE('',*,*,#214351,.F.); #291935=ORIENTED_EDGE('',*,*,#214352,.T.); #291936=ORIENTED_EDGE('',*,*,#214351,.T.); #291937=ORIENTED_EDGE('',*,*,#214353,.F.); #291938=ORIENTED_EDGE('',*,*,#214354,.F.); #291939=ORIENTED_EDGE('',*,*,#214355,.T.); #291940=ORIENTED_EDGE('',*,*,#214354,.T.); #291941=ORIENTED_EDGE('',*,*,#214356,.F.); #291942=ORIENTED_EDGE('',*,*,#214357,.F.); #291943=ORIENTED_EDGE('',*,*,#214358,.T.); #291944=ORIENTED_EDGE('',*,*,#214357,.T.); #291945=ORIENTED_EDGE('',*,*,#214359,.F.); #291946=ORIENTED_EDGE('',*,*,#214360,.F.); #291947=ORIENTED_EDGE('',*,*,#214361,.T.); #291948=ORIENTED_EDGE('',*,*,#214360,.T.); #291949=ORIENTED_EDGE('',*,*,#214362,.F.); #291950=ORIENTED_EDGE('',*,*,#214363,.F.); #291951=ORIENTED_EDGE('',*,*,#214364,.T.); #291952=ORIENTED_EDGE('',*,*,#214363,.T.); #291953=ORIENTED_EDGE('',*,*,#214365,.F.); #291954=ORIENTED_EDGE('',*,*,#214366,.F.); #291955=ORIENTED_EDGE('',*,*,#214367,.T.); #291956=ORIENTED_EDGE('',*,*,#214366,.T.); #291957=ORIENTED_EDGE('',*,*,#214368,.F.); #291958=ORIENTED_EDGE('',*,*,#214369,.F.); #291959=ORIENTED_EDGE('',*,*,#214370,.T.); #291960=ORIENTED_EDGE('',*,*,#214369,.T.); #291961=ORIENTED_EDGE('',*,*,#214371,.F.); #291962=ORIENTED_EDGE('',*,*,#214372,.F.); #291963=ORIENTED_EDGE('',*,*,#214373,.T.); #291964=ORIENTED_EDGE('',*,*,#214372,.T.); #291965=ORIENTED_EDGE('',*,*,#214374,.F.); #291966=ORIENTED_EDGE('',*,*,#214375,.F.); #291967=ORIENTED_EDGE('',*,*,#214376,.T.); #291968=ORIENTED_EDGE('',*,*,#214375,.T.); #291969=ORIENTED_EDGE('',*,*,#214377,.F.); #291970=ORIENTED_EDGE('',*,*,#214378,.F.); #291971=ORIENTED_EDGE('',*,*,#214379,.T.); #291972=ORIENTED_EDGE('',*,*,#214378,.T.); #291973=ORIENTED_EDGE('',*,*,#214380,.F.); #291974=ORIENTED_EDGE('',*,*,#214381,.F.); #291975=ORIENTED_EDGE('',*,*,#214382,.T.); #291976=ORIENTED_EDGE('',*,*,#214381,.T.); #291977=ORIENTED_EDGE('',*,*,#214383,.F.); #291978=ORIENTED_EDGE('',*,*,#214384,.F.); #291979=ORIENTED_EDGE('',*,*,#214385,.T.); #291980=ORIENTED_EDGE('',*,*,#214384,.T.); #291981=ORIENTED_EDGE('',*,*,#214386,.F.); #291982=ORIENTED_EDGE('',*,*,#214387,.F.); #291983=ORIENTED_EDGE('',*,*,#214388,.T.); #291984=ORIENTED_EDGE('',*,*,#214387,.T.); #291985=ORIENTED_EDGE('',*,*,#214389,.F.); #291986=ORIENTED_EDGE('',*,*,#214390,.F.); #291987=ORIENTED_EDGE('',*,*,#214391,.T.); #291988=ORIENTED_EDGE('',*,*,#214390,.T.); #291989=ORIENTED_EDGE('',*,*,#214392,.F.); #291990=ORIENTED_EDGE('',*,*,#214393,.F.); #291991=ORIENTED_EDGE('',*,*,#214394,.T.); #291992=ORIENTED_EDGE('',*,*,#214393,.T.); #291993=ORIENTED_EDGE('',*,*,#214395,.F.); #291994=ORIENTED_EDGE('',*,*,#214396,.F.); #291995=ORIENTED_EDGE('',*,*,#214397,.T.); #291996=ORIENTED_EDGE('',*,*,#214396,.T.); #291997=ORIENTED_EDGE('',*,*,#214398,.F.); #291998=ORIENTED_EDGE('',*,*,#214399,.F.); #291999=ORIENTED_EDGE('',*,*,#214400,.T.); #292000=ORIENTED_EDGE('',*,*,#214399,.T.); #292001=ORIENTED_EDGE('',*,*,#214401,.F.); #292002=ORIENTED_EDGE('',*,*,#214402,.F.); #292003=ORIENTED_EDGE('',*,*,#214403,.T.); #292004=ORIENTED_EDGE('',*,*,#214402,.T.); #292005=ORIENTED_EDGE('',*,*,#214404,.F.); #292006=ORIENTED_EDGE('',*,*,#214405,.F.); #292007=ORIENTED_EDGE('',*,*,#214406,.T.); #292008=ORIENTED_EDGE('',*,*,#214405,.T.); #292009=ORIENTED_EDGE('',*,*,#214407,.F.); #292010=ORIENTED_EDGE('',*,*,#214408,.F.); #292011=ORIENTED_EDGE('',*,*,#214409,.T.); #292012=ORIENTED_EDGE('',*,*,#214408,.T.); #292013=ORIENTED_EDGE('',*,*,#214410,.F.); #292014=ORIENTED_EDGE('',*,*,#214411,.F.); #292015=ORIENTED_EDGE('',*,*,#214412,.T.); #292016=ORIENTED_EDGE('',*,*,#214411,.T.); #292017=ORIENTED_EDGE('',*,*,#214413,.F.); #292018=ORIENTED_EDGE('',*,*,#214414,.F.); #292019=ORIENTED_EDGE('',*,*,#214415,.T.); #292020=ORIENTED_EDGE('',*,*,#214414,.T.); #292021=ORIENTED_EDGE('',*,*,#214416,.F.); #292022=ORIENTED_EDGE('',*,*,#214417,.F.); #292023=ORIENTED_EDGE('',*,*,#214418,.T.); #292024=ORIENTED_EDGE('',*,*,#214417,.T.); #292025=ORIENTED_EDGE('',*,*,#214419,.F.); #292026=ORIENTED_EDGE('',*,*,#214420,.F.); #292027=ORIENTED_EDGE('',*,*,#214421,.T.); #292028=ORIENTED_EDGE('',*,*,#214420,.T.); #292029=ORIENTED_EDGE('',*,*,#214422,.F.); #292030=ORIENTED_EDGE('',*,*,#214423,.F.); #292031=ORIENTED_EDGE('',*,*,#214424,.T.); #292032=ORIENTED_EDGE('',*,*,#214423,.T.); #292033=ORIENTED_EDGE('',*,*,#214425,.F.); #292034=ORIENTED_EDGE('',*,*,#214426,.F.); #292035=ORIENTED_EDGE('',*,*,#214427,.T.); #292036=ORIENTED_EDGE('',*,*,#214426,.T.); #292037=ORIENTED_EDGE('',*,*,#214428,.F.); #292038=ORIENTED_EDGE('',*,*,#214429,.F.); #292039=ORIENTED_EDGE('',*,*,#214430,.T.); #292040=ORIENTED_EDGE('',*,*,#214429,.T.); #292041=ORIENTED_EDGE('',*,*,#214431,.F.); #292042=ORIENTED_EDGE('',*,*,#214432,.F.); #292043=ORIENTED_EDGE('',*,*,#214433,.T.); #292044=ORIENTED_EDGE('',*,*,#214432,.T.); #292045=ORIENTED_EDGE('',*,*,#214434,.F.); #292046=ORIENTED_EDGE('',*,*,#214435,.F.); #292047=ORIENTED_EDGE('',*,*,#214436,.T.); #292048=ORIENTED_EDGE('',*,*,#214435,.T.); #292049=ORIENTED_EDGE('',*,*,#214437,.F.); #292050=ORIENTED_EDGE('',*,*,#214438,.F.); #292051=ORIENTED_EDGE('',*,*,#214439,.T.); #292052=ORIENTED_EDGE('',*,*,#214438,.T.); #292053=ORIENTED_EDGE('',*,*,#214440,.F.); #292054=ORIENTED_EDGE('',*,*,#214441,.F.); #292055=ORIENTED_EDGE('',*,*,#214442,.T.); #292056=ORIENTED_EDGE('',*,*,#214441,.T.); #292057=ORIENTED_EDGE('',*,*,#214443,.F.); #292058=ORIENTED_EDGE('',*,*,#214444,.F.); #292059=ORIENTED_EDGE('',*,*,#214445,.T.); #292060=ORIENTED_EDGE('',*,*,#214444,.T.); #292061=ORIENTED_EDGE('',*,*,#214446,.F.); #292062=ORIENTED_EDGE('',*,*,#214447,.F.); #292063=ORIENTED_EDGE('',*,*,#214448,.T.); #292064=ORIENTED_EDGE('',*,*,#214447,.T.); #292065=ORIENTED_EDGE('',*,*,#214449,.F.); #292066=ORIENTED_EDGE('',*,*,#214450,.F.); #292067=ORIENTED_EDGE('',*,*,#214451,.T.); #292068=ORIENTED_EDGE('',*,*,#214450,.T.); #292069=ORIENTED_EDGE('',*,*,#214452,.F.); #292070=ORIENTED_EDGE('',*,*,#214453,.F.); #292071=ORIENTED_EDGE('',*,*,#214454,.T.); #292072=ORIENTED_EDGE('',*,*,#214453,.T.); #292073=ORIENTED_EDGE('',*,*,#214455,.F.); #292074=ORIENTED_EDGE('',*,*,#214456,.F.); #292075=ORIENTED_EDGE('',*,*,#214457,.T.); #292076=ORIENTED_EDGE('',*,*,#214456,.T.); #292077=ORIENTED_EDGE('',*,*,#214458,.F.); #292078=ORIENTED_EDGE('',*,*,#214459,.F.); #292079=ORIENTED_EDGE('',*,*,#214460,.T.); #292080=ORIENTED_EDGE('',*,*,#214459,.T.); #292081=ORIENTED_EDGE('',*,*,#214461,.F.); #292082=ORIENTED_EDGE('',*,*,#214462,.F.); #292083=ORIENTED_EDGE('',*,*,#214463,.T.); #292084=ORIENTED_EDGE('',*,*,#214462,.T.); #292085=ORIENTED_EDGE('',*,*,#214464,.F.); #292086=ORIENTED_EDGE('',*,*,#214465,.F.); #292087=ORIENTED_EDGE('',*,*,#214466,.T.); #292088=ORIENTED_EDGE('',*,*,#214465,.T.); #292089=ORIENTED_EDGE('',*,*,#214467,.F.); #292090=ORIENTED_EDGE('',*,*,#214468,.F.); #292091=ORIENTED_EDGE('',*,*,#214469,.T.); #292092=ORIENTED_EDGE('',*,*,#214468,.T.); #292093=ORIENTED_EDGE('',*,*,#214470,.F.); #292094=ORIENTED_EDGE('',*,*,#214471,.F.); #292095=ORIENTED_EDGE('',*,*,#214472,.T.); #292096=ORIENTED_EDGE('',*,*,#214471,.T.); #292097=ORIENTED_EDGE('',*,*,#214473,.F.); #292098=ORIENTED_EDGE('',*,*,#214474,.F.); #292099=ORIENTED_EDGE('',*,*,#214475,.T.); #292100=ORIENTED_EDGE('',*,*,#214474,.T.); #292101=ORIENTED_EDGE('',*,*,#214476,.F.); #292102=ORIENTED_EDGE('',*,*,#214477,.F.); #292103=ORIENTED_EDGE('',*,*,#214478,.T.); #292104=ORIENTED_EDGE('',*,*,#214477,.T.); #292105=ORIENTED_EDGE('',*,*,#214479,.F.); #292106=ORIENTED_EDGE('',*,*,#214480,.F.); #292107=ORIENTED_EDGE('',*,*,#214481,.T.); #292108=ORIENTED_EDGE('',*,*,#214480,.T.); #292109=ORIENTED_EDGE('',*,*,#214482,.F.); #292110=ORIENTED_EDGE('',*,*,#214483,.F.); #292111=ORIENTED_EDGE('',*,*,#214484,.T.); #292112=ORIENTED_EDGE('',*,*,#214483,.T.); #292113=ORIENTED_EDGE('',*,*,#214485,.F.); #292114=ORIENTED_EDGE('',*,*,#214486,.F.); #292115=ORIENTED_EDGE('',*,*,#214487,.T.); #292116=ORIENTED_EDGE('',*,*,#214486,.T.); #292117=ORIENTED_EDGE('',*,*,#214488,.F.); #292118=ORIENTED_EDGE('',*,*,#214489,.F.); #292119=ORIENTED_EDGE('',*,*,#214490,.T.); #292120=ORIENTED_EDGE('',*,*,#214489,.T.); #292121=ORIENTED_EDGE('',*,*,#214491,.F.); #292122=ORIENTED_EDGE('',*,*,#214492,.F.); #292123=ORIENTED_EDGE('',*,*,#214493,.T.); #292124=ORIENTED_EDGE('',*,*,#214492,.T.); #292125=ORIENTED_EDGE('',*,*,#214494,.F.); #292126=ORIENTED_EDGE('',*,*,#214495,.F.); #292127=ORIENTED_EDGE('',*,*,#214496,.T.); #292128=ORIENTED_EDGE('',*,*,#214495,.T.); #292129=ORIENTED_EDGE('',*,*,#214497,.F.); #292130=ORIENTED_EDGE('',*,*,#214498,.F.); #292131=ORIENTED_EDGE('',*,*,#214499,.T.); #292132=ORIENTED_EDGE('',*,*,#214498,.T.); #292133=ORIENTED_EDGE('',*,*,#214500,.F.); #292134=ORIENTED_EDGE('',*,*,#214501,.F.); #292135=ORIENTED_EDGE('',*,*,#214502,.T.); #292136=ORIENTED_EDGE('',*,*,#214501,.T.); #292137=ORIENTED_EDGE('',*,*,#214503,.F.); #292138=ORIENTED_EDGE('',*,*,#214504,.F.); #292139=ORIENTED_EDGE('',*,*,#214505,.T.); #292140=ORIENTED_EDGE('',*,*,#214504,.T.); #292141=ORIENTED_EDGE('',*,*,#214506,.F.); #292142=ORIENTED_EDGE('',*,*,#214507,.F.); #292143=ORIENTED_EDGE('',*,*,#214508,.T.); #292144=ORIENTED_EDGE('',*,*,#214507,.T.); #292145=ORIENTED_EDGE('',*,*,#214509,.F.); #292146=ORIENTED_EDGE('',*,*,#214510,.F.); #292147=ORIENTED_EDGE('',*,*,#214511,.T.); #292148=ORIENTED_EDGE('',*,*,#214510,.T.); #292149=ORIENTED_EDGE('',*,*,#214512,.F.); #292150=ORIENTED_EDGE('',*,*,#214513,.F.); #292151=ORIENTED_EDGE('',*,*,#214514,.T.); #292152=ORIENTED_EDGE('',*,*,#214513,.T.); #292153=ORIENTED_EDGE('',*,*,#214515,.F.); #292154=ORIENTED_EDGE('',*,*,#214516,.F.); #292155=ORIENTED_EDGE('',*,*,#214517,.T.); #292156=ORIENTED_EDGE('',*,*,#214516,.T.); #292157=ORIENTED_EDGE('',*,*,#214518,.F.); #292158=ORIENTED_EDGE('',*,*,#214519,.F.); #292159=ORIENTED_EDGE('',*,*,#214520,.T.); #292160=ORIENTED_EDGE('',*,*,#214519,.T.); #292161=ORIENTED_EDGE('',*,*,#214521,.F.); #292162=ORIENTED_EDGE('',*,*,#214522,.F.); #292163=ORIENTED_EDGE('',*,*,#214523,.T.); #292164=ORIENTED_EDGE('',*,*,#214522,.T.); #292165=ORIENTED_EDGE('',*,*,#214524,.F.); #292166=ORIENTED_EDGE('',*,*,#214525,.F.); #292167=ORIENTED_EDGE('',*,*,#214526,.T.); #292168=ORIENTED_EDGE('',*,*,#214525,.T.); #292169=ORIENTED_EDGE('',*,*,#214527,.F.); #292170=ORIENTED_EDGE('',*,*,#214528,.F.); #292171=ORIENTED_EDGE('',*,*,#214529,.T.); #292172=ORIENTED_EDGE('',*,*,#214528,.T.); #292173=ORIENTED_EDGE('',*,*,#214530,.F.); #292174=ORIENTED_EDGE('',*,*,#214531,.F.); #292175=ORIENTED_EDGE('',*,*,#214532,.T.); #292176=ORIENTED_EDGE('',*,*,#214531,.T.); #292177=ORIENTED_EDGE('',*,*,#214533,.F.); #292178=ORIENTED_EDGE('',*,*,#214534,.F.); #292179=ORIENTED_EDGE('',*,*,#214535,.T.); #292180=ORIENTED_EDGE('',*,*,#214534,.T.); #292181=ORIENTED_EDGE('',*,*,#214536,.F.); #292182=ORIENTED_EDGE('',*,*,#214537,.F.); #292183=ORIENTED_EDGE('',*,*,#214538,.T.); #292184=ORIENTED_EDGE('',*,*,#214537,.T.); #292185=ORIENTED_EDGE('',*,*,#214539,.F.); #292186=ORIENTED_EDGE('',*,*,#214540,.F.); #292187=ORIENTED_EDGE('',*,*,#214541,.T.); #292188=ORIENTED_EDGE('',*,*,#214540,.T.); #292189=ORIENTED_EDGE('',*,*,#214542,.F.); #292190=ORIENTED_EDGE('',*,*,#214543,.F.); #292191=ORIENTED_EDGE('',*,*,#214544,.T.); #292192=ORIENTED_EDGE('',*,*,#214543,.T.); #292193=ORIENTED_EDGE('',*,*,#214545,.F.); #292194=ORIENTED_EDGE('',*,*,#214546,.F.); #292195=ORIENTED_EDGE('',*,*,#214547,.T.); #292196=ORIENTED_EDGE('',*,*,#214546,.T.); #292197=ORIENTED_EDGE('',*,*,#214548,.F.); #292198=ORIENTED_EDGE('',*,*,#214549,.F.); #292199=ORIENTED_EDGE('',*,*,#214550,.T.); #292200=ORIENTED_EDGE('',*,*,#214549,.T.); #292201=ORIENTED_EDGE('',*,*,#214551,.F.); #292202=ORIENTED_EDGE('',*,*,#214552,.F.); #292203=ORIENTED_EDGE('',*,*,#214553,.T.); #292204=ORIENTED_EDGE('',*,*,#214552,.T.); #292205=ORIENTED_EDGE('',*,*,#214554,.F.); #292206=ORIENTED_EDGE('',*,*,#214555,.F.); #292207=ORIENTED_EDGE('',*,*,#214556,.T.); #292208=ORIENTED_EDGE('',*,*,#214555,.T.); #292209=ORIENTED_EDGE('',*,*,#214557,.F.); #292210=ORIENTED_EDGE('',*,*,#214558,.F.); #292211=ORIENTED_EDGE('',*,*,#214559,.T.); #292212=ORIENTED_EDGE('',*,*,#214558,.T.); #292213=ORIENTED_EDGE('',*,*,#214560,.F.); #292214=ORIENTED_EDGE('',*,*,#214561,.F.); #292215=ORIENTED_EDGE('',*,*,#214562,.T.); #292216=ORIENTED_EDGE('',*,*,#214561,.T.); #292217=ORIENTED_EDGE('',*,*,#214563,.F.); #292218=ORIENTED_EDGE('',*,*,#214564,.F.); #292219=ORIENTED_EDGE('',*,*,#214565,.T.); #292220=ORIENTED_EDGE('',*,*,#214564,.T.); #292221=ORIENTED_EDGE('',*,*,#214566,.F.); #292222=ORIENTED_EDGE('',*,*,#214567,.F.); #292223=ORIENTED_EDGE('',*,*,#214568,.T.); #292224=ORIENTED_EDGE('',*,*,#214567,.T.); #292225=ORIENTED_EDGE('',*,*,#214569,.F.); #292226=ORIENTED_EDGE('',*,*,#214570,.F.); #292227=ORIENTED_EDGE('',*,*,#214571,.T.); #292228=ORIENTED_EDGE('',*,*,#214570,.T.); #292229=ORIENTED_EDGE('',*,*,#214572,.F.); #292230=ORIENTED_EDGE('',*,*,#214573,.F.); #292231=ORIENTED_EDGE('',*,*,#214574,.T.); #292232=ORIENTED_EDGE('',*,*,#214573,.T.); #292233=ORIENTED_EDGE('',*,*,#214575,.F.); #292234=ORIENTED_EDGE('',*,*,#214576,.F.); #292235=ORIENTED_EDGE('',*,*,#214577,.T.); #292236=ORIENTED_EDGE('',*,*,#214576,.T.); #292237=ORIENTED_EDGE('',*,*,#214578,.F.); #292238=ORIENTED_EDGE('',*,*,#214579,.F.); #292239=ORIENTED_EDGE('',*,*,#214580,.T.); #292240=ORIENTED_EDGE('',*,*,#214579,.T.); #292241=ORIENTED_EDGE('',*,*,#214581,.F.); #292242=ORIENTED_EDGE('',*,*,#214582,.F.); #292243=ORIENTED_EDGE('',*,*,#214583,.T.); #292244=ORIENTED_EDGE('',*,*,#214582,.T.); #292245=ORIENTED_EDGE('',*,*,#214584,.F.); #292246=ORIENTED_EDGE('',*,*,#214585,.F.); #292247=ORIENTED_EDGE('',*,*,#214586,.T.); #292248=ORIENTED_EDGE('',*,*,#214585,.T.); #292249=ORIENTED_EDGE('',*,*,#214587,.F.); #292250=ORIENTED_EDGE('',*,*,#214588,.F.); #292251=ORIENTED_EDGE('',*,*,#214589,.T.); #292252=ORIENTED_EDGE('',*,*,#214588,.T.); #292253=ORIENTED_EDGE('',*,*,#214590,.F.); #292254=ORIENTED_EDGE('',*,*,#214591,.F.); #292255=ORIENTED_EDGE('',*,*,#214592,.T.); #292256=ORIENTED_EDGE('',*,*,#214591,.T.); #292257=ORIENTED_EDGE('',*,*,#214593,.F.); #292258=ORIENTED_EDGE('',*,*,#214594,.F.); #292259=ORIENTED_EDGE('',*,*,#214595,.T.); #292260=ORIENTED_EDGE('',*,*,#214594,.T.); #292261=ORIENTED_EDGE('',*,*,#214596,.F.); #292262=ORIENTED_EDGE('',*,*,#213845,.F.); #292263=ORIENTED_EDGE('',*,*,#214597,.T.); #292264=ORIENTED_EDGE('',*,*,#214598,.T.); #292265=ORIENTED_EDGE('',*,*,#214599,.F.); #292266=ORIENTED_EDGE('',*,*,#214600,.F.); #292267=ORIENTED_EDGE('',*,*,#214601,.T.); #292268=ORIENTED_EDGE('',*,*,#214600,.T.); #292269=ORIENTED_EDGE('',*,*,#214602,.F.); #292270=ORIENTED_EDGE('',*,*,#214603,.F.); #292271=ORIENTED_EDGE('',*,*,#214604,.T.); #292272=ORIENTED_EDGE('',*,*,#214603,.T.); #292273=ORIENTED_EDGE('',*,*,#214605,.F.); #292274=ORIENTED_EDGE('',*,*,#214606,.F.); #292275=ORIENTED_EDGE('',*,*,#214607,.T.); #292276=ORIENTED_EDGE('',*,*,#214606,.T.); #292277=ORIENTED_EDGE('',*,*,#214608,.F.); #292278=ORIENTED_EDGE('',*,*,#214609,.F.); #292279=ORIENTED_EDGE('',*,*,#214610,.T.); #292280=ORIENTED_EDGE('',*,*,#214609,.T.); #292281=ORIENTED_EDGE('',*,*,#214611,.F.); #292282=ORIENTED_EDGE('',*,*,#214612,.F.); #292283=ORIENTED_EDGE('',*,*,#214613,.T.); #292284=ORIENTED_EDGE('',*,*,#214612,.T.); #292285=ORIENTED_EDGE('',*,*,#214614,.F.); #292286=ORIENTED_EDGE('',*,*,#214615,.F.); #292287=ORIENTED_EDGE('',*,*,#214616,.T.); #292288=ORIENTED_EDGE('',*,*,#214615,.T.); #292289=ORIENTED_EDGE('',*,*,#214617,.F.); #292290=ORIENTED_EDGE('',*,*,#214618,.F.); #292291=ORIENTED_EDGE('',*,*,#214619,.T.); #292292=ORIENTED_EDGE('',*,*,#214618,.T.); #292293=ORIENTED_EDGE('',*,*,#214620,.F.); #292294=ORIENTED_EDGE('',*,*,#214621,.F.); #292295=ORIENTED_EDGE('',*,*,#214622,.T.); #292296=ORIENTED_EDGE('',*,*,#214621,.T.); #292297=ORIENTED_EDGE('',*,*,#214623,.F.); #292298=ORIENTED_EDGE('',*,*,#214624,.F.); #292299=ORIENTED_EDGE('',*,*,#214625,.T.); #292300=ORIENTED_EDGE('',*,*,#214624,.T.); #292301=ORIENTED_EDGE('',*,*,#214626,.F.); #292302=ORIENTED_EDGE('',*,*,#214627,.F.); #292303=ORIENTED_EDGE('',*,*,#214628,.T.); #292304=ORIENTED_EDGE('',*,*,#214627,.T.); #292305=ORIENTED_EDGE('',*,*,#214629,.F.); #292306=ORIENTED_EDGE('',*,*,#214630,.F.); #292307=ORIENTED_EDGE('',*,*,#214631,.T.); #292308=ORIENTED_EDGE('',*,*,#214630,.T.); #292309=ORIENTED_EDGE('',*,*,#214632,.F.); #292310=ORIENTED_EDGE('',*,*,#214633,.F.); #292311=ORIENTED_EDGE('',*,*,#214634,.T.); #292312=ORIENTED_EDGE('',*,*,#214633,.T.); #292313=ORIENTED_EDGE('',*,*,#214635,.F.); #292314=ORIENTED_EDGE('',*,*,#214636,.F.); #292315=ORIENTED_EDGE('',*,*,#214637,.T.); #292316=ORIENTED_EDGE('',*,*,#214636,.T.); #292317=ORIENTED_EDGE('',*,*,#214638,.F.); #292318=ORIENTED_EDGE('',*,*,#214639,.F.); #292319=ORIENTED_EDGE('',*,*,#214640,.T.); #292320=ORIENTED_EDGE('',*,*,#214639,.T.); #292321=ORIENTED_EDGE('',*,*,#214641,.F.); #292322=ORIENTED_EDGE('',*,*,#214642,.F.); #292323=ORIENTED_EDGE('',*,*,#214643,.T.); #292324=ORIENTED_EDGE('',*,*,#214642,.T.); #292325=ORIENTED_EDGE('',*,*,#214644,.F.); #292326=ORIENTED_EDGE('',*,*,#214645,.F.); #292327=ORIENTED_EDGE('',*,*,#214646,.T.); #292328=ORIENTED_EDGE('',*,*,#214645,.T.); #292329=ORIENTED_EDGE('',*,*,#214647,.F.); #292330=ORIENTED_EDGE('',*,*,#214648,.F.); #292331=ORIENTED_EDGE('',*,*,#214649,.T.); #292332=ORIENTED_EDGE('',*,*,#214648,.T.); #292333=ORIENTED_EDGE('',*,*,#214650,.F.); #292334=ORIENTED_EDGE('',*,*,#214651,.F.); #292335=ORIENTED_EDGE('',*,*,#214652,.T.); #292336=ORIENTED_EDGE('',*,*,#214651,.T.); #292337=ORIENTED_EDGE('',*,*,#214653,.F.); #292338=ORIENTED_EDGE('',*,*,#214654,.F.); #292339=ORIENTED_EDGE('',*,*,#214655,.T.); #292340=ORIENTED_EDGE('',*,*,#214654,.T.); #292341=ORIENTED_EDGE('',*,*,#214656,.F.); #292342=ORIENTED_EDGE('',*,*,#214657,.F.); #292343=ORIENTED_EDGE('',*,*,#214658,.T.); #292344=ORIENTED_EDGE('',*,*,#214657,.T.); #292345=ORIENTED_EDGE('',*,*,#214659,.F.); #292346=ORIENTED_EDGE('',*,*,#214660,.F.); #292347=ORIENTED_EDGE('',*,*,#214661,.T.); #292348=ORIENTED_EDGE('',*,*,#214660,.T.); #292349=ORIENTED_EDGE('',*,*,#214662,.F.); #292350=ORIENTED_EDGE('',*,*,#214663,.F.); #292351=ORIENTED_EDGE('',*,*,#214664,.T.); #292352=ORIENTED_EDGE('',*,*,#214663,.T.); #292353=ORIENTED_EDGE('',*,*,#214665,.F.); #292354=ORIENTED_EDGE('',*,*,#214666,.F.); #292355=ORIENTED_EDGE('',*,*,#214667,.T.); #292356=ORIENTED_EDGE('',*,*,#214666,.T.); #292357=ORIENTED_EDGE('',*,*,#214668,.F.); #292358=ORIENTED_EDGE('',*,*,#214669,.F.); #292359=ORIENTED_EDGE('',*,*,#214670,.T.); #292360=ORIENTED_EDGE('',*,*,#214669,.T.); #292361=ORIENTED_EDGE('',*,*,#214671,.F.); #292362=ORIENTED_EDGE('',*,*,#214672,.F.); #292363=ORIENTED_EDGE('',*,*,#214673,.T.); #292364=ORIENTED_EDGE('',*,*,#214672,.T.); #292365=ORIENTED_EDGE('',*,*,#214674,.F.); #292366=ORIENTED_EDGE('',*,*,#214675,.F.); #292367=ORIENTED_EDGE('',*,*,#214676,.T.); #292368=ORIENTED_EDGE('',*,*,#214675,.T.); #292369=ORIENTED_EDGE('',*,*,#214677,.F.); #292370=ORIENTED_EDGE('',*,*,#214678,.F.); #292371=ORIENTED_EDGE('',*,*,#214679,.T.); #292372=ORIENTED_EDGE('',*,*,#214678,.T.); #292373=ORIENTED_EDGE('',*,*,#214680,.F.); #292374=ORIENTED_EDGE('',*,*,#214681,.F.); #292375=ORIENTED_EDGE('',*,*,#214682,.T.); #292376=ORIENTED_EDGE('',*,*,#214681,.T.); #292377=ORIENTED_EDGE('',*,*,#214683,.F.); #292378=ORIENTED_EDGE('',*,*,#214684,.F.); #292379=ORIENTED_EDGE('',*,*,#214685,.T.); #292380=ORIENTED_EDGE('',*,*,#214684,.T.); #292381=ORIENTED_EDGE('',*,*,#214686,.F.); #292382=ORIENTED_EDGE('',*,*,#214598,.F.); #292383=ORIENTED_EDGE('',*,*,#214687,.T.); #292384=ORIENTED_EDGE('',*,*,#214688,.T.); #292385=ORIENTED_EDGE('',*,*,#214689,.F.); #292386=ORIENTED_EDGE('',*,*,#214690,.F.); #292387=ORIENTED_EDGE('',*,*,#214691,.T.); #292388=ORIENTED_EDGE('',*,*,#214690,.T.); #292389=ORIENTED_EDGE('',*,*,#214692,.F.); #292390=ORIENTED_EDGE('',*,*,#214693,.F.); #292391=ORIENTED_EDGE('',*,*,#214694,.T.); #292392=ORIENTED_EDGE('',*,*,#214693,.T.); #292393=ORIENTED_EDGE('',*,*,#214695,.F.); #292394=ORIENTED_EDGE('',*,*,#214696,.F.); #292395=ORIENTED_EDGE('',*,*,#214697,.T.); #292396=ORIENTED_EDGE('',*,*,#214696,.T.); #292397=ORIENTED_EDGE('',*,*,#214698,.F.); #292398=ORIENTED_EDGE('',*,*,#214699,.F.); #292399=ORIENTED_EDGE('',*,*,#214700,.T.); #292400=ORIENTED_EDGE('',*,*,#214699,.T.); #292401=ORIENTED_EDGE('',*,*,#214701,.F.); #292402=ORIENTED_EDGE('',*,*,#214702,.F.); #292403=ORIENTED_EDGE('',*,*,#214703,.T.); #292404=ORIENTED_EDGE('',*,*,#214702,.T.); #292405=ORIENTED_EDGE('',*,*,#214704,.F.); #292406=ORIENTED_EDGE('',*,*,#214705,.F.); #292407=ORIENTED_EDGE('',*,*,#214706,.T.); #292408=ORIENTED_EDGE('',*,*,#214705,.T.); #292409=ORIENTED_EDGE('',*,*,#214707,.F.); #292410=ORIENTED_EDGE('',*,*,#214708,.F.); #292411=ORIENTED_EDGE('',*,*,#214709,.T.); #292412=ORIENTED_EDGE('',*,*,#214708,.T.); #292413=ORIENTED_EDGE('',*,*,#214710,.F.); #292414=ORIENTED_EDGE('',*,*,#214711,.F.); #292415=ORIENTED_EDGE('',*,*,#214712,.T.); #292416=ORIENTED_EDGE('',*,*,#214711,.T.); #292417=ORIENTED_EDGE('',*,*,#214713,.F.); #292418=ORIENTED_EDGE('',*,*,#214714,.F.); #292419=ORIENTED_EDGE('',*,*,#214715,.T.); #292420=ORIENTED_EDGE('',*,*,#214714,.T.); #292421=ORIENTED_EDGE('',*,*,#214716,.F.); #292422=ORIENTED_EDGE('',*,*,#214717,.F.); #292423=ORIENTED_EDGE('',*,*,#214718,.T.); #292424=ORIENTED_EDGE('',*,*,#214717,.T.); #292425=ORIENTED_EDGE('',*,*,#214719,.F.); #292426=ORIENTED_EDGE('',*,*,#214720,.F.); #292427=ORIENTED_EDGE('',*,*,#214721,.T.); #292428=ORIENTED_EDGE('',*,*,#214720,.T.); #292429=ORIENTED_EDGE('',*,*,#214722,.F.); #292430=ORIENTED_EDGE('',*,*,#214723,.F.); #292431=ORIENTED_EDGE('',*,*,#214724,.T.); #292432=ORIENTED_EDGE('',*,*,#214723,.T.); #292433=ORIENTED_EDGE('',*,*,#214725,.F.); #292434=ORIENTED_EDGE('',*,*,#214726,.F.); #292435=ORIENTED_EDGE('',*,*,#214727,.T.); #292436=ORIENTED_EDGE('',*,*,#214726,.T.); #292437=ORIENTED_EDGE('',*,*,#214728,.F.); #292438=ORIENTED_EDGE('',*,*,#214729,.F.); #292439=ORIENTED_EDGE('',*,*,#214730,.T.); #292440=ORIENTED_EDGE('',*,*,#214729,.T.); #292441=ORIENTED_EDGE('',*,*,#214731,.F.); #292442=ORIENTED_EDGE('',*,*,#214732,.F.); #292443=ORIENTED_EDGE('',*,*,#214733,.T.); #292444=ORIENTED_EDGE('',*,*,#214732,.T.); #292445=ORIENTED_EDGE('',*,*,#214734,.F.); #292446=ORIENTED_EDGE('',*,*,#214735,.F.); #292447=ORIENTED_EDGE('',*,*,#214736,.T.); #292448=ORIENTED_EDGE('',*,*,#214735,.T.); #292449=ORIENTED_EDGE('',*,*,#214737,.F.); #292450=ORIENTED_EDGE('',*,*,#214738,.F.); #292451=ORIENTED_EDGE('',*,*,#214739,.T.); #292452=ORIENTED_EDGE('',*,*,#214738,.T.); #292453=ORIENTED_EDGE('',*,*,#214740,.F.); #292454=ORIENTED_EDGE('',*,*,#214741,.F.); #292455=ORIENTED_EDGE('',*,*,#214742,.T.); #292456=ORIENTED_EDGE('',*,*,#214741,.T.); #292457=ORIENTED_EDGE('',*,*,#214743,.F.); #292458=ORIENTED_EDGE('',*,*,#214744,.F.); #292459=ORIENTED_EDGE('',*,*,#214745,.T.); #292460=ORIENTED_EDGE('',*,*,#214744,.T.); #292461=ORIENTED_EDGE('',*,*,#214746,.F.); #292462=ORIENTED_EDGE('',*,*,#214747,.F.); #292463=ORIENTED_EDGE('',*,*,#214748,.T.); #292464=ORIENTED_EDGE('',*,*,#214747,.T.); #292465=ORIENTED_EDGE('',*,*,#214749,.F.); #292466=ORIENTED_EDGE('',*,*,#214750,.F.); #292467=ORIENTED_EDGE('',*,*,#214751,.T.); #292468=ORIENTED_EDGE('',*,*,#214750,.T.); #292469=ORIENTED_EDGE('',*,*,#214752,.F.); #292470=ORIENTED_EDGE('',*,*,#214753,.F.); #292471=ORIENTED_EDGE('',*,*,#214754,.T.); #292472=ORIENTED_EDGE('',*,*,#214753,.T.); #292473=ORIENTED_EDGE('',*,*,#214755,.F.); #292474=ORIENTED_EDGE('',*,*,#214756,.F.); #292475=ORIENTED_EDGE('',*,*,#214757,.T.); #292476=ORIENTED_EDGE('',*,*,#214756,.T.); #292477=ORIENTED_EDGE('',*,*,#214758,.F.); #292478=ORIENTED_EDGE('',*,*,#214759,.F.); #292479=ORIENTED_EDGE('',*,*,#214760,.T.); #292480=ORIENTED_EDGE('',*,*,#214759,.T.); #292481=ORIENTED_EDGE('',*,*,#214761,.F.); #292482=ORIENTED_EDGE('',*,*,#214762,.F.); #292483=ORIENTED_EDGE('',*,*,#214763,.T.); #292484=ORIENTED_EDGE('',*,*,#214762,.T.); #292485=ORIENTED_EDGE('',*,*,#214764,.F.); #292486=ORIENTED_EDGE('',*,*,#214765,.F.); #292487=ORIENTED_EDGE('',*,*,#214766,.T.); #292488=ORIENTED_EDGE('',*,*,#214765,.T.); #292489=ORIENTED_EDGE('',*,*,#214767,.F.); #292490=ORIENTED_EDGE('',*,*,#214768,.F.); #292491=ORIENTED_EDGE('',*,*,#214769,.T.); #292492=ORIENTED_EDGE('',*,*,#214768,.T.); #292493=ORIENTED_EDGE('',*,*,#214770,.F.); #292494=ORIENTED_EDGE('',*,*,#214771,.F.); #292495=ORIENTED_EDGE('',*,*,#214772,.T.); #292496=ORIENTED_EDGE('',*,*,#214771,.T.); #292497=ORIENTED_EDGE('',*,*,#214773,.F.); #292498=ORIENTED_EDGE('',*,*,#214774,.F.); #292499=ORIENTED_EDGE('',*,*,#214775,.T.); #292500=ORIENTED_EDGE('',*,*,#214774,.T.); #292501=ORIENTED_EDGE('',*,*,#214776,.F.); #292502=ORIENTED_EDGE('',*,*,#214688,.F.); #292503=ORIENTED_EDGE('',*,*,#214777,.T.); #292504=ORIENTED_EDGE('',*,*,#214778,.T.); #292505=ORIENTED_EDGE('',*,*,#214779,.F.); #292506=ORIENTED_EDGE('',*,*,#214780,.F.); #292507=ORIENTED_EDGE('',*,*,#214781,.T.); #292508=ORIENTED_EDGE('',*,*,#214780,.T.); #292509=ORIENTED_EDGE('',*,*,#214782,.F.); #292510=ORIENTED_EDGE('',*,*,#214783,.F.); #292511=ORIENTED_EDGE('',*,*,#214784,.T.); #292512=ORIENTED_EDGE('',*,*,#214783,.T.); #292513=ORIENTED_EDGE('',*,*,#214785,.F.); #292514=ORIENTED_EDGE('',*,*,#214786,.F.); #292515=ORIENTED_EDGE('',*,*,#214787,.T.); #292516=ORIENTED_EDGE('',*,*,#214786,.T.); #292517=ORIENTED_EDGE('',*,*,#214788,.F.); #292518=ORIENTED_EDGE('',*,*,#214789,.F.); #292519=ORIENTED_EDGE('',*,*,#214790,.T.); #292520=ORIENTED_EDGE('',*,*,#214789,.T.); #292521=ORIENTED_EDGE('',*,*,#214791,.F.); #292522=ORIENTED_EDGE('',*,*,#214792,.F.); #292523=ORIENTED_EDGE('',*,*,#214793,.T.); #292524=ORIENTED_EDGE('',*,*,#214792,.T.); #292525=ORIENTED_EDGE('',*,*,#214794,.F.); #292526=ORIENTED_EDGE('',*,*,#214795,.F.); #292527=ORIENTED_EDGE('',*,*,#214796,.T.); #292528=ORIENTED_EDGE('',*,*,#214795,.T.); #292529=ORIENTED_EDGE('',*,*,#214797,.F.); #292530=ORIENTED_EDGE('',*,*,#214798,.F.); #292531=ORIENTED_EDGE('',*,*,#214799,.T.); #292532=ORIENTED_EDGE('',*,*,#214798,.T.); #292533=ORIENTED_EDGE('',*,*,#214800,.F.); #292534=ORIENTED_EDGE('',*,*,#214801,.F.); #292535=ORIENTED_EDGE('',*,*,#214802,.T.); #292536=ORIENTED_EDGE('',*,*,#214801,.T.); #292537=ORIENTED_EDGE('',*,*,#214803,.F.); #292538=ORIENTED_EDGE('',*,*,#214804,.F.); #292539=ORIENTED_EDGE('',*,*,#214805,.T.); #292540=ORIENTED_EDGE('',*,*,#214804,.T.); #292541=ORIENTED_EDGE('',*,*,#214806,.F.); #292542=ORIENTED_EDGE('',*,*,#214807,.F.); #292543=ORIENTED_EDGE('',*,*,#214808,.T.); #292544=ORIENTED_EDGE('',*,*,#214807,.T.); #292545=ORIENTED_EDGE('',*,*,#214809,.F.); #292546=ORIENTED_EDGE('',*,*,#214810,.F.); #292547=ORIENTED_EDGE('',*,*,#214811,.T.); #292548=ORIENTED_EDGE('',*,*,#214810,.T.); #292549=ORIENTED_EDGE('',*,*,#214812,.F.); #292550=ORIENTED_EDGE('',*,*,#214813,.F.); #292551=ORIENTED_EDGE('',*,*,#214814,.T.); #292552=ORIENTED_EDGE('',*,*,#214813,.T.); #292553=ORIENTED_EDGE('',*,*,#214815,.F.); #292554=ORIENTED_EDGE('',*,*,#214816,.F.); #292555=ORIENTED_EDGE('',*,*,#214817,.T.); #292556=ORIENTED_EDGE('',*,*,#214816,.T.); #292557=ORIENTED_EDGE('',*,*,#214818,.F.); #292558=ORIENTED_EDGE('',*,*,#214819,.F.); #292559=ORIENTED_EDGE('',*,*,#214820,.T.); #292560=ORIENTED_EDGE('',*,*,#214819,.T.); #292561=ORIENTED_EDGE('',*,*,#214821,.F.); #292562=ORIENTED_EDGE('',*,*,#214822,.F.); #292563=ORIENTED_EDGE('',*,*,#214823,.T.); #292564=ORIENTED_EDGE('',*,*,#214822,.T.); #292565=ORIENTED_EDGE('',*,*,#214824,.F.); #292566=ORIENTED_EDGE('',*,*,#214825,.F.); #292567=ORIENTED_EDGE('',*,*,#214826,.T.); #292568=ORIENTED_EDGE('',*,*,#214825,.T.); #292569=ORIENTED_EDGE('',*,*,#214827,.F.); #292570=ORIENTED_EDGE('',*,*,#214828,.F.); #292571=ORIENTED_EDGE('',*,*,#214829,.T.); #292572=ORIENTED_EDGE('',*,*,#214828,.T.); #292573=ORIENTED_EDGE('',*,*,#214830,.F.); #292574=ORIENTED_EDGE('',*,*,#214831,.F.); #292575=ORIENTED_EDGE('',*,*,#214832,.T.); #292576=ORIENTED_EDGE('',*,*,#214831,.T.); #292577=ORIENTED_EDGE('',*,*,#214833,.F.); #292578=ORIENTED_EDGE('',*,*,#214834,.F.); #292579=ORIENTED_EDGE('',*,*,#214835,.T.); #292580=ORIENTED_EDGE('',*,*,#214834,.T.); #292581=ORIENTED_EDGE('',*,*,#214836,.F.); #292582=ORIENTED_EDGE('',*,*,#214837,.F.); #292583=ORIENTED_EDGE('',*,*,#214838,.T.); #292584=ORIENTED_EDGE('',*,*,#214837,.T.); #292585=ORIENTED_EDGE('',*,*,#214839,.F.); #292586=ORIENTED_EDGE('',*,*,#214840,.F.); #292587=ORIENTED_EDGE('',*,*,#214841,.T.); #292588=ORIENTED_EDGE('',*,*,#214840,.T.); #292589=ORIENTED_EDGE('',*,*,#214842,.F.); #292590=ORIENTED_EDGE('',*,*,#214843,.F.); #292591=ORIENTED_EDGE('',*,*,#214844,.T.); #292592=ORIENTED_EDGE('',*,*,#214843,.T.); #292593=ORIENTED_EDGE('',*,*,#214845,.F.); #292594=ORIENTED_EDGE('',*,*,#214846,.F.); #292595=ORIENTED_EDGE('',*,*,#214847,.T.); #292596=ORIENTED_EDGE('',*,*,#214846,.T.); #292597=ORIENTED_EDGE('',*,*,#214848,.F.); #292598=ORIENTED_EDGE('',*,*,#214849,.F.); #292599=ORIENTED_EDGE('',*,*,#214850,.T.); #292600=ORIENTED_EDGE('',*,*,#214849,.T.); #292601=ORIENTED_EDGE('',*,*,#214851,.F.); #292602=ORIENTED_EDGE('',*,*,#214852,.F.); #292603=ORIENTED_EDGE('',*,*,#214853,.T.); #292604=ORIENTED_EDGE('',*,*,#214852,.T.); #292605=ORIENTED_EDGE('',*,*,#214854,.F.); #292606=ORIENTED_EDGE('',*,*,#214855,.F.); #292607=ORIENTED_EDGE('',*,*,#214856,.T.); #292608=ORIENTED_EDGE('',*,*,#214855,.T.); #292609=ORIENTED_EDGE('',*,*,#214857,.F.); #292610=ORIENTED_EDGE('',*,*,#214858,.F.); #292611=ORIENTED_EDGE('',*,*,#214859,.T.); #292612=ORIENTED_EDGE('',*,*,#214858,.T.); #292613=ORIENTED_EDGE('',*,*,#214860,.F.); #292614=ORIENTED_EDGE('',*,*,#214861,.F.); #292615=ORIENTED_EDGE('',*,*,#214862,.T.); #292616=ORIENTED_EDGE('',*,*,#214861,.T.); #292617=ORIENTED_EDGE('',*,*,#214863,.F.); #292618=ORIENTED_EDGE('',*,*,#214864,.F.); #292619=ORIENTED_EDGE('',*,*,#214865,.T.); #292620=ORIENTED_EDGE('',*,*,#214864,.T.); #292621=ORIENTED_EDGE('',*,*,#214866,.F.); #292622=ORIENTED_EDGE('',*,*,#214778,.F.); #292623=ORIENTED_EDGE('',*,*,#214867,.T.); #292624=ORIENTED_EDGE('',*,*,#214868,.T.); #292625=ORIENTED_EDGE('',*,*,#214869,.F.); #292626=ORIENTED_EDGE('',*,*,#214870,.F.); #292627=ORIENTED_EDGE('',*,*,#214871,.T.); #292628=ORIENTED_EDGE('',*,*,#214870,.T.); #292629=ORIENTED_EDGE('',*,*,#214872,.F.); #292630=ORIENTED_EDGE('',*,*,#214873,.F.); #292631=ORIENTED_EDGE('',*,*,#214874,.T.); #292632=ORIENTED_EDGE('',*,*,#214873,.T.); #292633=ORIENTED_EDGE('',*,*,#214875,.F.); #292634=ORIENTED_EDGE('',*,*,#214876,.F.); #292635=ORIENTED_EDGE('',*,*,#214877,.T.); #292636=ORIENTED_EDGE('',*,*,#214876,.T.); #292637=ORIENTED_EDGE('',*,*,#214878,.F.); #292638=ORIENTED_EDGE('',*,*,#214879,.F.); #292639=ORIENTED_EDGE('',*,*,#214880,.T.); #292640=ORIENTED_EDGE('',*,*,#214879,.T.); #292641=ORIENTED_EDGE('',*,*,#214881,.F.); #292642=ORIENTED_EDGE('',*,*,#214882,.F.); #292643=ORIENTED_EDGE('',*,*,#214883,.T.); #292644=ORIENTED_EDGE('',*,*,#214882,.T.); #292645=ORIENTED_EDGE('',*,*,#214884,.F.); #292646=ORIENTED_EDGE('',*,*,#214885,.F.); #292647=ORIENTED_EDGE('',*,*,#214886,.T.); #292648=ORIENTED_EDGE('',*,*,#214885,.T.); #292649=ORIENTED_EDGE('',*,*,#214887,.F.); #292650=ORIENTED_EDGE('',*,*,#214888,.F.); #292651=ORIENTED_EDGE('',*,*,#214889,.T.); #292652=ORIENTED_EDGE('',*,*,#214888,.T.); #292653=ORIENTED_EDGE('',*,*,#214890,.F.); #292654=ORIENTED_EDGE('',*,*,#214891,.F.); #292655=ORIENTED_EDGE('',*,*,#214892,.T.); #292656=ORIENTED_EDGE('',*,*,#214891,.T.); #292657=ORIENTED_EDGE('',*,*,#214893,.F.); #292658=ORIENTED_EDGE('',*,*,#214894,.F.); #292659=ORIENTED_EDGE('',*,*,#214895,.T.); #292660=ORIENTED_EDGE('',*,*,#214894,.T.); #292661=ORIENTED_EDGE('',*,*,#214896,.F.); #292662=ORIENTED_EDGE('',*,*,#214897,.F.); #292663=ORIENTED_EDGE('',*,*,#214898,.T.); #292664=ORIENTED_EDGE('',*,*,#214897,.T.); #292665=ORIENTED_EDGE('',*,*,#214899,.F.); #292666=ORIENTED_EDGE('',*,*,#214900,.F.); #292667=ORIENTED_EDGE('',*,*,#214901,.T.); #292668=ORIENTED_EDGE('',*,*,#214900,.T.); #292669=ORIENTED_EDGE('',*,*,#214902,.F.); #292670=ORIENTED_EDGE('',*,*,#214903,.F.); #292671=ORIENTED_EDGE('',*,*,#214904,.T.); #292672=ORIENTED_EDGE('',*,*,#214903,.T.); #292673=ORIENTED_EDGE('',*,*,#214905,.F.); #292674=ORIENTED_EDGE('',*,*,#214906,.F.); #292675=ORIENTED_EDGE('',*,*,#214907,.T.); #292676=ORIENTED_EDGE('',*,*,#214906,.T.); #292677=ORIENTED_EDGE('',*,*,#214908,.F.); #292678=ORIENTED_EDGE('',*,*,#214909,.F.); #292679=ORIENTED_EDGE('',*,*,#214910,.T.); #292680=ORIENTED_EDGE('',*,*,#214909,.T.); #292681=ORIENTED_EDGE('',*,*,#214911,.F.); #292682=ORIENTED_EDGE('',*,*,#214912,.F.); #292683=ORIENTED_EDGE('',*,*,#214913,.T.); #292684=ORIENTED_EDGE('',*,*,#214912,.T.); #292685=ORIENTED_EDGE('',*,*,#214914,.F.); #292686=ORIENTED_EDGE('',*,*,#214915,.F.); #292687=ORIENTED_EDGE('',*,*,#214916,.T.); #292688=ORIENTED_EDGE('',*,*,#214915,.T.); #292689=ORIENTED_EDGE('',*,*,#214917,.F.); #292690=ORIENTED_EDGE('',*,*,#214918,.F.); #292691=ORIENTED_EDGE('',*,*,#214919,.T.); #292692=ORIENTED_EDGE('',*,*,#214918,.T.); #292693=ORIENTED_EDGE('',*,*,#214920,.F.); #292694=ORIENTED_EDGE('',*,*,#214921,.F.); #292695=ORIENTED_EDGE('',*,*,#214922,.T.); #292696=ORIENTED_EDGE('',*,*,#214921,.T.); #292697=ORIENTED_EDGE('',*,*,#214923,.F.); #292698=ORIENTED_EDGE('',*,*,#214924,.F.); #292699=ORIENTED_EDGE('',*,*,#214925,.T.); #292700=ORIENTED_EDGE('',*,*,#214924,.T.); #292701=ORIENTED_EDGE('',*,*,#214926,.F.); #292702=ORIENTED_EDGE('',*,*,#214927,.F.); #292703=ORIENTED_EDGE('',*,*,#214928,.T.); #292704=ORIENTED_EDGE('',*,*,#214927,.T.); #292705=ORIENTED_EDGE('',*,*,#214929,.F.); #292706=ORIENTED_EDGE('',*,*,#214930,.F.); #292707=ORIENTED_EDGE('',*,*,#214931,.T.); #292708=ORIENTED_EDGE('',*,*,#214930,.T.); #292709=ORIENTED_EDGE('',*,*,#214932,.F.); #292710=ORIENTED_EDGE('',*,*,#214933,.F.); #292711=ORIENTED_EDGE('',*,*,#214934,.T.); #292712=ORIENTED_EDGE('',*,*,#214933,.T.); #292713=ORIENTED_EDGE('',*,*,#214935,.F.); #292714=ORIENTED_EDGE('',*,*,#214936,.F.); #292715=ORIENTED_EDGE('',*,*,#214937,.T.); #292716=ORIENTED_EDGE('',*,*,#214936,.T.); #292717=ORIENTED_EDGE('',*,*,#214938,.F.); #292718=ORIENTED_EDGE('',*,*,#214939,.F.); #292719=ORIENTED_EDGE('',*,*,#214940,.T.); #292720=ORIENTED_EDGE('',*,*,#214939,.T.); #292721=ORIENTED_EDGE('',*,*,#214941,.F.); #292722=ORIENTED_EDGE('',*,*,#214942,.F.); #292723=ORIENTED_EDGE('',*,*,#214943,.T.); #292724=ORIENTED_EDGE('',*,*,#214942,.T.); #292725=ORIENTED_EDGE('',*,*,#214944,.F.); #292726=ORIENTED_EDGE('',*,*,#214945,.F.); #292727=ORIENTED_EDGE('',*,*,#214946,.T.); #292728=ORIENTED_EDGE('',*,*,#214945,.T.); #292729=ORIENTED_EDGE('',*,*,#214947,.F.); #292730=ORIENTED_EDGE('',*,*,#214948,.F.); #292731=ORIENTED_EDGE('',*,*,#214949,.T.); #292732=ORIENTED_EDGE('',*,*,#214948,.T.); #292733=ORIENTED_EDGE('',*,*,#214950,.F.); #292734=ORIENTED_EDGE('',*,*,#214951,.F.); #292735=ORIENTED_EDGE('',*,*,#214952,.T.); #292736=ORIENTED_EDGE('',*,*,#214951,.T.); #292737=ORIENTED_EDGE('',*,*,#214953,.F.); #292738=ORIENTED_EDGE('',*,*,#214954,.F.); #292739=ORIENTED_EDGE('',*,*,#214955,.T.); #292740=ORIENTED_EDGE('',*,*,#214954,.T.); #292741=ORIENTED_EDGE('',*,*,#214956,.F.); #292742=ORIENTED_EDGE('',*,*,#214957,.F.); #292743=ORIENTED_EDGE('',*,*,#214958,.T.); #292744=ORIENTED_EDGE('',*,*,#214957,.T.); #292745=ORIENTED_EDGE('',*,*,#214959,.F.); #292746=ORIENTED_EDGE('',*,*,#214960,.F.); #292747=ORIENTED_EDGE('',*,*,#214961,.T.); #292748=ORIENTED_EDGE('',*,*,#214960,.T.); #292749=ORIENTED_EDGE('',*,*,#214962,.F.); #292750=ORIENTED_EDGE('',*,*,#214963,.F.); #292751=ORIENTED_EDGE('',*,*,#214964,.T.); #292752=ORIENTED_EDGE('',*,*,#214963,.T.); #292753=ORIENTED_EDGE('',*,*,#214965,.F.); #292754=ORIENTED_EDGE('',*,*,#214966,.F.); #292755=ORIENTED_EDGE('',*,*,#214967,.T.); #292756=ORIENTED_EDGE('',*,*,#214966,.T.); #292757=ORIENTED_EDGE('',*,*,#214968,.F.); #292758=ORIENTED_EDGE('',*,*,#214969,.F.); #292759=ORIENTED_EDGE('',*,*,#214970,.T.); #292760=ORIENTED_EDGE('',*,*,#214969,.T.); #292761=ORIENTED_EDGE('',*,*,#214971,.F.); #292762=ORIENTED_EDGE('',*,*,#214972,.F.); #292763=ORIENTED_EDGE('',*,*,#214973,.T.); #292764=ORIENTED_EDGE('',*,*,#214972,.T.); #292765=ORIENTED_EDGE('',*,*,#214974,.F.); #292766=ORIENTED_EDGE('',*,*,#214975,.F.); #292767=ORIENTED_EDGE('',*,*,#214976,.T.); #292768=ORIENTED_EDGE('',*,*,#214975,.T.); #292769=ORIENTED_EDGE('',*,*,#214977,.F.); #292770=ORIENTED_EDGE('',*,*,#214978,.F.); #292771=ORIENTED_EDGE('',*,*,#214979,.T.); #292772=ORIENTED_EDGE('',*,*,#214978,.T.); #292773=ORIENTED_EDGE('',*,*,#214980,.F.); #292774=ORIENTED_EDGE('',*,*,#214981,.F.); #292775=ORIENTED_EDGE('',*,*,#214982,.T.); #292776=ORIENTED_EDGE('',*,*,#214981,.T.); #292777=ORIENTED_EDGE('',*,*,#214983,.F.); #292778=ORIENTED_EDGE('',*,*,#214984,.F.); #292779=ORIENTED_EDGE('',*,*,#214985,.T.); #292780=ORIENTED_EDGE('',*,*,#214984,.T.); #292781=ORIENTED_EDGE('',*,*,#214986,.F.); #292782=ORIENTED_EDGE('',*,*,#214987,.F.); #292783=ORIENTED_EDGE('',*,*,#214988,.T.); #292784=ORIENTED_EDGE('',*,*,#214987,.T.); #292785=ORIENTED_EDGE('',*,*,#214989,.F.); #292786=ORIENTED_EDGE('',*,*,#214990,.F.); #292787=ORIENTED_EDGE('',*,*,#214991,.T.); #292788=ORIENTED_EDGE('',*,*,#214990,.T.); #292789=ORIENTED_EDGE('',*,*,#214992,.F.); #292790=ORIENTED_EDGE('',*,*,#214993,.F.); #292791=ORIENTED_EDGE('',*,*,#214994,.T.); #292792=ORIENTED_EDGE('',*,*,#214993,.T.); #292793=ORIENTED_EDGE('',*,*,#214995,.F.); #292794=ORIENTED_EDGE('',*,*,#214996,.F.); #292795=ORIENTED_EDGE('',*,*,#214997,.T.); #292796=ORIENTED_EDGE('',*,*,#214996,.T.); #292797=ORIENTED_EDGE('',*,*,#214998,.F.); #292798=ORIENTED_EDGE('',*,*,#214999,.F.); #292799=ORIENTED_EDGE('',*,*,#215000,.T.); #292800=ORIENTED_EDGE('',*,*,#214999,.T.); #292801=ORIENTED_EDGE('',*,*,#215001,.F.); #292802=ORIENTED_EDGE('',*,*,#215002,.F.); #292803=ORIENTED_EDGE('',*,*,#215003,.T.); #292804=ORIENTED_EDGE('',*,*,#215002,.T.); #292805=ORIENTED_EDGE('',*,*,#215004,.F.); #292806=ORIENTED_EDGE('',*,*,#215005,.F.); #292807=ORIENTED_EDGE('',*,*,#215006,.T.); #292808=ORIENTED_EDGE('',*,*,#215005,.T.); #292809=ORIENTED_EDGE('',*,*,#215007,.F.); #292810=ORIENTED_EDGE('',*,*,#215008,.F.); #292811=ORIENTED_EDGE('',*,*,#215009,.T.); #292812=ORIENTED_EDGE('',*,*,#215008,.T.); #292813=ORIENTED_EDGE('',*,*,#215010,.F.); #292814=ORIENTED_EDGE('',*,*,#215011,.F.); #292815=ORIENTED_EDGE('',*,*,#215012,.T.); #292816=ORIENTED_EDGE('',*,*,#215011,.T.); #292817=ORIENTED_EDGE('',*,*,#215013,.F.); #292818=ORIENTED_EDGE('',*,*,#215014,.F.); #292819=ORIENTED_EDGE('',*,*,#215015,.T.); #292820=ORIENTED_EDGE('',*,*,#215014,.T.); #292821=ORIENTED_EDGE('',*,*,#215016,.F.); #292822=ORIENTED_EDGE('',*,*,#215017,.F.); #292823=ORIENTED_EDGE('',*,*,#215018,.T.); #292824=ORIENTED_EDGE('',*,*,#215017,.T.); #292825=ORIENTED_EDGE('',*,*,#215019,.F.); #292826=ORIENTED_EDGE('',*,*,#215020,.F.); #292827=ORIENTED_EDGE('',*,*,#215021,.T.); #292828=ORIENTED_EDGE('',*,*,#215020,.T.); #292829=ORIENTED_EDGE('',*,*,#215022,.F.); #292830=ORIENTED_EDGE('',*,*,#215023,.F.); #292831=ORIENTED_EDGE('',*,*,#215024,.T.); #292832=ORIENTED_EDGE('',*,*,#215023,.T.); #292833=ORIENTED_EDGE('',*,*,#215025,.F.); #292834=ORIENTED_EDGE('',*,*,#215026,.F.); #292835=ORIENTED_EDGE('',*,*,#215027,.T.); #292836=ORIENTED_EDGE('',*,*,#215026,.T.); #292837=ORIENTED_EDGE('',*,*,#215028,.F.); #292838=ORIENTED_EDGE('',*,*,#215029,.F.); #292839=ORIENTED_EDGE('',*,*,#215030,.T.); #292840=ORIENTED_EDGE('',*,*,#215029,.T.); #292841=ORIENTED_EDGE('',*,*,#215031,.F.); #292842=ORIENTED_EDGE('',*,*,#215032,.F.); #292843=ORIENTED_EDGE('',*,*,#215033,.T.); #292844=ORIENTED_EDGE('',*,*,#215032,.T.); #292845=ORIENTED_EDGE('',*,*,#215034,.F.); #292846=ORIENTED_EDGE('',*,*,#215035,.F.); #292847=ORIENTED_EDGE('',*,*,#215036,.T.); #292848=ORIENTED_EDGE('',*,*,#215035,.T.); #292849=ORIENTED_EDGE('',*,*,#215037,.F.); #292850=ORIENTED_EDGE('',*,*,#215038,.F.); #292851=ORIENTED_EDGE('',*,*,#215039,.T.); #292852=ORIENTED_EDGE('',*,*,#215038,.T.); #292853=ORIENTED_EDGE('',*,*,#215040,.F.); #292854=ORIENTED_EDGE('',*,*,#215041,.F.); #292855=ORIENTED_EDGE('',*,*,#215042,.T.); #292856=ORIENTED_EDGE('',*,*,#215041,.T.); #292857=ORIENTED_EDGE('',*,*,#215043,.F.); #292858=ORIENTED_EDGE('',*,*,#215044,.F.); #292859=ORIENTED_EDGE('',*,*,#215045,.T.); #292860=ORIENTED_EDGE('',*,*,#215044,.T.); #292861=ORIENTED_EDGE('',*,*,#215046,.F.); #292862=ORIENTED_EDGE('',*,*,#215047,.F.); #292863=ORIENTED_EDGE('',*,*,#215048,.T.); #292864=ORIENTED_EDGE('',*,*,#215047,.T.); #292865=ORIENTED_EDGE('',*,*,#215049,.F.); #292866=ORIENTED_EDGE('',*,*,#215050,.F.); #292867=ORIENTED_EDGE('',*,*,#215051,.T.); #292868=ORIENTED_EDGE('',*,*,#215050,.T.); #292869=ORIENTED_EDGE('',*,*,#215052,.F.); #292870=ORIENTED_EDGE('',*,*,#215053,.F.); #292871=ORIENTED_EDGE('',*,*,#215054,.T.); #292872=ORIENTED_EDGE('',*,*,#215053,.T.); #292873=ORIENTED_EDGE('',*,*,#215055,.F.); #292874=ORIENTED_EDGE('',*,*,#215056,.F.); #292875=ORIENTED_EDGE('',*,*,#215057,.T.); #292876=ORIENTED_EDGE('',*,*,#215056,.T.); #292877=ORIENTED_EDGE('',*,*,#215058,.F.); #292878=ORIENTED_EDGE('',*,*,#215059,.F.); #292879=ORIENTED_EDGE('',*,*,#215060,.T.); #292880=ORIENTED_EDGE('',*,*,#215059,.T.); #292881=ORIENTED_EDGE('',*,*,#215061,.F.); #292882=ORIENTED_EDGE('',*,*,#215062,.F.); #292883=ORIENTED_EDGE('',*,*,#215063,.T.); #292884=ORIENTED_EDGE('',*,*,#215062,.T.); #292885=ORIENTED_EDGE('',*,*,#215064,.F.); #292886=ORIENTED_EDGE('',*,*,#215065,.F.); #292887=ORIENTED_EDGE('',*,*,#215066,.T.); #292888=ORIENTED_EDGE('',*,*,#215065,.T.); #292889=ORIENTED_EDGE('',*,*,#215067,.F.); #292890=ORIENTED_EDGE('',*,*,#215068,.F.); #292891=ORIENTED_EDGE('',*,*,#215069,.T.); #292892=ORIENTED_EDGE('',*,*,#215068,.T.); #292893=ORIENTED_EDGE('',*,*,#215070,.F.); #292894=ORIENTED_EDGE('',*,*,#215071,.F.); #292895=ORIENTED_EDGE('',*,*,#215072,.T.); #292896=ORIENTED_EDGE('',*,*,#215071,.T.); #292897=ORIENTED_EDGE('',*,*,#215073,.F.); #292898=ORIENTED_EDGE('',*,*,#215074,.F.); #292899=ORIENTED_EDGE('',*,*,#215075,.T.); #292900=ORIENTED_EDGE('',*,*,#215074,.T.); #292901=ORIENTED_EDGE('',*,*,#215076,.F.); #292902=ORIENTED_EDGE('',*,*,#215077,.F.); #292903=ORIENTED_EDGE('',*,*,#215078,.T.); #292904=ORIENTED_EDGE('',*,*,#215077,.T.); #292905=ORIENTED_EDGE('',*,*,#215079,.F.); #292906=ORIENTED_EDGE('',*,*,#215080,.F.); #292907=ORIENTED_EDGE('',*,*,#215081,.T.); #292908=ORIENTED_EDGE('',*,*,#215080,.T.); #292909=ORIENTED_EDGE('',*,*,#215082,.F.); #292910=ORIENTED_EDGE('',*,*,#215083,.F.); #292911=ORIENTED_EDGE('',*,*,#215084,.T.); #292912=ORIENTED_EDGE('',*,*,#215083,.T.); #292913=ORIENTED_EDGE('',*,*,#215085,.F.); #292914=ORIENTED_EDGE('',*,*,#215086,.F.); #292915=ORIENTED_EDGE('',*,*,#215087,.T.); #292916=ORIENTED_EDGE('',*,*,#215086,.T.); #292917=ORIENTED_EDGE('',*,*,#215088,.F.); #292918=ORIENTED_EDGE('',*,*,#215089,.F.); #292919=ORIENTED_EDGE('',*,*,#215090,.T.); #292920=ORIENTED_EDGE('',*,*,#215089,.T.); #292921=ORIENTED_EDGE('',*,*,#215091,.F.); #292922=ORIENTED_EDGE('',*,*,#215092,.F.); #292923=ORIENTED_EDGE('',*,*,#215093,.T.); #292924=ORIENTED_EDGE('',*,*,#215092,.T.); #292925=ORIENTED_EDGE('',*,*,#215094,.F.); #292926=ORIENTED_EDGE('',*,*,#215095,.F.); #292927=ORIENTED_EDGE('',*,*,#215096,.T.); #292928=ORIENTED_EDGE('',*,*,#215095,.T.); #292929=ORIENTED_EDGE('',*,*,#215097,.F.); #292930=ORIENTED_EDGE('',*,*,#215098,.F.); #292931=ORIENTED_EDGE('',*,*,#215099,.T.); #292932=ORIENTED_EDGE('',*,*,#215098,.T.); #292933=ORIENTED_EDGE('',*,*,#215100,.F.); #292934=ORIENTED_EDGE('',*,*,#215101,.F.); #292935=ORIENTED_EDGE('',*,*,#215102,.T.); #292936=ORIENTED_EDGE('',*,*,#215101,.T.); #292937=ORIENTED_EDGE('',*,*,#215103,.F.); #292938=ORIENTED_EDGE('',*,*,#215104,.F.); #292939=ORIENTED_EDGE('',*,*,#215105,.T.); #292940=ORIENTED_EDGE('',*,*,#215104,.T.); #292941=ORIENTED_EDGE('',*,*,#215106,.F.); #292942=ORIENTED_EDGE('',*,*,#215107,.F.); #292943=ORIENTED_EDGE('',*,*,#215108,.T.); #292944=ORIENTED_EDGE('',*,*,#215107,.T.); #292945=ORIENTED_EDGE('',*,*,#215109,.F.); #292946=ORIENTED_EDGE('',*,*,#215110,.F.); #292947=ORIENTED_EDGE('',*,*,#215111,.T.); #292948=ORIENTED_EDGE('',*,*,#215110,.T.); #292949=ORIENTED_EDGE('',*,*,#215112,.F.); #292950=ORIENTED_EDGE('',*,*,#215113,.F.); #292951=ORIENTED_EDGE('',*,*,#215114,.T.); #292952=ORIENTED_EDGE('',*,*,#215113,.T.); #292953=ORIENTED_EDGE('',*,*,#215115,.F.); #292954=ORIENTED_EDGE('',*,*,#215116,.F.); #292955=ORIENTED_EDGE('',*,*,#215117,.T.); #292956=ORIENTED_EDGE('',*,*,#215116,.T.); #292957=ORIENTED_EDGE('',*,*,#215118,.F.); #292958=ORIENTED_EDGE('',*,*,#215119,.F.); #292959=ORIENTED_EDGE('',*,*,#215120,.T.); #292960=ORIENTED_EDGE('',*,*,#215119,.T.); #292961=ORIENTED_EDGE('',*,*,#215121,.F.); #292962=ORIENTED_EDGE('',*,*,#215122,.F.); #292963=ORIENTED_EDGE('',*,*,#215123,.T.); #292964=ORIENTED_EDGE('',*,*,#215122,.T.); #292965=ORIENTED_EDGE('',*,*,#215124,.F.); #292966=ORIENTED_EDGE('',*,*,#215125,.F.); #292967=ORIENTED_EDGE('',*,*,#215126,.T.); #292968=ORIENTED_EDGE('',*,*,#215125,.T.); #292969=ORIENTED_EDGE('',*,*,#215127,.F.); #292970=ORIENTED_EDGE('',*,*,#215128,.F.); #292971=ORIENTED_EDGE('',*,*,#215129,.T.); #292972=ORIENTED_EDGE('',*,*,#215128,.T.); #292973=ORIENTED_EDGE('',*,*,#215130,.F.); #292974=ORIENTED_EDGE('',*,*,#215131,.F.); #292975=ORIENTED_EDGE('',*,*,#215132,.T.); #292976=ORIENTED_EDGE('',*,*,#215131,.T.); #292977=ORIENTED_EDGE('',*,*,#215133,.F.); #292978=ORIENTED_EDGE('',*,*,#215134,.F.); #292979=ORIENTED_EDGE('',*,*,#215135,.T.); #292980=ORIENTED_EDGE('',*,*,#215134,.T.); #292981=ORIENTED_EDGE('',*,*,#215136,.F.); #292982=ORIENTED_EDGE('',*,*,#215137,.F.); #292983=ORIENTED_EDGE('',*,*,#215138,.T.); #292984=ORIENTED_EDGE('',*,*,#215137,.T.); #292985=ORIENTED_EDGE('',*,*,#215139,.F.); #292986=ORIENTED_EDGE('',*,*,#215140,.F.); #292987=ORIENTED_EDGE('',*,*,#215141,.T.); #292988=ORIENTED_EDGE('',*,*,#215140,.T.); #292989=ORIENTED_EDGE('',*,*,#215142,.F.); #292990=ORIENTED_EDGE('',*,*,#215143,.F.); #292991=ORIENTED_EDGE('',*,*,#215144,.T.); #292992=ORIENTED_EDGE('',*,*,#215143,.T.); #292993=ORIENTED_EDGE('',*,*,#215145,.F.); #292994=ORIENTED_EDGE('',*,*,#215146,.F.); #292995=ORIENTED_EDGE('',*,*,#215147,.T.); #292996=ORIENTED_EDGE('',*,*,#215146,.T.); #292997=ORIENTED_EDGE('',*,*,#215148,.F.); #292998=ORIENTED_EDGE('',*,*,#215149,.F.); #292999=ORIENTED_EDGE('',*,*,#215150,.T.); #293000=ORIENTED_EDGE('',*,*,#215149,.T.); #293001=ORIENTED_EDGE('',*,*,#215151,.F.); #293002=ORIENTED_EDGE('',*,*,#215152,.F.); #293003=ORIENTED_EDGE('',*,*,#215153,.T.); #293004=ORIENTED_EDGE('',*,*,#215152,.T.); #293005=ORIENTED_EDGE('',*,*,#215154,.F.); #293006=ORIENTED_EDGE('',*,*,#215155,.F.); #293007=ORIENTED_EDGE('',*,*,#215156,.T.); #293008=ORIENTED_EDGE('',*,*,#215155,.T.); #293009=ORIENTED_EDGE('',*,*,#215157,.F.); #293010=ORIENTED_EDGE('',*,*,#215158,.F.); #293011=ORIENTED_EDGE('',*,*,#215159,.T.); #293012=ORIENTED_EDGE('',*,*,#215158,.T.); #293013=ORIENTED_EDGE('',*,*,#215160,.F.); #293014=ORIENTED_EDGE('',*,*,#215161,.F.); #293015=ORIENTED_EDGE('',*,*,#215162,.T.); #293016=ORIENTED_EDGE('',*,*,#215161,.T.); #293017=ORIENTED_EDGE('',*,*,#215163,.F.); #293018=ORIENTED_EDGE('',*,*,#215164,.F.); #293019=ORIENTED_EDGE('',*,*,#215165,.T.); #293020=ORIENTED_EDGE('',*,*,#215164,.T.); #293021=ORIENTED_EDGE('',*,*,#215166,.F.); #293022=ORIENTED_EDGE('',*,*,#215167,.F.); #293023=ORIENTED_EDGE('',*,*,#215168,.T.); #293024=ORIENTED_EDGE('',*,*,#215167,.T.); #293025=ORIENTED_EDGE('',*,*,#215169,.F.); #293026=ORIENTED_EDGE('',*,*,#215170,.F.); #293027=ORIENTED_EDGE('',*,*,#215171,.T.); #293028=ORIENTED_EDGE('',*,*,#215170,.T.); #293029=ORIENTED_EDGE('',*,*,#215172,.F.); #293030=ORIENTED_EDGE('',*,*,#215173,.F.); #293031=ORIENTED_EDGE('',*,*,#215174,.T.); #293032=ORIENTED_EDGE('',*,*,#215173,.T.); #293033=ORIENTED_EDGE('',*,*,#215175,.F.); #293034=ORIENTED_EDGE('',*,*,#215176,.F.); #293035=ORIENTED_EDGE('',*,*,#215177,.T.); #293036=ORIENTED_EDGE('',*,*,#215176,.T.); #293037=ORIENTED_EDGE('',*,*,#215178,.F.); #293038=ORIENTED_EDGE('',*,*,#215179,.F.); #293039=ORIENTED_EDGE('',*,*,#215180,.T.); #293040=ORIENTED_EDGE('',*,*,#215179,.T.); #293041=ORIENTED_EDGE('',*,*,#215181,.F.); #293042=ORIENTED_EDGE('',*,*,#215182,.F.); #293043=ORIENTED_EDGE('',*,*,#215183,.T.); #293044=ORIENTED_EDGE('',*,*,#215182,.T.); #293045=ORIENTED_EDGE('',*,*,#215184,.F.); #293046=ORIENTED_EDGE('',*,*,#215185,.F.); #293047=ORIENTED_EDGE('',*,*,#215186,.T.); #293048=ORIENTED_EDGE('',*,*,#215185,.T.); #293049=ORIENTED_EDGE('',*,*,#215187,.F.); #293050=ORIENTED_EDGE('',*,*,#215188,.F.); #293051=ORIENTED_EDGE('',*,*,#215189,.T.); #293052=ORIENTED_EDGE('',*,*,#215188,.T.); #293053=ORIENTED_EDGE('',*,*,#215190,.F.); #293054=ORIENTED_EDGE('',*,*,#215191,.F.); #293055=ORIENTED_EDGE('',*,*,#215192,.T.); #293056=ORIENTED_EDGE('',*,*,#215191,.T.); #293057=ORIENTED_EDGE('',*,*,#215193,.F.); #293058=ORIENTED_EDGE('',*,*,#215194,.F.); #293059=ORIENTED_EDGE('',*,*,#215195,.T.); #293060=ORIENTED_EDGE('',*,*,#215194,.T.); #293061=ORIENTED_EDGE('',*,*,#215196,.F.); #293062=ORIENTED_EDGE('',*,*,#215197,.F.); #293063=ORIENTED_EDGE('',*,*,#215198,.T.); #293064=ORIENTED_EDGE('',*,*,#215197,.T.); #293065=ORIENTED_EDGE('',*,*,#215199,.F.); #293066=ORIENTED_EDGE('',*,*,#215200,.F.); #293067=ORIENTED_EDGE('',*,*,#215201,.T.); #293068=ORIENTED_EDGE('',*,*,#215200,.T.); #293069=ORIENTED_EDGE('',*,*,#215202,.F.); #293070=ORIENTED_EDGE('',*,*,#215203,.F.); #293071=ORIENTED_EDGE('',*,*,#215204,.T.); #293072=ORIENTED_EDGE('',*,*,#215203,.T.); #293073=ORIENTED_EDGE('',*,*,#215205,.F.); #293074=ORIENTED_EDGE('',*,*,#215206,.F.); #293075=ORIENTED_EDGE('',*,*,#215207,.T.); #293076=ORIENTED_EDGE('',*,*,#215206,.T.); #293077=ORIENTED_EDGE('',*,*,#215208,.F.); #293078=ORIENTED_EDGE('',*,*,#215209,.F.); #293079=ORIENTED_EDGE('',*,*,#215210,.T.); #293080=ORIENTED_EDGE('',*,*,#215209,.T.); #293081=ORIENTED_EDGE('',*,*,#215211,.F.); #293082=ORIENTED_EDGE('',*,*,#215212,.F.); #293083=ORIENTED_EDGE('',*,*,#215213,.T.); #293084=ORIENTED_EDGE('',*,*,#215212,.T.); #293085=ORIENTED_EDGE('',*,*,#215214,.F.); #293086=ORIENTED_EDGE('',*,*,#215215,.F.); #293087=ORIENTED_EDGE('',*,*,#215216,.T.); #293088=ORIENTED_EDGE('',*,*,#215215,.T.); #293089=ORIENTED_EDGE('',*,*,#215217,.F.); #293090=ORIENTED_EDGE('',*,*,#215218,.F.); #293091=ORIENTED_EDGE('',*,*,#215219,.T.); #293092=ORIENTED_EDGE('',*,*,#215218,.T.); #293093=ORIENTED_EDGE('',*,*,#215220,.F.); #293094=ORIENTED_EDGE('',*,*,#215221,.F.); #293095=ORIENTED_EDGE('',*,*,#215222,.T.); #293096=ORIENTED_EDGE('',*,*,#215221,.T.); #293097=ORIENTED_EDGE('',*,*,#215223,.F.); #293098=ORIENTED_EDGE('',*,*,#215224,.F.); #293099=ORIENTED_EDGE('',*,*,#215225,.T.); #293100=ORIENTED_EDGE('',*,*,#215224,.T.); #293101=ORIENTED_EDGE('',*,*,#215226,.F.); #293102=ORIENTED_EDGE('',*,*,#214868,.F.); #293103=ORIENTED_EDGE('',*,*,#215226,.T.); #293104=ORIENTED_EDGE('',*,*,#215223,.T.); #293105=ORIENTED_EDGE('',*,*,#215220,.T.); #293106=ORIENTED_EDGE('',*,*,#215217,.T.); #293107=ORIENTED_EDGE('',*,*,#215214,.T.); #293108=ORIENTED_EDGE('',*,*,#215211,.T.); #293109=ORIENTED_EDGE('',*,*,#215208,.T.); #293110=ORIENTED_EDGE('',*,*,#215205,.T.); #293111=ORIENTED_EDGE('',*,*,#215202,.T.); #293112=ORIENTED_EDGE('',*,*,#215199,.T.); #293113=ORIENTED_EDGE('',*,*,#215196,.T.); #293114=ORIENTED_EDGE('',*,*,#215193,.T.); #293115=ORIENTED_EDGE('',*,*,#215190,.T.); #293116=ORIENTED_EDGE('',*,*,#215187,.T.); #293117=ORIENTED_EDGE('',*,*,#215184,.T.); #293118=ORIENTED_EDGE('',*,*,#215181,.T.); #293119=ORIENTED_EDGE('',*,*,#215178,.T.); #293120=ORIENTED_EDGE('',*,*,#215175,.T.); #293121=ORIENTED_EDGE('',*,*,#215172,.T.); #293122=ORIENTED_EDGE('',*,*,#215169,.T.); #293123=ORIENTED_EDGE('',*,*,#215166,.T.); #293124=ORIENTED_EDGE('',*,*,#215163,.T.); #293125=ORIENTED_EDGE('',*,*,#215160,.T.); #293126=ORIENTED_EDGE('',*,*,#215157,.T.); #293127=ORIENTED_EDGE('',*,*,#215154,.T.); #293128=ORIENTED_EDGE('',*,*,#215151,.T.); #293129=ORIENTED_EDGE('',*,*,#215148,.T.); #293130=ORIENTED_EDGE('',*,*,#215145,.T.); #293131=ORIENTED_EDGE('',*,*,#215142,.T.); #293132=ORIENTED_EDGE('',*,*,#215139,.T.); #293133=ORIENTED_EDGE('',*,*,#215136,.T.); #293134=ORIENTED_EDGE('',*,*,#215133,.T.); #293135=ORIENTED_EDGE('',*,*,#215130,.T.); #293136=ORIENTED_EDGE('',*,*,#215127,.T.); #293137=ORIENTED_EDGE('',*,*,#215124,.T.); #293138=ORIENTED_EDGE('',*,*,#215121,.T.); #293139=ORIENTED_EDGE('',*,*,#215118,.T.); #293140=ORIENTED_EDGE('',*,*,#215115,.T.); #293141=ORIENTED_EDGE('',*,*,#215112,.T.); #293142=ORIENTED_EDGE('',*,*,#215109,.T.); #293143=ORIENTED_EDGE('',*,*,#215106,.T.); #293144=ORIENTED_EDGE('',*,*,#215103,.T.); #293145=ORIENTED_EDGE('',*,*,#215100,.T.); #293146=ORIENTED_EDGE('',*,*,#215097,.T.); #293147=ORIENTED_EDGE('',*,*,#215094,.T.); #293148=ORIENTED_EDGE('',*,*,#215091,.T.); #293149=ORIENTED_EDGE('',*,*,#215088,.T.); #293150=ORIENTED_EDGE('',*,*,#215085,.T.); #293151=ORIENTED_EDGE('',*,*,#215082,.T.); #293152=ORIENTED_EDGE('',*,*,#215079,.T.); #293153=ORIENTED_EDGE('',*,*,#215076,.T.); #293154=ORIENTED_EDGE('',*,*,#215073,.T.); #293155=ORIENTED_EDGE('',*,*,#215070,.T.); #293156=ORIENTED_EDGE('',*,*,#215067,.T.); #293157=ORIENTED_EDGE('',*,*,#215064,.T.); #293158=ORIENTED_EDGE('',*,*,#215061,.T.); #293159=ORIENTED_EDGE('',*,*,#215058,.T.); #293160=ORIENTED_EDGE('',*,*,#215055,.T.); #293161=ORIENTED_EDGE('',*,*,#215052,.T.); #293162=ORIENTED_EDGE('',*,*,#215049,.T.); #293163=ORIENTED_EDGE('',*,*,#215046,.T.); #293164=ORIENTED_EDGE('',*,*,#215043,.T.); #293165=ORIENTED_EDGE('',*,*,#215040,.T.); #293166=ORIENTED_EDGE('',*,*,#215037,.T.); #293167=ORIENTED_EDGE('',*,*,#215034,.T.); #293168=ORIENTED_EDGE('',*,*,#215031,.T.); #293169=ORIENTED_EDGE('',*,*,#215028,.T.); #293170=ORIENTED_EDGE('',*,*,#215025,.T.); #293171=ORIENTED_EDGE('',*,*,#215022,.T.); #293172=ORIENTED_EDGE('',*,*,#215019,.T.); #293173=ORIENTED_EDGE('',*,*,#215016,.T.); #293174=ORIENTED_EDGE('',*,*,#215013,.T.); #293175=ORIENTED_EDGE('',*,*,#215010,.T.); #293176=ORIENTED_EDGE('',*,*,#215007,.T.); #293177=ORIENTED_EDGE('',*,*,#215004,.T.); #293178=ORIENTED_EDGE('',*,*,#215001,.T.); #293179=ORIENTED_EDGE('',*,*,#214998,.T.); #293180=ORIENTED_EDGE('',*,*,#214995,.T.); #293181=ORIENTED_EDGE('',*,*,#214992,.T.); #293182=ORIENTED_EDGE('',*,*,#214989,.T.); #293183=ORIENTED_EDGE('',*,*,#214986,.T.); #293184=ORIENTED_EDGE('',*,*,#214983,.T.); #293185=ORIENTED_EDGE('',*,*,#214980,.T.); #293186=ORIENTED_EDGE('',*,*,#214977,.T.); #293187=ORIENTED_EDGE('',*,*,#214974,.T.); #293188=ORIENTED_EDGE('',*,*,#214971,.T.); #293189=ORIENTED_EDGE('',*,*,#214968,.T.); #293190=ORIENTED_EDGE('',*,*,#214965,.T.); #293191=ORIENTED_EDGE('',*,*,#214962,.T.); #293192=ORIENTED_EDGE('',*,*,#214959,.T.); #293193=ORIENTED_EDGE('',*,*,#214956,.T.); #293194=ORIENTED_EDGE('',*,*,#214953,.T.); #293195=ORIENTED_EDGE('',*,*,#214950,.T.); #293196=ORIENTED_EDGE('',*,*,#214947,.T.); #293197=ORIENTED_EDGE('',*,*,#214944,.T.); #293198=ORIENTED_EDGE('',*,*,#214941,.T.); #293199=ORIENTED_EDGE('',*,*,#214938,.T.); #293200=ORIENTED_EDGE('',*,*,#214935,.T.); #293201=ORIENTED_EDGE('',*,*,#214932,.T.); #293202=ORIENTED_EDGE('',*,*,#214929,.T.); #293203=ORIENTED_EDGE('',*,*,#214926,.T.); #293204=ORIENTED_EDGE('',*,*,#214923,.T.); #293205=ORIENTED_EDGE('',*,*,#214920,.T.); #293206=ORIENTED_EDGE('',*,*,#214917,.T.); #293207=ORIENTED_EDGE('',*,*,#214914,.T.); #293208=ORIENTED_EDGE('',*,*,#214911,.T.); #293209=ORIENTED_EDGE('',*,*,#214908,.T.); #293210=ORIENTED_EDGE('',*,*,#214905,.T.); #293211=ORIENTED_EDGE('',*,*,#214902,.T.); #293212=ORIENTED_EDGE('',*,*,#214899,.T.); #293213=ORIENTED_EDGE('',*,*,#214896,.T.); #293214=ORIENTED_EDGE('',*,*,#214893,.T.); #293215=ORIENTED_EDGE('',*,*,#214890,.T.); #293216=ORIENTED_EDGE('',*,*,#214887,.T.); #293217=ORIENTED_EDGE('',*,*,#214884,.T.); #293218=ORIENTED_EDGE('',*,*,#214881,.T.); #293219=ORIENTED_EDGE('',*,*,#214878,.T.); #293220=ORIENTED_EDGE('',*,*,#214875,.T.); #293221=ORIENTED_EDGE('',*,*,#214872,.T.); #293222=ORIENTED_EDGE('',*,*,#214869,.T.); #293223=ORIENTED_EDGE('',*,*,#210186,.T.); #293224=ORIENTED_EDGE('',*,*,#210189,.T.); #293225=ORIENTED_EDGE('',*,*,#210192,.T.); #293226=ORIENTED_EDGE('',*,*,#210195,.T.); #293227=ORIENTED_EDGE('',*,*,#210198,.T.); #293228=ORIENTED_EDGE('',*,*,#210201,.T.); #293229=ORIENTED_EDGE('',*,*,#210204,.T.); #293230=ORIENTED_EDGE('',*,*,#210207,.T.); #293231=ORIENTED_EDGE('',*,*,#210210,.T.); #293232=ORIENTED_EDGE('',*,*,#210213,.T.); #293233=ORIENTED_EDGE('',*,*,#210216,.T.); #293234=ORIENTED_EDGE('',*,*,#210219,.T.); #293235=ORIENTED_EDGE('',*,*,#210222,.T.); #293236=ORIENTED_EDGE('',*,*,#210225,.T.); #293237=ORIENTED_EDGE('',*,*,#210228,.T.); #293238=ORIENTED_EDGE('',*,*,#210231,.T.); #293239=ORIENTED_EDGE('',*,*,#210234,.T.); #293240=ORIENTED_EDGE('',*,*,#210237,.T.); #293241=ORIENTED_EDGE('',*,*,#210240,.T.); #293242=ORIENTED_EDGE('',*,*,#210243,.T.); #293243=ORIENTED_EDGE('',*,*,#210246,.T.); #293244=ORIENTED_EDGE('',*,*,#210249,.T.); #293245=ORIENTED_EDGE('',*,*,#210252,.T.); #293246=ORIENTED_EDGE('',*,*,#210255,.T.); #293247=ORIENTED_EDGE('',*,*,#210258,.T.); #293248=ORIENTED_EDGE('',*,*,#210261,.T.); #293249=ORIENTED_EDGE('',*,*,#210264,.T.); #293250=ORIENTED_EDGE('',*,*,#210267,.T.); #293251=ORIENTED_EDGE('',*,*,#210270,.T.); #293252=ORIENTED_EDGE('',*,*,#210273,.T.); #293253=ORIENTED_EDGE('',*,*,#210276,.T.); #293254=ORIENTED_EDGE('',*,*,#210279,.T.); #293255=ORIENTED_EDGE('',*,*,#210282,.T.); #293256=ORIENTED_EDGE('',*,*,#210285,.T.); #293257=ORIENTED_EDGE('',*,*,#210288,.T.); #293258=ORIENTED_EDGE('',*,*,#210291,.T.); #293259=ORIENTED_EDGE('',*,*,#210294,.T.); #293260=ORIENTED_EDGE('',*,*,#210297,.T.); #293261=ORIENTED_EDGE('',*,*,#210300,.T.); #293262=ORIENTED_EDGE('',*,*,#210303,.T.); #293263=ORIENTED_EDGE('',*,*,#210306,.T.); #293264=ORIENTED_EDGE('',*,*,#210309,.T.); #293265=ORIENTED_EDGE('',*,*,#210312,.T.); #293266=ORIENTED_EDGE('',*,*,#210315,.T.); #293267=ORIENTED_EDGE('',*,*,#210318,.T.); #293268=ORIENTED_EDGE('',*,*,#210321,.T.); #293269=ORIENTED_EDGE('',*,*,#210324,.T.); #293270=ORIENTED_EDGE('',*,*,#210327,.T.); #293271=ORIENTED_EDGE('',*,*,#210330,.T.); #293272=ORIENTED_EDGE('',*,*,#210333,.T.); #293273=ORIENTED_EDGE('',*,*,#210336,.T.); #293274=ORIENTED_EDGE('',*,*,#210339,.T.); #293275=ORIENTED_EDGE('',*,*,#210342,.T.); #293276=ORIENTED_EDGE('',*,*,#210345,.T.); #293277=ORIENTED_EDGE('',*,*,#210348,.T.); #293278=ORIENTED_EDGE('',*,*,#210351,.T.); #293279=ORIENTED_EDGE('',*,*,#210354,.T.); #293280=ORIENTED_EDGE('',*,*,#210357,.T.); #293281=ORIENTED_EDGE('',*,*,#210360,.T.); #293282=ORIENTED_EDGE('',*,*,#210363,.T.); #293283=ORIENTED_EDGE('',*,*,#210366,.T.); #293284=ORIENTED_EDGE('',*,*,#210369,.T.); #293285=ORIENTED_EDGE('',*,*,#210372,.T.); #293286=ORIENTED_EDGE('',*,*,#210375,.T.); #293287=ORIENTED_EDGE('',*,*,#210383,.T.); #293288=ORIENTED_EDGE('',*,*,#210386,.T.); #293289=ORIENTED_EDGE('',*,*,#210376,.T.); #293290=ORIENTED_EDGE('',*,*,#210380,.T.); #293291=ORIENTED_EDGE('',*,*,#210395,.T.); #293292=ORIENTED_EDGE('',*,*,#210398,.T.); #293293=ORIENTED_EDGE('',*,*,#210388,.T.); #293294=ORIENTED_EDGE('',*,*,#210392,.T.); #293295=ORIENTED_EDGE('',*,*,#210402,.T.); #293296=ORIENTED_EDGE('',*,*,#210405,.T.); #293297=ORIENTED_EDGE('',*,*,#210413,.T.); #293298=ORIENTED_EDGE('',*,*,#210416,.T.); #293299=ORIENTED_EDGE('',*,*,#210406,.T.); #293300=ORIENTED_EDGE('',*,*,#210410,.T.); #293301=ORIENTED_EDGE('',*,*,#210420,.T.); #293302=ORIENTED_EDGE('',*,*,#210423,.T.); #293303=ORIENTED_EDGE('',*,*,#210426,.T.); #293304=ORIENTED_EDGE('',*,*,#210429,.T.); #293305=ORIENTED_EDGE('',*,*,#210432,.T.); #293306=ORIENTED_EDGE('',*,*,#210440,.T.); #293307=ORIENTED_EDGE('',*,*,#210443,.T.); #293308=ORIENTED_EDGE('',*,*,#210433,.T.); #293309=ORIENTED_EDGE('',*,*,#210437,.T.); #293310=ORIENTED_EDGE('',*,*,#210447,.T.); #293311=ORIENTED_EDGE('',*,*,#210455,.T.); #293312=ORIENTED_EDGE('',*,*,#210458,.T.); #293313=ORIENTED_EDGE('',*,*,#210448,.T.); #293314=ORIENTED_EDGE('',*,*,#210452,.T.); #293315=ORIENTED_EDGE('',*,*,#210467,.T.); #293316=ORIENTED_EDGE('',*,*,#210470,.T.); #293317=ORIENTED_EDGE('',*,*,#210460,.T.); #293318=ORIENTED_EDGE('',*,*,#210464,.T.); #293319=ORIENTED_EDGE('',*,*,#210474,.T.); #293320=ORIENTED_EDGE('',*,*,#210477,.T.); #293321=ORIENTED_EDGE('',*,*,#210480,.T.); #293322=ORIENTED_EDGE('',*,*,#210483,.T.); #293323=ORIENTED_EDGE('',*,*,#210486,.T.); #293324=ORIENTED_EDGE('',*,*,#210489,.T.); #293325=ORIENTED_EDGE('',*,*,#210492,.T.); #293326=ORIENTED_EDGE('',*,*,#210495,.T.); #293327=ORIENTED_EDGE('',*,*,#210498,.T.); #293328=ORIENTED_EDGE('',*,*,#210501,.T.); #293329=ORIENTED_EDGE('',*,*,#210504,.T.); #293330=ORIENTED_EDGE('',*,*,#210507,.T.); #293331=ORIENTED_EDGE('',*,*,#210510,.T.); #293332=ORIENTED_EDGE('',*,*,#210513,.T.); #293333=ORIENTED_EDGE('',*,*,#210516,.T.); #293334=ORIENTED_EDGE('',*,*,#210519,.T.); #293335=ORIENTED_EDGE('',*,*,#210522,.T.); #293336=ORIENTED_EDGE('',*,*,#210525,.T.); #293337=ORIENTED_EDGE('',*,*,#210528,.T.); #293338=ORIENTED_EDGE('',*,*,#210531,.T.); #293339=ORIENTED_EDGE('',*,*,#210534,.T.); #293340=ORIENTED_EDGE('',*,*,#210537,.T.); #293341=ORIENTED_EDGE('',*,*,#210540,.T.); #293342=ORIENTED_EDGE('',*,*,#210543,.T.); #293343=ORIENTED_EDGE('',*,*,#210546,.T.); #293344=ORIENTED_EDGE('',*,*,#210549,.T.); #293345=ORIENTED_EDGE('',*,*,#210552,.T.); #293346=ORIENTED_EDGE('',*,*,#210555,.T.); #293347=ORIENTED_EDGE('',*,*,#210558,.T.); #293348=ORIENTED_EDGE('',*,*,#210561,.T.); #293349=ORIENTED_EDGE('',*,*,#210564,.T.); #293350=ORIENTED_EDGE('',*,*,#210567,.T.); #293351=ORIENTED_EDGE('',*,*,#210570,.T.); #293352=ORIENTED_EDGE('',*,*,#210573,.T.); #293353=ORIENTED_EDGE('',*,*,#210576,.T.); #293354=ORIENTED_EDGE('',*,*,#210579,.T.); #293355=ORIENTED_EDGE('',*,*,#210582,.T.); #293356=ORIENTED_EDGE('',*,*,#210585,.T.); #293357=ORIENTED_EDGE('',*,*,#210588,.T.); #293358=ORIENTED_EDGE('',*,*,#210591,.T.); #293359=ORIENTED_EDGE('',*,*,#210594,.T.); #293360=ORIENTED_EDGE('',*,*,#210597,.T.); #293361=ORIENTED_EDGE('',*,*,#210600,.T.); #293362=ORIENTED_EDGE('',*,*,#210603,.T.); #293363=ORIENTED_EDGE('',*,*,#210606,.T.); #293364=ORIENTED_EDGE('',*,*,#210609,.T.); #293365=ORIENTED_EDGE('',*,*,#210612,.T.); #293366=ORIENTED_EDGE('',*,*,#210615,.T.); #293367=ORIENTED_EDGE('',*,*,#210618,.T.); #293368=ORIENTED_EDGE('',*,*,#210621,.T.); #293369=ORIENTED_EDGE('',*,*,#210624,.T.); #293370=ORIENTED_EDGE('',*,*,#210627,.T.); #293371=ORIENTED_EDGE('',*,*,#214866,.T.); #293372=ORIENTED_EDGE('',*,*,#214863,.T.); #293373=ORIENTED_EDGE('',*,*,#214860,.T.); #293374=ORIENTED_EDGE('',*,*,#214857,.T.); #293375=ORIENTED_EDGE('',*,*,#214854,.T.); #293376=ORIENTED_EDGE('',*,*,#214851,.T.); #293377=ORIENTED_EDGE('',*,*,#214848,.T.); #293378=ORIENTED_EDGE('',*,*,#214845,.T.); #293379=ORIENTED_EDGE('',*,*,#214842,.T.); #293380=ORIENTED_EDGE('',*,*,#214839,.T.); #293381=ORIENTED_EDGE('',*,*,#214836,.T.); #293382=ORIENTED_EDGE('',*,*,#214833,.T.); #293383=ORIENTED_EDGE('',*,*,#214830,.T.); #293384=ORIENTED_EDGE('',*,*,#214827,.T.); #293385=ORIENTED_EDGE('',*,*,#214824,.T.); #293386=ORIENTED_EDGE('',*,*,#214821,.T.); #293387=ORIENTED_EDGE('',*,*,#214818,.T.); #293388=ORIENTED_EDGE('',*,*,#214815,.T.); #293389=ORIENTED_EDGE('',*,*,#214812,.T.); #293390=ORIENTED_EDGE('',*,*,#214809,.T.); #293391=ORIENTED_EDGE('',*,*,#214806,.T.); #293392=ORIENTED_EDGE('',*,*,#214803,.T.); #293393=ORIENTED_EDGE('',*,*,#214800,.T.); #293394=ORIENTED_EDGE('',*,*,#214797,.T.); #293395=ORIENTED_EDGE('',*,*,#214794,.T.); #293396=ORIENTED_EDGE('',*,*,#214791,.T.); #293397=ORIENTED_EDGE('',*,*,#214788,.T.); #293398=ORIENTED_EDGE('',*,*,#214785,.T.); #293399=ORIENTED_EDGE('',*,*,#214782,.T.); #293400=ORIENTED_EDGE('',*,*,#214779,.T.); #293401=ORIENTED_EDGE('',*,*,#214776,.T.); #293402=ORIENTED_EDGE('',*,*,#214773,.T.); #293403=ORIENTED_EDGE('',*,*,#214770,.T.); #293404=ORIENTED_EDGE('',*,*,#214767,.T.); #293405=ORIENTED_EDGE('',*,*,#214764,.T.); #293406=ORIENTED_EDGE('',*,*,#214761,.T.); #293407=ORIENTED_EDGE('',*,*,#214758,.T.); #293408=ORIENTED_EDGE('',*,*,#214755,.T.); #293409=ORIENTED_EDGE('',*,*,#214752,.T.); #293410=ORIENTED_EDGE('',*,*,#214749,.T.); #293411=ORIENTED_EDGE('',*,*,#214746,.T.); #293412=ORIENTED_EDGE('',*,*,#214743,.T.); #293413=ORIENTED_EDGE('',*,*,#214740,.T.); #293414=ORIENTED_EDGE('',*,*,#214737,.T.); #293415=ORIENTED_EDGE('',*,*,#214734,.T.); #293416=ORIENTED_EDGE('',*,*,#214731,.T.); #293417=ORIENTED_EDGE('',*,*,#214728,.T.); #293418=ORIENTED_EDGE('',*,*,#214725,.T.); #293419=ORIENTED_EDGE('',*,*,#214722,.T.); #293420=ORIENTED_EDGE('',*,*,#214719,.T.); #293421=ORIENTED_EDGE('',*,*,#214716,.T.); #293422=ORIENTED_EDGE('',*,*,#214713,.T.); #293423=ORIENTED_EDGE('',*,*,#214710,.T.); #293424=ORIENTED_EDGE('',*,*,#214707,.T.); #293425=ORIENTED_EDGE('',*,*,#214704,.T.); #293426=ORIENTED_EDGE('',*,*,#214701,.T.); #293427=ORIENTED_EDGE('',*,*,#214698,.T.); #293428=ORIENTED_EDGE('',*,*,#214695,.T.); #293429=ORIENTED_EDGE('',*,*,#214692,.T.); #293430=ORIENTED_EDGE('',*,*,#214689,.T.); #293431=ORIENTED_EDGE('',*,*,#214686,.T.); #293432=ORIENTED_EDGE('',*,*,#214683,.T.); #293433=ORIENTED_EDGE('',*,*,#214680,.T.); #293434=ORIENTED_EDGE('',*,*,#214677,.T.); #293435=ORIENTED_EDGE('',*,*,#214674,.T.); #293436=ORIENTED_EDGE('',*,*,#214671,.T.); #293437=ORIENTED_EDGE('',*,*,#214668,.T.); #293438=ORIENTED_EDGE('',*,*,#214665,.T.); #293439=ORIENTED_EDGE('',*,*,#214662,.T.); #293440=ORIENTED_EDGE('',*,*,#214659,.T.); #293441=ORIENTED_EDGE('',*,*,#214656,.T.); #293442=ORIENTED_EDGE('',*,*,#214653,.T.); #293443=ORIENTED_EDGE('',*,*,#214650,.T.); #293444=ORIENTED_EDGE('',*,*,#214647,.T.); #293445=ORIENTED_EDGE('',*,*,#214644,.T.); #293446=ORIENTED_EDGE('',*,*,#214641,.T.); #293447=ORIENTED_EDGE('',*,*,#214638,.T.); #293448=ORIENTED_EDGE('',*,*,#214635,.T.); #293449=ORIENTED_EDGE('',*,*,#214632,.T.); #293450=ORIENTED_EDGE('',*,*,#214629,.T.); #293451=ORIENTED_EDGE('',*,*,#214626,.T.); #293452=ORIENTED_EDGE('',*,*,#214623,.T.); #293453=ORIENTED_EDGE('',*,*,#214620,.T.); #293454=ORIENTED_EDGE('',*,*,#214617,.T.); #293455=ORIENTED_EDGE('',*,*,#214614,.T.); #293456=ORIENTED_EDGE('',*,*,#214611,.T.); #293457=ORIENTED_EDGE('',*,*,#214608,.T.); #293458=ORIENTED_EDGE('',*,*,#214605,.T.); #293459=ORIENTED_EDGE('',*,*,#214602,.T.); #293460=ORIENTED_EDGE('',*,*,#214599,.T.); #293461=ORIENTED_EDGE('',*,*,#214596,.T.); #293462=ORIENTED_EDGE('',*,*,#214593,.T.); #293463=ORIENTED_EDGE('',*,*,#214590,.T.); #293464=ORIENTED_EDGE('',*,*,#214587,.T.); #293465=ORIENTED_EDGE('',*,*,#214584,.T.); #293466=ORIENTED_EDGE('',*,*,#214581,.T.); #293467=ORIENTED_EDGE('',*,*,#214578,.T.); #293468=ORIENTED_EDGE('',*,*,#214575,.T.); #293469=ORIENTED_EDGE('',*,*,#214572,.T.); #293470=ORIENTED_EDGE('',*,*,#214569,.T.); #293471=ORIENTED_EDGE('',*,*,#214566,.T.); #293472=ORIENTED_EDGE('',*,*,#214563,.T.); #293473=ORIENTED_EDGE('',*,*,#214560,.T.); #293474=ORIENTED_EDGE('',*,*,#214557,.T.); #293475=ORIENTED_EDGE('',*,*,#214554,.T.); #293476=ORIENTED_EDGE('',*,*,#214551,.T.); #293477=ORIENTED_EDGE('',*,*,#214548,.T.); #293478=ORIENTED_EDGE('',*,*,#214545,.T.); #293479=ORIENTED_EDGE('',*,*,#214542,.T.); #293480=ORIENTED_EDGE('',*,*,#214539,.T.); #293481=ORIENTED_EDGE('',*,*,#214536,.T.); #293482=ORIENTED_EDGE('',*,*,#214533,.T.); #293483=ORIENTED_EDGE('',*,*,#214530,.T.); #293484=ORIENTED_EDGE('',*,*,#214527,.T.); #293485=ORIENTED_EDGE('',*,*,#214524,.T.); #293486=ORIENTED_EDGE('',*,*,#214521,.T.); #293487=ORIENTED_EDGE('',*,*,#214518,.T.); #293488=ORIENTED_EDGE('',*,*,#214515,.T.); #293489=ORIENTED_EDGE('',*,*,#214512,.T.); #293490=ORIENTED_EDGE('',*,*,#214509,.T.); #293491=ORIENTED_EDGE('',*,*,#214506,.T.); #293492=ORIENTED_EDGE('',*,*,#214503,.T.); #293493=ORIENTED_EDGE('',*,*,#214500,.T.); #293494=ORIENTED_EDGE('',*,*,#214497,.T.); #293495=ORIENTED_EDGE('',*,*,#214494,.T.); #293496=ORIENTED_EDGE('',*,*,#214491,.T.); #293497=ORIENTED_EDGE('',*,*,#214488,.T.); #293498=ORIENTED_EDGE('',*,*,#214485,.T.); #293499=ORIENTED_EDGE('',*,*,#214482,.T.); #293500=ORIENTED_EDGE('',*,*,#214479,.T.); #293501=ORIENTED_EDGE('',*,*,#214476,.T.); #293502=ORIENTED_EDGE('',*,*,#214473,.T.); #293503=ORIENTED_EDGE('',*,*,#214470,.T.); #293504=ORIENTED_EDGE('',*,*,#214467,.T.); #293505=ORIENTED_EDGE('',*,*,#214464,.T.); #293506=ORIENTED_EDGE('',*,*,#214461,.T.); #293507=ORIENTED_EDGE('',*,*,#214458,.T.); #293508=ORIENTED_EDGE('',*,*,#214455,.T.); #293509=ORIENTED_EDGE('',*,*,#214452,.T.); #293510=ORIENTED_EDGE('',*,*,#214449,.T.); #293511=ORIENTED_EDGE('',*,*,#214446,.T.); #293512=ORIENTED_EDGE('',*,*,#214443,.T.); #293513=ORIENTED_EDGE('',*,*,#214440,.T.); #293514=ORIENTED_EDGE('',*,*,#214437,.T.); #293515=ORIENTED_EDGE('',*,*,#214434,.T.); #293516=ORIENTED_EDGE('',*,*,#214431,.T.); #293517=ORIENTED_EDGE('',*,*,#214428,.T.); #293518=ORIENTED_EDGE('',*,*,#214425,.T.); #293519=ORIENTED_EDGE('',*,*,#214422,.T.); #293520=ORIENTED_EDGE('',*,*,#214419,.T.); #293521=ORIENTED_EDGE('',*,*,#214416,.T.); #293522=ORIENTED_EDGE('',*,*,#214413,.T.); #293523=ORIENTED_EDGE('',*,*,#214410,.T.); #293524=ORIENTED_EDGE('',*,*,#214407,.T.); #293525=ORIENTED_EDGE('',*,*,#214404,.T.); #293526=ORIENTED_EDGE('',*,*,#214401,.T.); #293527=ORIENTED_EDGE('',*,*,#214398,.T.); #293528=ORIENTED_EDGE('',*,*,#214395,.T.); #293529=ORIENTED_EDGE('',*,*,#214392,.T.); #293530=ORIENTED_EDGE('',*,*,#214389,.T.); #293531=ORIENTED_EDGE('',*,*,#214386,.T.); #293532=ORIENTED_EDGE('',*,*,#214383,.T.); #293533=ORIENTED_EDGE('',*,*,#214380,.T.); #293534=ORIENTED_EDGE('',*,*,#214377,.T.); #293535=ORIENTED_EDGE('',*,*,#214374,.T.); #293536=ORIENTED_EDGE('',*,*,#214371,.T.); #293537=ORIENTED_EDGE('',*,*,#214368,.T.); #293538=ORIENTED_EDGE('',*,*,#214365,.T.); #293539=ORIENTED_EDGE('',*,*,#214362,.T.); #293540=ORIENTED_EDGE('',*,*,#214359,.T.); #293541=ORIENTED_EDGE('',*,*,#214356,.T.); #293542=ORIENTED_EDGE('',*,*,#214353,.T.); #293543=ORIENTED_EDGE('',*,*,#214350,.T.); #293544=ORIENTED_EDGE('',*,*,#214347,.T.); #293545=ORIENTED_EDGE('',*,*,#214344,.T.); #293546=ORIENTED_EDGE('',*,*,#214341,.T.); #293547=ORIENTED_EDGE('',*,*,#214338,.T.); #293548=ORIENTED_EDGE('',*,*,#214335,.T.); #293549=ORIENTED_EDGE('',*,*,#214332,.T.); #293550=ORIENTED_EDGE('',*,*,#214329,.T.); #293551=ORIENTED_EDGE('',*,*,#214326,.T.); #293552=ORIENTED_EDGE('',*,*,#214323,.T.); #293553=ORIENTED_EDGE('',*,*,#214320,.T.); #293554=ORIENTED_EDGE('',*,*,#214317,.T.); #293555=ORIENTED_EDGE('',*,*,#214314,.T.); #293556=ORIENTED_EDGE('',*,*,#214311,.T.); #293557=ORIENTED_EDGE('',*,*,#214308,.T.); #293558=ORIENTED_EDGE('',*,*,#214305,.T.); #293559=ORIENTED_EDGE('',*,*,#214302,.T.); #293560=ORIENTED_EDGE('',*,*,#214299,.T.); #293561=ORIENTED_EDGE('',*,*,#214296,.T.); #293562=ORIENTED_EDGE('',*,*,#214293,.T.); #293563=ORIENTED_EDGE('',*,*,#214290,.T.); #293564=ORIENTED_EDGE('',*,*,#214287,.T.); #293565=ORIENTED_EDGE('',*,*,#214284,.T.); #293566=ORIENTED_EDGE('',*,*,#214281,.T.); #293567=ORIENTED_EDGE('',*,*,#214278,.T.); #293568=ORIENTED_EDGE('',*,*,#214275,.T.); #293569=ORIENTED_EDGE('',*,*,#214272,.T.); #293570=ORIENTED_EDGE('',*,*,#214269,.T.); #293571=ORIENTED_EDGE('',*,*,#214266,.T.); #293572=ORIENTED_EDGE('',*,*,#214263,.T.); #293573=ORIENTED_EDGE('',*,*,#214260,.T.); #293574=ORIENTED_EDGE('',*,*,#214257,.T.); #293575=ORIENTED_EDGE('',*,*,#214254,.T.); #293576=ORIENTED_EDGE('',*,*,#214251,.T.); #293577=ORIENTED_EDGE('',*,*,#214248,.T.); #293578=ORIENTED_EDGE('',*,*,#214245,.T.); #293579=ORIENTED_EDGE('',*,*,#214242,.T.); #293580=ORIENTED_EDGE('',*,*,#214239,.T.); #293581=ORIENTED_EDGE('',*,*,#214236,.T.); #293582=ORIENTED_EDGE('',*,*,#214233,.T.); #293583=ORIENTED_EDGE('',*,*,#214230,.T.); #293584=ORIENTED_EDGE('',*,*,#214227,.T.); #293585=ORIENTED_EDGE('',*,*,#214224,.T.); #293586=ORIENTED_EDGE('',*,*,#214221,.T.); #293587=ORIENTED_EDGE('',*,*,#214218,.T.); #293588=ORIENTED_EDGE('',*,*,#214215,.T.); #293589=ORIENTED_EDGE('',*,*,#214212,.T.); #293590=ORIENTED_EDGE('',*,*,#214209,.T.); #293591=ORIENTED_EDGE('',*,*,#214206,.T.); #293592=ORIENTED_EDGE('',*,*,#214203,.T.); #293593=ORIENTED_EDGE('',*,*,#214200,.T.); #293594=ORIENTED_EDGE('',*,*,#214197,.T.); #293595=ORIENTED_EDGE('',*,*,#214194,.T.); #293596=ORIENTED_EDGE('',*,*,#214191,.T.); #293597=ORIENTED_EDGE('',*,*,#214188,.T.); #293598=ORIENTED_EDGE('',*,*,#214185,.T.); #293599=ORIENTED_EDGE('',*,*,#214182,.T.); #293600=ORIENTED_EDGE('',*,*,#214179,.T.); #293601=ORIENTED_EDGE('',*,*,#214176,.T.); #293602=ORIENTED_EDGE('',*,*,#214173,.T.); #293603=ORIENTED_EDGE('',*,*,#214170,.T.); #293604=ORIENTED_EDGE('',*,*,#214167,.T.); #293605=ORIENTED_EDGE('',*,*,#214164,.T.); #293606=ORIENTED_EDGE('',*,*,#214161,.T.); #293607=ORIENTED_EDGE('',*,*,#214158,.T.); #293608=ORIENTED_EDGE('',*,*,#214155,.T.); #293609=ORIENTED_EDGE('',*,*,#214152,.T.); #293610=ORIENTED_EDGE('',*,*,#214149,.T.); #293611=ORIENTED_EDGE('',*,*,#214146,.T.); #293612=ORIENTED_EDGE('',*,*,#214143,.T.); #293613=ORIENTED_EDGE('',*,*,#214140,.T.); #293614=ORIENTED_EDGE('',*,*,#214137,.T.); #293615=ORIENTED_EDGE('',*,*,#214134,.T.); #293616=ORIENTED_EDGE('',*,*,#214131,.T.); #293617=ORIENTED_EDGE('',*,*,#214128,.T.); #293618=ORIENTED_EDGE('',*,*,#214125,.T.); #293619=ORIENTED_EDGE('',*,*,#214122,.T.); #293620=ORIENTED_EDGE('',*,*,#214119,.T.); #293621=ORIENTED_EDGE('',*,*,#214116,.T.); #293622=ORIENTED_EDGE('',*,*,#214113,.T.); #293623=ORIENTED_EDGE('',*,*,#214110,.T.); #293624=ORIENTED_EDGE('',*,*,#214107,.T.); #293625=ORIENTED_EDGE('',*,*,#214104,.T.); #293626=ORIENTED_EDGE('',*,*,#214101,.T.); #293627=ORIENTED_EDGE('',*,*,#214098,.T.); #293628=ORIENTED_EDGE('',*,*,#214095,.T.); #293629=ORIENTED_EDGE('',*,*,#214092,.T.); #293630=ORIENTED_EDGE('',*,*,#214089,.T.); #293631=ORIENTED_EDGE('',*,*,#214086,.T.); #293632=ORIENTED_EDGE('',*,*,#214083,.T.); #293633=ORIENTED_EDGE('',*,*,#214080,.T.); #293634=ORIENTED_EDGE('',*,*,#214077,.T.); #293635=ORIENTED_EDGE('',*,*,#214074,.T.); #293636=ORIENTED_EDGE('',*,*,#214071,.T.); #293637=ORIENTED_EDGE('',*,*,#214068,.T.); #293638=ORIENTED_EDGE('',*,*,#214065,.T.); #293639=ORIENTED_EDGE('',*,*,#214062,.T.); #293640=ORIENTED_EDGE('',*,*,#214059,.T.); #293641=ORIENTED_EDGE('',*,*,#214056,.T.); #293642=ORIENTED_EDGE('',*,*,#214053,.T.); #293643=ORIENTED_EDGE('',*,*,#214050,.T.); #293644=ORIENTED_EDGE('',*,*,#214047,.T.); #293645=ORIENTED_EDGE('',*,*,#214044,.T.); #293646=ORIENTED_EDGE('',*,*,#214041,.T.); #293647=ORIENTED_EDGE('',*,*,#214038,.T.); #293648=ORIENTED_EDGE('',*,*,#214035,.T.); #293649=ORIENTED_EDGE('',*,*,#214032,.T.); #293650=ORIENTED_EDGE('',*,*,#214029,.T.); #293651=ORIENTED_EDGE('',*,*,#214026,.T.); #293652=ORIENTED_EDGE('',*,*,#214023,.T.); #293653=ORIENTED_EDGE('',*,*,#214020,.T.); #293654=ORIENTED_EDGE('',*,*,#214017,.T.); #293655=ORIENTED_EDGE('',*,*,#214014,.T.); #293656=ORIENTED_EDGE('',*,*,#214011,.T.); #293657=ORIENTED_EDGE('',*,*,#214008,.T.); #293658=ORIENTED_EDGE('',*,*,#214005,.T.); #293659=ORIENTED_EDGE('',*,*,#214002,.T.); #293660=ORIENTED_EDGE('',*,*,#213999,.T.); #293661=ORIENTED_EDGE('',*,*,#213996,.T.); #293662=ORIENTED_EDGE('',*,*,#213993,.T.); #293663=ORIENTED_EDGE('',*,*,#213990,.T.); #293664=ORIENTED_EDGE('',*,*,#213987,.T.); #293665=ORIENTED_EDGE('',*,*,#213984,.T.); #293666=ORIENTED_EDGE('',*,*,#213981,.T.); #293667=ORIENTED_EDGE('',*,*,#213978,.T.); #293668=ORIENTED_EDGE('',*,*,#213975,.T.); #293669=ORIENTED_EDGE('',*,*,#213972,.T.); #293670=ORIENTED_EDGE('',*,*,#213969,.T.); #293671=ORIENTED_EDGE('',*,*,#213966,.T.); #293672=ORIENTED_EDGE('',*,*,#213963,.T.); #293673=ORIENTED_EDGE('',*,*,#213960,.T.); #293674=ORIENTED_EDGE('',*,*,#213957,.T.); #293675=ORIENTED_EDGE('',*,*,#213954,.T.); #293676=ORIENTED_EDGE('',*,*,#213951,.T.); #293677=ORIENTED_EDGE('',*,*,#213948,.T.); #293678=ORIENTED_EDGE('',*,*,#213945,.T.); #293679=ORIENTED_EDGE('',*,*,#213942,.T.); #293680=ORIENTED_EDGE('',*,*,#213939,.T.); #293681=ORIENTED_EDGE('',*,*,#213936,.T.); #293682=ORIENTED_EDGE('',*,*,#213933,.T.); #293683=ORIENTED_EDGE('',*,*,#213930,.T.); #293684=ORIENTED_EDGE('',*,*,#213927,.T.); #293685=ORIENTED_EDGE('',*,*,#213924,.T.); #293686=ORIENTED_EDGE('',*,*,#213921,.T.); #293687=ORIENTED_EDGE('',*,*,#213918,.T.); #293688=ORIENTED_EDGE('',*,*,#213915,.T.); #293689=ORIENTED_EDGE('',*,*,#213912,.T.); #293690=ORIENTED_EDGE('',*,*,#213909,.T.); #293691=ORIENTED_EDGE('',*,*,#213906,.T.); #293692=ORIENTED_EDGE('',*,*,#213903,.T.); #293693=ORIENTED_EDGE('',*,*,#213900,.T.); #293694=ORIENTED_EDGE('',*,*,#213897,.T.); #293695=ORIENTED_EDGE('',*,*,#213894,.T.); #293696=ORIENTED_EDGE('',*,*,#213891,.T.); #293697=ORIENTED_EDGE('',*,*,#213888,.T.); #293698=ORIENTED_EDGE('',*,*,#213885,.T.); #293699=ORIENTED_EDGE('',*,*,#213882,.T.); #293700=ORIENTED_EDGE('',*,*,#213879,.T.); #293701=ORIENTED_EDGE('',*,*,#213876,.T.); #293702=ORIENTED_EDGE('',*,*,#213873,.T.); #293703=ORIENTED_EDGE('',*,*,#213870,.T.); #293704=ORIENTED_EDGE('',*,*,#213867,.T.); #293705=ORIENTED_EDGE('',*,*,#213864,.T.); #293706=ORIENTED_EDGE('',*,*,#213861,.T.); #293707=ORIENTED_EDGE('',*,*,#213858,.T.); #293708=ORIENTED_EDGE('',*,*,#213855,.T.); #293709=ORIENTED_EDGE('',*,*,#213852,.T.); #293710=ORIENTED_EDGE('',*,*,#213849,.T.); #293711=ORIENTED_EDGE('',*,*,#213846,.T.); #293712=ORIENTED_EDGE('',*,*,#213843,.T.); #293713=ORIENTED_EDGE('',*,*,#213840,.T.); #293714=ORIENTED_EDGE('',*,*,#213837,.T.); #293715=ORIENTED_EDGE('',*,*,#213834,.T.); #293716=ORIENTED_EDGE('',*,*,#213831,.T.); #293717=ORIENTED_EDGE('',*,*,#213828,.T.); #293718=ORIENTED_EDGE('',*,*,#213825,.T.); #293719=ORIENTED_EDGE('',*,*,#213822,.T.); #293720=ORIENTED_EDGE('',*,*,#213819,.T.); #293721=ORIENTED_EDGE('',*,*,#213816,.T.); #293722=ORIENTED_EDGE('',*,*,#213813,.T.); #293723=ORIENTED_EDGE('',*,*,#213810,.T.); #293724=ORIENTED_EDGE('',*,*,#213807,.T.); #293725=ORIENTED_EDGE('',*,*,#213804,.T.); #293726=ORIENTED_EDGE('',*,*,#213801,.T.); #293727=ORIENTED_EDGE('',*,*,#213798,.T.); #293728=ORIENTED_EDGE('',*,*,#213795,.T.); #293729=ORIENTED_EDGE('',*,*,#213792,.T.); #293730=ORIENTED_EDGE('',*,*,#213789,.T.); #293731=ORIENTED_EDGE('',*,*,#213786,.T.); #293732=ORIENTED_EDGE('',*,*,#213783,.T.); #293733=ORIENTED_EDGE('',*,*,#213780,.T.); #293734=ORIENTED_EDGE('',*,*,#213777,.T.); #293735=ORIENTED_EDGE('',*,*,#213774,.T.); #293736=ORIENTED_EDGE('',*,*,#213771,.T.); #293737=ORIENTED_EDGE('',*,*,#213768,.T.); #293738=ORIENTED_EDGE('',*,*,#213765,.T.); #293739=ORIENTED_EDGE('',*,*,#213762,.T.); #293740=ORIENTED_EDGE('',*,*,#213759,.T.); #293741=ORIENTED_EDGE('',*,*,#213756,.T.); #293742=ORIENTED_EDGE('',*,*,#213753,.T.); #293743=ORIENTED_EDGE('',*,*,#213750,.T.); #293744=ORIENTED_EDGE('',*,*,#213747,.T.); #293745=ORIENTED_EDGE('',*,*,#213744,.T.); #293746=ORIENTED_EDGE('',*,*,#213741,.T.); #293747=ORIENTED_EDGE('',*,*,#213738,.T.); #293748=ORIENTED_EDGE('',*,*,#213735,.T.); #293749=ORIENTED_EDGE('',*,*,#213732,.T.); #293750=ORIENTED_EDGE('',*,*,#213729,.T.); #293751=ORIENTED_EDGE('',*,*,#213726,.T.); #293752=ORIENTED_EDGE('',*,*,#213723,.T.); #293753=ORIENTED_EDGE('',*,*,#213720,.T.); #293754=ORIENTED_EDGE('',*,*,#213717,.T.); #293755=ORIENTED_EDGE('',*,*,#213714,.T.); #293756=ORIENTED_EDGE('',*,*,#213711,.T.); #293757=ORIENTED_EDGE('',*,*,#213708,.T.); #293758=ORIENTED_EDGE('',*,*,#213705,.T.); #293759=ORIENTED_EDGE('',*,*,#213702,.T.); #293760=ORIENTED_EDGE('',*,*,#213699,.T.); #293761=ORIENTED_EDGE('',*,*,#213696,.T.); #293762=ORIENTED_EDGE('',*,*,#213693,.T.); #293763=ORIENTED_EDGE('',*,*,#213690,.T.); #293764=ORIENTED_EDGE('',*,*,#213687,.T.); #293765=ORIENTED_EDGE('',*,*,#213684,.T.); #293766=ORIENTED_EDGE('',*,*,#213681,.T.); #293767=ORIENTED_EDGE('',*,*,#213678,.T.); #293768=ORIENTED_EDGE('',*,*,#213675,.T.); #293769=ORIENTED_EDGE('',*,*,#213672,.T.); #293770=ORIENTED_EDGE('',*,*,#213669,.T.); #293771=ORIENTED_EDGE('',*,*,#213666,.T.); #293772=ORIENTED_EDGE('',*,*,#213663,.T.); #293773=ORIENTED_EDGE('',*,*,#213660,.T.); #293774=ORIENTED_EDGE('',*,*,#213657,.T.); #293775=ORIENTED_EDGE('',*,*,#213654,.T.); #293776=ORIENTED_EDGE('',*,*,#213651,.T.); #293777=ORIENTED_EDGE('',*,*,#213648,.T.); #293778=ORIENTED_EDGE('',*,*,#213645,.T.); #293779=ORIENTED_EDGE('',*,*,#213642,.T.); #293780=ORIENTED_EDGE('',*,*,#213639,.T.); #293781=ORIENTED_EDGE('',*,*,#213636,.T.); #293782=ORIENTED_EDGE('',*,*,#213633,.T.); #293783=ORIENTED_EDGE('',*,*,#213630,.T.); #293784=ORIENTED_EDGE('',*,*,#213627,.T.); #293785=ORIENTED_EDGE('',*,*,#213624,.T.); #293786=ORIENTED_EDGE('',*,*,#213621,.T.); #293787=ORIENTED_EDGE('',*,*,#213618,.T.); #293788=ORIENTED_EDGE('',*,*,#213615,.T.); #293789=ORIENTED_EDGE('',*,*,#213612,.T.); #293790=ORIENTED_EDGE('',*,*,#213609,.T.); #293791=ORIENTED_EDGE('',*,*,#213606,.T.); #293792=ORIENTED_EDGE('',*,*,#213603,.T.); #293793=ORIENTED_EDGE('',*,*,#213600,.T.); #293794=ORIENTED_EDGE('',*,*,#213597,.T.); #293795=ORIENTED_EDGE('',*,*,#213594,.T.); #293796=ORIENTED_EDGE('',*,*,#213591,.T.); #293797=ORIENTED_EDGE('',*,*,#213588,.T.); #293798=ORIENTED_EDGE('',*,*,#213585,.T.); #293799=ORIENTED_EDGE('',*,*,#213582,.T.); #293800=ORIENTED_EDGE('',*,*,#213579,.T.); #293801=ORIENTED_EDGE('',*,*,#213576,.T.); #293802=ORIENTED_EDGE('',*,*,#213573,.T.); #293803=ORIENTED_EDGE('',*,*,#213570,.T.); #293804=ORIENTED_EDGE('',*,*,#213567,.T.); #293805=ORIENTED_EDGE('',*,*,#213564,.T.); #293806=ORIENTED_EDGE('',*,*,#213561,.T.); #293807=ORIENTED_EDGE('',*,*,#213558,.T.); #293808=ORIENTED_EDGE('',*,*,#213555,.T.); #293809=ORIENTED_EDGE('',*,*,#213552,.T.); #293810=ORIENTED_EDGE('',*,*,#213549,.T.); #293811=ORIENTED_EDGE('',*,*,#213546,.T.); #293812=ORIENTED_EDGE('',*,*,#213543,.T.); #293813=ORIENTED_EDGE('',*,*,#213540,.T.); #293814=ORIENTED_EDGE('',*,*,#213537,.T.); #293815=ORIENTED_EDGE('',*,*,#213534,.T.); #293816=ORIENTED_EDGE('',*,*,#213531,.T.); #293817=ORIENTED_EDGE('',*,*,#213528,.T.); #293818=ORIENTED_EDGE('',*,*,#213525,.T.); #293819=ORIENTED_EDGE('',*,*,#213522,.T.); #293820=ORIENTED_EDGE('',*,*,#213519,.T.); #293821=ORIENTED_EDGE('',*,*,#213516,.T.); #293822=ORIENTED_EDGE('',*,*,#213513,.T.); #293823=ORIENTED_EDGE('',*,*,#213510,.T.); #293824=ORIENTED_EDGE('',*,*,#213507,.T.); #293825=ORIENTED_EDGE('',*,*,#213504,.T.); #293826=ORIENTED_EDGE('',*,*,#213501,.T.); #293827=ORIENTED_EDGE('',*,*,#213498,.T.); #293828=ORIENTED_EDGE('',*,*,#213495,.T.); #293829=ORIENTED_EDGE('',*,*,#213492,.T.); #293830=ORIENTED_EDGE('',*,*,#213489,.T.); #293831=ORIENTED_EDGE('',*,*,#213486,.T.); #293832=ORIENTED_EDGE('',*,*,#213483,.T.); #293833=ORIENTED_EDGE('',*,*,#213480,.T.); #293834=ORIENTED_EDGE('',*,*,#213477,.T.); #293835=ORIENTED_EDGE('',*,*,#213474,.T.); #293836=ORIENTED_EDGE('',*,*,#213471,.T.); #293837=ORIENTED_EDGE('',*,*,#213468,.T.); #293838=ORIENTED_EDGE('',*,*,#213465,.T.); #293839=ORIENTED_EDGE('',*,*,#213462,.T.); #293840=ORIENTED_EDGE('',*,*,#213459,.T.); #293841=ORIENTED_EDGE('',*,*,#213456,.T.); #293842=ORIENTED_EDGE('',*,*,#213453,.T.); #293843=ORIENTED_EDGE('',*,*,#213450,.T.); #293844=ORIENTED_EDGE('',*,*,#213447,.T.); #293845=ORIENTED_EDGE('',*,*,#213444,.T.); #293846=ORIENTED_EDGE('',*,*,#213441,.T.); #293847=ORIENTED_EDGE('',*,*,#213438,.T.); #293848=ORIENTED_EDGE('',*,*,#213435,.T.); #293849=ORIENTED_EDGE('',*,*,#213432,.T.); #293850=ORIENTED_EDGE('',*,*,#213429,.T.); #293851=ORIENTED_EDGE('',*,*,#213426,.T.); #293852=ORIENTED_EDGE('',*,*,#213423,.T.); #293853=ORIENTED_EDGE('',*,*,#213420,.T.); #293854=ORIENTED_EDGE('',*,*,#213417,.T.); #293855=ORIENTED_EDGE('',*,*,#213414,.T.); #293856=ORIENTED_EDGE('',*,*,#213411,.T.); #293857=ORIENTED_EDGE('',*,*,#213408,.T.); #293858=ORIENTED_EDGE('',*,*,#213405,.T.); #293859=ORIENTED_EDGE('',*,*,#213402,.T.); #293860=ORIENTED_EDGE('',*,*,#213399,.T.); #293861=ORIENTED_EDGE('',*,*,#213396,.T.); #293862=ORIENTED_EDGE('',*,*,#213393,.T.); #293863=ORIENTED_EDGE('',*,*,#213390,.T.); #293864=ORIENTED_EDGE('',*,*,#213387,.T.); #293865=ORIENTED_EDGE('',*,*,#213384,.T.); #293866=ORIENTED_EDGE('',*,*,#213381,.T.); #293867=ORIENTED_EDGE('',*,*,#213378,.T.); #293868=ORIENTED_EDGE('',*,*,#213375,.T.); #293869=ORIENTED_EDGE('',*,*,#213372,.T.); #293870=ORIENTED_EDGE('',*,*,#213369,.T.); #293871=ORIENTED_EDGE('',*,*,#213366,.T.); #293872=ORIENTED_EDGE('',*,*,#213363,.T.); #293873=ORIENTED_EDGE('',*,*,#213360,.T.); #293874=ORIENTED_EDGE('',*,*,#213357,.T.); #293875=ORIENTED_EDGE('',*,*,#213354,.T.); #293876=ORIENTED_EDGE('',*,*,#213351,.T.); #293877=ORIENTED_EDGE('',*,*,#213348,.T.); #293878=ORIENTED_EDGE('',*,*,#213345,.T.); #293879=ORIENTED_EDGE('',*,*,#213342,.T.); #293880=ORIENTED_EDGE('',*,*,#213339,.T.); #293881=ORIENTED_EDGE('',*,*,#213336,.T.); #293882=ORIENTED_EDGE('',*,*,#213333,.T.); #293883=ORIENTED_EDGE('',*,*,#213330,.T.); #293884=ORIENTED_EDGE('',*,*,#213327,.T.); #293885=ORIENTED_EDGE('',*,*,#213324,.T.); #293886=ORIENTED_EDGE('',*,*,#213321,.T.); #293887=ORIENTED_EDGE('',*,*,#213318,.T.); #293888=ORIENTED_EDGE('',*,*,#213315,.T.); #293889=ORIENTED_EDGE('',*,*,#213312,.T.); #293890=ORIENTED_EDGE('',*,*,#213309,.T.); #293891=ORIENTED_EDGE('',*,*,#213306,.T.); #293892=ORIENTED_EDGE('',*,*,#213303,.T.); #293893=ORIENTED_EDGE('',*,*,#213300,.T.); #293894=ORIENTED_EDGE('',*,*,#213297,.T.); #293895=ORIENTED_EDGE('',*,*,#213294,.T.); #293896=ORIENTED_EDGE('',*,*,#213291,.T.); #293897=ORIENTED_EDGE('',*,*,#213288,.T.); #293898=ORIENTED_EDGE('',*,*,#213285,.T.); #293899=ORIENTED_EDGE('',*,*,#213282,.T.); #293900=ORIENTED_EDGE('',*,*,#213279,.T.); #293901=ORIENTED_EDGE('',*,*,#213276,.T.); #293902=ORIENTED_EDGE('',*,*,#213273,.T.); #293903=ORIENTED_EDGE('',*,*,#213270,.T.); #293904=ORIENTED_EDGE('',*,*,#213267,.T.); #293905=ORIENTED_EDGE('',*,*,#213264,.T.); #293906=ORIENTED_EDGE('',*,*,#213261,.T.); #293907=ORIENTED_EDGE('',*,*,#213258,.T.); #293908=ORIENTED_EDGE('',*,*,#213255,.T.); #293909=ORIENTED_EDGE('',*,*,#213252,.T.); #293910=ORIENTED_EDGE('',*,*,#213249,.T.); #293911=ORIENTED_EDGE('',*,*,#213246,.T.); #293912=ORIENTED_EDGE('',*,*,#213243,.T.); #293913=ORIENTED_EDGE('',*,*,#213240,.T.); #293914=ORIENTED_EDGE('',*,*,#213237,.T.); #293915=ORIENTED_EDGE('',*,*,#213234,.T.); #293916=ORIENTED_EDGE('',*,*,#213231,.T.); #293917=ORIENTED_EDGE('',*,*,#213228,.T.); #293918=ORIENTED_EDGE('',*,*,#213225,.T.); #293919=ORIENTED_EDGE('',*,*,#213222,.T.); #293920=ORIENTED_EDGE('',*,*,#213219,.T.); #293921=ORIENTED_EDGE('',*,*,#213216,.T.); #293922=ORIENTED_EDGE('',*,*,#213213,.T.); #293923=ORIENTED_EDGE('',*,*,#213210,.T.); #293924=ORIENTED_EDGE('',*,*,#213207,.T.); #293925=ORIENTED_EDGE('',*,*,#213204,.T.); #293926=ORIENTED_EDGE('',*,*,#213201,.T.); #293927=ORIENTED_EDGE('',*,*,#213198,.T.); #293928=ORIENTED_EDGE('',*,*,#213195,.T.); #293929=ORIENTED_EDGE('',*,*,#213192,.T.); #293930=ORIENTED_EDGE('',*,*,#213189,.T.); #293931=ORIENTED_EDGE('',*,*,#213186,.T.); #293932=ORIENTED_EDGE('',*,*,#213183,.T.); #293933=ORIENTED_EDGE('',*,*,#213180,.T.); #293934=ORIENTED_EDGE('',*,*,#213177,.T.); #293935=ORIENTED_EDGE('',*,*,#213174,.T.); #293936=ORIENTED_EDGE('',*,*,#213171,.T.); #293937=ORIENTED_EDGE('',*,*,#213168,.T.); #293938=ORIENTED_EDGE('',*,*,#213165,.T.); #293939=ORIENTED_EDGE('',*,*,#213162,.T.); #293940=ORIENTED_EDGE('',*,*,#213159,.T.); #293941=ORIENTED_EDGE('',*,*,#213156,.T.); #293942=ORIENTED_EDGE('',*,*,#213153,.T.); #293943=ORIENTED_EDGE('',*,*,#213150,.T.); #293944=ORIENTED_EDGE('',*,*,#213147,.T.); #293945=ORIENTED_EDGE('',*,*,#213144,.T.); #293946=ORIENTED_EDGE('',*,*,#213141,.T.); #293947=ORIENTED_EDGE('',*,*,#213138,.T.); #293948=ORIENTED_EDGE('',*,*,#213135,.T.); #293949=ORIENTED_EDGE('',*,*,#213132,.T.); #293950=ORIENTED_EDGE('',*,*,#213129,.T.); #293951=ORIENTED_EDGE('',*,*,#213126,.T.); #293952=ORIENTED_EDGE('',*,*,#213123,.T.); #293953=ORIENTED_EDGE('',*,*,#213120,.T.); #293954=ORIENTED_EDGE('',*,*,#213117,.T.); #293955=ORIENTED_EDGE('',*,*,#213114,.T.); #293956=ORIENTED_EDGE('',*,*,#213111,.T.); #293957=ORIENTED_EDGE('',*,*,#213108,.T.); #293958=ORIENTED_EDGE('',*,*,#213105,.T.); #293959=ORIENTED_EDGE('',*,*,#213102,.T.); #293960=ORIENTED_EDGE('',*,*,#213099,.T.); #293961=ORIENTED_EDGE('',*,*,#213096,.T.); #293962=ORIENTED_EDGE('',*,*,#213093,.T.); #293963=ORIENTED_EDGE('',*,*,#213090,.T.); #293964=ORIENTED_EDGE('',*,*,#213087,.T.); #293965=ORIENTED_EDGE('',*,*,#213084,.T.); #293966=ORIENTED_EDGE('',*,*,#213081,.T.); #293967=ORIENTED_EDGE('',*,*,#213078,.T.); #293968=ORIENTED_EDGE('',*,*,#213075,.T.); #293969=ORIENTED_EDGE('',*,*,#213072,.T.); #293970=ORIENTED_EDGE('',*,*,#213069,.T.); #293971=ORIENTED_EDGE('',*,*,#213066,.T.); #293972=ORIENTED_EDGE('',*,*,#213063,.T.); #293973=ORIENTED_EDGE('',*,*,#213060,.T.); #293974=ORIENTED_EDGE('',*,*,#213057,.T.); #293975=ORIENTED_EDGE('',*,*,#213054,.T.); #293976=ORIENTED_EDGE('',*,*,#213051,.T.); #293977=ORIENTED_EDGE('',*,*,#213048,.T.); #293978=ORIENTED_EDGE('',*,*,#213045,.T.); #293979=ORIENTED_EDGE('',*,*,#213042,.T.); #293980=ORIENTED_EDGE('',*,*,#213039,.T.); #293981=ORIENTED_EDGE('',*,*,#213036,.T.); #293982=ORIENTED_EDGE('',*,*,#213033,.T.); #293983=ORIENTED_EDGE('',*,*,#213030,.T.); #293984=ORIENTED_EDGE('',*,*,#213027,.T.); #293985=ORIENTED_EDGE('',*,*,#213024,.T.); #293986=ORIENTED_EDGE('',*,*,#213021,.T.); #293987=ORIENTED_EDGE('',*,*,#213018,.T.); #293988=ORIENTED_EDGE('',*,*,#213015,.T.); #293989=ORIENTED_EDGE('',*,*,#213012,.T.); #293990=ORIENTED_EDGE('',*,*,#213009,.T.); #293991=ORIENTED_EDGE('',*,*,#213006,.T.); #293992=ORIENTED_EDGE('',*,*,#213003,.T.); #293993=ORIENTED_EDGE('',*,*,#213000,.T.); #293994=ORIENTED_EDGE('',*,*,#212997,.T.); #293995=ORIENTED_EDGE('',*,*,#212994,.T.); #293996=ORIENTED_EDGE('',*,*,#212991,.T.); #293997=ORIENTED_EDGE('',*,*,#212988,.T.); #293998=ORIENTED_EDGE('',*,*,#212985,.T.); #293999=ORIENTED_EDGE('',*,*,#212982,.T.); #294000=ORIENTED_EDGE('',*,*,#212979,.T.); #294001=ORIENTED_EDGE('',*,*,#212976,.T.); #294002=ORIENTED_EDGE('',*,*,#212973,.T.); #294003=ORIENTED_EDGE('',*,*,#212970,.T.); #294004=ORIENTED_EDGE('',*,*,#212967,.T.); #294005=ORIENTED_EDGE('',*,*,#212964,.T.); #294006=ORIENTED_EDGE('',*,*,#212961,.T.); #294007=ORIENTED_EDGE('',*,*,#212958,.T.); #294008=ORIENTED_EDGE('',*,*,#212955,.T.); #294009=ORIENTED_EDGE('',*,*,#212952,.T.); #294010=ORIENTED_EDGE('',*,*,#212949,.T.); #294011=ORIENTED_EDGE('',*,*,#212946,.T.); #294012=ORIENTED_EDGE('',*,*,#212943,.T.); #294013=ORIENTED_EDGE('',*,*,#212940,.T.); #294014=ORIENTED_EDGE('',*,*,#212937,.T.); #294015=ORIENTED_EDGE('',*,*,#212934,.T.); #294016=ORIENTED_EDGE('',*,*,#212931,.T.); #294017=ORIENTED_EDGE('',*,*,#212928,.T.); #294018=ORIENTED_EDGE('',*,*,#212925,.T.); #294019=ORIENTED_EDGE('',*,*,#212922,.T.); #294020=ORIENTED_EDGE('',*,*,#212919,.T.); #294021=ORIENTED_EDGE('',*,*,#212916,.T.); #294022=ORIENTED_EDGE('',*,*,#212913,.T.); #294023=ORIENTED_EDGE('',*,*,#212910,.T.); #294024=ORIENTED_EDGE('',*,*,#212907,.T.); #294025=ORIENTED_EDGE('',*,*,#212904,.T.); #294026=ORIENTED_EDGE('',*,*,#212901,.T.); #294027=ORIENTED_EDGE('',*,*,#212898,.T.); #294028=ORIENTED_EDGE('',*,*,#212895,.T.); #294029=ORIENTED_EDGE('',*,*,#212892,.T.); #294030=ORIENTED_EDGE('',*,*,#212889,.T.); #294031=ORIENTED_EDGE('',*,*,#212886,.T.); #294032=ORIENTED_EDGE('',*,*,#212883,.T.); #294033=ORIENTED_EDGE('',*,*,#212880,.T.); #294034=ORIENTED_EDGE('',*,*,#212877,.T.); #294035=ORIENTED_EDGE('',*,*,#212874,.T.); #294036=ORIENTED_EDGE('',*,*,#212871,.T.); #294037=ORIENTED_EDGE('',*,*,#212868,.T.); #294038=ORIENTED_EDGE('',*,*,#212865,.T.); #294039=ORIENTED_EDGE('',*,*,#212862,.T.); #294040=ORIENTED_EDGE('',*,*,#212859,.T.); #294041=ORIENTED_EDGE('',*,*,#212856,.T.); #294042=ORIENTED_EDGE('',*,*,#212853,.T.); #294043=ORIENTED_EDGE('',*,*,#212850,.T.); #294044=ORIENTED_EDGE('',*,*,#212847,.T.); #294045=ORIENTED_EDGE('',*,*,#212844,.T.); #294046=ORIENTED_EDGE('',*,*,#212841,.T.); #294047=ORIENTED_EDGE('',*,*,#212838,.T.); #294048=ORIENTED_EDGE('',*,*,#212835,.T.); #294049=ORIENTED_EDGE('',*,*,#212832,.T.); #294050=ORIENTED_EDGE('',*,*,#212829,.T.); #294051=ORIENTED_EDGE('',*,*,#212826,.T.); #294052=ORIENTED_EDGE('',*,*,#212823,.T.); #294053=ORIENTED_EDGE('',*,*,#212820,.T.); #294054=ORIENTED_EDGE('',*,*,#212817,.T.); #294055=ORIENTED_EDGE('',*,*,#212814,.T.); #294056=ORIENTED_EDGE('',*,*,#212811,.T.); #294057=ORIENTED_EDGE('',*,*,#212808,.T.); #294058=ORIENTED_EDGE('',*,*,#212805,.T.); #294059=ORIENTED_EDGE('',*,*,#212802,.T.); #294060=ORIENTED_EDGE('',*,*,#212799,.T.); #294061=ORIENTED_EDGE('',*,*,#212796,.T.); #294062=ORIENTED_EDGE('',*,*,#212793,.T.); #294063=ORIENTED_EDGE('',*,*,#212790,.T.); #294064=ORIENTED_EDGE('',*,*,#212787,.T.); #294065=ORIENTED_EDGE('',*,*,#212784,.T.); #294066=ORIENTED_EDGE('',*,*,#212781,.T.); #294067=ORIENTED_EDGE('',*,*,#212778,.T.); #294068=ORIENTED_EDGE('',*,*,#212775,.T.); #294069=ORIENTED_EDGE('',*,*,#212772,.T.); #294070=ORIENTED_EDGE('',*,*,#212769,.T.); #294071=ORIENTED_EDGE('',*,*,#212766,.T.); #294072=ORIENTED_EDGE('',*,*,#212763,.T.); #294073=ORIENTED_EDGE('',*,*,#212760,.T.); #294074=ORIENTED_EDGE('',*,*,#212757,.T.); #294075=ORIENTED_EDGE('',*,*,#212754,.T.); #294076=ORIENTED_EDGE('',*,*,#212751,.T.); #294077=ORIENTED_EDGE('',*,*,#212748,.T.); #294078=ORIENTED_EDGE('',*,*,#212745,.T.); #294079=ORIENTED_EDGE('',*,*,#212742,.T.); #294080=ORIENTED_EDGE('',*,*,#212739,.T.); #294081=ORIENTED_EDGE('',*,*,#212736,.T.); #294082=ORIENTED_EDGE('',*,*,#212733,.T.); #294083=ORIENTED_EDGE('',*,*,#212730,.T.); #294084=ORIENTED_EDGE('',*,*,#212727,.T.); #294085=ORIENTED_EDGE('',*,*,#212724,.T.); #294086=ORIENTED_EDGE('',*,*,#212721,.T.); #294087=ORIENTED_EDGE('',*,*,#212718,.T.); #294088=ORIENTED_EDGE('',*,*,#212715,.T.); #294089=ORIENTED_EDGE('',*,*,#212712,.T.); #294090=ORIENTED_EDGE('',*,*,#212709,.T.); #294091=ORIENTED_EDGE('',*,*,#212706,.T.); #294092=ORIENTED_EDGE('',*,*,#212703,.T.); #294093=ORIENTED_EDGE('',*,*,#212700,.T.); #294094=ORIENTED_EDGE('',*,*,#212697,.T.); #294095=ORIENTED_EDGE('',*,*,#212694,.T.); #294096=ORIENTED_EDGE('',*,*,#212691,.T.); #294097=ORIENTED_EDGE('',*,*,#212688,.T.); #294098=ORIENTED_EDGE('',*,*,#212685,.T.); #294099=ORIENTED_EDGE('',*,*,#212682,.T.); #294100=ORIENTED_EDGE('',*,*,#212679,.T.); #294101=ORIENTED_EDGE('',*,*,#212676,.T.); #294102=ORIENTED_EDGE('',*,*,#212673,.T.); #294103=ORIENTED_EDGE('',*,*,#212670,.T.); #294104=ORIENTED_EDGE('',*,*,#212667,.T.); #294105=ORIENTED_EDGE('',*,*,#212664,.T.); #294106=ORIENTED_EDGE('',*,*,#212661,.T.); #294107=ORIENTED_EDGE('',*,*,#212658,.T.); #294108=ORIENTED_EDGE('',*,*,#212655,.T.); #294109=ORIENTED_EDGE('',*,*,#212652,.T.); #294110=ORIENTED_EDGE('',*,*,#212649,.T.); #294111=ORIENTED_EDGE('',*,*,#212646,.T.); #294112=ORIENTED_EDGE('',*,*,#212643,.T.); #294113=ORIENTED_EDGE('',*,*,#212640,.T.); #294114=ORIENTED_EDGE('',*,*,#212637,.T.); #294115=ORIENTED_EDGE('',*,*,#212634,.T.); #294116=ORIENTED_EDGE('',*,*,#212631,.T.); #294117=ORIENTED_EDGE('',*,*,#212628,.T.); #294118=ORIENTED_EDGE('',*,*,#212625,.T.); #294119=ORIENTED_EDGE('',*,*,#212622,.T.); #294120=ORIENTED_EDGE('',*,*,#212619,.T.); #294121=ORIENTED_EDGE('',*,*,#212616,.T.); #294122=ORIENTED_EDGE('',*,*,#212613,.T.); #294123=ORIENTED_EDGE('',*,*,#212610,.T.); #294124=ORIENTED_EDGE('',*,*,#212607,.T.); #294125=ORIENTED_EDGE('',*,*,#212604,.T.); #294126=ORIENTED_EDGE('',*,*,#212601,.T.); #294127=ORIENTED_EDGE('',*,*,#212598,.T.); #294128=ORIENTED_EDGE('',*,*,#212595,.T.); #294129=ORIENTED_EDGE('',*,*,#212592,.T.); #294130=ORIENTED_EDGE('',*,*,#212589,.T.); #294131=ORIENTED_EDGE('',*,*,#212586,.T.); #294132=ORIENTED_EDGE('',*,*,#212583,.T.); #294133=ORIENTED_EDGE('',*,*,#212580,.T.); #294134=ORIENTED_EDGE('',*,*,#212577,.T.); #294135=ORIENTED_EDGE('',*,*,#212574,.T.); #294136=ORIENTED_EDGE('',*,*,#212571,.T.); #294137=ORIENTED_EDGE('',*,*,#212568,.T.); #294138=ORIENTED_EDGE('',*,*,#212565,.T.); #294139=ORIENTED_EDGE('',*,*,#212562,.T.); #294140=ORIENTED_EDGE('',*,*,#212559,.T.); #294141=ORIENTED_EDGE('',*,*,#212556,.T.); #294142=ORIENTED_EDGE('',*,*,#212553,.T.); #294143=ORIENTED_EDGE('',*,*,#212550,.T.); #294144=ORIENTED_EDGE('',*,*,#212547,.T.); #294145=ORIENTED_EDGE('',*,*,#212544,.T.); #294146=ORIENTED_EDGE('',*,*,#212541,.T.); #294147=ORIENTED_EDGE('',*,*,#212538,.T.); #294148=ORIENTED_EDGE('',*,*,#212535,.T.); #294149=ORIENTED_EDGE('',*,*,#212532,.T.); #294150=ORIENTED_EDGE('',*,*,#212529,.T.); #294151=ORIENTED_EDGE('',*,*,#212526,.T.); #294152=ORIENTED_EDGE('',*,*,#212523,.T.); #294153=ORIENTED_EDGE('',*,*,#212520,.T.); #294154=ORIENTED_EDGE('',*,*,#212517,.T.); #294155=ORIENTED_EDGE('',*,*,#212514,.T.); #294156=ORIENTED_EDGE('',*,*,#212511,.T.); #294157=ORIENTED_EDGE('',*,*,#212508,.T.); #294158=ORIENTED_EDGE('',*,*,#212505,.T.); #294159=ORIENTED_EDGE('',*,*,#212502,.T.); #294160=ORIENTED_EDGE('',*,*,#212499,.T.); #294161=ORIENTED_EDGE('',*,*,#212496,.T.); #294162=ORIENTED_EDGE('',*,*,#212493,.T.); #294163=ORIENTED_EDGE('',*,*,#212490,.T.); #294164=ORIENTED_EDGE('',*,*,#212487,.T.); #294165=ORIENTED_EDGE('',*,*,#212484,.T.); #294166=ORIENTED_EDGE('',*,*,#212481,.T.); #294167=ORIENTED_EDGE('',*,*,#212478,.T.); #294168=ORIENTED_EDGE('',*,*,#212475,.T.); #294169=ORIENTED_EDGE('',*,*,#212472,.T.); #294170=ORIENTED_EDGE('',*,*,#212469,.T.); #294171=ORIENTED_EDGE('',*,*,#212466,.T.); #294172=ORIENTED_EDGE('',*,*,#212463,.T.); #294173=ORIENTED_EDGE('',*,*,#212460,.T.); #294174=ORIENTED_EDGE('',*,*,#212457,.T.); #294175=ORIENTED_EDGE('',*,*,#212454,.T.); #294176=ORIENTED_EDGE('',*,*,#212451,.T.); #294177=ORIENTED_EDGE('',*,*,#212448,.T.); #294178=ORIENTED_EDGE('',*,*,#212445,.T.); #294179=ORIENTED_EDGE('',*,*,#212442,.T.); #294180=ORIENTED_EDGE('',*,*,#212439,.T.); #294181=ORIENTED_EDGE('',*,*,#212436,.T.); #294182=ORIENTED_EDGE('',*,*,#212433,.T.); #294183=ORIENTED_EDGE('',*,*,#212430,.T.); #294184=ORIENTED_EDGE('',*,*,#212427,.T.); #294185=ORIENTED_EDGE('',*,*,#212424,.T.); #294186=ORIENTED_EDGE('',*,*,#212421,.T.); #294187=ORIENTED_EDGE('',*,*,#212418,.T.); #294188=ORIENTED_EDGE('',*,*,#212415,.T.); #294189=ORIENTED_EDGE('',*,*,#212412,.T.); #294190=ORIENTED_EDGE('',*,*,#212409,.T.); #294191=ORIENTED_EDGE('',*,*,#212406,.T.); #294192=ORIENTED_EDGE('',*,*,#212403,.T.); #294193=ORIENTED_EDGE('',*,*,#212400,.T.); #294194=ORIENTED_EDGE('',*,*,#212397,.T.); #294195=ORIENTED_EDGE('',*,*,#212394,.T.); #294196=ORIENTED_EDGE('',*,*,#212391,.T.); #294197=ORIENTED_EDGE('',*,*,#212388,.T.); #294198=ORIENTED_EDGE('',*,*,#212385,.T.); #294199=ORIENTED_EDGE('',*,*,#212382,.T.); #294200=ORIENTED_EDGE('',*,*,#212379,.T.); #294201=ORIENTED_EDGE('',*,*,#212376,.T.); #294202=ORIENTED_EDGE('',*,*,#212373,.T.); #294203=ORIENTED_EDGE('',*,*,#212370,.T.); #294204=ORIENTED_EDGE('',*,*,#212367,.T.); #294205=ORIENTED_EDGE('',*,*,#212364,.T.); #294206=ORIENTED_EDGE('',*,*,#212361,.T.); #294207=ORIENTED_EDGE('',*,*,#212358,.T.); #294208=ORIENTED_EDGE('',*,*,#212355,.T.); #294209=ORIENTED_EDGE('',*,*,#212352,.T.); #294210=ORIENTED_EDGE('',*,*,#212349,.T.); #294211=ORIENTED_EDGE('',*,*,#212346,.T.); #294212=ORIENTED_EDGE('',*,*,#212343,.T.); #294213=ORIENTED_EDGE('',*,*,#212340,.T.); #294214=ORIENTED_EDGE('',*,*,#212337,.T.); #294215=ORIENTED_EDGE('',*,*,#212334,.T.); #294216=ORIENTED_EDGE('',*,*,#212331,.T.); #294217=ORIENTED_EDGE('',*,*,#212328,.T.); #294218=ORIENTED_EDGE('',*,*,#212325,.T.); #294219=ORIENTED_EDGE('',*,*,#212322,.T.); #294220=ORIENTED_EDGE('',*,*,#212319,.T.); #294221=ORIENTED_EDGE('',*,*,#212316,.T.); #294222=ORIENTED_EDGE('',*,*,#212313,.T.); #294223=ORIENTED_EDGE('',*,*,#212310,.T.); #294224=ORIENTED_EDGE('',*,*,#212307,.T.); #294225=ORIENTED_EDGE('',*,*,#212304,.T.); #294226=ORIENTED_EDGE('',*,*,#212301,.T.); #294227=ORIENTED_EDGE('',*,*,#212298,.T.); #294228=ORIENTED_EDGE('',*,*,#212295,.T.); #294229=ORIENTED_EDGE('',*,*,#212292,.T.); #294230=ORIENTED_EDGE('',*,*,#212289,.T.); #294231=ORIENTED_EDGE('',*,*,#212286,.T.); #294232=ORIENTED_EDGE('',*,*,#212283,.T.); #294233=ORIENTED_EDGE('',*,*,#212280,.T.); #294234=ORIENTED_EDGE('',*,*,#212277,.T.); #294235=ORIENTED_EDGE('',*,*,#212274,.T.); #294236=ORIENTED_EDGE('',*,*,#212271,.T.); #294237=ORIENTED_EDGE('',*,*,#212268,.T.); #294238=ORIENTED_EDGE('',*,*,#212265,.T.); #294239=ORIENTED_EDGE('',*,*,#212262,.T.); #294240=ORIENTED_EDGE('',*,*,#212259,.T.); #294241=ORIENTED_EDGE('',*,*,#212256,.T.); #294242=ORIENTED_EDGE('',*,*,#212253,.T.); #294243=ORIENTED_EDGE('',*,*,#212250,.T.); #294244=ORIENTED_EDGE('',*,*,#212247,.T.); #294245=ORIENTED_EDGE('',*,*,#212244,.T.); #294246=ORIENTED_EDGE('',*,*,#212241,.T.); #294247=ORIENTED_EDGE('',*,*,#212238,.T.); #294248=ORIENTED_EDGE('',*,*,#212235,.T.); #294249=ORIENTED_EDGE('',*,*,#212232,.T.); #294250=ORIENTED_EDGE('',*,*,#212229,.T.); #294251=ORIENTED_EDGE('',*,*,#212226,.T.); #294252=ORIENTED_EDGE('',*,*,#212223,.T.); #294253=ORIENTED_EDGE('',*,*,#212220,.T.); #294254=ORIENTED_EDGE('',*,*,#212217,.T.); #294255=ORIENTED_EDGE('',*,*,#212214,.T.); #294256=ORIENTED_EDGE('',*,*,#212211,.T.); #294257=ORIENTED_EDGE('',*,*,#212208,.T.); #294258=ORIENTED_EDGE('',*,*,#212205,.T.); #294259=ORIENTED_EDGE('',*,*,#212202,.T.); #294260=ORIENTED_EDGE('',*,*,#212199,.T.); #294261=ORIENTED_EDGE('',*,*,#212196,.T.); #294262=ORIENTED_EDGE('',*,*,#212193,.T.); #294263=ORIENTED_EDGE('',*,*,#212190,.T.); #294264=ORIENTED_EDGE('',*,*,#212187,.T.); #294265=ORIENTED_EDGE('',*,*,#212184,.T.); #294266=ORIENTED_EDGE('',*,*,#212181,.T.); #294267=ORIENTED_EDGE('',*,*,#212178,.T.); #294268=ORIENTED_EDGE('',*,*,#212175,.T.); #294269=ORIENTED_EDGE('',*,*,#212172,.T.); #294270=ORIENTED_EDGE('',*,*,#212169,.T.); #294271=ORIENTED_EDGE('',*,*,#212166,.T.); #294272=ORIENTED_EDGE('',*,*,#212163,.T.); #294273=ORIENTED_EDGE('',*,*,#212160,.T.); #294274=ORIENTED_EDGE('',*,*,#212157,.T.); #294275=ORIENTED_EDGE('',*,*,#212154,.T.); #294276=ORIENTED_EDGE('',*,*,#212151,.T.); #294277=ORIENTED_EDGE('',*,*,#212148,.T.); #294278=ORIENTED_EDGE('',*,*,#212145,.T.); #294279=ORIENTED_EDGE('',*,*,#212142,.T.); #294280=ORIENTED_EDGE('',*,*,#212139,.T.); #294281=ORIENTED_EDGE('',*,*,#212136,.T.); #294282=ORIENTED_EDGE('',*,*,#212133,.T.); #294283=ORIENTED_EDGE('',*,*,#212130,.T.); #294284=ORIENTED_EDGE('',*,*,#212127,.T.); #294285=ORIENTED_EDGE('',*,*,#212124,.T.); #294286=ORIENTED_EDGE('',*,*,#212121,.T.); #294287=ORIENTED_EDGE('',*,*,#212118,.T.); #294288=ORIENTED_EDGE('',*,*,#212115,.T.); #294289=ORIENTED_EDGE('',*,*,#212112,.T.); #294290=ORIENTED_EDGE('',*,*,#212109,.T.); #294291=ORIENTED_EDGE('',*,*,#212106,.T.); #294292=ORIENTED_EDGE('',*,*,#212103,.T.); #294293=ORIENTED_EDGE('',*,*,#212100,.T.); #294294=ORIENTED_EDGE('',*,*,#212097,.T.); #294295=ORIENTED_EDGE('',*,*,#212094,.T.); #294296=ORIENTED_EDGE('',*,*,#212091,.T.); #294297=ORIENTED_EDGE('',*,*,#212088,.T.); #294298=ORIENTED_EDGE('',*,*,#212085,.T.); #294299=ORIENTED_EDGE('',*,*,#212082,.T.); #294300=ORIENTED_EDGE('',*,*,#212079,.T.); #294301=ORIENTED_EDGE('',*,*,#212076,.T.); #294302=ORIENTED_EDGE('',*,*,#212073,.T.); #294303=ORIENTED_EDGE('',*,*,#212070,.T.); #294304=ORIENTED_EDGE('',*,*,#212067,.T.); #294305=ORIENTED_EDGE('',*,*,#212064,.T.); #294306=ORIENTED_EDGE('',*,*,#212061,.T.); #294307=ORIENTED_EDGE('',*,*,#212058,.T.); #294308=ORIENTED_EDGE('',*,*,#212055,.T.); #294309=ORIENTED_EDGE('',*,*,#212052,.T.); #294310=ORIENTED_EDGE('',*,*,#212049,.T.); #294311=ORIENTED_EDGE('',*,*,#212046,.T.); #294312=ORIENTED_EDGE('',*,*,#212043,.T.); #294313=ORIENTED_EDGE('',*,*,#212040,.T.); #294314=ORIENTED_EDGE('',*,*,#212037,.T.); #294315=ORIENTED_EDGE('',*,*,#212034,.T.); #294316=ORIENTED_EDGE('',*,*,#212031,.T.); #294317=ORIENTED_EDGE('',*,*,#212028,.T.); #294318=ORIENTED_EDGE('',*,*,#212025,.T.); #294319=ORIENTED_EDGE('',*,*,#212022,.T.); #294320=ORIENTED_EDGE('',*,*,#212019,.T.); #294321=ORIENTED_EDGE('',*,*,#212016,.T.); #294322=ORIENTED_EDGE('',*,*,#212013,.T.); #294323=ORIENTED_EDGE('',*,*,#212010,.T.); #294324=ORIENTED_EDGE('',*,*,#212007,.T.); #294325=ORIENTED_EDGE('',*,*,#212004,.T.); #294326=ORIENTED_EDGE('',*,*,#212001,.T.); #294327=ORIENTED_EDGE('',*,*,#211998,.T.); #294328=ORIENTED_EDGE('',*,*,#211995,.T.); #294329=ORIENTED_EDGE('',*,*,#211992,.T.); #294330=ORIENTED_EDGE('',*,*,#211989,.T.); #294331=ORIENTED_EDGE('',*,*,#211986,.T.); #294332=ORIENTED_EDGE('',*,*,#211983,.T.); #294333=ORIENTED_EDGE('',*,*,#211980,.T.); #294334=ORIENTED_EDGE('',*,*,#211977,.T.); #294335=ORIENTED_EDGE('',*,*,#211974,.T.); #294336=ORIENTED_EDGE('',*,*,#211971,.T.); #294337=ORIENTED_EDGE('',*,*,#211968,.T.); #294338=ORIENTED_EDGE('',*,*,#211965,.T.); #294339=ORIENTED_EDGE('',*,*,#211962,.T.); #294340=ORIENTED_EDGE('',*,*,#211959,.T.); #294341=ORIENTED_EDGE('',*,*,#211956,.T.); #294342=ORIENTED_EDGE('',*,*,#211953,.T.); #294343=ORIENTED_EDGE('',*,*,#211950,.T.); #294344=ORIENTED_EDGE('',*,*,#211947,.T.); #294345=ORIENTED_EDGE('',*,*,#211944,.T.); #294346=ORIENTED_EDGE('',*,*,#211941,.T.); #294347=ORIENTED_EDGE('',*,*,#211938,.T.); #294348=ORIENTED_EDGE('',*,*,#211935,.T.); #294349=ORIENTED_EDGE('',*,*,#211932,.T.); #294350=ORIENTED_EDGE('',*,*,#211929,.T.); #294351=ORIENTED_EDGE('',*,*,#211926,.T.); #294352=ORIENTED_EDGE('',*,*,#211923,.T.); #294353=ORIENTED_EDGE('',*,*,#211920,.T.); #294354=ORIENTED_EDGE('',*,*,#211917,.T.); #294355=ORIENTED_EDGE('',*,*,#211914,.T.); #294356=ORIENTED_EDGE('',*,*,#211911,.T.); #294357=ORIENTED_EDGE('',*,*,#211908,.T.); #294358=ORIENTED_EDGE('',*,*,#211905,.T.); #294359=ORIENTED_EDGE('',*,*,#211902,.T.); #294360=ORIENTED_EDGE('',*,*,#211899,.T.); #294361=ORIENTED_EDGE('',*,*,#211896,.T.); #294362=ORIENTED_EDGE('',*,*,#211893,.T.); #294363=ORIENTED_EDGE('',*,*,#211890,.T.); #294364=ORIENTED_EDGE('',*,*,#211887,.T.); #294365=ORIENTED_EDGE('',*,*,#211884,.T.); #294366=ORIENTED_EDGE('',*,*,#211881,.T.); #294367=ORIENTED_EDGE('',*,*,#211878,.T.); #294368=ORIENTED_EDGE('',*,*,#211875,.T.); #294369=ORIENTED_EDGE('',*,*,#211872,.T.); #294370=ORIENTED_EDGE('',*,*,#211869,.T.); #294371=ORIENTED_EDGE('',*,*,#211866,.T.); #294372=ORIENTED_EDGE('',*,*,#211863,.T.); #294373=ORIENTED_EDGE('',*,*,#211860,.T.); #294374=ORIENTED_EDGE('',*,*,#211857,.T.); #294375=ORIENTED_EDGE('',*,*,#211854,.T.); #294376=ORIENTED_EDGE('',*,*,#211851,.T.); #294377=ORIENTED_EDGE('',*,*,#211848,.T.); #294378=ORIENTED_EDGE('',*,*,#211845,.T.); #294379=ORIENTED_EDGE('',*,*,#211842,.T.); #294380=ORIENTED_EDGE('',*,*,#211839,.T.); #294381=ORIENTED_EDGE('',*,*,#211836,.T.); #294382=ORIENTED_EDGE('',*,*,#211833,.T.); #294383=ORIENTED_EDGE('',*,*,#211830,.T.); #294384=ORIENTED_EDGE('',*,*,#211827,.T.); #294385=ORIENTED_EDGE('',*,*,#211824,.T.); #294386=ORIENTED_EDGE('',*,*,#211821,.T.); #294387=ORIENTED_EDGE('',*,*,#211818,.T.); #294388=ORIENTED_EDGE('',*,*,#211815,.T.); #294389=ORIENTED_EDGE('',*,*,#211812,.T.); #294390=ORIENTED_EDGE('',*,*,#211809,.T.); #294391=ORIENTED_EDGE('',*,*,#211806,.T.); #294392=ORIENTED_EDGE('',*,*,#211803,.T.); #294393=ORIENTED_EDGE('',*,*,#211800,.T.); #294394=ORIENTED_EDGE('',*,*,#211797,.T.); #294395=ORIENTED_EDGE('',*,*,#211794,.T.); #294396=ORIENTED_EDGE('',*,*,#211791,.T.); #294397=ORIENTED_EDGE('',*,*,#211788,.T.); #294398=ORIENTED_EDGE('',*,*,#211785,.T.); #294399=ORIENTED_EDGE('',*,*,#211782,.T.); #294400=ORIENTED_EDGE('',*,*,#211779,.T.); #294401=ORIENTED_EDGE('',*,*,#211776,.T.); #294402=ORIENTED_EDGE('',*,*,#211773,.T.); #294403=ORIENTED_EDGE('',*,*,#211770,.T.); #294404=ORIENTED_EDGE('',*,*,#211767,.T.); #294405=ORIENTED_EDGE('',*,*,#211764,.T.); #294406=ORIENTED_EDGE('',*,*,#211761,.T.); #294407=ORIENTED_EDGE('',*,*,#211758,.T.); #294408=ORIENTED_EDGE('',*,*,#211755,.T.); #294409=ORIENTED_EDGE('',*,*,#211752,.T.); #294410=ORIENTED_EDGE('',*,*,#211749,.T.); #294411=ORIENTED_EDGE('',*,*,#211746,.T.); #294412=ORIENTED_EDGE('',*,*,#211743,.T.); #294413=ORIENTED_EDGE('',*,*,#211740,.T.); #294414=ORIENTED_EDGE('',*,*,#211737,.T.); #294415=ORIENTED_EDGE('',*,*,#211734,.T.); #294416=ORIENTED_EDGE('',*,*,#211731,.T.); #294417=ORIENTED_EDGE('',*,*,#211728,.T.); #294418=ORIENTED_EDGE('',*,*,#211725,.T.); #294419=ORIENTED_EDGE('',*,*,#211722,.T.); #294420=ORIENTED_EDGE('',*,*,#211719,.T.); #294421=ORIENTED_EDGE('',*,*,#211716,.T.); #294422=ORIENTED_EDGE('',*,*,#211713,.T.); #294423=ORIENTED_EDGE('',*,*,#211710,.T.); #294424=ORIENTED_EDGE('',*,*,#211707,.T.); #294425=ORIENTED_EDGE('',*,*,#211704,.T.); #294426=ORIENTED_EDGE('',*,*,#211701,.T.); #294427=ORIENTED_EDGE('',*,*,#211698,.T.); #294428=ORIENTED_EDGE('',*,*,#211695,.T.); #294429=ORIENTED_EDGE('',*,*,#211692,.T.); #294430=ORIENTED_EDGE('',*,*,#211689,.T.); #294431=ORIENTED_EDGE('',*,*,#211686,.T.); #294432=ORIENTED_EDGE('',*,*,#211683,.T.); #294433=ORIENTED_EDGE('',*,*,#211680,.T.); #294434=ORIENTED_EDGE('',*,*,#211677,.T.); #294435=ORIENTED_EDGE('',*,*,#211674,.T.); #294436=ORIENTED_EDGE('',*,*,#211671,.T.); #294437=ORIENTED_EDGE('',*,*,#211668,.T.); #294438=ORIENTED_EDGE('',*,*,#211665,.T.); #294439=ORIENTED_EDGE('',*,*,#211662,.T.); #294440=ORIENTED_EDGE('',*,*,#211659,.T.); #294441=ORIENTED_EDGE('',*,*,#211656,.T.); #294442=ORIENTED_EDGE('',*,*,#211653,.T.); #294443=ORIENTED_EDGE('',*,*,#211650,.T.); #294444=ORIENTED_EDGE('',*,*,#211647,.T.); #294445=ORIENTED_EDGE('',*,*,#211644,.T.); #294446=ORIENTED_EDGE('',*,*,#211641,.T.); #294447=ORIENTED_EDGE('',*,*,#211638,.T.); #294448=ORIENTED_EDGE('',*,*,#211635,.T.); #294449=ORIENTED_EDGE('',*,*,#211632,.T.); #294450=ORIENTED_EDGE('',*,*,#211629,.T.); #294451=ORIENTED_EDGE('',*,*,#211626,.T.); #294452=ORIENTED_EDGE('',*,*,#211623,.T.); #294453=ORIENTED_EDGE('',*,*,#211620,.T.); #294454=ORIENTED_EDGE('',*,*,#211617,.T.); #294455=ORIENTED_EDGE('',*,*,#211614,.T.); #294456=ORIENTED_EDGE('',*,*,#211611,.T.); #294457=ORIENTED_EDGE('',*,*,#211608,.T.); #294458=ORIENTED_EDGE('',*,*,#211605,.T.); #294459=ORIENTED_EDGE('',*,*,#211602,.T.); #294460=ORIENTED_EDGE('',*,*,#211599,.T.); #294461=ORIENTED_EDGE('',*,*,#211596,.T.); #294462=ORIENTED_EDGE('',*,*,#211593,.T.); #294463=ORIENTED_EDGE('',*,*,#211590,.T.); #294464=ORIENTED_EDGE('',*,*,#211587,.T.); #294465=ORIENTED_EDGE('',*,*,#211584,.T.); #294466=ORIENTED_EDGE('',*,*,#211581,.T.); #294467=ORIENTED_EDGE('',*,*,#211578,.T.); #294468=ORIENTED_EDGE('',*,*,#211575,.T.); #294469=ORIENTED_EDGE('',*,*,#211572,.T.); #294470=ORIENTED_EDGE('',*,*,#211569,.T.); #294471=ORIENTED_EDGE('',*,*,#211566,.T.); #294472=ORIENTED_EDGE('',*,*,#211563,.T.); #294473=ORIENTED_EDGE('',*,*,#211560,.T.); #294474=ORIENTED_EDGE('',*,*,#211557,.T.); #294475=ORIENTED_EDGE('',*,*,#211554,.T.); #294476=ORIENTED_EDGE('',*,*,#211551,.T.); #294477=ORIENTED_EDGE('',*,*,#211548,.T.); #294478=ORIENTED_EDGE('',*,*,#211545,.T.); #294479=ORIENTED_EDGE('',*,*,#211542,.T.); #294480=ORIENTED_EDGE('',*,*,#211539,.T.); #294481=ORIENTED_EDGE('',*,*,#211536,.T.); #294482=ORIENTED_EDGE('',*,*,#211533,.T.); #294483=ORIENTED_EDGE('',*,*,#211530,.T.); #294484=ORIENTED_EDGE('',*,*,#211527,.T.); #294485=ORIENTED_EDGE('',*,*,#211524,.T.); #294486=ORIENTED_EDGE('',*,*,#211521,.T.); #294487=ORIENTED_EDGE('',*,*,#211518,.T.); #294488=ORIENTED_EDGE('',*,*,#211515,.T.); #294489=ORIENTED_EDGE('',*,*,#211512,.T.); #294490=ORIENTED_EDGE('',*,*,#211509,.T.); #294491=ORIENTED_EDGE('',*,*,#211506,.T.); #294492=ORIENTED_EDGE('',*,*,#211503,.T.); #294493=ORIENTED_EDGE('',*,*,#211500,.T.); #294494=ORIENTED_EDGE('',*,*,#211497,.T.); #294495=ORIENTED_EDGE('',*,*,#211494,.T.); #294496=ORIENTED_EDGE('',*,*,#211491,.T.); #294497=ORIENTED_EDGE('',*,*,#211488,.T.); #294498=ORIENTED_EDGE('',*,*,#211485,.T.); #294499=ORIENTED_EDGE('',*,*,#211482,.T.); #294500=ORIENTED_EDGE('',*,*,#211479,.T.); #294501=ORIENTED_EDGE('',*,*,#211476,.T.); #294502=ORIENTED_EDGE('',*,*,#211473,.T.); #294503=ORIENTED_EDGE('',*,*,#211470,.T.); #294504=ORIENTED_EDGE('',*,*,#211467,.T.); #294505=ORIENTED_EDGE('',*,*,#211464,.T.); #294506=ORIENTED_EDGE('',*,*,#211461,.T.); #294507=ORIENTED_EDGE('',*,*,#211458,.T.); #294508=ORIENTED_EDGE('',*,*,#211455,.T.); #294509=ORIENTED_EDGE('',*,*,#211452,.T.); #294510=ORIENTED_EDGE('',*,*,#211449,.T.); #294511=ORIENTED_EDGE('',*,*,#211446,.T.); #294512=ORIENTED_EDGE('',*,*,#211443,.T.); #294513=ORIENTED_EDGE('',*,*,#211440,.T.); #294514=ORIENTED_EDGE('',*,*,#211437,.T.); #294515=ORIENTED_EDGE('',*,*,#211434,.T.); #294516=ORIENTED_EDGE('',*,*,#211431,.T.); #294517=ORIENTED_EDGE('',*,*,#211428,.T.); #294518=ORIENTED_EDGE('',*,*,#211425,.T.); #294519=ORIENTED_EDGE('',*,*,#211422,.T.); #294520=ORIENTED_EDGE('',*,*,#211419,.T.); #294521=ORIENTED_EDGE('',*,*,#211416,.T.); #294522=ORIENTED_EDGE('',*,*,#211413,.T.); #294523=ORIENTED_EDGE('',*,*,#211410,.T.); #294524=ORIENTED_EDGE('',*,*,#211407,.T.); #294525=ORIENTED_EDGE('',*,*,#211404,.T.); #294526=ORIENTED_EDGE('',*,*,#211401,.T.); #294527=ORIENTED_EDGE('',*,*,#211398,.T.); #294528=ORIENTED_EDGE('',*,*,#211395,.T.); #294529=ORIENTED_EDGE('',*,*,#211392,.T.); #294530=ORIENTED_EDGE('',*,*,#211389,.T.); #294531=ORIENTED_EDGE('',*,*,#211386,.T.); #294532=ORIENTED_EDGE('',*,*,#211383,.T.); #294533=ORIENTED_EDGE('',*,*,#211380,.T.); #294534=ORIENTED_EDGE('',*,*,#211377,.T.); #294535=ORIENTED_EDGE('',*,*,#211374,.T.); #294536=ORIENTED_EDGE('',*,*,#211371,.T.); #294537=ORIENTED_EDGE('',*,*,#211368,.T.); #294538=ORIENTED_EDGE('',*,*,#211365,.T.); #294539=ORIENTED_EDGE('',*,*,#211362,.T.); #294540=ORIENTED_EDGE('',*,*,#211359,.T.); #294541=ORIENTED_EDGE('',*,*,#211356,.T.); #294542=ORIENTED_EDGE('',*,*,#211353,.T.); #294543=ORIENTED_EDGE('',*,*,#211350,.T.); #294544=ORIENTED_EDGE('',*,*,#211347,.T.); #294545=ORIENTED_EDGE('',*,*,#211344,.T.); #294546=ORIENTED_EDGE('',*,*,#211341,.T.); #294547=ORIENTED_EDGE('',*,*,#211338,.T.); #294548=ORIENTED_EDGE('',*,*,#211335,.T.); #294549=ORIENTED_EDGE('',*,*,#211332,.T.); #294550=ORIENTED_EDGE('',*,*,#211329,.T.); #294551=ORIENTED_EDGE('',*,*,#211326,.T.); #294552=ORIENTED_EDGE('',*,*,#211323,.T.); #294553=ORIENTED_EDGE('',*,*,#211320,.T.); #294554=ORIENTED_EDGE('',*,*,#211317,.T.); #294555=ORIENTED_EDGE('',*,*,#211314,.T.); #294556=ORIENTED_EDGE('',*,*,#211311,.T.); #294557=ORIENTED_EDGE('',*,*,#211308,.T.); #294558=ORIENTED_EDGE('',*,*,#211305,.T.); #294559=ORIENTED_EDGE('',*,*,#211302,.T.); #294560=ORIENTED_EDGE('',*,*,#211299,.T.); #294561=ORIENTED_EDGE('',*,*,#211296,.T.); #294562=ORIENTED_EDGE('',*,*,#211293,.T.); #294563=ORIENTED_EDGE('',*,*,#211290,.T.); #294564=ORIENTED_EDGE('',*,*,#211287,.T.); #294565=ORIENTED_EDGE('',*,*,#211284,.T.); #294566=ORIENTED_EDGE('',*,*,#211281,.T.); #294567=ORIENTED_EDGE('',*,*,#211278,.T.); #294568=ORIENTED_EDGE('',*,*,#211275,.T.); #294569=ORIENTED_EDGE('',*,*,#211272,.T.); #294570=ORIENTED_EDGE('',*,*,#211269,.T.); #294571=ORIENTED_EDGE('',*,*,#211266,.T.); #294572=ORIENTED_EDGE('',*,*,#211263,.T.); #294573=ORIENTED_EDGE('',*,*,#211260,.T.); #294574=ORIENTED_EDGE('',*,*,#211257,.T.); #294575=ORIENTED_EDGE('',*,*,#211254,.T.); #294576=ORIENTED_EDGE('',*,*,#211251,.T.); #294577=ORIENTED_EDGE('',*,*,#211248,.T.); #294578=ORIENTED_EDGE('',*,*,#211245,.T.); #294579=ORIENTED_EDGE('',*,*,#211242,.T.); #294580=ORIENTED_EDGE('',*,*,#211239,.T.); #294581=ORIENTED_EDGE('',*,*,#211236,.T.); #294582=ORIENTED_EDGE('',*,*,#211233,.T.); #294583=ORIENTED_EDGE('',*,*,#211230,.T.); #294584=ORIENTED_EDGE('',*,*,#211227,.T.); #294585=ORIENTED_EDGE('',*,*,#211224,.T.); #294586=ORIENTED_EDGE('',*,*,#211221,.T.); #294587=ORIENTED_EDGE('',*,*,#211218,.T.); #294588=ORIENTED_EDGE('',*,*,#211215,.T.); #294589=ORIENTED_EDGE('',*,*,#211212,.T.); #294590=ORIENTED_EDGE('',*,*,#211209,.T.); #294591=ORIENTED_EDGE('',*,*,#211206,.T.); #294592=ORIENTED_EDGE('',*,*,#211203,.T.); #294593=ORIENTED_EDGE('',*,*,#211200,.T.); #294594=ORIENTED_EDGE('',*,*,#211197,.T.); #294595=ORIENTED_EDGE('',*,*,#211194,.T.); #294596=ORIENTED_EDGE('',*,*,#211191,.T.); #294597=ORIENTED_EDGE('',*,*,#211188,.T.); #294598=ORIENTED_EDGE('',*,*,#211185,.T.); #294599=ORIENTED_EDGE('',*,*,#211182,.T.); #294600=ORIENTED_EDGE('',*,*,#211179,.T.); #294601=ORIENTED_EDGE('',*,*,#211176,.T.); #294602=ORIENTED_EDGE('',*,*,#211173,.T.); #294603=ORIENTED_EDGE('',*,*,#211170,.T.); #294604=ORIENTED_EDGE('',*,*,#211167,.T.); #294605=ORIENTED_EDGE('',*,*,#211164,.T.); #294606=ORIENTED_EDGE('',*,*,#211161,.T.); #294607=ORIENTED_EDGE('',*,*,#211158,.T.); #294608=ORIENTED_EDGE('',*,*,#211155,.T.); #294609=ORIENTED_EDGE('',*,*,#211152,.T.); #294610=ORIENTED_EDGE('',*,*,#211149,.T.); #294611=ORIENTED_EDGE('',*,*,#211146,.T.); #294612=ORIENTED_EDGE('',*,*,#211143,.T.); #294613=ORIENTED_EDGE('',*,*,#211140,.T.); #294614=ORIENTED_EDGE('',*,*,#211137,.T.); #294615=ORIENTED_EDGE('',*,*,#211134,.T.); #294616=ORIENTED_EDGE('',*,*,#211131,.T.); #294617=ORIENTED_EDGE('',*,*,#211128,.T.); #294618=ORIENTED_EDGE('',*,*,#211125,.T.); #294619=ORIENTED_EDGE('',*,*,#211122,.T.); #294620=ORIENTED_EDGE('',*,*,#211119,.T.); #294621=ORIENTED_EDGE('',*,*,#211116,.T.); #294622=ORIENTED_EDGE('',*,*,#211113,.T.); #294623=ORIENTED_EDGE('',*,*,#211110,.T.); #294624=ORIENTED_EDGE('',*,*,#211107,.T.); #294625=ORIENTED_EDGE('',*,*,#211104,.T.); #294626=ORIENTED_EDGE('',*,*,#211101,.T.); #294627=ORIENTED_EDGE('',*,*,#211098,.T.); #294628=ORIENTED_EDGE('',*,*,#211095,.T.); #294629=ORIENTED_EDGE('',*,*,#211092,.T.); #294630=ORIENTED_EDGE('',*,*,#211089,.T.); #294631=ORIENTED_EDGE('',*,*,#211086,.T.); #294632=ORIENTED_EDGE('',*,*,#211083,.T.); #294633=ORIENTED_EDGE('',*,*,#211080,.T.); #294634=ORIENTED_EDGE('',*,*,#211077,.T.); #294635=ORIENTED_EDGE('',*,*,#211074,.T.); #294636=ORIENTED_EDGE('',*,*,#211071,.T.); #294637=ORIENTED_EDGE('',*,*,#211068,.T.); #294638=ORIENTED_EDGE('',*,*,#211065,.T.); #294639=ORIENTED_EDGE('',*,*,#211062,.T.); #294640=ORIENTED_EDGE('',*,*,#211059,.T.); #294641=ORIENTED_EDGE('',*,*,#211056,.T.); #294642=ORIENTED_EDGE('',*,*,#211053,.T.); #294643=ORIENTED_EDGE('',*,*,#211050,.T.); #294644=ORIENTED_EDGE('',*,*,#211047,.T.); #294645=ORIENTED_EDGE('',*,*,#211044,.T.); #294646=ORIENTED_EDGE('',*,*,#211041,.T.); #294647=ORIENTED_EDGE('',*,*,#211038,.T.); #294648=ORIENTED_EDGE('',*,*,#211035,.T.); #294649=ORIENTED_EDGE('',*,*,#211032,.T.); #294650=ORIENTED_EDGE('',*,*,#211029,.T.); #294651=ORIENTED_EDGE('',*,*,#211026,.T.); #294652=ORIENTED_EDGE('',*,*,#211023,.T.); #294653=ORIENTED_EDGE('',*,*,#211020,.T.); #294654=ORIENTED_EDGE('',*,*,#211017,.T.); #294655=ORIENTED_EDGE('',*,*,#211014,.T.); #294656=ORIENTED_EDGE('',*,*,#211011,.T.); #294657=ORIENTED_EDGE('',*,*,#211008,.T.); #294658=ORIENTED_EDGE('',*,*,#211005,.T.); #294659=ORIENTED_EDGE('',*,*,#211002,.T.); #294660=ORIENTED_EDGE('',*,*,#210999,.T.); #294661=ORIENTED_EDGE('',*,*,#210996,.T.); #294662=ORIENTED_EDGE('',*,*,#210993,.T.); #294663=ORIENTED_EDGE('',*,*,#210990,.T.); #294664=ORIENTED_EDGE('',*,*,#210987,.T.); #294665=ORIENTED_EDGE('',*,*,#210984,.T.); #294666=ORIENTED_EDGE('',*,*,#210981,.T.); #294667=ORIENTED_EDGE('',*,*,#210978,.T.); #294668=ORIENTED_EDGE('',*,*,#210975,.T.); #294669=ORIENTED_EDGE('',*,*,#210972,.T.); #294670=ORIENTED_EDGE('',*,*,#210969,.T.); #294671=ORIENTED_EDGE('',*,*,#210966,.T.); #294672=ORIENTED_EDGE('',*,*,#210963,.T.); #294673=ORIENTED_EDGE('',*,*,#210960,.T.); #294674=ORIENTED_EDGE('',*,*,#210957,.T.); #294675=ORIENTED_EDGE('',*,*,#210954,.T.); #294676=ORIENTED_EDGE('',*,*,#210951,.T.); #294677=ORIENTED_EDGE('',*,*,#210948,.T.); #294678=ORIENTED_EDGE('',*,*,#210945,.T.); #294679=ORIENTED_EDGE('',*,*,#210942,.T.); #294680=ORIENTED_EDGE('',*,*,#210939,.T.); #294681=ORIENTED_EDGE('',*,*,#210936,.T.); #294682=ORIENTED_EDGE('',*,*,#210933,.T.); #294683=ORIENTED_EDGE('',*,*,#210930,.T.); #294684=ORIENTED_EDGE('',*,*,#210927,.T.); #294685=ORIENTED_EDGE('',*,*,#210924,.T.); #294686=ORIENTED_EDGE('',*,*,#210921,.T.); #294687=ORIENTED_EDGE('',*,*,#210918,.T.); #294688=ORIENTED_EDGE('',*,*,#210915,.T.); #294689=ORIENTED_EDGE('',*,*,#210912,.T.); #294690=ORIENTED_EDGE('',*,*,#210909,.T.); #294691=ORIENTED_EDGE('',*,*,#210906,.T.); #294692=ORIENTED_EDGE('',*,*,#210903,.T.); #294693=ORIENTED_EDGE('',*,*,#210900,.T.); #294694=ORIENTED_EDGE('',*,*,#210897,.T.); #294695=ORIENTED_EDGE('',*,*,#210894,.T.); #294696=ORIENTED_EDGE('',*,*,#210891,.T.); #294697=ORIENTED_EDGE('',*,*,#210888,.T.); #294698=ORIENTED_EDGE('',*,*,#210885,.T.); #294699=ORIENTED_EDGE('',*,*,#210882,.T.); #294700=ORIENTED_EDGE('',*,*,#210879,.T.); #294701=ORIENTED_EDGE('',*,*,#210876,.T.); #294702=ORIENTED_EDGE('',*,*,#210873,.T.); #294703=ORIENTED_EDGE('',*,*,#210870,.T.); #294704=ORIENTED_EDGE('',*,*,#210867,.T.); #294705=ORIENTED_EDGE('',*,*,#210864,.T.); #294706=ORIENTED_EDGE('',*,*,#210861,.T.); #294707=ORIENTED_EDGE('',*,*,#210858,.T.); #294708=ORIENTED_EDGE('',*,*,#210855,.T.); #294709=ORIENTED_EDGE('',*,*,#210852,.T.); #294710=ORIENTED_EDGE('',*,*,#210849,.T.); #294711=ORIENTED_EDGE('',*,*,#210846,.T.); #294712=ORIENTED_EDGE('',*,*,#210843,.T.); #294713=ORIENTED_EDGE('',*,*,#210840,.T.); #294714=ORIENTED_EDGE('',*,*,#210837,.T.); #294715=ORIENTED_EDGE('',*,*,#210834,.T.); #294716=ORIENTED_EDGE('',*,*,#210831,.T.); #294717=ORIENTED_EDGE('',*,*,#210828,.T.); #294718=ORIENTED_EDGE('',*,*,#210825,.T.); #294719=ORIENTED_EDGE('',*,*,#210822,.T.); #294720=ORIENTED_EDGE('',*,*,#210819,.T.); #294721=ORIENTED_EDGE('',*,*,#210816,.T.); #294722=ORIENTED_EDGE('',*,*,#210813,.T.); #294723=ORIENTED_EDGE('',*,*,#210810,.T.); #294724=ORIENTED_EDGE('',*,*,#210807,.T.); #294725=ORIENTED_EDGE('',*,*,#210804,.T.); #294726=ORIENTED_EDGE('',*,*,#210801,.T.); #294727=ORIENTED_EDGE('',*,*,#210798,.T.); #294728=ORIENTED_EDGE('',*,*,#210795,.T.); #294729=ORIENTED_EDGE('',*,*,#210792,.T.); #294730=ORIENTED_EDGE('',*,*,#210789,.T.); #294731=ORIENTED_EDGE('',*,*,#210786,.T.); #294732=ORIENTED_EDGE('',*,*,#210783,.T.); #294733=ORIENTED_EDGE('',*,*,#210780,.T.); #294734=ORIENTED_EDGE('',*,*,#210777,.T.); #294735=ORIENTED_EDGE('',*,*,#210774,.T.); #294736=ORIENTED_EDGE('',*,*,#210771,.T.); #294737=ORIENTED_EDGE('',*,*,#210768,.T.); #294738=ORIENTED_EDGE('',*,*,#210765,.T.); #294739=ORIENTED_EDGE('',*,*,#210762,.T.); #294740=ORIENTED_EDGE('',*,*,#210759,.T.); #294741=ORIENTED_EDGE('',*,*,#210756,.T.); #294742=ORIENTED_EDGE('',*,*,#210753,.T.); #294743=ORIENTED_EDGE('',*,*,#210750,.T.); #294744=ORIENTED_EDGE('',*,*,#210747,.T.); #294745=ORIENTED_EDGE('',*,*,#210744,.T.); #294746=ORIENTED_EDGE('',*,*,#210741,.T.); #294747=ORIENTED_EDGE('',*,*,#210738,.T.); #294748=ORIENTED_EDGE('',*,*,#210735,.T.); #294749=ORIENTED_EDGE('',*,*,#210732,.T.); #294750=ORIENTED_EDGE('',*,*,#210729,.T.); #294751=ORIENTED_EDGE('',*,*,#210726,.T.); #294752=ORIENTED_EDGE('',*,*,#210723,.T.); #294753=ORIENTED_EDGE('',*,*,#210720,.T.); #294754=ORIENTED_EDGE('',*,*,#210717,.T.); #294755=ORIENTED_EDGE('',*,*,#210714,.T.); #294756=ORIENTED_EDGE('',*,*,#210711,.T.); #294757=ORIENTED_EDGE('',*,*,#210708,.T.); #294758=ORIENTED_EDGE('',*,*,#210705,.T.); #294759=ORIENTED_EDGE('',*,*,#210702,.T.); #294760=ORIENTED_EDGE('',*,*,#210699,.T.); #294761=ORIENTED_EDGE('',*,*,#210696,.T.); #294762=ORIENTED_EDGE('',*,*,#210693,.T.); #294763=ORIENTED_EDGE('',*,*,#210690,.T.); #294764=ORIENTED_EDGE('',*,*,#210687,.T.); #294765=ORIENTED_EDGE('',*,*,#210684,.T.); #294766=ORIENTED_EDGE('',*,*,#210681,.T.); #294767=ORIENTED_EDGE('',*,*,#210678,.T.); #294768=ORIENTED_EDGE('',*,*,#210675,.T.); #294769=ORIENTED_EDGE('',*,*,#210672,.T.); #294770=ORIENTED_EDGE('',*,*,#210669,.T.); #294771=ORIENTED_EDGE('',*,*,#210666,.T.); #294772=ORIENTED_EDGE('',*,*,#210663,.T.); #294773=ORIENTED_EDGE('',*,*,#210660,.T.); #294774=ORIENTED_EDGE('',*,*,#210657,.T.); #294775=ORIENTED_EDGE('',*,*,#210654,.T.); #294776=ORIENTED_EDGE('',*,*,#210651,.T.); #294777=ORIENTED_EDGE('',*,*,#210648,.T.); #294778=ORIENTED_EDGE('',*,*,#210645,.T.); #294779=ORIENTED_EDGE('',*,*,#210642,.T.); #294780=ORIENTED_EDGE('',*,*,#210639,.T.); #294781=ORIENTED_EDGE('',*,*,#210636,.T.); #294782=ORIENTED_EDGE('',*,*,#210633,.T.); #294783=ORIENTED_EDGE('',*,*,#210630,.T.); #294784=ORIENTED_EDGE('',*,*,#215225,.F.); #294785=ORIENTED_EDGE('',*,*,#214867,.F.); #294786=ORIENTED_EDGE('',*,*,#214871,.F.); #294787=ORIENTED_EDGE('',*,*,#214874,.F.); #294788=ORIENTED_EDGE('',*,*,#214877,.F.); #294789=ORIENTED_EDGE('',*,*,#214880,.F.); #294790=ORIENTED_EDGE('',*,*,#214883,.F.); #294791=ORIENTED_EDGE('',*,*,#214886,.F.); #294792=ORIENTED_EDGE('',*,*,#214889,.F.); #294793=ORIENTED_EDGE('',*,*,#214892,.F.); #294794=ORIENTED_EDGE('',*,*,#214895,.F.); #294795=ORIENTED_EDGE('',*,*,#214898,.F.); #294796=ORIENTED_EDGE('',*,*,#214901,.F.); #294797=ORIENTED_EDGE('',*,*,#214904,.F.); #294798=ORIENTED_EDGE('',*,*,#214907,.F.); #294799=ORIENTED_EDGE('',*,*,#214910,.F.); #294800=ORIENTED_EDGE('',*,*,#214913,.F.); #294801=ORIENTED_EDGE('',*,*,#214916,.F.); #294802=ORIENTED_EDGE('',*,*,#214919,.F.); #294803=ORIENTED_EDGE('',*,*,#214922,.F.); #294804=ORIENTED_EDGE('',*,*,#214925,.F.); #294805=ORIENTED_EDGE('',*,*,#214928,.F.); #294806=ORIENTED_EDGE('',*,*,#214931,.F.); #294807=ORIENTED_EDGE('',*,*,#214934,.F.); #294808=ORIENTED_EDGE('',*,*,#214937,.F.); #294809=ORIENTED_EDGE('',*,*,#214940,.F.); #294810=ORIENTED_EDGE('',*,*,#214943,.F.); #294811=ORIENTED_EDGE('',*,*,#214946,.F.); #294812=ORIENTED_EDGE('',*,*,#214949,.F.); #294813=ORIENTED_EDGE('',*,*,#214952,.F.); #294814=ORIENTED_EDGE('',*,*,#214955,.F.); #294815=ORIENTED_EDGE('',*,*,#214958,.F.); #294816=ORIENTED_EDGE('',*,*,#214961,.F.); #294817=ORIENTED_EDGE('',*,*,#214964,.F.); #294818=ORIENTED_EDGE('',*,*,#214967,.F.); #294819=ORIENTED_EDGE('',*,*,#214970,.F.); #294820=ORIENTED_EDGE('',*,*,#214973,.F.); #294821=ORIENTED_EDGE('',*,*,#214976,.F.); #294822=ORIENTED_EDGE('',*,*,#214979,.F.); #294823=ORIENTED_EDGE('',*,*,#214982,.F.); #294824=ORIENTED_EDGE('',*,*,#214985,.F.); #294825=ORIENTED_EDGE('',*,*,#214988,.F.); #294826=ORIENTED_EDGE('',*,*,#214991,.F.); #294827=ORIENTED_EDGE('',*,*,#214994,.F.); #294828=ORIENTED_EDGE('',*,*,#214997,.F.); #294829=ORIENTED_EDGE('',*,*,#215000,.F.); #294830=ORIENTED_EDGE('',*,*,#215003,.F.); #294831=ORIENTED_EDGE('',*,*,#215006,.F.); #294832=ORIENTED_EDGE('',*,*,#215009,.F.); #294833=ORIENTED_EDGE('',*,*,#215012,.F.); #294834=ORIENTED_EDGE('',*,*,#215015,.F.); #294835=ORIENTED_EDGE('',*,*,#215018,.F.); #294836=ORIENTED_EDGE('',*,*,#215021,.F.); #294837=ORIENTED_EDGE('',*,*,#215024,.F.); #294838=ORIENTED_EDGE('',*,*,#215027,.F.); #294839=ORIENTED_EDGE('',*,*,#215030,.F.); #294840=ORIENTED_EDGE('',*,*,#215033,.F.); #294841=ORIENTED_EDGE('',*,*,#215036,.F.); #294842=ORIENTED_EDGE('',*,*,#215039,.F.); #294843=ORIENTED_EDGE('',*,*,#215042,.F.); #294844=ORIENTED_EDGE('',*,*,#215045,.F.); #294845=ORIENTED_EDGE('',*,*,#215048,.F.); #294846=ORIENTED_EDGE('',*,*,#215051,.F.); #294847=ORIENTED_EDGE('',*,*,#215054,.F.); #294848=ORIENTED_EDGE('',*,*,#215057,.F.); #294849=ORIENTED_EDGE('',*,*,#215060,.F.); #294850=ORIENTED_EDGE('',*,*,#215063,.F.); #294851=ORIENTED_EDGE('',*,*,#215066,.F.); #294852=ORIENTED_EDGE('',*,*,#215069,.F.); #294853=ORIENTED_EDGE('',*,*,#215072,.F.); #294854=ORIENTED_EDGE('',*,*,#215075,.F.); #294855=ORIENTED_EDGE('',*,*,#215078,.F.); #294856=ORIENTED_EDGE('',*,*,#215081,.F.); #294857=ORIENTED_EDGE('',*,*,#215084,.F.); #294858=ORIENTED_EDGE('',*,*,#215087,.F.); #294859=ORIENTED_EDGE('',*,*,#215090,.F.); #294860=ORIENTED_EDGE('',*,*,#215093,.F.); #294861=ORIENTED_EDGE('',*,*,#215096,.F.); #294862=ORIENTED_EDGE('',*,*,#215099,.F.); #294863=ORIENTED_EDGE('',*,*,#215102,.F.); #294864=ORIENTED_EDGE('',*,*,#215105,.F.); #294865=ORIENTED_EDGE('',*,*,#215108,.F.); #294866=ORIENTED_EDGE('',*,*,#215111,.F.); #294867=ORIENTED_EDGE('',*,*,#215114,.F.); #294868=ORIENTED_EDGE('',*,*,#215117,.F.); #294869=ORIENTED_EDGE('',*,*,#215120,.F.); #294870=ORIENTED_EDGE('',*,*,#215123,.F.); #294871=ORIENTED_EDGE('',*,*,#215126,.F.); #294872=ORIENTED_EDGE('',*,*,#215129,.F.); #294873=ORIENTED_EDGE('',*,*,#215132,.F.); #294874=ORIENTED_EDGE('',*,*,#215135,.F.); #294875=ORIENTED_EDGE('',*,*,#215138,.F.); #294876=ORIENTED_EDGE('',*,*,#215141,.F.); #294877=ORIENTED_EDGE('',*,*,#215144,.F.); #294878=ORIENTED_EDGE('',*,*,#215147,.F.); #294879=ORIENTED_EDGE('',*,*,#215150,.F.); #294880=ORIENTED_EDGE('',*,*,#215153,.F.); #294881=ORIENTED_EDGE('',*,*,#215156,.F.); #294882=ORIENTED_EDGE('',*,*,#215159,.F.); #294883=ORIENTED_EDGE('',*,*,#215162,.F.); #294884=ORIENTED_EDGE('',*,*,#215165,.F.); #294885=ORIENTED_EDGE('',*,*,#215168,.F.); #294886=ORIENTED_EDGE('',*,*,#215171,.F.); #294887=ORIENTED_EDGE('',*,*,#215174,.F.); #294888=ORIENTED_EDGE('',*,*,#215177,.F.); #294889=ORIENTED_EDGE('',*,*,#215180,.F.); #294890=ORIENTED_EDGE('',*,*,#215183,.F.); #294891=ORIENTED_EDGE('',*,*,#215186,.F.); #294892=ORIENTED_EDGE('',*,*,#215189,.F.); #294893=ORIENTED_EDGE('',*,*,#215192,.F.); #294894=ORIENTED_EDGE('',*,*,#215195,.F.); #294895=ORIENTED_EDGE('',*,*,#215198,.F.); #294896=ORIENTED_EDGE('',*,*,#215201,.F.); #294897=ORIENTED_EDGE('',*,*,#215204,.F.); #294898=ORIENTED_EDGE('',*,*,#215207,.F.); #294899=ORIENTED_EDGE('',*,*,#215210,.F.); #294900=ORIENTED_EDGE('',*,*,#215213,.F.); #294901=ORIENTED_EDGE('',*,*,#215216,.F.); #294902=ORIENTED_EDGE('',*,*,#215219,.F.); #294903=ORIENTED_EDGE('',*,*,#215222,.F.); #294904=ORIENTED_EDGE('',*,*,#210184,.T.); #294905=ORIENTED_EDGE('',*,*,#210187,.T.); #294906=ORIENTED_EDGE('',*,*,#210190,.T.); #294907=ORIENTED_EDGE('',*,*,#210193,.T.); #294908=ORIENTED_EDGE('',*,*,#210196,.T.); #294909=ORIENTED_EDGE('',*,*,#210199,.T.); #294910=ORIENTED_EDGE('',*,*,#210202,.T.); #294911=ORIENTED_EDGE('',*,*,#210205,.T.); #294912=ORIENTED_EDGE('',*,*,#210208,.T.); #294913=ORIENTED_EDGE('',*,*,#210211,.T.); #294914=ORIENTED_EDGE('',*,*,#210214,.T.); #294915=ORIENTED_EDGE('',*,*,#210217,.T.); #294916=ORIENTED_EDGE('',*,*,#210220,.T.); #294917=ORIENTED_EDGE('',*,*,#210223,.T.); #294918=ORIENTED_EDGE('',*,*,#210226,.T.); #294919=ORIENTED_EDGE('',*,*,#210229,.T.); #294920=ORIENTED_EDGE('',*,*,#210232,.T.); #294921=ORIENTED_EDGE('',*,*,#210235,.T.); #294922=ORIENTED_EDGE('',*,*,#210238,.T.); #294923=ORIENTED_EDGE('',*,*,#210241,.T.); #294924=ORIENTED_EDGE('',*,*,#210244,.T.); #294925=ORIENTED_EDGE('',*,*,#210247,.T.); #294926=ORIENTED_EDGE('',*,*,#210250,.T.); #294927=ORIENTED_EDGE('',*,*,#210253,.T.); #294928=ORIENTED_EDGE('',*,*,#210256,.T.); #294929=ORIENTED_EDGE('',*,*,#210259,.T.); #294930=ORIENTED_EDGE('',*,*,#210262,.T.); #294931=ORIENTED_EDGE('',*,*,#210265,.T.); #294932=ORIENTED_EDGE('',*,*,#210268,.T.); #294933=ORIENTED_EDGE('',*,*,#210271,.T.); #294934=ORIENTED_EDGE('',*,*,#210274,.T.); #294935=ORIENTED_EDGE('',*,*,#210277,.T.); #294936=ORIENTED_EDGE('',*,*,#210280,.T.); #294937=ORIENTED_EDGE('',*,*,#210283,.T.); #294938=ORIENTED_EDGE('',*,*,#210286,.T.); #294939=ORIENTED_EDGE('',*,*,#210289,.T.); #294940=ORIENTED_EDGE('',*,*,#210292,.T.); #294941=ORIENTED_EDGE('',*,*,#210295,.T.); #294942=ORIENTED_EDGE('',*,*,#210298,.T.); #294943=ORIENTED_EDGE('',*,*,#210301,.T.); #294944=ORIENTED_EDGE('',*,*,#210304,.T.); #294945=ORIENTED_EDGE('',*,*,#210307,.T.); #294946=ORIENTED_EDGE('',*,*,#210310,.T.); #294947=ORIENTED_EDGE('',*,*,#210313,.T.); #294948=ORIENTED_EDGE('',*,*,#210316,.T.); #294949=ORIENTED_EDGE('',*,*,#210319,.T.); #294950=ORIENTED_EDGE('',*,*,#210322,.T.); #294951=ORIENTED_EDGE('',*,*,#210325,.T.); #294952=ORIENTED_EDGE('',*,*,#210328,.T.); #294953=ORIENTED_EDGE('',*,*,#210331,.T.); #294954=ORIENTED_EDGE('',*,*,#210334,.T.); #294955=ORIENTED_EDGE('',*,*,#210337,.T.); #294956=ORIENTED_EDGE('',*,*,#210340,.T.); #294957=ORIENTED_EDGE('',*,*,#210343,.T.); #294958=ORIENTED_EDGE('',*,*,#210346,.T.); #294959=ORIENTED_EDGE('',*,*,#210349,.T.); #294960=ORIENTED_EDGE('',*,*,#210352,.T.); #294961=ORIENTED_EDGE('',*,*,#210355,.T.); #294962=ORIENTED_EDGE('',*,*,#210358,.T.); #294963=ORIENTED_EDGE('',*,*,#210361,.T.); #294964=ORIENTED_EDGE('',*,*,#210364,.T.); #294965=ORIENTED_EDGE('',*,*,#210367,.T.); #294966=ORIENTED_EDGE('',*,*,#210370,.T.); #294967=ORIENTED_EDGE('',*,*,#210373,.T.); #294968=ORIENTED_EDGE('',*,*,#210384,.T.); #294969=ORIENTED_EDGE('',*,*,#210381,.T.); #294970=ORIENTED_EDGE('',*,*,#210378,.T.); #294971=ORIENTED_EDGE('',*,*,#210387,.T.); #294972=ORIENTED_EDGE('',*,*,#210396,.T.); #294973=ORIENTED_EDGE('',*,*,#210393,.T.); #294974=ORIENTED_EDGE('',*,*,#210390,.T.); #294975=ORIENTED_EDGE('',*,*,#210399,.T.); #294976=ORIENTED_EDGE('',*,*,#210400,.T.); #294977=ORIENTED_EDGE('',*,*,#210403,.T.); #294978=ORIENTED_EDGE('',*,*,#210414,.T.); #294979=ORIENTED_EDGE('',*,*,#210411,.T.); #294980=ORIENTED_EDGE('',*,*,#210408,.T.); #294981=ORIENTED_EDGE('',*,*,#210417,.T.); #294982=ORIENTED_EDGE('',*,*,#210418,.T.); #294983=ORIENTED_EDGE('',*,*,#210421,.T.); #294984=ORIENTED_EDGE('',*,*,#210424,.T.); #294985=ORIENTED_EDGE('',*,*,#210427,.T.); #294986=ORIENTED_EDGE('',*,*,#210430,.T.); #294987=ORIENTED_EDGE('',*,*,#210441,.T.); #294988=ORIENTED_EDGE('',*,*,#210438,.T.); #294989=ORIENTED_EDGE('',*,*,#210435,.T.); #294990=ORIENTED_EDGE('',*,*,#210444,.T.); #294991=ORIENTED_EDGE('',*,*,#210445,.T.); #294992=ORIENTED_EDGE('',*,*,#210456,.T.); #294993=ORIENTED_EDGE('',*,*,#210453,.T.); #294994=ORIENTED_EDGE('',*,*,#210450,.T.); #294995=ORIENTED_EDGE('',*,*,#210459,.T.); #294996=ORIENTED_EDGE('',*,*,#210468,.T.); #294997=ORIENTED_EDGE('',*,*,#210465,.T.); #294998=ORIENTED_EDGE('',*,*,#210462,.T.); #294999=ORIENTED_EDGE('',*,*,#210471,.T.); #295000=ORIENTED_EDGE('',*,*,#210472,.T.); #295001=ORIENTED_EDGE('',*,*,#210475,.T.); #295002=ORIENTED_EDGE('',*,*,#210478,.T.); #295003=ORIENTED_EDGE('',*,*,#210481,.T.); #295004=ORIENTED_EDGE('',*,*,#210484,.T.); #295005=ORIENTED_EDGE('',*,*,#210487,.T.); #295006=ORIENTED_EDGE('',*,*,#210490,.T.); #295007=ORIENTED_EDGE('',*,*,#210493,.T.); #295008=ORIENTED_EDGE('',*,*,#210496,.T.); #295009=ORIENTED_EDGE('',*,*,#210499,.T.); #295010=ORIENTED_EDGE('',*,*,#210502,.T.); #295011=ORIENTED_EDGE('',*,*,#210505,.T.); #295012=ORIENTED_EDGE('',*,*,#210508,.T.); #295013=ORIENTED_EDGE('',*,*,#210511,.T.); #295014=ORIENTED_EDGE('',*,*,#210514,.T.); #295015=ORIENTED_EDGE('',*,*,#210517,.T.); #295016=ORIENTED_EDGE('',*,*,#210520,.T.); #295017=ORIENTED_EDGE('',*,*,#210523,.T.); #295018=ORIENTED_EDGE('',*,*,#210526,.T.); #295019=ORIENTED_EDGE('',*,*,#210529,.T.); #295020=ORIENTED_EDGE('',*,*,#210532,.T.); #295021=ORIENTED_EDGE('',*,*,#210535,.T.); #295022=ORIENTED_EDGE('',*,*,#210538,.T.); #295023=ORIENTED_EDGE('',*,*,#210541,.T.); #295024=ORIENTED_EDGE('',*,*,#210544,.T.); #295025=ORIENTED_EDGE('',*,*,#210547,.T.); #295026=ORIENTED_EDGE('',*,*,#210550,.T.); #295027=ORIENTED_EDGE('',*,*,#210553,.T.); #295028=ORIENTED_EDGE('',*,*,#210556,.T.); #295029=ORIENTED_EDGE('',*,*,#210559,.T.); #295030=ORIENTED_EDGE('',*,*,#210562,.T.); #295031=ORIENTED_EDGE('',*,*,#210565,.T.); #295032=ORIENTED_EDGE('',*,*,#210568,.T.); #295033=ORIENTED_EDGE('',*,*,#210571,.T.); #295034=ORIENTED_EDGE('',*,*,#210574,.T.); #295035=ORIENTED_EDGE('',*,*,#210577,.T.); #295036=ORIENTED_EDGE('',*,*,#210580,.T.); #295037=ORIENTED_EDGE('',*,*,#210583,.T.); #295038=ORIENTED_EDGE('',*,*,#210586,.T.); #295039=ORIENTED_EDGE('',*,*,#210589,.T.); #295040=ORIENTED_EDGE('',*,*,#210592,.T.); #295041=ORIENTED_EDGE('',*,*,#210595,.T.); #295042=ORIENTED_EDGE('',*,*,#210598,.T.); #295043=ORIENTED_EDGE('',*,*,#210601,.T.); #295044=ORIENTED_EDGE('',*,*,#210604,.T.); #295045=ORIENTED_EDGE('',*,*,#210607,.T.); #295046=ORIENTED_EDGE('',*,*,#210610,.T.); #295047=ORIENTED_EDGE('',*,*,#210613,.T.); #295048=ORIENTED_EDGE('',*,*,#210616,.T.); #295049=ORIENTED_EDGE('',*,*,#210619,.T.); #295050=ORIENTED_EDGE('',*,*,#210622,.T.); #295051=ORIENTED_EDGE('',*,*,#210625,.T.); #295052=ORIENTED_EDGE('',*,*,#214865,.F.); #295053=ORIENTED_EDGE('',*,*,#214777,.F.); #295054=ORIENTED_EDGE('',*,*,#214781,.F.); #295055=ORIENTED_EDGE('',*,*,#214784,.F.); #295056=ORIENTED_EDGE('',*,*,#214787,.F.); #295057=ORIENTED_EDGE('',*,*,#214790,.F.); #295058=ORIENTED_EDGE('',*,*,#214793,.F.); #295059=ORIENTED_EDGE('',*,*,#214796,.F.); #295060=ORIENTED_EDGE('',*,*,#214799,.F.); #295061=ORIENTED_EDGE('',*,*,#214802,.F.); #295062=ORIENTED_EDGE('',*,*,#214805,.F.); #295063=ORIENTED_EDGE('',*,*,#214808,.F.); #295064=ORIENTED_EDGE('',*,*,#214811,.F.); #295065=ORIENTED_EDGE('',*,*,#214814,.F.); #295066=ORIENTED_EDGE('',*,*,#214817,.F.); #295067=ORIENTED_EDGE('',*,*,#214820,.F.); #295068=ORIENTED_EDGE('',*,*,#214823,.F.); #295069=ORIENTED_EDGE('',*,*,#214826,.F.); #295070=ORIENTED_EDGE('',*,*,#214829,.F.); #295071=ORIENTED_EDGE('',*,*,#214832,.F.); #295072=ORIENTED_EDGE('',*,*,#214835,.F.); #295073=ORIENTED_EDGE('',*,*,#214838,.F.); #295074=ORIENTED_EDGE('',*,*,#214841,.F.); #295075=ORIENTED_EDGE('',*,*,#214844,.F.); #295076=ORIENTED_EDGE('',*,*,#214847,.F.); #295077=ORIENTED_EDGE('',*,*,#214850,.F.); #295078=ORIENTED_EDGE('',*,*,#214853,.F.); #295079=ORIENTED_EDGE('',*,*,#214856,.F.); #295080=ORIENTED_EDGE('',*,*,#214859,.F.); #295081=ORIENTED_EDGE('',*,*,#214862,.F.); #295082=ORIENTED_EDGE('',*,*,#214775,.F.); #295083=ORIENTED_EDGE('',*,*,#214687,.F.); #295084=ORIENTED_EDGE('',*,*,#214691,.F.); #295085=ORIENTED_EDGE('',*,*,#214694,.F.); #295086=ORIENTED_EDGE('',*,*,#214697,.F.); #295087=ORIENTED_EDGE('',*,*,#214700,.F.); #295088=ORIENTED_EDGE('',*,*,#214703,.F.); #295089=ORIENTED_EDGE('',*,*,#214706,.F.); #295090=ORIENTED_EDGE('',*,*,#214709,.F.); #295091=ORIENTED_EDGE('',*,*,#214712,.F.); #295092=ORIENTED_EDGE('',*,*,#214715,.F.); #295093=ORIENTED_EDGE('',*,*,#214718,.F.); #295094=ORIENTED_EDGE('',*,*,#214721,.F.); #295095=ORIENTED_EDGE('',*,*,#214724,.F.); #295096=ORIENTED_EDGE('',*,*,#214727,.F.); #295097=ORIENTED_EDGE('',*,*,#214730,.F.); #295098=ORIENTED_EDGE('',*,*,#214733,.F.); #295099=ORIENTED_EDGE('',*,*,#214736,.F.); #295100=ORIENTED_EDGE('',*,*,#214739,.F.); #295101=ORIENTED_EDGE('',*,*,#214742,.F.); #295102=ORIENTED_EDGE('',*,*,#214745,.F.); #295103=ORIENTED_EDGE('',*,*,#214748,.F.); #295104=ORIENTED_EDGE('',*,*,#214751,.F.); #295105=ORIENTED_EDGE('',*,*,#214754,.F.); #295106=ORIENTED_EDGE('',*,*,#214757,.F.); #295107=ORIENTED_EDGE('',*,*,#214760,.F.); #295108=ORIENTED_EDGE('',*,*,#214763,.F.); #295109=ORIENTED_EDGE('',*,*,#214766,.F.); #295110=ORIENTED_EDGE('',*,*,#214769,.F.); #295111=ORIENTED_EDGE('',*,*,#214772,.F.); #295112=ORIENTED_EDGE('',*,*,#214685,.F.); #295113=ORIENTED_EDGE('',*,*,#214597,.F.); #295114=ORIENTED_EDGE('',*,*,#214601,.F.); #295115=ORIENTED_EDGE('',*,*,#214604,.F.); #295116=ORIENTED_EDGE('',*,*,#214607,.F.); #295117=ORIENTED_EDGE('',*,*,#214610,.F.); #295118=ORIENTED_EDGE('',*,*,#214613,.F.); #295119=ORIENTED_EDGE('',*,*,#214616,.F.); #295120=ORIENTED_EDGE('',*,*,#214619,.F.); #295121=ORIENTED_EDGE('',*,*,#214622,.F.); #295122=ORIENTED_EDGE('',*,*,#214625,.F.); #295123=ORIENTED_EDGE('',*,*,#214628,.F.); #295124=ORIENTED_EDGE('',*,*,#214631,.F.); #295125=ORIENTED_EDGE('',*,*,#214634,.F.); #295126=ORIENTED_EDGE('',*,*,#214637,.F.); #295127=ORIENTED_EDGE('',*,*,#214640,.F.); #295128=ORIENTED_EDGE('',*,*,#214643,.F.); #295129=ORIENTED_EDGE('',*,*,#214646,.F.); #295130=ORIENTED_EDGE('',*,*,#214649,.F.); #295131=ORIENTED_EDGE('',*,*,#214652,.F.); #295132=ORIENTED_EDGE('',*,*,#214655,.F.); #295133=ORIENTED_EDGE('',*,*,#214658,.F.); #295134=ORIENTED_EDGE('',*,*,#214661,.F.); #295135=ORIENTED_EDGE('',*,*,#214664,.F.); #295136=ORIENTED_EDGE('',*,*,#214667,.F.); #295137=ORIENTED_EDGE('',*,*,#214670,.F.); #295138=ORIENTED_EDGE('',*,*,#214673,.F.); #295139=ORIENTED_EDGE('',*,*,#214676,.F.); #295140=ORIENTED_EDGE('',*,*,#214679,.F.); #295141=ORIENTED_EDGE('',*,*,#214682,.F.); #295142=ORIENTED_EDGE('',*,*,#214595,.F.); #295143=ORIENTED_EDGE('',*,*,#213844,.F.); #295144=ORIENTED_EDGE('',*,*,#213848,.F.); #295145=ORIENTED_EDGE('',*,*,#213851,.F.); #295146=ORIENTED_EDGE('',*,*,#213854,.F.); #295147=ORIENTED_EDGE('',*,*,#213857,.F.); #295148=ORIENTED_EDGE('',*,*,#213860,.F.); #295149=ORIENTED_EDGE('',*,*,#213863,.F.); #295150=ORIENTED_EDGE('',*,*,#213866,.F.); #295151=ORIENTED_EDGE('',*,*,#213869,.F.); #295152=ORIENTED_EDGE('',*,*,#213872,.F.); #295153=ORIENTED_EDGE('',*,*,#213875,.F.); #295154=ORIENTED_EDGE('',*,*,#213878,.F.); #295155=ORIENTED_EDGE('',*,*,#213881,.F.); #295156=ORIENTED_EDGE('',*,*,#213884,.F.); #295157=ORIENTED_EDGE('',*,*,#213887,.F.); #295158=ORIENTED_EDGE('',*,*,#213890,.F.); #295159=ORIENTED_EDGE('',*,*,#213893,.F.); #295160=ORIENTED_EDGE('',*,*,#213896,.F.); #295161=ORIENTED_EDGE('',*,*,#213899,.F.); #295162=ORIENTED_EDGE('',*,*,#213902,.F.); #295163=ORIENTED_EDGE('',*,*,#213905,.F.); #295164=ORIENTED_EDGE('',*,*,#213908,.F.); #295165=ORIENTED_EDGE('',*,*,#213911,.F.); #295166=ORIENTED_EDGE('',*,*,#213914,.F.); #295167=ORIENTED_EDGE('',*,*,#213917,.F.); #295168=ORIENTED_EDGE('',*,*,#213920,.F.); #295169=ORIENTED_EDGE('',*,*,#213923,.F.); #295170=ORIENTED_EDGE('',*,*,#213926,.F.); #295171=ORIENTED_EDGE('',*,*,#213929,.F.); #295172=ORIENTED_EDGE('',*,*,#213932,.F.); #295173=ORIENTED_EDGE('',*,*,#213935,.F.); #295174=ORIENTED_EDGE('',*,*,#213938,.F.); #295175=ORIENTED_EDGE('',*,*,#213941,.F.); #295176=ORIENTED_EDGE('',*,*,#213944,.F.); #295177=ORIENTED_EDGE('',*,*,#213947,.F.); #295178=ORIENTED_EDGE('',*,*,#213950,.F.); #295179=ORIENTED_EDGE('',*,*,#213953,.F.); #295180=ORIENTED_EDGE('',*,*,#213956,.F.); #295181=ORIENTED_EDGE('',*,*,#213959,.F.); #295182=ORIENTED_EDGE('',*,*,#213962,.F.); #295183=ORIENTED_EDGE('',*,*,#213965,.F.); #295184=ORIENTED_EDGE('',*,*,#213968,.F.); #295185=ORIENTED_EDGE('',*,*,#213971,.F.); #295186=ORIENTED_EDGE('',*,*,#213974,.F.); #295187=ORIENTED_EDGE('',*,*,#213977,.F.); #295188=ORIENTED_EDGE('',*,*,#213980,.F.); #295189=ORIENTED_EDGE('',*,*,#213983,.F.); #295190=ORIENTED_EDGE('',*,*,#213986,.F.); #295191=ORIENTED_EDGE('',*,*,#213989,.F.); #295192=ORIENTED_EDGE('',*,*,#213992,.F.); #295193=ORIENTED_EDGE('',*,*,#213995,.F.); #295194=ORIENTED_EDGE('',*,*,#213998,.F.); #295195=ORIENTED_EDGE('',*,*,#214001,.F.); #295196=ORIENTED_EDGE('',*,*,#214004,.F.); #295197=ORIENTED_EDGE('',*,*,#214007,.F.); #295198=ORIENTED_EDGE('',*,*,#214010,.F.); #295199=ORIENTED_EDGE('',*,*,#214013,.F.); #295200=ORIENTED_EDGE('',*,*,#214016,.F.); #295201=ORIENTED_EDGE('',*,*,#214019,.F.); #295202=ORIENTED_EDGE('',*,*,#214022,.F.); #295203=ORIENTED_EDGE('',*,*,#214025,.F.); #295204=ORIENTED_EDGE('',*,*,#214028,.F.); #295205=ORIENTED_EDGE('',*,*,#214031,.F.); #295206=ORIENTED_EDGE('',*,*,#214034,.F.); #295207=ORIENTED_EDGE('',*,*,#214037,.F.); #295208=ORIENTED_EDGE('',*,*,#214040,.F.); #295209=ORIENTED_EDGE('',*,*,#214043,.F.); #295210=ORIENTED_EDGE('',*,*,#214046,.F.); #295211=ORIENTED_EDGE('',*,*,#214049,.F.); #295212=ORIENTED_EDGE('',*,*,#214052,.F.); #295213=ORIENTED_EDGE('',*,*,#214055,.F.); #295214=ORIENTED_EDGE('',*,*,#214058,.F.); #295215=ORIENTED_EDGE('',*,*,#214061,.F.); #295216=ORIENTED_EDGE('',*,*,#214064,.F.); #295217=ORIENTED_EDGE('',*,*,#214067,.F.); #295218=ORIENTED_EDGE('',*,*,#214070,.F.); #295219=ORIENTED_EDGE('',*,*,#214073,.F.); #295220=ORIENTED_EDGE('',*,*,#214076,.F.); #295221=ORIENTED_EDGE('',*,*,#214079,.F.); #295222=ORIENTED_EDGE('',*,*,#214082,.F.); #295223=ORIENTED_EDGE('',*,*,#214085,.F.); #295224=ORIENTED_EDGE('',*,*,#214088,.F.); #295225=ORIENTED_EDGE('',*,*,#214091,.F.); #295226=ORIENTED_EDGE('',*,*,#214094,.F.); #295227=ORIENTED_EDGE('',*,*,#214097,.F.); #295228=ORIENTED_EDGE('',*,*,#214100,.F.); #295229=ORIENTED_EDGE('',*,*,#214103,.F.); #295230=ORIENTED_EDGE('',*,*,#214106,.F.); #295231=ORIENTED_EDGE('',*,*,#214109,.F.); #295232=ORIENTED_EDGE('',*,*,#214112,.F.); #295233=ORIENTED_EDGE('',*,*,#214115,.F.); #295234=ORIENTED_EDGE('',*,*,#214118,.F.); #295235=ORIENTED_EDGE('',*,*,#214121,.F.); #295236=ORIENTED_EDGE('',*,*,#214124,.F.); #295237=ORIENTED_EDGE('',*,*,#214127,.F.); #295238=ORIENTED_EDGE('',*,*,#214130,.F.); #295239=ORIENTED_EDGE('',*,*,#214133,.F.); #295240=ORIENTED_EDGE('',*,*,#214136,.F.); #295241=ORIENTED_EDGE('',*,*,#214139,.F.); #295242=ORIENTED_EDGE('',*,*,#214142,.F.); #295243=ORIENTED_EDGE('',*,*,#214145,.F.); #295244=ORIENTED_EDGE('',*,*,#214148,.F.); #295245=ORIENTED_EDGE('',*,*,#214151,.F.); #295246=ORIENTED_EDGE('',*,*,#214154,.F.); #295247=ORIENTED_EDGE('',*,*,#214157,.F.); #295248=ORIENTED_EDGE('',*,*,#214160,.F.); #295249=ORIENTED_EDGE('',*,*,#214163,.F.); #295250=ORIENTED_EDGE('',*,*,#214166,.F.); #295251=ORIENTED_EDGE('',*,*,#214169,.F.); #295252=ORIENTED_EDGE('',*,*,#214172,.F.); #295253=ORIENTED_EDGE('',*,*,#214175,.F.); #295254=ORIENTED_EDGE('',*,*,#214178,.F.); #295255=ORIENTED_EDGE('',*,*,#214181,.F.); #295256=ORIENTED_EDGE('',*,*,#214184,.F.); #295257=ORIENTED_EDGE('',*,*,#214187,.F.); #295258=ORIENTED_EDGE('',*,*,#214190,.F.); #295259=ORIENTED_EDGE('',*,*,#214193,.F.); #295260=ORIENTED_EDGE('',*,*,#214196,.F.); #295261=ORIENTED_EDGE('',*,*,#214199,.F.); #295262=ORIENTED_EDGE('',*,*,#214202,.F.); #295263=ORIENTED_EDGE('',*,*,#214205,.F.); #295264=ORIENTED_EDGE('',*,*,#214208,.F.); #295265=ORIENTED_EDGE('',*,*,#214211,.F.); #295266=ORIENTED_EDGE('',*,*,#214214,.F.); #295267=ORIENTED_EDGE('',*,*,#214217,.F.); #295268=ORIENTED_EDGE('',*,*,#214220,.F.); #295269=ORIENTED_EDGE('',*,*,#214223,.F.); #295270=ORIENTED_EDGE('',*,*,#214226,.F.); #295271=ORIENTED_EDGE('',*,*,#214229,.F.); #295272=ORIENTED_EDGE('',*,*,#214232,.F.); #295273=ORIENTED_EDGE('',*,*,#214235,.F.); #295274=ORIENTED_EDGE('',*,*,#214238,.F.); #295275=ORIENTED_EDGE('',*,*,#214241,.F.); #295276=ORIENTED_EDGE('',*,*,#214244,.F.); #295277=ORIENTED_EDGE('',*,*,#214247,.F.); #295278=ORIENTED_EDGE('',*,*,#214250,.F.); #295279=ORIENTED_EDGE('',*,*,#214253,.F.); #295280=ORIENTED_EDGE('',*,*,#214256,.F.); #295281=ORIENTED_EDGE('',*,*,#214259,.F.); #295282=ORIENTED_EDGE('',*,*,#214262,.F.); #295283=ORIENTED_EDGE('',*,*,#214265,.F.); #295284=ORIENTED_EDGE('',*,*,#214268,.F.); #295285=ORIENTED_EDGE('',*,*,#214271,.F.); #295286=ORIENTED_EDGE('',*,*,#214274,.F.); #295287=ORIENTED_EDGE('',*,*,#214277,.F.); #295288=ORIENTED_EDGE('',*,*,#214280,.F.); #295289=ORIENTED_EDGE('',*,*,#214283,.F.); #295290=ORIENTED_EDGE('',*,*,#214286,.F.); #295291=ORIENTED_EDGE('',*,*,#214289,.F.); #295292=ORIENTED_EDGE('',*,*,#214292,.F.); #295293=ORIENTED_EDGE('',*,*,#214295,.F.); #295294=ORIENTED_EDGE('',*,*,#214298,.F.); #295295=ORIENTED_EDGE('',*,*,#214301,.F.); #295296=ORIENTED_EDGE('',*,*,#214304,.F.); #295297=ORIENTED_EDGE('',*,*,#214307,.F.); #295298=ORIENTED_EDGE('',*,*,#214310,.F.); #295299=ORIENTED_EDGE('',*,*,#214313,.F.); #295300=ORIENTED_EDGE('',*,*,#214316,.F.); #295301=ORIENTED_EDGE('',*,*,#214319,.F.); #295302=ORIENTED_EDGE('',*,*,#214322,.F.); #295303=ORIENTED_EDGE('',*,*,#214325,.F.); #295304=ORIENTED_EDGE('',*,*,#214328,.F.); #295305=ORIENTED_EDGE('',*,*,#214331,.F.); #295306=ORIENTED_EDGE('',*,*,#214334,.F.); #295307=ORIENTED_EDGE('',*,*,#214337,.F.); #295308=ORIENTED_EDGE('',*,*,#214340,.F.); #295309=ORIENTED_EDGE('',*,*,#214343,.F.); #295310=ORIENTED_EDGE('',*,*,#214346,.F.); #295311=ORIENTED_EDGE('',*,*,#214349,.F.); #295312=ORIENTED_EDGE('',*,*,#214352,.F.); #295313=ORIENTED_EDGE('',*,*,#214355,.F.); #295314=ORIENTED_EDGE('',*,*,#214358,.F.); #295315=ORIENTED_EDGE('',*,*,#214361,.F.); #295316=ORIENTED_EDGE('',*,*,#214364,.F.); #295317=ORIENTED_EDGE('',*,*,#214367,.F.); #295318=ORIENTED_EDGE('',*,*,#214370,.F.); #295319=ORIENTED_EDGE('',*,*,#214373,.F.); #295320=ORIENTED_EDGE('',*,*,#214376,.F.); #295321=ORIENTED_EDGE('',*,*,#214379,.F.); #295322=ORIENTED_EDGE('',*,*,#214382,.F.); #295323=ORIENTED_EDGE('',*,*,#214385,.F.); #295324=ORIENTED_EDGE('',*,*,#214388,.F.); #295325=ORIENTED_EDGE('',*,*,#214391,.F.); #295326=ORIENTED_EDGE('',*,*,#214394,.F.); #295327=ORIENTED_EDGE('',*,*,#214397,.F.); #295328=ORIENTED_EDGE('',*,*,#214400,.F.); #295329=ORIENTED_EDGE('',*,*,#214403,.F.); #295330=ORIENTED_EDGE('',*,*,#214406,.F.); #295331=ORIENTED_EDGE('',*,*,#214409,.F.); #295332=ORIENTED_EDGE('',*,*,#214412,.F.); #295333=ORIENTED_EDGE('',*,*,#214415,.F.); #295334=ORIENTED_EDGE('',*,*,#214418,.F.); #295335=ORIENTED_EDGE('',*,*,#214421,.F.); #295336=ORIENTED_EDGE('',*,*,#214424,.F.); #295337=ORIENTED_EDGE('',*,*,#214427,.F.); #295338=ORIENTED_EDGE('',*,*,#214430,.F.); #295339=ORIENTED_EDGE('',*,*,#214433,.F.); #295340=ORIENTED_EDGE('',*,*,#214436,.F.); #295341=ORIENTED_EDGE('',*,*,#214439,.F.); #295342=ORIENTED_EDGE('',*,*,#214442,.F.); #295343=ORIENTED_EDGE('',*,*,#214445,.F.); #295344=ORIENTED_EDGE('',*,*,#214448,.F.); #295345=ORIENTED_EDGE('',*,*,#214451,.F.); #295346=ORIENTED_EDGE('',*,*,#214454,.F.); #295347=ORIENTED_EDGE('',*,*,#214457,.F.); #295348=ORIENTED_EDGE('',*,*,#214460,.F.); #295349=ORIENTED_EDGE('',*,*,#214463,.F.); #295350=ORIENTED_EDGE('',*,*,#214466,.F.); #295351=ORIENTED_EDGE('',*,*,#214469,.F.); #295352=ORIENTED_EDGE('',*,*,#214472,.F.); #295353=ORIENTED_EDGE('',*,*,#214475,.F.); #295354=ORIENTED_EDGE('',*,*,#214478,.F.); #295355=ORIENTED_EDGE('',*,*,#214481,.F.); #295356=ORIENTED_EDGE('',*,*,#214484,.F.); #295357=ORIENTED_EDGE('',*,*,#214487,.F.); #295358=ORIENTED_EDGE('',*,*,#214490,.F.); #295359=ORIENTED_EDGE('',*,*,#214493,.F.); #295360=ORIENTED_EDGE('',*,*,#214496,.F.); #295361=ORIENTED_EDGE('',*,*,#214499,.F.); #295362=ORIENTED_EDGE('',*,*,#214502,.F.); #295363=ORIENTED_EDGE('',*,*,#214505,.F.); #295364=ORIENTED_EDGE('',*,*,#214508,.F.); #295365=ORIENTED_EDGE('',*,*,#214511,.F.); #295366=ORIENTED_EDGE('',*,*,#214514,.F.); #295367=ORIENTED_EDGE('',*,*,#214517,.F.); #295368=ORIENTED_EDGE('',*,*,#214520,.F.); #295369=ORIENTED_EDGE('',*,*,#214523,.F.); #295370=ORIENTED_EDGE('',*,*,#214526,.F.); #295371=ORIENTED_EDGE('',*,*,#214529,.F.); #295372=ORIENTED_EDGE('',*,*,#214532,.F.); #295373=ORIENTED_EDGE('',*,*,#214535,.F.); #295374=ORIENTED_EDGE('',*,*,#214538,.F.); #295375=ORIENTED_EDGE('',*,*,#214541,.F.); #295376=ORIENTED_EDGE('',*,*,#214544,.F.); #295377=ORIENTED_EDGE('',*,*,#214547,.F.); #295378=ORIENTED_EDGE('',*,*,#214550,.F.); #295379=ORIENTED_EDGE('',*,*,#214553,.F.); #295380=ORIENTED_EDGE('',*,*,#214556,.F.); #295381=ORIENTED_EDGE('',*,*,#214559,.F.); #295382=ORIENTED_EDGE('',*,*,#214562,.F.); #295383=ORIENTED_EDGE('',*,*,#214565,.F.); #295384=ORIENTED_EDGE('',*,*,#214568,.F.); #295385=ORIENTED_EDGE('',*,*,#214571,.F.); #295386=ORIENTED_EDGE('',*,*,#214574,.F.); #295387=ORIENTED_EDGE('',*,*,#214577,.F.); #295388=ORIENTED_EDGE('',*,*,#214580,.F.); #295389=ORIENTED_EDGE('',*,*,#214583,.F.); #295390=ORIENTED_EDGE('',*,*,#214586,.F.); #295391=ORIENTED_EDGE('',*,*,#214589,.F.); #295392=ORIENTED_EDGE('',*,*,#214592,.F.); #295393=ORIENTED_EDGE('',*,*,#213842,.F.); #295394=ORIENTED_EDGE('',*,*,#213544,.F.); #295395=ORIENTED_EDGE('',*,*,#213548,.F.); #295396=ORIENTED_EDGE('',*,*,#213551,.F.); #295397=ORIENTED_EDGE('',*,*,#213554,.F.); #295398=ORIENTED_EDGE('',*,*,#213557,.F.); #295399=ORIENTED_EDGE('',*,*,#213560,.F.); #295400=ORIENTED_EDGE('',*,*,#213563,.F.); #295401=ORIENTED_EDGE('',*,*,#213566,.F.); #295402=ORIENTED_EDGE('',*,*,#213569,.F.); #295403=ORIENTED_EDGE('',*,*,#213572,.F.); #295404=ORIENTED_EDGE('',*,*,#213575,.F.); #295405=ORIENTED_EDGE('',*,*,#213578,.F.); #295406=ORIENTED_EDGE('',*,*,#213581,.F.); #295407=ORIENTED_EDGE('',*,*,#213584,.F.); #295408=ORIENTED_EDGE('',*,*,#213587,.F.); #295409=ORIENTED_EDGE('',*,*,#213590,.F.); #295410=ORIENTED_EDGE('',*,*,#213593,.F.); #295411=ORIENTED_EDGE('',*,*,#213596,.F.); #295412=ORIENTED_EDGE('',*,*,#213599,.F.); #295413=ORIENTED_EDGE('',*,*,#213602,.F.); #295414=ORIENTED_EDGE('',*,*,#213605,.F.); #295415=ORIENTED_EDGE('',*,*,#213608,.F.); #295416=ORIENTED_EDGE('',*,*,#213611,.F.); #295417=ORIENTED_EDGE('',*,*,#213614,.F.); #295418=ORIENTED_EDGE('',*,*,#213617,.F.); #295419=ORIENTED_EDGE('',*,*,#213620,.F.); #295420=ORIENTED_EDGE('',*,*,#213623,.F.); #295421=ORIENTED_EDGE('',*,*,#213626,.F.); #295422=ORIENTED_EDGE('',*,*,#213629,.F.); #295423=ORIENTED_EDGE('',*,*,#213632,.F.); #295424=ORIENTED_EDGE('',*,*,#213635,.F.); #295425=ORIENTED_EDGE('',*,*,#213638,.F.); #295426=ORIENTED_EDGE('',*,*,#213641,.F.); #295427=ORIENTED_EDGE('',*,*,#213644,.F.); #295428=ORIENTED_EDGE('',*,*,#213647,.F.); #295429=ORIENTED_EDGE('',*,*,#213650,.F.); #295430=ORIENTED_EDGE('',*,*,#213653,.F.); #295431=ORIENTED_EDGE('',*,*,#213656,.F.); #295432=ORIENTED_EDGE('',*,*,#213659,.F.); #295433=ORIENTED_EDGE('',*,*,#213662,.F.); #295434=ORIENTED_EDGE('',*,*,#213665,.F.); #295435=ORIENTED_EDGE('',*,*,#213668,.F.); #295436=ORIENTED_EDGE('',*,*,#213671,.F.); #295437=ORIENTED_EDGE('',*,*,#213674,.F.); #295438=ORIENTED_EDGE('',*,*,#213677,.F.); #295439=ORIENTED_EDGE('',*,*,#213680,.F.); #295440=ORIENTED_EDGE('',*,*,#213683,.F.); #295441=ORIENTED_EDGE('',*,*,#213686,.F.); #295442=ORIENTED_EDGE('',*,*,#213689,.F.); #295443=ORIENTED_EDGE('',*,*,#213692,.F.); #295444=ORIENTED_EDGE('',*,*,#213695,.F.); #295445=ORIENTED_EDGE('',*,*,#213698,.F.); #295446=ORIENTED_EDGE('',*,*,#213701,.F.); #295447=ORIENTED_EDGE('',*,*,#213704,.F.); #295448=ORIENTED_EDGE('',*,*,#213707,.F.); #295449=ORIENTED_EDGE('',*,*,#213710,.F.); #295450=ORIENTED_EDGE('',*,*,#213713,.F.); #295451=ORIENTED_EDGE('',*,*,#213716,.F.); #295452=ORIENTED_EDGE('',*,*,#213719,.F.); #295453=ORIENTED_EDGE('',*,*,#213722,.F.); #295454=ORIENTED_EDGE('',*,*,#213725,.F.); #295455=ORIENTED_EDGE('',*,*,#213728,.F.); #295456=ORIENTED_EDGE('',*,*,#213731,.F.); #295457=ORIENTED_EDGE('',*,*,#213734,.F.); #295458=ORIENTED_EDGE('',*,*,#213737,.F.); #295459=ORIENTED_EDGE('',*,*,#213740,.F.); #295460=ORIENTED_EDGE('',*,*,#213743,.F.); #295461=ORIENTED_EDGE('',*,*,#213746,.F.); #295462=ORIENTED_EDGE('',*,*,#213749,.F.); #295463=ORIENTED_EDGE('',*,*,#213752,.F.); #295464=ORIENTED_EDGE('',*,*,#213755,.F.); #295465=ORIENTED_EDGE('',*,*,#213758,.F.); #295466=ORIENTED_EDGE('',*,*,#213761,.F.); #295467=ORIENTED_EDGE('',*,*,#213764,.F.); #295468=ORIENTED_EDGE('',*,*,#213767,.F.); #295469=ORIENTED_EDGE('',*,*,#213770,.F.); #295470=ORIENTED_EDGE('',*,*,#213773,.F.); #295471=ORIENTED_EDGE('',*,*,#213776,.F.); #295472=ORIENTED_EDGE('',*,*,#213779,.F.); #295473=ORIENTED_EDGE('',*,*,#213782,.F.); #295474=ORIENTED_EDGE('',*,*,#213785,.F.); #295475=ORIENTED_EDGE('',*,*,#213788,.F.); #295476=ORIENTED_EDGE('',*,*,#213791,.F.); #295477=ORIENTED_EDGE('',*,*,#213794,.F.); #295478=ORIENTED_EDGE('',*,*,#213797,.F.); #295479=ORIENTED_EDGE('',*,*,#213800,.F.); #295480=ORIENTED_EDGE('',*,*,#213803,.F.); #295481=ORIENTED_EDGE('',*,*,#213806,.F.); #295482=ORIENTED_EDGE('',*,*,#213809,.F.); #295483=ORIENTED_EDGE('',*,*,#213812,.F.); #295484=ORIENTED_EDGE('',*,*,#213815,.F.); #295485=ORIENTED_EDGE('',*,*,#213818,.F.); #295486=ORIENTED_EDGE('',*,*,#213821,.F.); #295487=ORIENTED_EDGE('',*,*,#213824,.F.); #295488=ORIENTED_EDGE('',*,*,#213827,.F.); #295489=ORIENTED_EDGE('',*,*,#213830,.F.); #295490=ORIENTED_EDGE('',*,*,#213833,.F.); #295491=ORIENTED_EDGE('',*,*,#213836,.F.); #295492=ORIENTED_EDGE('',*,*,#213839,.F.); #295493=ORIENTED_EDGE('',*,*,#213542,.F.); #295494=ORIENTED_EDGE('',*,*,#211606,.F.); #295495=ORIENTED_EDGE('',*,*,#211610,.F.); #295496=ORIENTED_EDGE('',*,*,#211613,.F.); #295497=ORIENTED_EDGE('',*,*,#211616,.F.); #295498=ORIENTED_EDGE('',*,*,#211619,.F.); #295499=ORIENTED_EDGE('',*,*,#211622,.F.); #295500=ORIENTED_EDGE('',*,*,#211625,.F.); #295501=ORIENTED_EDGE('',*,*,#211628,.F.); #295502=ORIENTED_EDGE('',*,*,#211631,.F.); #295503=ORIENTED_EDGE('',*,*,#211634,.F.); #295504=ORIENTED_EDGE('',*,*,#211637,.F.); #295505=ORIENTED_EDGE('',*,*,#211640,.F.); #295506=ORIENTED_EDGE('',*,*,#211643,.F.); #295507=ORIENTED_EDGE('',*,*,#211646,.F.); #295508=ORIENTED_EDGE('',*,*,#211649,.F.); #295509=ORIENTED_EDGE('',*,*,#211652,.F.); #295510=ORIENTED_EDGE('',*,*,#211655,.F.); #295511=ORIENTED_EDGE('',*,*,#211658,.F.); #295512=ORIENTED_EDGE('',*,*,#211661,.F.); #295513=ORIENTED_EDGE('',*,*,#211664,.F.); #295514=ORIENTED_EDGE('',*,*,#211667,.F.); #295515=ORIENTED_EDGE('',*,*,#211670,.F.); #295516=ORIENTED_EDGE('',*,*,#211673,.F.); #295517=ORIENTED_EDGE('',*,*,#211676,.F.); #295518=ORIENTED_EDGE('',*,*,#211679,.F.); #295519=ORIENTED_EDGE('',*,*,#211682,.F.); #295520=ORIENTED_EDGE('',*,*,#211685,.F.); #295521=ORIENTED_EDGE('',*,*,#211688,.F.); #295522=ORIENTED_EDGE('',*,*,#211691,.F.); #295523=ORIENTED_EDGE('',*,*,#211694,.F.); #295524=ORIENTED_EDGE('',*,*,#211697,.F.); #295525=ORIENTED_EDGE('',*,*,#211700,.F.); #295526=ORIENTED_EDGE('',*,*,#211703,.F.); #295527=ORIENTED_EDGE('',*,*,#211706,.F.); #295528=ORIENTED_EDGE('',*,*,#211709,.F.); #295529=ORIENTED_EDGE('',*,*,#211712,.F.); #295530=ORIENTED_EDGE('',*,*,#211715,.F.); #295531=ORIENTED_EDGE('',*,*,#211718,.F.); #295532=ORIENTED_EDGE('',*,*,#211721,.F.); #295533=ORIENTED_EDGE('',*,*,#211724,.F.); #295534=ORIENTED_EDGE('',*,*,#211727,.F.); #295535=ORIENTED_EDGE('',*,*,#211730,.F.); #295536=ORIENTED_EDGE('',*,*,#211733,.F.); #295537=ORIENTED_EDGE('',*,*,#211736,.F.); #295538=ORIENTED_EDGE('',*,*,#211739,.F.); #295539=ORIENTED_EDGE('',*,*,#211742,.F.); #295540=ORIENTED_EDGE('',*,*,#211745,.F.); #295541=ORIENTED_EDGE('',*,*,#211748,.F.); #295542=ORIENTED_EDGE('',*,*,#211751,.F.); #295543=ORIENTED_EDGE('',*,*,#211754,.F.); #295544=ORIENTED_EDGE('',*,*,#211757,.F.); #295545=ORIENTED_EDGE('',*,*,#211760,.F.); #295546=ORIENTED_EDGE('',*,*,#211763,.F.); #295547=ORIENTED_EDGE('',*,*,#211766,.F.); #295548=ORIENTED_EDGE('',*,*,#211769,.F.); #295549=ORIENTED_EDGE('',*,*,#211772,.F.); #295550=ORIENTED_EDGE('',*,*,#211775,.F.); #295551=ORIENTED_EDGE('',*,*,#211778,.F.); #295552=ORIENTED_EDGE('',*,*,#211781,.F.); #295553=ORIENTED_EDGE('',*,*,#211784,.F.); #295554=ORIENTED_EDGE('',*,*,#211787,.F.); #295555=ORIENTED_EDGE('',*,*,#211790,.F.); #295556=ORIENTED_EDGE('',*,*,#211793,.F.); #295557=ORIENTED_EDGE('',*,*,#211796,.F.); #295558=ORIENTED_EDGE('',*,*,#211799,.F.); #295559=ORIENTED_EDGE('',*,*,#211802,.F.); #295560=ORIENTED_EDGE('',*,*,#211805,.F.); #295561=ORIENTED_EDGE('',*,*,#211808,.F.); #295562=ORIENTED_EDGE('',*,*,#211811,.F.); #295563=ORIENTED_EDGE('',*,*,#211814,.F.); #295564=ORIENTED_EDGE('',*,*,#211817,.F.); #295565=ORIENTED_EDGE('',*,*,#211820,.F.); #295566=ORIENTED_EDGE('',*,*,#211823,.F.); #295567=ORIENTED_EDGE('',*,*,#211826,.F.); #295568=ORIENTED_EDGE('',*,*,#211829,.F.); #295569=ORIENTED_EDGE('',*,*,#211832,.F.); #295570=ORIENTED_EDGE('',*,*,#211835,.F.); #295571=ORIENTED_EDGE('',*,*,#211838,.F.); #295572=ORIENTED_EDGE('',*,*,#211841,.F.); #295573=ORIENTED_EDGE('',*,*,#211844,.F.); #295574=ORIENTED_EDGE('',*,*,#211847,.F.); #295575=ORIENTED_EDGE('',*,*,#211850,.F.); #295576=ORIENTED_EDGE('',*,*,#211853,.F.); #295577=ORIENTED_EDGE('',*,*,#211856,.F.); #295578=ORIENTED_EDGE('',*,*,#211859,.F.); #295579=ORIENTED_EDGE('',*,*,#211862,.F.); #295580=ORIENTED_EDGE('',*,*,#211865,.F.); #295581=ORIENTED_EDGE('',*,*,#211868,.F.); #295582=ORIENTED_EDGE('',*,*,#211871,.F.); #295583=ORIENTED_EDGE('',*,*,#211874,.F.); #295584=ORIENTED_EDGE('',*,*,#211877,.F.); #295585=ORIENTED_EDGE('',*,*,#211880,.F.); #295586=ORIENTED_EDGE('',*,*,#211883,.F.); #295587=ORIENTED_EDGE('',*,*,#211886,.F.); #295588=ORIENTED_EDGE('',*,*,#211889,.F.); #295589=ORIENTED_EDGE('',*,*,#211892,.F.); #295590=ORIENTED_EDGE('',*,*,#211895,.F.); #295591=ORIENTED_EDGE('',*,*,#211898,.F.); #295592=ORIENTED_EDGE('',*,*,#211901,.F.); #295593=ORIENTED_EDGE('',*,*,#211904,.F.); #295594=ORIENTED_EDGE('',*,*,#211907,.F.); #295595=ORIENTED_EDGE('',*,*,#211910,.F.); #295596=ORIENTED_EDGE('',*,*,#211913,.F.); #295597=ORIENTED_EDGE('',*,*,#211916,.F.); #295598=ORIENTED_EDGE('',*,*,#211919,.F.); #295599=ORIENTED_EDGE('',*,*,#211922,.F.); #295600=ORIENTED_EDGE('',*,*,#211925,.F.); #295601=ORIENTED_EDGE('',*,*,#211928,.F.); #295602=ORIENTED_EDGE('',*,*,#211931,.F.); #295603=ORIENTED_EDGE('',*,*,#211934,.F.); #295604=ORIENTED_EDGE('',*,*,#211937,.F.); #295605=ORIENTED_EDGE('',*,*,#211940,.F.); #295606=ORIENTED_EDGE('',*,*,#211943,.F.); #295607=ORIENTED_EDGE('',*,*,#211946,.F.); #295608=ORIENTED_EDGE('',*,*,#211949,.F.); #295609=ORIENTED_EDGE('',*,*,#211952,.F.); #295610=ORIENTED_EDGE('',*,*,#211955,.F.); #295611=ORIENTED_EDGE('',*,*,#211958,.F.); #295612=ORIENTED_EDGE('',*,*,#211961,.F.); #295613=ORIENTED_EDGE('',*,*,#211964,.F.); #295614=ORIENTED_EDGE('',*,*,#211967,.F.); #295615=ORIENTED_EDGE('',*,*,#211970,.F.); #295616=ORIENTED_EDGE('',*,*,#211973,.F.); #295617=ORIENTED_EDGE('',*,*,#211976,.F.); #295618=ORIENTED_EDGE('',*,*,#211979,.F.); #295619=ORIENTED_EDGE('',*,*,#211982,.F.); #295620=ORIENTED_EDGE('',*,*,#211985,.F.); #295621=ORIENTED_EDGE('',*,*,#211988,.F.); #295622=ORIENTED_EDGE('',*,*,#211991,.F.); #295623=ORIENTED_EDGE('',*,*,#211994,.F.); #295624=ORIENTED_EDGE('',*,*,#211997,.F.); #295625=ORIENTED_EDGE('',*,*,#212000,.F.); #295626=ORIENTED_EDGE('',*,*,#212003,.F.); #295627=ORIENTED_EDGE('',*,*,#212006,.F.); #295628=ORIENTED_EDGE('',*,*,#212009,.F.); #295629=ORIENTED_EDGE('',*,*,#212012,.F.); #295630=ORIENTED_EDGE('',*,*,#212015,.F.); #295631=ORIENTED_EDGE('',*,*,#212018,.F.); #295632=ORIENTED_EDGE('',*,*,#212021,.F.); #295633=ORIENTED_EDGE('',*,*,#212024,.F.); #295634=ORIENTED_EDGE('',*,*,#212027,.F.); #295635=ORIENTED_EDGE('',*,*,#212030,.F.); #295636=ORIENTED_EDGE('',*,*,#212033,.F.); #295637=ORIENTED_EDGE('',*,*,#212036,.F.); #295638=ORIENTED_EDGE('',*,*,#212039,.F.); #295639=ORIENTED_EDGE('',*,*,#212042,.F.); #295640=ORIENTED_EDGE('',*,*,#212045,.F.); #295641=ORIENTED_EDGE('',*,*,#212048,.F.); #295642=ORIENTED_EDGE('',*,*,#212051,.F.); #295643=ORIENTED_EDGE('',*,*,#212054,.F.); #295644=ORIENTED_EDGE('',*,*,#212057,.F.); #295645=ORIENTED_EDGE('',*,*,#212060,.F.); #295646=ORIENTED_EDGE('',*,*,#212063,.F.); #295647=ORIENTED_EDGE('',*,*,#212066,.F.); #295648=ORIENTED_EDGE('',*,*,#212069,.F.); #295649=ORIENTED_EDGE('',*,*,#212072,.F.); #295650=ORIENTED_EDGE('',*,*,#212075,.F.); #295651=ORIENTED_EDGE('',*,*,#212078,.F.); #295652=ORIENTED_EDGE('',*,*,#212081,.F.); #295653=ORIENTED_EDGE('',*,*,#212084,.F.); #295654=ORIENTED_EDGE('',*,*,#212087,.F.); #295655=ORIENTED_EDGE('',*,*,#212090,.F.); #295656=ORIENTED_EDGE('',*,*,#212093,.F.); #295657=ORIENTED_EDGE('',*,*,#212096,.F.); #295658=ORIENTED_EDGE('',*,*,#212099,.F.); #295659=ORIENTED_EDGE('',*,*,#212102,.F.); #295660=ORIENTED_EDGE('',*,*,#212105,.F.); #295661=ORIENTED_EDGE('',*,*,#212108,.F.); #295662=ORIENTED_EDGE('',*,*,#212111,.F.); #295663=ORIENTED_EDGE('',*,*,#212114,.F.); #295664=ORIENTED_EDGE('',*,*,#212117,.F.); #295665=ORIENTED_EDGE('',*,*,#212120,.F.); #295666=ORIENTED_EDGE('',*,*,#212123,.F.); #295667=ORIENTED_EDGE('',*,*,#212126,.F.); #295668=ORIENTED_EDGE('',*,*,#212129,.F.); #295669=ORIENTED_EDGE('',*,*,#212132,.F.); #295670=ORIENTED_EDGE('',*,*,#212135,.F.); #295671=ORIENTED_EDGE('',*,*,#212138,.F.); #295672=ORIENTED_EDGE('',*,*,#212141,.F.); #295673=ORIENTED_EDGE('',*,*,#212144,.F.); #295674=ORIENTED_EDGE('',*,*,#212147,.F.); #295675=ORIENTED_EDGE('',*,*,#212150,.F.); #295676=ORIENTED_EDGE('',*,*,#212153,.F.); #295677=ORIENTED_EDGE('',*,*,#212156,.F.); #295678=ORIENTED_EDGE('',*,*,#212159,.F.); #295679=ORIENTED_EDGE('',*,*,#212162,.F.); #295680=ORIENTED_EDGE('',*,*,#212165,.F.); #295681=ORIENTED_EDGE('',*,*,#212168,.F.); #295682=ORIENTED_EDGE('',*,*,#212171,.F.); #295683=ORIENTED_EDGE('',*,*,#212174,.F.); #295684=ORIENTED_EDGE('',*,*,#212177,.F.); #295685=ORIENTED_EDGE('',*,*,#212180,.F.); #295686=ORIENTED_EDGE('',*,*,#212183,.F.); #295687=ORIENTED_EDGE('',*,*,#212186,.F.); #295688=ORIENTED_EDGE('',*,*,#212189,.F.); #295689=ORIENTED_EDGE('',*,*,#212192,.F.); #295690=ORIENTED_EDGE('',*,*,#212195,.F.); #295691=ORIENTED_EDGE('',*,*,#212198,.F.); #295692=ORIENTED_EDGE('',*,*,#212201,.F.); #295693=ORIENTED_EDGE('',*,*,#212204,.F.); #295694=ORIENTED_EDGE('',*,*,#212207,.F.); #295695=ORIENTED_EDGE('',*,*,#212210,.F.); #295696=ORIENTED_EDGE('',*,*,#212213,.F.); #295697=ORIENTED_EDGE('',*,*,#212216,.F.); #295698=ORIENTED_EDGE('',*,*,#212219,.F.); #295699=ORIENTED_EDGE('',*,*,#212222,.F.); #295700=ORIENTED_EDGE('',*,*,#212225,.F.); #295701=ORIENTED_EDGE('',*,*,#212228,.F.); #295702=ORIENTED_EDGE('',*,*,#212231,.F.); #295703=ORIENTED_EDGE('',*,*,#212234,.F.); #295704=ORIENTED_EDGE('',*,*,#212237,.F.); #295705=ORIENTED_EDGE('',*,*,#212240,.F.); #295706=ORIENTED_EDGE('',*,*,#212243,.F.); #295707=ORIENTED_EDGE('',*,*,#212246,.F.); #295708=ORIENTED_EDGE('',*,*,#212249,.F.); #295709=ORIENTED_EDGE('',*,*,#212252,.F.); #295710=ORIENTED_EDGE('',*,*,#212255,.F.); #295711=ORIENTED_EDGE('',*,*,#212258,.F.); #295712=ORIENTED_EDGE('',*,*,#212261,.F.); #295713=ORIENTED_EDGE('',*,*,#212264,.F.); #295714=ORIENTED_EDGE('',*,*,#212267,.F.); #295715=ORIENTED_EDGE('',*,*,#212270,.F.); #295716=ORIENTED_EDGE('',*,*,#212273,.F.); #295717=ORIENTED_EDGE('',*,*,#212276,.F.); #295718=ORIENTED_EDGE('',*,*,#212279,.F.); #295719=ORIENTED_EDGE('',*,*,#212282,.F.); #295720=ORIENTED_EDGE('',*,*,#212285,.F.); #295721=ORIENTED_EDGE('',*,*,#212288,.F.); #295722=ORIENTED_EDGE('',*,*,#212291,.F.); #295723=ORIENTED_EDGE('',*,*,#212294,.F.); #295724=ORIENTED_EDGE('',*,*,#212297,.F.); #295725=ORIENTED_EDGE('',*,*,#212300,.F.); #295726=ORIENTED_EDGE('',*,*,#212303,.F.); #295727=ORIENTED_EDGE('',*,*,#212306,.F.); #295728=ORIENTED_EDGE('',*,*,#212309,.F.); #295729=ORIENTED_EDGE('',*,*,#212312,.F.); #295730=ORIENTED_EDGE('',*,*,#212315,.F.); #295731=ORIENTED_EDGE('',*,*,#212318,.F.); #295732=ORIENTED_EDGE('',*,*,#212321,.F.); #295733=ORIENTED_EDGE('',*,*,#212324,.F.); #295734=ORIENTED_EDGE('',*,*,#212327,.F.); #295735=ORIENTED_EDGE('',*,*,#212330,.F.); #295736=ORIENTED_EDGE('',*,*,#212333,.F.); #295737=ORIENTED_EDGE('',*,*,#212336,.F.); #295738=ORIENTED_EDGE('',*,*,#212339,.F.); #295739=ORIENTED_EDGE('',*,*,#212342,.F.); #295740=ORIENTED_EDGE('',*,*,#212345,.F.); #295741=ORIENTED_EDGE('',*,*,#212348,.F.); #295742=ORIENTED_EDGE('',*,*,#212351,.F.); #295743=ORIENTED_EDGE('',*,*,#212354,.F.); #295744=ORIENTED_EDGE('',*,*,#212357,.F.); #295745=ORIENTED_EDGE('',*,*,#212360,.F.); #295746=ORIENTED_EDGE('',*,*,#212363,.F.); #295747=ORIENTED_EDGE('',*,*,#212366,.F.); #295748=ORIENTED_EDGE('',*,*,#212369,.F.); #295749=ORIENTED_EDGE('',*,*,#212372,.F.); #295750=ORIENTED_EDGE('',*,*,#212375,.F.); #295751=ORIENTED_EDGE('',*,*,#212378,.F.); #295752=ORIENTED_EDGE('',*,*,#212381,.F.); #295753=ORIENTED_EDGE('',*,*,#212384,.F.); #295754=ORIENTED_EDGE('',*,*,#212387,.F.); #295755=ORIENTED_EDGE('',*,*,#212390,.F.); #295756=ORIENTED_EDGE('',*,*,#212393,.F.); #295757=ORIENTED_EDGE('',*,*,#212396,.F.); #295758=ORIENTED_EDGE('',*,*,#212399,.F.); #295759=ORIENTED_EDGE('',*,*,#212402,.F.); #295760=ORIENTED_EDGE('',*,*,#212405,.F.); #295761=ORIENTED_EDGE('',*,*,#212408,.F.); #295762=ORIENTED_EDGE('',*,*,#212411,.F.); #295763=ORIENTED_EDGE('',*,*,#212414,.F.); #295764=ORIENTED_EDGE('',*,*,#212417,.F.); #295765=ORIENTED_EDGE('',*,*,#212420,.F.); #295766=ORIENTED_EDGE('',*,*,#212423,.F.); #295767=ORIENTED_EDGE('',*,*,#212426,.F.); #295768=ORIENTED_EDGE('',*,*,#212429,.F.); #295769=ORIENTED_EDGE('',*,*,#212432,.F.); #295770=ORIENTED_EDGE('',*,*,#212435,.F.); #295771=ORIENTED_EDGE('',*,*,#212438,.F.); #295772=ORIENTED_EDGE('',*,*,#212441,.F.); #295773=ORIENTED_EDGE('',*,*,#212444,.F.); #295774=ORIENTED_EDGE('',*,*,#212447,.F.); #295775=ORIENTED_EDGE('',*,*,#212450,.F.); #295776=ORIENTED_EDGE('',*,*,#212453,.F.); #295777=ORIENTED_EDGE('',*,*,#212456,.F.); #295778=ORIENTED_EDGE('',*,*,#212459,.F.); #295779=ORIENTED_EDGE('',*,*,#212462,.F.); #295780=ORIENTED_EDGE('',*,*,#212465,.F.); #295781=ORIENTED_EDGE('',*,*,#212468,.F.); #295782=ORIENTED_EDGE('',*,*,#212471,.F.); #295783=ORIENTED_EDGE('',*,*,#212474,.F.); #295784=ORIENTED_EDGE('',*,*,#212477,.F.); #295785=ORIENTED_EDGE('',*,*,#212480,.F.); #295786=ORIENTED_EDGE('',*,*,#212483,.F.); #295787=ORIENTED_EDGE('',*,*,#212486,.F.); #295788=ORIENTED_EDGE('',*,*,#212489,.F.); #295789=ORIENTED_EDGE('',*,*,#212492,.F.); #295790=ORIENTED_EDGE('',*,*,#212495,.F.); #295791=ORIENTED_EDGE('',*,*,#212498,.F.); #295792=ORIENTED_EDGE('',*,*,#212501,.F.); #295793=ORIENTED_EDGE('',*,*,#212504,.F.); #295794=ORIENTED_EDGE('',*,*,#212507,.F.); #295795=ORIENTED_EDGE('',*,*,#212510,.F.); #295796=ORIENTED_EDGE('',*,*,#212513,.F.); #295797=ORIENTED_EDGE('',*,*,#212516,.F.); #295798=ORIENTED_EDGE('',*,*,#212519,.F.); #295799=ORIENTED_EDGE('',*,*,#212522,.F.); #295800=ORIENTED_EDGE('',*,*,#212525,.F.); #295801=ORIENTED_EDGE('',*,*,#212528,.F.); #295802=ORIENTED_EDGE('',*,*,#212531,.F.); #295803=ORIENTED_EDGE('',*,*,#212534,.F.); #295804=ORIENTED_EDGE('',*,*,#212537,.F.); #295805=ORIENTED_EDGE('',*,*,#212540,.F.); #295806=ORIENTED_EDGE('',*,*,#212543,.F.); #295807=ORIENTED_EDGE('',*,*,#212546,.F.); #295808=ORIENTED_EDGE('',*,*,#212549,.F.); #295809=ORIENTED_EDGE('',*,*,#212552,.F.); #295810=ORIENTED_EDGE('',*,*,#212555,.F.); #295811=ORIENTED_EDGE('',*,*,#212558,.F.); #295812=ORIENTED_EDGE('',*,*,#212561,.F.); #295813=ORIENTED_EDGE('',*,*,#212564,.F.); #295814=ORIENTED_EDGE('',*,*,#212567,.F.); #295815=ORIENTED_EDGE('',*,*,#212570,.F.); #295816=ORIENTED_EDGE('',*,*,#212573,.F.); #295817=ORIENTED_EDGE('',*,*,#212576,.F.); #295818=ORIENTED_EDGE('',*,*,#212579,.F.); #295819=ORIENTED_EDGE('',*,*,#212582,.F.); #295820=ORIENTED_EDGE('',*,*,#212585,.F.); #295821=ORIENTED_EDGE('',*,*,#212588,.F.); #295822=ORIENTED_EDGE('',*,*,#212591,.F.); #295823=ORIENTED_EDGE('',*,*,#212594,.F.); #295824=ORIENTED_EDGE('',*,*,#212597,.F.); #295825=ORIENTED_EDGE('',*,*,#212600,.F.); #295826=ORIENTED_EDGE('',*,*,#212603,.F.); #295827=ORIENTED_EDGE('',*,*,#212606,.F.); #295828=ORIENTED_EDGE('',*,*,#212609,.F.); #295829=ORIENTED_EDGE('',*,*,#212612,.F.); #295830=ORIENTED_EDGE('',*,*,#212615,.F.); #295831=ORIENTED_EDGE('',*,*,#212618,.F.); #295832=ORIENTED_EDGE('',*,*,#212621,.F.); #295833=ORIENTED_EDGE('',*,*,#212624,.F.); #295834=ORIENTED_EDGE('',*,*,#212627,.F.); #295835=ORIENTED_EDGE('',*,*,#212630,.F.); #295836=ORIENTED_EDGE('',*,*,#212633,.F.); #295837=ORIENTED_EDGE('',*,*,#212636,.F.); #295838=ORIENTED_EDGE('',*,*,#212639,.F.); #295839=ORIENTED_EDGE('',*,*,#212642,.F.); #295840=ORIENTED_EDGE('',*,*,#212645,.F.); #295841=ORIENTED_EDGE('',*,*,#212648,.F.); #295842=ORIENTED_EDGE('',*,*,#212651,.F.); #295843=ORIENTED_EDGE('',*,*,#212654,.F.); #295844=ORIENTED_EDGE('',*,*,#212657,.F.); #295845=ORIENTED_EDGE('',*,*,#212660,.F.); #295846=ORIENTED_EDGE('',*,*,#212663,.F.); #295847=ORIENTED_EDGE('',*,*,#212666,.F.); #295848=ORIENTED_EDGE('',*,*,#212669,.F.); #295849=ORIENTED_EDGE('',*,*,#212672,.F.); #295850=ORIENTED_EDGE('',*,*,#212675,.F.); #295851=ORIENTED_EDGE('',*,*,#212678,.F.); #295852=ORIENTED_EDGE('',*,*,#212681,.F.); #295853=ORIENTED_EDGE('',*,*,#212684,.F.); #295854=ORIENTED_EDGE('',*,*,#212687,.F.); #295855=ORIENTED_EDGE('',*,*,#212690,.F.); #295856=ORIENTED_EDGE('',*,*,#212693,.F.); #295857=ORIENTED_EDGE('',*,*,#212696,.F.); #295858=ORIENTED_EDGE('',*,*,#212699,.F.); #295859=ORIENTED_EDGE('',*,*,#212702,.F.); #295860=ORIENTED_EDGE('',*,*,#212705,.F.); #295861=ORIENTED_EDGE('',*,*,#212708,.F.); #295862=ORIENTED_EDGE('',*,*,#212711,.F.); #295863=ORIENTED_EDGE('',*,*,#212714,.F.); #295864=ORIENTED_EDGE('',*,*,#212717,.F.); #295865=ORIENTED_EDGE('',*,*,#212720,.F.); #295866=ORIENTED_EDGE('',*,*,#212723,.F.); #295867=ORIENTED_EDGE('',*,*,#212726,.F.); #295868=ORIENTED_EDGE('',*,*,#212729,.F.); #295869=ORIENTED_EDGE('',*,*,#212732,.F.); #295870=ORIENTED_EDGE('',*,*,#212735,.F.); #295871=ORIENTED_EDGE('',*,*,#212738,.F.); #295872=ORIENTED_EDGE('',*,*,#212741,.F.); #295873=ORIENTED_EDGE('',*,*,#212744,.F.); #295874=ORIENTED_EDGE('',*,*,#212747,.F.); #295875=ORIENTED_EDGE('',*,*,#212750,.F.); #295876=ORIENTED_EDGE('',*,*,#212753,.F.); #295877=ORIENTED_EDGE('',*,*,#212756,.F.); #295878=ORIENTED_EDGE('',*,*,#212759,.F.); #295879=ORIENTED_EDGE('',*,*,#212762,.F.); #295880=ORIENTED_EDGE('',*,*,#212765,.F.); #295881=ORIENTED_EDGE('',*,*,#212768,.F.); #295882=ORIENTED_EDGE('',*,*,#212771,.F.); #295883=ORIENTED_EDGE('',*,*,#212774,.F.); #295884=ORIENTED_EDGE('',*,*,#212777,.F.); #295885=ORIENTED_EDGE('',*,*,#212780,.F.); #295886=ORIENTED_EDGE('',*,*,#212783,.F.); #295887=ORIENTED_EDGE('',*,*,#212786,.F.); #295888=ORIENTED_EDGE('',*,*,#212789,.F.); #295889=ORIENTED_EDGE('',*,*,#212792,.F.); #295890=ORIENTED_EDGE('',*,*,#212795,.F.); #295891=ORIENTED_EDGE('',*,*,#212798,.F.); #295892=ORIENTED_EDGE('',*,*,#212801,.F.); #295893=ORIENTED_EDGE('',*,*,#212804,.F.); #295894=ORIENTED_EDGE('',*,*,#212807,.F.); #295895=ORIENTED_EDGE('',*,*,#212810,.F.); #295896=ORIENTED_EDGE('',*,*,#212813,.F.); #295897=ORIENTED_EDGE('',*,*,#212816,.F.); #295898=ORIENTED_EDGE('',*,*,#212819,.F.); #295899=ORIENTED_EDGE('',*,*,#212822,.F.); #295900=ORIENTED_EDGE('',*,*,#212825,.F.); #295901=ORIENTED_EDGE('',*,*,#212828,.F.); #295902=ORIENTED_EDGE('',*,*,#212831,.F.); #295903=ORIENTED_EDGE('',*,*,#212834,.F.); #295904=ORIENTED_EDGE('',*,*,#212837,.F.); #295905=ORIENTED_EDGE('',*,*,#212840,.F.); #295906=ORIENTED_EDGE('',*,*,#212843,.F.); #295907=ORIENTED_EDGE('',*,*,#212846,.F.); #295908=ORIENTED_EDGE('',*,*,#212849,.F.); #295909=ORIENTED_EDGE('',*,*,#212852,.F.); #295910=ORIENTED_EDGE('',*,*,#212855,.F.); #295911=ORIENTED_EDGE('',*,*,#212858,.F.); #295912=ORIENTED_EDGE('',*,*,#212861,.F.); #295913=ORIENTED_EDGE('',*,*,#212864,.F.); #295914=ORIENTED_EDGE('',*,*,#212867,.F.); #295915=ORIENTED_EDGE('',*,*,#212870,.F.); #295916=ORIENTED_EDGE('',*,*,#212873,.F.); #295917=ORIENTED_EDGE('',*,*,#212876,.F.); #295918=ORIENTED_EDGE('',*,*,#212879,.F.); #295919=ORIENTED_EDGE('',*,*,#212882,.F.); #295920=ORIENTED_EDGE('',*,*,#212885,.F.); #295921=ORIENTED_EDGE('',*,*,#212888,.F.); #295922=ORIENTED_EDGE('',*,*,#212891,.F.); #295923=ORIENTED_EDGE('',*,*,#212894,.F.); #295924=ORIENTED_EDGE('',*,*,#212897,.F.); #295925=ORIENTED_EDGE('',*,*,#212900,.F.); #295926=ORIENTED_EDGE('',*,*,#212903,.F.); #295927=ORIENTED_EDGE('',*,*,#212906,.F.); #295928=ORIENTED_EDGE('',*,*,#212909,.F.); #295929=ORIENTED_EDGE('',*,*,#212912,.F.); #295930=ORIENTED_EDGE('',*,*,#212915,.F.); #295931=ORIENTED_EDGE('',*,*,#212918,.F.); #295932=ORIENTED_EDGE('',*,*,#212921,.F.); #295933=ORIENTED_EDGE('',*,*,#212924,.F.); #295934=ORIENTED_EDGE('',*,*,#212927,.F.); #295935=ORIENTED_EDGE('',*,*,#212930,.F.); #295936=ORIENTED_EDGE('',*,*,#212933,.F.); #295937=ORIENTED_EDGE('',*,*,#212936,.F.); #295938=ORIENTED_EDGE('',*,*,#212939,.F.); #295939=ORIENTED_EDGE('',*,*,#212942,.F.); #295940=ORIENTED_EDGE('',*,*,#212945,.F.); #295941=ORIENTED_EDGE('',*,*,#212948,.F.); #295942=ORIENTED_EDGE('',*,*,#212951,.F.); #295943=ORIENTED_EDGE('',*,*,#212954,.F.); #295944=ORIENTED_EDGE('',*,*,#212957,.F.); #295945=ORIENTED_EDGE('',*,*,#212960,.F.); #295946=ORIENTED_EDGE('',*,*,#212963,.F.); #295947=ORIENTED_EDGE('',*,*,#212966,.F.); #295948=ORIENTED_EDGE('',*,*,#212969,.F.); #295949=ORIENTED_EDGE('',*,*,#212972,.F.); #295950=ORIENTED_EDGE('',*,*,#212975,.F.); #295951=ORIENTED_EDGE('',*,*,#212978,.F.); #295952=ORIENTED_EDGE('',*,*,#212981,.F.); #295953=ORIENTED_EDGE('',*,*,#212984,.F.); #295954=ORIENTED_EDGE('',*,*,#212987,.F.); #295955=ORIENTED_EDGE('',*,*,#212990,.F.); #295956=ORIENTED_EDGE('',*,*,#212993,.F.); #295957=ORIENTED_EDGE('',*,*,#212996,.F.); #295958=ORIENTED_EDGE('',*,*,#212999,.F.); #295959=ORIENTED_EDGE('',*,*,#213002,.F.); #295960=ORIENTED_EDGE('',*,*,#213005,.F.); #295961=ORIENTED_EDGE('',*,*,#213008,.F.); #295962=ORIENTED_EDGE('',*,*,#213011,.F.); #295963=ORIENTED_EDGE('',*,*,#213014,.F.); #295964=ORIENTED_EDGE('',*,*,#213017,.F.); #295965=ORIENTED_EDGE('',*,*,#213020,.F.); #295966=ORIENTED_EDGE('',*,*,#213023,.F.); #295967=ORIENTED_EDGE('',*,*,#213026,.F.); #295968=ORIENTED_EDGE('',*,*,#213029,.F.); #295969=ORIENTED_EDGE('',*,*,#213032,.F.); #295970=ORIENTED_EDGE('',*,*,#213035,.F.); #295971=ORIENTED_EDGE('',*,*,#213038,.F.); #295972=ORIENTED_EDGE('',*,*,#213041,.F.); #295973=ORIENTED_EDGE('',*,*,#213044,.F.); #295974=ORIENTED_EDGE('',*,*,#213047,.F.); #295975=ORIENTED_EDGE('',*,*,#213050,.F.); #295976=ORIENTED_EDGE('',*,*,#213053,.F.); #295977=ORIENTED_EDGE('',*,*,#213056,.F.); #295978=ORIENTED_EDGE('',*,*,#213059,.F.); #295979=ORIENTED_EDGE('',*,*,#213062,.F.); #295980=ORIENTED_EDGE('',*,*,#213065,.F.); #295981=ORIENTED_EDGE('',*,*,#213068,.F.); #295982=ORIENTED_EDGE('',*,*,#213071,.F.); #295983=ORIENTED_EDGE('',*,*,#213074,.F.); #295984=ORIENTED_EDGE('',*,*,#213077,.F.); #295985=ORIENTED_EDGE('',*,*,#213080,.F.); #295986=ORIENTED_EDGE('',*,*,#213083,.F.); #295987=ORIENTED_EDGE('',*,*,#213086,.F.); #295988=ORIENTED_EDGE('',*,*,#213089,.F.); #295989=ORIENTED_EDGE('',*,*,#213092,.F.); #295990=ORIENTED_EDGE('',*,*,#213095,.F.); #295991=ORIENTED_EDGE('',*,*,#213098,.F.); #295992=ORIENTED_EDGE('',*,*,#213101,.F.); #295993=ORIENTED_EDGE('',*,*,#213104,.F.); #295994=ORIENTED_EDGE('',*,*,#213107,.F.); #295995=ORIENTED_EDGE('',*,*,#213110,.F.); #295996=ORIENTED_EDGE('',*,*,#213113,.F.); #295997=ORIENTED_EDGE('',*,*,#213116,.F.); #295998=ORIENTED_EDGE('',*,*,#213119,.F.); #295999=ORIENTED_EDGE('',*,*,#213122,.F.); #296000=ORIENTED_EDGE('',*,*,#213125,.F.); #296001=ORIENTED_EDGE('',*,*,#213128,.F.); #296002=ORIENTED_EDGE('',*,*,#213131,.F.); #296003=ORIENTED_EDGE('',*,*,#213134,.F.); #296004=ORIENTED_EDGE('',*,*,#213137,.F.); #296005=ORIENTED_EDGE('',*,*,#213140,.F.); #296006=ORIENTED_EDGE('',*,*,#213143,.F.); #296007=ORIENTED_EDGE('',*,*,#213146,.F.); #296008=ORIENTED_EDGE('',*,*,#213149,.F.); #296009=ORIENTED_EDGE('',*,*,#213152,.F.); #296010=ORIENTED_EDGE('',*,*,#213155,.F.); #296011=ORIENTED_EDGE('',*,*,#213158,.F.); #296012=ORIENTED_EDGE('',*,*,#213161,.F.); #296013=ORIENTED_EDGE('',*,*,#213164,.F.); #296014=ORIENTED_EDGE('',*,*,#213167,.F.); #296015=ORIENTED_EDGE('',*,*,#213170,.F.); #296016=ORIENTED_EDGE('',*,*,#213173,.F.); #296017=ORIENTED_EDGE('',*,*,#213176,.F.); #296018=ORIENTED_EDGE('',*,*,#213179,.F.); #296019=ORIENTED_EDGE('',*,*,#213182,.F.); #296020=ORIENTED_EDGE('',*,*,#213185,.F.); #296021=ORIENTED_EDGE('',*,*,#213188,.F.); #296022=ORIENTED_EDGE('',*,*,#213191,.F.); #296023=ORIENTED_EDGE('',*,*,#213194,.F.); #296024=ORIENTED_EDGE('',*,*,#213197,.F.); #296025=ORIENTED_EDGE('',*,*,#213200,.F.); #296026=ORIENTED_EDGE('',*,*,#213203,.F.); #296027=ORIENTED_EDGE('',*,*,#213206,.F.); #296028=ORIENTED_EDGE('',*,*,#213209,.F.); #296029=ORIENTED_EDGE('',*,*,#213212,.F.); #296030=ORIENTED_EDGE('',*,*,#213215,.F.); #296031=ORIENTED_EDGE('',*,*,#213218,.F.); #296032=ORIENTED_EDGE('',*,*,#213221,.F.); #296033=ORIENTED_EDGE('',*,*,#213224,.F.); #296034=ORIENTED_EDGE('',*,*,#213227,.F.); #296035=ORIENTED_EDGE('',*,*,#213230,.F.); #296036=ORIENTED_EDGE('',*,*,#213233,.F.); #296037=ORIENTED_EDGE('',*,*,#213236,.F.); #296038=ORIENTED_EDGE('',*,*,#213239,.F.); #296039=ORIENTED_EDGE('',*,*,#213242,.F.); #296040=ORIENTED_EDGE('',*,*,#213245,.F.); #296041=ORIENTED_EDGE('',*,*,#213248,.F.); #296042=ORIENTED_EDGE('',*,*,#213251,.F.); #296043=ORIENTED_EDGE('',*,*,#213254,.F.); #296044=ORIENTED_EDGE('',*,*,#213257,.F.); #296045=ORIENTED_EDGE('',*,*,#213260,.F.); #296046=ORIENTED_EDGE('',*,*,#213263,.F.); #296047=ORIENTED_EDGE('',*,*,#213266,.F.); #296048=ORIENTED_EDGE('',*,*,#213269,.F.); #296049=ORIENTED_EDGE('',*,*,#213272,.F.); #296050=ORIENTED_EDGE('',*,*,#213275,.F.); #296051=ORIENTED_EDGE('',*,*,#213278,.F.); #296052=ORIENTED_EDGE('',*,*,#213281,.F.); #296053=ORIENTED_EDGE('',*,*,#213284,.F.); #296054=ORIENTED_EDGE('',*,*,#213287,.F.); #296055=ORIENTED_EDGE('',*,*,#213290,.F.); #296056=ORIENTED_EDGE('',*,*,#213293,.F.); #296057=ORIENTED_EDGE('',*,*,#213296,.F.); #296058=ORIENTED_EDGE('',*,*,#213299,.F.); #296059=ORIENTED_EDGE('',*,*,#213302,.F.); #296060=ORIENTED_EDGE('',*,*,#213305,.F.); #296061=ORIENTED_EDGE('',*,*,#213308,.F.); #296062=ORIENTED_EDGE('',*,*,#213311,.F.); #296063=ORIENTED_EDGE('',*,*,#213314,.F.); #296064=ORIENTED_EDGE('',*,*,#213317,.F.); #296065=ORIENTED_EDGE('',*,*,#213320,.F.); #296066=ORIENTED_EDGE('',*,*,#213323,.F.); #296067=ORIENTED_EDGE('',*,*,#213326,.F.); #296068=ORIENTED_EDGE('',*,*,#213329,.F.); #296069=ORIENTED_EDGE('',*,*,#213332,.F.); #296070=ORIENTED_EDGE('',*,*,#213335,.F.); #296071=ORIENTED_EDGE('',*,*,#213338,.F.); #296072=ORIENTED_EDGE('',*,*,#213341,.F.); #296073=ORIENTED_EDGE('',*,*,#213344,.F.); #296074=ORIENTED_EDGE('',*,*,#213347,.F.); #296075=ORIENTED_EDGE('',*,*,#213350,.F.); #296076=ORIENTED_EDGE('',*,*,#213353,.F.); #296077=ORIENTED_EDGE('',*,*,#213356,.F.); #296078=ORIENTED_EDGE('',*,*,#213359,.F.); #296079=ORIENTED_EDGE('',*,*,#213362,.F.); #296080=ORIENTED_EDGE('',*,*,#213365,.F.); #296081=ORIENTED_EDGE('',*,*,#213368,.F.); #296082=ORIENTED_EDGE('',*,*,#213371,.F.); #296083=ORIENTED_EDGE('',*,*,#213374,.F.); #296084=ORIENTED_EDGE('',*,*,#213377,.F.); #296085=ORIENTED_EDGE('',*,*,#213380,.F.); #296086=ORIENTED_EDGE('',*,*,#213383,.F.); #296087=ORIENTED_EDGE('',*,*,#213386,.F.); #296088=ORIENTED_EDGE('',*,*,#213389,.F.); #296089=ORIENTED_EDGE('',*,*,#213392,.F.); #296090=ORIENTED_EDGE('',*,*,#213395,.F.); #296091=ORIENTED_EDGE('',*,*,#213398,.F.); #296092=ORIENTED_EDGE('',*,*,#213401,.F.); #296093=ORIENTED_EDGE('',*,*,#213404,.F.); #296094=ORIENTED_EDGE('',*,*,#213407,.F.); #296095=ORIENTED_EDGE('',*,*,#213410,.F.); #296096=ORIENTED_EDGE('',*,*,#213413,.F.); #296097=ORIENTED_EDGE('',*,*,#213416,.F.); #296098=ORIENTED_EDGE('',*,*,#213419,.F.); #296099=ORIENTED_EDGE('',*,*,#213422,.F.); #296100=ORIENTED_EDGE('',*,*,#213425,.F.); #296101=ORIENTED_EDGE('',*,*,#213428,.F.); #296102=ORIENTED_EDGE('',*,*,#213431,.F.); #296103=ORIENTED_EDGE('',*,*,#213434,.F.); #296104=ORIENTED_EDGE('',*,*,#213437,.F.); #296105=ORIENTED_EDGE('',*,*,#213440,.F.); #296106=ORIENTED_EDGE('',*,*,#213443,.F.); #296107=ORIENTED_EDGE('',*,*,#213446,.F.); #296108=ORIENTED_EDGE('',*,*,#213449,.F.); #296109=ORIENTED_EDGE('',*,*,#213452,.F.); #296110=ORIENTED_EDGE('',*,*,#213455,.F.); #296111=ORIENTED_EDGE('',*,*,#213458,.F.); #296112=ORIENTED_EDGE('',*,*,#213461,.F.); #296113=ORIENTED_EDGE('',*,*,#213464,.F.); #296114=ORIENTED_EDGE('',*,*,#213467,.F.); #296115=ORIENTED_EDGE('',*,*,#213470,.F.); #296116=ORIENTED_EDGE('',*,*,#213473,.F.); #296117=ORIENTED_EDGE('',*,*,#213476,.F.); #296118=ORIENTED_EDGE('',*,*,#213479,.F.); #296119=ORIENTED_EDGE('',*,*,#213482,.F.); #296120=ORIENTED_EDGE('',*,*,#213485,.F.); #296121=ORIENTED_EDGE('',*,*,#213488,.F.); #296122=ORIENTED_EDGE('',*,*,#213491,.F.); #296123=ORIENTED_EDGE('',*,*,#213494,.F.); #296124=ORIENTED_EDGE('',*,*,#213497,.F.); #296125=ORIENTED_EDGE('',*,*,#213500,.F.); #296126=ORIENTED_EDGE('',*,*,#213503,.F.); #296127=ORIENTED_EDGE('',*,*,#213506,.F.); #296128=ORIENTED_EDGE('',*,*,#213509,.F.); #296129=ORIENTED_EDGE('',*,*,#213512,.F.); #296130=ORIENTED_EDGE('',*,*,#213515,.F.); #296131=ORIENTED_EDGE('',*,*,#213518,.F.); #296132=ORIENTED_EDGE('',*,*,#213521,.F.); #296133=ORIENTED_EDGE('',*,*,#213524,.F.); #296134=ORIENTED_EDGE('',*,*,#213527,.F.); #296135=ORIENTED_EDGE('',*,*,#213530,.F.); #296136=ORIENTED_EDGE('',*,*,#213533,.F.); #296137=ORIENTED_EDGE('',*,*,#213536,.F.); #296138=ORIENTED_EDGE('',*,*,#213539,.F.); #296139=ORIENTED_EDGE('',*,*,#211604,.F.); #296140=ORIENTED_EDGE('',*,*,#211534,.F.); #296141=ORIENTED_EDGE('',*,*,#211538,.F.); #296142=ORIENTED_EDGE('',*,*,#211541,.F.); #296143=ORIENTED_EDGE('',*,*,#211544,.F.); #296144=ORIENTED_EDGE('',*,*,#211547,.F.); #296145=ORIENTED_EDGE('',*,*,#211550,.F.); #296146=ORIENTED_EDGE('',*,*,#211553,.F.); #296147=ORIENTED_EDGE('',*,*,#211556,.F.); #296148=ORIENTED_EDGE('',*,*,#211559,.F.); #296149=ORIENTED_EDGE('',*,*,#211562,.F.); #296150=ORIENTED_EDGE('',*,*,#211565,.F.); #296151=ORIENTED_EDGE('',*,*,#211568,.F.); #296152=ORIENTED_EDGE('',*,*,#211571,.F.); #296153=ORIENTED_EDGE('',*,*,#211574,.F.); #296154=ORIENTED_EDGE('',*,*,#211577,.F.); #296155=ORIENTED_EDGE('',*,*,#211580,.F.); #296156=ORIENTED_EDGE('',*,*,#211583,.F.); #296157=ORIENTED_EDGE('',*,*,#211586,.F.); #296158=ORIENTED_EDGE('',*,*,#211589,.F.); #296159=ORIENTED_EDGE('',*,*,#211592,.F.); #296160=ORIENTED_EDGE('',*,*,#211595,.F.); #296161=ORIENTED_EDGE('',*,*,#211598,.F.); #296162=ORIENTED_EDGE('',*,*,#211601,.F.); #296163=ORIENTED_EDGE('',*,*,#211532,.F.); #296164=ORIENTED_EDGE('',*,*,#211420,.F.); #296165=ORIENTED_EDGE('',*,*,#211424,.F.); #296166=ORIENTED_EDGE('',*,*,#211427,.F.); #296167=ORIENTED_EDGE('',*,*,#211430,.F.); #296168=ORIENTED_EDGE('',*,*,#211433,.F.); #296169=ORIENTED_EDGE('',*,*,#211436,.F.); #296170=ORIENTED_EDGE('',*,*,#211439,.F.); #296171=ORIENTED_EDGE('',*,*,#211442,.F.); #296172=ORIENTED_EDGE('',*,*,#211445,.F.); #296173=ORIENTED_EDGE('',*,*,#211448,.F.); #296174=ORIENTED_EDGE('',*,*,#211451,.F.); #296175=ORIENTED_EDGE('',*,*,#211454,.F.); #296176=ORIENTED_EDGE('',*,*,#211457,.F.); #296177=ORIENTED_EDGE('',*,*,#211460,.F.); #296178=ORIENTED_EDGE('',*,*,#211463,.F.); #296179=ORIENTED_EDGE('',*,*,#211466,.F.); #296180=ORIENTED_EDGE('',*,*,#211469,.F.); #296181=ORIENTED_EDGE('',*,*,#211472,.F.); #296182=ORIENTED_EDGE('',*,*,#211475,.F.); #296183=ORIENTED_EDGE('',*,*,#211478,.F.); #296184=ORIENTED_EDGE('',*,*,#211481,.F.); #296185=ORIENTED_EDGE('',*,*,#211484,.F.); #296186=ORIENTED_EDGE('',*,*,#211487,.F.); #296187=ORIENTED_EDGE('',*,*,#211490,.F.); #296188=ORIENTED_EDGE('',*,*,#211493,.F.); #296189=ORIENTED_EDGE('',*,*,#211496,.F.); #296190=ORIENTED_EDGE('',*,*,#211499,.F.); #296191=ORIENTED_EDGE('',*,*,#211502,.F.); #296192=ORIENTED_EDGE('',*,*,#211505,.F.); #296193=ORIENTED_EDGE('',*,*,#211508,.F.); #296194=ORIENTED_EDGE('',*,*,#211511,.F.); #296195=ORIENTED_EDGE('',*,*,#211514,.F.); #296196=ORIENTED_EDGE('',*,*,#211517,.F.); #296197=ORIENTED_EDGE('',*,*,#211520,.F.); #296198=ORIENTED_EDGE('',*,*,#211523,.F.); #296199=ORIENTED_EDGE('',*,*,#211526,.F.); #296200=ORIENTED_EDGE('',*,*,#211529,.F.); #296201=ORIENTED_EDGE('',*,*,#211418,.F.); #296202=ORIENTED_EDGE('',*,*,#211300,.F.); #296203=ORIENTED_EDGE('',*,*,#211304,.F.); #296204=ORIENTED_EDGE('',*,*,#211307,.F.); #296205=ORIENTED_EDGE('',*,*,#211310,.F.); #296206=ORIENTED_EDGE('',*,*,#211313,.F.); #296207=ORIENTED_EDGE('',*,*,#211316,.F.); #296208=ORIENTED_EDGE('',*,*,#211319,.F.); #296209=ORIENTED_EDGE('',*,*,#211322,.F.); #296210=ORIENTED_EDGE('',*,*,#211325,.F.); #296211=ORIENTED_EDGE('',*,*,#211328,.F.); #296212=ORIENTED_EDGE('',*,*,#211331,.F.); #296213=ORIENTED_EDGE('',*,*,#211334,.F.); #296214=ORIENTED_EDGE('',*,*,#211337,.F.); #296215=ORIENTED_EDGE('',*,*,#211340,.F.); #296216=ORIENTED_EDGE('',*,*,#211343,.F.); #296217=ORIENTED_EDGE('',*,*,#211346,.F.); #296218=ORIENTED_EDGE('',*,*,#211349,.F.); #296219=ORIENTED_EDGE('',*,*,#211352,.F.); #296220=ORIENTED_EDGE('',*,*,#211355,.F.); #296221=ORIENTED_EDGE('',*,*,#211358,.F.); #296222=ORIENTED_EDGE('',*,*,#211361,.F.); #296223=ORIENTED_EDGE('',*,*,#211364,.F.); #296224=ORIENTED_EDGE('',*,*,#211367,.F.); #296225=ORIENTED_EDGE('',*,*,#211370,.F.); #296226=ORIENTED_EDGE('',*,*,#211373,.F.); #296227=ORIENTED_EDGE('',*,*,#211376,.F.); #296228=ORIENTED_EDGE('',*,*,#211379,.F.); #296229=ORIENTED_EDGE('',*,*,#211382,.F.); #296230=ORIENTED_EDGE('',*,*,#211385,.F.); #296231=ORIENTED_EDGE('',*,*,#211388,.F.); #296232=ORIENTED_EDGE('',*,*,#211391,.F.); #296233=ORIENTED_EDGE('',*,*,#211394,.F.); #296234=ORIENTED_EDGE('',*,*,#211397,.F.); #296235=ORIENTED_EDGE('',*,*,#211400,.F.); #296236=ORIENTED_EDGE('',*,*,#211403,.F.); #296237=ORIENTED_EDGE('',*,*,#211406,.F.); #296238=ORIENTED_EDGE('',*,*,#211409,.F.); #296239=ORIENTED_EDGE('',*,*,#211412,.F.); #296240=ORIENTED_EDGE('',*,*,#211415,.F.); #296241=ORIENTED_EDGE('',*,*,#211298,.F.); #296242=ORIENTED_EDGE('',*,*,#211198,.F.); #296243=ORIENTED_EDGE('',*,*,#211202,.F.); #296244=ORIENTED_EDGE('',*,*,#211205,.F.); #296245=ORIENTED_EDGE('',*,*,#211208,.F.); #296246=ORIENTED_EDGE('',*,*,#211211,.F.); #296247=ORIENTED_EDGE('',*,*,#211214,.F.); #296248=ORIENTED_EDGE('',*,*,#211217,.F.); #296249=ORIENTED_EDGE('',*,*,#211220,.F.); #296250=ORIENTED_EDGE('',*,*,#211223,.F.); #296251=ORIENTED_EDGE('',*,*,#211226,.F.); #296252=ORIENTED_EDGE('',*,*,#211229,.F.); #296253=ORIENTED_EDGE('',*,*,#211232,.F.); #296254=ORIENTED_EDGE('',*,*,#211235,.F.); #296255=ORIENTED_EDGE('',*,*,#211238,.F.); #296256=ORIENTED_EDGE('',*,*,#211241,.F.); #296257=ORIENTED_EDGE('',*,*,#211244,.F.); #296258=ORIENTED_EDGE('',*,*,#211247,.F.); #296259=ORIENTED_EDGE('',*,*,#211250,.F.); #296260=ORIENTED_EDGE('',*,*,#211253,.F.); #296261=ORIENTED_EDGE('',*,*,#211256,.F.); #296262=ORIENTED_EDGE('',*,*,#211259,.F.); #296263=ORIENTED_EDGE('',*,*,#211262,.F.); #296264=ORIENTED_EDGE('',*,*,#211265,.F.); #296265=ORIENTED_EDGE('',*,*,#211268,.F.); #296266=ORIENTED_EDGE('',*,*,#211271,.F.); #296267=ORIENTED_EDGE('',*,*,#211274,.F.); #296268=ORIENTED_EDGE('',*,*,#211277,.F.); #296269=ORIENTED_EDGE('',*,*,#211280,.F.); #296270=ORIENTED_EDGE('',*,*,#211283,.F.); #296271=ORIENTED_EDGE('',*,*,#211286,.F.); #296272=ORIENTED_EDGE('',*,*,#211289,.F.); #296273=ORIENTED_EDGE('',*,*,#211292,.F.); #296274=ORIENTED_EDGE('',*,*,#211295,.F.); #296275=ORIENTED_EDGE('',*,*,#211196,.F.); #296276=ORIENTED_EDGE('',*,*,#211096,.F.); #296277=ORIENTED_EDGE('',*,*,#211100,.F.); #296278=ORIENTED_EDGE('',*,*,#211103,.F.); #296279=ORIENTED_EDGE('',*,*,#211106,.F.); #296280=ORIENTED_EDGE('',*,*,#211109,.F.); #296281=ORIENTED_EDGE('',*,*,#211112,.F.); #296282=ORIENTED_EDGE('',*,*,#211115,.F.); #296283=ORIENTED_EDGE('',*,*,#211118,.F.); #296284=ORIENTED_EDGE('',*,*,#211121,.F.); #296285=ORIENTED_EDGE('',*,*,#211124,.F.); #296286=ORIENTED_EDGE('',*,*,#211127,.F.); #296287=ORIENTED_EDGE('',*,*,#211130,.F.); #296288=ORIENTED_EDGE('',*,*,#211133,.F.); #296289=ORIENTED_EDGE('',*,*,#211136,.F.); #296290=ORIENTED_EDGE('',*,*,#211139,.F.); #296291=ORIENTED_EDGE('',*,*,#211142,.F.); #296292=ORIENTED_EDGE('',*,*,#211145,.F.); #296293=ORIENTED_EDGE('',*,*,#211148,.F.); #296294=ORIENTED_EDGE('',*,*,#211151,.F.); #296295=ORIENTED_EDGE('',*,*,#211154,.F.); #296296=ORIENTED_EDGE('',*,*,#211157,.F.); #296297=ORIENTED_EDGE('',*,*,#211160,.F.); #296298=ORIENTED_EDGE('',*,*,#211163,.F.); #296299=ORIENTED_EDGE('',*,*,#211166,.F.); #296300=ORIENTED_EDGE('',*,*,#211169,.F.); #296301=ORIENTED_EDGE('',*,*,#211172,.F.); #296302=ORIENTED_EDGE('',*,*,#211175,.F.); #296303=ORIENTED_EDGE('',*,*,#211178,.F.); #296304=ORIENTED_EDGE('',*,*,#211181,.F.); #296305=ORIENTED_EDGE('',*,*,#211184,.F.); #296306=ORIENTED_EDGE('',*,*,#211187,.F.); #296307=ORIENTED_EDGE('',*,*,#211190,.F.); #296308=ORIENTED_EDGE('',*,*,#211193,.F.); #296309=ORIENTED_EDGE('',*,*,#211094,.F.); #296310=ORIENTED_EDGE('',*,*,#211072,.F.); #296311=ORIENTED_EDGE('',*,*,#211076,.F.); #296312=ORIENTED_EDGE('',*,*,#211079,.F.); #296313=ORIENTED_EDGE('',*,*,#211082,.F.); #296314=ORIENTED_EDGE('',*,*,#211085,.F.); #296315=ORIENTED_EDGE('',*,*,#211088,.F.); #296316=ORIENTED_EDGE('',*,*,#211091,.F.); #296317=ORIENTED_EDGE('',*,*,#211070,.F.); #296318=ORIENTED_EDGE('',*,*,#211048,.F.); #296319=ORIENTED_EDGE('',*,*,#211052,.F.); #296320=ORIENTED_EDGE('',*,*,#211055,.F.); #296321=ORIENTED_EDGE('',*,*,#211058,.F.); #296322=ORIENTED_EDGE('',*,*,#211061,.F.); #296323=ORIENTED_EDGE('',*,*,#211064,.F.); #296324=ORIENTED_EDGE('',*,*,#211067,.F.); #296325=ORIENTED_EDGE('',*,*,#211046,.F.); #296326=ORIENTED_EDGE('',*,*,#211024,.F.); #296327=ORIENTED_EDGE('',*,*,#211028,.F.); #296328=ORIENTED_EDGE('',*,*,#211031,.F.); #296329=ORIENTED_EDGE('',*,*,#211034,.F.); #296330=ORIENTED_EDGE('',*,*,#211037,.F.); #296331=ORIENTED_EDGE('',*,*,#211040,.F.); #296332=ORIENTED_EDGE('',*,*,#211043,.F.); #296333=ORIENTED_EDGE('',*,*,#211022,.F.); #296334=ORIENTED_EDGE('',*,*,#210628,.F.); #296335=ORIENTED_EDGE('',*,*,#210632,.F.); #296336=ORIENTED_EDGE('',*,*,#210635,.F.); #296337=ORIENTED_EDGE('',*,*,#210638,.F.); #296338=ORIENTED_EDGE('',*,*,#210641,.F.); #296339=ORIENTED_EDGE('',*,*,#210644,.F.); #296340=ORIENTED_EDGE('',*,*,#210647,.F.); #296341=ORIENTED_EDGE('',*,*,#210650,.F.); #296342=ORIENTED_EDGE('',*,*,#210653,.F.); #296343=ORIENTED_EDGE('',*,*,#210656,.F.); #296344=ORIENTED_EDGE('',*,*,#210659,.F.); #296345=ORIENTED_EDGE('',*,*,#210662,.F.); #296346=ORIENTED_EDGE('',*,*,#210665,.F.); #296347=ORIENTED_EDGE('',*,*,#210668,.F.); #296348=ORIENTED_EDGE('',*,*,#210671,.F.); #296349=ORIENTED_EDGE('',*,*,#210674,.F.); #296350=ORIENTED_EDGE('',*,*,#210677,.F.); #296351=ORIENTED_EDGE('',*,*,#210680,.F.); #296352=ORIENTED_EDGE('',*,*,#210683,.F.); #296353=ORIENTED_EDGE('',*,*,#210686,.F.); #296354=ORIENTED_EDGE('',*,*,#210689,.F.); #296355=ORIENTED_EDGE('',*,*,#210692,.F.); #296356=ORIENTED_EDGE('',*,*,#210695,.F.); #296357=ORIENTED_EDGE('',*,*,#210698,.F.); #296358=ORIENTED_EDGE('',*,*,#210701,.F.); #296359=ORIENTED_EDGE('',*,*,#210704,.F.); #296360=ORIENTED_EDGE('',*,*,#210707,.F.); #296361=ORIENTED_EDGE('',*,*,#210710,.F.); #296362=ORIENTED_EDGE('',*,*,#210713,.F.); #296363=ORIENTED_EDGE('',*,*,#210716,.F.); #296364=ORIENTED_EDGE('',*,*,#210719,.F.); #296365=ORIENTED_EDGE('',*,*,#210722,.F.); #296366=ORIENTED_EDGE('',*,*,#210725,.F.); #296367=ORIENTED_EDGE('',*,*,#210728,.F.); #296368=ORIENTED_EDGE('',*,*,#210731,.F.); #296369=ORIENTED_EDGE('',*,*,#210734,.F.); #296370=ORIENTED_EDGE('',*,*,#210737,.F.); #296371=ORIENTED_EDGE('',*,*,#210740,.F.); #296372=ORIENTED_EDGE('',*,*,#210743,.F.); #296373=ORIENTED_EDGE('',*,*,#210746,.F.); #296374=ORIENTED_EDGE('',*,*,#210749,.F.); #296375=ORIENTED_EDGE('',*,*,#210752,.F.); #296376=ORIENTED_EDGE('',*,*,#210755,.F.); #296377=ORIENTED_EDGE('',*,*,#210758,.F.); #296378=ORIENTED_EDGE('',*,*,#210761,.F.); #296379=ORIENTED_EDGE('',*,*,#210764,.F.); #296380=ORIENTED_EDGE('',*,*,#210767,.F.); #296381=ORIENTED_EDGE('',*,*,#210770,.F.); #296382=ORIENTED_EDGE('',*,*,#210773,.F.); #296383=ORIENTED_EDGE('',*,*,#210776,.F.); #296384=ORIENTED_EDGE('',*,*,#210779,.F.); #296385=ORIENTED_EDGE('',*,*,#210782,.F.); #296386=ORIENTED_EDGE('',*,*,#210785,.F.); #296387=ORIENTED_EDGE('',*,*,#210788,.F.); #296388=ORIENTED_EDGE('',*,*,#210791,.F.); #296389=ORIENTED_EDGE('',*,*,#210794,.F.); #296390=ORIENTED_EDGE('',*,*,#210797,.F.); #296391=ORIENTED_EDGE('',*,*,#210800,.F.); #296392=ORIENTED_EDGE('',*,*,#210803,.F.); #296393=ORIENTED_EDGE('',*,*,#210806,.F.); #296394=ORIENTED_EDGE('',*,*,#210809,.F.); #296395=ORIENTED_EDGE('',*,*,#210812,.F.); #296396=ORIENTED_EDGE('',*,*,#210815,.F.); #296397=ORIENTED_EDGE('',*,*,#210818,.F.); #296398=ORIENTED_EDGE('',*,*,#210821,.F.); #296399=ORIENTED_EDGE('',*,*,#210824,.F.); #296400=ORIENTED_EDGE('',*,*,#210827,.F.); #296401=ORIENTED_EDGE('',*,*,#210830,.F.); #296402=ORIENTED_EDGE('',*,*,#210833,.F.); #296403=ORIENTED_EDGE('',*,*,#210836,.F.); #296404=ORIENTED_EDGE('',*,*,#210839,.F.); #296405=ORIENTED_EDGE('',*,*,#210842,.F.); #296406=ORIENTED_EDGE('',*,*,#210845,.F.); #296407=ORIENTED_EDGE('',*,*,#210848,.F.); #296408=ORIENTED_EDGE('',*,*,#210851,.F.); #296409=ORIENTED_EDGE('',*,*,#210854,.F.); #296410=ORIENTED_EDGE('',*,*,#210857,.F.); #296411=ORIENTED_EDGE('',*,*,#210860,.F.); #296412=ORIENTED_EDGE('',*,*,#210863,.F.); #296413=ORIENTED_EDGE('',*,*,#210866,.F.); #296414=ORIENTED_EDGE('',*,*,#210869,.F.); #296415=ORIENTED_EDGE('',*,*,#210872,.F.); #296416=ORIENTED_EDGE('',*,*,#210875,.F.); #296417=ORIENTED_EDGE('',*,*,#210878,.F.); #296418=ORIENTED_EDGE('',*,*,#210881,.F.); #296419=ORIENTED_EDGE('',*,*,#210884,.F.); #296420=ORIENTED_EDGE('',*,*,#210887,.F.); #296421=ORIENTED_EDGE('',*,*,#210890,.F.); #296422=ORIENTED_EDGE('',*,*,#210893,.F.); #296423=ORIENTED_EDGE('',*,*,#210896,.F.); #296424=ORIENTED_EDGE('',*,*,#210899,.F.); #296425=ORIENTED_EDGE('',*,*,#210902,.F.); #296426=ORIENTED_EDGE('',*,*,#210905,.F.); #296427=ORIENTED_EDGE('',*,*,#210908,.F.); #296428=ORIENTED_EDGE('',*,*,#210911,.F.); #296429=ORIENTED_EDGE('',*,*,#210914,.F.); #296430=ORIENTED_EDGE('',*,*,#210917,.F.); #296431=ORIENTED_EDGE('',*,*,#210920,.F.); #296432=ORIENTED_EDGE('',*,*,#210923,.F.); #296433=ORIENTED_EDGE('',*,*,#210926,.F.); #296434=ORIENTED_EDGE('',*,*,#210929,.F.); #296435=ORIENTED_EDGE('',*,*,#210932,.F.); #296436=ORIENTED_EDGE('',*,*,#210935,.F.); #296437=ORIENTED_EDGE('',*,*,#210938,.F.); #296438=ORIENTED_EDGE('',*,*,#210941,.F.); #296439=ORIENTED_EDGE('',*,*,#210944,.F.); #296440=ORIENTED_EDGE('',*,*,#210947,.F.); #296441=ORIENTED_EDGE('',*,*,#210950,.F.); #296442=ORIENTED_EDGE('',*,*,#210953,.F.); #296443=ORIENTED_EDGE('',*,*,#210956,.F.); #296444=ORIENTED_EDGE('',*,*,#210959,.F.); #296445=ORIENTED_EDGE('',*,*,#210962,.F.); #296446=ORIENTED_EDGE('',*,*,#210965,.F.); #296447=ORIENTED_EDGE('',*,*,#210968,.F.); #296448=ORIENTED_EDGE('',*,*,#210971,.F.); #296449=ORIENTED_EDGE('',*,*,#210974,.F.); #296450=ORIENTED_EDGE('',*,*,#210977,.F.); #296451=ORIENTED_EDGE('',*,*,#210980,.F.); #296452=ORIENTED_EDGE('',*,*,#210983,.F.); #296453=ORIENTED_EDGE('',*,*,#210986,.F.); #296454=ORIENTED_EDGE('',*,*,#210989,.F.); #296455=ORIENTED_EDGE('',*,*,#210992,.F.); #296456=ORIENTED_EDGE('',*,*,#210995,.F.); #296457=ORIENTED_EDGE('',*,*,#210998,.F.); #296458=ORIENTED_EDGE('',*,*,#211001,.F.); #296459=ORIENTED_EDGE('',*,*,#211004,.F.); #296460=ORIENTED_EDGE('',*,*,#211007,.F.); #296461=ORIENTED_EDGE('',*,*,#211010,.F.); #296462=ORIENTED_EDGE('',*,*,#211013,.F.); #296463=ORIENTED_EDGE('',*,*,#211016,.F.); #296464=ORIENTED_EDGE('',*,*,#211019,.F.); #296465=ORIENTED_EDGE('',*,*,#215227,.T.); #296466=ORIENTED_EDGE('',*,*,#215228,.T.); #296467=ORIENTED_EDGE('',*,*,#215229,.F.); #296468=ORIENTED_EDGE('',*,*,#215230,.F.); #296469=ORIENTED_EDGE('',*,*,#215231,.T.); #296470=ORIENTED_EDGE('',*,*,#215230,.T.); #296471=ORIENTED_EDGE('',*,*,#215232,.F.); #296472=ORIENTED_EDGE('',*,*,#215233,.F.); #296473=ORIENTED_EDGE('',*,*,#215234,.T.); #296474=ORIENTED_EDGE('',*,*,#215233,.T.); #296475=ORIENTED_EDGE('',*,*,#215235,.F.); #296476=ORIENTED_EDGE('',*,*,#215236,.F.); #296477=ORIENTED_EDGE('',*,*,#215237,.T.); #296478=ORIENTED_EDGE('',*,*,#215236,.T.); #296479=ORIENTED_EDGE('',*,*,#215238,.F.); #296480=ORIENTED_EDGE('',*,*,#215239,.F.); #296481=ORIENTED_EDGE('',*,*,#215240,.T.); #296482=ORIENTED_EDGE('',*,*,#215239,.T.); #296483=ORIENTED_EDGE('',*,*,#215241,.F.); #296484=ORIENTED_EDGE('',*,*,#215242,.F.); #296485=ORIENTED_EDGE('',*,*,#215243,.T.); #296486=ORIENTED_EDGE('',*,*,#215242,.T.); #296487=ORIENTED_EDGE('',*,*,#215244,.F.); #296488=ORIENTED_EDGE('',*,*,#215245,.F.); #296489=ORIENTED_EDGE('',*,*,#215246,.T.); #296490=ORIENTED_EDGE('',*,*,#215245,.T.); #296491=ORIENTED_EDGE('',*,*,#215247,.F.); #296492=ORIENTED_EDGE('',*,*,#215248,.F.); #296493=ORIENTED_EDGE('',*,*,#215249,.T.); #296494=ORIENTED_EDGE('',*,*,#215248,.T.); #296495=ORIENTED_EDGE('',*,*,#215250,.F.); #296496=ORIENTED_EDGE('',*,*,#215251,.F.); #296497=ORIENTED_EDGE('',*,*,#215252,.T.); #296498=ORIENTED_EDGE('',*,*,#215251,.T.); #296499=ORIENTED_EDGE('',*,*,#215253,.F.); #296500=ORIENTED_EDGE('',*,*,#215254,.F.); #296501=ORIENTED_EDGE('',*,*,#215255,.T.); #296502=ORIENTED_EDGE('',*,*,#215254,.T.); #296503=ORIENTED_EDGE('',*,*,#215256,.F.); #296504=ORIENTED_EDGE('',*,*,#215257,.F.); #296505=ORIENTED_EDGE('',*,*,#215258,.T.); #296506=ORIENTED_EDGE('',*,*,#215257,.T.); #296507=ORIENTED_EDGE('',*,*,#215259,.F.); #296508=ORIENTED_EDGE('',*,*,#215260,.F.); #296509=ORIENTED_EDGE('',*,*,#215261,.T.); #296510=ORIENTED_EDGE('',*,*,#215260,.T.); #296511=ORIENTED_EDGE('',*,*,#215262,.F.); #296512=ORIENTED_EDGE('',*,*,#215263,.F.); #296513=ORIENTED_EDGE('',*,*,#215264,.T.); #296514=ORIENTED_EDGE('',*,*,#215263,.T.); #296515=ORIENTED_EDGE('',*,*,#215265,.F.); #296516=ORIENTED_EDGE('',*,*,#215266,.F.); #296517=ORIENTED_EDGE('',*,*,#215267,.T.); #296518=ORIENTED_EDGE('',*,*,#215266,.T.); #296519=ORIENTED_EDGE('',*,*,#215268,.F.); #296520=ORIENTED_EDGE('',*,*,#215269,.F.); #296521=ORIENTED_EDGE('',*,*,#215270,.T.); #296522=ORIENTED_EDGE('',*,*,#215269,.T.); #296523=ORIENTED_EDGE('',*,*,#215271,.F.); #296524=ORIENTED_EDGE('',*,*,#215272,.F.); #296525=ORIENTED_EDGE('',*,*,#215273,.T.); #296526=ORIENTED_EDGE('',*,*,#215272,.T.); #296527=ORIENTED_EDGE('',*,*,#215274,.F.); #296528=ORIENTED_EDGE('',*,*,#215275,.F.); #296529=ORIENTED_EDGE('',*,*,#215276,.T.); #296530=ORIENTED_EDGE('',*,*,#215275,.T.); #296531=ORIENTED_EDGE('',*,*,#215277,.F.); #296532=ORIENTED_EDGE('',*,*,#215278,.F.); #296533=ORIENTED_EDGE('',*,*,#215279,.T.); #296534=ORIENTED_EDGE('',*,*,#215278,.T.); #296535=ORIENTED_EDGE('',*,*,#215280,.F.); #296536=ORIENTED_EDGE('',*,*,#215281,.F.); #296537=ORIENTED_EDGE('',*,*,#215282,.T.); #296538=ORIENTED_EDGE('',*,*,#215281,.T.); #296539=ORIENTED_EDGE('',*,*,#215283,.F.); #296540=ORIENTED_EDGE('',*,*,#215284,.F.); #296541=ORIENTED_EDGE('',*,*,#215285,.T.); #296542=ORIENTED_EDGE('',*,*,#215284,.T.); #296543=ORIENTED_EDGE('',*,*,#215286,.F.); #296544=ORIENTED_EDGE('',*,*,#215287,.F.); #296545=ORIENTED_EDGE('',*,*,#215288,.T.); #296546=ORIENTED_EDGE('',*,*,#215287,.T.); #296547=ORIENTED_EDGE('',*,*,#215289,.F.); #296548=ORIENTED_EDGE('',*,*,#215290,.F.); #296549=ORIENTED_EDGE('',*,*,#215291,.T.); #296550=ORIENTED_EDGE('',*,*,#215290,.T.); #296551=ORIENTED_EDGE('',*,*,#215292,.F.); #296552=ORIENTED_EDGE('',*,*,#215293,.F.); #296553=ORIENTED_EDGE('',*,*,#215294,.T.); #296554=ORIENTED_EDGE('',*,*,#215293,.T.); #296555=ORIENTED_EDGE('',*,*,#215295,.F.); #296556=ORIENTED_EDGE('',*,*,#215296,.F.); #296557=ORIENTED_EDGE('',*,*,#215297,.T.); #296558=ORIENTED_EDGE('',*,*,#215296,.T.); #296559=ORIENTED_EDGE('',*,*,#215298,.F.); #296560=ORIENTED_EDGE('',*,*,#215299,.F.); #296561=ORIENTED_EDGE('',*,*,#215300,.T.); #296562=ORIENTED_EDGE('',*,*,#215299,.T.); #296563=ORIENTED_EDGE('',*,*,#215301,.F.); #296564=ORIENTED_EDGE('',*,*,#215302,.F.); #296565=ORIENTED_EDGE('',*,*,#215303,.T.); #296566=ORIENTED_EDGE('',*,*,#215302,.T.); #296567=ORIENTED_EDGE('',*,*,#215304,.F.); #296568=ORIENTED_EDGE('',*,*,#215305,.F.); #296569=ORIENTED_EDGE('',*,*,#215306,.T.); #296570=ORIENTED_EDGE('',*,*,#215305,.T.); #296571=ORIENTED_EDGE('',*,*,#215307,.F.); #296572=ORIENTED_EDGE('',*,*,#215228,.F.); #296573=ORIENTED_EDGE('',*,*,#215307,.T.); #296574=ORIENTED_EDGE('',*,*,#215304,.T.); #296575=ORIENTED_EDGE('',*,*,#215301,.T.); #296576=ORIENTED_EDGE('',*,*,#215298,.T.); #296577=ORIENTED_EDGE('',*,*,#215295,.T.); #296578=ORIENTED_EDGE('',*,*,#215292,.T.); #296579=ORIENTED_EDGE('',*,*,#215289,.T.); #296580=ORIENTED_EDGE('',*,*,#215286,.T.); #296581=ORIENTED_EDGE('',*,*,#215283,.T.); #296582=ORIENTED_EDGE('',*,*,#215280,.T.); #296583=ORIENTED_EDGE('',*,*,#215277,.T.); #296584=ORIENTED_EDGE('',*,*,#215274,.T.); #296585=ORIENTED_EDGE('',*,*,#215271,.T.); #296586=ORIENTED_EDGE('',*,*,#215268,.T.); #296587=ORIENTED_EDGE('',*,*,#215265,.T.); #296588=ORIENTED_EDGE('',*,*,#215262,.T.); #296589=ORIENTED_EDGE('',*,*,#215259,.T.); #296590=ORIENTED_EDGE('',*,*,#215256,.T.); #296591=ORIENTED_EDGE('',*,*,#215253,.T.); #296592=ORIENTED_EDGE('',*,*,#215250,.T.); #296593=ORIENTED_EDGE('',*,*,#215247,.T.); #296594=ORIENTED_EDGE('',*,*,#215244,.T.); #296595=ORIENTED_EDGE('',*,*,#215241,.T.); #296596=ORIENTED_EDGE('',*,*,#215238,.T.); #296597=ORIENTED_EDGE('',*,*,#215235,.T.); #296598=ORIENTED_EDGE('',*,*,#215232,.T.); #296599=ORIENTED_EDGE('',*,*,#215229,.T.); #296600=ORIENTED_EDGE('',*,*,#215306,.F.); #296601=ORIENTED_EDGE('',*,*,#215227,.F.); #296602=ORIENTED_EDGE('',*,*,#215231,.F.); #296603=ORIENTED_EDGE('',*,*,#215234,.F.); #296604=ORIENTED_EDGE('',*,*,#215237,.F.); #296605=ORIENTED_EDGE('',*,*,#215240,.F.); #296606=ORIENTED_EDGE('',*,*,#215243,.F.); #296607=ORIENTED_EDGE('',*,*,#215246,.F.); #296608=ORIENTED_EDGE('',*,*,#215249,.F.); #296609=ORIENTED_EDGE('',*,*,#215252,.F.); #296610=ORIENTED_EDGE('',*,*,#215255,.F.); #296611=ORIENTED_EDGE('',*,*,#215258,.F.); #296612=ORIENTED_EDGE('',*,*,#215261,.F.); #296613=ORIENTED_EDGE('',*,*,#215264,.F.); #296614=ORIENTED_EDGE('',*,*,#215267,.F.); #296615=ORIENTED_EDGE('',*,*,#215270,.F.); #296616=ORIENTED_EDGE('',*,*,#215273,.F.); #296617=ORIENTED_EDGE('',*,*,#215276,.F.); #296618=ORIENTED_EDGE('',*,*,#215279,.F.); #296619=ORIENTED_EDGE('',*,*,#215282,.F.); #296620=ORIENTED_EDGE('',*,*,#215285,.F.); #296621=ORIENTED_EDGE('',*,*,#215288,.F.); #296622=ORIENTED_EDGE('',*,*,#215291,.F.); #296623=ORIENTED_EDGE('',*,*,#215294,.F.); #296624=ORIENTED_EDGE('',*,*,#215297,.F.); #296625=ORIENTED_EDGE('',*,*,#215300,.F.); #296626=ORIENTED_EDGE('',*,*,#215303,.F.); #296627=ORIENTED_EDGE('',*,*,#215308,.T.); #296628=ORIENTED_EDGE('',*,*,#215309,.T.); #296629=ORIENTED_EDGE('',*,*,#215310,.F.); #296630=ORIENTED_EDGE('',*,*,#215311,.F.); #296631=ORIENTED_EDGE('',*,*,#215312,.T.); #296632=ORIENTED_EDGE('',*,*,#215311,.T.); #296633=ORIENTED_EDGE('',*,*,#215313,.F.); #296634=ORIENTED_EDGE('',*,*,#215314,.F.); #296635=ORIENTED_EDGE('',*,*,#215315,.T.); #296636=ORIENTED_EDGE('',*,*,#215314,.T.); #296637=ORIENTED_EDGE('',*,*,#215316,.F.); #296638=ORIENTED_EDGE('',*,*,#215317,.F.); #296639=ORIENTED_EDGE('',*,*,#215318,.T.); #296640=ORIENTED_EDGE('',*,*,#215317,.T.); #296641=ORIENTED_EDGE('',*,*,#215319,.F.); #296642=ORIENTED_EDGE('',*,*,#215320,.F.); #296643=ORIENTED_EDGE('',*,*,#215321,.T.); #296644=ORIENTED_EDGE('',*,*,#215320,.T.); #296645=ORIENTED_EDGE('',*,*,#215322,.F.); #296646=ORIENTED_EDGE('',*,*,#215323,.F.); #296647=ORIENTED_EDGE('',*,*,#215324,.T.); #296648=ORIENTED_EDGE('',*,*,#215323,.T.); #296649=ORIENTED_EDGE('',*,*,#215325,.F.); #296650=ORIENTED_EDGE('',*,*,#215326,.F.); #296651=ORIENTED_EDGE('',*,*,#215327,.T.); #296652=ORIENTED_EDGE('',*,*,#215326,.T.); #296653=ORIENTED_EDGE('',*,*,#215328,.F.); #296654=ORIENTED_EDGE('',*,*,#215329,.F.); #296655=ORIENTED_EDGE('',*,*,#215330,.T.); #296656=ORIENTED_EDGE('',*,*,#215329,.T.); #296657=ORIENTED_EDGE('',*,*,#215331,.F.); #296658=ORIENTED_EDGE('',*,*,#215332,.F.); #296659=ORIENTED_EDGE('',*,*,#215333,.T.); #296660=ORIENTED_EDGE('',*,*,#215332,.T.); #296661=ORIENTED_EDGE('',*,*,#215334,.F.); #296662=ORIENTED_EDGE('',*,*,#215335,.F.); #296663=ORIENTED_EDGE('',*,*,#215336,.T.); #296664=ORIENTED_EDGE('',*,*,#215335,.T.); #296665=ORIENTED_EDGE('',*,*,#215337,.F.); #296666=ORIENTED_EDGE('',*,*,#215338,.F.); #296667=ORIENTED_EDGE('',*,*,#215339,.T.); #296668=ORIENTED_EDGE('',*,*,#215338,.T.); #296669=ORIENTED_EDGE('',*,*,#215340,.F.); #296670=ORIENTED_EDGE('',*,*,#215341,.F.); #296671=ORIENTED_EDGE('',*,*,#215342,.T.); #296672=ORIENTED_EDGE('',*,*,#215341,.T.); #296673=ORIENTED_EDGE('',*,*,#215343,.F.); #296674=ORIENTED_EDGE('',*,*,#215344,.F.); #296675=ORIENTED_EDGE('',*,*,#215345,.T.); #296676=ORIENTED_EDGE('',*,*,#215344,.T.); #296677=ORIENTED_EDGE('',*,*,#215346,.F.); #296678=ORIENTED_EDGE('',*,*,#215347,.F.); #296679=ORIENTED_EDGE('',*,*,#215348,.T.); #296680=ORIENTED_EDGE('',*,*,#215347,.T.); #296681=ORIENTED_EDGE('',*,*,#215349,.F.); #296682=ORIENTED_EDGE('',*,*,#215350,.F.); #296683=ORIENTED_EDGE('',*,*,#215351,.T.); #296684=ORIENTED_EDGE('',*,*,#215350,.T.); #296685=ORIENTED_EDGE('',*,*,#215352,.F.); #296686=ORIENTED_EDGE('',*,*,#215353,.F.); #296687=ORIENTED_EDGE('',*,*,#215354,.T.); #296688=ORIENTED_EDGE('',*,*,#215353,.T.); #296689=ORIENTED_EDGE('',*,*,#215355,.F.); #296690=ORIENTED_EDGE('',*,*,#215356,.F.); #296691=ORIENTED_EDGE('',*,*,#215357,.T.); #296692=ORIENTED_EDGE('',*,*,#215356,.T.); #296693=ORIENTED_EDGE('',*,*,#215358,.F.); #296694=ORIENTED_EDGE('',*,*,#215359,.F.); #296695=ORIENTED_EDGE('',*,*,#215360,.T.); #296696=ORIENTED_EDGE('',*,*,#215359,.T.); #296697=ORIENTED_EDGE('',*,*,#215361,.F.); #296698=ORIENTED_EDGE('',*,*,#215362,.F.); #296699=ORIENTED_EDGE('',*,*,#215363,.T.); #296700=ORIENTED_EDGE('',*,*,#215362,.T.); #296701=ORIENTED_EDGE('',*,*,#215364,.F.); #296702=ORIENTED_EDGE('',*,*,#215365,.F.); #296703=ORIENTED_EDGE('',*,*,#215366,.T.); #296704=ORIENTED_EDGE('',*,*,#215365,.T.); #296705=ORIENTED_EDGE('',*,*,#215367,.F.); #296706=ORIENTED_EDGE('',*,*,#215368,.F.); #296707=ORIENTED_EDGE('',*,*,#215369,.T.); #296708=ORIENTED_EDGE('',*,*,#215368,.T.); #296709=ORIENTED_EDGE('',*,*,#215370,.F.); #296710=ORIENTED_EDGE('',*,*,#215309,.F.); #296711=ORIENTED_EDGE('',*,*,#215370,.T.); #296712=ORIENTED_EDGE('',*,*,#215367,.T.); #296713=ORIENTED_EDGE('',*,*,#215364,.T.); #296714=ORIENTED_EDGE('',*,*,#215361,.T.); #296715=ORIENTED_EDGE('',*,*,#215358,.T.); #296716=ORIENTED_EDGE('',*,*,#215355,.T.); #296717=ORIENTED_EDGE('',*,*,#215352,.T.); #296718=ORIENTED_EDGE('',*,*,#215349,.T.); #296719=ORIENTED_EDGE('',*,*,#215346,.T.); #296720=ORIENTED_EDGE('',*,*,#215343,.T.); #296721=ORIENTED_EDGE('',*,*,#215340,.T.); #296722=ORIENTED_EDGE('',*,*,#215337,.T.); #296723=ORIENTED_EDGE('',*,*,#215334,.T.); #296724=ORIENTED_EDGE('',*,*,#215331,.T.); #296725=ORIENTED_EDGE('',*,*,#215328,.T.); #296726=ORIENTED_EDGE('',*,*,#215325,.T.); #296727=ORIENTED_EDGE('',*,*,#215322,.T.); #296728=ORIENTED_EDGE('',*,*,#215319,.T.); #296729=ORIENTED_EDGE('',*,*,#215316,.T.); #296730=ORIENTED_EDGE('',*,*,#215313,.T.); #296731=ORIENTED_EDGE('',*,*,#215310,.T.); #296732=ORIENTED_EDGE('',*,*,#215369,.F.); #296733=ORIENTED_EDGE('',*,*,#215308,.F.); #296734=ORIENTED_EDGE('',*,*,#215312,.F.); #296735=ORIENTED_EDGE('',*,*,#215315,.F.); #296736=ORIENTED_EDGE('',*,*,#215318,.F.); #296737=ORIENTED_EDGE('',*,*,#215321,.F.); #296738=ORIENTED_EDGE('',*,*,#215324,.F.); #296739=ORIENTED_EDGE('',*,*,#215327,.F.); #296740=ORIENTED_EDGE('',*,*,#215330,.F.); #296741=ORIENTED_EDGE('',*,*,#215333,.F.); #296742=ORIENTED_EDGE('',*,*,#215336,.F.); #296743=ORIENTED_EDGE('',*,*,#215339,.F.); #296744=ORIENTED_EDGE('',*,*,#215342,.F.); #296745=ORIENTED_EDGE('',*,*,#215345,.F.); #296746=ORIENTED_EDGE('',*,*,#215348,.F.); #296747=ORIENTED_EDGE('',*,*,#215351,.F.); #296748=ORIENTED_EDGE('',*,*,#215354,.F.); #296749=ORIENTED_EDGE('',*,*,#215357,.F.); #296750=ORIENTED_EDGE('',*,*,#215360,.F.); #296751=ORIENTED_EDGE('',*,*,#215363,.F.); #296752=ORIENTED_EDGE('',*,*,#215366,.F.); #296753=ORIENTED_EDGE('',*,*,#215371,.T.); #296754=ORIENTED_EDGE('',*,*,#215372,.T.); #296755=ORIENTED_EDGE('',*,*,#215373,.F.); #296756=ORIENTED_EDGE('',*,*,#215374,.F.); #296757=ORIENTED_EDGE('',*,*,#215375,.T.); #296758=ORIENTED_EDGE('',*,*,#215374,.T.); #296759=ORIENTED_EDGE('',*,*,#215376,.F.); #296760=ORIENTED_EDGE('',*,*,#215377,.F.); #296761=ORIENTED_EDGE('',*,*,#215378,.T.); #296762=ORIENTED_EDGE('',*,*,#215377,.T.); #296763=ORIENTED_EDGE('',*,*,#215379,.F.); #296764=ORIENTED_EDGE('',*,*,#215380,.F.); #296765=ORIENTED_EDGE('',*,*,#215381,.T.); #296766=ORIENTED_EDGE('',*,*,#215380,.T.); #296767=ORIENTED_EDGE('',*,*,#215382,.F.); #296768=ORIENTED_EDGE('',*,*,#215383,.F.); #296769=ORIENTED_EDGE('',*,*,#215384,.T.); #296770=ORIENTED_EDGE('',*,*,#215383,.T.); #296771=ORIENTED_EDGE('',*,*,#215385,.F.); #296772=ORIENTED_EDGE('',*,*,#215386,.F.); #296773=ORIENTED_EDGE('',*,*,#215387,.T.); #296774=ORIENTED_EDGE('',*,*,#215386,.T.); #296775=ORIENTED_EDGE('',*,*,#215388,.F.); #296776=ORIENTED_EDGE('',*,*,#215389,.F.); #296777=ORIENTED_EDGE('',*,*,#215390,.T.); #296778=ORIENTED_EDGE('',*,*,#215389,.T.); #296779=ORIENTED_EDGE('',*,*,#215391,.F.); #296780=ORIENTED_EDGE('',*,*,#215392,.F.); #296781=ORIENTED_EDGE('',*,*,#215393,.T.); #296782=ORIENTED_EDGE('',*,*,#215392,.T.); #296783=ORIENTED_EDGE('',*,*,#215394,.F.); #296784=ORIENTED_EDGE('',*,*,#215395,.F.); #296785=ORIENTED_EDGE('',*,*,#215396,.T.); #296786=ORIENTED_EDGE('',*,*,#215395,.T.); #296787=ORIENTED_EDGE('',*,*,#215397,.F.); #296788=ORIENTED_EDGE('',*,*,#215398,.F.); #296789=ORIENTED_EDGE('',*,*,#215399,.T.); #296790=ORIENTED_EDGE('',*,*,#215398,.T.); #296791=ORIENTED_EDGE('',*,*,#215400,.F.); #296792=ORIENTED_EDGE('',*,*,#215401,.F.); #296793=ORIENTED_EDGE('',*,*,#215402,.T.); #296794=ORIENTED_EDGE('',*,*,#215401,.T.); #296795=ORIENTED_EDGE('',*,*,#215403,.F.); #296796=ORIENTED_EDGE('',*,*,#215404,.F.); #296797=ORIENTED_EDGE('',*,*,#215405,.T.); #296798=ORIENTED_EDGE('',*,*,#215404,.T.); #296799=ORIENTED_EDGE('',*,*,#215406,.F.); #296800=ORIENTED_EDGE('',*,*,#215407,.F.); #296801=ORIENTED_EDGE('',*,*,#215408,.T.); #296802=ORIENTED_EDGE('',*,*,#215407,.T.); #296803=ORIENTED_EDGE('',*,*,#215409,.F.); #296804=ORIENTED_EDGE('',*,*,#215410,.F.); #296805=ORIENTED_EDGE('',*,*,#215411,.T.); #296806=ORIENTED_EDGE('',*,*,#215410,.T.); #296807=ORIENTED_EDGE('',*,*,#215412,.F.); #296808=ORIENTED_EDGE('',*,*,#215413,.F.); #296809=ORIENTED_EDGE('',*,*,#215414,.T.); #296810=ORIENTED_EDGE('',*,*,#215413,.T.); #296811=ORIENTED_EDGE('',*,*,#215415,.F.); #296812=ORIENTED_EDGE('',*,*,#215416,.F.); #296813=ORIENTED_EDGE('',*,*,#215417,.T.); #296814=ORIENTED_EDGE('',*,*,#215416,.T.); #296815=ORIENTED_EDGE('',*,*,#215418,.F.); #296816=ORIENTED_EDGE('',*,*,#215419,.F.); #296817=ORIENTED_EDGE('',*,*,#215420,.T.); #296818=ORIENTED_EDGE('',*,*,#215419,.T.); #296819=ORIENTED_EDGE('',*,*,#215421,.F.); #296820=ORIENTED_EDGE('',*,*,#215422,.F.); #296821=ORIENTED_EDGE('',*,*,#215423,.T.); #296822=ORIENTED_EDGE('',*,*,#215422,.T.); #296823=ORIENTED_EDGE('',*,*,#215424,.F.); #296824=ORIENTED_EDGE('',*,*,#215425,.F.); #296825=ORIENTED_EDGE('',*,*,#215426,.T.); #296826=ORIENTED_EDGE('',*,*,#215425,.T.); #296827=ORIENTED_EDGE('',*,*,#215427,.F.); #296828=ORIENTED_EDGE('',*,*,#215428,.F.); #296829=ORIENTED_EDGE('',*,*,#215429,.T.); #296830=ORIENTED_EDGE('',*,*,#215428,.T.); #296831=ORIENTED_EDGE('',*,*,#215430,.F.); #296832=ORIENTED_EDGE('',*,*,#215372,.F.); #296833=ORIENTED_EDGE('',*,*,#215430,.T.); #296834=ORIENTED_EDGE('',*,*,#215427,.T.); #296835=ORIENTED_EDGE('',*,*,#215424,.T.); #296836=ORIENTED_EDGE('',*,*,#215421,.T.); #296837=ORIENTED_EDGE('',*,*,#215418,.T.); #296838=ORIENTED_EDGE('',*,*,#215415,.T.); #296839=ORIENTED_EDGE('',*,*,#215412,.T.); #296840=ORIENTED_EDGE('',*,*,#215409,.T.); #296841=ORIENTED_EDGE('',*,*,#215406,.T.); #296842=ORIENTED_EDGE('',*,*,#215403,.T.); #296843=ORIENTED_EDGE('',*,*,#215400,.T.); #296844=ORIENTED_EDGE('',*,*,#215397,.T.); #296845=ORIENTED_EDGE('',*,*,#215394,.T.); #296846=ORIENTED_EDGE('',*,*,#215391,.T.); #296847=ORIENTED_EDGE('',*,*,#215388,.T.); #296848=ORIENTED_EDGE('',*,*,#215385,.T.); #296849=ORIENTED_EDGE('',*,*,#215382,.T.); #296850=ORIENTED_EDGE('',*,*,#215379,.T.); #296851=ORIENTED_EDGE('',*,*,#215376,.T.); #296852=ORIENTED_EDGE('',*,*,#215373,.T.); #296853=ORIENTED_EDGE('',*,*,#215429,.F.); #296854=ORIENTED_EDGE('',*,*,#215371,.F.); #296855=ORIENTED_EDGE('',*,*,#215375,.F.); #296856=ORIENTED_EDGE('',*,*,#215378,.F.); #296857=ORIENTED_EDGE('',*,*,#215381,.F.); #296858=ORIENTED_EDGE('',*,*,#215384,.F.); #296859=ORIENTED_EDGE('',*,*,#215387,.F.); #296860=ORIENTED_EDGE('',*,*,#215390,.F.); #296861=ORIENTED_EDGE('',*,*,#215393,.F.); #296862=ORIENTED_EDGE('',*,*,#215396,.F.); #296863=ORIENTED_EDGE('',*,*,#215399,.F.); #296864=ORIENTED_EDGE('',*,*,#215402,.F.); #296865=ORIENTED_EDGE('',*,*,#215405,.F.); #296866=ORIENTED_EDGE('',*,*,#215408,.F.); #296867=ORIENTED_EDGE('',*,*,#215411,.F.); #296868=ORIENTED_EDGE('',*,*,#215414,.F.); #296869=ORIENTED_EDGE('',*,*,#215417,.F.); #296870=ORIENTED_EDGE('',*,*,#215420,.F.); #296871=ORIENTED_EDGE('',*,*,#215423,.F.); #296872=ORIENTED_EDGE('',*,*,#215426,.F.); #296873=ORIENTED_EDGE('',*,*,#215431,.T.); #296874=ORIENTED_EDGE('',*,*,#215432,.T.); #296875=ORIENTED_EDGE('',*,*,#215433,.F.); #296876=ORIENTED_EDGE('',*,*,#215434,.F.); #296877=ORIENTED_EDGE('',*,*,#215435,.T.); #296878=ORIENTED_EDGE('',*,*,#215434,.T.); #296879=ORIENTED_EDGE('',*,*,#215436,.F.); #296880=ORIENTED_EDGE('',*,*,#215437,.F.); #296881=ORIENTED_EDGE('',*,*,#215438,.T.); #296882=ORIENTED_EDGE('',*,*,#215437,.T.); #296883=ORIENTED_EDGE('',*,*,#215439,.F.); #296884=ORIENTED_EDGE('',*,*,#215440,.F.); #296885=ORIENTED_EDGE('',*,*,#215441,.T.); #296886=ORIENTED_EDGE('',*,*,#215440,.T.); #296887=ORIENTED_EDGE('',*,*,#215442,.F.); #296888=ORIENTED_EDGE('',*,*,#215443,.F.); #296889=ORIENTED_EDGE('',*,*,#215444,.T.); #296890=ORIENTED_EDGE('',*,*,#215443,.T.); #296891=ORIENTED_EDGE('',*,*,#215445,.F.); #296892=ORIENTED_EDGE('',*,*,#215446,.F.); #296893=ORIENTED_EDGE('',*,*,#215447,.T.); #296894=ORIENTED_EDGE('',*,*,#215446,.T.); #296895=ORIENTED_EDGE('',*,*,#215448,.F.); #296896=ORIENTED_EDGE('',*,*,#215449,.F.); #296897=ORIENTED_EDGE('',*,*,#215450,.T.); #296898=ORIENTED_EDGE('',*,*,#215449,.T.); #296899=ORIENTED_EDGE('',*,*,#215451,.F.); #296900=ORIENTED_EDGE('',*,*,#215452,.F.); #296901=ORIENTED_EDGE('',*,*,#215453,.T.); #296902=ORIENTED_EDGE('',*,*,#215452,.T.); #296903=ORIENTED_EDGE('',*,*,#215454,.F.); #296904=ORIENTED_EDGE('',*,*,#215455,.F.); #296905=ORIENTED_EDGE('',*,*,#215456,.T.); #296906=ORIENTED_EDGE('',*,*,#215455,.T.); #296907=ORIENTED_EDGE('',*,*,#215457,.F.); #296908=ORIENTED_EDGE('',*,*,#215458,.F.); #296909=ORIENTED_EDGE('',*,*,#215459,.T.); #296910=ORIENTED_EDGE('',*,*,#215458,.T.); #296911=ORIENTED_EDGE('',*,*,#215460,.F.); #296912=ORIENTED_EDGE('',*,*,#215461,.F.); #296913=ORIENTED_EDGE('',*,*,#215462,.T.); #296914=ORIENTED_EDGE('',*,*,#215461,.T.); #296915=ORIENTED_EDGE('',*,*,#215463,.F.); #296916=ORIENTED_EDGE('',*,*,#215464,.F.); #296917=ORIENTED_EDGE('',*,*,#215465,.T.); #296918=ORIENTED_EDGE('',*,*,#215464,.T.); #296919=ORIENTED_EDGE('',*,*,#215466,.F.); #296920=ORIENTED_EDGE('',*,*,#215467,.F.); #296921=ORIENTED_EDGE('',*,*,#215468,.T.); #296922=ORIENTED_EDGE('',*,*,#215467,.T.); #296923=ORIENTED_EDGE('',*,*,#215469,.F.); #296924=ORIENTED_EDGE('',*,*,#215470,.F.); #296925=ORIENTED_EDGE('',*,*,#215471,.T.); #296926=ORIENTED_EDGE('',*,*,#215470,.T.); #296927=ORIENTED_EDGE('',*,*,#215472,.F.); #296928=ORIENTED_EDGE('',*,*,#215473,.F.); #296929=ORIENTED_EDGE('',*,*,#215474,.T.); #296930=ORIENTED_EDGE('',*,*,#215473,.T.); #296931=ORIENTED_EDGE('',*,*,#215475,.F.); #296932=ORIENTED_EDGE('',*,*,#215476,.F.); #296933=ORIENTED_EDGE('',*,*,#215477,.T.); #296934=ORIENTED_EDGE('',*,*,#215476,.T.); #296935=ORIENTED_EDGE('',*,*,#215478,.F.); #296936=ORIENTED_EDGE('',*,*,#215479,.F.); #296937=ORIENTED_EDGE('',*,*,#215480,.T.); #296938=ORIENTED_EDGE('',*,*,#215479,.T.); #296939=ORIENTED_EDGE('',*,*,#215481,.F.); #296940=ORIENTED_EDGE('',*,*,#215482,.F.); #296941=ORIENTED_EDGE('',*,*,#215483,.T.); #296942=ORIENTED_EDGE('',*,*,#215482,.T.); #296943=ORIENTED_EDGE('',*,*,#215484,.F.); #296944=ORIENTED_EDGE('',*,*,#215485,.F.); #296945=ORIENTED_EDGE('',*,*,#215486,.T.); #296946=ORIENTED_EDGE('',*,*,#215485,.T.); #296947=ORIENTED_EDGE('',*,*,#215487,.F.); #296948=ORIENTED_EDGE('',*,*,#215488,.F.); #296949=ORIENTED_EDGE('',*,*,#215489,.T.); #296950=ORIENTED_EDGE('',*,*,#215488,.T.); #296951=ORIENTED_EDGE('',*,*,#215490,.F.); #296952=ORIENTED_EDGE('',*,*,#215491,.F.); #296953=ORIENTED_EDGE('',*,*,#215492,.T.); #296954=ORIENTED_EDGE('',*,*,#215491,.T.); #296955=ORIENTED_EDGE('',*,*,#215493,.F.); #296956=ORIENTED_EDGE('',*,*,#215494,.F.); #296957=ORIENTED_EDGE('',*,*,#215495,.T.); #296958=ORIENTED_EDGE('',*,*,#215494,.T.); #296959=ORIENTED_EDGE('',*,*,#215496,.F.); #296960=ORIENTED_EDGE('',*,*,#215497,.F.); #296961=ORIENTED_EDGE('',*,*,#215498,.T.); #296962=ORIENTED_EDGE('',*,*,#215497,.T.); #296963=ORIENTED_EDGE('',*,*,#215499,.F.); #296964=ORIENTED_EDGE('',*,*,#215500,.F.); #296965=ORIENTED_EDGE('',*,*,#215501,.T.); #296966=ORIENTED_EDGE('',*,*,#215500,.T.); #296967=ORIENTED_EDGE('',*,*,#215502,.F.); #296968=ORIENTED_EDGE('',*,*,#215503,.F.); #296969=ORIENTED_EDGE('',*,*,#215504,.T.); #296970=ORIENTED_EDGE('',*,*,#215503,.T.); #296971=ORIENTED_EDGE('',*,*,#215505,.F.); #296972=ORIENTED_EDGE('',*,*,#215506,.F.); #296973=ORIENTED_EDGE('',*,*,#215507,.T.); #296974=ORIENTED_EDGE('',*,*,#215506,.T.); #296975=ORIENTED_EDGE('',*,*,#215508,.F.); #296976=ORIENTED_EDGE('',*,*,#215509,.F.); #296977=ORIENTED_EDGE('',*,*,#215510,.T.); #296978=ORIENTED_EDGE('',*,*,#215509,.T.); #296979=ORIENTED_EDGE('',*,*,#215511,.F.); #296980=ORIENTED_EDGE('',*,*,#215512,.F.); #296981=ORIENTED_EDGE('',*,*,#215513,.T.); #296982=ORIENTED_EDGE('',*,*,#215512,.T.); #296983=ORIENTED_EDGE('',*,*,#215514,.F.); #296984=ORIENTED_EDGE('',*,*,#215515,.F.); #296985=ORIENTED_EDGE('',*,*,#215516,.T.); #296986=ORIENTED_EDGE('',*,*,#215515,.T.); #296987=ORIENTED_EDGE('',*,*,#215517,.F.); #296988=ORIENTED_EDGE('',*,*,#215518,.F.); #296989=ORIENTED_EDGE('',*,*,#215519,.T.); #296990=ORIENTED_EDGE('',*,*,#215518,.T.); #296991=ORIENTED_EDGE('',*,*,#215520,.F.); #296992=ORIENTED_EDGE('',*,*,#215521,.F.); #296993=ORIENTED_EDGE('',*,*,#215522,.T.); #296994=ORIENTED_EDGE('',*,*,#215521,.T.); #296995=ORIENTED_EDGE('',*,*,#215523,.F.); #296996=ORIENTED_EDGE('',*,*,#215524,.F.); #296997=ORIENTED_EDGE('',*,*,#215525,.T.); #296998=ORIENTED_EDGE('',*,*,#215524,.T.); #296999=ORIENTED_EDGE('',*,*,#215526,.F.); #297000=ORIENTED_EDGE('',*,*,#215527,.F.); #297001=ORIENTED_EDGE('',*,*,#215528,.T.); #297002=ORIENTED_EDGE('',*,*,#215527,.T.); #297003=ORIENTED_EDGE('',*,*,#215529,.F.); #297004=ORIENTED_EDGE('',*,*,#215530,.F.); #297005=ORIENTED_EDGE('',*,*,#215531,.T.); #297006=ORIENTED_EDGE('',*,*,#215530,.T.); #297007=ORIENTED_EDGE('',*,*,#215532,.F.); #297008=ORIENTED_EDGE('',*,*,#215533,.F.); #297009=ORIENTED_EDGE('',*,*,#215534,.T.); #297010=ORIENTED_EDGE('',*,*,#215533,.T.); #297011=ORIENTED_EDGE('',*,*,#215535,.F.); #297012=ORIENTED_EDGE('',*,*,#215536,.F.); #297013=ORIENTED_EDGE('',*,*,#215537,.T.); #297014=ORIENTED_EDGE('',*,*,#215536,.T.); #297015=ORIENTED_EDGE('',*,*,#215538,.F.); #297016=ORIENTED_EDGE('',*,*,#215539,.F.); #297017=ORIENTED_EDGE('',*,*,#215540,.T.); #297018=ORIENTED_EDGE('',*,*,#215539,.T.); #297019=ORIENTED_EDGE('',*,*,#215541,.F.); #297020=ORIENTED_EDGE('',*,*,#215542,.F.); #297021=ORIENTED_EDGE('',*,*,#215543,.T.); #297022=ORIENTED_EDGE('',*,*,#215542,.T.); #297023=ORIENTED_EDGE('',*,*,#215544,.F.); #297024=ORIENTED_EDGE('',*,*,#215545,.F.); #297025=ORIENTED_EDGE('',*,*,#215546,.T.); #297026=ORIENTED_EDGE('',*,*,#215545,.T.); #297027=ORIENTED_EDGE('',*,*,#215547,.F.); #297028=ORIENTED_EDGE('',*,*,#215548,.F.); #297029=ORIENTED_EDGE('',*,*,#215549,.T.); #297030=ORIENTED_EDGE('',*,*,#215548,.T.); #297031=ORIENTED_EDGE('',*,*,#215550,.F.); #297032=ORIENTED_EDGE('',*,*,#215551,.F.); #297033=ORIENTED_EDGE('',*,*,#215552,.T.); #297034=ORIENTED_EDGE('',*,*,#215551,.T.); #297035=ORIENTED_EDGE('',*,*,#215553,.F.); #297036=ORIENTED_EDGE('',*,*,#215554,.F.); #297037=ORIENTED_EDGE('',*,*,#215555,.T.); #297038=ORIENTED_EDGE('',*,*,#215554,.T.); #297039=ORIENTED_EDGE('',*,*,#215556,.F.); #297040=ORIENTED_EDGE('',*,*,#215557,.F.); #297041=ORIENTED_EDGE('',*,*,#215558,.T.); #297042=ORIENTED_EDGE('',*,*,#215557,.T.); #297043=ORIENTED_EDGE('',*,*,#215559,.F.); #297044=ORIENTED_EDGE('',*,*,#215560,.F.); #297045=ORIENTED_EDGE('',*,*,#215561,.T.); #297046=ORIENTED_EDGE('',*,*,#215560,.T.); #297047=ORIENTED_EDGE('',*,*,#215562,.F.); #297048=ORIENTED_EDGE('',*,*,#215563,.F.); #297049=ORIENTED_EDGE('',*,*,#215564,.T.); #297050=ORIENTED_EDGE('',*,*,#215563,.T.); #297051=ORIENTED_EDGE('',*,*,#215565,.F.); #297052=ORIENTED_EDGE('',*,*,#215566,.F.); #297053=ORIENTED_EDGE('',*,*,#215567,.T.); #297054=ORIENTED_EDGE('',*,*,#215566,.T.); #297055=ORIENTED_EDGE('',*,*,#215568,.F.); #297056=ORIENTED_EDGE('',*,*,#215569,.F.); #297057=ORIENTED_EDGE('',*,*,#215570,.T.); #297058=ORIENTED_EDGE('',*,*,#215569,.T.); #297059=ORIENTED_EDGE('',*,*,#215571,.F.); #297060=ORIENTED_EDGE('',*,*,#215432,.F.); #297061=ORIENTED_EDGE('',*,*,#215571,.T.); #297062=ORIENTED_EDGE('',*,*,#215568,.T.); #297063=ORIENTED_EDGE('',*,*,#215565,.T.); #297064=ORIENTED_EDGE('',*,*,#215562,.T.); #297065=ORIENTED_EDGE('',*,*,#215559,.T.); #297066=ORIENTED_EDGE('',*,*,#215556,.T.); #297067=ORIENTED_EDGE('',*,*,#215553,.T.); #297068=ORIENTED_EDGE('',*,*,#215550,.T.); #297069=ORIENTED_EDGE('',*,*,#215547,.T.); #297070=ORIENTED_EDGE('',*,*,#215544,.T.); #297071=ORIENTED_EDGE('',*,*,#215541,.T.); #297072=ORIENTED_EDGE('',*,*,#215538,.T.); #297073=ORIENTED_EDGE('',*,*,#215535,.T.); #297074=ORIENTED_EDGE('',*,*,#215532,.T.); #297075=ORIENTED_EDGE('',*,*,#215529,.T.); #297076=ORIENTED_EDGE('',*,*,#215526,.T.); #297077=ORIENTED_EDGE('',*,*,#215523,.T.); #297078=ORIENTED_EDGE('',*,*,#215520,.T.); #297079=ORIENTED_EDGE('',*,*,#215517,.T.); #297080=ORIENTED_EDGE('',*,*,#215514,.T.); #297081=ORIENTED_EDGE('',*,*,#215511,.T.); #297082=ORIENTED_EDGE('',*,*,#215508,.T.); #297083=ORIENTED_EDGE('',*,*,#215505,.T.); #297084=ORIENTED_EDGE('',*,*,#215502,.T.); #297085=ORIENTED_EDGE('',*,*,#215499,.T.); #297086=ORIENTED_EDGE('',*,*,#215496,.T.); #297087=ORIENTED_EDGE('',*,*,#215493,.T.); #297088=ORIENTED_EDGE('',*,*,#215490,.T.); #297089=ORIENTED_EDGE('',*,*,#215487,.T.); #297090=ORIENTED_EDGE('',*,*,#215484,.T.); #297091=ORIENTED_EDGE('',*,*,#215481,.T.); #297092=ORIENTED_EDGE('',*,*,#215478,.T.); #297093=ORIENTED_EDGE('',*,*,#215475,.T.); #297094=ORIENTED_EDGE('',*,*,#215472,.T.); #297095=ORIENTED_EDGE('',*,*,#215469,.T.); #297096=ORIENTED_EDGE('',*,*,#215466,.T.); #297097=ORIENTED_EDGE('',*,*,#215463,.T.); #297098=ORIENTED_EDGE('',*,*,#215460,.T.); #297099=ORIENTED_EDGE('',*,*,#215457,.T.); #297100=ORIENTED_EDGE('',*,*,#215454,.T.); #297101=ORIENTED_EDGE('',*,*,#215451,.T.); #297102=ORIENTED_EDGE('',*,*,#215448,.T.); #297103=ORIENTED_EDGE('',*,*,#215445,.T.); #297104=ORIENTED_EDGE('',*,*,#215442,.T.); #297105=ORIENTED_EDGE('',*,*,#215439,.T.); #297106=ORIENTED_EDGE('',*,*,#215436,.T.); #297107=ORIENTED_EDGE('',*,*,#215433,.T.); #297108=ORIENTED_EDGE('',*,*,#215570,.F.); #297109=ORIENTED_EDGE('',*,*,#215431,.F.); #297110=ORIENTED_EDGE('',*,*,#215435,.F.); #297111=ORIENTED_EDGE('',*,*,#215438,.F.); #297112=ORIENTED_EDGE('',*,*,#215441,.F.); #297113=ORIENTED_EDGE('',*,*,#215444,.F.); #297114=ORIENTED_EDGE('',*,*,#215447,.F.); #297115=ORIENTED_EDGE('',*,*,#215450,.F.); #297116=ORIENTED_EDGE('',*,*,#215453,.F.); #297117=ORIENTED_EDGE('',*,*,#215456,.F.); #297118=ORIENTED_EDGE('',*,*,#215459,.F.); #297119=ORIENTED_EDGE('',*,*,#215462,.F.); #297120=ORIENTED_EDGE('',*,*,#215465,.F.); #297121=ORIENTED_EDGE('',*,*,#215468,.F.); #297122=ORIENTED_EDGE('',*,*,#215471,.F.); #297123=ORIENTED_EDGE('',*,*,#215474,.F.); #297124=ORIENTED_EDGE('',*,*,#215477,.F.); #297125=ORIENTED_EDGE('',*,*,#215480,.F.); #297126=ORIENTED_EDGE('',*,*,#215483,.F.); #297127=ORIENTED_EDGE('',*,*,#215486,.F.); #297128=ORIENTED_EDGE('',*,*,#215489,.F.); #297129=ORIENTED_EDGE('',*,*,#215492,.F.); #297130=ORIENTED_EDGE('',*,*,#215495,.F.); #297131=ORIENTED_EDGE('',*,*,#215498,.F.); #297132=ORIENTED_EDGE('',*,*,#215501,.F.); #297133=ORIENTED_EDGE('',*,*,#215504,.F.); #297134=ORIENTED_EDGE('',*,*,#215507,.F.); #297135=ORIENTED_EDGE('',*,*,#215510,.F.); #297136=ORIENTED_EDGE('',*,*,#215513,.F.); #297137=ORIENTED_EDGE('',*,*,#215516,.F.); #297138=ORIENTED_EDGE('',*,*,#215519,.F.); #297139=ORIENTED_EDGE('',*,*,#215522,.F.); #297140=ORIENTED_EDGE('',*,*,#215525,.F.); #297141=ORIENTED_EDGE('',*,*,#215528,.F.); #297142=ORIENTED_EDGE('',*,*,#215531,.F.); #297143=ORIENTED_EDGE('',*,*,#215534,.F.); #297144=ORIENTED_EDGE('',*,*,#215537,.F.); #297145=ORIENTED_EDGE('',*,*,#215540,.F.); #297146=ORIENTED_EDGE('',*,*,#215543,.F.); #297147=ORIENTED_EDGE('',*,*,#215546,.F.); #297148=ORIENTED_EDGE('',*,*,#215549,.F.); #297149=ORIENTED_EDGE('',*,*,#215552,.F.); #297150=ORIENTED_EDGE('',*,*,#215555,.F.); #297151=ORIENTED_EDGE('',*,*,#215558,.F.); #297152=ORIENTED_EDGE('',*,*,#215561,.F.); #297153=ORIENTED_EDGE('',*,*,#215564,.F.); #297154=ORIENTED_EDGE('',*,*,#215567,.F.); #297155=ORIENTED_EDGE('',*,*,#215572,.T.); #297156=ORIENTED_EDGE('',*,*,#215573,.T.); #297157=ORIENTED_EDGE('',*,*,#215574,.F.); #297158=ORIENTED_EDGE('',*,*,#215575,.F.); #297159=ORIENTED_EDGE('',*,*,#215576,.T.); #297160=ORIENTED_EDGE('',*,*,#215575,.T.); #297161=ORIENTED_EDGE('',*,*,#215577,.F.); #297162=ORIENTED_EDGE('',*,*,#215578,.F.); #297163=ORIENTED_EDGE('',*,*,#215579,.T.); #297164=ORIENTED_EDGE('',*,*,#215578,.T.); #297165=ORIENTED_EDGE('',*,*,#215580,.F.); #297166=ORIENTED_EDGE('',*,*,#215581,.F.); #297167=ORIENTED_EDGE('',*,*,#215582,.T.); #297168=ORIENTED_EDGE('',*,*,#215581,.T.); #297169=ORIENTED_EDGE('',*,*,#215583,.F.); #297170=ORIENTED_EDGE('',*,*,#215584,.F.); #297171=ORIENTED_EDGE('',*,*,#215585,.T.); #297172=ORIENTED_EDGE('',*,*,#215584,.T.); #297173=ORIENTED_EDGE('',*,*,#215586,.F.); #297174=ORIENTED_EDGE('',*,*,#215587,.F.); #297175=ORIENTED_EDGE('',*,*,#215588,.T.); #297176=ORIENTED_EDGE('',*,*,#215587,.T.); #297177=ORIENTED_EDGE('',*,*,#215589,.F.); #297178=ORIENTED_EDGE('',*,*,#215590,.F.); #297179=ORIENTED_EDGE('',*,*,#215591,.T.); #297180=ORIENTED_EDGE('',*,*,#215590,.T.); #297181=ORIENTED_EDGE('',*,*,#215592,.F.); #297182=ORIENTED_EDGE('',*,*,#215593,.F.); #297183=ORIENTED_EDGE('',*,*,#215594,.T.); #297184=ORIENTED_EDGE('',*,*,#215593,.T.); #297185=ORIENTED_EDGE('',*,*,#215595,.F.); #297186=ORIENTED_EDGE('',*,*,#215596,.F.); #297187=ORIENTED_EDGE('',*,*,#215597,.T.); #297188=ORIENTED_EDGE('',*,*,#215596,.T.); #297189=ORIENTED_EDGE('',*,*,#215598,.F.); #297190=ORIENTED_EDGE('',*,*,#215599,.F.); #297191=ORIENTED_EDGE('',*,*,#215600,.T.); #297192=ORIENTED_EDGE('',*,*,#215599,.T.); #297193=ORIENTED_EDGE('',*,*,#215601,.F.); #297194=ORIENTED_EDGE('',*,*,#215602,.F.); #297195=ORIENTED_EDGE('',*,*,#215603,.T.); #297196=ORIENTED_EDGE('',*,*,#215602,.T.); #297197=ORIENTED_EDGE('',*,*,#215604,.F.); #297198=ORIENTED_EDGE('',*,*,#215605,.F.); #297199=ORIENTED_EDGE('',*,*,#215606,.T.); #297200=ORIENTED_EDGE('',*,*,#215605,.T.); #297201=ORIENTED_EDGE('',*,*,#215607,.F.); #297202=ORIENTED_EDGE('',*,*,#215608,.F.); #297203=ORIENTED_EDGE('',*,*,#215609,.T.); #297204=ORIENTED_EDGE('',*,*,#215608,.T.); #297205=ORIENTED_EDGE('',*,*,#215610,.F.); #297206=ORIENTED_EDGE('',*,*,#215611,.F.); #297207=ORIENTED_EDGE('',*,*,#215612,.T.); #297208=ORIENTED_EDGE('',*,*,#215611,.T.); #297209=ORIENTED_EDGE('',*,*,#215613,.F.); #297210=ORIENTED_EDGE('',*,*,#215614,.F.); #297211=ORIENTED_EDGE('',*,*,#215615,.T.); #297212=ORIENTED_EDGE('',*,*,#215614,.T.); #297213=ORIENTED_EDGE('',*,*,#215616,.F.); #297214=ORIENTED_EDGE('',*,*,#215617,.F.); #297215=ORIENTED_EDGE('',*,*,#215618,.T.); #297216=ORIENTED_EDGE('',*,*,#215617,.T.); #297217=ORIENTED_EDGE('',*,*,#215619,.F.); #297218=ORIENTED_EDGE('',*,*,#215620,.F.); #297219=ORIENTED_EDGE('',*,*,#215621,.T.); #297220=ORIENTED_EDGE('',*,*,#215620,.T.); #297221=ORIENTED_EDGE('',*,*,#215622,.F.); #297222=ORIENTED_EDGE('',*,*,#215623,.F.); #297223=ORIENTED_EDGE('',*,*,#215624,.T.); #297224=ORIENTED_EDGE('',*,*,#215623,.T.); #297225=ORIENTED_EDGE('',*,*,#215625,.F.); #297226=ORIENTED_EDGE('',*,*,#215626,.F.); #297227=ORIENTED_EDGE('',*,*,#215627,.T.); #297228=ORIENTED_EDGE('',*,*,#215626,.T.); #297229=ORIENTED_EDGE('',*,*,#215628,.F.); #297230=ORIENTED_EDGE('',*,*,#215629,.F.); #297231=ORIENTED_EDGE('',*,*,#215630,.T.); #297232=ORIENTED_EDGE('',*,*,#215629,.T.); #297233=ORIENTED_EDGE('',*,*,#215631,.F.); #297234=ORIENTED_EDGE('',*,*,#215632,.F.); #297235=ORIENTED_EDGE('',*,*,#215633,.T.); #297236=ORIENTED_EDGE('',*,*,#215632,.T.); #297237=ORIENTED_EDGE('',*,*,#215634,.F.); #297238=ORIENTED_EDGE('',*,*,#215635,.F.); #297239=ORIENTED_EDGE('',*,*,#215636,.T.); #297240=ORIENTED_EDGE('',*,*,#215635,.T.); #297241=ORIENTED_EDGE('',*,*,#215637,.F.); #297242=ORIENTED_EDGE('',*,*,#215638,.F.); #297243=ORIENTED_EDGE('',*,*,#215639,.T.); #297244=ORIENTED_EDGE('',*,*,#215638,.T.); #297245=ORIENTED_EDGE('',*,*,#215640,.F.); #297246=ORIENTED_EDGE('',*,*,#215641,.F.); #297247=ORIENTED_EDGE('',*,*,#215642,.T.); #297248=ORIENTED_EDGE('',*,*,#215641,.T.); #297249=ORIENTED_EDGE('',*,*,#215643,.F.); #297250=ORIENTED_EDGE('',*,*,#215644,.F.); #297251=ORIENTED_EDGE('',*,*,#215645,.T.); #297252=ORIENTED_EDGE('',*,*,#215644,.T.); #297253=ORIENTED_EDGE('',*,*,#215646,.F.); #297254=ORIENTED_EDGE('',*,*,#215647,.F.); #297255=ORIENTED_EDGE('',*,*,#215648,.T.); #297256=ORIENTED_EDGE('',*,*,#215647,.T.); #297257=ORIENTED_EDGE('',*,*,#215649,.F.); #297258=ORIENTED_EDGE('',*,*,#215650,.F.); #297259=ORIENTED_EDGE('',*,*,#215651,.T.); #297260=ORIENTED_EDGE('',*,*,#215650,.T.); #297261=ORIENTED_EDGE('',*,*,#215652,.F.); #297262=ORIENTED_EDGE('',*,*,#215653,.F.); #297263=ORIENTED_EDGE('',*,*,#215654,.T.); #297264=ORIENTED_EDGE('',*,*,#215653,.T.); #297265=ORIENTED_EDGE('',*,*,#215655,.F.); #297266=ORIENTED_EDGE('',*,*,#215656,.F.); #297267=ORIENTED_EDGE('',*,*,#215657,.T.); #297268=ORIENTED_EDGE('',*,*,#215656,.T.); #297269=ORIENTED_EDGE('',*,*,#215658,.F.); #297270=ORIENTED_EDGE('',*,*,#215659,.F.); #297271=ORIENTED_EDGE('',*,*,#215660,.T.); #297272=ORIENTED_EDGE('',*,*,#215659,.T.); #297273=ORIENTED_EDGE('',*,*,#215661,.F.); #297274=ORIENTED_EDGE('',*,*,#215662,.F.); #297275=ORIENTED_EDGE('',*,*,#215663,.T.); #297276=ORIENTED_EDGE('',*,*,#215662,.T.); #297277=ORIENTED_EDGE('',*,*,#215664,.F.); #297278=ORIENTED_EDGE('',*,*,#215665,.F.); #297279=ORIENTED_EDGE('',*,*,#215666,.T.); #297280=ORIENTED_EDGE('',*,*,#215665,.T.); #297281=ORIENTED_EDGE('',*,*,#215667,.F.); #297282=ORIENTED_EDGE('',*,*,#215668,.F.); #297283=ORIENTED_EDGE('',*,*,#215669,.T.); #297284=ORIENTED_EDGE('',*,*,#215668,.T.); #297285=ORIENTED_EDGE('',*,*,#215670,.F.); #297286=ORIENTED_EDGE('',*,*,#215671,.F.); #297287=ORIENTED_EDGE('',*,*,#215672,.T.); #297288=ORIENTED_EDGE('',*,*,#215671,.T.); #297289=ORIENTED_EDGE('',*,*,#215673,.F.); #297290=ORIENTED_EDGE('',*,*,#215573,.F.); #297291=ORIENTED_EDGE('',*,*,#215673,.T.); #297292=ORIENTED_EDGE('',*,*,#215670,.T.); #297293=ORIENTED_EDGE('',*,*,#215667,.T.); #297294=ORIENTED_EDGE('',*,*,#215664,.T.); #297295=ORIENTED_EDGE('',*,*,#215661,.T.); #297296=ORIENTED_EDGE('',*,*,#215658,.T.); #297297=ORIENTED_EDGE('',*,*,#215655,.T.); #297298=ORIENTED_EDGE('',*,*,#215652,.T.); #297299=ORIENTED_EDGE('',*,*,#215649,.T.); #297300=ORIENTED_EDGE('',*,*,#215646,.T.); #297301=ORIENTED_EDGE('',*,*,#215643,.T.); #297302=ORIENTED_EDGE('',*,*,#215640,.T.); #297303=ORIENTED_EDGE('',*,*,#215637,.T.); #297304=ORIENTED_EDGE('',*,*,#215634,.T.); #297305=ORIENTED_EDGE('',*,*,#215631,.T.); #297306=ORIENTED_EDGE('',*,*,#215628,.T.); #297307=ORIENTED_EDGE('',*,*,#215625,.T.); #297308=ORIENTED_EDGE('',*,*,#215622,.T.); #297309=ORIENTED_EDGE('',*,*,#215619,.T.); #297310=ORIENTED_EDGE('',*,*,#215616,.T.); #297311=ORIENTED_EDGE('',*,*,#215613,.T.); #297312=ORIENTED_EDGE('',*,*,#215610,.T.); #297313=ORIENTED_EDGE('',*,*,#215607,.T.); #297314=ORIENTED_EDGE('',*,*,#215604,.T.); #297315=ORIENTED_EDGE('',*,*,#215601,.T.); #297316=ORIENTED_EDGE('',*,*,#215598,.T.); #297317=ORIENTED_EDGE('',*,*,#215595,.T.); #297318=ORIENTED_EDGE('',*,*,#215592,.T.); #297319=ORIENTED_EDGE('',*,*,#215589,.T.); #297320=ORIENTED_EDGE('',*,*,#215586,.T.); #297321=ORIENTED_EDGE('',*,*,#215583,.T.); #297322=ORIENTED_EDGE('',*,*,#215580,.T.); #297323=ORIENTED_EDGE('',*,*,#215577,.T.); #297324=ORIENTED_EDGE('',*,*,#215574,.T.); #297325=ORIENTED_EDGE('',*,*,#215672,.F.); #297326=ORIENTED_EDGE('',*,*,#215572,.F.); #297327=ORIENTED_EDGE('',*,*,#215576,.F.); #297328=ORIENTED_EDGE('',*,*,#215579,.F.); #297329=ORIENTED_EDGE('',*,*,#215582,.F.); #297330=ORIENTED_EDGE('',*,*,#215585,.F.); #297331=ORIENTED_EDGE('',*,*,#215588,.F.); #297332=ORIENTED_EDGE('',*,*,#215591,.F.); #297333=ORIENTED_EDGE('',*,*,#215594,.F.); #297334=ORIENTED_EDGE('',*,*,#215597,.F.); #297335=ORIENTED_EDGE('',*,*,#215600,.F.); #297336=ORIENTED_EDGE('',*,*,#215603,.F.); #297337=ORIENTED_EDGE('',*,*,#215606,.F.); #297338=ORIENTED_EDGE('',*,*,#215609,.F.); #297339=ORIENTED_EDGE('',*,*,#215612,.F.); #297340=ORIENTED_EDGE('',*,*,#215615,.F.); #297341=ORIENTED_EDGE('',*,*,#215618,.F.); #297342=ORIENTED_EDGE('',*,*,#215621,.F.); #297343=ORIENTED_EDGE('',*,*,#215624,.F.); #297344=ORIENTED_EDGE('',*,*,#215627,.F.); #297345=ORIENTED_EDGE('',*,*,#215630,.F.); #297346=ORIENTED_EDGE('',*,*,#215633,.F.); #297347=ORIENTED_EDGE('',*,*,#215636,.F.); #297348=ORIENTED_EDGE('',*,*,#215639,.F.); #297349=ORIENTED_EDGE('',*,*,#215642,.F.); #297350=ORIENTED_EDGE('',*,*,#215645,.F.); #297351=ORIENTED_EDGE('',*,*,#215648,.F.); #297352=ORIENTED_EDGE('',*,*,#215651,.F.); #297353=ORIENTED_EDGE('',*,*,#215654,.F.); #297354=ORIENTED_EDGE('',*,*,#215657,.F.); #297355=ORIENTED_EDGE('',*,*,#215660,.F.); #297356=ORIENTED_EDGE('',*,*,#215663,.F.); #297357=ORIENTED_EDGE('',*,*,#215666,.F.); #297358=ORIENTED_EDGE('',*,*,#215669,.F.); #297359=ORIENTED_EDGE('',*,*,#215674,.T.); #297360=ORIENTED_EDGE('',*,*,#215675,.T.); #297361=ORIENTED_EDGE('',*,*,#215676,.F.); #297362=ORIENTED_EDGE('',*,*,#215677,.F.); #297363=ORIENTED_EDGE('',*,*,#215678,.T.); #297364=ORIENTED_EDGE('',*,*,#215677,.T.); #297365=ORIENTED_EDGE('',*,*,#215679,.F.); #297366=ORIENTED_EDGE('',*,*,#215680,.F.); #297367=ORIENTED_EDGE('',*,*,#215681,.T.); #297368=ORIENTED_EDGE('',*,*,#215680,.T.); #297369=ORIENTED_EDGE('',*,*,#215682,.F.); #297370=ORIENTED_EDGE('',*,*,#215683,.F.); #297371=ORIENTED_EDGE('',*,*,#215684,.T.); #297372=ORIENTED_EDGE('',*,*,#215683,.T.); #297373=ORIENTED_EDGE('',*,*,#215685,.F.); #297374=ORIENTED_EDGE('',*,*,#215686,.F.); #297375=ORIENTED_EDGE('',*,*,#215687,.T.); #297376=ORIENTED_EDGE('',*,*,#215686,.T.); #297377=ORIENTED_EDGE('',*,*,#215688,.F.); #297378=ORIENTED_EDGE('',*,*,#215689,.F.); #297379=ORIENTED_EDGE('',*,*,#215690,.T.); #297380=ORIENTED_EDGE('',*,*,#215689,.T.); #297381=ORIENTED_EDGE('',*,*,#215691,.F.); #297382=ORIENTED_EDGE('',*,*,#215692,.F.); #297383=ORIENTED_EDGE('',*,*,#215693,.T.); #297384=ORIENTED_EDGE('',*,*,#215692,.T.); #297385=ORIENTED_EDGE('',*,*,#215694,.F.); #297386=ORIENTED_EDGE('',*,*,#215695,.F.); #297387=ORIENTED_EDGE('',*,*,#215696,.T.); #297388=ORIENTED_EDGE('',*,*,#215695,.T.); #297389=ORIENTED_EDGE('',*,*,#215697,.F.); #297390=ORIENTED_EDGE('',*,*,#215698,.F.); #297391=ORIENTED_EDGE('',*,*,#215699,.T.); #297392=ORIENTED_EDGE('',*,*,#215698,.T.); #297393=ORIENTED_EDGE('',*,*,#215700,.F.); #297394=ORIENTED_EDGE('',*,*,#215701,.F.); #297395=ORIENTED_EDGE('',*,*,#215702,.T.); #297396=ORIENTED_EDGE('',*,*,#215701,.T.); #297397=ORIENTED_EDGE('',*,*,#215703,.F.); #297398=ORIENTED_EDGE('',*,*,#215704,.F.); #297399=ORIENTED_EDGE('',*,*,#215705,.T.); #297400=ORIENTED_EDGE('',*,*,#215704,.T.); #297401=ORIENTED_EDGE('',*,*,#215706,.F.); #297402=ORIENTED_EDGE('',*,*,#215707,.F.); #297403=ORIENTED_EDGE('',*,*,#215708,.T.); #297404=ORIENTED_EDGE('',*,*,#215707,.T.); #297405=ORIENTED_EDGE('',*,*,#215709,.F.); #297406=ORIENTED_EDGE('',*,*,#215710,.F.); #297407=ORIENTED_EDGE('',*,*,#215711,.T.); #297408=ORIENTED_EDGE('',*,*,#215710,.T.); #297409=ORIENTED_EDGE('',*,*,#215712,.F.); #297410=ORIENTED_EDGE('',*,*,#215713,.F.); #297411=ORIENTED_EDGE('',*,*,#215714,.T.); #297412=ORIENTED_EDGE('',*,*,#215713,.T.); #297413=ORIENTED_EDGE('',*,*,#215715,.F.); #297414=ORIENTED_EDGE('',*,*,#215716,.F.); #297415=ORIENTED_EDGE('',*,*,#215717,.T.); #297416=ORIENTED_EDGE('',*,*,#215716,.T.); #297417=ORIENTED_EDGE('',*,*,#215718,.F.); #297418=ORIENTED_EDGE('',*,*,#215719,.F.); #297419=ORIENTED_EDGE('',*,*,#215720,.T.); #297420=ORIENTED_EDGE('',*,*,#215719,.T.); #297421=ORIENTED_EDGE('',*,*,#215721,.F.); #297422=ORIENTED_EDGE('',*,*,#215722,.F.); #297423=ORIENTED_EDGE('',*,*,#215723,.T.); #297424=ORIENTED_EDGE('',*,*,#215722,.T.); #297425=ORIENTED_EDGE('',*,*,#215724,.F.); #297426=ORIENTED_EDGE('',*,*,#215725,.F.); #297427=ORIENTED_EDGE('',*,*,#215726,.T.); #297428=ORIENTED_EDGE('',*,*,#215725,.T.); #297429=ORIENTED_EDGE('',*,*,#215727,.F.); #297430=ORIENTED_EDGE('',*,*,#215728,.F.); #297431=ORIENTED_EDGE('',*,*,#215729,.T.); #297432=ORIENTED_EDGE('',*,*,#215728,.T.); #297433=ORIENTED_EDGE('',*,*,#215730,.F.); #297434=ORIENTED_EDGE('',*,*,#215731,.F.); #297435=ORIENTED_EDGE('',*,*,#215732,.T.); #297436=ORIENTED_EDGE('',*,*,#215731,.T.); #297437=ORIENTED_EDGE('',*,*,#215733,.F.); #297438=ORIENTED_EDGE('',*,*,#215734,.F.); #297439=ORIENTED_EDGE('',*,*,#215735,.T.); #297440=ORIENTED_EDGE('',*,*,#215734,.T.); #297441=ORIENTED_EDGE('',*,*,#215736,.F.); #297442=ORIENTED_EDGE('',*,*,#215737,.F.); #297443=ORIENTED_EDGE('',*,*,#215738,.T.); #297444=ORIENTED_EDGE('',*,*,#215737,.T.); #297445=ORIENTED_EDGE('',*,*,#215739,.F.); #297446=ORIENTED_EDGE('',*,*,#215675,.F.); #297447=ORIENTED_EDGE('',*,*,#215739,.T.); #297448=ORIENTED_EDGE('',*,*,#215736,.T.); #297449=ORIENTED_EDGE('',*,*,#215733,.T.); #297450=ORIENTED_EDGE('',*,*,#215730,.T.); #297451=ORIENTED_EDGE('',*,*,#215727,.T.); #297452=ORIENTED_EDGE('',*,*,#215724,.T.); #297453=ORIENTED_EDGE('',*,*,#215721,.T.); #297454=ORIENTED_EDGE('',*,*,#215718,.T.); #297455=ORIENTED_EDGE('',*,*,#215715,.T.); #297456=ORIENTED_EDGE('',*,*,#215712,.T.); #297457=ORIENTED_EDGE('',*,*,#215709,.T.); #297458=ORIENTED_EDGE('',*,*,#215706,.T.); #297459=ORIENTED_EDGE('',*,*,#215703,.T.); #297460=ORIENTED_EDGE('',*,*,#215700,.T.); #297461=ORIENTED_EDGE('',*,*,#215697,.T.); #297462=ORIENTED_EDGE('',*,*,#215694,.T.); #297463=ORIENTED_EDGE('',*,*,#215691,.T.); #297464=ORIENTED_EDGE('',*,*,#215688,.T.); #297465=ORIENTED_EDGE('',*,*,#215685,.T.); #297466=ORIENTED_EDGE('',*,*,#215682,.T.); #297467=ORIENTED_EDGE('',*,*,#215679,.T.); #297468=ORIENTED_EDGE('',*,*,#215676,.T.); #297469=ORIENTED_EDGE('',*,*,#215738,.F.); #297470=ORIENTED_EDGE('',*,*,#215674,.F.); #297471=ORIENTED_EDGE('',*,*,#215678,.F.); #297472=ORIENTED_EDGE('',*,*,#215681,.F.); #297473=ORIENTED_EDGE('',*,*,#215684,.F.); #297474=ORIENTED_EDGE('',*,*,#215687,.F.); #297475=ORIENTED_EDGE('',*,*,#215690,.F.); #297476=ORIENTED_EDGE('',*,*,#215693,.F.); #297477=ORIENTED_EDGE('',*,*,#215696,.F.); #297478=ORIENTED_EDGE('',*,*,#215699,.F.); #297479=ORIENTED_EDGE('',*,*,#215702,.F.); #297480=ORIENTED_EDGE('',*,*,#215705,.F.); #297481=ORIENTED_EDGE('',*,*,#215708,.F.); #297482=ORIENTED_EDGE('',*,*,#215711,.F.); #297483=ORIENTED_EDGE('',*,*,#215714,.F.); #297484=ORIENTED_EDGE('',*,*,#215717,.F.); #297485=ORIENTED_EDGE('',*,*,#215720,.F.); #297486=ORIENTED_EDGE('',*,*,#215723,.F.); #297487=ORIENTED_EDGE('',*,*,#215726,.F.); #297488=ORIENTED_EDGE('',*,*,#215729,.F.); #297489=ORIENTED_EDGE('',*,*,#215732,.F.); #297490=ORIENTED_EDGE('',*,*,#215735,.F.); #297491=ORIENTED_EDGE('',*,*,#215740,.T.); #297492=ORIENTED_EDGE('',*,*,#215741,.T.); #297493=ORIENTED_EDGE('',*,*,#215742,.F.); #297494=ORIENTED_EDGE('',*,*,#215743,.F.); #297495=ORIENTED_EDGE('',*,*,#215744,.T.); #297496=ORIENTED_EDGE('',*,*,#215743,.T.); #297497=ORIENTED_EDGE('',*,*,#215745,.F.); #297498=ORIENTED_EDGE('',*,*,#215746,.F.); #297499=ORIENTED_EDGE('',*,*,#215747,.T.); #297500=ORIENTED_EDGE('',*,*,#215746,.T.); #297501=ORIENTED_EDGE('',*,*,#215748,.F.); #297502=ORIENTED_EDGE('',*,*,#215749,.F.); #297503=ORIENTED_EDGE('',*,*,#215750,.T.); #297504=ORIENTED_EDGE('',*,*,#215749,.T.); #297505=ORIENTED_EDGE('',*,*,#215751,.F.); #297506=ORIENTED_EDGE('',*,*,#215752,.F.); #297507=ORIENTED_EDGE('',*,*,#215753,.T.); #297508=ORIENTED_EDGE('',*,*,#215752,.T.); #297509=ORIENTED_EDGE('',*,*,#215754,.F.); #297510=ORIENTED_EDGE('',*,*,#215755,.F.); #297511=ORIENTED_EDGE('',*,*,#215756,.T.); #297512=ORIENTED_EDGE('',*,*,#215755,.T.); #297513=ORIENTED_EDGE('',*,*,#215757,.F.); #297514=ORIENTED_EDGE('',*,*,#215758,.F.); #297515=ORIENTED_EDGE('',*,*,#215759,.T.); #297516=ORIENTED_EDGE('',*,*,#215758,.T.); #297517=ORIENTED_EDGE('',*,*,#215760,.F.); #297518=ORIENTED_EDGE('',*,*,#215761,.F.); #297519=ORIENTED_EDGE('',*,*,#215762,.T.); #297520=ORIENTED_EDGE('',*,*,#215761,.T.); #297521=ORIENTED_EDGE('',*,*,#215763,.F.); #297522=ORIENTED_EDGE('',*,*,#215764,.F.); #297523=ORIENTED_EDGE('',*,*,#215765,.T.); #297524=ORIENTED_EDGE('',*,*,#215764,.T.); #297525=ORIENTED_EDGE('',*,*,#215766,.F.); #297526=ORIENTED_EDGE('',*,*,#215767,.F.); #297527=ORIENTED_EDGE('',*,*,#215768,.T.); #297528=ORIENTED_EDGE('',*,*,#215767,.T.); #297529=ORIENTED_EDGE('',*,*,#215769,.F.); #297530=ORIENTED_EDGE('',*,*,#215770,.F.); #297531=ORIENTED_EDGE('',*,*,#215771,.T.); #297532=ORIENTED_EDGE('',*,*,#215770,.T.); #297533=ORIENTED_EDGE('',*,*,#215772,.F.); #297534=ORIENTED_EDGE('',*,*,#215773,.F.); #297535=ORIENTED_EDGE('',*,*,#215774,.T.); #297536=ORIENTED_EDGE('',*,*,#215773,.T.); #297537=ORIENTED_EDGE('',*,*,#215775,.F.); #297538=ORIENTED_EDGE('',*,*,#215776,.F.); #297539=ORIENTED_EDGE('',*,*,#215777,.T.); #297540=ORIENTED_EDGE('',*,*,#215776,.T.); #297541=ORIENTED_EDGE('',*,*,#215778,.F.); #297542=ORIENTED_EDGE('',*,*,#215779,.F.); #297543=ORIENTED_EDGE('',*,*,#215780,.T.); #297544=ORIENTED_EDGE('',*,*,#215779,.T.); #297545=ORIENTED_EDGE('',*,*,#215781,.F.); #297546=ORIENTED_EDGE('',*,*,#215782,.F.); #297547=ORIENTED_EDGE('',*,*,#215783,.T.); #297548=ORIENTED_EDGE('',*,*,#215782,.T.); #297549=ORIENTED_EDGE('',*,*,#215784,.F.); #297550=ORIENTED_EDGE('',*,*,#215785,.F.); #297551=ORIENTED_EDGE('',*,*,#215786,.T.); #297552=ORIENTED_EDGE('',*,*,#215785,.T.); #297553=ORIENTED_EDGE('',*,*,#215787,.F.); #297554=ORIENTED_EDGE('',*,*,#215788,.F.); #297555=ORIENTED_EDGE('',*,*,#215789,.T.); #297556=ORIENTED_EDGE('',*,*,#215788,.T.); #297557=ORIENTED_EDGE('',*,*,#215790,.F.); #297558=ORIENTED_EDGE('',*,*,#215791,.F.); #297559=ORIENTED_EDGE('',*,*,#215792,.T.); #297560=ORIENTED_EDGE('',*,*,#215791,.T.); #297561=ORIENTED_EDGE('',*,*,#215793,.F.); #297562=ORIENTED_EDGE('',*,*,#215794,.F.); #297563=ORIENTED_EDGE('',*,*,#215795,.T.); #297564=ORIENTED_EDGE('',*,*,#215794,.T.); #297565=ORIENTED_EDGE('',*,*,#215796,.F.); #297566=ORIENTED_EDGE('',*,*,#215797,.F.); #297567=ORIENTED_EDGE('',*,*,#215798,.T.); #297568=ORIENTED_EDGE('',*,*,#215797,.T.); #297569=ORIENTED_EDGE('',*,*,#215799,.F.); #297570=ORIENTED_EDGE('',*,*,#215741,.F.); #297571=ORIENTED_EDGE('',*,*,#215799,.T.); #297572=ORIENTED_EDGE('',*,*,#215796,.T.); #297573=ORIENTED_EDGE('',*,*,#215793,.T.); #297574=ORIENTED_EDGE('',*,*,#215790,.T.); #297575=ORIENTED_EDGE('',*,*,#215787,.T.); #297576=ORIENTED_EDGE('',*,*,#215784,.T.); #297577=ORIENTED_EDGE('',*,*,#215781,.T.); #297578=ORIENTED_EDGE('',*,*,#215778,.T.); #297579=ORIENTED_EDGE('',*,*,#215775,.T.); #297580=ORIENTED_EDGE('',*,*,#215772,.T.); #297581=ORIENTED_EDGE('',*,*,#215769,.T.); #297582=ORIENTED_EDGE('',*,*,#215766,.T.); #297583=ORIENTED_EDGE('',*,*,#215763,.T.); #297584=ORIENTED_EDGE('',*,*,#215760,.T.); #297585=ORIENTED_EDGE('',*,*,#215757,.T.); #297586=ORIENTED_EDGE('',*,*,#215754,.T.); #297587=ORIENTED_EDGE('',*,*,#215751,.T.); #297588=ORIENTED_EDGE('',*,*,#215748,.T.); #297589=ORIENTED_EDGE('',*,*,#215745,.T.); #297590=ORIENTED_EDGE('',*,*,#215742,.T.); #297591=ORIENTED_EDGE('',*,*,#215798,.F.); #297592=ORIENTED_EDGE('',*,*,#215740,.F.); #297593=ORIENTED_EDGE('',*,*,#215744,.F.); #297594=ORIENTED_EDGE('',*,*,#215747,.F.); #297595=ORIENTED_EDGE('',*,*,#215750,.F.); #297596=ORIENTED_EDGE('',*,*,#215753,.F.); #297597=ORIENTED_EDGE('',*,*,#215756,.F.); #297598=ORIENTED_EDGE('',*,*,#215759,.F.); #297599=ORIENTED_EDGE('',*,*,#215762,.F.); #297600=ORIENTED_EDGE('',*,*,#215765,.F.); #297601=ORIENTED_EDGE('',*,*,#215768,.F.); #297602=ORIENTED_EDGE('',*,*,#215771,.F.); #297603=ORIENTED_EDGE('',*,*,#215774,.F.); #297604=ORIENTED_EDGE('',*,*,#215777,.F.); #297605=ORIENTED_EDGE('',*,*,#215780,.F.); #297606=ORIENTED_EDGE('',*,*,#215783,.F.); #297607=ORIENTED_EDGE('',*,*,#215786,.F.); #297608=ORIENTED_EDGE('',*,*,#215789,.F.); #297609=ORIENTED_EDGE('',*,*,#215792,.F.); #297610=ORIENTED_EDGE('',*,*,#215795,.F.); #297611=ORIENTED_EDGE('',*,*,#215800,.T.); #297612=ORIENTED_EDGE('',*,*,#215801,.T.); #297613=ORIENTED_EDGE('',*,*,#215802,.F.); #297614=ORIENTED_EDGE('',*,*,#215803,.F.); #297615=ORIENTED_EDGE('',*,*,#215804,.T.); #297616=ORIENTED_EDGE('',*,*,#215803,.T.); #297617=ORIENTED_EDGE('',*,*,#215805,.F.); #297618=ORIENTED_EDGE('',*,*,#215806,.F.); #297619=ORIENTED_EDGE('',*,*,#215807,.T.); #297620=ORIENTED_EDGE('',*,*,#215806,.T.); #297621=ORIENTED_EDGE('',*,*,#215808,.F.); #297622=ORIENTED_EDGE('',*,*,#215809,.F.); #297623=ORIENTED_EDGE('',*,*,#215810,.T.); #297624=ORIENTED_EDGE('',*,*,#215809,.T.); #297625=ORIENTED_EDGE('',*,*,#215811,.F.); #297626=ORIENTED_EDGE('',*,*,#215812,.F.); #297627=ORIENTED_EDGE('',*,*,#215813,.T.); #297628=ORIENTED_EDGE('',*,*,#215812,.T.); #297629=ORIENTED_EDGE('',*,*,#215814,.F.); #297630=ORIENTED_EDGE('',*,*,#215815,.F.); #297631=ORIENTED_EDGE('',*,*,#215816,.T.); #297632=ORIENTED_EDGE('',*,*,#215815,.T.); #297633=ORIENTED_EDGE('',*,*,#215817,.F.); #297634=ORIENTED_EDGE('',*,*,#215818,.F.); #297635=ORIENTED_EDGE('',*,*,#215819,.T.); #297636=ORIENTED_EDGE('',*,*,#215818,.T.); #297637=ORIENTED_EDGE('',*,*,#215820,.F.); #297638=ORIENTED_EDGE('',*,*,#215821,.F.); #297639=ORIENTED_EDGE('',*,*,#215822,.T.); #297640=ORIENTED_EDGE('',*,*,#215821,.T.); #297641=ORIENTED_EDGE('',*,*,#215823,.F.); #297642=ORIENTED_EDGE('',*,*,#215824,.F.); #297643=ORIENTED_EDGE('',*,*,#215825,.T.); #297644=ORIENTED_EDGE('',*,*,#215824,.T.); #297645=ORIENTED_EDGE('',*,*,#215826,.F.); #297646=ORIENTED_EDGE('',*,*,#215827,.F.); #297647=ORIENTED_EDGE('',*,*,#215828,.T.); #297648=ORIENTED_EDGE('',*,*,#215827,.T.); #297649=ORIENTED_EDGE('',*,*,#215829,.F.); #297650=ORIENTED_EDGE('',*,*,#215830,.F.); #297651=ORIENTED_EDGE('',*,*,#215831,.T.); #297652=ORIENTED_EDGE('',*,*,#215830,.T.); #297653=ORIENTED_EDGE('',*,*,#215832,.F.); #297654=ORIENTED_EDGE('',*,*,#215833,.F.); #297655=ORIENTED_EDGE('',*,*,#215834,.T.); #297656=ORIENTED_EDGE('',*,*,#215833,.T.); #297657=ORIENTED_EDGE('',*,*,#215835,.F.); #297658=ORIENTED_EDGE('',*,*,#215836,.F.); #297659=ORIENTED_EDGE('',*,*,#215837,.T.); #297660=ORIENTED_EDGE('',*,*,#215836,.T.); #297661=ORIENTED_EDGE('',*,*,#215838,.F.); #297662=ORIENTED_EDGE('',*,*,#215839,.F.); #297663=ORIENTED_EDGE('',*,*,#215840,.T.); #297664=ORIENTED_EDGE('',*,*,#215839,.T.); #297665=ORIENTED_EDGE('',*,*,#215841,.F.); #297666=ORIENTED_EDGE('',*,*,#215842,.F.); #297667=ORIENTED_EDGE('',*,*,#215843,.T.); #297668=ORIENTED_EDGE('',*,*,#215842,.T.); #297669=ORIENTED_EDGE('',*,*,#215844,.F.); #297670=ORIENTED_EDGE('',*,*,#215845,.F.); #297671=ORIENTED_EDGE('',*,*,#215846,.T.); #297672=ORIENTED_EDGE('',*,*,#215845,.T.); #297673=ORIENTED_EDGE('',*,*,#215847,.F.); #297674=ORIENTED_EDGE('',*,*,#215848,.F.); #297675=ORIENTED_EDGE('',*,*,#215849,.T.); #297676=ORIENTED_EDGE('',*,*,#215848,.T.); #297677=ORIENTED_EDGE('',*,*,#215850,.F.); #297678=ORIENTED_EDGE('',*,*,#215851,.F.); #297679=ORIENTED_EDGE('',*,*,#215852,.T.); #297680=ORIENTED_EDGE('',*,*,#215851,.T.); #297681=ORIENTED_EDGE('',*,*,#215853,.F.); #297682=ORIENTED_EDGE('',*,*,#215854,.F.); #297683=ORIENTED_EDGE('',*,*,#215855,.T.); #297684=ORIENTED_EDGE('',*,*,#215854,.T.); #297685=ORIENTED_EDGE('',*,*,#215856,.F.); #297686=ORIENTED_EDGE('',*,*,#215857,.F.); #297687=ORIENTED_EDGE('',*,*,#215858,.T.); #297688=ORIENTED_EDGE('',*,*,#215857,.T.); #297689=ORIENTED_EDGE('',*,*,#215859,.F.); #297690=ORIENTED_EDGE('',*,*,#215860,.F.); #297691=ORIENTED_EDGE('',*,*,#215861,.T.); #297692=ORIENTED_EDGE('',*,*,#215860,.T.); #297693=ORIENTED_EDGE('',*,*,#215862,.F.); #297694=ORIENTED_EDGE('',*,*,#215863,.F.); #297695=ORIENTED_EDGE('',*,*,#215864,.T.); #297696=ORIENTED_EDGE('',*,*,#215863,.T.); #297697=ORIENTED_EDGE('',*,*,#215865,.F.); #297698=ORIENTED_EDGE('',*,*,#215866,.F.); #297699=ORIENTED_EDGE('',*,*,#215867,.T.); #297700=ORIENTED_EDGE('',*,*,#215866,.T.); #297701=ORIENTED_EDGE('',*,*,#215868,.F.); #297702=ORIENTED_EDGE('',*,*,#215869,.F.); #297703=ORIENTED_EDGE('',*,*,#215870,.T.); #297704=ORIENTED_EDGE('',*,*,#215869,.T.); #297705=ORIENTED_EDGE('',*,*,#215871,.F.); #297706=ORIENTED_EDGE('',*,*,#215872,.F.); #297707=ORIENTED_EDGE('',*,*,#215873,.T.); #297708=ORIENTED_EDGE('',*,*,#215872,.T.); #297709=ORIENTED_EDGE('',*,*,#215874,.F.); #297710=ORIENTED_EDGE('',*,*,#215875,.F.); #297711=ORIENTED_EDGE('',*,*,#215876,.T.); #297712=ORIENTED_EDGE('',*,*,#215875,.T.); #297713=ORIENTED_EDGE('',*,*,#215877,.F.); #297714=ORIENTED_EDGE('',*,*,#215878,.F.); #297715=ORIENTED_EDGE('',*,*,#215879,.T.); #297716=ORIENTED_EDGE('',*,*,#215878,.T.); #297717=ORIENTED_EDGE('',*,*,#215880,.F.); #297718=ORIENTED_EDGE('',*,*,#215881,.F.); #297719=ORIENTED_EDGE('',*,*,#215882,.T.); #297720=ORIENTED_EDGE('',*,*,#215881,.T.); #297721=ORIENTED_EDGE('',*,*,#215883,.F.); #297722=ORIENTED_EDGE('',*,*,#215884,.F.); #297723=ORIENTED_EDGE('',*,*,#215885,.T.); #297724=ORIENTED_EDGE('',*,*,#215884,.T.); #297725=ORIENTED_EDGE('',*,*,#215886,.F.); #297726=ORIENTED_EDGE('',*,*,#215887,.F.); #297727=ORIENTED_EDGE('',*,*,#215888,.T.); #297728=ORIENTED_EDGE('',*,*,#215887,.T.); #297729=ORIENTED_EDGE('',*,*,#215889,.F.); #297730=ORIENTED_EDGE('',*,*,#215890,.F.); #297731=ORIENTED_EDGE('',*,*,#215891,.T.); #297732=ORIENTED_EDGE('',*,*,#215890,.T.); #297733=ORIENTED_EDGE('',*,*,#215892,.F.); #297734=ORIENTED_EDGE('',*,*,#215893,.F.); #297735=ORIENTED_EDGE('',*,*,#215894,.T.); #297736=ORIENTED_EDGE('',*,*,#215893,.T.); #297737=ORIENTED_EDGE('',*,*,#215895,.F.); #297738=ORIENTED_EDGE('',*,*,#215896,.F.); #297739=ORIENTED_EDGE('',*,*,#215897,.T.); #297740=ORIENTED_EDGE('',*,*,#215896,.T.); #297741=ORIENTED_EDGE('',*,*,#215898,.F.); #297742=ORIENTED_EDGE('',*,*,#215899,.F.); #297743=ORIENTED_EDGE('',*,*,#215900,.T.); #297744=ORIENTED_EDGE('',*,*,#215899,.T.); #297745=ORIENTED_EDGE('',*,*,#215901,.F.); #297746=ORIENTED_EDGE('',*,*,#215902,.F.); #297747=ORIENTED_EDGE('',*,*,#215903,.T.); #297748=ORIENTED_EDGE('',*,*,#215902,.T.); #297749=ORIENTED_EDGE('',*,*,#215904,.F.); #297750=ORIENTED_EDGE('',*,*,#215905,.F.); #297751=ORIENTED_EDGE('',*,*,#215906,.T.); #297752=ORIENTED_EDGE('',*,*,#215905,.T.); #297753=ORIENTED_EDGE('',*,*,#215907,.F.); #297754=ORIENTED_EDGE('',*,*,#215908,.F.); #297755=ORIENTED_EDGE('',*,*,#215909,.T.); #297756=ORIENTED_EDGE('',*,*,#215908,.T.); #297757=ORIENTED_EDGE('',*,*,#215910,.F.); #297758=ORIENTED_EDGE('',*,*,#215911,.F.); #297759=ORIENTED_EDGE('',*,*,#215912,.T.); #297760=ORIENTED_EDGE('',*,*,#215911,.T.); #297761=ORIENTED_EDGE('',*,*,#215913,.F.); #297762=ORIENTED_EDGE('',*,*,#215914,.F.); #297763=ORIENTED_EDGE('',*,*,#215915,.T.); #297764=ORIENTED_EDGE('',*,*,#215914,.T.); #297765=ORIENTED_EDGE('',*,*,#215916,.F.); #297766=ORIENTED_EDGE('',*,*,#215917,.F.); #297767=ORIENTED_EDGE('',*,*,#215918,.T.); #297768=ORIENTED_EDGE('',*,*,#215917,.T.); #297769=ORIENTED_EDGE('',*,*,#215919,.F.); #297770=ORIENTED_EDGE('',*,*,#215920,.F.); #297771=ORIENTED_EDGE('',*,*,#215921,.T.); #297772=ORIENTED_EDGE('',*,*,#215920,.T.); #297773=ORIENTED_EDGE('',*,*,#215922,.F.); #297774=ORIENTED_EDGE('',*,*,#215923,.F.); #297775=ORIENTED_EDGE('',*,*,#215924,.T.); #297776=ORIENTED_EDGE('',*,*,#215923,.T.); #297777=ORIENTED_EDGE('',*,*,#215925,.F.); #297778=ORIENTED_EDGE('',*,*,#215926,.F.); #297779=ORIENTED_EDGE('',*,*,#215927,.T.); #297780=ORIENTED_EDGE('',*,*,#215926,.T.); #297781=ORIENTED_EDGE('',*,*,#215928,.F.); #297782=ORIENTED_EDGE('',*,*,#215929,.F.); #297783=ORIENTED_EDGE('',*,*,#215930,.T.); #297784=ORIENTED_EDGE('',*,*,#215929,.T.); #297785=ORIENTED_EDGE('',*,*,#215931,.F.); #297786=ORIENTED_EDGE('',*,*,#215932,.F.); #297787=ORIENTED_EDGE('',*,*,#215933,.T.); #297788=ORIENTED_EDGE('',*,*,#215932,.T.); #297789=ORIENTED_EDGE('',*,*,#215934,.F.); #297790=ORIENTED_EDGE('',*,*,#215935,.F.); #297791=ORIENTED_EDGE('',*,*,#215936,.T.); #297792=ORIENTED_EDGE('',*,*,#215935,.T.); #297793=ORIENTED_EDGE('',*,*,#215937,.F.); #297794=ORIENTED_EDGE('',*,*,#215938,.F.); #297795=ORIENTED_EDGE('',*,*,#215939,.T.); #297796=ORIENTED_EDGE('',*,*,#215938,.T.); #297797=ORIENTED_EDGE('',*,*,#215940,.F.); #297798=ORIENTED_EDGE('',*,*,#215941,.F.); #297799=ORIENTED_EDGE('',*,*,#215942,.T.); #297800=ORIENTED_EDGE('',*,*,#215941,.T.); #297801=ORIENTED_EDGE('',*,*,#215943,.F.); #297802=ORIENTED_EDGE('',*,*,#215944,.F.); #297803=ORIENTED_EDGE('',*,*,#215945,.T.); #297804=ORIENTED_EDGE('',*,*,#215944,.T.); #297805=ORIENTED_EDGE('',*,*,#215946,.F.); #297806=ORIENTED_EDGE('',*,*,#215947,.F.); #297807=ORIENTED_EDGE('',*,*,#215948,.T.); #297808=ORIENTED_EDGE('',*,*,#215947,.T.); #297809=ORIENTED_EDGE('',*,*,#215949,.F.); #297810=ORIENTED_EDGE('',*,*,#215950,.F.); #297811=ORIENTED_EDGE('',*,*,#215951,.T.); #297812=ORIENTED_EDGE('',*,*,#215950,.T.); #297813=ORIENTED_EDGE('',*,*,#215952,.F.); #297814=ORIENTED_EDGE('',*,*,#215953,.F.); #297815=ORIENTED_EDGE('',*,*,#215954,.T.); #297816=ORIENTED_EDGE('',*,*,#215953,.T.); #297817=ORIENTED_EDGE('',*,*,#215955,.F.); #297818=ORIENTED_EDGE('',*,*,#215956,.F.); #297819=ORIENTED_EDGE('',*,*,#215957,.T.); #297820=ORIENTED_EDGE('',*,*,#215956,.T.); #297821=ORIENTED_EDGE('',*,*,#215958,.F.); #297822=ORIENTED_EDGE('',*,*,#215959,.F.); #297823=ORIENTED_EDGE('',*,*,#215960,.T.); #297824=ORIENTED_EDGE('',*,*,#215959,.T.); #297825=ORIENTED_EDGE('',*,*,#215961,.F.); #297826=ORIENTED_EDGE('',*,*,#215962,.F.); #297827=ORIENTED_EDGE('',*,*,#215963,.T.); #297828=ORIENTED_EDGE('',*,*,#215962,.T.); #297829=ORIENTED_EDGE('',*,*,#215964,.F.); #297830=ORIENTED_EDGE('',*,*,#215965,.F.); #297831=ORIENTED_EDGE('',*,*,#215966,.T.); #297832=ORIENTED_EDGE('',*,*,#215965,.T.); #297833=ORIENTED_EDGE('',*,*,#215967,.F.); #297834=ORIENTED_EDGE('',*,*,#215968,.F.); #297835=ORIENTED_EDGE('',*,*,#215969,.T.); #297836=ORIENTED_EDGE('',*,*,#215968,.T.); #297837=ORIENTED_EDGE('',*,*,#215970,.F.); #297838=ORIENTED_EDGE('',*,*,#215971,.F.); #297839=ORIENTED_EDGE('',*,*,#215972,.T.); #297840=ORIENTED_EDGE('',*,*,#215971,.T.); #297841=ORIENTED_EDGE('',*,*,#215973,.F.); #297842=ORIENTED_EDGE('',*,*,#215974,.F.); #297843=ORIENTED_EDGE('',*,*,#215975,.T.); #297844=ORIENTED_EDGE('',*,*,#215974,.T.); #297845=ORIENTED_EDGE('',*,*,#215976,.F.); #297846=ORIENTED_EDGE('',*,*,#215977,.F.); #297847=ORIENTED_EDGE('',*,*,#215978,.T.); #297848=ORIENTED_EDGE('',*,*,#215977,.T.); #297849=ORIENTED_EDGE('',*,*,#215979,.F.); #297850=ORIENTED_EDGE('',*,*,#215980,.F.); #297851=ORIENTED_EDGE('',*,*,#215981,.T.); #297852=ORIENTED_EDGE('',*,*,#215980,.T.); #297853=ORIENTED_EDGE('',*,*,#215982,.F.); #297854=ORIENTED_EDGE('',*,*,#215983,.F.); #297855=ORIENTED_EDGE('',*,*,#215984,.T.); #297856=ORIENTED_EDGE('',*,*,#215983,.T.); #297857=ORIENTED_EDGE('',*,*,#215985,.F.); #297858=ORIENTED_EDGE('',*,*,#215986,.F.); #297859=ORIENTED_EDGE('',*,*,#215987,.T.); #297860=ORIENTED_EDGE('',*,*,#215986,.T.); #297861=ORIENTED_EDGE('',*,*,#215988,.F.); #297862=ORIENTED_EDGE('',*,*,#215989,.F.); #297863=ORIENTED_EDGE('',*,*,#215990,.T.); #297864=ORIENTED_EDGE('',*,*,#215989,.T.); #297865=ORIENTED_EDGE('',*,*,#215991,.F.); #297866=ORIENTED_EDGE('',*,*,#215992,.F.); #297867=ORIENTED_EDGE('',*,*,#215993,.T.); #297868=ORIENTED_EDGE('',*,*,#215992,.T.); #297869=ORIENTED_EDGE('',*,*,#215994,.F.); #297870=ORIENTED_EDGE('',*,*,#215995,.F.); #297871=ORIENTED_EDGE('',*,*,#215996,.T.); #297872=ORIENTED_EDGE('',*,*,#215995,.T.); #297873=ORIENTED_EDGE('',*,*,#215997,.F.); #297874=ORIENTED_EDGE('',*,*,#215998,.F.); #297875=ORIENTED_EDGE('',*,*,#215999,.T.); #297876=ORIENTED_EDGE('',*,*,#215998,.T.); #297877=ORIENTED_EDGE('',*,*,#216000,.F.); #297878=ORIENTED_EDGE('',*,*,#216001,.F.); #297879=ORIENTED_EDGE('',*,*,#216002,.T.); #297880=ORIENTED_EDGE('',*,*,#216001,.T.); #297881=ORIENTED_EDGE('',*,*,#216003,.F.); #297882=ORIENTED_EDGE('',*,*,#216004,.F.); #297883=ORIENTED_EDGE('',*,*,#216005,.T.); #297884=ORIENTED_EDGE('',*,*,#216004,.T.); #297885=ORIENTED_EDGE('',*,*,#216006,.F.); #297886=ORIENTED_EDGE('',*,*,#216007,.F.); #297887=ORIENTED_EDGE('',*,*,#216008,.T.); #297888=ORIENTED_EDGE('',*,*,#216007,.T.); #297889=ORIENTED_EDGE('',*,*,#216009,.F.); #297890=ORIENTED_EDGE('',*,*,#216010,.F.); #297891=ORIENTED_EDGE('',*,*,#216011,.T.); #297892=ORIENTED_EDGE('',*,*,#216010,.T.); #297893=ORIENTED_EDGE('',*,*,#216012,.F.); #297894=ORIENTED_EDGE('',*,*,#216013,.F.); #297895=ORIENTED_EDGE('',*,*,#216014,.T.); #297896=ORIENTED_EDGE('',*,*,#216013,.T.); #297897=ORIENTED_EDGE('',*,*,#216015,.F.); #297898=ORIENTED_EDGE('',*,*,#215801,.F.); #297899=ORIENTED_EDGE('',*,*,#216015,.T.); #297900=ORIENTED_EDGE('',*,*,#216012,.T.); #297901=ORIENTED_EDGE('',*,*,#216009,.T.); #297902=ORIENTED_EDGE('',*,*,#216006,.T.); #297903=ORIENTED_EDGE('',*,*,#216003,.T.); #297904=ORIENTED_EDGE('',*,*,#216000,.T.); #297905=ORIENTED_EDGE('',*,*,#215997,.T.); #297906=ORIENTED_EDGE('',*,*,#215994,.T.); #297907=ORIENTED_EDGE('',*,*,#215991,.T.); #297908=ORIENTED_EDGE('',*,*,#215988,.T.); #297909=ORIENTED_EDGE('',*,*,#215985,.T.); #297910=ORIENTED_EDGE('',*,*,#215982,.T.); #297911=ORIENTED_EDGE('',*,*,#215979,.T.); #297912=ORIENTED_EDGE('',*,*,#215976,.T.); #297913=ORIENTED_EDGE('',*,*,#215973,.T.); #297914=ORIENTED_EDGE('',*,*,#215970,.T.); #297915=ORIENTED_EDGE('',*,*,#215967,.T.); #297916=ORIENTED_EDGE('',*,*,#215964,.T.); #297917=ORIENTED_EDGE('',*,*,#215961,.T.); #297918=ORIENTED_EDGE('',*,*,#215958,.T.); #297919=ORIENTED_EDGE('',*,*,#215955,.T.); #297920=ORIENTED_EDGE('',*,*,#215952,.T.); #297921=ORIENTED_EDGE('',*,*,#215949,.T.); #297922=ORIENTED_EDGE('',*,*,#215946,.T.); #297923=ORIENTED_EDGE('',*,*,#215943,.T.); #297924=ORIENTED_EDGE('',*,*,#215940,.T.); #297925=ORIENTED_EDGE('',*,*,#215937,.T.); #297926=ORIENTED_EDGE('',*,*,#215934,.T.); #297927=ORIENTED_EDGE('',*,*,#215931,.T.); #297928=ORIENTED_EDGE('',*,*,#215928,.T.); #297929=ORIENTED_EDGE('',*,*,#215925,.T.); #297930=ORIENTED_EDGE('',*,*,#215922,.T.); #297931=ORIENTED_EDGE('',*,*,#215919,.T.); #297932=ORIENTED_EDGE('',*,*,#215916,.T.); #297933=ORIENTED_EDGE('',*,*,#215913,.T.); #297934=ORIENTED_EDGE('',*,*,#215910,.T.); #297935=ORIENTED_EDGE('',*,*,#215907,.T.); #297936=ORIENTED_EDGE('',*,*,#215904,.T.); #297937=ORIENTED_EDGE('',*,*,#215901,.T.); #297938=ORIENTED_EDGE('',*,*,#215898,.T.); #297939=ORIENTED_EDGE('',*,*,#215895,.T.); #297940=ORIENTED_EDGE('',*,*,#215892,.T.); #297941=ORIENTED_EDGE('',*,*,#215889,.T.); #297942=ORIENTED_EDGE('',*,*,#215886,.T.); #297943=ORIENTED_EDGE('',*,*,#215883,.T.); #297944=ORIENTED_EDGE('',*,*,#215880,.T.); #297945=ORIENTED_EDGE('',*,*,#215877,.T.); #297946=ORIENTED_EDGE('',*,*,#215874,.T.); #297947=ORIENTED_EDGE('',*,*,#215871,.T.); #297948=ORIENTED_EDGE('',*,*,#215868,.T.); #297949=ORIENTED_EDGE('',*,*,#215865,.T.); #297950=ORIENTED_EDGE('',*,*,#215862,.T.); #297951=ORIENTED_EDGE('',*,*,#215859,.T.); #297952=ORIENTED_EDGE('',*,*,#215856,.T.); #297953=ORIENTED_EDGE('',*,*,#215853,.T.); #297954=ORIENTED_EDGE('',*,*,#215850,.T.); #297955=ORIENTED_EDGE('',*,*,#215847,.T.); #297956=ORIENTED_EDGE('',*,*,#215844,.T.); #297957=ORIENTED_EDGE('',*,*,#215841,.T.); #297958=ORIENTED_EDGE('',*,*,#215838,.T.); #297959=ORIENTED_EDGE('',*,*,#215835,.T.); #297960=ORIENTED_EDGE('',*,*,#215832,.T.); #297961=ORIENTED_EDGE('',*,*,#215829,.T.); #297962=ORIENTED_EDGE('',*,*,#215826,.T.); #297963=ORIENTED_EDGE('',*,*,#215823,.T.); #297964=ORIENTED_EDGE('',*,*,#215820,.T.); #297965=ORIENTED_EDGE('',*,*,#215817,.T.); #297966=ORIENTED_EDGE('',*,*,#215814,.T.); #297967=ORIENTED_EDGE('',*,*,#215811,.T.); #297968=ORIENTED_EDGE('',*,*,#215808,.T.); #297969=ORIENTED_EDGE('',*,*,#215805,.T.); #297970=ORIENTED_EDGE('',*,*,#215802,.T.); #297971=ORIENTED_EDGE('',*,*,#216014,.F.); #297972=ORIENTED_EDGE('',*,*,#215800,.F.); #297973=ORIENTED_EDGE('',*,*,#215804,.F.); #297974=ORIENTED_EDGE('',*,*,#215807,.F.); #297975=ORIENTED_EDGE('',*,*,#215810,.F.); #297976=ORIENTED_EDGE('',*,*,#215813,.F.); #297977=ORIENTED_EDGE('',*,*,#215816,.F.); #297978=ORIENTED_EDGE('',*,*,#215819,.F.); #297979=ORIENTED_EDGE('',*,*,#215822,.F.); #297980=ORIENTED_EDGE('',*,*,#215825,.F.); #297981=ORIENTED_EDGE('',*,*,#215828,.F.); #297982=ORIENTED_EDGE('',*,*,#215831,.F.); #297983=ORIENTED_EDGE('',*,*,#215834,.F.); #297984=ORIENTED_EDGE('',*,*,#215837,.F.); #297985=ORIENTED_EDGE('',*,*,#215840,.F.); #297986=ORIENTED_EDGE('',*,*,#215843,.F.); #297987=ORIENTED_EDGE('',*,*,#215846,.F.); #297988=ORIENTED_EDGE('',*,*,#215849,.F.); #297989=ORIENTED_EDGE('',*,*,#215852,.F.); #297990=ORIENTED_EDGE('',*,*,#215855,.F.); #297991=ORIENTED_EDGE('',*,*,#215858,.F.); #297992=ORIENTED_EDGE('',*,*,#215861,.F.); #297993=ORIENTED_EDGE('',*,*,#215864,.F.); #297994=ORIENTED_EDGE('',*,*,#215867,.F.); #297995=ORIENTED_EDGE('',*,*,#215870,.F.); #297996=ORIENTED_EDGE('',*,*,#215873,.F.); #297997=ORIENTED_EDGE('',*,*,#215876,.F.); #297998=ORIENTED_EDGE('',*,*,#215879,.F.); #297999=ORIENTED_EDGE('',*,*,#215882,.F.); #298000=ORIENTED_EDGE('',*,*,#215885,.F.); #298001=ORIENTED_EDGE('',*,*,#215888,.F.); #298002=ORIENTED_EDGE('',*,*,#215891,.F.); #298003=ORIENTED_EDGE('',*,*,#215894,.F.); #298004=ORIENTED_EDGE('',*,*,#215897,.F.); #298005=ORIENTED_EDGE('',*,*,#215900,.F.); #298006=ORIENTED_EDGE('',*,*,#215903,.F.); #298007=ORIENTED_EDGE('',*,*,#215906,.F.); #298008=ORIENTED_EDGE('',*,*,#215909,.F.); #298009=ORIENTED_EDGE('',*,*,#215912,.F.); #298010=ORIENTED_EDGE('',*,*,#215915,.F.); #298011=ORIENTED_EDGE('',*,*,#215918,.F.); #298012=ORIENTED_EDGE('',*,*,#215921,.F.); #298013=ORIENTED_EDGE('',*,*,#215924,.F.); #298014=ORIENTED_EDGE('',*,*,#215927,.F.); #298015=ORIENTED_EDGE('',*,*,#215930,.F.); #298016=ORIENTED_EDGE('',*,*,#215933,.F.); #298017=ORIENTED_EDGE('',*,*,#215936,.F.); #298018=ORIENTED_EDGE('',*,*,#215939,.F.); #298019=ORIENTED_EDGE('',*,*,#215942,.F.); #298020=ORIENTED_EDGE('',*,*,#215945,.F.); #298021=ORIENTED_EDGE('',*,*,#215948,.F.); #298022=ORIENTED_EDGE('',*,*,#215951,.F.); #298023=ORIENTED_EDGE('',*,*,#215954,.F.); #298024=ORIENTED_EDGE('',*,*,#215957,.F.); #298025=ORIENTED_EDGE('',*,*,#215960,.F.); #298026=ORIENTED_EDGE('',*,*,#215963,.F.); #298027=ORIENTED_EDGE('',*,*,#215966,.F.); #298028=ORIENTED_EDGE('',*,*,#215969,.F.); #298029=ORIENTED_EDGE('',*,*,#215972,.F.); #298030=ORIENTED_EDGE('',*,*,#215975,.F.); #298031=ORIENTED_EDGE('',*,*,#215978,.F.); #298032=ORIENTED_EDGE('',*,*,#215981,.F.); #298033=ORIENTED_EDGE('',*,*,#215984,.F.); #298034=ORIENTED_EDGE('',*,*,#215987,.F.); #298035=ORIENTED_EDGE('',*,*,#215990,.F.); #298036=ORIENTED_EDGE('',*,*,#215993,.F.); #298037=ORIENTED_EDGE('',*,*,#215996,.F.); #298038=ORIENTED_EDGE('',*,*,#215999,.F.); #298039=ORIENTED_EDGE('',*,*,#216002,.F.); #298040=ORIENTED_EDGE('',*,*,#216005,.F.); #298041=ORIENTED_EDGE('',*,*,#216008,.F.); #298042=ORIENTED_EDGE('',*,*,#216011,.F.); #298043=ORIENTED_EDGE('',*,*,#216016,.T.); #298044=ORIENTED_EDGE('',*,*,#216017,.T.); #298045=ORIENTED_EDGE('',*,*,#216018,.F.); #298046=ORIENTED_EDGE('',*,*,#216019,.F.); #298047=ORIENTED_EDGE('',*,*,#216020,.T.); #298048=ORIENTED_EDGE('',*,*,#216019,.T.); #298049=ORIENTED_EDGE('',*,*,#216021,.F.); #298050=ORIENTED_EDGE('',*,*,#216022,.F.); #298051=ORIENTED_EDGE('',*,*,#216023,.T.); #298052=ORIENTED_EDGE('',*,*,#216022,.T.); #298053=ORIENTED_EDGE('',*,*,#216024,.F.); #298054=ORIENTED_EDGE('',*,*,#216025,.F.); #298055=ORIENTED_EDGE('',*,*,#216026,.T.); #298056=ORIENTED_EDGE('',*,*,#216025,.T.); #298057=ORIENTED_EDGE('',*,*,#216027,.F.); #298058=ORIENTED_EDGE('',*,*,#216028,.F.); #298059=ORIENTED_EDGE('',*,*,#216029,.T.); #298060=ORIENTED_EDGE('',*,*,#216028,.T.); #298061=ORIENTED_EDGE('',*,*,#216030,.F.); #298062=ORIENTED_EDGE('',*,*,#216031,.F.); #298063=ORIENTED_EDGE('',*,*,#216032,.T.); #298064=ORIENTED_EDGE('',*,*,#216031,.T.); #298065=ORIENTED_EDGE('',*,*,#216033,.F.); #298066=ORIENTED_EDGE('',*,*,#216034,.F.); #298067=ORIENTED_EDGE('',*,*,#216035,.T.); #298068=ORIENTED_EDGE('',*,*,#216034,.T.); #298069=ORIENTED_EDGE('',*,*,#216036,.F.); #298070=ORIENTED_EDGE('',*,*,#216037,.F.); #298071=ORIENTED_EDGE('',*,*,#216038,.T.); #298072=ORIENTED_EDGE('',*,*,#216037,.T.); #298073=ORIENTED_EDGE('',*,*,#216039,.F.); #298074=ORIENTED_EDGE('',*,*,#216040,.F.); #298075=ORIENTED_EDGE('',*,*,#216041,.T.); #298076=ORIENTED_EDGE('',*,*,#216040,.T.); #298077=ORIENTED_EDGE('',*,*,#216042,.F.); #298078=ORIENTED_EDGE('',*,*,#216043,.F.); #298079=ORIENTED_EDGE('',*,*,#216044,.T.); #298080=ORIENTED_EDGE('',*,*,#216043,.T.); #298081=ORIENTED_EDGE('',*,*,#216045,.F.); #298082=ORIENTED_EDGE('',*,*,#216046,.F.); #298083=ORIENTED_EDGE('',*,*,#216047,.T.); #298084=ORIENTED_EDGE('',*,*,#216046,.T.); #298085=ORIENTED_EDGE('',*,*,#216048,.F.); #298086=ORIENTED_EDGE('',*,*,#216049,.F.); #298087=ORIENTED_EDGE('',*,*,#216050,.T.); #298088=ORIENTED_EDGE('',*,*,#216049,.T.); #298089=ORIENTED_EDGE('',*,*,#216051,.F.); #298090=ORIENTED_EDGE('',*,*,#216052,.F.); #298091=ORIENTED_EDGE('',*,*,#216053,.T.); #298092=ORIENTED_EDGE('',*,*,#216052,.T.); #298093=ORIENTED_EDGE('',*,*,#216054,.F.); #298094=ORIENTED_EDGE('',*,*,#216055,.F.); #298095=ORIENTED_EDGE('',*,*,#216056,.T.); #298096=ORIENTED_EDGE('',*,*,#216055,.T.); #298097=ORIENTED_EDGE('',*,*,#216057,.F.); #298098=ORIENTED_EDGE('',*,*,#216058,.F.); #298099=ORIENTED_EDGE('',*,*,#216059,.T.); #298100=ORIENTED_EDGE('',*,*,#216058,.T.); #298101=ORIENTED_EDGE('',*,*,#216060,.F.); #298102=ORIENTED_EDGE('',*,*,#216061,.F.); #298103=ORIENTED_EDGE('',*,*,#216062,.T.); #298104=ORIENTED_EDGE('',*,*,#216061,.T.); #298105=ORIENTED_EDGE('',*,*,#216063,.F.); #298106=ORIENTED_EDGE('',*,*,#216064,.F.); #298107=ORIENTED_EDGE('',*,*,#216065,.T.); #298108=ORIENTED_EDGE('',*,*,#216064,.T.); #298109=ORIENTED_EDGE('',*,*,#216066,.F.); #298110=ORIENTED_EDGE('',*,*,#216067,.F.); #298111=ORIENTED_EDGE('',*,*,#216068,.T.); #298112=ORIENTED_EDGE('',*,*,#216067,.T.); #298113=ORIENTED_EDGE('',*,*,#216069,.F.); #298114=ORIENTED_EDGE('',*,*,#216070,.F.); #298115=ORIENTED_EDGE('',*,*,#216071,.T.); #298116=ORIENTED_EDGE('',*,*,#216070,.T.); #298117=ORIENTED_EDGE('',*,*,#216072,.F.); #298118=ORIENTED_EDGE('',*,*,#216073,.F.); #298119=ORIENTED_EDGE('',*,*,#216074,.T.); #298120=ORIENTED_EDGE('',*,*,#216073,.T.); #298121=ORIENTED_EDGE('',*,*,#216075,.F.); #298122=ORIENTED_EDGE('',*,*,#216076,.F.); #298123=ORIENTED_EDGE('',*,*,#216077,.T.); #298124=ORIENTED_EDGE('',*,*,#216076,.T.); #298125=ORIENTED_EDGE('',*,*,#216078,.F.); #298126=ORIENTED_EDGE('',*,*,#216079,.F.); #298127=ORIENTED_EDGE('',*,*,#216080,.T.); #298128=ORIENTED_EDGE('',*,*,#216079,.T.); #298129=ORIENTED_EDGE('',*,*,#216081,.F.); #298130=ORIENTED_EDGE('',*,*,#216082,.F.); #298131=ORIENTED_EDGE('',*,*,#216083,.T.); #298132=ORIENTED_EDGE('',*,*,#216082,.T.); #298133=ORIENTED_EDGE('',*,*,#216084,.F.); #298134=ORIENTED_EDGE('',*,*,#216085,.F.); #298135=ORIENTED_EDGE('',*,*,#216086,.T.); #298136=ORIENTED_EDGE('',*,*,#216085,.T.); #298137=ORIENTED_EDGE('',*,*,#216087,.F.); #298138=ORIENTED_EDGE('',*,*,#216088,.F.); #298139=ORIENTED_EDGE('',*,*,#216089,.T.); #298140=ORIENTED_EDGE('',*,*,#216088,.T.); #298141=ORIENTED_EDGE('',*,*,#216090,.F.); #298142=ORIENTED_EDGE('',*,*,#216091,.F.); #298143=ORIENTED_EDGE('',*,*,#216092,.T.); #298144=ORIENTED_EDGE('',*,*,#216091,.T.); #298145=ORIENTED_EDGE('',*,*,#216093,.F.); #298146=ORIENTED_EDGE('',*,*,#216094,.F.); #298147=ORIENTED_EDGE('',*,*,#216095,.T.); #298148=ORIENTED_EDGE('',*,*,#216094,.T.); #298149=ORIENTED_EDGE('',*,*,#216096,.F.); #298150=ORIENTED_EDGE('',*,*,#216097,.F.); #298151=ORIENTED_EDGE('',*,*,#216098,.T.); #298152=ORIENTED_EDGE('',*,*,#216097,.T.); #298153=ORIENTED_EDGE('',*,*,#216099,.F.); #298154=ORIENTED_EDGE('',*,*,#216017,.F.); #298155=ORIENTED_EDGE('',*,*,#216099,.T.); #298156=ORIENTED_EDGE('',*,*,#216096,.T.); #298157=ORIENTED_EDGE('',*,*,#216093,.T.); #298158=ORIENTED_EDGE('',*,*,#216090,.T.); #298159=ORIENTED_EDGE('',*,*,#216087,.T.); #298160=ORIENTED_EDGE('',*,*,#216084,.T.); #298161=ORIENTED_EDGE('',*,*,#216081,.T.); #298162=ORIENTED_EDGE('',*,*,#216078,.T.); #298163=ORIENTED_EDGE('',*,*,#216075,.T.); #298164=ORIENTED_EDGE('',*,*,#216072,.T.); #298165=ORIENTED_EDGE('',*,*,#216069,.T.); #298166=ORIENTED_EDGE('',*,*,#216066,.T.); #298167=ORIENTED_EDGE('',*,*,#216063,.T.); #298168=ORIENTED_EDGE('',*,*,#216060,.T.); #298169=ORIENTED_EDGE('',*,*,#216057,.T.); #298170=ORIENTED_EDGE('',*,*,#216054,.T.); #298171=ORIENTED_EDGE('',*,*,#216051,.T.); #298172=ORIENTED_EDGE('',*,*,#216048,.T.); #298173=ORIENTED_EDGE('',*,*,#216045,.T.); #298174=ORIENTED_EDGE('',*,*,#216042,.T.); #298175=ORIENTED_EDGE('',*,*,#216039,.T.); #298176=ORIENTED_EDGE('',*,*,#216036,.T.); #298177=ORIENTED_EDGE('',*,*,#216033,.T.); #298178=ORIENTED_EDGE('',*,*,#216030,.T.); #298179=ORIENTED_EDGE('',*,*,#216027,.T.); #298180=ORIENTED_EDGE('',*,*,#216024,.T.); #298181=ORIENTED_EDGE('',*,*,#216021,.T.); #298182=ORIENTED_EDGE('',*,*,#216018,.T.); #298183=ORIENTED_EDGE('',*,*,#216098,.F.); #298184=ORIENTED_EDGE('',*,*,#216016,.F.); #298185=ORIENTED_EDGE('',*,*,#216020,.F.); #298186=ORIENTED_EDGE('',*,*,#216023,.F.); #298187=ORIENTED_EDGE('',*,*,#216026,.F.); #298188=ORIENTED_EDGE('',*,*,#216029,.F.); #298189=ORIENTED_EDGE('',*,*,#216032,.F.); #298190=ORIENTED_EDGE('',*,*,#216035,.F.); #298191=ORIENTED_EDGE('',*,*,#216038,.F.); #298192=ORIENTED_EDGE('',*,*,#216041,.F.); #298193=ORIENTED_EDGE('',*,*,#216044,.F.); #298194=ORIENTED_EDGE('',*,*,#216047,.F.); #298195=ORIENTED_EDGE('',*,*,#216050,.F.); #298196=ORIENTED_EDGE('',*,*,#216053,.F.); #298197=ORIENTED_EDGE('',*,*,#216056,.F.); #298198=ORIENTED_EDGE('',*,*,#216059,.F.); #298199=ORIENTED_EDGE('',*,*,#216062,.F.); #298200=ORIENTED_EDGE('',*,*,#216065,.F.); #298201=ORIENTED_EDGE('',*,*,#216068,.F.); #298202=ORIENTED_EDGE('',*,*,#216071,.F.); #298203=ORIENTED_EDGE('',*,*,#216074,.F.); #298204=ORIENTED_EDGE('',*,*,#216077,.F.); #298205=ORIENTED_EDGE('',*,*,#216080,.F.); #298206=ORIENTED_EDGE('',*,*,#216083,.F.); #298207=ORIENTED_EDGE('',*,*,#216086,.F.); #298208=ORIENTED_EDGE('',*,*,#216089,.F.); #298209=ORIENTED_EDGE('',*,*,#216092,.F.); #298210=ORIENTED_EDGE('',*,*,#216095,.F.); #298211=ORIENTED_EDGE('',*,*,#216100,.F.); #298212=ORIENTED_EDGE('',*,*,#216101,.T.); #298213=ORIENTED_EDGE('',*,*,#216102,.F.); #298214=ORIENTED_EDGE('',*,*,#216101,.F.); #298215=ORIENTED_EDGE('',*,*,#216103,.F.); #298216=ORIENTED_EDGE('',*,*,#216104,.T.); #298217=ORIENTED_EDGE('',*,*,#216105,.F.); #298218=ORIENTED_EDGE('',*,*,#216104,.F.); #298219=ORIENTED_EDGE('',*,*,#216106,.F.); #298220=ORIENTED_EDGE('',*,*,#216107,.T.); #298221=ORIENTED_EDGE('',*,*,#216108,.F.); #298222=ORIENTED_EDGE('',*,*,#216107,.F.); #298223=ORIENTED_EDGE('',*,*,#216109,.F.); #298224=ORIENTED_EDGE('',*,*,#216110,.T.); #298225=ORIENTED_EDGE('',*,*,#216111,.F.); #298226=ORIENTED_EDGE('',*,*,#216110,.F.); #298227=ORIENTED_EDGE('',*,*,#216112,.F.); #298228=ORIENTED_EDGE('',*,*,#216113,.T.); #298229=ORIENTED_EDGE('',*,*,#216114,.F.); #298230=ORIENTED_EDGE('',*,*,#216113,.F.); #298231=ORIENTED_EDGE('',*,*,#216115,.F.); #298232=ORIENTED_EDGE('',*,*,#216116,.T.); #298233=ORIENTED_EDGE('',*,*,#216117,.F.); #298234=ORIENTED_EDGE('',*,*,#216116,.F.); #298235=ORIENTED_EDGE('',*,*,#216118,.F.); #298236=ORIENTED_EDGE('',*,*,#216119,.T.); #298237=ORIENTED_EDGE('',*,*,#216120,.F.); #298238=ORIENTED_EDGE('',*,*,#216119,.F.); #298239=ORIENTED_EDGE('',*,*,#216121,.F.); #298240=ORIENTED_EDGE('',*,*,#216122,.T.); #298241=ORIENTED_EDGE('',*,*,#216123,.F.); #298242=ORIENTED_EDGE('',*,*,#216122,.F.); #298243=ORIENTED_EDGE('',*,*,#216124,.F.); #298244=ORIENTED_EDGE('',*,*,#216125,.T.); #298245=ORIENTED_EDGE('',*,*,#216126,.F.); #298246=ORIENTED_EDGE('',*,*,#216125,.F.); #298247=ORIENTED_EDGE('',*,*,#216127,.F.); #298248=ORIENTED_EDGE('',*,*,#216128,.T.); #298249=ORIENTED_EDGE('',*,*,#216129,.F.); #298250=ORIENTED_EDGE('',*,*,#216128,.F.); #298251=ORIENTED_EDGE('',*,*,#216130,.F.); #298252=ORIENTED_EDGE('',*,*,#216131,.T.); #298253=ORIENTED_EDGE('',*,*,#216132,.F.); #298254=ORIENTED_EDGE('',*,*,#216131,.F.); #298255=ORIENTED_EDGE('',*,*,#216133,.F.); #298256=ORIENTED_EDGE('',*,*,#216134,.T.); #298257=ORIENTED_EDGE('',*,*,#216135,.F.); #298258=ORIENTED_EDGE('',*,*,#216134,.F.); #298259=ORIENTED_EDGE('',*,*,#216136,.F.); #298260=ORIENTED_EDGE('',*,*,#216137,.T.); #298261=ORIENTED_EDGE('',*,*,#216138,.F.); #298262=ORIENTED_EDGE('',*,*,#216137,.F.); #298263=ORIENTED_EDGE('',*,*,#216139,.F.); #298264=ORIENTED_EDGE('',*,*,#216140,.T.); #298265=ORIENTED_EDGE('',*,*,#216141,.F.); #298266=ORIENTED_EDGE('',*,*,#216140,.F.); #298267=ORIENTED_EDGE('',*,*,#216142,.F.); #298268=ORIENTED_EDGE('',*,*,#216143,.T.); #298269=ORIENTED_EDGE('',*,*,#216144,.F.); #298270=ORIENTED_EDGE('',*,*,#216143,.F.); #298271=ORIENTED_EDGE('',*,*,#216145,.F.); #298272=ORIENTED_EDGE('',*,*,#216146,.T.); #298273=ORIENTED_EDGE('',*,*,#216147,.F.); #298274=ORIENTED_EDGE('',*,*,#216146,.F.); #298275=ORIENTED_EDGE('',*,*,#216148,.T.); #298276=ORIENTED_EDGE('',*,*,#216149,.T.); #298277=ORIENTED_EDGE('',*,*,#216150,.F.); #298278=ORIENTED_EDGE('',*,*,#216151,.F.); #298279=ORIENTED_EDGE('',*,*,#216152,.T.); #298280=ORIENTED_EDGE('',*,*,#216151,.T.); #298281=ORIENTED_EDGE('',*,*,#216153,.F.); #298282=ORIENTED_EDGE('',*,*,#216154,.F.); #298283=ORIENTED_EDGE('',*,*,#216155,.T.); #298284=ORIENTED_EDGE('',*,*,#216154,.T.); #298285=ORIENTED_EDGE('',*,*,#216156,.F.); #298286=ORIENTED_EDGE('',*,*,#216157,.F.); #298287=ORIENTED_EDGE('',*,*,#216158,.T.); #298288=ORIENTED_EDGE('',*,*,#216157,.T.); #298289=ORIENTED_EDGE('',*,*,#216159,.F.); #298290=ORIENTED_EDGE('',*,*,#216160,.F.); #298291=ORIENTED_EDGE('',*,*,#216161,.T.); #298292=ORIENTED_EDGE('',*,*,#216160,.T.); #298293=ORIENTED_EDGE('',*,*,#216162,.F.); #298294=ORIENTED_EDGE('',*,*,#216163,.F.); #298295=ORIENTED_EDGE('',*,*,#216164,.T.); #298296=ORIENTED_EDGE('',*,*,#216163,.T.); #298297=ORIENTED_EDGE('',*,*,#216165,.F.); #298298=ORIENTED_EDGE('',*,*,#216166,.F.); #298299=ORIENTED_EDGE('',*,*,#216167,.T.); #298300=ORIENTED_EDGE('',*,*,#216166,.T.); #298301=ORIENTED_EDGE('',*,*,#216168,.F.); #298302=ORIENTED_EDGE('',*,*,#216169,.F.); #298303=ORIENTED_EDGE('',*,*,#216170,.T.); #298304=ORIENTED_EDGE('',*,*,#216169,.T.); #298305=ORIENTED_EDGE('',*,*,#216171,.F.); #298306=ORIENTED_EDGE('',*,*,#216172,.F.); #298307=ORIENTED_EDGE('',*,*,#216173,.T.); #298308=ORIENTED_EDGE('',*,*,#216172,.T.); #298309=ORIENTED_EDGE('',*,*,#216174,.F.); #298310=ORIENTED_EDGE('',*,*,#216175,.F.); #298311=ORIENTED_EDGE('',*,*,#216176,.T.); #298312=ORIENTED_EDGE('',*,*,#216175,.T.); #298313=ORIENTED_EDGE('',*,*,#216177,.F.); #298314=ORIENTED_EDGE('',*,*,#216178,.F.); #298315=ORIENTED_EDGE('',*,*,#216179,.T.); #298316=ORIENTED_EDGE('',*,*,#216178,.T.); #298317=ORIENTED_EDGE('',*,*,#216180,.F.); #298318=ORIENTED_EDGE('',*,*,#216181,.F.); #298319=ORIENTED_EDGE('',*,*,#216182,.T.); #298320=ORIENTED_EDGE('',*,*,#216181,.T.); #298321=ORIENTED_EDGE('',*,*,#216183,.F.); #298322=ORIENTED_EDGE('',*,*,#216184,.F.); #298323=ORIENTED_EDGE('',*,*,#216185,.T.); #298324=ORIENTED_EDGE('',*,*,#216184,.T.); #298325=ORIENTED_EDGE('',*,*,#216186,.F.); #298326=ORIENTED_EDGE('',*,*,#216187,.F.); #298327=ORIENTED_EDGE('',*,*,#216188,.T.); #298328=ORIENTED_EDGE('',*,*,#216187,.T.); #298329=ORIENTED_EDGE('',*,*,#216189,.F.); #298330=ORIENTED_EDGE('',*,*,#216190,.F.); #298331=ORIENTED_EDGE('',*,*,#216191,.T.); #298332=ORIENTED_EDGE('',*,*,#216190,.T.); #298333=ORIENTED_EDGE('',*,*,#216192,.F.); #298334=ORIENTED_EDGE('',*,*,#216193,.F.); #298335=ORIENTED_EDGE('',*,*,#216194,.T.); #298336=ORIENTED_EDGE('',*,*,#216193,.T.); #298337=ORIENTED_EDGE('',*,*,#216195,.F.); #298338=ORIENTED_EDGE('',*,*,#216196,.F.); #298339=ORIENTED_EDGE('',*,*,#216197,.T.); #298340=ORIENTED_EDGE('',*,*,#216196,.T.); #298341=ORIENTED_EDGE('',*,*,#216198,.F.); #298342=ORIENTED_EDGE('',*,*,#216199,.F.); #298343=ORIENTED_EDGE('',*,*,#216200,.T.); #298344=ORIENTED_EDGE('',*,*,#216199,.T.); #298345=ORIENTED_EDGE('',*,*,#216201,.F.); #298346=ORIENTED_EDGE('',*,*,#216202,.F.); #298347=ORIENTED_EDGE('',*,*,#216203,.T.); #298348=ORIENTED_EDGE('',*,*,#216202,.T.); #298349=ORIENTED_EDGE('',*,*,#216204,.F.); #298350=ORIENTED_EDGE('',*,*,#216205,.F.); #298351=ORIENTED_EDGE('',*,*,#216206,.T.); #298352=ORIENTED_EDGE('',*,*,#216205,.T.); #298353=ORIENTED_EDGE('',*,*,#216207,.F.); #298354=ORIENTED_EDGE('',*,*,#216208,.F.); #298355=ORIENTED_EDGE('',*,*,#216209,.T.); #298356=ORIENTED_EDGE('',*,*,#216208,.T.); #298357=ORIENTED_EDGE('',*,*,#216210,.F.); #298358=ORIENTED_EDGE('',*,*,#216211,.F.); #298359=ORIENTED_EDGE('',*,*,#216212,.T.); #298360=ORIENTED_EDGE('',*,*,#216211,.T.); #298361=ORIENTED_EDGE('',*,*,#216213,.F.); #298362=ORIENTED_EDGE('',*,*,#216214,.F.); #298363=ORIENTED_EDGE('',*,*,#216215,.T.); #298364=ORIENTED_EDGE('',*,*,#216214,.T.); #298365=ORIENTED_EDGE('',*,*,#216216,.F.); #298366=ORIENTED_EDGE('',*,*,#216217,.F.); #298367=ORIENTED_EDGE('',*,*,#216218,.T.); #298368=ORIENTED_EDGE('',*,*,#216217,.T.); #298369=ORIENTED_EDGE('',*,*,#216219,.F.); #298370=ORIENTED_EDGE('',*,*,#216220,.F.); #298371=ORIENTED_EDGE('',*,*,#216221,.T.); #298372=ORIENTED_EDGE('',*,*,#216220,.T.); #298373=ORIENTED_EDGE('',*,*,#216222,.F.); #298374=ORIENTED_EDGE('',*,*,#216223,.F.); #298375=ORIENTED_EDGE('',*,*,#216224,.T.); #298376=ORIENTED_EDGE('',*,*,#216223,.T.); #298377=ORIENTED_EDGE('',*,*,#216225,.F.); #298378=ORIENTED_EDGE('',*,*,#216226,.F.); #298379=ORIENTED_EDGE('',*,*,#216227,.T.); #298380=ORIENTED_EDGE('',*,*,#216226,.T.); #298381=ORIENTED_EDGE('',*,*,#216228,.F.); #298382=ORIENTED_EDGE('',*,*,#216229,.F.); #298383=ORIENTED_EDGE('',*,*,#216230,.T.); #298384=ORIENTED_EDGE('',*,*,#216229,.T.); #298385=ORIENTED_EDGE('',*,*,#216231,.F.); #298386=ORIENTED_EDGE('',*,*,#216232,.F.); #298387=ORIENTED_EDGE('',*,*,#216233,.T.); #298388=ORIENTED_EDGE('',*,*,#216232,.T.); #298389=ORIENTED_EDGE('',*,*,#216234,.F.); #298390=ORIENTED_EDGE('',*,*,#216235,.F.); #298391=ORIENTED_EDGE('',*,*,#216236,.T.); #298392=ORIENTED_EDGE('',*,*,#216235,.T.); #298393=ORIENTED_EDGE('',*,*,#216237,.F.); #298394=ORIENTED_EDGE('',*,*,#216238,.F.); #298395=ORIENTED_EDGE('',*,*,#216239,.T.); #298396=ORIENTED_EDGE('',*,*,#216238,.T.); #298397=ORIENTED_EDGE('',*,*,#216240,.F.); #298398=ORIENTED_EDGE('',*,*,#216241,.F.); #298399=ORIENTED_EDGE('',*,*,#216242,.T.); #298400=ORIENTED_EDGE('',*,*,#216241,.T.); #298401=ORIENTED_EDGE('',*,*,#216243,.F.); #298402=ORIENTED_EDGE('',*,*,#216244,.F.); #298403=ORIENTED_EDGE('',*,*,#216245,.T.); #298404=ORIENTED_EDGE('',*,*,#216244,.T.); #298405=ORIENTED_EDGE('',*,*,#216246,.F.); #298406=ORIENTED_EDGE('',*,*,#216247,.F.); #298407=ORIENTED_EDGE('',*,*,#216248,.T.); #298408=ORIENTED_EDGE('',*,*,#216247,.T.); #298409=ORIENTED_EDGE('',*,*,#216249,.F.); #298410=ORIENTED_EDGE('',*,*,#216250,.F.); #298411=ORIENTED_EDGE('',*,*,#216251,.T.); #298412=ORIENTED_EDGE('',*,*,#216250,.T.); #298413=ORIENTED_EDGE('',*,*,#216252,.F.); #298414=ORIENTED_EDGE('',*,*,#216253,.F.); #298415=ORIENTED_EDGE('',*,*,#216254,.T.); #298416=ORIENTED_EDGE('',*,*,#216253,.T.); #298417=ORIENTED_EDGE('',*,*,#216255,.F.); #298418=ORIENTED_EDGE('',*,*,#216256,.F.); #298419=ORIENTED_EDGE('',*,*,#216257,.T.); #298420=ORIENTED_EDGE('',*,*,#216256,.T.); #298421=ORIENTED_EDGE('',*,*,#216258,.F.); #298422=ORIENTED_EDGE('',*,*,#216259,.F.); #298423=ORIENTED_EDGE('',*,*,#216260,.T.); #298424=ORIENTED_EDGE('',*,*,#216259,.T.); #298425=ORIENTED_EDGE('',*,*,#216261,.F.); #298426=ORIENTED_EDGE('',*,*,#216262,.F.); #298427=ORIENTED_EDGE('',*,*,#216263,.T.); #298428=ORIENTED_EDGE('',*,*,#216262,.T.); #298429=ORIENTED_EDGE('',*,*,#216264,.F.); #298430=ORIENTED_EDGE('',*,*,#216265,.F.); #298431=ORIENTED_EDGE('',*,*,#216266,.T.); #298432=ORIENTED_EDGE('',*,*,#216265,.T.); #298433=ORIENTED_EDGE('',*,*,#216267,.F.); #298434=ORIENTED_EDGE('',*,*,#216268,.F.); #298435=ORIENTED_EDGE('',*,*,#216269,.T.); #298436=ORIENTED_EDGE('',*,*,#216268,.T.); #298437=ORIENTED_EDGE('',*,*,#216270,.F.); #298438=ORIENTED_EDGE('',*,*,#216271,.F.); #298439=ORIENTED_EDGE('',*,*,#216272,.T.); #298440=ORIENTED_EDGE('',*,*,#216271,.T.); #298441=ORIENTED_EDGE('',*,*,#216273,.F.); #298442=ORIENTED_EDGE('',*,*,#216274,.F.); #298443=ORIENTED_EDGE('',*,*,#216275,.T.); #298444=ORIENTED_EDGE('',*,*,#216274,.T.); #298445=ORIENTED_EDGE('',*,*,#216276,.F.); #298446=ORIENTED_EDGE('',*,*,#216277,.F.); #298447=ORIENTED_EDGE('',*,*,#216278,.T.); #298448=ORIENTED_EDGE('',*,*,#216277,.T.); #298449=ORIENTED_EDGE('',*,*,#216279,.F.); #298450=ORIENTED_EDGE('',*,*,#216280,.F.); #298451=ORIENTED_EDGE('',*,*,#216281,.T.); #298452=ORIENTED_EDGE('',*,*,#216280,.T.); #298453=ORIENTED_EDGE('',*,*,#216282,.F.); #298454=ORIENTED_EDGE('',*,*,#216283,.F.); #298455=ORIENTED_EDGE('',*,*,#216284,.T.); #298456=ORIENTED_EDGE('',*,*,#216283,.T.); #298457=ORIENTED_EDGE('',*,*,#216285,.F.); #298458=ORIENTED_EDGE('',*,*,#216286,.F.); #298459=ORIENTED_EDGE('',*,*,#216287,.T.); #298460=ORIENTED_EDGE('',*,*,#216286,.T.); #298461=ORIENTED_EDGE('',*,*,#216288,.F.); #298462=ORIENTED_EDGE('',*,*,#216289,.F.); #298463=ORIENTED_EDGE('',*,*,#216290,.T.); #298464=ORIENTED_EDGE('',*,*,#216289,.T.); #298465=ORIENTED_EDGE('',*,*,#216291,.F.); #298466=ORIENTED_EDGE('',*,*,#216292,.F.); #298467=ORIENTED_EDGE('',*,*,#216293,.T.); #298468=ORIENTED_EDGE('',*,*,#216292,.T.); #298469=ORIENTED_EDGE('',*,*,#216294,.F.); #298470=ORIENTED_EDGE('',*,*,#216295,.F.); #298471=ORIENTED_EDGE('',*,*,#216296,.T.); #298472=ORIENTED_EDGE('',*,*,#216295,.T.); #298473=ORIENTED_EDGE('',*,*,#216297,.F.); #298474=ORIENTED_EDGE('',*,*,#216298,.F.); #298475=ORIENTED_EDGE('',*,*,#216299,.T.); #298476=ORIENTED_EDGE('',*,*,#216298,.T.); #298477=ORIENTED_EDGE('',*,*,#216300,.F.); #298478=ORIENTED_EDGE('',*,*,#216301,.F.); #298479=ORIENTED_EDGE('',*,*,#216302,.T.); #298480=ORIENTED_EDGE('',*,*,#216301,.T.); #298481=ORIENTED_EDGE('',*,*,#216303,.F.); #298482=ORIENTED_EDGE('',*,*,#216304,.F.); #298483=ORIENTED_EDGE('',*,*,#216305,.T.); #298484=ORIENTED_EDGE('',*,*,#216304,.T.); #298485=ORIENTED_EDGE('',*,*,#216306,.F.); #298486=ORIENTED_EDGE('',*,*,#216307,.F.); #298487=ORIENTED_EDGE('',*,*,#216308,.T.); #298488=ORIENTED_EDGE('',*,*,#216307,.T.); #298489=ORIENTED_EDGE('',*,*,#216309,.F.); #298490=ORIENTED_EDGE('',*,*,#216310,.F.); #298491=ORIENTED_EDGE('',*,*,#216311,.T.); #298492=ORIENTED_EDGE('',*,*,#216310,.T.); #298493=ORIENTED_EDGE('',*,*,#216312,.F.); #298494=ORIENTED_EDGE('',*,*,#216313,.F.); #298495=ORIENTED_EDGE('',*,*,#216314,.T.); #298496=ORIENTED_EDGE('',*,*,#216313,.T.); #298497=ORIENTED_EDGE('',*,*,#216315,.F.); #298498=ORIENTED_EDGE('',*,*,#216316,.F.); #298499=ORIENTED_EDGE('',*,*,#216317,.T.); #298500=ORIENTED_EDGE('',*,*,#216316,.T.); #298501=ORIENTED_EDGE('',*,*,#216318,.F.); #298502=ORIENTED_EDGE('',*,*,#216319,.F.); #298503=ORIENTED_EDGE('',*,*,#216320,.T.); #298504=ORIENTED_EDGE('',*,*,#216319,.T.); #298505=ORIENTED_EDGE('',*,*,#216321,.F.); #298506=ORIENTED_EDGE('',*,*,#216322,.F.); #298507=ORIENTED_EDGE('',*,*,#216323,.T.); #298508=ORIENTED_EDGE('',*,*,#216322,.T.); #298509=ORIENTED_EDGE('',*,*,#216324,.F.); #298510=ORIENTED_EDGE('',*,*,#216325,.F.); #298511=ORIENTED_EDGE('',*,*,#216326,.T.); #298512=ORIENTED_EDGE('',*,*,#216325,.T.); #298513=ORIENTED_EDGE('',*,*,#216327,.F.); #298514=ORIENTED_EDGE('',*,*,#216328,.F.); #298515=ORIENTED_EDGE('',*,*,#216329,.T.); #298516=ORIENTED_EDGE('',*,*,#216328,.T.); #298517=ORIENTED_EDGE('',*,*,#216330,.F.); #298518=ORIENTED_EDGE('',*,*,#216331,.F.); #298519=ORIENTED_EDGE('',*,*,#216332,.T.); #298520=ORIENTED_EDGE('',*,*,#216331,.T.); #298521=ORIENTED_EDGE('',*,*,#216333,.F.); #298522=ORIENTED_EDGE('',*,*,#216334,.F.); #298523=ORIENTED_EDGE('',*,*,#216335,.T.); #298524=ORIENTED_EDGE('',*,*,#216334,.T.); #298525=ORIENTED_EDGE('',*,*,#216336,.F.); #298526=ORIENTED_EDGE('',*,*,#216337,.F.); #298527=ORIENTED_EDGE('',*,*,#216338,.T.); #298528=ORIENTED_EDGE('',*,*,#216337,.T.); #298529=ORIENTED_EDGE('',*,*,#216339,.F.); #298530=ORIENTED_EDGE('',*,*,#216340,.F.); #298531=ORIENTED_EDGE('',*,*,#216341,.T.); #298532=ORIENTED_EDGE('',*,*,#216340,.T.); #298533=ORIENTED_EDGE('',*,*,#216342,.F.); #298534=ORIENTED_EDGE('',*,*,#216343,.F.); #298535=ORIENTED_EDGE('',*,*,#216344,.T.); #298536=ORIENTED_EDGE('',*,*,#216343,.T.); #298537=ORIENTED_EDGE('',*,*,#216345,.F.); #298538=ORIENTED_EDGE('',*,*,#216346,.F.); #298539=ORIENTED_EDGE('',*,*,#216347,.T.); #298540=ORIENTED_EDGE('',*,*,#216346,.T.); #298541=ORIENTED_EDGE('',*,*,#216348,.F.); #298542=ORIENTED_EDGE('',*,*,#216349,.F.); #298543=ORIENTED_EDGE('',*,*,#216350,.T.); #298544=ORIENTED_EDGE('',*,*,#216349,.T.); #298545=ORIENTED_EDGE('',*,*,#216351,.F.); #298546=ORIENTED_EDGE('',*,*,#216352,.F.); #298547=ORIENTED_EDGE('',*,*,#216353,.T.); #298548=ORIENTED_EDGE('',*,*,#216352,.T.); #298549=ORIENTED_EDGE('',*,*,#216354,.F.); #298550=ORIENTED_EDGE('',*,*,#216355,.F.); #298551=ORIENTED_EDGE('',*,*,#216356,.T.); #298552=ORIENTED_EDGE('',*,*,#216355,.T.); #298553=ORIENTED_EDGE('',*,*,#216357,.F.); #298554=ORIENTED_EDGE('',*,*,#216358,.F.); #298555=ORIENTED_EDGE('',*,*,#216359,.T.); #298556=ORIENTED_EDGE('',*,*,#216358,.T.); #298557=ORIENTED_EDGE('',*,*,#216360,.F.); #298558=ORIENTED_EDGE('',*,*,#216361,.F.); #298559=ORIENTED_EDGE('',*,*,#216362,.T.); #298560=ORIENTED_EDGE('',*,*,#216361,.T.); #298561=ORIENTED_EDGE('',*,*,#216363,.F.); #298562=ORIENTED_EDGE('',*,*,#216364,.F.); #298563=ORIENTED_EDGE('',*,*,#216365,.T.); #298564=ORIENTED_EDGE('',*,*,#216364,.T.); #298565=ORIENTED_EDGE('',*,*,#216366,.F.); #298566=ORIENTED_EDGE('',*,*,#216367,.F.); #298567=ORIENTED_EDGE('',*,*,#216368,.T.); #298568=ORIENTED_EDGE('',*,*,#216367,.T.); #298569=ORIENTED_EDGE('',*,*,#216369,.F.); #298570=ORIENTED_EDGE('',*,*,#216149,.F.); #298571=ORIENTED_EDGE('',*,*,#216369,.T.); #298572=ORIENTED_EDGE('',*,*,#216366,.T.); #298573=ORIENTED_EDGE('',*,*,#216363,.T.); #298574=ORIENTED_EDGE('',*,*,#216360,.T.); #298575=ORIENTED_EDGE('',*,*,#216357,.T.); #298576=ORIENTED_EDGE('',*,*,#216354,.T.); #298577=ORIENTED_EDGE('',*,*,#216351,.T.); #298578=ORIENTED_EDGE('',*,*,#216348,.T.); #298579=ORIENTED_EDGE('',*,*,#216345,.T.); #298580=ORIENTED_EDGE('',*,*,#216342,.T.); #298581=ORIENTED_EDGE('',*,*,#216339,.T.); #298582=ORIENTED_EDGE('',*,*,#216336,.T.); #298583=ORIENTED_EDGE('',*,*,#216333,.T.); #298584=ORIENTED_EDGE('',*,*,#216330,.T.); #298585=ORIENTED_EDGE('',*,*,#216327,.T.); #298586=ORIENTED_EDGE('',*,*,#216324,.T.); #298587=ORIENTED_EDGE('',*,*,#216321,.T.); #298588=ORIENTED_EDGE('',*,*,#216318,.T.); #298589=ORIENTED_EDGE('',*,*,#216315,.T.); #298590=ORIENTED_EDGE('',*,*,#216312,.T.); #298591=ORIENTED_EDGE('',*,*,#216309,.T.); #298592=ORIENTED_EDGE('',*,*,#216306,.T.); #298593=ORIENTED_EDGE('',*,*,#216303,.T.); #298594=ORIENTED_EDGE('',*,*,#216300,.T.); #298595=ORIENTED_EDGE('',*,*,#216297,.T.); #298596=ORIENTED_EDGE('',*,*,#216294,.T.); #298597=ORIENTED_EDGE('',*,*,#216291,.T.); #298598=ORIENTED_EDGE('',*,*,#216288,.T.); #298599=ORIENTED_EDGE('',*,*,#216285,.T.); #298600=ORIENTED_EDGE('',*,*,#216282,.T.); #298601=ORIENTED_EDGE('',*,*,#216279,.T.); #298602=ORIENTED_EDGE('',*,*,#216276,.T.); #298603=ORIENTED_EDGE('',*,*,#216273,.T.); #298604=ORIENTED_EDGE('',*,*,#216270,.T.); #298605=ORIENTED_EDGE('',*,*,#216267,.T.); #298606=ORIENTED_EDGE('',*,*,#216264,.T.); #298607=ORIENTED_EDGE('',*,*,#216261,.T.); #298608=ORIENTED_EDGE('',*,*,#216258,.T.); #298609=ORIENTED_EDGE('',*,*,#216255,.T.); #298610=ORIENTED_EDGE('',*,*,#216252,.T.); #298611=ORIENTED_EDGE('',*,*,#216249,.T.); #298612=ORIENTED_EDGE('',*,*,#216246,.T.); #298613=ORIENTED_EDGE('',*,*,#216243,.T.); #298614=ORIENTED_EDGE('',*,*,#216240,.T.); #298615=ORIENTED_EDGE('',*,*,#216237,.T.); #298616=ORIENTED_EDGE('',*,*,#216234,.T.); #298617=ORIENTED_EDGE('',*,*,#216231,.T.); #298618=ORIENTED_EDGE('',*,*,#216228,.T.); #298619=ORIENTED_EDGE('',*,*,#216225,.T.); #298620=ORIENTED_EDGE('',*,*,#216222,.T.); #298621=ORIENTED_EDGE('',*,*,#216219,.T.); #298622=ORIENTED_EDGE('',*,*,#216216,.T.); #298623=ORIENTED_EDGE('',*,*,#216213,.T.); #298624=ORIENTED_EDGE('',*,*,#216210,.T.); #298625=ORIENTED_EDGE('',*,*,#216207,.T.); #298626=ORIENTED_EDGE('',*,*,#216204,.T.); #298627=ORIENTED_EDGE('',*,*,#216201,.T.); #298628=ORIENTED_EDGE('',*,*,#216198,.T.); #298629=ORIENTED_EDGE('',*,*,#216195,.T.); #298630=ORIENTED_EDGE('',*,*,#216192,.T.); #298631=ORIENTED_EDGE('',*,*,#216189,.T.); #298632=ORIENTED_EDGE('',*,*,#216186,.T.); #298633=ORIENTED_EDGE('',*,*,#216183,.T.); #298634=ORIENTED_EDGE('',*,*,#216180,.T.); #298635=ORIENTED_EDGE('',*,*,#216177,.T.); #298636=ORIENTED_EDGE('',*,*,#216174,.T.); #298637=ORIENTED_EDGE('',*,*,#216171,.T.); #298638=ORIENTED_EDGE('',*,*,#216168,.T.); #298639=ORIENTED_EDGE('',*,*,#216165,.T.); #298640=ORIENTED_EDGE('',*,*,#216162,.T.); #298641=ORIENTED_EDGE('',*,*,#216159,.T.); #298642=ORIENTED_EDGE('',*,*,#216156,.T.); #298643=ORIENTED_EDGE('',*,*,#216153,.T.); #298644=ORIENTED_EDGE('',*,*,#216150,.T.); #298645=ORIENTED_EDGE('',*,*,#216102,.T.); #298646=ORIENTED_EDGE('',*,*,#216105,.T.); #298647=ORIENTED_EDGE('',*,*,#216108,.T.); #298648=ORIENTED_EDGE('',*,*,#216111,.T.); #298649=ORIENTED_EDGE('',*,*,#216114,.T.); #298650=ORIENTED_EDGE('',*,*,#216117,.T.); #298651=ORIENTED_EDGE('',*,*,#216120,.T.); #298652=ORIENTED_EDGE('',*,*,#216123,.T.); #298653=ORIENTED_EDGE('',*,*,#216126,.T.); #298654=ORIENTED_EDGE('',*,*,#216129,.T.); #298655=ORIENTED_EDGE('',*,*,#216132,.T.); #298656=ORIENTED_EDGE('',*,*,#216135,.T.); #298657=ORIENTED_EDGE('',*,*,#216138,.T.); #298658=ORIENTED_EDGE('',*,*,#216141,.T.); #298659=ORIENTED_EDGE('',*,*,#216144,.T.); #298660=ORIENTED_EDGE('',*,*,#216147,.T.); #298661=ORIENTED_EDGE('',*,*,#216368,.F.); #298662=ORIENTED_EDGE('',*,*,#216148,.F.); #298663=ORIENTED_EDGE('',*,*,#216152,.F.); #298664=ORIENTED_EDGE('',*,*,#216155,.F.); #298665=ORIENTED_EDGE('',*,*,#216158,.F.); #298666=ORIENTED_EDGE('',*,*,#216161,.F.); #298667=ORIENTED_EDGE('',*,*,#216164,.F.); #298668=ORIENTED_EDGE('',*,*,#216167,.F.); #298669=ORIENTED_EDGE('',*,*,#216170,.F.); #298670=ORIENTED_EDGE('',*,*,#216173,.F.); #298671=ORIENTED_EDGE('',*,*,#216176,.F.); #298672=ORIENTED_EDGE('',*,*,#216179,.F.); #298673=ORIENTED_EDGE('',*,*,#216182,.F.); #298674=ORIENTED_EDGE('',*,*,#216185,.F.); #298675=ORIENTED_EDGE('',*,*,#216188,.F.); #298676=ORIENTED_EDGE('',*,*,#216191,.F.); #298677=ORIENTED_EDGE('',*,*,#216194,.F.); #298678=ORIENTED_EDGE('',*,*,#216197,.F.); #298679=ORIENTED_EDGE('',*,*,#216200,.F.); #298680=ORIENTED_EDGE('',*,*,#216203,.F.); #298681=ORIENTED_EDGE('',*,*,#216206,.F.); #298682=ORIENTED_EDGE('',*,*,#216209,.F.); #298683=ORIENTED_EDGE('',*,*,#216212,.F.); #298684=ORIENTED_EDGE('',*,*,#216215,.F.); #298685=ORIENTED_EDGE('',*,*,#216218,.F.); #298686=ORIENTED_EDGE('',*,*,#216221,.F.); #298687=ORIENTED_EDGE('',*,*,#216224,.F.); #298688=ORIENTED_EDGE('',*,*,#216227,.F.); #298689=ORIENTED_EDGE('',*,*,#216230,.F.); #298690=ORIENTED_EDGE('',*,*,#216233,.F.); #298691=ORIENTED_EDGE('',*,*,#216236,.F.); #298692=ORIENTED_EDGE('',*,*,#216239,.F.); #298693=ORIENTED_EDGE('',*,*,#216242,.F.); #298694=ORIENTED_EDGE('',*,*,#216245,.F.); #298695=ORIENTED_EDGE('',*,*,#216248,.F.); #298696=ORIENTED_EDGE('',*,*,#216251,.F.); #298697=ORIENTED_EDGE('',*,*,#216254,.F.); #298698=ORIENTED_EDGE('',*,*,#216257,.F.); #298699=ORIENTED_EDGE('',*,*,#216260,.F.); #298700=ORIENTED_EDGE('',*,*,#216263,.F.); #298701=ORIENTED_EDGE('',*,*,#216266,.F.); #298702=ORIENTED_EDGE('',*,*,#216269,.F.); #298703=ORIENTED_EDGE('',*,*,#216272,.F.); #298704=ORIENTED_EDGE('',*,*,#216275,.F.); #298705=ORIENTED_EDGE('',*,*,#216278,.F.); #298706=ORIENTED_EDGE('',*,*,#216281,.F.); #298707=ORIENTED_EDGE('',*,*,#216284,.F.); #298708=ORIENTED_EDGE('',*,*,#216287,.F.); #298709=ORIENTED_EDGE('',*,*,#216290,.F.); #298710=ORIENTED_EDGE('',*,*,#216293,.F.); #298711=ORIENTED_EDGE('',*,*,#216296,.F.); #298712=ORIENTED_EDGE('',*,*,#216299,.F.); #298713=ORIENTED_EDGE('',*,*,#216302,.F.); #298714=ORIENTED_EDGE('',*,*,#216305,.F.); #298715=ORIENTED_EDGE('',*,*,#216308,.F.); #298716=ORIENTED_EDGE('',*,*,#216311,.F.); #298717=ORIENTED_EDGE('',*,*,#216314,.F.); #298718=ORIENTED_EDGE('',*,*,#216317,.F.); #298719=ORIENTED_EDGE('',*,*,#216320,.F.); #298720=ORIENTED_EDGE('',*,*,#216323,.F.); #298721=ORIENTED_EDGE('',*,*,#216326,.F.); #298722=ORIENTED_EDGE('',*,*,#216329,.F.); #298723=ORIENTED_EDGE('',*,*,#216332,.F.); #298724=ORIENTED_EDGE('',*,*,#216335,.F.); #298725=ORIENTED_EDGE('',*,*,#216338,.F.); #298726=ORIENTED_EDGE('',*,*,#216341,.F.); #298727=ORIENTED_EDGE('',*,*,#216344,.F.); #298728=ORIENTED_EDGE('',*,*,#216347,.F.); #298729=ORIENTED_EDGE('',*,*,#216350,.F.); #298730=ORIENTED_EDGE('',*,*,#216353,.F.); #298731=ORIENTED_EDGE('',*,*,#216356,.F.); #298732=ORIENTED_EDGE('',*,*,#216359,.F.); #298733=ORIENTED_EDGE('',*,*,#216362,.F.); #298734=ORIENTED_EDGE('',*,*,#216365,.F.); #298735=ORIENTED_EDGE('',*,*,#216100,.T.); #298736=ORIENTED_EDGE('',*,*,#216103,.T.); #298737=ORIENTED_EDGE('',*,*,#216106,.T.); #298738=ORIENTED_EDGE('',*,*,#216109,.T.); #298739=ORIENTED_EDGE('',*,*,#216112,.T.); #298740=ORIENTED_EDGE('',*,*,#216115,.T.); #298741=ORIENTED_EDGE('',*,*,#216118,.T.); #298742=ORIENTED_EDGE('',*,*,#216121,.T.); #298743=ORIENTED_EDGE('',*,*,#216124,.T.); #298744=ORIENTED_EDGE('',*,*,#216127,.T.); #298745=ORIENTED_EDGE('',*,*,#216130,.T.); #298746=ORIENTED_EDGE('',*,*,#216133,.T.); #298747=ORIENTED_EDGE('',*,*,#216136,.T.); #298748=ORIENTED_EDGE('',*,*,#216139,.T.); #298749=ORIENTED_EDGE('',*,*,#216142,.T.); #298750=ORIENTED_EDGE('',*,*,#216145,.T.); #298751=ORIENTED_EDGE('',*,*,#216370,.F.); #298752=ORIENTED_EDGE('',*,*,#216371,.T.); #298753=ORIENTED_EDGE('',*,*,#216372,.F.); #298754=ORIENTED_EDGE('',*,*,#216371,.F.); #298755=ORIENTED_EDGE('',*,*,#216373,.F.); #298756=ORIENTED_EDGE('',*,*,#216374,.T.); #298757=ORIENTED_EDGE('',*,*,#216375,.F.); #298758=ORIENTED_EDGE('',*,*,#216374,.F.); #298759=ORIENTED_EDGE('',*,*,#216376,.F.); #298760=ORIENTED_EDGE('',*,*,#216377,.T.); #298761=ORIENTED_EDGE('',*,*,#216378,.F.); #298762=ORIENTED_EDGE('',*,*,#216377,.F.); #298763=ORIENTED_EDGE('',*,*,#216379,.F.); #298764=ORIENTED_EDGE('',*,*,#216380,.T.); #298765=ORIENTED_EDGE('',*,*,#216381,.F.); #298766=ORIENTED_EDGE('',*,*,#216380,.F.); #298767=ORIENTED_EDGE('',*,*,#216382,.F.); #298768=ORIENTED_EDGE('',*,*,#216383,.T.); #298769=ORIENTED_EDGE('',*,*,#216384,.F.); #298770=ORIENTED_EDGE('',*,*,#216383,.F.); #298771=ORIENTED_EDGE('',*,*,#216385,.F.); #298772=ORIENTED_EDGE('',*,*,#216386,.T.); #298773=ORIENTED_EDGE('',*,*,#216387,.F.); #298774=ORIENTED_EDGE('',*,*,#216386,.F.); #298775=ORIENTED_EDGE('',*,*,#216388,.F.); #298776=ORIENTED_EDGE('',*,*,#216389,.T.); #298777=ORIENTED_EDGE('',*,*,#216390,.F.); #298778=ORIENTED_EDGE('',*,*,#216389,.F.); #298779=ORIENTED_EDGE('',*,*,#216391,.F.); #298780=ORIENTED_EDGE('',*,*,#216392,.T.); #298781=ORIENTED_EDGE('',*,*,#216393,.F.); #298782=ORIENTED_EDGE('',*,*,#216392,.F.); #298783=ORIENTED_EDGE('',*,*,#216394,.F.); #298784=ORIENTED_EDGE('',*,*,#216395,.T.); #298785=ORIENTED_EDGE('',*,*,#216396,.F.); #298786=ORIENTED_EDGE('',*,*,#216395,.F.); #298787=ORIENTED_EDGE('',*,*,#216397,.F.); #298788=ORIENTED_EDGE('',*,*,#216398,.T.); #298789=ORIENTED_EDGE('',*,*,#216399,.F.); #298790=ORIENTED_EDGE('',*,*,#216398,.F.); #298791=ORIENTED_EDGE('',*,*,#216400,.F.); #298792=ORIENTED_EDGE('',*,*,#216401,.T.); #298793=ORIENTED_EDGE('',*,*,#216402,.F.); #298794=ORIENTED_EDGE('',*,*,#216401,.F.); #298795=ORIENTED_EDGE('',*,*,#216403,.F.); #298796=ORIENTED_EDGE('',*,*,#216404,.T.); #298797=ORIENTED_EDGE('',*,*,#216405,.F.); #298798=ORIENTED_EDGE('',*,*,#216404,.F.); #298799=ORIENTED_EDGE('',*,*,#216406,.F.); #298800=ORIENTED_EDGE('',*,*,#216407,.T.); #298801=ORIENTED_EDGE('',*,*,#216408,.F.); #298802=ORIENTED_EDGE('',*,*,#216407,.F.); #298803=ORIENTED_EDGE('',*,*,#216409,.F.); #298804=ORIENTED_EDGE('',*,*,#216410,.T.); #298805=ORIENTED_EDGE('',*,*,#216411,.F.); #298806=ORIENTED_EDGE('',*,*,#216410,.F.); #298807=ORIENTED_EDGE('',*,*,#216412,.F.); #298808=ORIENTED_EDGE('',*,*,#216413,.T.); #298809=ORIENTED_EDGE('',*,*,#216414,.F.); #298810=ORIENTED_EDGE('',*,*,#216413,.F.); #298811=ORIENTED_EDGE('',*,*,#216415,.F.); #298812=ORIENTED_EDGE('',*,*,#216416,.T.); #298813=ORIENTED_EDGE('',*,*,#216417,.F.); #298814=ORIENTED_EDGE('',*,*,#216416,.F.); #298815=ORIENTED_EDGE('',*,*,#216418,.T.); #298816=ORIENTED_EDGE('',*,*,#216419,.T.); #298817=ORIENTED_EDGE('',*,*,#216420,.F.); #298818=ORIENTED_EDGE('',*,*,#216421,.F.); #298819=ORIENTED_EDGE('',*,*,#216422,.T.); #298820=ORIENTED_EDGE('',*,*,#216421,.T.); #298821=ORIENTED_EDGE('',*,*,#216423,.F.); #298822=ORIENTED_EDGE('',*,*,#216424,.F.); #298823=ORIENTED_EDGE('',*,*,#216425,.T.); #298824=ORIENTED_EDGE('',*,*,#216424,.T.); #298825=ORIENTED_EDGE('',*,*,#216426,.F.); #298826=ORIENTED_EDGE('',*,*,#216427,.F.); #298827=ORIENTED_EDGE('',*,*,#216428,.T.); #298828=ORIENTED_EDGE('',*,*,#216427,.T.); #298829=ORIENTED_EDGE('',*,*,#216429,.F.); #298830=ORIENTED_EDGE('',*,*,#216430,.F.); #298831=ORIENTED_EDGE('',*,*,#216431,.T.); #298832=ORIENTED_EDGE('',*,*,#216430,.T.); #298833=ORIENTED_EDGE('',*,*,#216432,.F.); #298834=ORIENTED_EDGE('',*,*,#216433,.F.); #298835=ORIENTED_EDGE('',*,*,#216434,.T.); #298836=ORIENTED_EDGE('',*,*,#216433,.T.); #298837=ORIENTED_EDGE('',*,*,#216435,.F.); #298838=ORIENTED_EDGE('',*,*,#216436,.F.); #298839=ORIENTED_EDGE('',*,*,#216437,.T.); #298840=ORIENTED_EDGE('',*,*,#216436,.T.); #298841=ORIENTED_EDGE('',*,*,#216438,.F.); #298842=ORIENTED_EDGE('',*,*,#216439,.F.); #298843=ORIENTED_EDGE('',*,*,#216440,.T.); #298844=ORIENTED_EDGE('',*,*,#216439,.T.); #298845=ORIENTED_EDGE('',*,*,#216441,.F.); #298846=ORIENTED_EDGE('',*,*,#216442,.F.); #298847=ORIENTED_EDGE('',*,*,#216443,.T.); #298848=ORIENTED_EDGE('',*,*,#216442,.T.); #298849=ORIENTED_EDGE('',*,*,#216444,.F.); #298850=ORIENTED_EDGE('',*,*,#216445,.F.); #298851=ORIENTED_EDGE('',*,*,#216446,.T.); #298852=ORIENTED_EDGE('',*,*,#216445,.T.); #298853=ORIENTED_EDGE('',*,*,#216447,.F.); #298854=ORIENTED_EDGE('',*,*,#216448,.F.); #298855=ORIENTED_EDGE('',*,*,#216449,.T.); #298856=ORIENTED_EDGE('',*,*,#216448,.T.); #298857=ORIENTED_EDGE('',*,*,#216450,.F.); #298858=ORIENTED_EDGE('',*,*,#216451,.F.); #298859=ORIENTED_EDGE('',*,*,#216452,.T.); #298860=ORIENTED_EDGE('',*,*,#216451,.T.); #298861=ORIENTED_EDGE('',*,*,#216453,.F.); #298862=ORIENTED_EDGE('',*,*,#216454,.F.); #298863=ORIENTED_EDGE('',*,*,#216455,.T.); #298864=ORIENTED_EDGE('',*,*,#216454,.T.); #298865=ORIENTED_EDGE('',*,*,#216456,.F.); #298866=ORIENTED_EDGE('',*,*,#216457,.F.); #298867=ORIENTED_EDGE('',*,*,#216458,.T.); #298868=ORIENTED_EDGE('',*,*,#216457,.T.); #298869=ORIENTED_EDGE('',*,*,#216459,.F.); #298870=ORIENTED_EDGE('',*,*,#216460,.F.); #298871=ORIENTED_EDGE('',*,*,#216461,.T.); #298872=ORIENTED_EDGE('',*,*,#216460,.T.); #298873=ORIENTED_EDGE('',*,*,#216462,.F.); #298874=ORIENTED_EDGE('',*,*,#216463,.F.); #298875=ORIENTED_EDGE('',*,*,#216464,.T.); #298876=ORIENTED_EDGE('',*,*,#216463,.T.); #298877=ORIENTED_EDGE('',*,*,#216465,.F.); #298878=ORIENTED_EDGE('',*,*,#216466,.F.); #298879=ORIENTED_EDGE('',*,*,#216467,.T.); #298880=ORIENTED_EDGE('',*,*,#216466,.T.); #298881=ORIENTED_EDGE('',*,*,#216468,.F.); #298882=ORIENTED_EDGE('',*,*,#216469,.F.); #298883=ORIENTED_EDGE('',*,*,#216470,.T.); #298884=ORIENTED_EDGE('',*,*,#216469,.T.); #298885=ORIENTED_EDGE('',*,*,#216471,.F.); #298886=ORIENTED_EDGE('',*,*,#216472,.F.); #298887=ORIENTED_EDGE('',*,*,#216473,.T.); #298888=ORIENTED_EDGE('',*,*,#216472,.T.); #298889=ORIENTED_EDGE('',*,*,#216474,.F.); #298890=ORIENTED_EDGE('',*,*,#216475,.F.); #298891=ORIENTED_EDGE('',*,*,#216476,.T.); #298892=ORIENTED_EDGE('',*,*,#216475,.T.); #298893=ORIENTED_EDGE('',*,*,#216477,.F.); #298894=ORIENTED_EDGE('',*,*,#216478,.F.); #298895=ORIENTED_EDGE('',*,*,#216479,.T.); #298896=ORIENTED_EDGE('',*,*,#216478,.T.); #298897=ORIENTED_EDGE('',*,*,#216480,.F.); #298898=ORIENTED_EDGE('',*,*,#216481,.F.); #298899=ORIENTED_EDGE('',*,*,#216482,.T.); #298900=ORIENTED_EDGE('',*,*,#216481,.T.); #298901=ORIENTED_EDGE('',*,*,#216483,.F.); #298902=ORIENTED_EDGE('',*,*,#216484,.F.); #298903=ORIENTED_EDGE('',*,*,#216485,.T.); #298904=ORIENTED_EDGE('',*,*,#216484,.T.); #298905=ORIENTED_EDGE('',*,*,#216486,.F.); #298906=ORIENTED_EDGE('',*,*,#216487,.F.); #298907=ORIENTED_EDGE('',*,*,#216488,.T.); #298908=ORIENTED_EDGE('',*,*,#216487,.T.); #298909=ORIENTED_EDGE('',*,*,#216489,.F.); #298910=ORIENTED_EDGE('',*,*,#216490,.F.); #298911=ORIENTED_EDGE('',*,*,#216491,.T.); #298912=ORIENTED_EDGE('',*,*,#216490,.T.); #298913=ORIENTED_EDGE('',*,*,#216492,.F.); #298914=ORIENTED_EDGE('',*,*,#216493,.F.); #298915=ORIENTED_EDGE('',*,*,#216494,.T.); #298916=ORIENTED_EDGE('',*,*,#216493,.T.); #298917=ORIENTED_EDGE('',*,*,#216495,.F.); #298918=ORIENTED_EDGE('',*,*,#216496,.F.); #298919=ORIENTED_EDGE('',*,*,#216497,.T.); #298920=ORIENTED_EDGE('',*,*,#216496,.T.); #298921=ORIENTED_EDGE('',*,*,#216498,.F.); #298922=ORIENTED_EDGE('',*,*,#216499,.F.); #298923=ORIENTED_EDGE('',*,*,#216500,.T.); #298924=ORIENTED_EDGE('',*,*,#216499,.T.); #298925=ORIENTED_EDGE('',*,*,#216501,.F.); #298926=ORIENTED_EDGE('',*,*,#216502,.F.); #298927=ORIENTED_EDGE('',*,*,#216503,.T.); #298928=ORIENTED_EDGE('',*,*,#216502,.T.); #298929=ORIENTED_EDGE('',*,*,#216504,.F.); #298930=ORIENTED_EDGE('',*,*,#216505,.F.); #298931=ORIENTED_EDGE('',*,*,#216506,.T.); #298932=ORIENTED_EDGE('',*,*,#216505,.T.); #298933=ORIENTED_EDGE('',*,*,#216507,.F.); #298934=ORIENTED_EDGE('',*,*,#216508,.F.); #298935=ORIENTED_EDGE('',*,*,#216509,.T.); #298936=ORIENTED_EDGE('',*,*,#216508,.T.); #298937=ORIENTED_EDGE('',*,*,#216510,.F.); #298938=ORIENTED_EDGE('',*,*,#216511,.F.); #298939=ORIENTED_EDGE('',*,*,#216512,.T.); #298940=ORIENTED_EDGE('',*,*,#216511,.T.); #298941=ORIENTED_EDGE('',*,*,#216513,.F.); #298942=ORIENTED_EDGE('',*,*,#216514,.F.); #298943=ORIENTED_EDGE('',*,*,#216515,.T.); #298944=ORIENTED_EDGE('',*,*,#216514,.T.); #298945=ORIENTED_EDGE('',*,*,#216516,.F.); #298946=ORIENTED_EDGE('',*,*,#216517,.F.); #298947=ORIENTED_EDGE('',*,*,#216518,.T.); #298948=ORIENTED_EDGE('',*,*,#216517,.T.); #298949=ORIENTED_EDGE('',*,*,#216519,.F.); #298950=ORIENTED_EDGE('',*,*,#216520,.F.); #298951=ORIENTED_EDGE('',*,*,#216521,.T.); #298952=ORIENTED_EDGE('',*,*,#216520,.T.); #298953=ORIENTED_EDGE('',*,*,#216522,.F.); #298954=ORIENTED_EDGE('',*,*,#216523,.F.); #298955=ORIENTED_EDGE('',*,*,#216524,.T.); #298956=ORIENTED_EDGE('',*,*,#216523,.T.); #298957=ORIENTED_EDGE('',*,*,#216525,.F.); #298958=ORIENTED_EDGE('',*,*,#216526,.F.); #298959=ORIENTED_EDGE('',*,*,#216527,.T.); #298960=ORIENTED_EDGE('',*,*,#216526,.T.); #298961=ORIENTED_EDGE('',*,*,#216528,.F.); #298962=ORIENTED_EDGE('',*,*,#216529,.F.); #298963=ORIENTED_EDGE('',*,*,#216530,.T.); #298964=ORIENTED_EDGE('',*,*,#216529,.T.); #298965=ORIENTED_EDGE('',*,*,#216531,.F.); #298966=ORIENTED_EDGE('',*,*,#216532,.F.); #298967=ORIENTED_EDGE('',*,*,#216533,.T.); #298968=ORIENTED_EDGE('',*,*,#216532,.T.); #298969=ORIENTED_EDGE('',*,*,#216534,.F.); #298970=ORIENTED_EDGE('',*,*,#216535,.F.); #298971=ORIENTED_EDGE('',*,*,#216536,.T.); #298972=ORIENTED_EDGE('',*,*,#216535,.T.); #298973=ORIENTED_EDGE('',*,*,#216537,.F.); #298974=ORIENTED_EDGE('',*,*,#216538,.F.); #298975=ORIENTED_EDGE('',*,*,#216539,.T.); #298976=ORIENTED_EDGE('',*,*,#216538,.T.); #298977=ORIENTED_EDGE('',*,*,#216540,.F.); #298978=ORIENTED_EDGE('',*,*,#216541,.F.); #298979=ORIENTED_EDGE('',*,*,#216542,.T.); #298980=ORIENTED_EDGE('',*,*,#216541,.T.); #298981=ORIENTED_EDGE('',*,*,#216543,.F.); #298982=ORIENTED_EDGE('',*,*,#216544,.F.); #298983=ORIENTED_EDGE('',*,*,#216545,.T.); #298984=ORIENTED_EDGE('',*,*,#216544,.T.); #298985=ORIENTED_EDGE('',*,*,#216546,.F.); #298986=ORIENTED_EDGE('',*,*,#216547,.F.); #298987=ORIENTED_EDGE('',*,*,#216548,.T.); #298988=ORIENTED_EDGE('',*,*,#216547,.T.); #298989=ORIENTED_EDGE('',*,*,#216549,.F.); #298990=ORIENTED_EDGE('',*,*,#216550,.F.); #298991=ORIENTED_EDGE('',*,*,#216551,.T.); #298992=ORIENTED_EDGE('',*,*,#216550,.T.); #298993=ORIENTED_EDGE('',*,*,#216552,.F.); #298994=ORIENTED_EDGE('',*,*,#216553,.F.); #298995=ORIENTED_EDGE('',*,*,#216554,.T.); #298996=ORIENTED_EDGE('',*,*,#216553,.T.); #298997=ORIENTED_EDGE('',*,*,#216555,.F.); #298998=ORIENTED_EDGE('',*,*,#216556,.F.); #298999=ORIENTED_EDGE('',*,*,#216557,.T.); #299000=ORIENTED_EDGE('',*,*,#216556,.T.); #299001=ORIENTED_EDGE('',*,*,#216558,.F.); #299002=ORIENTED_EDGE('',*,*,#216559,.F.); #299003=ORIENTED_EDGE('',*,*,#216560,.T.); #299004=ORIENTED_EDGE('',*,*,#216559,.T.); #299005=ORIENTED_EDGE('',*,*,#216561,.F.); #299006=ORIENTED_EDGE('',*,*,#216562,.F.); #299007=ORIENTED_EDGE('',*,*,#216563,.T.); #299008=ORIENTED_EDGE('',*,*,#216562,.T.); #299009=ORIENTED_EDGE('',*,*,#216564,.F.); #299010=ORIENTED_EDGE('',*,*,#216565,.F.); #299011=ORIENTED_EDGE('',*,*,#216566,.T.); #299012=ORIENTED_EDGE('',*,*,#216565,.T.); #299013=ORIENTED_EDGE('',*,*,#216567,.F.); #299014=ORIENTED_EDGE('',*,*,#216568,.F.); #299015=ORIENTED_EDGE('',*,*,#216569,.T.); #299016=ORIENTED_EDGE('',*,*,#216568,.T.); #299017=ORIENTED_EDGE('',*,*,#216570,.F.); #299018=ORIENTED_EDGE('',*,*,#216571,.F.); #299019=ORIENTED_EDGE('',*,*,#216572,.T.); #299020=ORIENTED_EDGE('',*,*,#216571,.T.); #299021=ORIENTED_EDGE('',*,*,#216573,.F.); #299022=ORIENTED_EDGE('',*,*,#216574,.F.); #299023=ORIENTED_EDGE('',*,*,#216575,.T.); #299024=ORIENTED_EDGE('',*,*,#216574,.T.); #299025=ORIENTED_EDGE('',*,*,#216576,.F.); #299026=ORIENTED_EDGE('',*,*,#216577,.F.); #299027=ORIENTED_EDGE('',*,*,#216578,.T.); #299028=ORIENTED_EDGE('',*,*,#216577,.T.); #299029=ORIENTED_EDGE('',*,*,#216579,.F.); #299030=ORIENTED_EDGE('',*,*,#216580,.F.); #299031=ORIENTED_EDGE('',*,*,#216581,.T.); #299032=ORIENTED_EDGE('',*,*,#216580,.T.); #299033=ORIENTED_EDGE('',*,*,#216582,.F.); #299034=ORIENTED_EDGE('',*,*,#216583,.F.); #299035=ORIENTED_EDGE('',*,*,#216584,.T.); #299036=ORIENTED_EDGE('',*,*,#216583,.T.); #299037=ORIENTED_EDGE('',*,*,#216585,.F.); #299038=ORIENTED_EDGE('',*,*,#216586,.F.); #299039=ORIENTED_EDGE('',*,*,#216587,.T.); #299040=ORIENTED_EDGE('',*,*,#216586,.T.); #299041=ORIENTED_EDGE('',*,*,#216588,.F.); #299042=ORIENTED_EDGE('',*,*,#216589,.F.); #299043=ORIENTED_EDGE('',*,*,#216590,.T.); #299044=ORIENTED_EDGE('',*,*,#216589,.T.); #299045=ORIENTED_EDGE('',*,*,#216591,.F.); #299046=ORIENTED_EDGE('',*,*,#216592,.F.); #299047=ORIENTED_EDGE('',*,*,#216593,.T.); #299048=ORIENTED_EDGE('',*,*,#216592,.T.); #299049=ORIENTED_EDGE('',*,*,#216594,.F.); #299050=ORIENTED_EDGE('',*,*,#216595,.F.); #299051=ORIENTED_EDGE('',*,*,#216596,.T.); #299052=ORIENTED_EDGE('',*,*,#216595,.T.); #299053=ORIENTED_EDGE('',*,*,#216597,.F.); #299054=ORIENTED_EDGE('',*,*,#216598,.F.); #299055=ORIENTED_EDGE('',*,*,#216599,.T.); #299056=ORIENTED_EDGE('',*,*,#216598,.T.); #299057=ORIENTED_EDGE('',*,*,#216600,.F.); #299058=ORIENTED_EDGE('',*,*,#216601,.F.); #299059=ORIENTED_EDGE('',*,*,#216602,.T.); #299060=ORIENTED_EDGE('',*,*,#216601,.T.); #299061=ORIENTED_EDGE('',*,*,#216603,.F.); #299062=ORIENTED_EDGE('',*,*,#216604,.F.); #299063=ORIENTED_EDGE('',*,*,#216605,.T.); #299064=ORIENTED_EDGE('',*,*,#216604,.T.); #299065=ORIENTED_EDGE('',*,*,#216606,.F.); #299066=ORIENTED_EDGE('',*,*,#216607,.F.); #299067=ORIENTED_EDGE('',*,*,#216608,.T.); #299068=ORIENTED_EDGE('',*,*,#216607,.T.); #299069=ORIENTED_EDGE('',*,*,#216609,.F.); #299070=ORIENTED_EDGE('',*,*,#216610,.F.); #299071=ORIENTED_EDGE('',*,*,#216611,.T.); #299072=ORIENTED_EDGE('',*,*,#216610,.T.); #299073=ORIENTED_EDGE('',*,*,#216612,.F.); #299074=ORIENTED_EDGE('',*,*,#216613,.F.); #299075=ORIENTED_EDGE('',*,*,#216614,.T.); #299076=ORIENTED_EDGE('',*,*,#216613,.T.); #299077=ORIENTED_EDGE('',*,*,#216615,.F.); #299078=ORIENTED_EDGE('',*,*,#216616,.F.); #299079=ORIENTED_EDGE('',*,*,#216617,.T.); #299080=ORIENTED_EDGE('',*,*,#216616,.T.); #299081=ORIENTED_EDGE('',*,*,#216618,.F.); #299082=ORIENTED_EDGE('',*,*,#216619,.F.); #299083=ORIENTED_EDGE('',*,*,#216620,.T.); #299084=ORIENTED_EDGE('',*,*,#216619,.T.); #299085=ORIENTED_EDGE('',*,*,#216621,.F.); #299086=ORIENTED_EDGE('',*,*,#216622,.F.); #299087=ORIENTED_EDGE('',*,*,#216623,.T.); #299088=ORIENTED_EDGE('',*,*,#216622,.T.); #299089=ORIENTED_EDGE('',*,*,#216624,.F.); #299090=ORIENTED_EDGE('',*,*,#216625,.F.); #299091=ORIENTED_EDGE('',*,*,#216626,.T.); #299092=ORIENTED_EDGE('',*,*,#216625,.T.); #299093=ORIENTED_EDGE('',*,*,#216627,.F.); #299094=ORIENTED_EDGE('',*,*,#216419,.F.); #299095=ORIENTED_EDGE('',*,*,#216627,.T.); #299096=ORIENTED_EDGE('',*,*,#216624,.T.); #299097=ORIENTED_EDGE('',*,*,#216621,.T.); #299098=ORIENTED_EDGE('',*,*,#216618,.T.); #299099=ORIENTED_EDGE('',*,*,#216615,.T.); #299100=ORIENTED_EDGE('',*,*,#216612,.T.); #299101=ORIENTED_EDGE('',*,*,#216609,.T.); #299102=ORIENTED_EDGE('',*,*,#216606,.T.); #299103=ORIENTED_EDGE('',*,*,#216603,.T.); #299104=ORIENTED_EDGE('',*,*,#216600,.T.); #299105=ORIENTED_EDGE('',*,*,#216597,.T.); #299106=ORIENTED_EDGE('',*,*,#216594,.T.); #299107=ORIENTED_EDGE('',*,*,#216591,.T.); #299108=ORIENTED_EDGE('',*,*,#216588,.T.); #299109=ORIENTED_EDGE('',*,*,#216585,.T.); #299110=ORIENTED_EDGE('',*,*,#216582,.T.); #299111=ORIENTED_EDGE('',*,*,#216579,.T.); #299112=ORIENTED_EDGE('',*,*,#216576,.T.); #299113=ORIENTED_EDGE('',*,*,#216573,.T.); #299114=ORIENTED_EDGE('',*,*,#216570,.T.); #299115=ORIENTED_EDGE('',*,*,#216567,.T.); #299116=ORIENTED_EDGE('',*,*,#216564,.T.); #299117=ORIENTED_EDGE('',*,*,#216561,.T.); #299118=ORIENTED_EDGE('',*,*,#216558,.T.); #299119=ORIENTED_EDGE('',*,*,#216555,.T.); #299120=ORIENTED_EDGE('',*,*,#216552,.T.); #299121=ORIENTED_EDGE('',*,*,#216549,.T.); #299122=ORIENTED_EDGE('',*,*,#216546,.T.); #299123=ORIENTED_EDGE('',*,*,#216543,.T.); #299124=ORIENTED_EDGE('',*,*,#216540,.T.); #299125=ORIENTED_EDGE('',*,*,#216537,.T.); #299126=ORIENTED_EDGE('',*,*,#216534,.T.); #299127=ORIENTED_EDGE('',*,*,#216531,.T.); #299128=ORIENTED_EDGE('',*,*,#216528,.T.); #299129=ORIENTED_EDGE('',*,*,#216525,.T.); #299130=ORIENTED_EDGE('',*,*,#216522,.T.); #299131=ORIENTED_EDGE('',*,*,#216519,.T.); #299132=ORIENTED_EDGE('',*,*,#216516,.T.); #299133=ORIENTED_EDGE('',*,*,#216513,.T.); #299134=ORIENTED_EDGE('',*,*,#216510,.T.); #299135=ORIENTED_EDGE('',*,*,#216507,.T.); #299136=ORIENTED_EDGE('',*,*,#216504,.T.); #299137=ORIENTED_EDGE('',*,*,#216501,.T.); #299138=ORIENTED_EDGE('',*,*,#216498,.T.); #299139=ORIENTED_EDGE('',*,*,#216495,.T.); #299140=ORIENTED_EDGE('',*,*,#216492,.T.); #299141=ORIENTED_EDGE('',*,*,#216489,.T.); #299142=ORIENTED_EDGE('',*,*,#216486,.T.); #299143=ORIENTED_EDGE('',*,*,#216483,.T.); #299144=ORIENTED_EDGE('',*,*,#216480,.T.); #299145=ORIENTED_EDGE('',*,*,#216477,.T.); #299146=ORIENTED_EDGE('',*,*,#216474,.T.); #299147=ORIENTED_EDGE('',*,*,#216471,.T.); #299148=ORIENTED_EDGE('',*,*,#216468,.T.); #299149=ORIENTED_EDGE('',*,*,#216465,.T.); #299150=ORIENTED_EDGE('',*,*,#216462,.T.); #299151=ORIENTED_EDGE('',*,*,#216459,.T.); #299152=ORIENTED_EDGE('',*,*,#216456,.T.); #299153=ORIENTED_EDGE('',*,*,#216453,.T.); #299154=ORIENTED_EDGE('',*,*,#216450,.T.); #299155=ORIENTED_EDGE('',*,*,#216447,.T.); #299156=ORIENTED_EDGE('',*,*,#216444,.T.); #299157=ORIENTED_EDGE('',*,*,#216441,.T.); #299158=ORIENTED_EDGE('',*,*,#216438,.T.); #299159=ORIENTED_EDGE('',*,*,#216435,.T.); #299160=ORIENTED_EDGE('',*,*,#216432,.T.); #299161=ORIENTED_EDGE('',*,*,#216429,.T.); #299162=ORIENTED_EDGE('',*,*,#216426,.T.); #299163=ORIENTED_EDGE('',*,*,#216423,.T.); #299164=ORIENTED_EDGE('',*,*,#216420,.T.); #299165=ORIENTED_EDGE('',*,*,#216372,.T.); #299166=ORIENTED_EDGE('',*,*,#216375,.T.); #299167=ORIENTED_EDGE('',*,*,#216378,.T.); #299168=ORIENTED_EDGE('',*,*,#216381,.T.); #299169=ORIENTED_EDGE('',*,*,#216384,.T.); #299170=ORIENTED_EDGE('',*,*,#216387,.T.); #299171=ORIENTED_EDGE('',*,*,#216390,.T.); #299172=ORIENTED_EDGE('',*,*,#216393,.T.); #299173=ORIENTED_EDGE('',*,*,#216396,.T.); #299174=ORIENTED_EDGE('',*,*,#216399,.T.); #299175=ORIENTED_EDGE('',*,*,#216402,.T.); #299176=ORIENTED_EDGE('',*,*,#216405,.T.); #299177=ORIENTED_EDGE('',*,*,#216408,.T.); #299178=ORIENTED_EDGE('',*,*,#216411,.T.); #299179=ORIENTED_EDGE('',*,*,#216414,.T.); #299180=ORIENTED_EDGE('',*,*,#216417,.T.); #299181=ORIENTED_EDGE('',*,*,#216626,.F.); #299182=ORIENTED_EDGE('',*,*,#216418,.F.); #299183=ORIENTED_EDGE('',*,*,#216422,.F.); #299184=ORIENTED_EDGE('',*,*,#216425,.F.); #299185=ORIENTED_EDGE('',*,*,#216428,.F.); #299186=ORIENTED_EDGE('',*,*,#216431,.F.); #299187=ORIENTED_EDGE('',*,*,#216434,.F.); #299188=ORIENTED_EDGE('',*,*,#216437,.F.); #299189=ORIENTED_EDGE('',*,*,#216440,.F.); #299190=ORIENTED_EDGE('',*,*,#216443,.F.); #299191=ORIENTED_EDGE('',*,*,#216446,.F.); #299192=ORIENTED_EDGE('',*,*,#216449,.F.); #299193=ORIENTED_EDGE('',*,*,#216452,.F.); #299194=ORIENTED_EDGE('',*,*,#216455,.F.); #299195=ORIENTED_EDGE('',*,*,#216458,.F.); #299196=ORIENTED_EDGE('',*,*,#216461,.F.); #299197=ORIENTED_EDGE('',*,*,#216464,.F.); #299198=ORIENTED_EDGE('',*,*,#216467,.F.); #299199=ORIENTED_EDGE('',*,*,#216470,.F.); #299200=ORIENTED_EDGE('',*,*,#216473,.F.); #299201=ORIENTED_EDGE('',*,*,#216476,.F.); #299202=ORIENTED_EDGE('',*,*,#216479,.F.); #299203=ORIENTED_EDGE('',*,*,#216482,.F.); #299204=ORIENTED_EDGE('',*,*,#216485,.F.); #299205=ORIENTED_EDGE('',*,*,#216488,.F.); #299206=ORIENTED_EDGE('',*,*,#216491,.F.); #299207=ORIENTED_EDGE('',*,*,#216494,.F.); #299208=ORIENTED_EDGE('',*,*,#216497,.F.); #299209=ORIENTED_EDGE('',*,*,#216500,.F.); #299210=ORIENTED_EDGE('',*,*,#216503,.F.); #299211=ORIENTED_EDGE('',*,*,#216506,.F.); #299212=ORIENTED_EDGE('',*,*,#216509,.F.); #299213=ORIENTED_EDGE('',*,*,#216512,.F.); #299214=ORIENTED_EDGE('',*,*,#216515,.F.); #299215=ORIENTED_EDGE('',*,*,#216518,.F.); #299216=ORIENTED_EDGE('',*,*,#216521,.F.); #299217=ORIENTED_EDGE('',*,*,#216524,.F.); #299218=ORIENTED_EDGE('',*,*,#216527,.F.); #299219=ORIENTED_EDGE('',*,*,#216530,.F.); #299220=ORIENTED_EDGE('',*,*,#216533,.F.); #299221=ORIENTED_EDGE('',*,*,#216536,.F.); #299222=ORIENTED_EDGE('',*,*,#216539,.F.); #299223=ORIENTED_EDGE('',*,*,#216542,.F.); #299224=ORIENTED_EDGE('',*,*,#216545,.F.); #299225=ORIENTED_EDGE('',*,*,#216548,.F.); #299226=ORIENTED_EDGE('',*,*,#216551,.F.); #299227=ORIENTED_EDGE('',*,*,#216554,.F.); #299228=ORIENTED_EDGE('',*,*,#216557,.F.); #299229=ORIENTED_EDGE('',*,*,#216560,.F.); #299230=ORIENTED_EDGE('',*,*,#216563,.F.); #299231=ORIENTED_EDGE('',*,*,#216566,.F.); #299232=ORIENTED_EDGE('',*,*,#216569,.F.); #299233=ORIENTED_EDGE('',*,*,#216572,.F.); #299234=ORIENTED_EDGE('',*,*,#216575,.F.); #299235=ORIENTED_EDGE('',*,*,#216578,.F.); #299236=ORIENTED_EDGE('',*,*,#216581,.F.); #299237=ORIENTED_EDGE('',*,*,#216584,.F.); #299238=ORIENTED_EDGE('',*,*,#216587,.F.); #299239=ORIENTED_EDGE('',*,*,#216590,.F.); #299240=ORIENTED_EDGE('',*,*,#216593,.F.); #299241=ORIENTED_EDGE('',*,*,#216596,.F.); #299242=ORIENTED_EDGE('',*,*,#216599,.F.); #299243=ORIENTED_EDGE('',*,*,#216602,.F.); #299244=ORIENTED_EDGE('',*,*,#216605,.F.); #299245=ORIENTED_EDGE('',*,*,#216608,.F.); #299246=ORIENTED_EDGE('',*,*,#216611,.F.); #299247=ORIENTED_EDGE('',*,*,#216614,.F.); #299248=ORIENTED_EDGE('',*,*,#216617,.F.); #299249=ORIENTED_EDGE('',*,*,#216620,.F.); #299250=ORIENTED_EDGE('',*,*,#216623,.F.); #299251=ORIENTED_EDGE('',*,*,#216370,.T.); #299252=ORIENTED_EDGE('',*,*,#216373,.T.); #299253=ORIENTED_EDGE('',*,*,#216376,.T.); #299254=ORIENTED_EDGE('',*,*,#216379,.T.); #299255=ORIENTED_EDGE('',*,*,#216382,.T.); #299256=ORIENTED_EDGE('',*,*,#216385,.T.); #299257=ORIENTED_EDGE('',*,*,#216388,.T.); #299258=ORIENTED_EDGE('',*,*,#216391,.T.); #299259=ORIENTED_EDGE('',*,*,#216394,.T.); #299260=ORIENTED_EDGE('',*,*,#216397,.T.); #299261=ORIENTED_EDGE('',*,*,#216400,.T.); #299262=ORIENTED_EDGE('',*,*,#216403,.T.); #299263=ORIENTED_EDGE('',*,*,#216406,.T.); #299264=ORIENTED_EDGE('',*,*,#216409,.T.); #299265=ORIENTED_EDGE('',*,*,#216412,.T.); #299266=ORIENTED_EDGE('',*,*,#216415,.T.); #299267=ORIENTED_EDGE('',*,*,#216628,.F.); #299268=ORIENTED_EDGE('',*,*,#216629,.T.); #299269=ORIENTED_EDGE('',*,*,#216630,.F.); #299270=ORIENTED_EDGE('',*,*,#216629,.F.); #299271=ORIENTED_EDGE('',*,*,#216631,.F.); #299272=ORIENTED_EDGE('',*,*,#216632,.T.); #299273=ORIENTED_EDGE('',*,*,#216633,.F.); #299274=ORIENTED_EDGE('',*,*,#216632,.F.); #299275=ORIENTED_EDGE('',*,*,#216634,.F.); #299276=ORIENTED_EDGE('',*,*,#216635,.T.); #299277=ORIENTED_EDGE('',*,*,#216636,.F.); #299278=ORIENTED_EDGE('',*,*,#216635,.F.); #299279=ORIENTED_EDGE('',*,*,#216637,.F.); #299280=ORIENTED_EDGE('',*,*,#216638,.T.); #299281=ORIENTED_EDGE('',*,*,#216639,.F.); #299282=ORIENTED_EDGE('',*,*,#216638,.F.); #299283=ORIENTED_EDGE('',*,*,#216640,.F.); #299284=ORIENTED_EDGE('',*,*,#216641,.T.); #299285=ORIENTED_EDGE('',*,*,#216642,.F.); #299286=ORIENTED_EDGE('',*,*,#216641,.F.); #299287=ORIENTED_EDGE('',*,*,#216643,.F.); #299288=ORIENTED_EDGE('',*,*,#216644,.T.); #299289=ORIENTED_EDGE('',*,*,#216645,.F.); #299290=ORIENTED_EDGE('',*,*,#216644,.F.); #299291=ORIENTED_EDGE('',*,*,#216646,.F.); #299292=ORIENTED_EDGE('',*,*,#216647,.T.); #299293=ORIENTED_EDGE('',*,*,#216648,.F.); #299294=ORIENTED_EDGE('',*,*,#216647,.F.); #299295=ORIENTED_EDGE('',*,*,#216649,.F.); #299296=ORIENTED_EDGE('',*,*,#216650,.T.); #299297=ORIENTED_EDGE('',*,*,#216651,.F.); #299298=ORIENTED_EDGE('',*,*,#216650,.F.); #299299=ORIENTED_EDGE('',*,*,#216652,.F.); #299300=ORIENTED_EDGE('',*,*,#216653,.T.); #299301=ORIENTED_EDGE('',*,*,#216654,.F.); #299302=ORIENTED_EDGE('',*,*,#216653,.F.); #299303=ORIENTED_EDGE('',*,*,#216655,.F.); #299304=ORIENTED_EDGE('',*,*,#216656,.T.); #299305=ORIENTED_EDGE('',*,*,#216657,.F.); #299306=ORIENTED_EDGE('',*,*,#216656,.F.); #299307=ORIENTED_EDGE('',*,*,#216658,.F.); #299308=ORIENTED_EDGE('',*,*,#216659,.T.); #299309=ORIENTED_EDGE('',*,*,#216660,.F.); #299310=ORIENTED_EDGE('',*,*,#216659,.F.); #299311=ORIENTED_EDGE('',*,*,#216661,.F.); #299312=ORIENTED_EDGE('',*,*,#216662,.T.); #299313=ORIENTED_EDGE('',*,*,#216663,.F.); #299314=ORIENTED_EDGE('',*,*,#216662,.F.); #299315=ORIENTED_EDGE('',*,*,#216664,.F.); #299316=ORIENTED_EDGE('',*,*,#216665,.T.); #299317=ORIENTED_EDGE('',*,*,#216666,.F.); #299318=ORIENTED_EDGE('',*,*,#216665,.F.); #299319=ORIENTED_EDGE('',*,*,#216667,.F.); #299320=ORIENTED_EDGE('',*,*,#216668,.T.); #299321=ORIENTED_EDGE('',*,*,#216669,.F.); #299322=ORIENTED_EDGE('',*,*,#216668,.F.); #299323=ORIENTED_EDGE('',*,*,#216670,.F.); #299324=ORIENTED_EDGE('',*,*,#216671,.T.); #299325=ORIENTED_EDGE('',*,*,#216672,.F.); #299326=ORIENTED_EDGE('',*,*,#216671,.F.); #299327=ORIENTED_EDGE('',*,*,#216673,.F.); #299328=ORIENTED_EDGE('',*,*,#216674,.T.); #299329=ORIENTED_EDGE('',*,*,#216675,.F.); #299330=ORIENTED_EDGE('',*,*,#216674,.F.); #299331=ORIENTED_EDGE('',*,*,#216676,.F.); #299332=ORIENTED_EDGE('',*,*,#216677,.T.); #299333=ORIENTED_EDGE('',*,*,#216678,.F.); #299334=ORIENTED_EDGE('',*,*,#216677,.F.); #299335=ORIENTED_EDGE('',*,*,#216679,.F.); #299336=ORIENTED_EDGE('',*,*,#216680,.T.); #299337=ORIENTED_EDGE('',*,*,#216681,.F.); #299338=ORIENTED_EDGE('',*,*,#216680,.F.); #299339=ORIENTED_EDGE('',*,*,#216682,.F.); #299340=ORIENTED_EDGE('',*,*,#216683,.T.); #299341=ORIENTED_EDGE('',*,*,#216684,.F.); #299342=ORIENTED_EDGE('',*,*,#216683,.F.); #299343=ORIENTED_EDGE('',*,*,#216685,.F.); #299344=ORIENTED_EDGE('',*,*,#216686,.T.); #299345=ORIENTED_EDGE('',*,*,#216687,.F.); #299346=ORIENTED_EDGE('',*,*,#216686,.F.); #299347=ORIENTED_EDGE('',*,*,#216688,.F.); #299348=ORIENTED_EDGE('',*,*,#216689,.T.); #299349=ORIENTED_EDGE('',*,*,#216690,.F.); #299350=ORIENTED_EDGE('',*,*,#216689,.F.); #299351=ORIENTED_EDGE('',*,*,#216691,.F.); #299352=ORIENTED_EDGE('',*,*,#216692,.T.); #299353=ORIENTED_EDGE('',*,*,#216693,.F.); #299354=ORIENTED_EDGE('',*,*,#216692,.F.); #299355=ORIENTED_EDGE('',*,*,#216694,.F.); #299356=ORIENTED_EDGE('',*,*,#216695,.T.); #299357=ORIENTED_EDGE('',*,*,#216696,.F.); #299358=ORIENTED_EDGE('',*,*,#216695,.F.); #299359=ORIENTED_EDGE('',*,*,#216697,.F.); #299360=ORIENTED_EDGE('',*,*,#216698,.T.); #299361=ORIENTED_EDGE('',*,*,#216699,.F.); #299362=ORIENTED_EDGE('',*,*,#216698,.F.); #299363=ORIENTED_EDGE('',*,*,#216700,.F.); #299364=ORIENTED_EDGE('',*,*,#216701,.T.); #299365=ORIENTED_EDGE('',*,*,#216702,.F.); #299366=ORIENTED_EDGE('',*,*,#216701,.F.); #299367=ORIENTED_EDGE('',*,*,#216703,.F.); #299368=ORIENTED_EDGE('',*,*,#216704,.T.); #299369=ORIENTED_EDGE('',*,*,#216705,.F.); #299370=ORIENTED_EDGE('',*,*,#216704,.F.); #299371=ORIENTED_EDGE('',*,*,#216706,.F.); #299372=ORIENTED_EDGE('',*,*,#216707,.T.); #299373=ORIENTED_EDGE('',*,*,#216708,.F.); #299374=ORIENTED_EDGE('',*,*,#216707,.F.); #299375=ORIENTED_EDGE('',*,*,#216709,.F.); #299376=ORIENTED_EDGE('',*,*,#216710,.T.); #299377=ORIENTED_EDGE('',*,*,#216711,.F.); #299378=ORIENTED_EDGE('',*,*,#216710,.F.); #299379=ORIENTED_EDGE('',*,*,#216712,.F.); #299380=ORIENTED_EDGE('',*,*,#216713,.T.); #299381=ORIENTED_EDGE('',*,*,#216714,.F.); #299382=ORIENTED_EDGE('',*,*,#216713,.F.); #299383=ORIENTED_EDGE('',*,*,#216715,.F.); #299384=ORIENTED_EDGE('',*,*,#216716,.T.); #299385=ORIENTED_EDGE('',*,*,#216717,.F.); #299386=ORIENTED_EDGE('',*,*,#216716,.F.); #299387=ORIENTED_EDGE('',*,*,#216718,.T.); #299388=ORIENTED_EDGE('',*,*,#216719,.T.); #299389=ORIENTED_EDGE('',*,*,#216720,.F.); #299390=ORIENTED_EDGE('',*,*,#216721,.F.); #299391=ORIENTED_EDGE('',*,*,#216722,.T.); #299392=ORIENTED_EDGE('',*,*,#216721,.T.); #299393=ORIENTED_EDGE('',*,*,#216723,.F.); #299394=ORIENTED_EDGE('',*,*,#216724,.F.); #299395=ORIENTED_EDGE('',*,*,#216725,.T.); #299396=ORIENTED_EDGE('',*,*,#216724,.T.); #299397=ORIENTED_EDGE('',*,*,#216726,.F.); #299398=ORIENTED_EDGE('',*,*,#216727,.F.); #299399=ORIENTED_EDGE('',*,*,#216728,.T.); #299400=ORIENTED_EDGE('',*,*,#216727,.T.); #299401=ORIENTED_EDGE('',*,*,#216729,.F.); #299402=ORIENTED_EDGE('',*,*,#216730,.F.); #299403=ORIENTED_EDGE('',*,*,#216731,.T.); #299404=ORIENTED_EDGE('',*,*,#216730,.T.); #299405=ORIENTED_EDGE('',*,*,#216732,.F.); #299406=ORIENTED_EDGE('',*,*,#216733,.F.); #299407=ORIENTED_EDGE('',*,*,#216734,.T.); #299408=ORIENTED_EDGE('',*,*,#216733,.T.); #299409=ORIENTED_EDGE('',*,*,#216735,.F.); #299410=ORIENTED_EDGE('',*,*,#216736,.F.); #299411=ORIENTED_EDGE('',*,*,#216737,.T.); #299412=ORIENTED_EDGE('',*,*,#216736,.T.); #299413=ORIENTED_EDGE('',*,*,#216738,.F.); #299414=ORIENTED_EDGE('',*,*,#216739,.F.); #299415=ORIENTED_EDGE('',*,*,#216740,.T.); #299416=ORIENTED_EDGE('',*,*,#216739,.T.); #299417=ORIENTED_EDGE('',*,*,#216741,.F.); #299418=ORIENTED_EDGE('',*,*,#216742,.F.); #299419=ORIENTED_EDGE('',*,*,#216743,.T.); #299420=ORIENTED_EDGE('',*,*,#216742,.T.); #299421=ORIENTED_EDGE('',*,*,#216744,.F.); #299422=ORIENTED_EDGE('',*,*,#216745,.F.); #299423=ORIENTED_EDGE('',*,*,#216746,.T.); #299424=ORIENTED_EDGE('',*,*,#216745,.T.); #299425=ORIENTED_EDGE('',*,*,#216747,.F.); #299426=ORIENTED_EDGE('',*,*,#216748,.F.); #299427=ORIENTED_EDGE('',*,*,#216749,.T.); #299428=ORIENTED_EDGE('',*,*,#216748,.T.); #299429=ORIENTED_EDGE('',*,*,#216750,.F.); #299430=ORIENTED_EDGE('',*,*,#216751,.F.); #299431=ORIENTED_EDGE('',*,*,#216752,.T.); #299432=ORIENTED_EDGE('',*,*,#216751,.T.); #299433=ORIENTED_EDGE('',*,*,#216753,.F.); #299434=ORIENTED_EDGE('',*,*,#216754,.F.); #299435=ORIENTED_EDGE('',*,*,#216755,.T.); #299436=ORIENTED_EDGE('',*,*,#216754,.T.); #299437=ORIENTED_EDGE('',*,*,#216756,.F.); #299438=ORIENTED_EDGE('',*,*,#216757,.F.); #299439=ORIENTED_EDGE('',*,*,#216758,.T.); #299440=ORIENTED_EDGE('',*,*,#216757,.T.); #299441=ORIENTED_EDGE('',*,*,#216759,.F.); #299442=ORIENTED_EDGE('',*,*,#216760,.F.); #299443=ORIENTED_EDGE('',*,*,#216761,.T.); #299444=ORIENTED_EDGE('',*,*,#216760,.T.); #299445=ORIENTED_EDGE('',*,*,#216762,.F.); #299446=ORIENTED_EDGE('',*,*,#216763,.F.); #299447=ORIENTED_EDGE('',*,*,#216764,.T.); #299448=ORIENTED_EDGE('',*,*,#216763,.T.); #299449=ORIENTED_EDGE('',*,*,#216765,.F.); #299450=ORIENTED_EDGE('',*,*,#216766,.F.); #299451=ORIENTED_EDGE('',*,*,#216767,.T.); #299452=ORIENTED_EDGE('',*,*,#216766,.T.); #299453=ORIENTED_EDGE('',*,*,#216768,.F.); #299454=ORIENTED_EDGE('',*,*,#216769,.F.); #299455=ORIENTED_EDGE('',*,*,#216770,.T.); #299456=ORIENTED_EDGE('',*,*,#216769,.T.); #299457=ORIENTED_EDGE('',*,*,#216771,.F.); #299458=ORIENTED_EDGE('',*,*,#216772,.F.); #299459=ORIENTED_EDGE('',*,*,#216773,.T.); #299460=ORIENTED_EDGE('',*,*,#216772,.T.); #299461=ORIENTED_EDGE('',*,*,#216774,.F.); #299462=ORIENTED_EDGE('',*,*,#216775,.F.); #299463=ORIENTED_EDGE('',*,*,#216776,.T.); #299464=ORIENTED_EDGE('',*,*,#216775,.T.); #299465=ORIENTED_EDGE('',*,*,#216777,.F.); #299466=ORIENTED_EDGE('',*,*,#216778,.F.); #299467=ORIENTED_EDGE('',*,*,#216779,.T.); #299468=ORIENTED_EDGE('',*,*,#216778,.T.); #299469=ORIENTED_EDGE('',*,*,#216780,.F.); #299470=ORIENTED_EDGE('',*,*,#216781,.F.); #299471=ORIENTED_EDGE('',*,*,#216782,.T.); #299472=ORIENTED_EDGE('',*,*,#216781,.T.); #299473=ORIENTED_EDGE('',*,*,#216783,.F.); #299474=ORIENTED_EDGE('',*,*,#216784,.F.); #299475=ORIENTED_EDGE('',*,*,#216785,.T.); #299476=ORIENTED_EDGE('',*,*,#216784,.T.); #299477=ORIENTED_EDGE('',*,*,#216786,.F.); #299478=ORIENTED_EDGE('',*,*,#216787,.F.); #299479=ORIENTED_EDGE('',*,*,#216788,.T.); #299480=ORIENTED_EDGE('',*,*,#216787,.T.); #299481=ORIENTED_EDGE('',*,*,#216789,.F.); #299482=ORIENTED_EDGE('',*,*,#216790,.F.); #299483=ORIENTED_EDGE('',*,*,#216791,.T.); #299484=ORIENTED_EDGE('',*,*,#216790,.T.); #299485=ORIENTED_EDGE('',*,*,#216792,.F.); #299486=ORIENTED_EDGE('',*,*,#216793,.F.); #299487=ORIENTED_EDGE('',*,*,#216794,.T.); #299488=ORIENTED_EDGE('',*,*,#216793,.T.); #299489=ORIENTED_EDGE('',*,*,#216795,.F.); #299490=ORIENTED_EDGE('',*,*,#216796,.F.); #299491=ORIENTED_EDGE('',*,*,#216797,.T.); #299492=ORIENTED_EDGE('',*,*,#216796,.T.); #299493=ORIENTED_EDGE('',*,*,#216798,.F.); #299494=ORIENTED_EDGE('',*,*,#216799,.F.); #299495=ORIENTED_EDGE('',*,*,#216800,.T.); #299496=ORIENTED_EDGE('',*,*,#216799,.T.); #299497=ORIENTED_EDGE('',*,*,#216801,.F.); #299498=ORIENTED_EDGE('',*,*,#216802,.F.); #299499=ORIENTED_EDGE('',*,*,#216803,.T.); #299500=ORIENTED_EDGE('',*,*,#216802,.T.); #299501=ORIENTED_EDGE('',*,*,#216804,.F.); #299502=ORIENTED_EDGE('',*,*,#216805,.F.); #299503=ORIENTED_EDGE('',*,*,#216806,.T.); #299504=ORIENTED_EDGE('',*,*,#216805,.T.); #299505=ORIENTED_EDGE('',*,*,#216807,.F.); #299506=ORIENTED_EDGE('',*,*,#216808,.F.); #299507=ORIENTED_EDGE('',*,*,#216809,.T.); #299508=ORIENTED_EDGE('',*,*,#216808,.T.); #299509=ORIENTED_EDGE('',*,*,#216810,.F.); #299510=ORIENTED_EDGE('',*,*,#216811,.F.); #299511=ORIENTED_EDGE('',*,*,#216812,.T.); #299512=ORIENTED_EDGE('',*,*,#216811,.T.); #299513=ORIENTED_EDGE('',*,*,#216813,.F.); #299514=ORIENTED_EDGE('',*,*,#216814,.F.); #299515=ORIENTED_EDGE('',*,*,#216815,.T.); #299516=ORIENTED_EDGE('',*,*,#216814,.T.); #299517=ORIENTED_EDGE('',*,*,#216816,.F.); #299518=ORIENTED_EDGE('',*,*,#216817,.F.); #299519=ORIENTED_EDGE('',*,*,#216818,.T.); #299520=ORIENTED_EDGE('',*,*,#216817,.T.); #299521=ORIENTED_EDGE('',*,*,#216819,.F.); #299522=ORIENTED_EDGE('',*,*,#216820,.F.); #299523=ORIENTED_EDGE('',*,*,#216821,.T.); #299524=ORIENTED_EDGE('',*,*,#216820,.T.); #299525=ORIENTED_EDGE('',*,*,#216822,.F.); #299526=ORIENTED_EDGE('',*,*,#216823,.F.); #299527=ORIENTED_EDGE('',*,*,#216824,.T.); #299528=ORIENTED_EDGE('',*,*,#216823,.T.); #299529=ORIENTED_EDGE('',*,*,#216825,.F.); #299530=ORIENTED_EDGE('',*,*,#216826,.F.); #299531=ORIENTED_EDGE('',*,*,#216827,.T.); #299532=ORIENTED_EDGE('',*,*,#216826,.T.); #299533=ORIENTED_EDGE('',*,*,#216828,.F.); #299534=ORIENTED_EDGE('',*,*,#216829,.F.); #299535=ORIENTED_EDGE('',*,*,#216830,.T.); #299536=ORIENTED_EDGE('',*,*,#216829,.T.); #299537=ORIENTED_EDGE('',*,*,#216831,.F.); #299538=ORIENTED_EDGE('',*,*,#216832,.F.); #299539=ORIENTED_EDGE('',*,*,#216833,.T.); #299540=ORIENTED_EDGE('',*,*,#216832,.T.); #299541=ORIENTED_EDGE('',*,*,#216834,.F.); #299542=ORIENTED_EDGE('',*,*,#216835,.F.); #299543=ORIENTED_EDGE('',*,*,#216836,.T.); #299544=ORIENTED_EDGE('',*,*,#216835,.T.); #299545=ORIENTED_EDGE('',*,*,#216837,.F.); #299546=ORIENTED_EDGE('',*,*,#216838,.F.); #299547=ORIENTED_EDGE('',*,*,#216839,.T.); #299548=ORIENTED_EDGE('',*,*,#216838,.T.); #299549=ORIENTED_EDGE('',*,*,#216840,.F.); #299550=ORIENTED_EDGE('',*,*,#216841,.F.); #299551=ORIENTED_EDGE('',*,*,#216842,.T.); #299552=ORIENTED_EDGE('',*,*,#216841,.T.); #299553=ORIENTED_EDGE('',*,*,#216843,.F.); #299554=ORIENTED_EDGE('',*,*,#216844,.F.); #299555=ORIENTED_EDGE('',*,*,#216845,.T.); #299556=ORIENTED_EDGE('',*,*,#216844,.T.); #299557=ORIENTED_EDGE('',*,*,#216846,.F.); #299558=ORIENTED_EDGE('',*,*,#216847,.F.); #299559=ORIENTED_EDGE('',*,*,#216848,.T.); #299560=ORIENTED_EDGE('',*,*,#216847,.T.); #299561=ORIENTED_EDGE('',*,*,#216849,.F.); #299562=ORIENTED_EDGE('',*,*,#216850,.F.); #299563=ORIENTED_EDGE('',*,*,#216851,.T.); #299564=ORIENTED_EDGE('',*,*,#216850,.T.); #299565=ORIENTED_EDGE('',*,*,#216852,.F.); #299566=ORIENTED_EDGE('',*,*,#216719,.F.); #299567=ORIENTED_EDGE('',*,*,#216852,.T.); #299568=ORIENTED_EDGE('',*,*,#216849,.T.); #299569=ORIENTED_EDGE('',*,*,#216846,.T.); #299570=ORIENTED_EDGE('',*,*,#216843,.T.); #299571=ORIENTED_EDGE('',*,*,#216840,.T.); #299572=ORIENTED_EDGE('',*,*,#216837,.T.); #299573=ORIENTED_EDGE('',*,*,#216834,.T.); #299574=ORIENTED_EDGE('',*,*,#216831,.T.); #299575=ORIENTED_EDGE('',*,*,#216828,.T.); #299576=ORIENTED_EDGE('',*,*,#216825,.T.); #299577=ORIENTED_EDGE('',*,*,#216822,.T.); #299578=ORIENTED_EDGE('',*,*,#216819,.T.); #299579=ORIENTED_EDGE('',*,*,#216816,.T.); #299580=ORIENTED_EDGE('',*,*,#216813,.T.); #299581=ORIENTED_EDGE('',*,*,#216810,.T.); #299582=ORIENTED_EDGE('',*,*,#216807,.T.); #299583=ORIENTED_EDGE('',*,*,#216804,.T.); #299584=ORIENTED_EDGE('',*,*,#216801,.T.); #299585=ORIENTED_EDGE('',*,*,#216798,.T.); #299586=ORIENTED_EDGE('',*,*,#216795,.T.); #299587=ORIENTED_EDGE('',*,*,#216792,.T.); #299588=ORIENTED_EDGE('',*,*,#216789,.T.); #299589=ORIENTED_EDGE('',*,*,#216786,.T.); #299590=ORIENTED_EDGE('',*,*,#216783,.T.); #299591=ORIENTED_EDGE('',*,*,#216780,.T.); #299592=ORIENTED_EDGE('',*,*,#216777,.T.); #299593=ORIENTED_EDGE('',*,*,#216774,.T.); #299594=ORIENTED_EDGE('',*,*,#216771,.T.); #299595=ORIENTED_EDGE('',*,*,#216768,.T.); #299596=ORIENTED_EDGE('',*,*,#216765,.T.); #299597=ORIENTED_EDGE('',*,*,#216762,.T.); #299598=ORIENTED_EDGE('',*,*,#216759,.T.); #299599=ORIENTED_EDGE('',*,*,#216756,.T.); #299600=ORIENTED_EDGE('',*,*,#216753,.T.); #299601=ORIENTED_EDGE('',*,*,#216750,.T.); #299602=ORIENTED_EDGE('',*,*,#216747,.T.); #299603=ORIENTED_EDGE('',*,*,#216744,.T.); #299604=ORIENTED_EDGE('',*,*,#216741,.T.); #299605=ORIENTED_EDGE('',*,*,#216738,.T.); #299606=ORIENTED_EDGE('',*,*,#216735,.T.); #299607=ORIENTED_EDGE('',*,*,#216732,.T.); #299608=ORIENTED_EDGE('',*,*,#216729,.T.); #299609=ORIENTED_EDGE('',*,*,#216726,.T.); #299610=ORIENTED_EDGE('',*,*,#216723,.T.); #299611=ORIENTED_EDGE('',*,*,#216720,.T.); #299612=ORIENTED_EDGE('',*,*,#216630,.T.); #299613=ORIENTED_EDGE('',*,*,#216633,.T.); #299614=ORIENTED_EDGE('',*,*,#216636,.T.); #299615=ORIENTED_EDGE('',*,*,#216639,.T.); #299616=ORIENTED_EDGE('',*,*,#216642,.T.); #299617=ORIENTED_EDGE('',*,*,#216645,.T.); #299618=ORIENTED_EDGE('',*,*,#216648,.T.); #299619=ORIENTED_EDGE('',*,*,#216651,.T.); #299620=ORIENTED_EDGE('',*,*,#216654,.T.); #299621=ORIENTED_EDGE('',*,*,#216657,.T.); #299622=ORIENTED_EDGE('',*,*,#216660,.T.); #299623=ORIENTED_EDGE('',*,*,#216663,.T.); #299624=ORIENTED_EDGE('',*,*,#216666,.T.); #299625=ORIENTED_EDGE('',*,*,#216669,.T.); #299626=ORIENTED_EDGE('',*,*,#216672,.T.); #299627=ORIENTED_EDGE('',*,*,#216675,.T.); #299628=ORIENTED_EDGE('',*,*,#216678,.T.); #299629=ORIENTED_EDGE('',*,*,#216681,.T.); #299630=ORIENTED_EDGE('',*,*,#216684,.T.); #299631=ORIENTED_EDGE('',*,*,#216687,.T.); #299632=ORIENTED_EDGE('',*,*,#216690,.T.); #299633=ORIENTED_EDGE('',*,*,#216693,.T.); #299634=ORIENTED_EDGE('',*,*,#216696,.T.); #299635=ORIENTED_EDGE('',*,*,#216699,.T.); #299636=ORIENTED_EDGE('',*,*,#216702,.T.); #299637=ORIENTED_EDGE('',*,*,#216705,.T.); #299638=ORIENTED_EDGE('',*,*,#216708,.T.); #299639=ORIENTED_EDGE('',*,*,#216711,.T.); #299640=ORIENTED_EDGE('',*,*,#216714,.T.); #299641=ORIENTED_EDGE('',*,*,#216717,.T.); #299642=ORIENTED_EDGE('',*,*,#216851,.F.); #299643=ORIENTED_EDGE('',*,*,#216718,.F.); #299644=ORIENTED_EDGE('',*,*,#216722,.F.); #299645=ORIENTED_EDGE('',*,*,#216725,.F.); #299646=ORIENTED_EDGE('',*,*,#216728,.F.); #299647=ORIENTED_EDGE('',*,*,#216731,.F.); #299648=ORIENTED_EDGE('',*,*,#216734,.F.); #299649=ORIENTED_EDGE('',*,*,#216737,.F.); #299650=ORIENTED_EDGE('',*,*,#216740,.F.); #299651=ORIENTED_EDGE('',*,*,#216743,.F.); #299652=ORIENTED_EDGE('',*,*,#216746,.F.); #299653=ORIENTED_EDGE('',*,*,#216749,.F.); #299654=ORIENTED_EDGE('',*,*,#216752,.F.); #299655=ORIENTED_EDGE('',*,*,#216755,.F.); #299656=ORIENTED_EDGE('',*,*,#216758,.F.); #299657=ORIENTED_EDGE('',*,*,#216761,.F.); #299658=ORIENTED_EDGE('',*,*,#216764,.F.); #299659=ORIENTED_EDGE('',*,*,#216767,.F.); #299660=ORIENTED_EDGE('',*,*,#216770,.F.); #299661=ORIENTED_EDGE('',*,*,#216773,.F.); #299662=ORIENTED_EDGE('',*,*,#216776,.F.); #299663=ORIENTED_EDGE('',*,*,#216779,.F.); #299664=ORIENTED_EDGE('',*,*,#216782,.F.); #299665=ORIENTED_EDGE('',*,*,#216785,.F.); #299666=ORIENTED_EDGE('',*,*,#216788,.F.); #299667=ORIENTED_EDGE('',*,*,#216791,.F.); #299668=ORIENTED_EDGE('',*,*,#216794,.F.); #299669=ORIENTED_EDGE('',*,*,#216797,.F.); #299670=ORIENTED_EDGE('',*,*,#216800,.F.); #299671=ORIENTED_EDGE('',*,*,#216803,.F.); #299672=ORIENTED_EDGE('',*,*,#216806,.F.); #299673=ORIENTED_EDGE('',*,*,#216809,.F.); #299674=ORIENTED_EDGE('',*,*,#216812,.F.); #299675=ORIENTED_EDGE('',*,*,#216815,.F.); #299676=ORIENTED_EDGE('',*,*,#216818,.F.); #299677=ORIENTED_EDGE('',*,*,#216821,.F.); #299678=ORIENTED_EDGE('',*,*,#216824,.F.); #299679=ORIENTED_EDGE('',*,*,#216827,.F.); #299680=ORIENTED_EDGE('',*,*,#216830,.F.); #299681=ORIENTED_EDGE('',*,*,#216833,.F.); #299682=ORIENTED_EDGE('',*,*,#216836,.F.); #299683=ORIENTED_EDGE('',*,*,#216839,.F.); #299684=ORIENTED_EDGE('',*,*,#216842,.F.); #299685=ORIENTED_EDGE('',*,*,#216845,.F.); #299686=ORIENTED_EDGE('',*,*,#216848,.F.); #299687=ORIENTED_EDGE('',*,*,#216628,.T.); #299688=ORIENTED_EDGE('',*,*,#216631,.T.); #299689=ORIENTED_EDGE('',*,*,#216634,.T.); #299690=ORIENTED_EDGE('',*,*,#216637,.T.); #299691=ORIENTED_EDGE('',*,*,#216640,.T.); #299692=ORIENTED_EDGE('',*,*,#216643,.T.); #299693=ORIENTED_EDGE('',*,*,#216646,.T.); #299694=ORIENTED_EDGE('',*,*,#216649,.T.); #299695=ORIENTED_EDGE('',*,*,#216652,.T.); #299696=ORIENTED_EDGE('',*,*,#216655,.T.); #299697=ORIENTED_EDGE('',*,*,#216658,.T.); #299698=ORIENTED_EDGE('',*,*,#216661,.T.); #299699=ORIENTED_EDGE('',*,*,#216664,.T.); #299700=ORIENTED_EDGE('',*,*,#216667,.T.); #299701=ORIENTED_EDGE('',*,*,#216670,.T.); #299702=ORIENTED_EDGE('',*,*,#216673,.T.); #299703=ORIENTED_EDGE('',*,*,#216676,.T.); #299704=ORIENTED_EDGE('',*,*,#216679,.T.); #299705=ORIENTED_EDGE('',*,*,#216682,.T.); #299706=ORIENTED_EDGE('',*,*,#216685,.T.); #299707=ORIENTED_EDGE('',*,*,#216688,.T.); #299708=ORIENTED_EDGE('',*,*,#216691,.T.); #299709=ORIENTED_EDGE('',*,*,#216694,.T.); #299710=ORIENTED_EDGE('',*,*,#216697,.T.); #299711=ORIENTED_EDGE('',*,*,#216700,.T.); #299712=ORIENTED_EDGE('',*,*,#216703,.T.); #299713=ORIENTED_EDGE('',*,*,#216706,.T.); #299714=ORIENTED_EDGE('',*,*,#216709,.T.); #299715=ORIENTED_EDGE('',*,*,#216712,.T.); #299716=ORIENTED_EDGE('',*,*,#216715,.T.); #299717=ORIENTED_EDGE('',*,*,#216853,.F.); #299718=ORIENTED_EDGE('',*,*,#216854,.T.); #299719=ORIENTED_EDGE('',*,*,#216855,.F.); #299720=ORIENTED_EDGE('',*,*,#216854,.F.); #299721=ORIENTED_EDGE('',*,*,#216856,.F.); #299722=ORIENTED_EDGE('',*,*,#216857,.T.); #299723=ORIENTED_EDGE('',*,*,#216858,.F.); #299724=ORIENTED_EDGE('',*,*,#216857,.F.); #299725=ORIENTED_EDGE('',*,*,#216859,.T.); #299726=ORIENTED_EDGE('',*,*,#216860,.T.); #299727=ORIENTED_EDGE('',*,*,#216861,.F.); #299728=ORIENTED_EDGE('',*,*,#216862,.F.); #299729=ORIENTED_EDGE('',*,*,#216863,.T.); #299730=ORIENTED_EDGE('',*,*,#216862,.T.); #299731=ORIENTED_EDGE('',*,*,#216864,.F.); #299732=ORIENTED_EDGE('',*,*,#216865,.F.); #299733=ORIENTED_EDGE('',*,*,#216866,.T.); #299734=ORIENTED_EDGE('',*,*,#216865,.T.); #299735=ORIENTED_EDGE('',*,*,#216867,.F.); #299736=ORIENTED_EDGE('',*,*,#216868,.F.); #299737=ORIENTED_EDGE('',*,*,#216869,.T.); #299738=ORIENTED_EDGE('',*,*,#216868,.T.); #299739=ORIENTED_EDGE('',*,*,#216870,.F.); #299740=ORIENTED_EDGE('',*,*,#216871,.F.); #299741=ORIENTED_EDGE('',*,*,#216872,.T.); #299742=ORIENTED_EDGE('',*,*,#216871,.T.); #299743=ORIENTED_EDGE('',*,*,#216873,.F.); #299744=ORIENTED_EDGE('',*,*,#216874,.F.); #299745=ORIENTED_EDGE('',*,*,#216875,.T.); #299746=ORIENTED_EDGE('',*,*,#216874,.T.); #299747=ORIENTED_EDGE('',*,*,#216876,.F.); #299748=ORIENTED_EDGE('',*,*,#216877,.F.); #299749=ORIENTED_EDGE('',*,*,#216878,.T.); #299750=ORIENTED_EDGE('',*,*,#216877,.T.); #299751=ORIENTED_EDGE('',*,*,#216879,.F.); #299752=ORIENTED_EDGE('',*,*,#216860,.F.); #299753=ORIENTED_EDGE('',*,*,#216879,.T.); #299754=ORIENTED_EDGE('',*,*,#216876,.T.); #299755=ORIENTED_EDGE('',*,*,#216873,.T.); #299756=ORIENTED_EDGE('',*,*,#216870,.T.); #299757=ORIENTED_EDGE('',*,*,#216867,.T.); #299758=ORIENTED_EDGE('',*,*,#216864,.T.); #299759=ORIENTED_EDGE('',*,*,#216861,.T.); #299760=ORIENTED_EDGE('',*,*,#216855,.T.); #299761=ORIENTED_EDGE('',*,*,#216858,.T.); #299762=ORIENTED_EDGE('',*,*,#216878,.F.); #299763=ORIENTED_EDGE('',*,*,#216859,.F.); #299764=ORIENTED_EDGE('',*,*,#216863,.F.); #299765=ORIENTED_EDGE('',*,*,#216866,.F.); #299766=ORIENTED_EDGE('',*,*,#216869,.F.); #299767=ORIENTED_EDGE('',*,*,#216872,.F.); #299768=ORIENTED_EDGE('',*,*,#216875,.F.); #299769=ORIENTED_EDGE('',*,*,#216853,.T.); #299770=ORIENTED_EDGE('',*,*,#216856,.T.); #299771=ORIENTED_EDGE('',*,*,#216880,.F.); #299772=ORIENTED_EDGE('',*,*,#216881,.T.); #299773=ORIENTED_EDGE('',*,*,#216882,.F.); #299774=ORIENTED_EDGE('',*,*,#216881,.F.); #299775=ORIENTED_EDGE('',*,*,#216883,.F.); #299776=ORIENTED_EDGE('',*,*,#216884,.T.); #299777=ORIENTED_EDGE('',*,*,#216885,.F.); #299778=ORIENTED_EDGE('',*,*,#216884,.F.); #299779=ORIENTED_EDGE('',*,*,#216886,.F.); #299780=ORIENTED_EDGE('',*,*,#216887,.T.); #299781=ORIENTED_EDGE('',*,*,#216888,.F.); #299782=ORIENTED_EDGE('',*,*,#216887,.F.); #299783=ORIENTED_EDGE('',*,*,#216889,.F.); #299784=ORIENTED_EDGE('',*,*,#216890,.T.); #299785=ORIENTED_EDGE('',*,*,#216891,.F.); #299786=ORIENTED_EDGE('',*,*,#216890,.F.); #299787=ORIENTED_EDGE('',*,*,#216892,.F.); #299788=ORIENTED_EDGE('',*,*,#216893,.T.); #299789=ORIENTED_EDGE('',*,*,#216894,.F.); #299790=ORIENTED_EDGE('',*,*,#216893,.F.); #299791=ORIENTED_EDGE('',*,*,#216895,.F.); #299792=ORIENTED_EDGE('',*,*,#216896,.T.); #299793=ORIENTED_EDGE('',*,*,#216897,.F.); #299794=ORIENTED_EDGE('',*,*,#216896,.F.); #299795=ORIENTED_EDGE('',*,*,#216898,.F.); #299796=ORIENTED_EDGE('',*,*,#216899,.T.); #299797=ORIENTED_EDGE('',*,*,#216900,.F.); #299798=ORIENTED_EDGE('',*,*,#216899,.F.); #299799=ORIENTED_EDGE('',*,*,#216901,.F.); #299800=ORIENTED_EDGE('',*,*,#216902,.T.); #299801=ORIENTED_EDGE('',*,*,#216903,.F.); #299802=ORIENTED_EDGE('',*,*,#216902,.F.); #299803=ORIENTED_EDGE('',*,*,#216904,.F.); #299804=ORIENTED_EDGE('',*,*,#216905,.T.); #299805=ORIENTED_EDGE('',*,*,#216906,.F.); #299806=ORIENTED_EDGE('',*,*,#216905,.F.); #299807=ORIENTED_EDGE('',*,*,#216907,.F.); #299808=ORIENTED_EDGE('',*,*,#216908,.T.); #299809=ORIENTED_EDGE('',*,*,#216909,.F.); #299810=ORIENTED_EDGE('',*,*,#216908,.F.); #299811=ORIENTED_EDGE('',*,*,#216910,.T.); #299812=ORIENTED_EDGE('',*,*,#216911,.T.); #299813=ORIENTED_EDGE('',*,*,#216912,.F.); #299814=ORIENTED_EDGE('',*,*,#216913,.F.); #299815=ORIENTED_EDGE('',*,*,#216914,.T.); #299816=ORIENTED_EDGE('',*,*,#216913,.T.); #299817=ORIENTED_EDGE('',*,*,#216915,.F.); #299818=ORIENTED_EDGE('',*,*,#216916,.F.); #299819=ORIENTED_EDGE('',*,*,#216917,.T.); #299820=ORIENTED_EDGE('',*,*,#216916,.T.); #299821=ORIENTED_EDGE('',*,*,#216918,.F.); #299822=ORIENTED_EDGE('',*,*,#216919,.F.); #299823=ORIENTED_EDGE('',*,*,#216920,.T.); #299824=ORIENTED_EDGE('',*,*,#216919,.T.); #299825=ORIENTED_EDGE('',*,*,#216921,.F.); #299826=ORIENTED_EDGE('',*,*,#216922,.F.); #299827=ORIENTED_EDGE('',*,*,#216923,.T.); #299828=ORIENTED_EDGE('',*,*,#216922,.T.); #299829=ORIENTED_EDGE('',*,*,#216924,.F.); #299830=ORIENTED_EDGE('',*,*,#216925,.F.); #299831=ORIENTED_EDGE('',*,*,#216926,.T.); #299832=ORIENTED_EDGE('',*,*,#216925,.T.); #299833=ORIENTED_EDGE('',*,*,#216927,.F.); #299834=ORIENTED_EDGE('',*,*,#216928,.F.); #299835=ORIENTED_EDGE('',*,*,#216929,.T.); #299836=ORIENTED_EDGE('',*,*,#216928,.T.); #299837=ORIENTED_EDGE('',*,*,#216930,.F.); #299838=ORIENTED_EDGE('',*,*,#216931,.F.); #299839=ORIENTED_EDGE('',*,*,#216932,.T.); #299840=ORIENTED_EDGE('',*,*,#216931,.T.); #299841=ORIENTED_EDGE('',*,*,#216933,.F.); #299842=ORIENTED_EDGE('',*,*,#216934,.F.); #299843=ORIENTED_EDGE('',*,*,#216935,.T.); #299844=ORIENTED_EDGE('',*,*,#216934,.T.); #299845=ORIENTED_EDGE('',*,*,#216936,.F.); #299846=ORIENTED_EDGE('',*,*,#216937,.F.); #299847=ORIENTED_EDGE('',*,*,#216938,.T.); #299848=ORIENTED_EDGE('',*,*,#216937,.T.); #299849=ORIENTED_EDGE('',*,*,#216939,.F.); #299850=ORIENTED_EDGE('',*,*,#216940,.F.); #299851=ORIENTED_EDGE('',*,*,#216941,.T.); #299852=ORIENTED_EDGE('',*,*,#216940,.T.); #299853=ORIENTED_EDGE('',*,*,#216942,.F.); #299854=ORIENTED_EDGE('',*,*,#216943,.F.); #299855=ORIENTED_EDGE('',*,*,#216944,.T.); #299856=ORIENTED_EDGE('',*,*,#216943,.T.); #299857=ORIENTED_EDGE('',*,*,#216945,.F.); #299858=ORIENTED_EDGE('',*,*,#216946,.F.); #299859=ORIENTED_EDGE('',*,*,#216947,.T.); #299860=ORIENTED_EDGE('',*,*,#216946,.T.); #299861=ORIENTED_EDGE('',*,*,#216948,.F.); #299862=ORIENTED_EDGE('',*,*,#216949,.F.); #299863=ORIENTED_EDGE('',*,*,#216950,.T.); #299864=ORIENTED_EDGE('',*,*,#216949,.T.); #299865=ORIENTED_EDGE('',*,*,#216951,.F.); #299866=ORIENTED_EDGE('',*,*,#216952,.F.); #299867=ORIENTED_EDGE('',*,*,#216953,.T.); #299868=ORIENTED_EDGE('',*,*,#216952,.T.); #299869=ORIENTED_EDGE('',*,*,#216954,.F.); #299870=ORIENTED_EDGE('',*,*,#216955,.F.); #299871=ORIENTED_EDGE('',*,*,#216956,.T.); #299872=ORIENTED_EDGE('',*,*,#216955,.T.); #299873=ORIENTED_EDGE('',*,*,#216957,.F.); #299874=ORIENTED_EDGE('',*,*,#216958,.F.); #299875=ORIENTED_EDGE('',*,*,#216959,.T.); #299876=ORIENTED_EDGE('',*,*,#216958,.T.); #299877=ORIENTED_EDGE('',*,*,#216960,.F.); #299878=ORIENTED_EDGE('',*,*,#216961,.F.); #299879=ORIENTED_EDGE('',*,*,#216962,.T.); #299880=ORIENTED_EDGE('',*,*,#216961,.T.); #299881=ORIENTED_EDGE('',*,*,#216963,.F.); #299882=ORIENTED_EDGE('',*,*,#216964,.F.); #299883=ORIENTED_EDGE('',*,*,#216965,.T.); #299884=ORIENTED_EDGE('',*,*,#216964,.T.); #299885=ORIENTED_EDGE('',*,*,#216966,.F.); #299886=ORIENTED_EDGE('',*,*,#216967,.F.); #299887=ORIENTED_EDGE('',*,*,#216968,.T.); #299888=ORIENTED_EDGE('',*,*,#216967,.T.); #299889=ORIENTED_EDGE('',*,*,#216969,.F.); #299890=ORIENTED_EDGE('',*,*,#216970,.F.); #299891=ORIENTED_EDGE('',*,*,#216971,.T.); #299892=ORIENTED_EDGE('',*,*,#216970,.T.); #299893=ORIENTED_EDGE('',*,*,#216972,.F.); #299894=ORIENTED_EDGE('',*,*,#216973,.F.); #299895=ORIENTED_EDGE('',*,*,#216974,.T.); #299896=ORIENTED_EDGE('',*,*,#216973,.T.); #299897=ORIENTED_EDGE('',*,*,#216975,.F.); #299898=ORIENTED_EDGE('',*,*,#216976,.F.); #299899=ORIENTED_EDGE('',*,*,#216977,.T.); #299900=ORIENTED_EDGE('',*,*,#216976,.T.); #299901=ORIENTED_EDGE('',*,*,#216978,.F.); #299902=ORIENTED_EDGE('',*,*,#216979,.F.); #299903=ORIENTED_EDGE('',*,*,#216980,.T.); #299904=ORIENTED_EDGE('',*,*,#216979,.T.); #299905=ORIENTED_EDGE('',*,*,#216981,.F.); #299906=ORIENTED_EDGE('',*,*,#216911,.F.); #299907=ORIENTED_EDGE('',*,*,#216981,.T.); #299908=ORIENTED_EDGE('',*,*,#216978,.T.); #299909=ORIENTED_EDGE('',*,*,#216975,.T.); #299910=ORIENTED_EDGE('',*,*,#216972,.T.); #299911=ORIENTED_EDGE('',*,*,#216969,.T.); #299912=ORIENTED_EDGE('',*,*,#216966,.T.); #299913=ORIENTED_EDGE('',*,*,#216963,.T.); #299914=ORIENTED_EDGE('',*,*,#216960,.T.); #299915=ORIENTED_EDGE('',*,*,#216957,.T.); #299916=ORIENTED_EDGE('',*,*,#216954,.T.); #299917=ORIENTED_EDGE('',*,*,#216951,.T.); #299918=ORIENTED_EDGE('',*,*,#216948,.T.); #299919=ORIENTED_EDGE('',*,*,#216945,.T.); #299920=ORIENTED_EDGE('',*,*,#216942,.T.); #299921=ORIENTED_EDGE('',*,*,#216939,.T.); #299922=ORIENTED_EDGE('',*,*,#216936,.T.); #299923=ORIENTED_EDGE('',*,*,#216933,.T.); #299924=ORIENTED_EDGE('',*,*,#216930,.T.); #299925=ORIENTED_EDGE('',*,*,#216927,.T.); #299926=ORIENTED_EDGE('',*,*,#216924,.T.); #299927=ORIENTED_EDGE('',*,*,#216921,.T.); #299928=ORIENTED_EDGE('',*,*,#216918,.T.); #299929=ORIENTED_EDGE('',*,*,#216915,.T.); #299930=ORIENTED_EDGE('',*,*,#216912,.T.); #299931=ORIENTED_EDGE('',*,*,#216882,.T.); #299932=ORIENTED_EDGE('',*,*,#216885,.T.); #299933=ORIENTED_EDGE('',*,*,#216888,.T.); #299934=ORIENTED_EDGE('',*,*,#216891,.T.); #299935=ORIENTED_EDGE('',*,*,#216894,.T.); #299936=ORIENTED_EDGE('',*,*,#216897,.T.); #299937=ORIENTED_EDGE('',*,*,#216900,.T.); #299938=ORIENTED_EDGE('',*,*,#216903,.T.); #299939=ORIENTED_EDGE('',*,*,#216906,.T.); #299940=ORIENTED_EDGE('',*,*,#216909,.T.); #299941=ORIENTED_EDGE('',*,*,#216980,.F.); #299942=ORIENTED_EDGE('',*,*,#216910,.F.); #299943=ORIENTED_EDGE('',*,*,#216914,.F.); #299944=ORIENTED_EDGE('',*,*,#216917,.F.); #299945=ORIENTED_EDGE('',*,*,#216920,.F.); #299946=ORIENTED_EDGE('',*,*,#216923,.F.); #299947=ORIENTED_EDGE('',*,*,#216926,.F.); #299948=ORIENTED_EDGE('',*,*,#216929,.F.); #299949=ORIENTED_EDGE('',*,*,#216932,.F.); #299950=ORIENTED_EDGE('',*,*,#216935,.F.); #299951=ORIENTED_EDGE('',*,*,#216938,.F.); #299952=ORIENTED_EDGE('',*,*,#216941,.F.); #299953=ORIENTED_EDGE('',*,*,#216944,.F.); #299954=ORIENTED_EDGE('',*,*,#216947,.F.); #299955=ORIENTED_EDGE('',*,*,#216950,.F.); #299956=ORIENTED_EDGE('',*,*,#216953,.F.); #299957=ORIENTED_EDGE('',*,*,#216956,.F.); #299958=ORIENTED_EDGE('',*,*,#216959,.F.); #299959=ORIENTED_EDGE('',*,*,#216962,.F.); #299960=ORIENTED_EDGE('',*,*,#216965,.F.); #299961=ORIENTED_EDGE('',*,*,#216968,.F.); #299962=ORIENTED_EDGE('',*,*,#216971,.F.); #299963=ORIENTED_EDGE('',*,*,#216974,.F.); #299964=ORIENTED_EDGE('',*,*,#216977,.F.); #299965=ORIENTED_EDGE('',*,*,#216880,.T.); #299966=ORIENTED_EDGE('',*,*,#216883,.T.); #299967=ORIENTED_EDGE('',*,*,#216886,.T.); #299968=ORIENTED_EDGE('',*,*,#216889,.T.); #299969=ORIENTED_EDGE('',*,*,#216892,.T.); #299970=ORIENTED_EDGE('',*,*,#216895,.T.); #299971=ORIENTED_EDGE('',*,*,#216898,.T.); #299972=ORIENTED_EDGE('',*,*,#216901,.T.); #299973=ORIENTED_EDGE('',*,*,#216904,.T.); #299974=ORIENTED_EDGE('',*,*,#216907,.T.); #299975=ORIENTED_EDGE('',*,*,#216982,.F.); #299976=ORIENTED_EDGE('',*,*,#216983,.T.); #299977=ORIENTED_EDGE('',*,*,#216984,.F.); #299978=ORIENTED_EDGE('',*,*,#216983,.F.); #299979=ORIENTED_EDGE('',*,*,#216985,.T.); #299980=ORIENTED_EDGE('',*,*,#216986,.T.); #299981=ORIENTED_EDGE('',*,*,#216987,.F.); #299982=ORIENTED_EDGE('',*,*,#216988,.F.); #299983=ORIENTED_EDGE('',*,*,#216989,.T.); #299984=ORIENTED_EDGE('',*,*,#216988,.T.); #299985=ORIENTED_EDGE('',*,*,#216990,.F.); #299986=ORIENTED_EDGE('',*,*,#216991,.F.); #299987=ORIENTED_EDGE('',*,*,#216992,.T.); #299988=ORIENTED_EDGE('',*,*,#216991,.T.); #299989=ORIENTED_EDGE('',*,*,#216993,.F.); #299990=ORIENTED_EDGE('',*,*,#216986,.F.); #299991=ORIENTED_EDGE('',*,*,#216993,.T.); #299992=ORIENTED_EDGE('',*,*,#216990,.T.); #299993=ORIENTED_EDGE('',*,*,#216987,.T.); #299994=ORIENTED_EDGE('',*,*,#216984,.T.); #299995=ORIENTED_EDGE('',*,*,#216992,.F.); #299996=ORIENTED_EDGE('',*,*,#216985,.F.); #299997=ORIENTED_EDGE('',*,*,#216989,.F.); #299998=ORIENTED_EDGE('',*,*,#216982,.T.); #299999=ORIENTED_EDGE('',*,*,#216994,.F.); #300000=ORIENTED_EDGE('',*,*,#216995,.T.); #300001=ORIENTED_EDGE('',*,*,#216996,.F.); #300002=ORIENTED_EDGE('',*,*,#216995,.F.); #300003=ORIENTED_EDGE('',*,*,#216997,.F.); #300004=ORIENTED_EDGE('',*,*,#216998,.T.); #300005=ORIENTED_EDGE('',*,*,#216999,.F.); #300006=ORIENTED_EDGE('',*,*,#216998,.F.); #300007=ORIENTED_EDGE('',*,*,#217000,.F.); #300008=ORIENTED_EDGE('',*,*,#217001,.T.); #300009=ORIENTED_EDGE('',*,*,#217002,.F.); #300010=ORIENTED_EDGE('',*,*,#217001,.F.); #300011=ORIENTED_EDGE('',*,*,#217003,.F.); #300012=ORIENTED_EDGE('',*,*,#217004,.T.); #300013=ORIENTED_EDGE('',*,*,#217005,.F.); #300014=ORIENTED_EDGE('',*,*,#217004,.F.); #300015=ORIENTED_EDGE('',*,*,#217006,.F.); #300016=ORIENTED_EDGE('',*,*,#217007,.T.); #300017=ORIENTED_EDGE('',*,*,#217008,.F.); #300018=ORIENTED_EDGE('',*,*,#217007,.F.); #300019=ORIENTED_EDGE('',*,*,#217009,.F.); #300020=ORIENTED_EDGE('',*,*,#217010,.T.); #300021=ORIENTED_EDGE('',*,*,#217011,.F.); #300022=ORIENTED_EDGE('',*,*,#217010,.F.); #300023=ORIENTED_EDGE('',*,*,#217012,.F.); #300024=ORIENTED_EDGE('',*,*,#217013,.T.); #300025=ORIENTED_EDGE('',*,*,#217014,.F.); #300026=ORIENTED_EDGE('',*,*,#217013,.F.); #300027=ORIENTED_EDGE('',*,*,#217015,.F.); #300028=ORIENTED_EDGE('',*,*,#217016,.T.); #300029=ORIENTED_EDGE('',*,*,#217017,.F.); #300030=ORIENTED_EDGE('',*,*,#217016,.F.); #300031=ORIENTED_EDGE('',*,*,#217018,.F.); #300032=ORIENTED_EDGE('',*,*,#217019,.T.); #300033=ORIENTED_EDGE('',*,*,#217020,.F.); #300034=ORIENTED_EDGE('',*,*,#217019,.F.); #300035=ORIENTED_EDGE('',*,*,#217021,.F.); #300036=ORIENTED_EDGE('',*,*,#217022,.T.); #300037=ORIENTED_EDGE('',*,*,#217023,.F.); #300038=ORIENTED_EDGE('',*,*,#217022,.F.); #300039=ORIENTED_EDGE('',*,*,#217024,.F.); #300040=ORIENTED_EDGE('',*,*,#217025,.T.); #300041=ORIENTED_EDGE('',*,*,#217026,.F.); #300042=ORIENTED_EDGE('',*,*,#217025,.F.); #300043=ORIENTED_EDGE('',*,*,#217027,.F.); #300044=ORIENTED_EDGE('',*,*,#217028,.T.); #300045=ORIENTED_EDGE('',*,*,#217029,.F.); #300046=ORIENTED_EDGE('',*,*,#217028,.F.); #300047=ORIENTED_EDGE('',*,*,#217030,.F.); #300048=ORIENTED_EDGE('',*,*,#217031,.T.); #300049=ORIENTED_EDGE('',*,*,#217032,.F.); #300050=ORIENTED_EDGE('',*,*,#217031,.F.); #300051=ORIENTED_EDGE('',*,*,#217033,.F.); #300052=ORIENTED_EDGE('',*,*,#217034,.T.); #300053=ORIENTED_EDGE('',*,*,#217035,.F.); #300054=ORIENTED_EDGE('',*,*,#217034,.F.); #300055=ORIENTED_EDGE('',*,*,#217036,.F.); #300056=ORIENTED_EDGE('',*,*,#217037,.T.); #300057=ORIENTED_EDGE('',*,*,#217038,.F.); #300058=ORIENTED_EDGE('',*,*,#217037,.F.); #300059=ORIENTED_EDGE('',*,*,#217039,.F.); #300060=ORIENTED_EDGE('',*,*,#217040,.T.); #300061=ORIENTED_EDGE('',*,*,#217041,.F.); #300062=ORIENTED_EDGE('',*,*,#217040,.F.); #300063=ORIENTED_EDGE('',*,*,#217042,.F.); #300064=ORIENTED_EDGE('',*,*,#217043,.T.); #300065=ORIENTED_EDGE('',*,*,#217044,.F.); #300066=ORIENTED_EDGE('',*,*,#217043,.F.); #300067=ORIENTED_EDGE('',*,*,#217045,.F.); #300068=ORIENTED_EDGE('',*,*,#217046,.T.); #300069=ORIENTED_EDGE('',*,*,#217047,.F.); #300070=ORIENTED_EDGE('',*,*,#217046,.F.); #300071=ORIENTED_EDGE('',*,*,#217048,.F.); #300072=ORIENTED_EDGE('',*,*,#217049,.T.); #300073=ORIENTED_EDGE('',*,*,#217050,.F.); #300074=ORIENTED_EDGE('',*,*,#217049,.F.); #300075=ORIENTED_EDGE('',*,*,#217051,.F.); #300076=ORIENTED_EDGE('',*,*,#217052,.T.); #300077=ORIENTED_EDGE('',*,*,#217053,.F.); #300078=ORIENTED_EDGE('',*,*,#217052,.F.); #300079=ORIENTED_EDGE('',*,*,#217054,.F.); #300080=ORIENTED_EDGE('',*,*,#217055,.T.); #300081=ORIENTED_EDGE('',*,*,#217056,.F.); #300082=ORIENTED_EDGE('',*,*,#217055,.F.); #300083=ORIENTED_EDGE('',*,*,#217057,.F.); #300084=ORIENTED_EDGE('',*,*,#217058,.T.); #300085=ORIENTED_EDGE('',*,*,#217059,.F.); #300086=ORIENTED_EDGE('',*,*,#217058,.F.); #300087=ORIENTED_EDGE('',*,*,#217060,.F.); #300088=ORIENTED_EDGE('',*,*,#217061,.T.); #300089=ORIENTED_EDGE('',*,*,#217062,.F.); #300090=ORIENTED_EDGE('',*,*,#217061,.F.); #300091=ORIENTED_EDGE('',*,*,#217063,.F.); #300092=ORIENTED_EDGE('',*,*,#217064,.T.); #300093=ORIENTED_EDGE('',*,*,#217065,.F.); #300094=ORIENTED_EDGE('',*,*,#217064,.F.); #300095=ORIENTED_EDGE('',*,*,#217066,.F.); #300096=ORIENTED_EDGE('',*,*,#217067,.T.); #300097=ORIENTED_EDGE('',*,*,#217068,.F.); #300098=ORIENTED_EDGE('',*,*,#217067,.F.); #300099=ORIENTED_EDGE('',*,*,#217069,.F.); #300100=ORIENTED_EDGE('',*,*,#217070,.T.); #300101=ORIENTED_EDGE('',*,*,#217071,.F.); #300102=ORIENTED_EDGE('',*,*,#217070,.F.); #300103=ORIENTED_EDGE('',*,*,#217072,.F.); #300104=ORIENTED_EDGE('',*,*,#217073,.T.); #300105=ORIENTED_EDGE('',*,*,#217074,.F.); #300106=ORIENTED_EDGE('',*,*,#217073,.F.); #300107=ORIENTED_EDGE('',*,*,#217075,.F.); #300108=ORIENTED_EDGE('',*,*,#217076,.T.); #300109=ORIENTED_EDGE('',*,*,#217077,.F.); #300110=ORIENTED_EDGE('',*,*,#217076,.F.); #300111=ORIENTED_EDGE('',*,*,#217078,.F.); #300112=ORIENTED_EDGE('',*,*,#217079,.T.); #300113=ORIENTED_EDGE('',*,*,#217080,.F.); #300114=ORIENTED_EDGE('',*,*,#217079,.F.); #300115=ORIENTED_EDGE('',*,*,#217081,.F.); #300116=ORIENTED_EDGE('',*,*,#217082,.T.); #300117=ORIENTED_EDGE('',*,*,#217083,.F.); #300118=ORIENTED_EDGE('',*,*,#217082,.F.); #300119=ORIENTED_EDGE('',*,*,#217084,.T.); #300120=ORIENTED_EDGE('',*,*,#217085,.T.); #300121=ORIENTED_EDGE('',*,*,#217086,.F.); #300122=ORIENTED_EDGE('',*,*,#217087,.F.); #300123=ORIENTED_EDGE('',*,*,#217088,.T.); #300124=ORIENTED_EDGE('',*,*,#217087,.T.); #300125=ORIENTED_EDGE('',*,*,#217089,.F.); #300126=ORIENTED_EDGE('',*,*,#217090,.F.); #300127=ORIENTED_EDGE('',*,*,#217091,.T.); #300128=ORIENTED_EDGE('',*,*,#217090,.T.); #300129=ORIENTED_EDGE('',*,*,#217092,.F.); #300130=ORIENTED_EDGE('',*,*,#217093,.F.); #300131=ORIENTED_EDGE('',*,*,#217094,.T.); #300132=ORIENTED_EDGE('',*,*,#217093,.T.); #300133=ORIENTED_EDGE('',*,*,#217095,.F.); #300134=ORIENTED_EDGE('',*,*,#217096,.F.); #300135=ORIENTED_EDGE('',*,*,#217097,.T.); #300136=ORIENTED_EDGE('',*,*,#217096,.T.); #300137=ORIENTED_EDGE('',*,*,#217098,.F.); #300138=ORIENTED_EDGE('',*,*,#217099,.F.); #300139=ORIENTED_EDGE('',*,*,#217100,.T.); #300140=ORIENTED_EDGE('',*,*,#217099,.T.); #300141=ORIENTED_EDGE('',*,*,#217101,.F.); #300142=ORIENTED_EDGE('',*,*,#217102,.F.); #300143=ORIENTED_EDGE('',*,*,#217103,.T.); #300144=ORIENTED_EDGE('',*,*,#217102,.T.); #300145=ORIENTED_EDGE('',*,*,#217104,.F.); #300146=ORIENTED_EDGE('',*,*,#217105,.F.); #300147=ORIENTED_EDGE('',*,*,#217106,.T.); #300148=ORIENTED_EDGE('',*,*,#217105,.T.); #300149=ORIENTED_EDGE('',*,*,#217107,.F.); #300150=ORIENTED_EDGE('',*,*,#217108,.F.); #300151=ORIENTED_EDGE('',*,*,#217109,.T.); #300152=ORIENTED_EDGE('',*,*,#217108,.T.); #300153=ORIENTED_EDGE('',*,*,#217110,.F.); #300154=ORIENTED_EDGE('',*,*,#217111,.F.); #300155=ORIENTED_EDGE('',*,*,#217112,.T.); #300156=ORIENTED_EDGE('',*,*,#217111,.T.); #300157=ORIENTED_EDGE('',*,*,#217113,.F.); #300158=ORIENTED_EDGE('',*,*,#217114,.F.); #300159=ORIENTED_EDGE('',*,*,#217115,.T.); #300160=ORIENTED_EDGE('',*,*,#217114,.T.); #300161=ORIENTED_EDGE('',*,*,#217116,.F.); #300162=ORIENTED_EDGE('',*,*,#217117,.F.); #300163=ORIENTED_EDGE('',*,*,#217118,.T.); #300164=ORIENTED_EDGE('',*,*,#217117,.T.); #300165=ORIENTED_EDGE('',*,*,#217119,.F.); #300166=ORIENTED_EDGE('',*,*,#217120,.F.); #300167=ORIENTED_EDGE('',*,*,#217121,.T.); #300168=ORIENTED_EDGE('',*,*,#217120,.T.); #300169=ORIENTED_EDGE('',*,*,#217122,.F.); #300170=ORIENTED_EDGE('',*,*,#217123,.F.); #300171=ORIENTED_EDGE('',*,*,#217124,.T.); #300172=ORIENTED_EDGE('',*,*,#217123,.T.); #300173=ORIENTED_EDGE('',*,*,#217125,.F.); #300174=ORIENTED_EDGE('',*,*,#217126,.F.); #300175=ORIENTED_EDGE('',*,*,#217127,.T.); #300176=ORIENTED_EDGE('',*,*,#217126,.T.); #300177=ORIENTED_EDGE('',*,*,#217128,.F.); #300178=ORIENTED_EDGE('',*,*,#217129,.F.); #300179=ORIENTED_EDGE('',*,*,#217130,.T.); #300180=ORIENTED_EDGE('',*,*,#217129,.T.); #300181=ORIENTED_EDGE('',*,*,#217131,.F.); #300182=ORIENTED_EDGE('',*,*,#217132,.F.); #300183=ORIENTED_EDGE('',*,*,#217133,.T.); #300184=ORIENTED_EDGE('',*,*,#217132,.T.); #300185=ORIENTED_EDGE('',*,*,#217134,.F.); #300186=ORIENTED_EDGE('',*,*,#217135,.F.); #300187=ORIENTED_EDGE('',*,*,#217136,.T.); #300188=ORIENTED_EDGE('',*,*,#217135,.T.); #300189=ORIENTED_EDGE('',*,*,#217137,.F.); #300190=ORIENTED_EDGE('',*,*,#217138,.F.); #300191=ORIENTED_EDGE('',*,*,#217139,.T.); #300192=ORIENTED_EDGE('',*,*,#217138,.T.); #300193=ORIENTED_EDGE('',*,*,#217140,.F.); #300194=ORIENTED_EDGE('',*,*,#217141,.F.); #300195=ORIENTED_EDGE('',*,*,#217142,.T.); #300196=ORIENTED_EDGE('',*,*,#217141,.T.); #300197=ORIENTED_EDGE('',*,*,#217143,.F.); #300198=ORIENTED_EDGE('',*,*,#217144,.F.); #300199=ORIENTED_EDGE('',*,*,#217145,.T.); #300200=ORIENTED_EDGE('',*,*,#217144,.T.); #300201=ORIENTED_EDGE('',*,*,#217146,.F.); #300202=ORIENTED_EDGE('',*,*,#217147,.F.); #300203=ORIENTED_EDGE('',*,*,#217148,.T.); #300204=ORIENTED_EDGE('',*,*,#217147,.T.); #300205=ORIENTED_EDGE('',*,*,#217149,.F.); #300206=ORIENTED_EDGE('',*,*,#217150,.F.); #300207=ORIENTED_EDGE('',*,*,#217151,.T.); #300208=ORIENTED_EDGE('',*,*,#217150,.T.); #300209=ORIENTED_EDGE('',*,*,#217152,.F.); #300210=ORIENTED_EDGE('',*,*,#217153,.F.); #300211=ORIENTED_EDGE('',*,*,#217154,.T.); #300212=ORIENTED_EDGE('',*,*,#217153,.T.); #300213=ORIENTED_EDGE('',*,*,#217155,.F.); #300214=ORIENTED_EDGE('',*,*,#217156,.F.); #300215=ORIENTED_EDGE('',*,*,#217157,.T.); #300216=ORIENTED_EDGE('',*,*,#217156,.T.); #300217=ORIENTED_EDGE('',*,*,#217158,.F.); #300218=ORIENTED_EDGE('',*,*,#217159,.F.); #300219=ORIENTED_EDGE('',*,*,#217160,.T.); #300220=ORIENTED_EDGE('',*,*,#217159,.T.); #300221=ORIENTED_EDGE('',*,*,#217161,.F.); #300222=ORIENTED_EDGE('',*,*,#217162,.F.); #300223=ORIENTED_EDGE('',*,*,#217163,.T.); #300224=ORIENTED_EDGE('',*,*,#217162,.T.); #300225=ORIENTED_EDGE('',*,*,#217164,.F.); #300226=ORIENTED_EDGE('',*,*,#217165,.F.); #300227=ORIENTED_EDGE('',*,*,#217166,.T.); #300228=ORIENTED_EDGE('',*,*,#217165,.T.); #300229=ORIENTED_EDGE('',*,*,#217167,.F.); #300230=ORIENTED_EDGE('',*,*,#217168,.F.); #300231=ORIENTED_EDGE('',*,*,#217169,.T.); #300232=ORIENTED_EDGE('',*,*,#217168,.T.); #300233=ORIENTED_EDGE('',*,*,#217170,.F.); #300234=ORIENTED_EDGE('',*,*,#217171,.F.); #300235=ORIENTED_EDGE('',*,*,#217172,.T.); #300236=ORIENTED_EDGE('',*,*,#217171,.T.); #300237=ORIENTED_EDGE('',*,*,#217173,.F.); #300238=ORIENTED_EDGE('',*,*,#217174,.F.); #300239=ORIENTED_EDGE('',*,*,#217175,.T.); #300240=ORIENTED_EDGE('',*,*,#217174,.T.); #300241=ORIENTED_EDGE('',*,*,#217176,.F.); #300242=ORIENTED_EDGE('',*,*,#217177,.F.); #300243=ORIENTED_EDGE('',*,*,#217178,.T.); #300244=ORIENTED_EDGE('',*,*,#217177,.T.); #300245=ORIENTED_EDGE('',*,*,#217179,.F.); #300246=ORIENTED_EDGE('',*,*,#217180,.F.); #300247=ORIENTED_EDGE('',*,*,#217181,.T.); #300248=ORIENTED_EDGE('',*,*,#217180,.T.); #300249=ORIENTED_EDGE('',*,*,#217182,.F.); #300250=ORIENTED_EDGE('',*,*,#217183,.F.); #300251=ORIENTED_EDGE('',*,*,#217184,.T.); #300252=ORIENTED_EDGE('',*,*,#217183,.T.); #300253=ORIENTED_EDGE('',*,*,#217185,.F.); #300254=ORIENTED_EDGE('',*,*,#217186,.F.); #300255=ORIENTED_EDGE('',*,*,#217187,.T.); #300256=ORIENTED_EDGE('',*,*,#217186,.T.); #300257=ORIENTED_EDGE('',*,*,#217188,.F.); #300258=ORIENTED_EDGE('',*,*,#217189,.F.); #300259=ORIENTED_EDGE('',*,*,#217190,.T.); #300260=ORIENTED_EDGE('',*,*,#217189,.T.); #300261=ORIENTED_EDGE('',*,*,#217191,.F.); #300262=ORIENTED_EDGE('',*,*,#217192,.F.); #300263=ORIENTED_EDGE('',*,*,#217193,.T.); #300264=ORIENTED_EDGE('',*,*,#217192,.T.); #300265=ORIENTED_EDGE('',*,*,#217194,.F.); #300266=ORIENTED_EDGE('',*,*,#217195,.F.); #300267=ORIENTED_EDGE('',*,*,#217196,.T.); #300268=ORIENTED_EDGE('',*,*,#217195,.T.); #300269=ORIENTED_EDGE('',*,*,#217197,.F.); #300270=ORIENTED_EDGE('',*,*,#217198,.F.); #300271=ORIENTED_EDGE('',*,*,#217199,.T.); #300272=ORIENTED_EDGE('',*,*,#217198,.T.); #300273=ORIENTED_EDGE('',*,*,#217200,.F.); #300274=ORIENTED_EDGE('',*,*,#217201,.F.); #300275=ORIENTED_EDGE('',*,*,#217202,.T.); #300276=ORIENTED_EDGE('',*,*,#217201,.T.); #300277=ORIENTED_EDGE('',*,*,#217203,.F.); #300278=ORIENTED_EDGE('',*,*,#217204,.F.); #300279=ORIENTED_EDGE('',*,*,#217205,.T.); #300280=ORIENTED_EDGE('',*,*,#217204,.T.); #300281=ORIENTED_EDGE('',*,*,#217206,.F.); #300282=ORIENTED_EDGE('',*,*,#217207,.F.); #300283=ORIENTED_EDGE('',*,*,#217208,.T.); #300284=ORIENTED_EDGE('',*,*,#217207,.T.); #300285=ORIENTED_EDGE('',*,*,#217209,.F.); #300286=ORIENTED_EDGE('',*,*,#217210,.F.); #300287=ORIENTED_EDGE('',*,*,#217211,.T.); #300288=ORIENTED_EDGE('',*,*,#217210,.T.); #300289=ORIENTED_EDGE('',*,*,#217212,.F.); #300290=ORIENTED_EDGE('',*,*,#217213,.F.); #300291=ORIENTED_EDGE('',*,*,#217214,.T.); #300292=ORIENTED_EDGE('',*,*,#217213,.T.); #300293=ORIENTED_EDGE('',*,*,#217215,.F.); #300294=ORIENTED_EDGE('',*,*,#217216,.F.); #300295=ORIENTED_EDGE('',*,*,#217217,.T.); #300296=ORIENTED_EDGE('',*,*,#217216,.T.); #300297=ORIENTED_EDGE('',*,*,#217218,.F.); #300298=ORIENTED_EDGE('',*,*,#217085,.F.); #300299=ORIENTED_EDGE('',*,*,#217218,.T.); #300300=ORIENTED_EDGE('',*,*,#217215,.T.); #300301=ORIENTED_EDGE('',*,*,#217212,.T.); #300302=ORIENTED_EDGE('',*,*,#217209,.T.); #300303=ORIENTED_EDGE('',*,*,#217206,.T.); #300304=ORIENTED_EDGE('',*,*,#217203,.T.); #300305=ORIENTED_EDGE('',*,*,#217200,.T.); #300306=ORIENTED_EDGE('',*,*,#217197,.T.); #300307=ORIENTED_EDGE('',*,*,#217194,.T.); #300308=ORIENTED_EDGE('',*,*,#217191,.T.); #300309=ORIENTED_EDGE('',*,*,#217188,.T.); #300310=ORIENTED_EDGE('',*,*,#217185,.T.); #300311=ORIENTED_EDGE('',*,*,#217182,.T.); #300312=ORIENTED_EDGE('',*,*,#217179,.T.); #300313=ORIENTED_EDGE('',*,*,#217176,.T.); #300314=ORIENTED_EDGE('',*,*,#217173,.T.); #300315=ORIENTED_EDGE('',*,*,#217170,.T.); #300316=ORIENTED_EDGE('',*,*,#217167,.T.); #300317=ORIENTED_EDGE('',*,*,#217164,.T.); #300318=ORIENTED_EDGE('',*,*,#217161,.T.); #300319=ORIENTED_EDGE('',*,*,#217158,.T.); #300320=ORIENTED_EDGE('',*,*,#217155,.T.); #300321=ORIENTED_EDGE('',*,*,#217152,.T.); #300322=ORIENTED_EDGE('',*,*,#217149,.T.); #300323=ORIENTED_EDGE('',*,*,#217146,.T.); #300324=ORIENTED_EDGE('',*,*,#217143,.T.); #300325=ORIENTED_EDGE('',*,*,#217140,.T.); #300326=ORIENTED_EDGE('',*,*,#217137,.T.); #300327=ORIENTED_EDGE('',*,*,#217134,.T.); #300328=ORIENTED_EDGE('',*,*,#217131,.T.); #300329=ORIENTED_EDGE('',*,*,#217128,.T.); #300330=ORIENTED_EDGE('',*,*,#217125,.T.); #300331=ORIENTED_EDGE('',*,*,#217122,.T.); #300332=ORIENTED_EDGE('',*,*,#217119,.T.); #300333=ORIENTED_EDGE('',*,*,#217116,.T.); #300334=ORIENTED_EDGE('',*,*,#217113,.T.); #300335=ORIENTED_EDGE('',*,*,#217110,.T.); #300336=ORIENTED_EDGE('',*,*,#217107,.T.); #300337=ORIENTED_EDGE('',*,*,#217104,.T.); #300338=ORIENTED_EDGE('',*,*,#217101,.T.); #300339=ORIENTED_EDGE('',*,*,#217098,.T.); #300340=ORIENTED_EDGE('',*,*,#217095,.T.); #300341=ORIENTED_EDGE('',*,*,#217092,.T.); #300342=ORIENTED_EDGE('',*,*,#217089,.T.); #300343=ORIENTED_EDGE('',*,*,#217086,.T.); #300344=ORIENTED_EDGE('',*,*,#216996,.T.); #300345=ORIENTED_EDGE('',*,*,#216999,.T.); #300346=ORIENTED_EDGE('',*,*,#217002,.T.); #300347=ORIENTED_EDGE('',*,*,#217005,.T.); #300348=ORIENTED_EDGE('',*,*,#217008,.T.); #300349=ORIENTED_EDGE('',*,*,#217011,.T.); #300350=ORIENTED_EDGE('',*,*,#217014,.T.); #300351=ORIENTED_EDGE('',*,*,#217017,.T.); #300352=ORIENTED_EDGE('',*,*,#217020,.T.); #300353=ORIENTED_EDGE('',*,*,#217023,.T.); #300354=ORIENTED_EDGE('',*,*,#217026,.T.); #300355=ORIENTED_EDGE('',*,*,#217029,.T.); #300356=ORIENTED_EDGE('',*,*,#217032,.T.); #300357=ORIENTED_EDGE('',*,*,#217035,.T.); #300358=ORIENTED_EDGE('',*,*,#217038,.T.); #300359=ORIENTED_EDGE('',*,*,#217041,.T.); #300360=ORIENTED_EDGE('',*,*,#217044,.T.); #300361=ORIENTED_EDGE('',*,*,#217047,.T.); #300362=ORIENTED_EDGE('',*,*,#217050,.T.); #300363=ORIENTED_EDGE('',*,*,#217053,.T.); #300364=ORIENTED_EDGE('',*,*,#217056,.T.); #300365=ORIENTED_EDGE('',*,*,#217059,.T.); #300366=ORIENTED_EDGE('',*,*,#217062,.T.); #300367=ORIENTED_EDGE('',*,*,#217065,.T.); #300368=ORIENTED_EDGE('',*,*,#217068,.T.); #300369=ORIENTED_EDGE('',*,*,#217071,.T.); #300370=ORIENTED_EDGE('',*,*,#217074,.T.); #300371=ORIENTED_EDGE('',*,*,#217077,.T.); #300372=ORIENTED_EDGE('',*,*,#217080,.T.); #300373=ORIENTED_EDGE('',*,*,#217083,.T.); #300374=ORIENTED_EDGE('',*,*,#217217,.F.); #300375=ORIENTED_EDGE('',*,*,#217084,.F.); #300376=ORIENTED_EDGE('',*,*,#217088,.F.); #300377=ORIENTED_EDGE('',*,*,#217091,.F.); #300378=ORIENTED_EDGE('',*,*,#217094,.F.); #300379=ORIENTED_EDGE('',*,*,#217097,.F.); #300380=ORIENTED_EDGE('',*,*,#217100,.F.); #300381=ORIENTED_EDGE('',*,*,#217103,.F.); #300382=ORIENTED_EDGE('',*,*,#217106,.F.); #300383=ORIENTED_EDGE('',*,*,#217109,.F.); #300384=ORIENTED_EDGE('',*,*,#217112,.F.); #300385=ORIENTED_EDGE('',*,*,#217115,.F.); #300386=ORIENTED_EDGE('',*,*,#217118,.F.); #300387=ORIENTED_EDGE('',*,*,#217121,.F.); #300388=ORIENTED_EDGE('',*,*,#217124,.F.); #300389=ORIENTED_EDGE('',*,*,#217127,.F.); #300390=ORIENTED_EDGE('',*,*,#217130,.F.); #300391=ORIENTED_EDGE('',*,*,#217133,.F.); #300392=ORIENTED_EDGE('',*,*,#217136,.F.); #300393=ORIENTED_EDGE('',*,*,#217139,.F.); #300394=ORIENTED_EDGE('',*,*,#217142,.F.); #300395=ORIENTED_EDGE('',*,*,#217145,.F.); #300396=ORIENTED_EDGE('',*,*,#217148,.F.); #300397=ORIENTED_EDGE('',*,*,#217151,.F.); #300398=ORIENTED_EDGE('',*,*,#217154,.F.); #300399=ORIENTED_EDGE('',*,*,#217157,.F.); #300400=ORIENTED_EDGE('',*,*,#217160,.F.); #300401=ORIENTED_EDGE('',*,*,#217163,.F.); #300402=ORIENTED_EDGE('',*,*,#217166,.F.); #300403=ORIENTED_EDGE('',*,*,#217169,.F.); #300404=ORIENTED_EDGE('',*,*,#217172,.F.); #300405=ORIENTED_EDGE('',*,*,#217175,.F.); #300406=ORIENTED_EDGE('',*,*,#217178,.F.); #300407=ORIENTED_EDGE('',*,*,#217181,.F.); #300408=ORIENTED_EDGE('',*,*,#217184,.F.); #300409=ORIENTED_EDGE('',*,*,#217187,.F.); #300410=ORIENTED_EDGE('',*,*,#217190,.F.); #300411=ORIENTED_EDGE('',*,*,#217193,.F.); #300412=ORIENTED_EDGE('',*,*,#217196,.F.); #300413=ORIENTED_EDGE('',*,*,#217199,.F.); #300414=ORIENTED_EDGE('',*,*,#217202,.F.); #300415=ORIENTED_EDGE('',*,*,#217205,.F.); #300416=ORIENTED_EDGE('',*,*,#217208,.F.); #300417=ORIENTED_EDGE('',*,*,#217211,.F.); #300418=ORIENTED_EDGE('',*,*,#217214,.F.); #300419=ORIENTED_EDGE('',*,*,#216994,.T.); #300420=ORIENTED_EDGE('',*,*,#216997,.T.); #300421=ORIENTED_EDGE('',*,*,#217000,.T.); #300422=ORIENTED_EDGE('',*,*,#217003,.T.); #300423=ORIENTED_EDGE('',*,*,#217006,.T.); #300424=ORIENTED_EDGE('',*,*,#217009,.T.); #300425=ORIENTED_EDGE('',*,*,#217012,.T.); #300426=ORIENTED_EDGE('',*,*,#217015,.T.); #300427=ORIENTED_EDGE('',*,*,#217018,.T.); #300428=ORIENTED_EDGE('',*,*,#217021,.T.); #300429=ORIENTED_EDGE('',*,*,#217024,.T.); #300430=ORIENTED_EDGE('',*,*,#217027,.T.); #300431=ORIENTED_EDGE('',*,*,#217030,.T.); #300432=ORIENTED_EDGE('',*,*,#217033,.T.); #300433=ORIENTED_EDGE('',*,*,#217036,.T.); #300434=ORIENTED_EDGE('',*,*,#217039,.T.); #300435=ORIENTED_EDGE('',*,*,#217042,.T.); #300436=ORIENTED_EDGE('',*,*,#217045,.T.); #300437=ORIENTED_EDGE('',*,*,#217048,.T.); #300438=ORIENTED_EDGE('',*,*,#217051,.T.); #300439=ORIENTED_EDGE('',*,*,#217054,.T.); #300440=ORIENTED_EDGE('',*,*,#217057,.T.); #300441=ORIENTED_EDGE('',*,*,#217060,.T.); #300442=ORIENTED_EDGE('',*,*,#217063,.T.); #300443=ORIENTED_EDGE('',*,*,#217066,.T.); #300444=ORIENTED_EDGE('',*,*,#217069,.T.); #300445=ORIENTED_EDGE('',*,*,#217072,.T.); #300446=ORIENTED_EDGE('',*,*,#217075,.T.); #300447=ORIENTED_EDGE('',*,*,#217078,.T.); #300448=ORIENTED_EDGE('',*,*,#217081,.T.); #300449=ORIENTED_EDGE('',*,*,#217219,.F.); #300450=ORIENTED_EDGE('',*,*,#217220,.T.); #300451=ORIENTED_EDGE('',*,*,#217221,.F.); #300452=ORIENTED_EDGE('',*,*,#217220,.F.); #300453=ORIENTED_EDGE('',*,*,#217222,.F.); #300454=ORIENTED_EDGE('',*,*,#217223,.T.); #300455=ORIENTED_EDGE('',*,*,#217224,.F.); #300456=ORIENTED_EDGE('',*,*,#217223,.F.); #300457=ORIENTED_EDGE('',*,*,#217225,.T.); #300458=ORIENTED_EDGE('',*,*,#217226,.T.); #300459=ORIENTED_EDGE('',*,*,#217227,.F.); #300460=ORIENTED_EDGE('',*,*,#217228,.F.); #300461=ORIENTED_EDGE('',*,*,#217229,.T.); #300462=ORIENTED_EDGE('',*,*,#217228,.T.); #300463=ORIENTED_EDGE('',*,*,#217230,.F.); #300464=ORIENTED_EDGE('',*,*,#217231,.F.); #300465=ORIENTED_EDGE('',*,*,#217232,.T.); #300466=ORIENTED_EDGE('',*,*,#217231,.T.); #300467=ORIENTED_EDGE('',*,*,#217233,.F.); #300468=ORIENTED_EDGE('',*,*,#217234,.F.); #300469=ORIENTED_EDGE('',*,*,#217235,.T.); #300470=ORIENTED_EDGE('',*,*,#217234,.T.); #300471=ORIENTED_EDGE('',*,*,#217236,.F.); #300472=ORIENTED_EDGE('',*,*,#217237,.F.); #300473=ORIENTED_EDGE('',*,*,#217238,.T.); #300474=ORIENTED_EDGE('',*,*,#217237,.T.); #300475=ORIENTED_EDGE('',*,*,#217239,.F.); #300476=ORIENTED_EDGE('',*,*,#217240,.F.); #300477=ORIENTED_EDGE('',*,*,#217241,.T.); #300478=ORIENTED_EDGE('',*,*,#217240,.T.); #300479=ORIENTED_EDGE('',*,*,#217242,.F.); #300480=ORIENTED_EDGE('',*,*,#217243,.F.); #300481=ORIENTED_EDGE('',*,*,#217244,.T.); #300482=ORIENTED_EDGE('',*,*,#217243,.T.); #300483=ORIENTED_EDGE('',*,*,#217245,.F.); #300484=ORIENTED_EDGE('',*,*,#217226,.F.); #300485=ORIENTED_EDGE('',*,*,#217245,.T.); #300486=ORIENTED_EDGE('',*,*,#217242,.T.); #300487=ORIENTED_EDGE('',*,*,#217239,.T.); #300488=ORIENTED_EDGE('',*,*,#217236,.T.); #300489=ORIENTED_EDGE('',*,*,#217233,.T.); #300490=ORIENTED_EDGE('',*,*,#217230,.T.); #300491=ORIENTED_EDGE('',*,*,#217227,.T.); #300492=ORIENTED_EDGE('',*,*,#217221,.T.); #300493=ORIENTED_EDGE('',*,*,#217224,.T.); #300494=ORIENTED_EDGE('',*,*,#217244,.F.); #300495=ORIENTED_EDGE('',*,*,#217225,.F.); #300496=ORIENTED_EDGE('',*,*,#217229,.F.); #300497=ORIENTED_EDGE('',*,*,#217232,.F.); #300498=ORIENTED_EDGE('',*,*,#217235,.F.); #300499=ORIENTED_EDGE('',*,*,#217238,.F.); #300500=ORIENTED_EDGE('',*,*,#217241,.F.); #300501=ORIENTED_EDGE('',*,*,#217219,.T.); #300502=ORIENTED_EDGE('',*,*,#217222,.T.); #300503=ORIENTED_EDGE('',*,*,#217246,.F.); #300504=ORIENTED_EDGE('',*,*,#217247,.T.); #300505=ORIENTED_EDGE('',*,*,#217248,.F.); #300506=ORIENTED_EDGE('',*,*,#217247,.F.); #300507=ORIENTED_EDGE('',*,*,#217249,.T.); #300508=ORIENTED_EDGE('',*,*,#217250,.T.); #300509=ORIENTED_EDGE('',*,*,#217251,.F.); #300510=ORIENTED_EDGE('',*,*,#217252,.F.); #300511=ORIENTED_EDGE('',*,*,#217253,.T.); #300512=ORIENTED_EDGE('',*,*,#217252,.T.); #300513=ORIENTED_EDGE('',*,*,#217254,.F.); #300514=ORIENTED_EDGE('',*,*,#217255,.F.); #300515=ORIENTED_EDGE('',*,*,#217256,.T.); #300516=ORIENTED_EDGE('',*,*,#217255,.T.); #300517=ORIENTED_EDGE('',*,*,#217257,.F.); #300518=ORIENTED_EDGE('',*,*,#217250,.F.); #300519=ORIENTED_EDGE('',*,*,#217257,.T.); #300520=ORIENTED_EDGE('',*,*,#217254,.T.); #300521=ORIENTED_EDGE('',*,*,#217251,.T.); #300522=ORIENTED_EDGE('',*,*,#217248,.T.); #300523=ORIENTED_EDGE('',*,*,#217256,.F.); #300524=ORIENTED_EDGE('',*,*,#217249,.F.); #300525=ORIENTED_EDGE('',*,*,#217253,.F.); #300526=ORIENTED_EDGE('',*,*,#217246,.T.); #300527=ORIENTED_EDGE('',*,*,#217258,.F.); #300528=ORIENTED_EDGE('',*,*,#217259,.T.); #300529=ORIENTED_EDGE('',*,*,#217260,.F.); #300530=ORIENTED_EDGE('',*,*,#217259,.F.); #300531=ORIENTED_EDGE('',*,*,#217261,.F.); #300532=ORIENTED_EDGE('',*,*,#217262,.T.); #300533=ORIENTED_EDGE('',*,*,#217263,.F.); #300534=ORIENTED_EDGE('',*,*,#217262,.F.); #300535=ORIENTED_EDGE('',*,*,#217264,.F.); #300536=ORIENTED_EDGE('',*,*,#217265,.T.); #300537=ORIENTED_EDGE('',*,*,#217266,.F.); #300538=ORIENTED_EDGE('',*,*,#217265,.F.); #300539=ORIENTED_EDGE('',*,*,#217267,.F.); #300540=ORIENTED_EDGE('',*,*,#217268,.T.); #300541=ORIENTED_EDGE('',*,*,#217269,.F.); #300542=ORIENTED_EDGE('',*,*,#217268,.F.); #300543=ORIENTED_EDGE('',*,*,#217270,.F.); #300544=ORIENTED_EDGE('',*,*,#217271,.T.); #300545=ORIENTED_EDGE('',*,*,#217272,.F.); #300546=ORIENTED_EDGE('',*,*,#217271,.F.); #300547=ORIENTED_EDGE('',*,*,#217273,.F.); #300548=ORIENTED_EDGE('',*,*,#217274,.T.); #300549=ORIENTED_EDGE('',*,*,#217275,.F.); #300550=ORIENTED_EDGE('',*,*,#217274,.F.); #300551=ORIENTED_EDGE('',*,*,#217276,.F.); #300552=ORIENTED_EDGE('',*,*,#217277,.T.); #300553=ORIENTED_EDGE('',*,*,#217278,.F.); #300554=ORIENTED_EDGE('',*,*,#217277,.F.); #300555=ORIENTED_EDGE('',*,*,#217279,.F.); #300556=ORIENTED_EDGE('',*,*,#217280,.T.); #300557=ORIENTED_EDGE('',*,*,#217281,.F.); #300558=ORIENTED_EDGE('',*,*,#217280,.F.); #300559=ORIENTED_EDGE('',*,*,#217282,.F.); #300560=ORIENTED_EDGE('',*,*,#217283,.T.); #300561=ORIENTED_EDGE('',*,*,#217284,.F.); #300562=ORIENTED_EDGE('',*,*,#217283,.F.); #300563=ORIENTED_EDGE('',*,*,#217285,.F.); #300564=ORIENTED_EDGE('',*,*,#217286,.T.); #300565=ORIENTED_EDGE('',*,*,#217287,.F.); #300566=ORIENTED_EDGE('',*,*,#217286,.F.); #300567=ORIENTED_EDGE('',*,*,#217288,.F.); #300568=ORIENTED_EDGE('',*,*,#217289,.T.); #300569=ORIENTED_EDGE('',*,*,#217290,.F.); #300570=ORIENTED_EDGE('',*,*,#217289,.F.); #300571=ORIENTED_EDGE('',*,*,#217291,.F.); #300572=ORIENTED_EDGE('',*,*,#217292,.T.); #300573=ORIENTED_EDGE('',*,*,#217293,.F.); #300574=ORIENTED_EDGE('',*,*,#217292,.F.); #300575=ORIENTED_EDGE('',*,*,#217294,.F.); #300576=ORIENTED_EDGE('',*,*,#217295,.T.); #300577=ORIENTED_EDGE('',*,*,#217296,.F.); #300578=ORIENTED_EDGE('',*,*,#217295,.F.); #300579=ORIENTED_EDGE('',*,*,#217297,.F.); #300580=ORIENTED_EDGE('',*,*,#217298,.T.); #300581=ORIENTED_EDGE('',*,*,#217299,.F.); #300582=ORIENTED_EDGE('',*,*,#217298,.F.); #300583=ORIENTED_EDGE('',*,*,#217300,.F.); #300584=ORIENTED_EDGE('',*,*,#217301,.T.); #300585=ORIENTED_EDGE('',*,*,#217302,.F.); #300586=ORIENTED_EDGE('',*,*,#217301,.F.); #300587=ORIENTED_EDGE('',*,*,#217303,.T.); #300588=ORIENTED_EDGE('',*,*,#217304,.T.); #300589=ORIENTED_EDGE('',*,*,#217305,.F.); #300590=ORIENTED_EDGE('',*,*,#217306,.F.); #300591=ORIENTED_EDGE('',*,*,#217307,.T.); #300592=ORIENTED_EDGE('',*,*,#217306,.T.); #300593=ORIENTED_EDGE('',*,*,#217308,.F.); #300594=ORIENTED_EDGE('',*,*,#217309,.F.); #300595=ORIENTED_EDGE('',*,*,#217310,.T.); #300596=ORIENTED_EDGE('',*,*,#217309,.T.); #300597=ORIENTED_EDGE('',*,*,#217311,.F.); #300598=ORIENTED_EDGE('',*,*,#217312,.F.); #300599=ORIENTED_EDGE('',*,*,#217313,.T.); #300600=ORIENTED_EDGE('',*,*,#217312,.T.); #300601=ORIENTED_EDGE('',*,*,#217314,.F.); #300602=ORIENTED_EDGE('',*,*,#217315,.F.); #300603=ORIENTED_EDGE('',*,*,#217316,.T.); #300604=ORIENTED_EDGE('',*,*,#217315,.T.); #300605=ORIENTED_EDGE('',*,*,#217317,.F.); #300606=ORIENTED_EDGE('',*,*,#217318,.F.); #300607=ORIENTED_EDGE('',*,*,#217319,.T.); #300608=ORIENTED_EDGE('',*,*,#217318,.T.); #300609=ORIENTED_EDGE('',*,*,#217320,.F.); #300610=ORIENTED_EDGE('',*,*,#217321,.F.); #300611=ORIENTED_EDGE('',*,*,#217322,.T.); #300612=ORIENTED_EDGE('',*,*,#217321,.T.); #300613=ORIENTED_EDGE('',*,*,#217323,.F.); #300614=ORIENTED_EDGE('',*,*,#217324,.F.); #300615=ORIENTED_EDGE('',*,*,#217325,.T.); #300616=ORIENTED_EDGE('',*,*,#217324,.T.); #300617=ORIENTED_EDGE('',*,*,#217326,.F.); #300618=ORIENTED_EDGE('',*,*,#217327,.F.); #300619=ORIENTED_EDGE('',*,*,#217328,.T.); #300620=ORIENTED_EDGE('',*,*,#217327,.T.); #300621=ORIENTED_EDGE('',*,*,#217329,.F.); #300622=ORIENTED_EDGE('',*,*,#217330,.F.); #300623=ORIENTED_EDGE('',*,*,#217331,.T.); #300624=ORIENTED_EDGE('',*,*,#217330,.T.); #300625=ORIENTED_EDGE('',*,*,#217332,.F.); #300626=ORIENTED_EDGE('',*,*,#217333,.F.); #300627=ORIENTED_EDGE('',*,*,#217334,.T.); #300628=ORIENTED_EDGE('',*,*,#217333,.T.); #300629=ORIENTED_EDGE('',*,*,#217335,.F.); #300630=ORIENTED_EDGE('',*,*,#217336,.F.); #300631=ORIENTED_EDGE('',*,*,#217337,.T.); #300632=ORIENTED_EDGE('',*,*,#217336,.T.); #300633=ORIENTED_EDGE('',*,*,#217338,.F.); #300634=ORIENTED_EDGE('',*,*,#217339,.F.); #300635=ORIENTED_EDGE('',*,*,#217340,.T.); #300636=ORIENTED_EDGE('',*,*,#217339,.T.); #300637=ORIENTED_EDGE('',*,*,#217341,.F.); #300638=ORIENTED_EDGE('',*,*,#217342,.F.); #300639=ORIENTED_EDGE('',*,*,#217343,.T.); #300640=ORIENTED_EDGE('',*,*,#217342,.T.); #300641=ORIENTED_EDGE('',*,*,#217344,.F.); #300642=ORIENTED_EDGE('',*,*,#217345,.F.); #300643=ORIENTED_EDGE('',*,*,#217346,.T.); #300644=ORIENTED_EDGE('',*,*,#217345,.T.); #300645=ORIENTED_EDGE('',*,*,#217347,.F.); #300646=ORIENTED_EDGE('',*,*,#217348,.F.); #300647=ORIENTED_EDGE('',*,*,#217349,.T.); #300648=ORIENTED_EDGE('',*,*,#217348,.T.); #300649=ORIENTED_EDGE('',*,*,#217350,.F.); #300650=ORIENTED_EDGE('',*,*,#217351,.F.); #300651=ORIENTED_EDGE('',*,*,#217352,.T.); #300652=ORIENTED_EDGE('',*,*,#217351,.T.); #300653=ORIENTED_EDGE('',*,*,#217353,.F.); #300654=ORIENTED_EDGE('',*,*,#217354,.F.); #300655=ORIENTED_EDGE('',*,*,#217355,.T.); #300656=ORIENTED_EDGE('',*,*,#217354,.T.); #300657=ORIENTED_EDGE('',*,*,#217356,.F.); #300658=ORIENTED_EDGE('',*,*,#217357,.F.); #300659=ORIENTED_EDGE('',*,*,#217358,.T.); #300660=ORIENTED_EDGE('',*,*,#217357,.T.); #300661=ORIENTED_EDGE('',*,*,#217359,.F.); #300662=ORIENTED_EDGE('',*,*,#217360,.F.); #300663=ORIENTED_EDGE('',*,*,#217361,.T.); #300664=ORIENTED_EDGE('',*,*,#217360,.T.); #300665=ORIENTED_EDGE('',*,*,#217362,.F.); #300666=ORIENTED_EDGE('',*,*,#217363,.F.); #300667=ORIENTED_EDGE('',*,*,#217364,.T.); #300668=ORIENTED_EDGE('',*,*,#217363,.T.); #300669=ORIENTED_EDGE('',*,*,#217365,.F.); #300670=ORIENTED_EDGE('',*,*,#217366,.F.); #300671=ORIENTED_EDGE('',*,*,#217367,.T.); #300672=ORIENTED_EDGE('',*,*,#217366,.T.); #300673=ORIENTED_EDGE('',*,*,#217368,.F.); #300674=ORIENTED_EDGE('',*,*,#217369,.F.); #300675=ORIENTED_EDGE('',*,*,#217370,.T.); #300676=ORIENTED_EDGE('',*,*,#217369,.T.); #300677=ORIENTED_EDGE('',*,*,#217371,.F.); #300678=ORIENTED_EDGE('',*,*,#217372,.F.); #300679=ORIENTED_EDGE('',*,*,#217373,.T.); #300680=ORIENTED_EDGE('',*,*,#217372,.T.); #300681=ORIENTED_EDGE('',*,*,#217374,.F.); #300682=ORIENTED_EDGE('',*,*,#217375,.F.); #300683=ORIENTED_EDGE('',*,*,#217376,.T.); #300684=ORIENTED_EDGE('',*,*,#217375,.T.); #300685=ORIENTED_EDGE('',*,*,#217377,.F.); #300686=ORIENTED_EDGE('',*,*,#217378,.F.); #300687=ORIENTED_EDGE('',*,*,#217379,.T.); #300688=ORIENTED_EDGE('',*,*,#217378,.T.); #300689=ORIENTED_EDGE('',*,*,#217380,.F.); #300690=ORIENTED_EDGE('',*,*,#217381,.F.); #300691=ORIENTED_EDGE('',*,*,#217382,.T.); #300692=ORIENTED_EDGE('',*,*,#217381,.T.); #300693=ORIENTED_EDGE('',*,*,#217383,.F.); #300694=ORIENTED_EDGE('',*,*,#217384,.F.); #300695=ORIENTED_EDGE('',*,*,#217385,.T.); #300696=ORIENTED_EDGE('',*,*,#217384,.T.); #300697=ORIENTED_EDGE('',*,*,#217386,.F.); #300698=ORIENTED_EDGE('',*,*,#217387,.F.); #300699=ORIENTED_EDGE('',*,*,#217388,.T.); #300700=ORIENTED_EDGE('',*,*,#217387,.T.); #300701=ORIENTED_EDGE('',*,*,#217389,.F.); #300702=ORIENTED_EDGE('',*,*,#217390,.F.); #300703=ORIENTED_EDGE('',*,*,#217391,.T.); #300704=ORIENTED_EDGE('',*,*,#217390,.T.); #300705=ORIENTED_EDGE('',*,*,#217392,.F.); #300706=ORIENTED_EDGE('',*,*,#217393,.F.); #300707=ORIENTED_EDGE('',*,*,#217394,.T.); #300708=ORIENTED_EDGE('',*,*,#217393,.T.); #300709=ORIENTED_EDGE('',*,*,#217395,.F.); #300710=ORIENTED_EDGE('',*,*,#217396,.F.); #300711=ORIENTED_EDGE('',*,*,#217397,.T.); #300712=ORIENTED_EDGE('',*,*,#217396,.T.); #300713=ORIENTED_EDGE('',*,*,#217398,.F.); #300714=ORIENTED_EDGE('',*,*,#217399,.F.); #300715=ORIENTED_EDGE('',*,*,#217400,.T.); #300716=ORIENTED_EDGE('',*,*,#217399,.T.); #300717=ORIENTED_EDGE('',*,*,#217401,.F.); #300718=ORIENTED_EDGE('',*,*,#217402,.F.); #300719=ORIENTED_EDGE('',*,*,#217403,.T.); #300720=ORIENTED_EDGE('',*,*,#217402,.T.); #300721=ORIENTED_EDGE('',*,*,#217404,.F.); #300722=ORIENTED_EDGE('',*,*,#217405,.F.); #300723=ORIENTED_EDGE('',*,*,#217406,.T.); #300724=ORIENTED_EDGE('',*,*,#217405,.T.); #300725=ORIENTED_EDGE('',*,*,#217407,.F.); #300726=ORIENTED_EDGE('',*,*,#217408,.F.); #300727=ORIENTED_EDGE('',*,*,#217409,.T.); #300728=ORIENTED_EDGE('',*,*,#217408,.T.); #300729=ORIENTED_EDGE('',*,*,#217410,.F.); #300730=ORIENTED_EDGE('',*,*,#217411,.F.); #300731=ORIENTED_EDGE('',*,*,#217412,.T.); #300732=ORIENTED_EDGE('',*,*,#217411,.T.); #300733=ORIENTED_EDGE('',*,*,#217413,.F.); #300734=ORIENTED_EDGE('',*,*,#217414,.F.); #300735=ORIENTED_EDGE('',*,*,#217415,.T.); #300736=ORIENTED_EDGE('',*,*,#217414,.T.); #300737=ORIENTED_EDGE('',*,*,#217416,.F.); #300738=ORIENTED_EDGE('',*,*,#217417,.F.); #300739=ORIENTED_EDGE('',*,*,#217418,.T.); #300740=ORIENTED_EDGE('',*,*,#217417,.T.); #300741=ORIENTED_EDGE('',*,*,#217419,.F.); #300742=ORIENTED_EDGE('',*,*,#217420,.F.); #300743=ORIENTED_EDGE('',*,*,#217421,.T.); #300744=ORIENTED_EDGE('',*,*,#217420,.T.); #300745=ORIENTED_EDGE('',*,*,#217422,.F.); #300746=ORIENTED_EDGE('',*,*,#217423,.F.); #300747=ORIENTED_EDGE('',*,*,#217424,.T.); #300748=ORIENTED_EDGE('',*,*,#217423,.T.); #300749=ORIENTED_EDGE('',*,*,#217425,.F.); #300750=ORIENTED_EDGE('',*,*,#217426,.F.); #300751=ORIENTED_EDGE('',*,*,#217427,.T.); #300752=ORIENTED_EDGE('',*,*,#217426,.T.); #300753=ORIENTED_EDGE('',*,*,#217428,.F.); #300754=ORIENTED_EDGE('',*,*,#217429,.F.); #300755=ORIENTED_EDGE('',*,*,#217430,.T.); #300756=ORIENTED_EDGE('',*,*,#217429,.T.); #300757=ORIENTED_EDGE('',*,*,#217431,.F.); #300758=ORIENTED_EDGE('',*,*,#217432,.F.); #300759=ORIENTED_EDGE('',*,*,#217433,.T.); #300760=ORIENTED_EDGE('',*,*,#217432,.T.); #300761=ORIENTED_EDGE('',*,*,#217434,.F.); #300762=ORIENTED_EDGE('',*,*,#217435,.F.); #300763=ORIENTED_EDGE('',*,*,#217436,.T.); #300764=ORIENTED_EDGE('',*,*,#217435,.T.); #300765=ORIENTED_EDGE('',*,*,#217437,.F.); #300766=ORIENTED_EDGE('',*,*,#217438,.F.); #300767=ORIENTED_EDGE('',*,*,#217439,.T.); #300768=ORIENTED_EDGE('',*,*,#217438,.T.); #300769=ORIENTED_EDGE('',*,*,#217440,.F.); #300770=ORIENTED_EDGE('',*,*,#217441,.F.); #300771=ORIENTED_EDGE('',*,*,#217442,.T.); #300772=ORIENTED_EDGE('',*,*,#217441,.T.); #300773=ORIENTED_EDGE('',*,*,#217443,.F.); #300774=ORIENTED_EDGE('',*,*,#217444,.F.); #300775=ORIENTED_EDGE('',*,*,#217445,.T.); #300776=ORIENTED_EDGE('',*,*,#217444,.T.); #300777=ORIENTED_EDGE('',*,*,#217446,.F.); #300778=ORIENTED_EDGE('',*,*,#217447,.F.); #300779=ORIENTED_EDGE('',*,*,#217448,.T.); #300780=ORIENTED_EDGE('',*,*,#217447,.T.); #300781=ORIENTED_EDGE('',*,*,#217449,.F.); #300782=ORIENTED_EDGE('',*,*,#217450,.F.); #300783=ORIENTED_EDGE('',*,*,#217451,.T.); #300784=ORIENTED_EDGE('',*,*,#217450,.T.); #300785=ORIENTED_EDGE('',*,*,#217452,.F.); #300786=ORIENTED_EDGE('',*,*,#217453,.F.); #300787=ORIENTED_EDGE('',*,*,#217454,.T.); #300788=ORIENTED_EDGE('',*,*,#217453,.T.); #300789=ORIENTED_EDGE('',*,*,#217455,.F.); #300790=ORIENTED_EDGE('',*,*,#217456,.F.); #300791=ORIENTED_EDGE('',*,*,#217457,.T.); #300792=ORIENTED_EDGE('',*,*,#217456,.T.); #300793=ORIENTED_EDGE('',*,*,#217458,.F.); #300794=ORIENTED_EDGE('',*,*,#217459,.F.); #300795=ORIENTED_EDGE('',*,*,#217460,.T.); #300796=ORIENTED_EDGE('',*,*,#217459,.T.); #300797=ORIENTED_EDGE('',*,*,#217461,.F.); #300798=ORIENTED_EDGE('',*,*,#217462,.F.); #300799=ORIENTED_EDGE('',*,*,#217463,.T.); #300800=ORIENTED_EDGE('',*,*,#217462,.T.); #300801=ORIENTED_EDGE('',*,*,#217464,.F.); #300802=ORIENTED_EDGE('',*,*,#217465,.F.); #300803=ORIENTED_EDGE('',*,*,#217466,.T.); #300804=ORIENTED_EDGE('',*,*,#217465,.T.); #300805=ORIENTED_EDGE('',*,*,#217467,.F.); #300806=ORIENTED_EDGE('',*,*,#217468,.F.); #300807=ORIENTED_EDGE('',*,*,#217469,.T.); #300808=ORIENTED_EDGE('',*,*,#217468,.T.); #300809=ORIENTED_EDGE('',*,*,#217470,.F.); #300810=ORIENTED_EDGE('',*,*,#217471,.F.); #300811=ORIENTED_EDGE('',*,*,#217472,.T.); #300812=ORIENTED_EDGE('',*,*,#217471,.T.); #300813=ORIENTED_EDGE('',*,*,#217473,.F.); #300814=ORIENTED_EDGE('',*,*,#217474,.F.); #300815=ORIENTED_EDGE('',*,*,#217475,.T.); #300816=ORIENTED_EDGE('',*,*,#217474,.T.); #300817=ORIENTED_EDGE('',*,*,#217476,.F.); #300818=ORIENTED_EDGE('',*,*,#217477,.F.); #300819=ORIENTED_EDGE('',*,*,#217478,.T.); #300820=ORIENTED_EDGE('',*,*,#217477,.T.); #300821=ORIENTED_EDGE('',*,*,#217479,.F.); #300822=ORIENTED_EDGE('',*,*,#217480,.F.); #300823=ORIENTED_EDGE('',*,*,#217481,.T.); #300824=ORIENTED_EDGE('',*,*,#217480,.T.); #300825=ORIENTED_EDGE('',*,*,#217482,.F.); #300826=ORIENTED_EDGE('',*,*,#217483,.F.); #300827=ORIENTED_EDGE('',*,*,#217484,.T.); #300828=ORIENTED_EDGE('',*,*,#217483,.T.); #300829=ORIENTED_EDGE('',*,*,#217485,.F.); #300830=ORIENTED_EDGE('',*,*,#217486,.F.); #300831=ORIENTED_EDGE('',*,*,#217487,.T.); #300832=ORIENTED_EDGE('',*,*,#217486,.T.); #300833=ORIENTED_EDGE('',*,*,#217488,.F.); #300834=ORIENTED_EDGE('',*,*,#217489,.F.); #300835=ORIENTED_EDGE('',*,*,#217490,.T.); #300836=ORIENTED_EDGE('',*,*,#217489,.T.); #300837=ORIENTED_EDGE('',*,*,#217491,.F.); #300838=ORIENTED_EDGE('',*,*,#217492,.F.); #300839=ORIENTED_EDGE('',*,*,#217493,.T.); #300840=ORIENTED_EDGE('',*,*,#217492,.T.); #300841=ORIENTED_EDGE('',*,*,#217494,.F.); #300842=ORIENTED_EDGE('',*,*,#217495,.F.); #300843=ORIENTED_EDGE('',*,*,#217496,.T.); #300844=ORIENTED_EDGE('',*,*,#217495,.T.); #300845=ORIENTED_EDGE('',*,*,#217497,.F.); #300846=ORIENTED_EDGE('',*,*,#217498,.F.); #300847=ORIENTED_EDGE('',*,*,#217499,.T.); #300848=ORIENTED_EDGE('',*,*,#217498,.T.); #300849=ORIENTED_EDGE('',*,*,#217500,.F.); #300850=ORIENTED_EDGE('',*,*,#217501,.F.); #300851=ORIENTED_EDGE('',*,*,#217502,.T.); #300852=ORIENTED_EDGE('',*,*,#217501,.T.); #300853=ORIENTED_EDGE('',*,*,#217503,.F.); #300854=ORIENTED_EDGE('',*,*,#217504,.F.); #300855=ORIENTED_EDGE('',*,*,#217505,.T.); #300856=ORIENTED_EDGE('',*,*,#217504,.T.); #300857=ORIENTED_EDGE('',*,*,#217506,.F.); #300858=ORIENTED_EDGE('',*,*,#217507,.F.); #300859=ORIENTED_EDGE('',*,*,#217508,.T.); #300860=ORIENTED_EDGE('',*,*,#217507,.T.); #300861=ORIENTED_EDGE('',*,*,#217509,.F.); #300862=ORIENTED_EDGE('',*,*,#217510,.F.); #300863=ORIENTED_EDGE('',*,*,#217511,.T.); #300864=ORIENTED_EDGE('',*,*,#217510,.T.); #300865=ORIENTED_EDGE('',*,*,#217512,.F.); #300866=ORIENTED_EDGE('',*,*,#217513,.F.); #300867=ORIENTED_EDGE('',*,*,#217514,.T.); #300868=ORIENTED_EDGE('',*,*,#217513,.T.); #300869=ORIENTED_EDGE('',*,*,#217515,.F.); #300870=ORIENTED_EDGE('',*,*,#217516,.F.); #300871=ORIENTED_EDGE('',*,*,#217517,.T.); #300872=ORIENTED_EDGE('',*,*,#217516,.T.); #300873=ORIENTED_EDGE('',*,*,#217518,.F.); #300874=ORIENTED_EDGE('',*,*,#217304,.F.); #300875=ORIENTED_EDGE('',*,*,#217519,.T.); #300876=ORIENTED_EDGE('',*,*,#217520,.T.); #300877=ORIENTED_EDGE('',*,*,#217521,.F.); #300878=ORIENTED_EDGE('',*,*,#217522,.F.); #300879=ORIENTED_EDGE('',*,*,#217523,.T.); #300880=ORIENTED_EDGE('',*,*,#217522,.T.); #300881=ORIENTED_EDGE('',*,*,#217524,.F.); #300882=ORIENTED_EDGE('',*,*,#217525,.F.); #300883=ORIENTED_EDGE('',*,*,#217526,.T.); #300884=ORIENTED_EDGE('',*,*,#217525,.T.); #300885=ORIENTED_EDGE('',*,*,#217527,.F.); #300886=ORIENTED_EDGE('',*,*,#217528,.F.); #300887=ORIENTED_EDGE('',*,*,#217529,.T.); #300888=ORIENTED_EDGE('',*,*,#217528,.T.); #300889=ORIENTED_EDGE('',*,*,#217530,.F.); #300890=ORIENTED_EDGE('',*,*,#217531,.F.); #300891=ORIENTED_EDGE('',*,*,#217532,.T.); #300892=ORIENTED_EDGE('',*,*,#217531,.T.); #300893=ORIENTED_EDGE('',*,*,#217533,.F.); #300894=ORIENTED_EDGE('',*,*,#217534,.F.); #300895=ORIENTED_EDGE('',*,*,#217535,.T.); #300896=ORIENTED_EDGE('',*,*,#217534,.T.); #300897=ORIENTED_EDGE('',*,*,#217536,.F.); #300898=ORIENTED_EDGE('',*,*,#217537,.F.); #300899=ORIENTED_EDGE('',*,*,#217538,.T.); #300900=ORIENTED_EDGE('',*,*,#217537,.T.); #300901=ORIENTED_EDGE('',*,*,#217539,.F.); #300902=ORIENTED_EDGE('',*,*,#217540,.F.); #300903=ORIENTED_EDGE('',*,*,#217541,.T.); #300904=ORIENTED_EDGE('',*,*,#217540,.T.); #300905=ORIENTED_EDGE('',*,*,#217542,.F.); #300906=ORIENTED_EDGE('',*,*,#217543,.F.); #300907=ORIENTED_EDGE('',*,*,#217544,.T.); #300908=ORIENTED_EDGE('',*,*,#217543,.T.); #300909=ORIENTED_EDGE('',*,*,#217545,.F.); #300910=ORIENTED_EDGE('',*,*,#217546,.F.); #300911=ORIENTED_EDGE('',*,*,#217547,.T.); #300912=ORIENTED_EDGE('',*,*,#217546,.T.); #300913=ORIENTED_EDGE('',*,*,#217548,.F.); #300914=ORIENTED_EDGE('',*,*,#217549,.F.); #300915=ORIENTED_EDGE('',*,*,#217550,.T.); #300916=ORIENTED_EDGE('',*,*,#217549,.T.); #300917=ORIENTED_EDGE('',*,*,#217551,.F.); #300918=ORIENTED_EDGE('',*,*,#217552,.F.); #300919=ORIENTED_EDGE('',*,*,#217553,.T.); #300920=ORIENTED_EDGE('',*,*,#217552,.T.); #300921=ORIENTED_EDGE('',*,*,#217554,.F.); #300922=ORIENTED_EDGE('',*,*,#217555,.F.); #300923=ORIENTED_EDGE('',*,*,#217556,.T.); #300924=ORIENTED_EDGE('',*,*,#217555,.T.); #300925=ORIENTED_EDGE('',*,*,#217557,.F.); #300926=ORIENTED_EDGE('',*,*,#217558,.F.); #300927=ORIENTED_EDGE('',*,*,#217559,.T.); #300928=ORIENTED_EDGE('',*,*,#217558,.T.); #300929=ORIENTED_EDGE('',*,*,#217560,.F.); #300930=ORIENTED_EDGE('',*,*,#217561,.F.); #300931=ORIENTED_EDGE('',*,*,#217562,.T.); #300932=ORIENTED_EDGE('',*,*,#217561,.T.); #300933=ORIENTED_EDGE('',*,*,#217563,.F.); #300934=ORIENTED_EDGE('',*,*,#217564,.F.); #300935=ORIENTED_EDGE('',*,*,#217565,.T.); #300936=ORIENTED_EDGE('',*,*,#217564,.T.); #300937=ORIENTED_EDGE('',*,*,#217566,.F.); #300938=ORIENTED_EDGE('',*,*,#217567,.F.); #300939=ORIENTED_EDGE('',*,*,#217568,.T.); #300940=ORIENTED_EDGE('',*,*,#217567,.T.); #300941=ORIENTED_EDGE('',*,*,#217569,.F.); #300942=ORIENTED_EDGE('',*,*,#217570,.F.); #300943=ORIENTED_EDGE('',*,*,#217571,.T.); #300944=ORIENTED_EDGE('',*,*,#217570,.T.); #300945=ORIENTED_EDGE('',*,*,#217572,.F.); #300946=ORIENTED_EDGE('',*,*,#217573,.F.); #300947=ORIENTED_EDGE('',*,*,#217574,.T.); #300948=ORIENTED_EDGE('',*,*,#217573,.T.); #300949=ORIENTED_EDGE('',*,*,#217575,.F.); #300950=ORIENTED_EDGE('',*,*,#217576,.F.); #300951=ORIENTED_EDGE('',*,*,#217577,.T.); #300952=ORIENTED_EDGE('',*,*,#217576,.T.); #300953=ORIENTED_EDGE('',*,*,#217578,.F.); #300954=ORIENTED_EDGE('',*,*,#217579,.F.); #300955=ORIENTED_EDGE('',*,*,#217580,.T.); #300956=ORIENTED_EDGE('',*,*,#217579,.T.); #300957=ORIENTED_EDGE('',*,*,#217581,.F.); #300958=ORIENTED_EDGE('',*,*,#217582,.F.); #300959=ORIENTED_EDGE('',*,*,#217583,.T.); #300960=ORIENTED_EDGE('',*,*,#217582,.T.); #300961=ORIENTED_EDGE('',*,*,#217584,.F.); #300962=ORIENTED_EDGE('',*,*,#217585,.F.); #300963=ORIENTED_EDGE('',*,*,#217586,.T.); #300964=ORIENTED_EDGE('',*,*,#217585,.T.); #300965=ORIENTED_EDGE('',*,*,#217587,.F.); #300966=ORIENTED_EDGE('',*,*,#217588,.F.); #300967=ORIENTED_EDGE('',*,*,#217589,.T.); #300968=ORIENTED_EDGE('',*,*,#217588,.T.); #300969=ORIENTED_EDGE('',*,*,#217590,.F.); #300970=ORIENTED_EDGE('',*,*,#217591,.F.); #300971=ORIENTED_EDGE('',*,*,#217592,.T.); #300972=ORIENTED_EDGE('',*,*,#217591,.T.); #300973=ORIENTED_EDGE('',*,*,#217593,.F.); #300974=ORIENTED_EDGE('',*,*,#217594,.F.); #300975=ORIENTED_EDGE('',*,*,#217595,.T.); #300976=ORIENTED_EDGE('',*,*,#217594,.T.); #300977=ORIENTED_EDGE('',*,*,#217596,.F.); #300978=ORIENTED_EDGE('',*,*,#217597,.F.); #300979=ORIENTED_EDGE('',*,*,#217598,.T.); #300980=ORIENTED_EDGE('',*,*,#217597,.T.); #300981=ORIENTED_EDGE('',*,*,#217599,.F.); #300982=ORIENTED_EDGE('',*,*,#217600,.F.); #300983=ORIENTED_EDGE('',*,*,#217601,.T.); #300984=ORIENTED_EDGE('',*,*,#217600,.T.); #300985=ORIENTED_EDGE('',*,*,#217602,.F.); #300986=ORIENTED_EDGE('',*,*,#217603,.F.); #300987=ORIENTED_EDGE('',*,*,#217604,.T.); #300988=ORIENTED_EDGE('',*,*,#217603,.T.); #300989=ORIENTED_EDGE('',*,*,#217605,.F.); #300990=ORIENTED_EDGE('',*,*,#217606,.F.); #300991=ORIENTED_EDGE('',*,*,#217607,.T.); #300992=ORIENTED_EDGE('',*,*,#217606,.T.); #300993=ORIENTED_EDGE('',*,*,#217608,.F.); #300994=ORIENTED_EDGE('',*,*,#217609,.F.); #300995=ORIENTED_EDGE('',*,*,#217610,.T.); #300996=ORIENTED_EDGE('',*,*,#217609,.T.); #300997=ORIENTED_EDGE('',*,*,#217611,.F.); #300998=ORIENTED_EDGE('',*,*,#217612,.F.); #300999=ORIENTED_EDGE('',*,*,#217613,.T.); #301000=ORIENTED_EDGE('',*,*,#217612,.T.); #301001=ORIENTED_EDGE('',*,*,#217614,.F.); #301002=ORIENTED_EDGE('',*,*,#217615,.F.); #301003=ORIENTED_EDGE('',*,*,#217616,.T.); #301004=ORIENTED_EDGE('',*,*,#217615,.T.); #301005=ORIENTED_EDGE('',*,*,#217617,.F.); #301006=ORIENTED_EDGE('',*,*,#217618,.F.); #301007=ORIENTED_EDGE('',*,*,#217619,.T.); #301008=ORIENTED_EDGE('',*,*,#217618,.T.); #301009=ORIENTED_EDGE('',*,*,#217620,.F.); #301010=ORIENTED_EDGE('',*,*,#217621,.F.); #301011=ORIENTED_EDGE('',*,*,#217622,.T.); #301012=ORIENTED_EDGE('',*,*,#217621,.T.); #301013=ORIENTED_EDGE('',*,*,#217623,.F.); #301014=ORIENTED_EDGE('',*,*,#217624,.F.); #301015=ORIENTED_EDGE('',*,*,#217625,.T.); #301016=ORIENTED_EDGE('',*,*,#217624,.T.); #301017=ORIENTED_EDGE('',*,*,#217626,.F.); #301018=ORIENTED_EDGE('',*,*,#217627,.F.); #301019=ORIENTED_EDGE('',*,*,#217628,.T.); #301020=ORIENTED_EDGE('',*,*,#217627,.T.); #301021=ORIENTED_EDGE('',*,*,#217629,.F.); #301022=ORIENTED_EDGE('',*,*,#217630,.F.); #301023=ORIENTED_EDGE('',*,*,#217631,.T.); #301024=ORIENTED_EDGE('',*,*,#217630,.T.); #301025=ORIENTED_EDGE('',*,*,#217632,.F.); #301026=ORIENTED_EDGE('',*,*,#217633,.F.); #301027=ORIENTED_EDGE('',*,*,#217634,.T.); #301028=ORIENTED_EDGE('',*,*,#217633,.T.); #301029=ORIENTED_EDGE('',*,*,#217635,.F.); #301030=ORIENTED_EDGE('',*,*,#217636,.F.); #301031=ORIENTED_EDGE('',*,*,#217637,.T.); #301032=ORIENTED_EDGE('',*,*,#217636,.T.); #301033=ORIENTED_EDGE('',*,*,#217638,.F.); #301034=ORIENTED_EDGE('',*,*,#217639,.F.); #301035=ORIENTED_EDGE('',*,*,#217640,.T.); #301036=ORIENTED_EDGE('',*,*,#217639,.T.); #301037=ORIENTED_EDGE('',*,*,#217641,.F.); #301038=ORIENTED_EDGE('',*,*,#217642,.F.); #301039=ORIENTED_EDGE('',*,*,#217643,.T.); #301040=ORIENTED_EDGE('',*,*,#217642,.T.); #301041=ORIENTED_EDGE('',*,*,#217644,.F.); #301042=ORIENTED_EDGE('',*,*,#217645,.F.); #301043=ORIENTED_EDGE('',*,*,#217646,.T.); #301044=ORIENTED_EDGE('',*,*,#217645,.T.); #301045=ORIENTED_EDGE('',*,*,#217647,.F.); #301046=ORIENTED_EDGE('',*,*,#217648,.F.); #301047=ORIENTED_EDGE('',*,*,#217649,.T.); #301048=ORIENTED_EDGE('',*,*,#217648,.T.); #301049=ORIENTED_EDGE('',*,*,#217650,.F.); #301050=ORIENTED_EDGE('',*,*,#217651,.F.); #301051=ORIENTED_EDGE('',*,*,#217652,.T.); #301052=ORIENTED_EDGE('',*,*,#217651,.T.); #301053=ORIENTED_EDGE('',*,*,#217653,.F.); #301054=ORIENTED_EDGE('',*,*,#217654,.F.); #301055=ORIENTED_EDGE('',*,*,#217655,.T.); #301056=ORIENTED_EDGE('',*,*,#217654,.T.); #301057=ORIENTED_EDGE('',*,*,#217656,.F.); #301058=ORIENTED_EDGE('',*,*,#217657,.F.); #301059=ORIENTED_EDGE('',*,*,#217658,.T.); #301060=ORIENTED_EDGE('',*,*,#217657,.T.); #301061=ORIENTED_EDGE('',*,*,#217659,.F.); #301062=ORIENTED_EDGE('',*,*,#217660,.F.); #301063=ORIENTED_EDGE('',*,*,#217661,.T.); #301064=ORIENTED_EDGE('',*,*,#217660,.T.); #301065=ORIENTED_EDGE('',*,*,#217662,.F.); #301066=ORIENTED_EDGE('',*,*,#217663,.F.); #301067=ORIENTED_EDGE('',*,*,#217664,.T.); #301068=ORIENTED_EDGE('',*,*,#217663,.T.); #301069=ORIENTED_EDGE('',*,*,#217665,.F.); #301070=ORIENTED_EDGE('',*,*,#217666,.F.); #301071=ORIENTED_EDGE('',*,*,#217667,.T.); #301072=ORIENTED_EDGE('',*,*,#217666,.T.); #301073=ORIENTED_EDGE('',*,*,#217668,.F.); #301074=ORIENTED_EDGE('',*,*,#217669,.F.); #301075=ORIENTED_EDGE('',*,*,#217670,.T.); #301076=ORIENTED_EDGE('',*,*,#217669,.T.); #301077=ORIENTED_EDGE('',*,*,#217671,.F.); #301078=ORIENTED_EDGE('',*,*,#217672,.F.); #301079=ORIENTED_EDGE('',*,*,#217673,.T.); #301080=ORIENTED_EDGE('',*,*,#217672,.T.); #301081=ORIENTED_EDGE('',*,*,#217674,.F.); #301082=ORIENTED_EDGE('',*,*,#217675,.F.); #301083=ORIENTED_EDGE('',*,*,#217676,.T.); #301084=ORIENTED_EDGE('',*,*,#217675,.T.); #301085=ORIENTED_EDGE('',*,*,#217677,.F.); #301086=ORIENTED_EDGE('',*,*,#217678,.F.); #301087=ORIENTED_EDGE('',*,*,#217679,.T.); #301088=ORIENTED_EDGE('',*,*,#217678,.T.); #301089=ORIENTED_EDGE('',*,*,#217680,.F.); #301090=ORIENTED_EDGE('',*,*,#217681,.F.); #301091=ORIENTED_EDGE('',*,*,#217682,.T.); #301092=ORIENTED_EDGE('',*,*,#217681,.T.); #301093=ORIENTED_EDGE('',*,*,#217683,.F.); #301094=ORIENTED_EDGE('',*,*,#217684,.F.); #301095=ORIENTED_EDGE('',*,*,#217685,.T.); #301096=ORIENTED_EDGE('',*,*,#217684,.T.); #301097=ORIENTED_EDGE('',*,*,#217686,.F.); #301098=ORIENTED_EDGE('',*,*,#217687,.F.); #301099=ORIENTED_EDGE('',*,*,#217688,.T.); #301100=ORIENTED_EDGE('',*,*,#217687,.T.); #301101=ORIENTED_EDGE('',*,*,#217689,.F.); #301102=ORIENTED_EDGE('',*,*,#217690,.F.); #301103=ORIENTED_EDGE('',*,*,#217691,.T.); #301104=ORIENTED_EDGE('',*,*,#217690,.T.); #301105=ORIENTED_EDGE('',*,*,#217692,.F.); #301106=ORIENTED_EDGE('',*,*,#217693,.F.); #301107=ORIENTED_EDGE('',*,*,#217694,.T.); #301108=ORIENTED_EDGE('',*,*,#217693,.T.); #301109=ORIENTED_EDGE('',*,*,#217695,.F.); #301110=ORIENTED_EDGE('',*,*,#217696,.F.); #301111=ORIENTED_EDGE('',*,*,#217697,.T.); #301112=ORIENTED_EDGE('',*,*,#217696,.T.); #301113=ORIENTED_EDGE('',*,*,#217698,.F.); #301114=ORIENTED_EDGE('',*,*,#217699,.F.); #301115=ORIENTED_EDGE('',*,*,#217700,.T.); #301116=ORIENTED_EDGE('',*,*,#217699,.T.); #301117=ORIENTED_EDGE('',*,*,#217701,.F.); #301118=ORIENTED_EDGE('',*,*,#217702,.F.); #301119=ORIENTED_EDGE('',*,*,#217703,.T.); #301120=ORIENTED_EDGE('',*,*,#217702,.T.); #301121=ORIENTED_EDGE('',*,*,#217704,.F.); #301122=ORIENTED_EDGE('',*,*,#217705,.F.); #301123=ORIENTED_EDGE('',*,*,#217706,.T.); #301124=ORIENTED_EDGE('',*,*,#217705,.T.); #301125=ORIENTED_EDGE('',*,*,#217707,.F.); #301126=ORIENTED_EDGE('',*,*,#217708,.F.); #301127=ORIENTED_EDGE('',*,*,#217709,.T.); #301128=ORIENTED_EDGE('',*,*,#217708,.T.); #301129=ORIENTED_EDGE('',*,*,#217710,.F.); #301130=ORIENTED_EDGE('',*,*,#217711,.F.); #301131=ORIENTED_EDGE('',*,*,#217712,.T.); #301132=ORIENTED_EDGE('',*,*,#217711,.T.); #301133=ORIENTED_EDGE('',*,*,#217713,.F.); #301134=ORIENTED_EDGE('',*,*,#217714,.F.); #301135=ORIENTED_EDGE('',*,*,#217715,.T.); #301136=ORIENTED_EDGE('',*,*,#217714,.T.); #301137=ORIENTED_EDGE('',*,*,#217716,.F.); #301138=ORIENTED_EDGE('',*,*,#217717,.F.); #301139=ORIENTED_EDGE('',*,*,#217718,.T.); #301140=ORIENTED_EDGE('',*,*,#217717,.T.); #301141=ORIENTED_EDGE('',*,*,#217719,.F.); #301142=ORIENTED_EDGE('',*,*,#217720,.F.); #301143=ORIENTED_EDGE('',*,*,#217721,.T.); #301144=ORIENTED_EDGE('',*,*,#217720,.T.); #301145=ORIENTED_EDGE('',*,*,#217722,.F.); #301146=ORIENTED_EDGE('',*,*,#217723,.F.); #301147=ORIENTED_EDGE('',*,*,#217724,.T.); #301148=ORIENTED_EDGE('',*,*,#217723,.T.); #301149=ORIENTED_EDGE('',*,*,#217725,.F.); #301150=ORIENTED_EDGE('',*,*,#217726,.F.); #301151=ORIENTED_EDGE('',*,*,#217727,.T.); #301152=ORIENTED_EDGE('',*,*,#217726,.T.); #301153=ORIENTED_EDGE('',*,*,#217728,.F.); #301154=ORIENTED_EDGE('',*,*,#217729,.F.); #301155=ORIENTED_EDGE('',*,*,#217730,.T.); #301156=ORIENTED_EDGE('',*,*,#217729,.T.); #301157=ORIENTED_EDGE('',*,*,#217731,.F.); #301158=ORIENTED_EDGE('',*,*,#217732,.F.); #301159=ORIENTED_EDGE('',*,*,#217733,.T.); #301160=ORIENTED_EDGE('',*,*,#217732,.T.); #301161=ORIENTED_EDGE('',*,*,#217734,.F.); #301162=ORIENTED_EDGE('',*,*,#217735,.F.); #301163=ORIENTED_EDGE('',*,*,#217736,.T.); #301164=ORIENTED_EDGE('',*,*,#217735,.T.); #301165=ORIENTED_EDGE('',*,*,#217737,.F.); #301166=ORIENTED_EDGE('',*,*,#217738,.F.); #301167=ORIENTED_EDGE('',*,*,#217739,.T.); #301168=ORIENTED_EDGE('',*,*,#217738,.T.); #301169=ORIENTED_EDGE('',*,*,#217740,.F.); #301170=ORIENTED_EDGE('',*,*,#217741,.F.); #301171=ORIENTED_EDGE('',*,*,#217742,.T.); #301172=ORIENTED_EDGE('',*,*,#217741,.T.); #301173=ORIENTED_EDGE('',*,*,#217743,.F.); #301174=ORIENTED_EDGE('',*,*,#217744,.F.); #301175=ORIENTED_EDGE('',*,*,#217745,.T.); #301176=ORIENTED_EDGE('',*,*,#217744,.T.); #301177=ORIENTED_EDGE('',*,*,#217746,.F.); #301178=ORIENTED_EDGE('',*,*,#217747,.F.); #301179=ORIENTED_EDGE('',*,*,#217748,.T.); #301180=ORIENTED_EDGE('',*,*,#217747,.T.); #301181=ORIENTED_EDGE('',*,*,#217749,.F.); #301182=ORIENTED_EDGE('',*,*,#217750,.F.); #301183=ORIENTED_EDGE('',*,*,#217751,.T.); #301184=ORIENTED_EDGE('',*,*,#217750,.T.); #301185=ORIENTED_EDGE('',*,*,#217752,.F.); #301186=ORIENTED_EDGE('',*,*,#217753,.F.); #301187=ORIENTED_EDGE('',*,*,#217754,.T.); #301188=ORIENTED_EDGE('',*,*,#217753,.T.); #301189=ORIENTED_EDGE('',*,*,#217755,.F.); #301190=ORIENTED_EDGE('',*,*,#217756,.F.); #301191=ORIENTED_EDGE('',*,*,#217757,.T.); #301192=ORIENTED_EDGE('',*,*,#217756,.T.); #301193=ORIENTED_EDGE('',*,*,#217758,.F.); #301194=ORIENTED_EDGE('',*,*,#217759,.F.); #301195=ORIENTED_EDGE('',*,*,#217760,.T.); #301196=ORIENTED_EDGE('',*,*,#217759,.T.); #301197=ORIENTED_EDGE('',*,*,#217761,.F.); #301198=ORIENTED_EDGE('',*,*,#217762,.F.); #301199=ORIENTED_EDGE('',*,*,#217763,.T.); #301200=ORIENTED_EDGE('',*,*,#217762,.T.); #301201=ORIENTED_EDGE('',*,*,#217764,.F.); #301202=ORIENTED_EDGE('',*,*,#217765,.F.); #301203=ORIENTED_EDGE('',*,*,#217766,.T.); #301204=ORIENTED_EDGE('',*,*,#217765,.T.); #301205=ORIENTED_EDGE('',*,*,#217767,.F.); #301206=ORIENTED_EDGE('',*,*,#217768,.F.); #301207=ORIENTED_EDGE('',*,*,#217769,.T.); #301208=ORIENTED_EDGE('',*,*,#217768,.T.); #301209=ORIENTED_EDGE('',*,*,#217770,.F.); #301210=ORIENTED_EDGE('',*,*,#217771,.F.); #301211=ORIENTED_EDGE('',*,*,#217772,.T.); #301212=ORIENTED_EDGE('',*,*,#217771,.T.); #301213=ORIENTED_EDGE('',*,*,#217773,.F.); #301214=ORIENTED_EDGE('',*,*,#217774,.F.); #301215=ORIENTED_EDGE('',*,*,#217775,.T.); #301216=ORIENTED_EDGE('',*,*,#217774,.T.); #301217=ORIENTED_EDGE('',*,*,#217776,.F.); #301218=ORIENTED_EDGE('',*,*,#217777,.F.); #301219=ORIENTED_EDGE('',*,*,#217778,.T.); #301220=ORIENTED_EDGE('',*,*,#217777,.T.); #301221=ORIENTED_EDGE('',*,*,#217779,.F.); #301222=ORIENTED_EDGE('',*,*,#217780,.F.); #301223=ORIENTED_EDGE('',*,*,#217781,.T.); #301224=ORIENTED_EDGE('',*,*,#217780,.T.); #301225=ORIENTED_EDGE('',*,*,#217782,.F.); #301226=ORIENTED_EDGE('',*,*,#217783,.F.); #301227=ORIENTED_EDGE('',*,*,#217784,.T.); #301228=ORIENTED_EDGE('',*,*,#217783,.T.); #301229=ORIENTED_EDGE('',*,*,#217785,.F.); #301230=ORIENTED_EDGE('',*,*,#217786,.F.); #301231=ORIENTED_EDGE('',*,*,#217787,.T.); #301232=ORIENTED_EDGE('',*,*,#217786,.T.); #301233=ORIENTED_EDGE('',*,*,#217788,.F.); #301234=ORIENTED_EDGE('',*,*,#217789,.F.); #301235=ORIENTED_EDGE('',*,*,#217790,.T.); #301236=ORIENTED_EDGE('',*,*,#217789,.T.); #301237=ORIENTED_EDGE('',*,*,#217791,.F.); #301238=ORIENTED_EDGE('',*,*,#217792,.F.); #301239=ORIENTED_EDGE('',*,*,#217793,.T.); #301240=ORIENTED_EDGE('',*,*,#217792,.T.); #301241=ORIENTED_EDGE('',*,*,#217794,.F.); #301242=ORIENTED_EDGE('',*,*,#217795,.F.); #301243=ORIENTED_EDGE('',*,*,#217796,.T.); #301244=ORIENTED_EDGE('',*,*,#217795,.T.); #301245=ORIENTED_EDGE('',*,*,#217797,.F.); #301246=ORIENTED_EDGE('',*,*,#217798,.F.); #301247=ORIENTED_EDGE('',*,*,#217799,.T.); #301248=ORIENTED_EDGE('',*,*,#217798,.T.); #301249=ORIENTED_EDGE('',*,*,#217800,.F.); #301250=ORIENTED_EDGE('',*,*,#217801,.F.); #301251=ORIENTED_EDGE('',*,*,#217802,.T.); #301252=ORIENTED_EDGE('',*,*,#217801,.T.); #301253=ORIENTED_EDGE('',*,*,#217803,.F.); #301254=ORIENTED_EDGE('',*,*,#217520,.F.); #301255=ORIENTED_EDGE('',*,*,#217803,.T.); #301256=ORIENTED_EDGE('',*,*,#217800,.T.); #301257=ORIENTED_EDGE('',*,*,#217797,.T.); #301258=ORIENTED_EDGE('',*,*,#217794,.T.); #301259=ORIENTED_EDGE('',*,*,#217791,.T.); #301260=ORIENTED_EDGE('',*,*,#217788,.T.); #301261=ORIENTED_EDGE('',*,*,#217785,.T.); #301262=ORIENTED_EDGE('',*,*,#217782,.T.); #301263=ORIENTED_EDGE('',*,*,#217779,.T.); #301264=ORIENTED_EDGE('',*,*,#217776,.T.); #301265=ORIENTED_EDGE('',*,*,#217773,.T.); #301266=ORIENTED_EDGE('',*,*,#217770,.T.); #301267=ORIENTED_EDGE('',*,*,#217767,.T.); #301268=ORIENTED_EDGE('',*,*,#217764,.T.); #301269=ORIENTED_EDGE('',*,*,#217761,.T.); #301270=ORIENTED_EDGE('',*,*,#217758,.T.); #301271=ORIENTED_EDGE('',*,*,#217755,.T.); #301272=ORIENTED_EDGE('',*,*,#217752,.T.); #301273=ORIENTED_EDGE('',*,*,#217749,.T.); #301274=ORIENTED_EDGE('',*,*,#217746,.T.); #301275=ORIENTED_EDGE('',*,*,#217743,.T.); #301276=ORIENTED_EDGE('',*,*,#217740,.T.); #301277=ORIENTED_EDGE('',*,*,#217737,.T.); #301278=ORIENTED_EDGE('',*,*,#217734,.T.); #301279=ORIENTED_EDGE('',*,*,#217731,.T.); #301280=ORIENTED_EDGE('',*,*,#217728,.T.); #301281=ORIENTED_EDGE('',*,*,#217725,.T.); #301282=ORIENTED_EDGE('',*,*,#217722,.T.); #301283=ORIENTED_EDGE('',*,*,#217719,.T.); #301284=ORIENTED_EDGE('',*,*,#217716,.T.); #301285=ORIENTED_EDGE('',*,*,#217713,.T.); #301286=ORIENTED_EDGE('',*,*,#217710,.T.); #301287=ORIENTED_EDGE('',*,*,#217707,.T.); #301288=ORIENTED_EDGE('',*,*,#217704,.T.); #301289=ORIENTED_EDGE('',*,*,#217701,.T.); #301290=ORIENTED_EDGE('',*,*,#217698,.T.); #301291=ORIENTED_EDGE('',*,*,#217695,.T.); #301292=ORIENTED_EDGE('',*,*,#217692,.T.); #301293=ORIENTED_EDGE('',*,*,#217689,.T.); #301294=ORIENTED_EDGE('',*,*,#217686,.T.); #301295=ORIENTED_EDGE('',*,*,#217683,.T.); #301296=ORIENTED_EDGE('',*,*,#217680,.T.); #301297=ORIENTED_EDGE('',*,*,#217677,.T.); #301298=ORIENTED_EDGE('',*,*,#217674,.T.); #301299=ORIENTED_EDGE('',*,*,#217671,.T.); #301300=ORIENTED_EDGE('',*,*,#217668,.T.); #301301=ORIENTED_EDGE('',*,*,#217665,.T.); #301302=ORIENTED_EDGE('',*,*,#217662,.T.); #301303=ORIENTED_EDGE('',*,*,#217659,.T.); #301304=ORIENTED_EDGE('',*,*,#217656,.T.); #301305=ORIENTED_EDGE('',*,*,#217653,.T.); #301306=ORIENTED_EDGE('',*,*,#217650,.T.); #301307=ORIENTED_EDGE('',*,*,#217647,.T.); #301308=ORIENTED_EDGE('',*,*,#217644,.T.); #301309=ORIENTED_EDGE('',*,*,#217641,.T.); #301310=ORIENTED_EDGE('',*,*,#217638,.T.); #301311=ORIENTED_EDGE('',*,*,#217635,.T.); #301312=ORIENTED_EDGE('',*,*,#217632,.T.); #301313=ORIENTED_EDGE('',*,*,#217629,.T.); #301314=ORIENTED_EDGE('',*,*,#217626,.T.); #301315=ORIENTED_EDGE('',*,*,#217623,.T.); #301316=ORIENTED_EDGE('',*,*,#217620,.T.); #301317=ORIENTED_EDGE('',*,*,#217617,.T.); #301318=ORIENTED_EDGE('',*,*,#217614,.T.); #301319=ORIENTED_EDGE('',*,*,#217611,.T.); #301320=ORIENTED_EDGE('',*,*,#217608,.T.); #301321=ORIENTED_EDGE('',*,*,#217605,.T.); #301322=ORIENTED_EDGE('',*,*,#217602,.T.); #301323=ORIENTED_EDGE('',*,*,#217599,.T.); #301324=ORIENTED_EDGE('',*,*,#217596,.T.); #301325=ORIENTED_EDGE('',*,*,#217593,.T.); #301326=ORIENTED_EDGE('',*,*,#217590,.T.); #301327=ORIENTED_EDGE('',*,*,#217587,.T.); #301328=ORIENTED_EDGE('',*,*,#217584,.T.); #301329=ORIENTED_EDGE('',*,*,#217581,.T.); #301330=ORIENTED_EDGE('',*,*,#217578,.T.); #301331=ORIENTED_EDGE('',*,*,#217575,.T.); #301332=ORIENTED_EDGE('',*,*,#217572,.T.); #301333=ORIENTED_EDGE('',*,*,#217569,.T.); #301334=ORIENTED_EDGE('',*,*,#217566,.T.); #301335=ORIENTED_EDGE('',*,*,#217563,.T.); #301336=ORIENTED_EDGE('',*,*,#217560,.T.); #301337=ORIENTED_EDGE('',*,*,#217557,.T.); #301338=ORIENTED_EDGE('',*,*,#217554,.T.); #301339=ORIENTED_EDGE('',*,*,#217551,.T.); #301340=ORIENTED_EDGE('',*,*,#217548,.T.); #301341=ORIENTED_EDGE('',*,*,#217545,.T.); #301342=ORIENTED_EDGE('',*,*,#217542,.T.); #301343=ORIENTED_EDGE('',*,*,#217539,.T.); #301344=ORIENTED_EDGE('',*,*,#217536,.T.); #301345=ORIENTED_EDGE('',*,*,#217533,.T.); #301346=ORIENTED_EDGE('',*,*,#217530,.T.); #301347=ORIENTED_EDGE('',*,*,#217527,.T.); #301348=ORIENTED_EDGE('',*,*,#217524,.T.); #301349=ORIENTED_EDGE('',*,*,#217521,.T.); #301350=ORIENTED_EDGE('',*,*,#217260,.T.); #301351=ORIENTED_EDGE('',*,*,#217263,.T.); #301352=ORIENTED_EDGE('',*,*,#217266,.T.); #301353=ORIENTED_EDGE('',*,*,#217269,.T.); #301354=ORIENTED_EDGE('',*,*,#217272,.T.); #301355=ORIENTED_EDGE('',*,*,#217275,.T.); #301356=ORIENTED_EDGE('',*,*,#217278,.T.); #301357=ORIENTED_EDGE('',*,*,#217281,.T.); #301358=ORIENTED_EDGE('',*,*,#217284,.T.); #301359=ORIENTED_EDGE('',*,*,#217287,.T.); #301360=ORIENTED_EDGE('',*,*,#217290,.T.); #301361=ORIENTED_EDGE('',*,*,#217293,.T.); #301362=ORIENTED_EDGE('',*,*,#217296,.T.); #301363=ORIENTED_EDGE('',*,*,#217299,.T.); #301364=ORIENTED_EDGE('',*,*,#217302,.T.); #301365=ORIENTED_EDGE('',*,*,#217518,.T.); #301366=ORIENTED_EDGE('',*,*,#217515,.T.); #301367=ORIENTED_EDGE('',*,*,#217512,.T.); #301368=ORIENTED_EDGE('',*,*,#217509,.T.); #301369=ORIENTED_EDGE('',*,*,#217506,.T.); #301370=ORIENTED_EDGE('',*,*,#217503,.T.); #301371=ORIENTED_EDGE('',*,*,#217500,.T.); #301372=ORIENTED_EDGE('',*,*,#217497,.T.); #301373=ORIENTED_EDGE('',*,*,#217494,.T.); #301374=ORIENTED_EDGE('',*,*,#217491,.T.); #301375=ORIENTED_EDGE('',*,*,#217488,.T.); #301376=ORIENTED_EDGE('',*,*,#217485,.T.); #301377=ORIENTED_EDGE('',*,*,#217482,.T.); #301378=ORIENTED_EDGE('',*,*,#217479,.T.); #301379=ORIENTED_EDGE('',*,*,#217476,.T.); #301380=ORIENTED_EDGE('',*,*,#217473,.T.); #301381=ORIENTED_EDGE('',*,*,#217470,.T.); #301382=ORIENTED_EDGE('',*,*,#217467,.T.); #301383=ORIENTED_EDGE('',*,*,#217464,.T.); #301384=ORIENTED_EDGE('',*,*,#217461,.T.); #301385=ORIENTED_EDGE('',*,*,#217458,.T.); #301386=ORIENTED_EDGE('',*,*,#217455,.T.); #301387=ORIENTED_EDGE('',*,*,#217452,.T.); #301388=ORIENTED_EDGE('',*,*,#217449,.T.); #301389=ORIENTED_EDGE('',*,*,#217446,.T.); #301390=ORIENTED_EDGE('',*,*,#217443,.T.); #301391=ORIENTED_EDGE('',*,*,#217440,.T.); #301392=ORIENTED_EDGE('',*,*,#217437,.T.); #301393=ORIENTED_EDGE('',*,*,#217434,.T.); #301394=ORIENTED_EDGE('',*,*,#217431,.T.); #301395=ORIENTED_EDGE('',*,*,#217428,.T.); #301396=ORIENTED_EDGE('',*,*,#217425,.T.); #301397=ORIENTED_EDGE('',*,*,#217422,.T.); #301398=ORIENTED_EDGE('',*,*,#217419,.T.); #301399=ORIENTED_EDGE('',*,*,#217416,.T.); #301400=ORIENTED_EDGE('',*,*,#217413,.T.); #301401=ORIENTED_EDGE('',*,*,#217410,.T.); #301402=ORIENTED_EDGE('',*,*,#217407,.T.); #301403=ORIENTED_EDGE('',*,*,#217404,.T.); #301404=ORIENTED_EDGE('',*,*,#217401,.T.); #301405=ORIENTED_EDGE('',*,*,#217398,.T.); #301406=ORIENTED_EDGE('',*,*,#217395,.T.); #301407=ORIENTED_EDGE('',*,*,#217392,.T.); #301408=ORIENTED_EDGE('',*,*,#217389,.T.); #301409=ORIENTED_EDGE('',*,*,#217386,.T.); #301410=ORIENTED_EDGE('',*,*,#217383,.T.); #301411=ORIENTED_EDGE('',*,*,#217380,.T.); #301412=ORIENTED_EDGE('',*,*,#217377,.T.); #301413=ORIENTED_EDGE('',*,*,#217374,.T.); #301414=ORIENTED_EDGE('',*,*,#217371,.T.); #301415=ORIENTED_EDGE('',*,*,#217368,.T.); #301416=ORIENTED_EDGE('',*,*,#217365,.T.); #301417=ORIENTED_EDGE('',*,*,#217362,.T.); #301418=ORIENTED_EDGE('',*,*,#217359,.T.); #301419=ORIENTED_EDGE('',*,*,#217356,.T.); #301420=ORIENTED_EDGE('',*,*,#217353,.T.); #301421=ORIENTED_EDGE('',*,*,#217350,.T.); #301422=ORIENTED_EDGE('',*,*,#217347,.T.); #301423=ORIENTED_EDGE('',*,*,#217344,.T.); #301424=ORIENTED_EDGE('',*,*,#217341,.T.); #301425=ORIENTED_EDGE('',*,*,#217338,.T.); #301426=ORIENTED_EDGE('',*,*,#217335,.T.); #301427=ORIENTED_EDGE('',*,*,#217332,.T.); #301428=ORIENTED_EDGE('',*,*,#217329,.T.); #301429=ORIENTED_EDGE('',*,*,#217326,.T.); #301430=ORIENTED_EDGE('',*,*,#217323,.T.); #301431=ORIENTED_EDGE('',*,*,#217320,.T.); #301432=ORIENTED_EDGE('',*,*,#217317,.T.); #301433=ORIENTED_EDGE('',*,*,#217314,.T.); #301434=ORIENTED_EDGE('',*,*,#217311,.T.); #301435=ORIENTED_EDGE('',*,*,#217308,.T.); #301436=ORIENTED_EDGE('',*,*,#217305,.T.); #301437=ORIENTED_EDGE('',*,*,#217802,.F.); #301438=ORIENTED_EDGE('',*,*,#217519,.F.); #301439=ORIENTED_EDGE('',*,*,#217523,.F.); #301440=ORIENTED_EDGE('',*,*,#217526,.F.); #301441=ORIENTED_EDGE('',*,*,#217529,.F.); #301442=ORIENTED_EDGE('',*,*,#217532,.F.); #301443=ORIENTED_EDGE('',*,*,#217535,.F.); #301444=ORIENTED_EDGE('',*,*,#217538,.F.); #301445=ORIENTED_EDGE('',*,*,#217541,.F.); #301446=ORIENTED_EDGE('',*,*,#217544,.F.); #301447=ORIENTED_EDGE('',*,*,#217547,.F.); #301448=ORIENTED_EDGE('',*,*,#217550,.F.); #301449=ORIENTED_EDGE('',*,*,#217553,.F.); #301450=ORIENTED_EDGE('',*,*,#217556,.F.); #301451=ORIENTED_EDGE('',*,*,#217559,.F.); #301452=ORIENTED_EDGE('',*,*,#217562,.F.); #301453=ORIENTED_EDGE('',*,*,#217565,.F.); #301454=ORIENTED_EDGE('',*,*,#217568,.F.); #301455=ORIENTED_EDGE('',*,*,#217571,.F.); #301456=ORIENTED_EDGE('',*,*,#217574,.F.); #301457=ORIENTED_EDGE('',*,*,#217577,.F.); #301458=ORIENTED_EDGE('',*,*,#217580,.F.); #301459=ORIENTED_EDGE('',*,*,#217583,.F.); #301460=ORIENTED_EDGE('',*,*,#217586,.F.); #301461=ORIENTED_EDGE('',*,*,#217589,.F.); #301462=ORIENTED_EDGE('',*,*,#217592,.F.); #301463=ORIENTED_EDGE('',*,*,#217595,.F.); #301464=ORIENTED_EDGE('',*,*,#217598,.F.); #301465=ORIENTED_EDGE('',*,*,#217601,.F.); #301466=ORIENTED_EDGE('',*,*,#217604,.F.); #301467=ORIENTED_EDGE('',*,*,#217607,.F.); #301468=ORIENTED_EDGE('',*,*,#217610,.F.); #301469=ORIENTED_EDGE('',*,*,#217613,.F.); #301470=ORIENTED_EDGE('',*,*,#217616,.F.); #301471=ORIENTED_EDGE('',*,*,#217619,.F.); #301472=ORIENTED_EDGE('',*,*,#217622,.F.); #301473=ORIENTED_EDGE('',*,*,#217625,.F.); #301474=ORIENTED_EDGE('',*,*,#217628,.F.); #301475=ORIENTED_EDGE('',*,*,#217631,.F.); #301476=ORIENTED_EDGE('',*,*,#217634,.F.); #301477=ORIENTED_EDGE('',*,*,#217637,.F.); #301478=ORIENTED_EDGE('',*,*,#217640,.F.); #301479=ORIENTED_EDGE('',*,*,#217643,.F.); #301480=ORIENTED_EDGE('',*,*,#217646,.F.); #301481=ORIENTED_EDGE('',*,*,#217649,.F.); #301482=ORIENTED_EDGE('',*,*,#217652,.F.); #301483=ORIENTED_EDGE('',*,*,#217655,.F.); #301484=ORIENTED_EDGE('',*,*,#217658,.F.); #301485=ORIENTED_EDGE('',*,*,#217661,.F.); #301486=ORIENTED_EDGE('',*,*,#217664,.F.); #301487=ORIENTED_EDGE('',*,*,#217667,.F.); #301488=ORIENTED_EDGE('',*,*,#217670,.F.); #301489=ORIENTED_EDGE('',*,*,#217673,.F.); #301490=ORIENTED_EDGE('',*,*,#217676,.F.); #301491=ORIENTED_EDGE('',*,*,#217679,.F.); #301492=ORIENTED_EDGE('',*,*,#217682,.F.); #301493=ORIENTED_EDGE('',*,*,#217685,.F.); #301494=ORIENTED_EDGE('',*,*,#217688,.F.); #301495=ORIENTED_EDGE('',*,*,#217691,.F.); #301496=ORIENTED_EDGE('',*,*,#217694,.F.); #301497=ORIENTED_EDGE('',*,*,#217697,.F.); #301498=ORIENTED_EDGE('',*,*,#217700,.F.); #301499=ORIENTED_EDGE('',*,*,#217703,.F.); #301500=ORIENTED_EDGE('',*,*,#217706,.F.); #301501=ORIENTED_EDGE('',*,*,#217709,.F.); #301502=ORIENTED_EDGE('',*,*,#217712,.F.); #301503=ORIENTED_EDGE('',*,*,#217715,.F.); #301504=ORIENTED_EDGE('',*,*,#217718,.F.); #301505=ORIENTED_EDGE('',*,*,#217721,.F.); #301506=ORIENTED_EDGE('',*,*,#217724,.F.); #301507=ORIENTED_EDGE('',*,*,#217727,.F.); #301508=ORIENTED_EDGE('',*,*,#217730,.F.); #301509=ORIENTED_EDGE('',*,*,#217733,.F.); #301510=ORIENTED_EDGE('',*,*,#217736,.F.); #301511=ORIENTED_EDGE('',*,*,#217739,.F.); #301512=ORIENTED_EDGE('',*,*,#217742,.F.); #301513=ORIENTED_EDGE('',*,*,#217745,.F.); #301514=ORIENTED_EDGE('',*,*,#217748,.F.); #301515=ORIENTED_EDGE('',*,*,#217751,.F.); #301516=ORIENTED_EDGE('',*,*,#217754,.F.); #301517=ORIENTED_EDGE('',*,*,#217757,.F.); #301518=ORIENTED_EDGE('',*,*,#217760,.F.); #301519=ORIENTED_EDGE('',*,*,#217763,.F.); #301520=ORIENTED_EDGE('',*,*,#217766,.F.); #301521=ORIENTED_EDGE('',*,*,#217769,.F.); #301522=ORIENTED_EDGE('',*,*,#217772,.F.); #301523=ORIENTED_EDGE('',*,*,#217775,.F.); #301524=ORIENTED_EDGE('',*,*,#217778,.F.); #301525=ORIENTED_EDGE('',*,*,#217781,.F.); #301526=ORIENTED_EDGE('',*,*,#217784,.F.); #301527=ORIENTED_EDGE('',*,*,#217787,.F.); #301528=ORIENTED_EDGE('',*,*,#217790,.F.); #301529=ORIENTED_EDGE('',*,*,#217793,.F.); #301530=ORIENTED_EDGE('',*,*,#217796,.F.); #301531=ORIENTED_EDGE('',*,*,#217799,.F.); #301532=ORIENTED_EDGE('',*,*,#217258,.T.); #301533=ORIENTED_EDGE('',*,*,#217261,.T.); #301534=ORIENTED_EDGE('',*,*,#217264,.T.); #301535=ORIENTED_EDGE('',*,*,#217267,.T.); #301536=ORIENTED_EDGE('',*,*,#217270,.T.); #301537=ORIENTED_EDGE('',*,*,#217273,.T.); #301538=ORIENTED_EDGE('',*,*,#217276,.T.); #301539=ORIENTED_EDGE('',*,*,#217279,.T.); #301540=ORIENTED_EDGE('',*,*,#217282,.T.); #301541=ORIENTED_EDGE('',*,*,#217285,.T.); #301542=ORIENTED_EDGE('',*,*,#217288,.T.); #301543=ORIENTED_EDGE('',*,*,#217291,.T.); #301544=ORIENTED_EDGE('',*,*,#217294,.T.); #301545=ORIENTED_EDGE('',*,*,#217297,.T.); #301546=ORIENTED_EDGE('',*,*,#217300,.T.); #301547=ORIENTED_EDGE('',*,*,#217517,.F.); #301548=ORIENTED_EDGE('',*,*,#217303,.F.); #301549=ORIENTED_EDGE('',*,*,#217307,.F.); #301550=ORIENTED_EDGE('',*,*,#217310,.F.); #301551=ORIENTED_EDGE('',*,*,#217313,.F.); #301552=ORIENTED_EDGE('',*,*,#217316,.F.); #301553=ORIENTED_EDGE('',*,*,#217319,.F.); #301554=ORIENTED_EDGE('',*,*,#217322,.F.); #301555=ORIENTED_EDGE('',*,*,#217325,.F.); #301556=ORIENTED_EDGE('',*,*,#217328,.F.); #301557=ORIENTED_EDGE('',*,*,#217331,.F.); #301558=ORIENTED_EDGE('',*,*,#217334,.F.); #301559=ORIENTED_EDGE('',*,*,#217337,.F.); #301560=ORIENTED_EDGE('',*,*,#217340,.F.); #301561=ORIENTED_EDGE('',*,*,#217343,.F.); #301562=ORIENTED_EDGE('',*,*,#217346,.F.); #301563=ORIENTED_EDGE('',*,*,#217349,.F.); #301564=ORIENTED_EDGE('',*,*,#217352,.F.); #301565=ORIENTED_EDGE('',*,*,#217355,.F.); #301566=ORIENTED_EDGE('',*,*,#217358,.F.); #301567=ORIENTED_EDGE('',*,*,#217361,.F.); #301568=ORIENTED_EDGE('',*,*,#217364,.F.); #301569=ORIENTED_EDGE('',*,*,#217367,.F.); #301570=ORIENTED_EDGE('',*,*,#217370,.F.); #301571=ORIENTED_EDGE('',*,*,#217373,.F.); #301572=ORIENTED_EDGE('',*,*,#217376,.F.); #301573=ORIENTED_EDGE('',*,*,#217379,.F.); #301574=ORIENTED_EDGE('',*,*,#217382,.F.); #301575=ORIENTED_EDGE('',*,*,#217385,.F.); #301576=ORIENTED_EDGE('',*,*,#217388,.F.); #301577=ORIENTED_EDGE('',*,*,#217391,.F.); #301578=ORIENTED_EDGE('',*,*,#217394,.F.); #301579=ORIENTED_EDGE('',*,*,#217397,.F.); #301580=ORIENTED_EDGE('',*,*,#217400,.F.); #301581=ORIENTED_EDGE('',*,*,#217403,.F.); #301582=ORIENTED_EDGE('',*,*,#217406,.F.); #301583=ORIENTED_EDGE('',*,*,#217409,.F.); #301584=ORIENTED_EDGE('',*,*,#217412,.F.); #301585=ORIENTED_EDGE('',*,*,#217415,.F.); #301586=ORIENTED_EDGE('',*,*,#217418,.F.); #301587=ORIENTED_EDGE('',*,*,#217421,.F.); #301588=ORIENTED_EDGE('',*,*,#217424,.F.); #301589=ORIENTED_EDGE('',*,*,#217427,.F.); #301590=ORIENTED_EDGE('',*,*,#217430,.F.); #301591=ORIENTED_EDGE('',*,*,#217433,.F.); #301592=ORIENTED_EDGE('',*,*,#217436,.F.); #301593=ORIENTED_EDGE('',*,*,#217439,.F.); #301594=ORIENTED_EDGE('',*,*,#217442,.F.); #301595=ORIENTED_EDGE('',*,*,#217445,.F.); #301596=ORIENTED_EDGE('',*,*,#217448,.F.); #301597=ORIENTED_EDGE('',*,*,#217451,.F.); #301598=ORIENTED_EDGE('',*,*,#217454,.F.); #301599=ORIENTED_EDGE('',*,*,#217457,.F.); #301600=ORIENTED_EDGE('',*,*,#217460,.F.); #301601=ORIENTED_EDGE('',*,*,#217463,.F.); #301602=ORIENTED_EDGE('',*,*,#217466,.F.); #301603=ORIENTED_EDGE('',*,*,#217469,.F.); #301604=ORIENTED_EDGE('',*,*,#217472,.F.); #301605=ORIENTED_EDGE('',*,*,#217475,.F.); #301606=ORIENTED_EDGE('',*,*,#217478,.F.); #301607=ORIENTED_EDGE('',*,*,#217481,.F.); #301608=ORIENTED_EDGE('',*,*,#217484,.F.); #301609=ORIENTED_EDGE('',*,*,#217487,.F.); #301610=ORIENTED_EDGE('',*,*,#217490,.F.); #301611=ORIENTED_EDGE('',*,*,#217493,.F.); #301612=ORIENTED_EDGE('',*,*,#217496,.F.); #301613=ORIENTED_EDGE('',*,*,#217499,.F.); #301614=ORIENTED_EDGE('',*,*,#217502,.F.); #301615=ORIENTED_EDGE('',*,*,#217505,.F.); #301616=ORIENTED_EDGE('',*,*,#217508,.F.); #301617=ORIENTED_EDGE('',*,*,#217511,.F.); #301618=ORIENTED_EDGE('',*,*,#217514,.F.); #301619=ORIENTED_EDGE('',*,*,#217804,.F.); #301620=ORIENTED_EDGE('',*,*,#217805,.T.); #301621=ORIENTED_EDGE('',*,*,#217806,.F.); #301622=ORIENTED_EDGE('',*,*,#217805,.F.); #301623=ORIENTED_EDGE('',*,*,#217807,.T.); #301624=ORIENTED_EDGE('',*,*,#217808,.T.); #301625=ORIENTED_EDGE('',*,*,#217809,.F.); #301626=ORIENTED_EDGE('',*,*,#217810,.F.); #301627=ORIENTED_EDGE('',*,*,#217811,.T.); #301628=ORIENTED_EDGE('',*,*,#217810,.T.); #301629=ORIENTED_EDGE('',*,*,#217812,.F.); #301630=ORIENTED_EDGE('',*,*,#217813,.F.); #301631=ORIENTED_EDGE('',*,*,#217814,.T.); #301632=ORIENTED_EDGE('',*,*,#217813,.T.); #301633=ORIENTED_EDGE('',*,*,#217815,.F.); #301634=ORIENTED_EDGE('',*,*,#217808,.F.); #301635=ORIENTED_EDGE('',*,*,#217815,.T.); #301636=ORIENTED_EDGE('',*,*,#217812,.T.); #301637=ORIENTED_EDGE('',*,*,#217809,.T.); #301638=ORIENTED_EDGE('',*,*,#217806,.T.); #301639=ORIENTED_EDGE('',*,*,#217814,.F.); #301640=ORIENTED_EDGE('',*,*,#217807,.F.); #301641=ORIENTED_EDGE('',*,*,#217811,.F.); #301642=ORIENTED_EDGE('',*,*,#217804,.T.); #301643=ORIENTED_EDGE('',*,*,#217816,.F.); #301644=ORIENTED_EDGE('',*,*,#217817,.T.); #301645=ORIENTED_EDGE('',*,*,#217818,.F.); #301646=ORIENTED_EDGE('',*,*,#217817,.F.); #301647=ORIENTED_EDGE('',*,*,#217819,.T.); #301648=ORIENTED_EDGE('',*,*,#217820,.T.); #301649=ORIENTED_EDGE('',*,*,#217821,.F.); #301650=ORIENTED_EDGE('',*,*,#217822,.F.); #301651=ORIENTED_EDGE('',*,*,#217823,.T.); #301652=ORIENTED_EDGE('',*,*,#217822,.T.); #301653=ORIENTED_EDGE('',*,*,#217824,.F.); #301654=ORIENTED_EDGE('',*,*,#217825,.F.); #301655=ORIENTED_EDGE('',*,*,#217826,.T.); #301656=ORIENTED_EDGE('',*,*,#217825,.T.); #301657=ORIENTED_EDGE('',*,*,#217827,.F.); #301658=ORIENTED_EDGE('',*,*,#217820,.F.); #301659=ORIENTED_EDGE('',*,*,#217827,.T.); #301660=ORIENTED_EDGE('',*,*,#217824,.T.); #301661=ORIENTED_EDGE('',*,*,#217821,.T.); #301662=ORIENTED_EDGE('',*,*,#217818,.T.); #301663=ORIENTED_EDGE('',*,*,#217826,.F.); #301664=ORIENTED_EDGE('',*,*,#217819,.F.); #301665=ORIENTED_EDGE('',*,*,#217823,.F.); #301666=ORIENTED_EDGE('',*,*,#217816,.T.); #301667=ORIENTED_EDGE('',*,*,#217828,.F.); #301668=ORIENTED_EDGE('',*,*,#217829,.T.); #301669=ORIENTED_EDGE('',*,*,#217830,.F.); #301670=ORIENTED_EDGE('',*,*,#217829,.F.); #301671=ORIENTED_EDGE('',*,*,#217831,.T.); #301672=ORIENTED_EDGE('',*,*,#217832,.T.); #301673=ORIENTED_EDGE('',*,*,#217833,.F.); #301674=ORIENTED_EDGE('',*,*,#217834,.F.); #301675=ORIENTED_EDGE('',*,*,#217835,.T.); #301676=ORIENTED_EDGE('',*,*,#217834,.T.); #301677=ORIENTED_EDGE('',*,*,#217836,.F.); #301678=ORIENTED_EDGE('',*,*,#217837,.F.); #301679=ORIENTED_EDGE('',*,*,#217838,.T.); #301680=ORIENTED_EDGE('',*,*,#217837,.T.); #301681=ORIENTED_EDGE('',*,*,#217839,.F.); #301682=ORIENTED_EDGE('',*,*,#217832,.F.); #301683=ORIENTED_EDGE('',*,*,#217839,.T.); #301684=ORIENTED_EDGE('',*,*,#217836,.T.); #301685=ORIENTED_EDGE('',*,*,#217833,.T.); #301686=ORIENTED_EDGE('',*,*,#217830,.T.); #301687=ORIENTED_EDGE('',*,*,#217838,.F.); #301688=ORIENTED_EDGE('',*,*,#217831,.F.); #301689=ORIENTED_EDGE('',*,*,#217835,.F.); #301690=ORIENTED_EDGE('',*,*,#217828,.T.); #301691=ORIENTED_EDGE('',*,*,#217840,.F.); #301692=ORIENTED_EDGE('',*,*,#217841,.T.); #301693=ORIENTED_EDGE('',*,*,#217842,.F.); #301694=ORIENTED_EDGE('',*,*,#217841,.F.); #301695=ORIENTED_EDGE('',*,*,#217843,.T.); #301696=ORIENTED_EDGE('',*,*,#217844,.T.); #301697=ORIENTED_EDGE('',*,*,#217845,.F.); #301698=ORIENTED_EDGE('',*,*,#217846,.F.); #301699=ORIENTED_EDGE('',*,*,#217847,.T.); #301700=ORIENTED_EDGE('',*,*,#217846,.T.); #301701=ORIENTED_EDGE('',*,*,#217848,.F.); #301702=ORIENTED_EDGE('',*,*,#217849,.F.); #301703=ORIENTED_EDGE('',*,*,#217850,.T.); #301704=ORIENTED_EDGE('',*,*,#217849,.T.); #301705=ORIENTED_EDGE('',*,*,#217851,.F.); #301706=ORIENTED_EDGE('',*,*,#217844,.F.); #301707=ORIENTED_EDGE('',*,*,#217851,.T.); #301708=ORIENTED_EDGE('',*,*,#217848,.T.); #301709=ORIENTED_EDGE('',*,*,#217845,.T.); #301710=ORIENTED_EDGE('',*,*,#217842,.T.); #301711=ORIENTED_EDGE('',*,*,#217850,.F.); #301712=ORIENTED_EDGE('',*,*,#217843,.F.); #301713=ORIENTED_EDGE('',*,*,#217847,.F.); #301714=ORIENTED_EDGE('',*,*,#217840,.T.); #301715=ORIENTED_EDGE('',*,*,#217852,.F.); #301716=ORIENTED_EDGE('',*,*,#217853,.T.); #301717=ORIENTED_EDGE('',*,*,#217854,.F.); #301718=ORIENTED_EDGE('',*,*,#217853,.F.); #301719=ORIENTED_EDGE('',*,*,#217855,.T.); #301720=ORIENTED_EDGE('',*,*,#217856,.T.); #301721=ORIENTED_EDGE('',*,*,#217857,.F.); #301722=ORIENTED_EDGE('',*,*,#217858,.F.); #301723=ORIENTED_EDGE('',*,*,#217859,.T.); #301724=ORIENTED_EDGE('',*,*,#217858,.T.); #301725=ORIENTED_EDGE('',*,*,#217860,.F.); #301726=ORIENTED_EDGE('',*,*,#217861,.F.); #301727=ORIENTED_EDGE('',*,*,#217862,.T.); #301728=ORIENTED_EDGE('',*,*,#217861,.T.); #301729=ORIENTED_EDGE('',*,*,#217863,.F.); #301730=ORIENTED_EDGE('',*,*,#217864,.F.); #301731=ORIENTED_EDGE('',*,*,#217865,.T.); #301732=ORIENTED_EDGE('',*,*,#217864,.T.); #301733=ORIENTED_EDGE('',*,*,#217866,.F.); #301734=ORIENTED_EDGE('',*,*,#217856,.F.); #301735=ORIENTED_EDGE('',*,*,#217866,.T.); #301736=ORIENTED_EDGE('',*,*,#217863,.T.); #301737=ORIENTED_EDGE('',*,*,#217860,.T.); #301738=ORIENTED_EDGE('',*,*,#217857,.T.); #301739=ORIENTED_EDGE('',*,*,#217854,.T.); #301740=ORIENTED_EDGE('',*,*,#217865,.F.); #301741=ORIENTED_EDGE('',*,*,#217855,.F.); #301742=ORIENTED_EDGE('',*,*,#217859,.F.); #301743=ORIENTED_EDGE('',*,*,#217862,.F.); #301744=ORIENTED_EDGE('',*,*,#217852,.T.); #301745=ORIENTED_EDGE('',*,*,#217867,.F.); #301746=ORIENTED_EDGE('',*,*,#217868,.T.); #301747=ORIENTED_EDGE('',*,*,#217869,.F.); #301748=ORIENTED_EDGE('',*,*,#217868,.F.); #301749=ORIENTED_EDGE('',*,*,#217870,.T.); #301750=ORIENTED_EDGE('',*,*,#217871,.T.); #301751=ORIENTED_EDGE('',*,*,#217872,.F.); #301752=ORIENTED_EDGE('',*,*,#217873,.F.); #301753=ORIENTED_EDGE('',*,*,#217874,.T.); #301754=ORIENTED_EDGE('',*,*,#217873,.T.); #301755=ORIENTED_EDGE('',*,*,#217875,.F.); #301756=ORIENTED_EDGE('',*,*,#217876,.F.); #301757=ORIENTED_EDGE('',*,*,#217877,.T.); #301758=ORIENTED_EDGE('',*,*,#217876,.T.); #301759=ORIENTED_EDGE('',*,*,#217878,.F.); #301760=ORIENTED_EDGE('',*,*,#217871,.F.); #301761=ORIENTED_EDGE('',*,*,#217878,.T.); #301762=ORIENTED_EDGE('',*,*,#217875,.T.); #301763=ORIENTED_EDGE('',*,*,#217872,.T.); #301764=ORIENTED_EDGE('',*,*,#217869,.T.); #301765=ORIENTED_EDGE('',*,*,#217877,.F.); #301766=ORIENTED_EDGE('',*,*,#217870,.F.); #301767=ORIENTED_EDGE('',*,*,#217874,.F.); #301768=ORIENTED_EDGE('',*,*,#217867,.T.); #301769=ORIENTED_EDGE('',*,*,#217879,.F.); #301770=ORIENTED_EDGE('',*,*,#217880,.T.); #301771=ORIENTED_EDGE('',*,*,#217881,.F.); #301772=ORIENTED_EDGE('',*,*,#217880,.F.); #301773=ORIENTED_EDGE('',*,*,#217882,.T.); #301774=ORIENTED_EDGE('',*,*,#217883,.T.); #301775=ORIENTED_EDGE('',*,*,#217884,.F.); #301776=ORIENTED_EDGE('',*,*,#217885,.F.); #301777=ORIENTED_EDGE('',*,*,#217886,.T.); #301778=ORIENTED_EDGE('',*,*,#217885,.T.); #301779=ORIENTED_EDGE('',*,*,#217887,.F.); #301780=ORIENTED_EDGE('',*,*,#217888,.F.); #301781=ORIENTED_EDGE('',*,*,#217889,.T.); #301782=ORIENTED_EDGE('',*,*,#217888,.T.); #301783=ORIENTED_EDGE('',*,*,#217890,.F.); #301784=ORIENTED_EDGE('',*,*,#217883,.F.); #301785=ORIENTED_EDGE('',*,*,#217890,.T.); #301786=ORIENTED_EDGE('',*,*,#217887,.T.); #301787=ORIENTED_EDGE('',*,*,#217884,.T.); #301788=ORIENTED_EDGE('',*,*,#217881,.T.); #301789=ORIENTED_EDGE('',*,*,#217889,.F.); #301790=ORIENTED_EDGE('',*,*,#217882,.F.); #301791=ORIENTED_EDGE('',*,*,#217886,.F.); #301792=ORIENTED_EDGE('',*,*,#217879,.T.); #301793=ORIENTED_EDGE('',*,*,#217891,.F.); #301794=ORIENTED_EDGE('',*,*,#217892,.T.); #301795=ORIENTED_EDGE('',*,*,#217893,.F.); #301796=ORIENTED_EDGE('',*,*,#217892,.F.); #301797=ORIENTED_EDGE('',*,*,#217894,.T.); #301798=ORIENTED_EDGE('',*,*,#217895,.T.); #301799=ORIENTED_EDGE('',*,*,#217896,.F.); #301800=ORIENTED_EDGE('',*,*,#217897,.F.); #301801=ORIENTED_EDGE('',*,*,#217898,.T.); #301802=ORIENTED_EDGE('',*,*,#217897,.T.); #301803=ORIENTED_EDGE('',*,*,#217899,.F.); #301804=ORIENTED_EDGE('',*,*,#217900,.F.); #301805=ORIENTED_EDGE('',*,*,#217901,.T.); #301806=ORIENTED_EDGE('',*,*,#217900,.T.); #301807=ORIENTED_EDGE('',*,*,#217902,.F.); #301808=ORIENTED_EDGE('',*,*,#217895,.F.); #301809=ORIENTED_EDGE('',*,*,#217902,.T.); #301810=ORIENTED_EDGE('',*,*,#217899,.T.); #301811=ORIENTED_EDGE('',*,*,#217896,.T.); #301812=ORIENTED_EDGE('',*,*,#217893,.T.); #301813=ORIENTED_EDGE('',*,*,#217901,.F.); #301814=ORIENTED_EDGE('',*,*,#217894,.F.); #301815=ORIENTED_EDGE('',*,*,#217898,.F.); #301816=ORIENTED_EDGE('',*,*,#217891,.T.); #301817=ORIENTED_EDGE('',*,*,#217903,.F.); #301818=ORIENTED_EDGE('',*,*,#217904,.T.); #301819=ORIENTED_EDGE('',*,*,#217905,.F.); #301820=ORIENTED_EDGE('',*,*,#217904,.F.); #301821=ORIENTED_EDGE('',*,*,#217906,.T.); #301822=ORIENTED_EDGE('',*,*,#217907,.T.); #301823=ORIENTED_EDGE('',*,*,#217908,.F.); #301824=ORIENTED_EDGE('',*,*,#217909,.F.); #301825=ORIENTED_EDGE('',*,*,#217910,.T.); #301826=ORIENTED_EDGE('',*,*,#217909,.T.); #301827=ORIENTED_EDGE('',*,*,#217911,.F.); #301828=ORIENTED_EDGE('',*,*,#217912,.F.); #301829=ORIENTED_EDGE('',*,*,#217913,.T.); #301830=ORIENTED_EDGE('',*,*,#217912,.T.); #301831=ORIENTED_EDGE('',*,*,#217914,.F.); #301832=ORIENTED_EDGE('',*,*,#217907,.F.); #301833=ORIENTED_EDGE('',*,*,#217914,.T.); #301834=ORIENTED_EDGE('',*,*,#217911,.T.); #301835=ORIENTED_EDGE('',*,*,#217908,.T.); #301836=ORIENTED_EDGE('',*,*,#217905,.T.); #301837=ORIENTED_EDGE('',*,*,#217913,.F.); #301838=ORIENTED_EDGE('',*,*,#217906,.F.); #301839=ORIENTED_EDGE('',*,*,#217910,.F.); #301840=ORIENTED_EDGE('',*,*,#217903,.T.); #301841=ORIENTED_EDGE('',*,*,#217915,.F.); #301842=ORIENTED_EDGE('',*,*,#217916,.F.); #301843=ORIENTED_EDGE('',*,*,#217917,.F.); #301844=ORIENTED_EDGE('',*,*,#217918,.T.); #301845=ORIENTED_EDGE('',*,*,#217919,.F.); #301846=ORIENTED_EDGE('',*,*,#217918,.F.); #301847=ORIENTED_EDGE('',*,*,#217920,.F.); #301848=ORIENTED_EDGE('',*,*,#217921,.T.); #301849=ORIENTED_EDGE('',*,*,#217922,.F.); #301850=ORIENTED_EDGE('',*,*,#217921,.F.); #301851=ORIENTED_EDGE('',*,*,#217923,.F.); #301852=ORIENTED_EDGE('',*,*,#217924,.T.); #301853=ORIENTED_EDGE('',*,*,#217925,.F.); #301854=ORIENTED_EDGE('',*,*,#217924,.F.); #301855=ORIENTED_EDGE('',*,*,#217926,.F.); #301856=ORIENTED_EDGE('',*,*,#217916,.T.); #301857=ORIENTED_EDGE('',*,*,#217927,.T.); #301858=ORIENTED_EDGE('',*,*,#217928,.T.); #301859=ORIENTED_EDGE('',*,*,#217929,.F.); #301860=ORIENTED_EDGE('',*,*,#217930,.F.); #301861=ORIENTED_EDGE('',*,*,#217931,.T.); #301862=ORIENTED_EDGE('',*,*,#217930,.T.); #301863=ORIENTED_EDGE('',*,*,#217932,.F.); #301864=ORIENTED_EDGE('',*,*,#217933,.F.); #301865=ORIENTED_EDGE('',*,*,#217934,.T.); #301866=ORIENTED_EDGE('',*,*,#217933,.T.); #301867=ORIENTED_EDGE('',*,*,#217935,.F.); #301868=ORIENTED_EDGE('',*,*,#217936,.F.); #301869=ORIENTED_EDGE('',*,*,#217937,.T.); #301870=ORIENTED_EDGE('',*,*,#217936,.T.); #301871=ORIENTED_EDGE('',*,*,#217938,.F.); #301872=ORIENTED_EDGE('',*,*,#217939,.F.); #301873=ORIENTED_EDGE('',*,*,#217940,.T.); #301874=ORIENTED_EDGE('',*,*,#217939,.T.); #301875=ORIENTED_EDGE('',*,*,#217941,.F.); #301876=ORIENTED_EDGE('',*,*,#217942,.F.); #301877=ORIENTED_EDGE('',*,*,#217943,.T.); #301878=ORIENTED_EDGE('',*,*,#217942,.T.); #301879=ORIENTED_EDGE('',*,*,#217944,.F.); #301880=ORIENTED_EDGE('',*,*,#217945,.F.); #301881=ORIENTED_EDGE('',*,*,#217946,.T.); #301882=ORIENTED_EDGE('',*,*,#217945,.T.); #301883=ORIENTED_EDGE('',*,*,#217947,.F.); #301884=ORIENTED_EDGE('',*,*,#217948,.F.); #301885=ORIENTED_EDGE('',*,*,#217949,.T.); #301886=ORIENTED_EDGE('',*,*,#217948,.T.); #301887=ORIENTED_EDGE('',*,*,#217950,.F.); #301888=ORIENTED_EDGE('',*,*,#217951,.F.); #301889=ORIENTED_EDGE('',*,*,#217952,.T.); #301890=ORIENTED_EDGE('',*,*,#217951,.T.); #301891=ORIENTED_EDGE('',*,*,#217953,.F.); #301892=ORIENTED_EDGE('',*,*,#217954,.F.); #301893=ORIENTED_EDGE('',*,*,#217955,.T.); #301894=ORIENTED_EDGE('',*,*,#217954,.T.); #301895=ORIENTED_EDGE('',*,*,#217956,.F.); #301896=ORIENTED_EDGE('',*,*,#217957,.F.); #301897=ORIENTED_EDGE('',*,*,#217958,.T.); #301898=ORIENTED_EDGE('',*,*,#217957,.T.); #301899=ORIENTED_EDGE('',*,*,#217959,.F.); #301900=ORIENTED_EDGE('',*,*,#217960,.F.); #301901=ORIENTED_EDGE('',*,*,#217961,.T.); #301902=ORIENTED_EDGE('',*,*,#217960,.T.); #301903=ORIENTED_EDGE('',*,*,#217962,.F.); #301904=ORIENTED_EDGE('',*,*,#217963,.F.); #301905=ORIENTED_EDGE('',*,*,#217964,.T.); #301906=ORIENTED_EDGE('',*,*,#217963,.T.); #301907=ORIENTED_EDGE('',*,*,#217965,.F.); #301908=ORIENTED_EDGE('',*,*,#217966,.F.); #301909=ORIENTED_EDGE('',*,*,#217967,.T.); #301910=ORIENTED_EDGE('',*,*,#217966,.T.); #301911=ORIENTED_EDGE('',*,*,#217968,.F.); #301912=ORIENTED_EDGE('',*,*,#217969,.F.); #301913=ORIENTED_EDGE('',*,*,#217970,.T.); #301914=ORIENTED_EDGE('',*,*,#217969,.T.); #301915=ORIENTED_EDGE('',*,*,#217971,.F.); #301916=ORIENTED_EDGE('',*,*,#217972,.F.); #301917=ORIENTED_EDGE('',*,*,#217973,.T.); #301918=ORIENTED_EDGE('',*,*,#217972,.T.); #301919=ORIENTED_EDGE('',*,*,#217974,.F.); #301920=ORIENTED_EDGE('',*,*,#217975,.F.); #301921=ORIENTED_EDGE('',*,*,#217976,.T.); #301922=ORIENTED_EDGE('',*,*,#217975,.T.); #301923=ORIENTED_EDGE('',*,*,#217977,.F.); #301924=ORIENTED_EDGE('',*,*,#217978,.F.); #301925=ORIENTED_EDGE('',*,*,#217979,.T.); #301926=ORIENTED_EDGE('',*,*,#217978,.T.); #301927=ORIENTED_EDGE('',*,*,#217980,.F.); #301928=ORIENTED_EDGE('',*,*,#217981,.F.); #301929=ORIENTED_EDGE('',*,*,#217982,.T.); #301930=ORIENTED_EDGE('',*,*,#217981,.T.); #301931=ORIENTED_EDGE('',*,*,#217983,.F.); #301932=ORIENTED_EDGE('',*,*,#217984,.F.); #301933=ORIENTED_EDGE('',*,*,#217985,.T.); #301934=ORIENTED_EDGE('',*,*,#217984,.T.); #301935=ORIENTED_EDGE('',*,*,#217986,.F.); #301936=ORIENTED_EDGE('',*,*,#217987,.F.); #301937=ORIENTED_EDGE('',*,*,#217988,.T.); #301938=ORIENTED_EDGE('',*,*,#217987,.T.); #301939=ORIENTED_EDGE('',*,*,#217989,.F.); #301940=ORIENTED_EDGE('',*,*,#217990,.F.); #301941=ORIENTED_EDGE('',*,*,#217991,.T.); #301942=ORIENTED_EDGE('',*,*,#217990,.T.); #301943=ORIENTED_EDGE('',*,*,#217992,.F.); #301944=ORIENTED_EDGE('',*,*,#217993,.F.); #301945=ORIENTED_EDGE('',*,*,#217994,.T.); #301946=ORIENTED_EDGE('',*,*,#217993,.T.); #301947=ORIENTED_EDGE('',*,*,#217995,.F.); #301948=ORIENTED_EDGE('',*,*,#217996,.F.); #301949=ORIENTED_EDGE('',*,*,#217997,.T.); #301950=ORIENTED_EDGE('',*,*,#217996,.T.); #301951=ORIENTED_EDGE('',*,*,#217998,.F.); #301952=ORIENTED_EDGE('',*,*,#217999,.F.); #301953=ORIENTED_EDGE('',*,*,#218000,.T.); #301954=ORIENTED_EDGE('',*,*,#217999,.T.); #301955=ORIENTED_EDGE('',*,*,#218001,.F.); #301956=ORIENTED_EDGE('',*,*,#218002,.F.); #301957=ORIENTED_EDGE('',*,*,#218003,.T.); #301958=ORIENTED_EDGE('',*,*,#218002,.T.); #301959=ORIENTED_EDGE('',*,*,#218004,.F.); #301960=ORIENTED_EDGE('',*,*,#218005,.F.); #301961=ORIENTED_EDGE('',*,*,#218006,.T.); #301962=ORIENTED_EDGE('',*,*,#218005,.T.); #301963=ORIENTED_EDGE('',*,*,#218007,.F.); #301964=ORIENTED_EDGE('',*,*,#218008,.F.); #301965=ORIENTED_EDGE('',*,*,#218009,.T.); #301966=ORIENTED_EDGE('',*,*,#218008,.T.); #301967=ORIENTED_EDGE('',*,*,#218010,.F.); #301968=ORIENTED_EDGE('',*,*,#218011,.F.); #301969=ORIENTED_EDGE('',*,*,#218012,.T.); #301970=ORIENTED_EDGE('',*,*,#218011,.T.); #301971=ORIENTED_EDGE('',*,*,#218013,.F.); #301972=ORIENTED_EDGE('',*,*,#218014,.F.); #301973=ORIENTED_EDGE('',*,*,#218015,.T.); #301974=ORIENTED_EDGE('',*,*,#218014,.T.); #301975=ORIENTED_EDGE('',*,*,#218016,.F.); #301976=ORIENTED_EDGE('',*,*,#218017,.F.); #301977=ORIENTED_EDGE('',*,*,#218018,.T.); #301978=ORIENTED_EDGE('',*,*,#218017,.T.); #301979=ORIENTED_EDGE('',*,*,#218019,.F.); #301980=ORIENTED_EDGE('',*,*,#218020,.F.); #301981=ORIENTED_EDGE('',*,*,#218021,.T.); #301982=ORIENTED_EDGE('',*,*,#218020,.T.); #301983=ORIENTED_EDGE('',*,*,#218022,.F.); #301984=ORIENTED_EDGE('',*,*,#218023,.F.); #301985=ORIENTED_EDGE('',*,*,#218024,.T.); #301986=ORIENTED_EDGE('',*,*,#218023,.T.); #301987=ORIENTED_EDGE('',*,*,#218025,.F.); #301988=ORIENTED_EDGE('',*,*,#218026,.F.); #301989=ORIENTED_EDGE('',*,*,#218027,.T.); #301990=ORIENTED_EDGE('',*,*,#218026,.T.); #301991=ORIENTED_EDGE('',*,*,#218028,.F.); #301992=ORIENTED_EDGE('',*,*,#218029,.F.); #301993=ORIENTED_EDGE('',*,*,#218030,.T.); #301994=ORIENTED_EDGE('',*,*,#218029,.T.); #301995=ORIENTED_EDGE('',*,*,#218031,.F.); #301996=ORIENTED_EDGE('',*,*,#218032,.F.); #301997=ORIENTED_EDGE('',*,*,#218033,.T.); #301998=ORIENTED_EDGE('',*,*,#218032,.T.); #301999=ORIENTED_EDGE('',*,*,#218034,.F.); #302000=ORIENTED_EDGE('',*,*,#218035,.F.); #302001=ORIENTED_EDGE('',*,*,#218036,.T.); #302002=ORIENTED_EDGE('',*,*,#218035,.T.); #302003=ORIENTED_EDGE('',*,*,#218037,.F.); #302004=ORIENTED_EDGE('',*,*,#218038,.F.); #302005=ORIENTED_EDGE('',*,*,#218039,.T.); #302006=ORIENTED_EDGE('',*,*,#218038,.T.); #302007=ORIENTED_EDGE('',*,*,#218040,.F.); #302008=ORIENTED_EDGE('',*,*,#218041,.F.); #302009=ORIENTED_EDGE('',*,*,#218042,.T.); #302010=ORIENTED_EDGE('',*,*,#218041,.T.); #302011=ORIENTED_EDGE('',*,*,#218043,.F.); #302012=ORIENTED_EDGE('',*,*,#218044,.F.); #302013=ORIENTED_EDGE('',*,*,#218045,.T.); #302014=ORIENTED_EDGE('',*,*,#218044,.T.); #302015=ORIENTED_EDGE('',*,*,#218046,.F.); #302016=ORIENTED_EDGE('',*,*,#218047,.F.); #302017=ORIENTED_EDGE('',*,*,#218048,.T.); #302018=ORIENTED_EDGE('',*,*,#218047,.T.); #302019=ORIENTED_EDGE('',*,*,#218049,.F.); #302020=ORIENTED_EDGE('',*,*,#218050,.F.); #302021=ORIENTED_EDGE('',*,*,#218051,.T.); #302022=ORIENTED_EDGE('',*,*,#218050,.T.); #302023=ORIENTED_EDGE('',*,*,#218052,.F.); #302024=ORIENTED_EDGE('',*,*,#218053,.F.); #302025=ORIENTED_EDGE('',*,*,#218054,.T.); #302026=ORIENTED_EDGE('',*,*,#218053,.T.); #302027=ORIENTED_EDGE('',*,*,#218055,.F.); #302028=ORIENTED_EDGE('',*,*,#218056,.F.); #302029=ORIENTED_EDGE('',*,*,#218057,.T.); #302030=ORIENTED_EDGE('',*,*,#218056,.T.); #302031=ORIENTED_EDGE('',*,*,#218058,.F.); #302032=ORIENTED_EDGE('',*,*,#218059,.F.); #302033=ORIENTED_EDGE('',*,*,#218060,.T.); #302034=ORIENTED_EDGE('',*,*,#218059,.T.); #302035=ORIENTED_EDGE('',*,*,#218061,.F.); #302036=ORIENTED_EDGE('',*,*,#218062,.F.); #302037=ORIENTED_EDGE('',*,*,#218063,.T.); #302038=ORIENTED_EDGE('',*,*,#218062,.T.); #302039=ORIENTED_EDGE('',*,*,#218064,.F.); #302040=ORIENTED_EDGE('',*,*,#218065,.F.); #302041=ORIENTED_EDGE('',*,*,#218066,.T.); #302042=ORIENTED_EDGE('',*,*,#218065,.T.); #302043=ORIENTED_EDGE('',*,*,#218067,.F.); #302044=ORIENTED_EDGE('',*,*,#218068,.F.); #302045=ORIENTED_EDGE('',*,*,#218069,.T.); #302046=ORIENTED_EDGE('',*,*,#218068,.T.); #302047=ORIENTED_EDGE('',*,*,#218070,.F.); #302048=ORIENTED_EDGE('',*,*,#218071,.F.); #302049=ORIENTED_EDGE('',*,*,#218072,.T.); #302050=ORIENTED_EDGE('',*,*,#218071,.T.); #302051=ORIENTED_EDGE('',*,*,#218073,.F.); #302052=ORIENTED_EDGE('',*,*,#218074,.F.); #302053=ORIENTED_EDGE('',*,*,#218075,.T.); #302054=ORIENTED_EDGE('',*,*,#218074,.T.); #302055=ORIENTED_EDGE('',*,*,#218076,.F.); #302056=ORIENTED_EDGE('',*,*,#218077,.F.); #302057=ORIENTED_EDGE('',*,*,#218078,.T.); #302058=ORIENTED_EDGE('',*,*,#218077,.T.); #302059=ORIENTED_EDGE('',*,*,#218079,.F.); #302060=ORIENTED_EDGE('',*,*,#218080,.F.); #302061=ORIENTED_EDGE('',*,*,#218081,.T.); #302062=ORIENTED_EDGE('',*,*,#218080,.T.); #302063=ORIENTED_EDGE('',*,*,#218082,.F.); #302064=ORIENTED_EDGE('',*,*,#218083,.F.); #302065=ORIENTED_EDGE('',*,*,#218084,.T.); #302066=ORIENTED_EDGE('',*,*,#218083,.T.); #302067=ORIENTED_EDGE('',*,*,#218085,.F.); #302068=ORIENTED_EDGE('',*,*,#218086,.F.); #302069=ORIENTED_EDGE('',*,*,#218087,.T.); #302070=ORIENTED_EDGE('',*,*,#218086,.T.); #302071=ORIENTED_EDGE('',*,*,#218088,.F.); #302072=ORIENTED_EDGE('',*,*,#218089,.F.); #302073=ORIENTED_EDGE('',*,*,#218090,.T.); #302074=ORIENTED_EDGE('',*,*,#218089,.T.); #302075=ORIENTED_EDGE('',*,*,#218091,.F.); #302076=ORIENTED_EDGE('',*,*,#218092,.F.); #302077=ORIENTED_EDGE('',*,*,#218093,.T.); #302078=ORIENTED_EDGE('',*,*,#218092,.T.); #302079=ORIENTED_EDGE('',*,*,#218094,.F.); #302080=ORIENTED_EDGE('',*,*,#217928,.F.); #302081=ORIENTED_EDGE('',*,*,#218094,.T.); #302082=ORIENTED_EDGE('',*,*,#218091,.T.); #302083=ORIENTED_EDGE('',*,*,#218088,.T.); #302084=ORIENTED_EDGE('',*,*,#218085,.T.); #302085=ORIENTED_EDGE('',*,*,#218082,.T.); #302086=ORIENTED_EDGE('',*,*,#218079,.T.); #302087=ORIENTED_EDGE('',*,*,#218076,.T.); #302088=ORIENTED_EDGE('',*,*,#218073,.T.); #302089=ORIENTED_EDGE('',*,*,#218070,.T.); #302090=ORIENTED_EDGE('',*,*,#218067,.T.); #302091=ORIENTED_EDGE('',*,*,#218064,.T.); #302092=ORIENTED_EDGE('',*,*,#218061,.T.); #302093=ORIENTED_EDGE('',*,*,#218058,.T.); #302094=ORIENTED_EDGE('',*,*,#218055,.T.); #302095=ORIENTED_EDGE('',*,*,#218052,.T.); #302096=ORIENTED_EDGE('',*,*,#218049,.T.); #302097=ORIENTED_EDGE('',*,*,#218046,.T.); #302098=ORIENTED_EDGE('',*,*,#218043,.T.); #302099=ORIENTED_EDGE('',*,*,#218040,.T.); #302100=ORIENTED_EDGE('',*,*,#218037,.T.); #302101=ORIENTED_EDGE('',*,*,#218034,.T.); #302102=ORIENTED_EDGE('',*,*,#218031,.T.); #302103=ORIENTED_EDGE('',*,*,#218028,.T.); #302104=ORIENTED_EDGE('',*,*,#218025,.T.); #302105=ORIENTED_EDGE('',*,*,#218022,.T.); #302106=ORIENTED_EDGE('',*,*,#218019,.T.); #302107=ORIENTED_EDGE('',*,*,#218016,.T.); #302108=ORIENTED_EDGE('',*,*,#218013,.T.); #302109=ORIENTED_EDGE('',*,*,#218010,.T.); #302110=ORIENTED_EDGE('',*,*,#218007,.T.); #302111=ORIENTED_EDGE('',*,*,#218004,.T.); #302112=ORIENTED_EDGE('',*,*,#218001,.T.); #302113=ORIENTED_EDGE('',*,*,#217998,.T.); #302114=ORIENTED_EDGE('',*,*,#217995,.T.); #302115=ORIENTED_EDGE('',*,*,#217992,.T.); #302116=ORIENTED_EDGE('',*,*,#217989,.T.); #302117=ORIENTED_EDGE('',*,*,#217986,.T.); #302118=ORIENTED_EDGE('',*,*,#217983,.T.); #302119=ORIENTED_EDGE('',*,*,#217980,.T.); #302120=ORIENTED_EDGE('',*,*,#217977,.T.); #302121=ORIENTED_EDGE('',*,*,#217974,.T.); #302122=ORIENTED_EDGE('',*,*,#217971,.T.); #302123=ORIENTED_EDGE('',*,*,#217968,.T.); #302124=ORIENTED_EDGE('',*,*,#217965,.T.); #302125=ORIENTED_EDGE('',*,*,#217962,.T.); #302126=ORIENTED_EDGE('',*,*,#217959,.T.); #302127=ORIENTED_EDGE('',*,*,#217956,.T.); #302128=ORIENTED_EDGE('',*,*,#217953,.T.); #302129=ORIENTED_EDGE('',*,*,#217950,.T.); #302130=ORIENTED_EDGE('',*,*,#217947,.T.); #302131=ORIENTED_EDGE('',*,*,#217944,.T.); #302132=ORIENTED_EDGE('',*,*,#217941,.T.); #302133=ORIENTED_EDGE('',*,*,#217938,.T.); #302134=ORIENTED_EDGE('',*,*,#217935,.T.); #302135=ORIENTED_EDGE('',*,*,#217932,.T.); #302136=ORIENTED_EDGE('',*,*,#217929,.T.); #302137=ORIENTED_EDGE('',*,*,#217922,.T.); #302138=ORIENTED_EDGE('',*,*,#217925,.T.); #302139=ORIENTED_EDGE('',*,*,#217915,.T.); #302140=ORIENTED_EDGE('',*,*,#217919,.T.); #302141=ORIENTED_EDGE('',*,*,#218093,.F.); #302142=ORIENTED_EDGE('',*,*,#217927,.F.); #302143=ORIENTED_EDGE('',*,*,#217931,.F.); #302144=ORIENTED_EDGE('',*,*,#217934,.F.); #302145=ORIENTED_EDGE('',*,*,#217937,.F.); #302146=ORIENTED_EDGE('',*,*,#217940,.F.); #302147=ORIENTED_EDGE('',*,*,#217943,.F.); #302148=ORIENTED_EDGE('',*,*,#217946,.F.); #302149=ORIENTED_EDGE('',*,*,#217949,.F.); #302150=ORIENTED_EDGE('',*,*,#217952,.F.); #302151=ORIENTED_EDGE('',*,*,#217955,.F.); #302152=ORIENTED_EDGE('',*,*,#217958,.F.); #302153=ORIENTED_EDGE('',*,*,#217961,.F.); #302154=ORIENTED_EDGE('',*,*,#217964,.F.); #302155=ORIENTED_EDGE('',*,*,#217967,.F.); #302156=ORIENTED_EDGE('',*,*,#217970,.F.); #302157=ORIENTED_EDGE('',*,*,#217973,.F.); #302158=ORIENTED_EDGE('',*,*,#217976,.F.); #302159=ORIENTED_EDGE('',*,*,#217979,.F.); #302160=ORIENTED_EDGE('',*,*,#217982,.F.); #302161=ORIENTED_EDGE('',*,*,#217985,.F.); #302162=ORIENTED_EDGE('',*,*,#217988,.F.); #302163=ORIENTED_EDGE('',*,*,#217991,.F.); #302164=ORIENTED_EDGE('',*,*,#217994,.F.); #302165=ORIENTED_EDGE('',*,*,#217997,.F.); #302166=ORIENTED_EDGE('',*,*,#218000,.F.); #302167=ORIENTED_EDGE('',*,*,#218003,.F.); #302168=ORIENTED_EDGE('',*,*,#218006,.F.); #302169=ORIENTED_EDGE('',*,*,#218009,.F.); #302170=ORIENTED_EDGE('',*,*,#218012,.F.); #302171=ORIENTED_EDGE('',*,*,#218015,.F.); #302172=ORIENTED_EDGE('',*,*,#218018,.F.); #302173=ORIENTED_EDGE('',*,*,#218021,.F.); #302174=ORIENTED_EDGE('',*,*,#218024,.F.); #302175=ORIENTED_EDGE('',*,*,#218027,.F.); #302176=ORIENTED_EDGE('',*,*,#218030,.F.); #302177=ORIENTED_EDGE('',*,*,#218033,.F.); #302178=ORIENTED_EDGE('',*,*,#218036,.F.); #302179=ORIENTED_EDGE('',*,*,#218039,.F.); #302180=ORIENTED_EDGE('',*,*,#218042,.F.); #302181=ORIENTED_EDGE('',*,*,#218045,.F.); #302182=ORIENTED_EDGE('',*,*,#218048,.F.); #302183=ORIENTED_EDGE('',*,*,#218051,.F.); #302184=ORIENTED_EDGE('',*,*,#218054,.F.); #302185=ORIENTED_EDGE('',*,*,#218057,.F.); #302186=ORIENTED_EDGE('',*,*,#218060,.F.); #302187=ORIENTED_EDGE('',*,*,#218063,.F.); #302188=ORIENTED_EDGE('',*,*,#218066,.F.); #302189=ORIENTED_EDGE('',*,*,#218069,.F.); #302190=ORIENTED_EDGE('',*,*,#218072,.F.); #302191=ORIENTED_EDGE('',*,*,#218075,.F.); #302192=ORIENTED_EDGE('',*,*,#218078,.F.); #302193=ORIENTED_EDGE('',*,*,#218081,.F.); #302194=ORIENTED_EDGE('',*,*,#218084,.F.); #302195=ORIENTED_EDGE('',*,*,#218087,.F.); #302196=ORIENTED_EDGE('',*,*,#218090,.F.); #302197=ORIENTED_EDGE('',*,*,#217923,.T.); #302198=ORIENTED_EDGE('',*,*,#217920,.T.); #302199=ORIENTED_EDGE('',*,*,#217917,.T.); #302200=ORIENTED_EDGE('',*,*,#217926,.T.); #302201=ORIENTED_EDGE('',*,*,#218095,.F.); #302202=ORIENTED_EDGE('',*,*,#218096,.F.); #302203=ORIENTED_EDGE('',*,*,#218097,.F.); #302204=ORIENTED_EDGE('',*,*,#218098,.T.); #302205=ORIENTED_EDGE('',*,*,#218099,.F.); #302206=ORIENTED_EDGE('',*,*,#218098,.F.); #302207=ORIENTED_EDGE('',*,*,#218100,.F.); #302208=ORIENTED_EDGE('',*,*,#218101,.T.); #302209=ORIENTED_EDGE('',*,*,#218102,.F.); #302210=ORIENTED_EDGE('',*,*,#218101,.F.); #302211=ORIENTED_EDGE('',*,*,#218103,.F.); #302212=ORIENTED_EDGE('',*,*,#218104,.T.); #302213=ORIENTED_EDGE('',*,*,#218105,.F.); #302214=ORIENTED_EDGE('',*,*,#218104,.F.); #302215=ORIENTED_EDGE('',*,*,#218106,.F.); #302216=ORIENTED_EDGE('',*,*,#218096,.T.); #302217=ORIENTED_EDGE('',*,*,#218107,.T.); #302218=ORIENTED_EDGE('',*,*,#218108,.T.); #302219=ORIENTED_EDGE('',*,*,#218109,.F.); #302220=ORIENTED_EDGE('',*,*,#218110,.F.); #302221=ORIENTED_EDGE('',*,*,#218111,.T.); #302222=ORIENTED_EDGE('',*,*,#218110,.T.); #302223=ORIENTED_EDGE('',*,*,#218112,.F.); #302224=ORIENTED_EDGE('',*,*,#218113,.F.); #302225=ORIENTED_EDGE('',*,*,#218114,.T.); #302226=ORIENTED_EDGE('',*,*,#218113,.T.); #302227=ORIENTED_EDGE('',*,*,#218115,.F.); #302228=ORIENTED_EDGE('',*,*,#218116,.F.); #302229=ORIENTED_EDGE('',*,*,#218117,.T.); #302230=ORIENTED_EDGE('',*,*,#218116,.T.); #302231=ORIENTED_EDGE('',*,*,#218118,.F.); #302232=ORIENTED_EDGE('',*,*,#218119,.F.); #302233=ORIENTED_EDGE('',*,*,#218120,.T.); #302234=ORIENTED_EDGE('',*,*,#218119,.T.); #302235=ORIENTED_EDGE('',*,*,#218121,.F.); #302236=ORIENTED_EDGE('',*,*,#218122,.F.); #302237=ORIENTED_EDGE('',*,*,#218123,.T.); #302238=ORIENTED_EDGE('',*,*,#218122,.T.); #302239=ORIENTED_EDGE('',*,*,#218124,.F.); #302240=ORIENTED_EDGE('',*,*,#218125,.F.); #302241=ORIENTED_EDGE('',*,*,#218126,.T.); #302242=ORIENTED_EDGE('',*,*,#218125,.T.); #302243=ORIENTED_EDGE('',*,*,#218127,.F.); #302244=ORIENTED_EDGE('',*,*,#218128,.F.); #302245=ORIENTED_EDGE('',*,*,#218129,.T.); #302246=ORIENTED_EDGE('',*,*,#218128,.T.); #302247=ORIENTED_EDGE('',*,*,#218130,.F.); #302248=ORIENTED_EDGE('',*,*,#218131,.F.); #302249=ORIENTED_EDGE('',*,*,#218132,.T.); #302250=ORIENTED_EDGE('',*,*,#218131,.T.); #302251=ORIENTED_EDGE('',*,*,#218133,.F.); #302252=ORIENTED_EDGE('',*,*,#218134,.F.); #302253=ORIENTED_EDGE('',*,*,#218135,.T.); #302254=ORIENTED_EDGE('',*,*,#218134,.T.); #302255=ORIENTED_EDGE('',*,*,#218136,.F.); #302256=ORIENTED_EDGE('',*,*,#218137,.F.); #302257=ORIENTED_EDGE('',*,*,#218138,.T.); #302258=ORIENTED_EDGE('',*,*,#218137,.T.); #302259=ORIENTED_EDGE('',*,*,#218139,.F.); #302260=ORIENTED_EDGE('',*,*,#218140,.F.); #302261=ORIENTED_EDGE('',*,*,#218141,.T.); #302262=ORIENTED_EDGE('',*,*,#218140,.T.); #302263=ORIENTED_EDGE('',*,*,#218142,.F.); #302264=ORIENTED_EDGE('',*,*,#218143,.F.); #302265=ORIENTED_EDGE('',*,*,#218144,.T.); #302266=ORIENTED_EDGE('',*,*,#218143,.T.); #302267=ORIENTED_EDGE('',*,*,#218145,.F.); #302268=ORIENTED_EDGE('',*,*,#218146,.F.); #302269=ORIENTED_EDGE('',*,*,#218147,.T.); #302270=ORIENTED_EDGE('',*,*,#218146,.T.); #302271=ORIENTED_EDGE('',*,*,#218148,.F.); #302272=ORIENTED_EDGE('',*,*,#218149,.F.); #302273=ORIENTED_EDGE('',*,*,#218150,.T.); #302274=ORIENTED_EDGE('',*,*,#218149,.T.); #302275=ORIENTED_EDGE('',*,*,#218151,.F.); #302276=ORIENTED_EDGE('',*,*,#218152,.F.); #302277=ORIENTED_EDGE('',*,*,#218153,.T.); #302278=ORIENTED_EDGE('',*,*,#218152,.T.); #302279=ORIENTED_EDGE('',*,*,#218154,.F.); #302280=ORIENTED_EDGE('',*,*,#218155,.F.); #302281=ORIENTED_EDGE('',*,*,#218156,.T.); #302282=ORIENTED_EDGE('',*,*,#218155,.T.); #302283=ORIENTED_EDGE('',*,*,#218157,.F.); #302284=ORIENTED_EDGE('',*,*,#218158,.F.); #302285=ORIENTED_EDGE('',*,*,#218159,.T.); #302286=ORIENTED_EDGE('',*,*,#218158,.T.); #302287=ORIENTED_EDGE('',*,*,#218160,.F.); #302288=ORIENTED_EDGE('',*,*,#218161,.F.); #302289=ORIENTED_EDGE('',*,*,#218162,.T.); #302290=ORIENTED_EDGE('',*,*,#218161,.T.); #302291=ORIENTED_EDGE('',*,*,#218163,.F.); #302292=ORIENTED_EDGE('',*,*,#218164,.F.); #302293=ORIENTED_EDGE('',*,*,#218165,.T.); #302294=ORIENTED_EDGE('',*,*,#218164,.T.); #302295=ORIENTED_EDGE('',*,*,#218166,.F.); #302296=ORIENTED_EDGE('',*,*,#218167,.F.); #302297=ORIENTED_EDGE('',*,*,#218168,.T.); #302298=ORIENTED_EDGE('',*,*,#218167,.T.); #302299=ORIENTED_EDGE('',*,*,#218169,.F.); #302300=ORIENTED_EDGE('',*,*,#218170,.F.); #302301=ORIENTED_EDGE('',*,*,#218171,.T.); #302302=ORIENTED_EDGE('',*,*,#218170,.T.); #302303=ORIENTED_EDGE('',*,*,#218172,.F.); #302304=ORIENTED_EDGE('',*,*,#218173,.F.); #302305=ORIENTED_EDGE('',*,*,#218174,.T.); #302306=ORIENTED_EDGE('',*,*,#218173,.T.); #302307=ORIENTED_EDGE('',*,*,#218175,.F.); #302308=ORIENTED_EDGE('',*,*,#218176,.F.); #302309=ORIENTED_EDGE('',*,*,#218177,.T.); #302310=ORIENTED_EDGE('',*,*,#218176,.T.); #302311=ORIENTED_EDGE('',*,*,#218178,.F.); #302312=ORIENTED_EDGE('',*,*,#218179,.F.); #302313=ORIENTED_EDGE('',*,*,#218180,.T.); #302314=ORIENTED_EDGE('',*,*,#218179,.T.); #302315=ORIENTED_EDGE('',*,*,#218181,.F.); #302316=ORIENTED_EDGE('',*,*,#218182,.F.); #302317=ORIENTED_EDGE('',*,*,#218183,.T.); #302318=ORIENTED_EDGE('',*,*,#218182,.T.); #302319=ORIENTED_EDGE('',*,*,#218184,.F.); #302320=ORIENTED_EDGE('',*,*,#218185,.F.); #302321=ORIENTED_EDGE('',*,*,#218186,.T.); #302322=ORIENTED_EDGE('',*,*,#218185,.T.); #302323=ORIENTED_EDGE('',*,*,#218187,.F.); #302324=ORIENTED_EDGE('',*,*,#218188,.F.); #302325=ORIENTED_EDGE('',*,*,#218189,.T.); #302326=ORIENTED_EDGE('',*,*,#218188,.T.); #302327=ORIENTED_EDGE('',*,*,#218190,.F.); #302328=ORIENTED_EDGE('',*,*,#218191,.F.); #302329=ORIENTED_EDGE('',*,*,#218192,.T.); #302330=ORIENTED_EDGE('',*,*,#218191,.T.); #302331=ORIENTED_EDGE('',*,*,#218193,.F.); #302332=ORIENTED_EDGE('',*,*,#218194,.F.); #302333=ORIENTED_EDGE('',*,*,#218195,.T.); #302334=ORIENTED_EDGE('',*,*,#218194,.T.); #302335=ORIENTED_EDGE('',*,*,#218196,.F.); #302336=ORIENTED_EDGE('',*,*,#218197,.F.); #302337=ORIENTED_EDGE('',*,*,#218198,.T.); #302338=ORIENTED_EDGE('',*,*,#218197,.T.); #302339=ORIENTED_EDGE('',*,*,#218199,.F.); #302340=ORIENTED_EDGE('',*,*,#218200,.F.); #302341=ORIENTED_EDGE('',*,*,#218201,.T.); #302342=ORIENTED_EDGE('',*,*,#218200,.T.); #302343=ORIENTED_EDGE('',*,*,#218202,.F.); #302344=ORIENTED_EDGE('',*,*,#218203,.F.); #302345=ORIENTED_EDGE('',*,*,#218204,.T.); #302346=ORIENTED_EDGE('',*,*,#218203,.T.); #302347=ORIENTED_EDGE('',*,*,#218205,.F.); #302348=ORIENTED_EDGE('',*,*,#218206,.F.); #302349=ORIENTED_EDGE('',*,*,#218207,.T.); #302350=ORIENTED_EDGE('',*,*,#218206,.T.); #302351=ORIENTED_EDGE('',*,*,#218208,.F.); #302352=ORIENTED_EDGE('',*,*,#218209,.F.); #302353=ORIENTED_EDGE('',*,*,#218210,.T.); #302354=ORIENTED_EDGE('',*,*,#218209,.T.); #302355=ORIENTED_EDGE('',*,*,#218211,.F.); #302356=ORIENTED_EDGE('',*,*,#218212,.F.); #302357=ORIENTED_EDGE('',*,*,#218213,.T.); #302358=ORIENTED_EDGE('',*,*,#218212,.T.); #302359=ORIENTED_EDGE('',*,*,#218214,.F.); #302360=ORIENTED_EDGE('',*,*,#218215,.F.); #302361=ORIENTED_EDGE('',*,*,#218216,.T.); #302362=ORIENTED_EDGE('',*,*,#218215,.T.); #302363=ORIENTED_EDGE('',*,*,#218217,.F.); #302364=ORIENTED_EDGE('',*,*,#218218,.F.); #302365=ORIENTED_EDGE('',*,*,#218219,.T.); #302366=ORIENTED_EDGE('',*,*,#218218,.T.); #302367=ORIENTED_EDGE('',*,*,#218220,.F.); #302368=ORIENTED_EDGE('',*,*,#218221,.F.); #302369=ORIENTED_EDGE('',*,*,#218222,.T.); #302370=ORIENTED_EDGE('',*,*,#218221,.T.); #302371=ORIENTED_EDGE('',*,*,#218223,.F.); #302372=ORIENTED_EDGE('',*,*,#218224,.F.); #302373=ORIENTED_EDGE('',*,*,#218225,.T.); #302374=ORIENTED_EDGE('',*,*,#218224,.T.); #302375=ORIENTED_EDGE('',*,*,#218226,.F.); #302376=ORIENTED_EDGE('',*,*,#218227,.F.); #302377=ORIENTED_EDGE('',*,*,#218228,.T.); #302378=ORIENTED_EDGE('',*,*,#218227,.T.); #302379=ORIENTED_EDGE('',*,*,#218229,.F.); #302380=ORIENTED_EDGE('',*,*,#218230,.F.); #302381=ORIENTED_EDGE('',*,*,#218231,.T.); #302382=ORIENTED_EDGE('',*,*,#218230,.T.); #302383=ORIENTED_EDGE('',*,*,#218232,.F.); #302384=ORIENTED_EDGE('',*,*,#218233,.F.); #302385=ORIENTED_EDGE('',*,*,#218234,.T.); #302386=ORIENTED_EDGE('',*,*,#218233,.T.); #302387=ORIENTED_EDGE('',*,*,#218235,.F.); #302388=ORIENTED_EDGE('',*,*,#218236,.F.); #302389=ORIENTED_EDGE('',*,*,#218237,.T.); #302390=ORIENTED_EDGE('',*,*,#218236,.T.); #302391=ORIENTED_EDGE('',*,*,#218238,.F.); #302392=ORIENTED_EDGE('',*,*,#218239,.F.); #302393=ORIENTED_EDGE('',*,*,#218240,.T.); #302394=ORIENTED_EDGE('',*,*,#218239,.T.); #302395=ORIENTED_EDGE('',*,*,#218241,.F.); #302396=ORIENTED_EDGE('',*,*,#218242,.F.); #302397=ORIENTED_EDGE('',*,*,#218243,.T.); #302398=ORIENTED_EDGE('',*,*,#218242,.T.); #302399=ORIENTED_EDGE('',*,*,#218244,.F.); #302400=ORIENTED_EDGE('',*,*,#218245,.F.); #302401=ORIENTED_EDGE('',*,*,#218246,.T.); #302402=ORIENTED_EDGE('',*,*,#218245,.T.); #302403=ORIENTED_EDGE('',*,*,#218247,.F.); #302404=ORIENTED_EDGE('',*,*,#218248,.F.); #302405=ORIENTED_EDGE('',*,*,#218249,.T.); #302406=ORIENTED_EDGE('',*,*,#218248,.T.); #302407=ORIENTED_EDGE('',*,*,#218250,.F.); #302408=ORIENTED_EDGE('',*,*,#218251,.F.); #302409=ORIENTED_EDGE('',*,*,#218252,.T.); #302410=ORIENTED_EDGE('',*,*,#218251,.T.); #302411=ORIENTED_EDGE('',*,*,#218253,.F.); #302412=ORIENTED_EDGE('',*,*,#218254,.F.); #302413=ORIENTED_EDGE('',*,*,#218255,.T.); #302414=ORIENTED_EDGE('',*,*,#218254,.T.); #302415=ORIENTED_EDGE('',*,*,#218256,.F.); #302416=ORIENTED_EDGE('',*,*,#218257,.F.); #302417=ORIENTED_EDGE('',*,*,#218258,.T.); #302418=ORIENTED_EDGE('',*,*,#218257,.T.); #302419=ORIENTED_EDGE('',*,*,#218259,.F.); #302420=ORIENTED_EDGE('',*,*,#218260,.F.); #302421=ORIENTED_EDGE('',*,*,#218261,.T.); #302422=ORIENTED_EDGE('',*,*,#218260,.T.); #302423=ORIENTED_EDGE('',*,*,#218262,.F.); #302424=ORIENTED_EDGE('',*,*,#218263,.F.); #302425=ORIENTED_EDGE('',*,*,#218264,.T.); #302426=ORIENTED_EDGE('',*,*,#218263,.T.); #302427=ORIENTED_EDGE('',*,*,#218265,.F.); #302428=ORIENTED_EDGE('',*,*,#218266,.F.); #302429=ORIENTED_EDGE('',*,*,#218267,.T.); #302430=ORIENTED_EDGE('',*,*,#218266,.T.); #302431=ORIENTED_EDGE('',*,*,#218268,.F.); #302432=ORIENTED_EDGE('',*,*,#218269,.F.); #302433=ORIENTED_EDGE('',*,*,#218270,.T.); #302434=ORIENTED_EDGE('',*,*,#218269,.T.); #302435=ORIENTED_EDGE('',*,*,#218271,.F.); #302436=ORIENTED_EDGE('',*,*,#218272,.F.); #302437=ORIENTED_EDGE('',*,*,#218273,.T.); #302438=ORIENTED_EDGE('',*,*,#218272,.T.); #302439=ORIENTED_EDGE('',*,*,#218274,.F.); #302440=ORIENTED_EDGE('',*,*,#218275,.F.); #302441=ORIENTED_EDGE('',*,*,#218276,.T.); #302442=ORIENTED_EDGE('',*,*,#218275,.T.); #302443=ORIENTED_EDGE('',*,*,#218277,.F.); #302444=ORIENTED_EDGE('',*,*,#218278,.F.); #302445=ORIENTED_EDGE('',*,*,#218279,.T.); #302446=ORIENTED_EDGE('',*,*,#218278,.T.); #302447=ORIENTED_EDGE('',*,*,#218280,.F.); #302448=ORIENTED_EDGE('',*,*,#218281,.F.); #302449=ORIENTED_EDGE('',*,*,#218282,.T.); #302450=ORIENTED_EDGE('',*,*,#218281,.T.); #302451=ORIENTED_EDGE('',*,*,#218283,.F.); #302452=ORIENTED_EDGE('',*,*,#218284,.F.); #302453=ORIENTED_EDGE('',*,*,#218285,.T.); #302454=ORIENTED_EDGE('',*,*,#218284,.T.); #302455=ORIENTED_EDGE('',*,*,#218286,.F.); #302456=ORIENTED_EDGE('',*,*,#218287,.F.); #302457=ORIENTED_EDGE('',*,*,#218288,.T.); #302458=ORIENTED_EDGE('',*,*,#218287,.T.); #302459=ORIENTED_EDGE('',*,*,#218289,.F.); #302460=ORIENTED_EDGE('',*,*,#218290,.F.); #302461=ORIENTED_EDGE('',*,*,#218291,.T.); #302462=ORIENTED_EDGE('',*,*,#218290,.T.); #302463=ORIENTED_EDGE('',*,*,#218292,.F.); #302464=ORIENTED_EDGE('',*,*,#218293,.F.); #302465=ORIENTED_EDGE('',*,*,#218294,.T.); #302466=ORIENTED_EDGE('',*,*,#218293,.T.); #302467=ORIENTED_EDGE('',*,*,#218295,.F.); #302468=ORIENTED_EDGE('',*,*,#218296,.F.); #302469=ORIENTED_EDGE('',*,*,#218297,.T.); #302470=ORIENTED_EDGE('',*,*,#218296,.T.); #302471=ORIENTED_EDGE('',*,*,#218298,.F.); #302472=ORIENTED_EDGE('',*,*,#218299,.F.); #302473=ORIENTED_EDGE('',*,*,#218300,.T.); #302474=ORIENTED_EDGE('',*,*,#218299,.T.); #302475=ORIENTED_EDGE('',*,*,#218301,.F.); #302476=ORIENTED_EDGE('',*,*,#218302,.F.); #302477=ORIENTED_EDGE('',*,*,#218303,.T.); #302478=ORIENTED_EDGE('',*,*,#218302,.T.); #302479=ORIENTED_EDGE('',*,*,#218304,.F.); #302480=ORIENTED_EDGE('',*,*,#218305,.F.); #302481=ORIENTED_EDGE('',*,*,#218306,.T.); #302482=ORIENTED_EDGE('',*,*,#218305,.T.); #302483=ORIENTED_EDGE('',*,*,#218307,.F.); #302484=ORIENTED_EDGE('',*,*,#218308,.F.); #302485=ORIENTED_EDGE('',*,*,#218309,.T.); #302486=ORIENTED_EDGE('',*,*,#218308,.T.); #302487=ORIENTED_EDGE('',*,*,#218310,.F.); #302488=ORIENTED_EDGE('',*,*,#218311,.F.); #302489=ORIENTED_EDGE('',*,*,#218312,.T.); #302490=ORIENTED_EDGE('',*,*,#218311,.T.); #302491=ORIENTED_EDGE('',*,*,#218313,.F.); #302492=ORIENTED_EDGE('',*,*,#218314,.F.); #302493=ORIENTED_EDGE('',*,*,#218315,.T.); #302494=ORIENTED_EDGE('',*,*,#218314,.T.); #302495=ORIENTED_EDGE('',*,*,#218316,.F.); #302496=ORIENTED_EDGE('',*,*,#218317,.F.); #302497=ORIENTED_EDGE('',*,*,#218318,.T.); #302498=ORIENTED_EDGE('',*,*,#218317,.T.); #302499=ORIENTED_EDGE('',*,*,#218319,.F.); #302500=ORIENTED_EDGE('',*,*,#218320,.F.); #302501=ORIENTED_EDGE('',*,*,#218321,.T.); #302502=ORIENTED_EDGE('',*,*,#218320,.T.); #302503=ORIENTED_EDGE('',*,*,#218322,.F.); #302504=ORIENTED_EDGE('',*,*,#218108,.F.); #302505=ORIENTED_EDGE('',*,*,#218322,.T.); #302506=ORIENTED_EDGE('',*,*,#218319,.T.); #302507=ORIENTED_EDGE('',*,*,#218316,.T.); #302508=ORIENTED_EDGE('',*,*,#218313,.T.); #302509=ORIENTED_EDGE('',*,*,#218310,.T.); #302510=ORIENTED_EDGE('',*,*,#218307,.T.); #302511=ORIENTED_EDGE('',*,*,#218304,.T.); #302512=ORIENTED_EDGE('',*,*,#218301,.T.); #302513=ORIENTED_EDGE('',*,*,#218298,.T.); #302514=ORIENTED_EDGE('',*,*,#218295,.T.); #302515=ORIENTED_EDGE('',*,*,#218292,.T.); #302516=ORIENTED_EDGE('',*,*,#218289,.T.); #302517=ORIENTED_EDGE('',*,*,#218286,.T.); #302518=ORIENTED_EDGE('',*,*,#218283,.T.); #302519=ORIENTED_EDGE('',*,*,#218280,.T.); #302520=ORIENTED_EDGE('',*,*,#218277,.T.); #302521=ORIENTED_EDGE('',*,*,#218274,.T.); #302522=ORIENTED_EDGE('',*,*,#218271,.T.); #302523=ORIENTED_EDGE('',*,*,#218268,.T.); #302524=ORIENTED_EDGE('',*,*,#218265,.T.); #302525=ORIENTED_EDGE('',*,*,#218262,.T.); #302526=ORIENTED_EDGE('',*,*,#218259,.T.); #302527=ORIENTED_EDGE('',*,*,#218256,.T.); #302528=ORIENTED_EDGE('',*,*,#218253,.T.); #302529=ORIENTED_EDGE('',*,*,#218250,.T.); #302530=ORIENTED_EDGE('',*,*,#218247,.T.); #302531=ORIENTED_EDGE('',*,*,#218244,.T.); #302532=ORIENTED_EDGE('',*,*,#218241,.T.); #302533=ORIENTED_EDGE('',*,*,#218238,.T.); #302534=ORIENTED_EDGE('',*,*,#218235,.T.); #302535=ORIENTED_EDGE('',*,*,#218232,.T.); #302536=ORIENTED_EDGE('',*,*,#218229,.T.); #302537=ORIENTED_EDGE('',*,*,#218226,.T.); #302538=ORIENTED_EDGE('',*,*,#218223,.T.); #302539=ORIENTED_EDGE('',*,*,#218220,.T.); #302540=ORIENTED_EDGE('',*,*,#218217,.T.); #302541=ORIENTED_EDGE('',*,*,#218214,.T.); #302542=ORIENTED_EDGE('',*,*,#218211,.T.); #302543=ORIENTED_EDGE('',*,*,#218208,.T.); #302544=ORIENTED_EDGE('',*,*,#218205,.T.); #302545=ORIENTED_EDGE('',*,*,#218202,.T.); #302546=ORIENTED_EDGE('',*,*,#218199,.T.); #302547=ORIENTED_EDGE('',*,*,#218196,.T.); #302548=ORIENTED_EDGE('',*,*,#218193,.T.); #302549=ORIENTED_EDGE('',*,*,#218190,.T.); #302550=ORIENTED_EDGE('',*,*,#218187,.T.); #302551=ORIENTED_EDGE('',*,*,#218184,.T.); #302552=ORIENTED_EDGE('',*,*,#218181,.T.); #302553=ORIENTED_EDGE('',*,*,#218178,.T.); #302554=ORIENTED_EDGE('',*,*,#218175,.T.); #302555=ORIENTED_EDGE('',*,*,#218172,.T.); #302556=ORIENTED_EDGE('',*,*,#218169,.T.); #302557=ORIENTED_EDGE('',*,*,#218166,.T.); #302558=ORIENTED_EDGE('',*,*,#218163,.T.); #302559=ORIENTED_EDGE('',*,*,#218160,.T.); #302560=ORIENTED_EDGE('',*,*,#218157,.T.); #302561=ORIENTED_EDGE('',*,*,#218154,.T.); #302562=ORIENTED_EDGE('',*,*,#218151,.T.); #302563=ORIENTED_EDGE('',*,*,#218148,.T.); #302564=ORIENTED_EDGE('',*,*,#218145,.T.); #302565=ORIENTED_EDGE('',*,*,#218142,.T.); #302566=ORIENTED_EDGE('',*,*,#218139,.T.); #302567=ORIENTED_EDGE('',*,*,#218136,.T.); #302568=ORIENTED_EDGE('',*,*,#218133,.T.); #302569=ORIENTED_EDGE('',*,*,#218130,.T.); #302570=ORIENTED_EDGE('',*,*,#218127,.T.); #302571=ORIENTED_EDGE('',*,*,#218124,.T.); #302572=ORIENTED_EDGE('',*,*,#218121,.T.); #302573=ORIENTED_EDGE('',*,*,#218118,.T.); #302574=ORIENTED_EDGE('',*,*,#218115,.T.); #302575=ORIENTED_EDGE('',*,*,#218112,.T.); #302576=ORIENTED_EDGE('',*,*,#218109,.T.); #302577=ORIENTED_EDGE('',*,*,#218102,.T.); #302578=ORIENTED_EDGE('',*,*,#218105,.T.); #302579=ORIENTED_EDGE('',*,*,#218095,.T.); #302580=ORIENTED_EDGE('',*,*,#218099,.T.); #302581=ORIENTED_EDGE('',*,*,#218321,.F.); #302582=ORIENTED_EDGE('',*,*,#218107,.F.); #302583=ORIENTED_EDGE('',*,*,#218111,.F.); #302584=ORIENTED_EDGE('',*,*,#218114,.F.); #302585=ORIENTED_EDGE('',*,*,#218117,.F.); #302586=ORIENTED_EDGE('',*,*,#218120,.F.); #302587=ORIENTED_EDGE('',*,*,#218123,.F.); #302588=ORIENTED_EDGE('',*,*,#218126,.F.); #302589=ORIENTED_EDGE('',*,*,#218129,.F.); #302590=ORIENTED_EDGE('',*,*,#218132,.F.); #302591=ORIENTED_EDGE('',*,*,#218135,.F.); #302592=ORIENTED_EDGE('',*,*,#218138,.F.); #302593=ORIENTED_EDGE('',*,*,#218141,.F.); #302594=ORIENTED_EDGE('',*,*,#218144,.F.); #302595=ORIENTED_EDGE('',*,*,#218147,.F.); #302596=ORIENTED_EDGE('',*,*,#218150,.F.); #302597=ORIENTED_EDGE('',*,*,#218153,.F.); #302598=ORIENTED_EDGE('',*,*,#218156,.F.); #302599=ORIENTED_EDGE('',*,*,#218159,.F.); #302600=ORIENTED_EDGE('',*,*,#218162,.F.); #302601=ORIENTED_EDGE('',*,*,#218165,.F.); #302602=ORIENTED_EDGE('',*,*,#218168,.F.); #302603=ORIENTED_EDGE('',*,*,#218171,.F.); #302604=ORIENTED_EDGE('',*,*,#218174,.F.); #302605=ORIENTED_EDGE('',*,*,#218177,.F.); #302606=ORIENTED_EDGE('',*,*,#218180,.F.); #302607=ORIENTED_EDGE('',*,*,#218183,.F.); #302608=ORIENTED_EDGE('',*,*,#218186,.F.); #302609=ORIENTED_EDGE('',*,*,#218189,.F.); #302610=ORIENTED_EDGE('',*,*,#218192,.F.); #302611=ORIENTED_EDGE('',*,*,#218195,.F.); #302612=ORIENTED_EDGE('',*,*,#218198,.F.); #302613=ORIENTED_EDGE('',*,*,#218201,.F.); #302614=ORIENTED_EDGE('',*,*,#218204,.F.); #302615=ORIENTED_EDGE('',*,*,#218207,.F.); #302616=ORIENTED_EDGE('',*,*,#218210,.F.); #302617=ORIENTED_EDGE('',*,*,#218213,.F.); #302618=ORIENTED_EDGE('',*,*,#218216,.F.); #302619=ORIENTED_EDGE('',*,*,#218219,.F.); #302620=ORIENTED_EDGE('',*,*,#218222,.F.); #302621=ORIENTED_EDGE('',*,*,#218225,.F.); #302622=ORIENTED_EDGE('',*,*,#218228,.F.); #302623=ORIENTED_EDGE('',*,*,#218231,.F.); #302624=ORIENTED_EDGE('',*,*,#218234,.F.); #302625=ORIENTED_EDGE('',*,*,#218237,.F.); #302626=ORIENTED_EDGE('',*,*,#218240,.F.); #302627=ORIENTED_EDGE('',*,*,#218243,.F.); #302628=ORIENTED_EDGE('',*,*,#218246,.F.); #302629=ORIENTED_EDGE('',*,*,#218249,.F.); #302630=ORIENTED_EDGE('',*,*,#218252,.F.); #302631=ORIENTED_EDGE('',*,*,#218255,.F.); #302632=ORIENTED_EDGE('',*,*,#218258,.F.); #302633=ORIENTED_EDGE('',*,*,#218261,.F.); #302634=ORIENTED_EDGE('',*,*,#218264,.F.); #302635=ORIENTED_EDGE('',*,*,#218267,.F.); #302636=ORIENTED_EDGE('',*,*,#218270,.F.); #302637=ORIENTED_EDGE('',*,*,#218273,.F.); #302638=ORIENTED_EDGE('',*,*,#218276,.F.); #302639=ORIENTED_EDGE('',*,*,#218279,.F.); #302640=ORIENTED_EDGE('',*,*,#218282,.F.); #302641=ORIENTED_EDGE('',*,*,#218285,.F.); #302642=ORIENTED_EDGE('',*,*,#218288,.F.); #302643=ORIENTED_EDGE('',*,*,#218291,.F.); #302644=ORIENTED_EDGE('',*,*,#218294,.F.); #302645=ORIENTED_EDGE('',*,*,#218297,.F.); #302646=ORIENTED_EDGE('',*,*,#218300,.F.); #302647=ORIENTED_EDGE('',*,*,#218303,.F.); #302648=ORIENTED_EDGE('',*,*,#218306,.F.); #302649=ORIENTED_EDGE('',*,*,#218309,.F.); #302650=ORIENTED_EDGE('',*,*,#218312,.F.); #302651=ORIENTED_EDGE('',*,*,#218315,.F.); #302652=ORIENTED_EDGE('',*,*,#218318,.F.); #302653=ORIENTED_EDGE('',*,*,#218103,.T.); #302654=ORIENTED_EDGE('',*,*,#218100,.T.); #302655=ORIENTED_EDGE('',*,*,#218097,.T.); #302656=ORIENTED_EDGE('',*,*,#218106,.T.); #302657=ORIENTED_EDGE('',*,*,#218323,.F.); #302658=ORIENTED_EDGE('',*,*,#218324,.F.); #302659=ORIENTED_EDGE('',*,*,#218325,.F.); #302660=ORIENTED_EDGE('',*,*,#218326,.T.); #302661=ORIENTED_EDGE('',*,*,#218327,.F.); #302662=ORIENTED_EDGE('',*,*,#218326,.F.); #302663=ORIENTED_EDGE('',*,*,#218328,.F.); #302664=ORIENTED_EDGE('',*,*,#218329,.T.); #302665=ORIENTED_EDGE('',*,*,#218330,.F.); #302666=ORIENTED_EDGE('',*,*,#218329,.F.); #302667=ORIENTED_EDGE('',*,*,#218331,.F.); #302668=ORIENTED_EDGE('',*,*,#218332,.T.); #302669=ORIENTED_EDGE('',*,*,#218333,.F.); #302670=ORIENTED_EDGE('',*,*,#218332,.F.); #302671=ORIENTED_EDGE('',*,*,#218334,.F.); #302672=ORIENTED_EDGE('',*,*,#218324,.T.); #302673=ORIENTED_EDGE('',*,*,#218335,.T.); #302674=ORIENTED_EDGE('',*,*,#218336,.T.); #302675=ORIENTED_EDGE('',*,*,#218337,.F.); #302676=ORIENTED_EDGE('',*,*,#218338,.F.); #302677=ORIENTED_EDGE('',*,*,#218339,.T.); #302678=ORIENTED_EDGE('',*,*,#218338,.T.); #302679=ORIENTED_EDGE('',*,*,#218340,.F.); #302680=ORIENTED_EDGE('',*,*,#218341,.F.); #302681=ORIENTED_EDGE('',*,*,#218342,.T.); #302682=ORIENTED_EDGE('',*,*,#218341,.T.); #302683=ORIENTED_EDGE('',*,*,#218343,.F.); #302684=ORIENTED_EDGE('',*,*,#218344,.F.); #302685=ORIENTED_EDGE('',*,*,#218345,.T.); #302686=ORIENTED_EDGE('',*,*,#218344,.T.); #302687=ORIENTED_EDGE('',*,*,#218346,.F.); #302688=ORIENTED_EDGE('',*,*,#218347,.F.); #302689=ORIENTED_EDGE('',*,*,#218348,.T.); #302690=ORIENTED_EDGE('',*,*,#218347,.T.); #302691=ORIENTED_EDGE('',*,*,#218349,.F.); #302692=ORIENTED_EDGE('',*,*,#218350,.F.); #302693=ORIENTED_EDGE('',*,*,#218351,.T.); #302694=ORIENTED_EDGE('',*,*,#218350,.T.); #302695=ORIENTED_EDGE('',*,*,#218352,.F.); #302696=ORIENTED_EDGE('',*,*,#218353,.F.); #302697=ORIENTED_EDGE('',*,*,#218354,.T.); #302698=ORIENTED_EDGE('',*,*,#218353,.T.); #302699=ORIENTED_EDGE('',*,*,#218355,.F.); #302700=ORIENTED_EDGE('',*,*,#218356,.F.); #302701=ORIENTED_EDGE('',*,*,#218357,.T.); #302702=ORIENTED_EDGE('',*,*,#218356,.T.); #302703=ORIENTED_EDGE('',*,*,#218358,.F.); #302704=ORIENTED_EDGE('',*,*,#218359,.F.); #302705=ORIENTED_EDGE('',*,*,#218360,.T.); #302706=ORIENTED_EDGE('',*,*,#218359,.T.); #302707=ORIENTED_EDGE('',*,*,#218361,.F.); #302708=ORIENTED_EDGE('',*,*,#218362,.F.); #302709=ORIENTED_EDGE('',*,*,#218363,.T.); #302710=ORIENTED_EDGE('',*,*,#218362,.T.); #302711=ORIENTED_EDGE('',*,*,#218364,.F.); #302712=ORIENTED_EDGE('',*,*,#218365,.F.); #302713=ORIENTED_EDGE('',*,*,#218366,.T.); #302714=ORIENTED_EDGE('',*,*,#218365,.T.); #302715=ORIENTED_EDGE('',*,*,#218367,.F.); #302716=ORIENTED_EDGE('',*,*,#218368,.F.); #302717=ORIENTED_EDGE('',*,*,#218369,.T.); #302718=ORIENTED_EDGE('',*,*,#218368,.T.); #302719=ORIENTED_EDGE('',*,*,#218370,.F.); #302720=ORIENTED_EDGE('',*,*,#218371,.F.); #302721=ORIENTED_EDGE('',*,*,#218372,.T.); #302722=ORIENTED_EDGE('',*,*,#218371,.T.); #302723=ORIENTED_EDGE('',*,*,#218373,.F.); #302724=ORIENTED_EDGE('',*,*,#218374,.F.); #302725=ORIENTED_EDGE('',*,*,#218375,.T.); #302726=ORIENTED_EDGE('',*,*,#218374,.T.); #302727=ORIENTED_EDGE('',*,*,#218376,.F.); #302728=ORIENTED_EDGE('',*,*,#218377,.F.); #302729=ORIENTED_EDGE('',*,*,#218378,.T.); #302730=ORIENTED_EDGE('',*,*,#218377,.T.); #302731=ORIENTED_EDGE('',*,*,#218379,.F.); #302732=ORIENTED_EDGE('',*,*,#218380,.F.); #302733=ORIENTED_EDGE('',*,*,#218381,.T.); #302734=ORIENTED_EDGE('',*,*,#218380,.T.); #302735=ORIENTED_EDGE('',*,*,#218382,.F.); #302736=ORIENTED_EDGE('',*,*,#218383,.F.); #302737=ORIENTED_EDGE('',*,*,#218384,.T.); #302738=ORIENTED_EDGE('',*,*,#218383,.T.); #302739=ORIENTED_EDGE('',*,*,#218385,.F.); #302740=ORIENTED_EDGE('',*,*,#218386,.F.); #302741=ORIENTED_EDGE('',*,*,#218387,.T.); #302742=ORIENTED_EDGE('',*,*,#218386,.T.); #302743=ORIENTED_EDGE('',*,*,#218388,.F.); #302744=ORIENTED_EDGE('',*,*,#218389,.F.); #302745=ORIENTED_EDGE('',*,*,#218390,.T.); #302746=ORIENTED_EDGE('',*,*,#218389,.T.); #302747=ORIENTED_EDGE('',*,*,#218391,.F.); #302748=ORIENTED_EDGE('',*,*,#218392,.F.); #302749=ORIENTED_EDGE('',*,*,#218393,.T.); #302750=ORIENTED_EDGE('',*,*,#218392,.T.); #302751=ORIENTED_EDGE('',*,*,#218394,.F.); #302752=ORIENTED_EDGE('',*,*,#218395,.F.); #302753=ORIENTED_EDGE('',*,*,#218396,.T.); #302754=ORIENTED_EDGE('',*,*,#218395,.T.); #302755=ORIENTED_EDGE('',*,*,#218397,.F.); #302756=ORIENTED_EDGE('',*,*,#218398,.F.); #302757=ORIENTED_EDGE('',*,*,#218399,.T.); #302758=ORIENTED_EDGE('',*,*,#218398,.T.); #302759=ORIENTED_EDGE('',*,*,#218400,.F.); #302760=ORIENTED_EDGE('',*,*,#218401,.F.); #302761=ORIENTED_EDGE('',*,*,#218402,.T.); #302762=ORIENTED_EDGE('',*,*,#218401,.T.); #302763=ORIENTED_EDGE('',*,*,#218403,.F.); #302764=ORIENTED_EDGE('',*,*,#218404,.F.); #302765=ORIENTED_EDGE('',*,*,#218405,.T.); #302766=ORIENTED_EDGE('',*,*,#218404,.T.); #302767=ORIENTED_EDGE('',*,*,#218406,.F.); #302768=ORIENTED_EDGE('',*,*,#218407,.F.); #302769=ORIENTED_EDGE('',*,*,#218408,.T.); #302770=ORIENTED_EDGE('',*,*,#218407,.T.); #302771=ORIENTED_EDGE('',*,*,#218409,.F.); #302772=ORIENTED_EDGE('',*,*,#218410,.F.); #302773=ORIENTED_EDGE('',*,*,#218411,.T.); #302774=ORIENTED_EDGE('',*,*,#218410,.T.); #302775=ORIENTED_EDGE('',*,*,#218412,.F.); #302776=ORIENTED_EDGE('',*,*,#218413,.F.); #302777=ORIENTED_EDGE('',*,*,#218414,.T.); #302778=ORIENTED_EDGE('',*,*,#218413,.T.); #302779=ORIENTED_EDGE('',*,*,#218415,.F.); #302780=ORIENTED_EDGE('',*,*,#218416,.F.); #302781=ORIENTED_EDGE('',*,*,#218417,.T.); #302782=ORIENTED_EDGE('',*,*,#218416,.T.); #302783=ORIENTED_EDGE('',*,*,#218418,.F.); #302784=ORIENTED_EDGE('',*,*,#218419,.F.); #302785=ORIENTED_EDGE('',*,*,#218420,.T.); #302786=ORIENTED_EDGE('',*,*,#218419,.T.); #302787=ORIENTED_EDGE('',*,*,#218421,.F.); #302788=ORIENTED_EDGE('',*,*,#218422,.F.); #302789=ORIENTED_EDGE('',*,*,#218423,.T.); #302790=ORIENTED_EDGE('',*,*,#218422,.T.); #302791=ORIENTED_EDGE('',*,*,#218424,.F.); #302792=ORIENTED_EDGE('',*,*,#218425,.F.); #302793=ORIENTED_EDGE('',*,*,#218426,.T.); #302794=ORIENTED_EDGE('',*,*,#218425,.T.); #302795=ORIENTED_EDGE('',*,*,#218427,.F.); #302796=ORIENTED_EDGE('',*,*,#218428,.F.); #302797=ORIENTED_EDGE('',*,*,#218429,.T.); #302798=ORIENTED_EDGE('',*,*,#218428,.T.); #302799=ORIENTED_EDGE('',*,*,#218430,.F.); #302800=ORIENTED_EDGE('',*,*,#218431,.F.); #302801=ORIENTED_EDGE('',*,*,#218432,.T.); #302802=ORIENTED_EDGE('',*,*,#218431,.T.); #302803=ORIENTED_EDGE('',*,*,#218433,.F.); #302804=ORIENTED_EDGE('',*,*,#218434,.F.); #302805=ORIENTED_EDGE('',*,*,#218435,.T.); #302806=ORIENTED_EDGE('',*,*,#218434,.T.); #302807=ORIENTED_EDGE('',*,*,#218436,.F.); #302808=ORIENTED_EDGE('',*,*,#218437,.F.); #302809=ORIENTED_EDGE('',*,*,#218438,.T.); #302810=ORIENTED_EDGE('',*,*,#218437,.T.); #302811=ORIENTED_EDGE('',*,*,#218439,.F.); #302812=ORIENTED_EDGE('',*,*,#218440,.F.); #302813=ORIENTED_EDGE('',*,*,#218441,.T.); #302814=ORIENTED_EDGE('',*,*,#218440,.T.); #302815=ORIENTED_EDGE('',*,*,#218442,.F.); #302816=ORIENTED_EDGE('',*,*,#218443,.F.); #302817=ORIENTED_EDGE('',*,*,#218444,.T.); #302818=ORIENTED_EDGE('',*,*,#218443,.T.); #302819=ORIENTED_EDGE('',*,*,#218445,.F.); #302820=ORIENTED_EDGE('',*,*,#218446,.F.); #302821=ORIENTED_EDGE('',*,*,#218447,.T.); #302822=ORIENTED_EDGE('',*,*,#218446,.T.); #302823=ORIENTED_EDGE('',*,*,#218448,.F.); #302824=ORIENTED_EDGE('',*,*,#218449,.F.); #302825=ORIENTED_EDGE('',*,*,#218450,.T.); #302826=ORIENTED_EDGE('',*,*,#218449,.T.); #302827=ORIENTED_EDGE('',*,*,#218451,.F.); #302828=ORIENTED_EDGE('',*,*,#218452,.F.); #302829=ORIENTED_EDGE('',*,*,#218453,.T.); #302830=ORIENTED_EDGE('',*,*,#218452,.T.); #302831=ORIENTED_EDGE('',*,*,#218454,.F.); #302832=ORIENTED_EDGE('',*,*,#218455,.F.); #302833=ORIENTED_EDGE('',*,*,#218456,.T.); #302834=ORIENTED_EDGE('',*,*,#218455,.T.); #302835=ORIENTED_EDGE('',*,*,#218457,.F.); #302836=ORIENTED_EDGE('',*,*,#218458,.F.); #302837=ORIENTED_EDGE('',*,*,#218459,.T.); #302838=ORIENTED_EDGE('',*,*,#218458,.T.); #302839=ORIENTED_EDGE('',*,*,#218460,.F.); #302840=ORIENTED_EDGE('',*,*,#218461,.F.); #302841=ORIENTED_EDGE('',*,*,#218462,.T.); #302842=ORIENTED_EDGE('',*,*,#218461,.T.); #302843=ORIENTED_EDGE('',*,*,#218463,.F.); #302844=ORIENTED_EDGE('',*,*,#218464,.F.); #302845=ORIENTED_EDGE('',*,*,#218465,.T.); #302846=ORIENTED_EDGE('',*,*,#218464,.T.); #302847=ORIENTED_EDGE('',*,*,#218466,.F.); #302848=ORIENTED_EDGE('',*,*,#218467,.F.); #302849=ORIENTED_EDGE('',*,*,#218468,.T.); #302850=ORIENTED_EDGE('',*,*,#218467,.T.); #302851=ORIENTED_EDGE('',*,*,#218469,.F.); #302852=ORIENTED_EDGE('',*,*,#218470,.F.); #302853=ORIENTED_EDGE('',*,*,#218471,.T.); #302854=ORIENTED_EDGE('',*,*,#218470,.T.); #302855=ORIENTED_EDGE('',*,*,#218472,.F.); #302856=ORIENTED_EDGE('',*,*,#218473,.F.); #302857=ORIENTED_EDGE('',*,*,#218474,.T.); #302858=ORIENTED_EDGE('',*,*,#218473,.T.); #302859=ORIENTED_EDGE('',*,*,#218475,.F.); #302860=ORIENTED_EDGE('',*,*,#218476,.F.); #302861=ORIENTED_EDGE('',*,*,#218477,.T.); #302862=ORIENTED_EDGE('',*,*,#218476,.T.); #302863=ORIENTED_EDGE('',*,*,#218478,.F.); #302864=ORIENTED_EDGE('',*,*,#218479,.F.); #302865=ORIENTED_EDGE('',*,*,#218480,.T.); #302866=ORIENTED_EDGE('',*,*,#218479,.T.); #302867=ORIENTED_EDGE('',*,*,#218481,.F.); #302868=ORIENTED_EDGE('',*,*,#218482,.F.); #302869=ORIENTED_EDGE('',*,*,#218483,.T.); #302870=ORIENTED_EDGE('',*,*,#218482,.T.); #302871=ORIENTED_EDGE('',*,*,#218484,.F.); #302872=ORIENTED_EDGE('',*,*,#218485,.F.); #302873=ORIENTED_EDGE('',*,*,#218486,.T.); #302874=ORIENTED_EDGE('',*,*,#218485,.T.); #302875=ORIENTED_EDGE('',*,*,#218487,.F.); #302876=ORIENTED_EDGE('',*,*,#218488,.F.); #302877=ORIENTED_EDGE('',*,*,#218489,.T.); #302878=ORIENTED_EDGE('',*,*,#218488,.T.); #302879=ORIENTED_EDGE('',*,*,#218490,.F.); #302880=ORIENTED_EDGE('',*,*,#218491,.F.); #302881=ORIENTED_EDGE('',*,*,#218492,.T.); #302882=ORIENTED_EDGE('',*,*,#218491,.T.); #302883=ORIENTED_EDGE('',*,*,#218493,.F.); #302884=ORIENTED_EDGE('',*,*,#218494,.F.); #302885=ORIENTED_EDGE('',*,*,#218495,.T.); #302886=ORIENTED_EDGE('',*,*,#218494,.T.); #302887=ORIENTED_EDGE('',*,*,#218496,.F.); #302888=ORIENTED_EDGE('',*,*,#218497,.F.); #302889=ORIENTED_EDGE('',*,*,#218498,.T.); #302890=ORIENTED_EDGE('',*,*,#218497,.T.); #302891=ORIENTED_EDGE('',*,*,#218499,.F.); #302892=ORIENTED_EDGE('',*,*,#218500,.F.); #302893=ORIENTED_EDGE('',*,*,#218501,.T.); #302894=ORIENTED_EDGE('',*,*,#218500,.T.); #302895=ORIENTED_EDGE('',*,*,#218502,.F.); #302896=ORIENTED_EDGE('',*,*,#218336,.F.); #302897=ORIENTED_EDGE('',*,*,#218502,.T.); #302898=ORIENTED_EDGE('',*,*,#218499,.T.); #302899=ORIENTED_EDGE('',*,*,#218496,.T.); #302900=ORIENTED_EDGE('',*,*,#218493,.T.); #302901=ORIENTED_EDGE('',*,*,#218490,.T.); #302902=ORIENTED_EDGE('',*,*,#218487,.T.); #302903=ORIENTED_EDGE('',*,*,#218484,.T.); #302904=ORIENTED_EDGE('',*,*,#218481,.T.); #302905=ORIENTED_EDGE('',*,*,#218478,.T.); #302906=ORIENTED_EDGE('',*,*,#218475,.T.); #302907=ORIENTED_EDGE('',*,*,#218472,.T.); #302908=ORIENTED_EDGE('',*,*,#218469,.T.); #302909=ORIENTED_EDGE('',*,*,#218466,.T.); #302910=ORIENTED_EDGE('',*,*,#218463,.T.); #302911=ORIENTED_EDGE('',*,*,#218460,.T.); #302912=ORIENTED_EDGE('',*,*,#218457,.T.); #302913=ORIENTED_EDGE('',*,*,#218454,.T.); #302914=ORIENTED_EDGE('',*,*,#218451,.T.); #302915=ORIENTED_EDGE('',*,*,#218448,.T.); #302916=ORIENTED_EDGE('',*,*,#218445,.T.); #302917=ORIENTED_EDGE('',*,*,#218442,.T.); #302918=ORIENTED_EDGE('',*,*,#218439,.T.); #302919=ORIENTED_EDGE('',*,*,#218436,.T.); #302920=ORIENTED_EDGE('',*,*,#218433,.T.); #302921=ORIENTED_EDGE('',*,*,#218430,.T.); #302922=ORIENTED_EDGE('',*,*,#218427,.T.); #302923=ORIENTED_EDGE('',*,*,#218424,.T.); #302924=ORIENTED_EDGE('',*,*,#218421,.T.); #302925=ORIENTED_EDGE('',*,*,#218418,.T.); #302926=ORIENTED_EDGE('',*,*,#218415,.T.); #302927=ORIENTED_EDGE('',*,*,#218412,.T.); #302928=ORIENTED_EDGE('',*,*,#218409,.T.); #302929=ORIENTED_EDGE('',*,*,#218406,.T.); #302930=ORIENTED_EDGE('',*,*,#218403,.T.); #302931=ORIENTED_EDGE('',*,*,#218400,.T.); #302932=ORIENTED_EDGE('',*,*,#218397,.T.); #302933=ORIENTED_EDGE('',*,*,#218394,.T.); #302934=ORIENTED_EDGE('',*,*,#218391,.T.); #302935=ORIENTED_EDGE('',*,*,#218388,.T.); #302936=ORIENTED_EDGE('',*,*,#218385,.T.); #302937=ORIENTED_EDGE('',*,*,#218382,.T.); #302938=ORIENTED_EDGE('',*,*,#218379,.T.); #302939=ORIENTED_EDGE('',*,*,#218376,.T.); #302940=ORIENTED_EDGE('',*,*,#218373,.T.); #302941=ORIENTED_EDGE('',*,*,#218370,.T.); #302942=ORIENTED_EDGE('',*,*,#218367,.T.); #302943=ORIENTED_EDGE('',*,*,#218364,.T.); #302944=ORIENTED_EDGE('',*,*,#218361,.T.); #302945=ORIENTED_EDGE('',*,*,#218358,.T.); #302946=ORIENTED_EDGE('',*,*,#218355,.T.); #302947=ORIENTED_EDGE('',*,*,#218352,.T.); #302948=ORIENTED_EDGE('',*,*,#218349,.T.); #302949=ORIENTED_EDGE('',*,*,#218346,.T.); #302950=ORIENTED_EDGE('',*,*,#218343,.T.); #302951=ORIENTED_EDGE('',*,*,#218340,.T.); #302952=ORIENTED_EDGE('',*,*,#218337,.T.); #302953=ORIENTED_EDGE('',*,*,#218330,.T.); #302954=ORIENTED_EDGE('',*,*,#218333,.T.); #302955=ORIENTED_EDGE('',*,*,#218323,.T.); #302956=ORIENTED_EDGE('',*,*,#218327,.T.); #302957=ORIENTED_EDGE('',*,*,#218501,.F.); #302958=ORIENTED_EDGE('',*,*,#218335,.F.); #302959=ORIENTED_EDGE('',*,*,#218339,.F.); #302960=ORIENTED_EDGE('',*,*,#218342,.F.); #302961=ORIENTED_EDGE('',*,*,#218345,.F.); #302962=ORIENTED_EDGE('',*,*,#218348,.F.); #302963=ORIENTED_EDGE('',*,*,#218351,.F.); #302964=ORIENTED_EDGE('',*,*,#218354,.F.); #302965=ORIENTED_EDGE('',*,*,#218357,.F.); #302966=ORIENTED_EDGE('',*,*,#218360,.F.); #302967=ORIENTED_EDGE('',*,*,#218363,.F.); #302968=ORIENTED_EDGE('',*,*,#218366,.F.); #302969=ORIENTED_EDGE('',*,*,#218369,.F.); #302970=ORIENTED_EDGE('',*,*,#218372,.F.); #302971=ORIENTED_EDGE('',*,*,#218375,.F.); #302972=ORIENTED_EDGE('',*,*,#218378,.F.); #302973=ORIENTED_EDGE('',*,*,#218381,.F.); #302974=ORIENTED_EDGE('',*,*,#218384,.F.); #302975=ORIENTED_EDGE('',*,*,#218387,.F.); #302976=ORIENTED_EDGE('',*,*,#218390,.F.); #302977=ORIENTED_EDGE('',*,*,#218393,.F.); #302978=ORIENTED_EDGE('',*,*,#218396,.F.); #302979=ORIENTED_EDGE('',*,*,#218399,.F.); #302980=ORIENTED_EDGE('',*,*,#218402,.F.); #302981=ORIENTED_EDGE('',*,*,#218405,.F.); #302982=ORIENTED_EDGE('',*,*,#218408,.F.); #302983=ORIENTED_EDGE('',*,*,#218411,.F.); #302984=ORIENTED_EDGE('',*,*,#218414,.F.); #302985=ORIENTED_EDGE('',*,*,#218417,.F.); #302986=ORIENTED_EDGE('',*,*,#218420,.F.); #302987=ORIENTED_EDGE('',*,*,#218423,.F.); #302988=ORIENTED_EDGE('',*,*,#218426,.F.); #302989=ORIENTED_EDGE('',*,*,#218429,.F.); #302990=ORIENTED_EDGE('',*,*,#218432,.F.); #302991=ORIENTED_EDGE('',*,*,#218435,.F.); #302992=ORIENTED_EDGE('',*,*,#218438,.F.); #302993=ORIENTED_EDGE('',*,*,#218441,.F.); #302994=ORIENTED_EDGE('',*,*,#218444,.F.); #302995=ORIENTED_EDGE('',*,*,#218447,.F.); #302996=ORIENTED_EDGE('',*,*,#218450,.F.); #302997=ORIENTED_EDGE('',*,*,#218453,.F.); #302998=ORIENTED_EDGE('',*,*,#218456,.F.); #302999=ORIENTED_EDGE('',*,*,#218459,.F.); #303000=ORIENTED_EDGE('',*,*,#218462,.F.); #303001=ORIENTED_EDGE('',*,*,#218465,.F.); #303002=ORIENTED_EDGE('',*,*,#218468,.F.); #303003=ORIENTED_EDGE('',*,*,#218471,.F.); #303004=ORIENTED_EDGE('',*,*,#218474,.F.); #303005=ORIENTED_EDGE('',*,*,#218477,.F.); #303006=ORIENTED_EDGE('',*,*,#218480,.F.); #303007=ORIENTED_EDGE('',*,*,#218483,.F.); #303008=ORIENTED_EDGE('',*,*,#218486,.F.); #303009=ORIENTED_EDGE('',*,*,#218489,.F.); #303010=ORIENTED_EDGE('',*,*,#218492,.F.); #303011=ORIENTED_EDGE('',*,*,#218495,.F.); #303012=ORIENTED_EDGE('',*,*,#218498,.F.); #303013=ORIENTED_EDGE('',*,*,#218331,.T.); #303014=ORIENTED_EDGE('',*,*,#218328,.T.); #303015=ORIENTED_EDGE('',*,*,#218325,.T.); #303016=ORIENTED_EDGE('',*,*,#218334,.T.); #303017=ORIENTED_EDGE('',*,*,#218503,.F.); #303018=ORIENTED_EDGE('',*,*,#218504,.T.); #303019=ORIENTED_EDGE('',*,*,#218505,.F.); #303020=ORIENTED_EDGE('',*,*,#218504,.F.); #303021=ORIENTED_EDGE('',*,*,#218506,.T.); #303022=ORIENTED_EDGE('',*,*,#218507,.T.); #303023=ORIENTED_EDGE('',*,*,#218508,.F.); #303024=ORIENTED_EDGE('',*,*,#218509,.F.); #303025=ORIENTED_EDGE('',*,*,#218510,.T.); #303026=ORIENTED_EDGE('',*,*,#218509,.T.); #303027=ORIENTED_EDGE('',*,*,#218511,.F.); #303028=ORIENTED_EDGE('',*,*,#218512,.F.); #303029=ORIENTED_EDGE('',*,*,#218513,.T.); #303030=ORIENTED_EDGE('',*,*,#218512,.T.); #303031=ORIENTED_EDGE('',*,*,#218514,.F.); #303032=ORIENTED_EDGE('',*,*,#218515,.F.); #303033=ORIENTED_EDGE('',*,*,#218516,.T.); #303034=ORIENTED_EDGE('',*,*,#218515,.T.); #303035=ORIENTED_EDGE('',*,*,#218517,.F.); #303036=ORIENTED_EDGE('',*,*,#218507,.F.); #303037=ORIENTED_EDGE('',*,*,#218517,.T.); #303038=ORIENTED_EDGE('',*,*,#218514,.T.); #303039=ORIENTED_EDGE('',*,*,#218511,.T.); #303040=ORIENTED_EDGE('',*,*,#218508,.T.); #303041=ORIENTED_EDGE('',*,*,#218505,.T.); #303042=ORIENTED_EDGE('',*,*,#218516,.F.); #303043=ORIENTED_EDGE('',*,*,#218506,.F.); #303044=ORIENTED_EDGE('',*,*,#218510,.F.); #303045=ORIENTED_EDGE('',*,*,#218513,.F.); #303046=ORIENTED_EDGE('',*,*,#218503,.T.); #303047=ORIENTED_EDGE('',*,*,#218518,.F.); #303048=ORIENTED_EDGE('',*,*,#218519,.F.); #303049=ORIENTED_EDGE('',*,*,#218520,.F.); #303050=ORIENTED_EDGE('',*,*,#218521,.T.); #303051=ORIENTED_EDGE('',*,*,#218522,.F.); #303052=ORIENTED_EDGE('',*,*,#218521,.F.); #303053=ORIENTED_EDGE('',*,*,#218523,.F.); #303054=ORIENTED_EDGE('',*,*,#218524,.T.); #303055=ORIENTED_EDGE('',*,*,#218525,.F.); #303056=ORIENTED_EDGE('',*,*,#218524,.F.); #303057=ORIENTED_EDGE('',*,*,#218526,.F.); #303058=ORIENTED_EDGE('',*,*,#218527,.T.); #303059=ORIENTED_EDGE('',*,*,#218528,.F.); #303060=ORIENTED_EDGE('',*,*,#218527,.F.); #303061=ORIENTED_EDGE('',*,*,#218529,.F.); #303062=ORIENTED_EDGE('',*,*,#218519,.T.); #303063=ORIENTED_EDGE('',*,*,#218530,.T.); #303064=ORIENTED_EDGE('',*,*,#218531,.T.); #303065=ORIENTED_EDGE('',*,*,#218532,.F.); #303066=ORIENTED_EDGE('',*,*,#218533,.F.); #303067=ORIENTED_EDGE('',*,*,#218534,.T.); #303068=ORIENTED_EDGE('',*,*,#218533,.T.); #303069=ORIENTED_EDGE('',*,*,#218535,.F.); #303070=ORIENTED_EDGE('',*,*,#218536,.F.); #303071=ORIENTED_EDGE('',*,*,#218537,.T.); #303072=ORIENTED_EDGE('',*,*,#218536,.T.); #303073=ORIENTED_EDGE('',*,*,#218538,.F.); #303074=ORIENTED_EDGE('',*,*,#218539,.F.); #303075=ORIENTED_EDGE('',*,*,#218540,.T.); #303076=ORIENTED_EDGE('',*,*,#218539,.T.); #303077=ORIENTED_EDGE('',*,*,#218541,.F.); #303078=ORIENTED_EDGE('',*,*,#218542,.F.); #303079=ORIENTED_EDGE('',*,*,#218543,.T.); #303080=ORIENTED_EDGE('',*,*,#218542,.T.); #303081=ORIENTED_EDGE('',*,*,#218544,.F.); #303082=ORIENTED_EDGE('',*,*,#218545,.F.); #303083=ORIENTED_EDGE('',*,*,#218546,.T.); #303084=ORIENTED_EDGE('',*,*,#218545,.T.); #303085=ORIENTED_EDGE('',*,*,#218547,.F.); #303086=ORIENTED_EDGE('',*,*,#218548,.F.); #303087=ORIENTED_EDGE('',*,*,#218549,.T.); #303088=ORIENTED_EDGE('',*,*,#218548,.T.); #303089=ORIENTED_EDGE('',*,*,#218550,.F.); #303090=ORIENTED_EDGE('',*,*,#218551,.F.); #303091=ORIENTED_EDGE('',*,*,#218552,.T.); #303092=ORIENTED_EDGE('',*,*,#218551,.T.); #303093=ORIENTED_EDGE('',*,*,#218553,.F.); #303094=ORIENTED_EDGE('',*,*,#218554,.F.); #303095=ORIENTED_EDGE('',*,*,#218555,.T.); #303096=ORIENTED_EDGE('',*,*,#218554,.T.); #303097=ORIENTED_EDGE('',*,*,#218556,.F.); #303098=ORIENTED_EDGE('',*,*,#218557,.F.); #303099=ORIENTED_EDGE('',*,*,#218558,.T.); #303100=ORIENTED_EDGE('',*,*,#218557,.T.); #303101=ORIENTED_EDGE('',*,*,#218559,.F.); #303102=ORIENTED_EDGE('',*,*,#218560,.F.); #303103=ORIENTED_EDGE('',*,*,#218561,.T.); #303104=ORIENTED_EDGE('',*,*,#218560,.T.); #303105=ORIENTED_EDGE('',*,*,#218562,.F.); #303106=ORIENTED_EDGE('',*,*,#218563,.F.); #303107=ORIENTED_EDGE('',*,*,#218564,.T.); #303108=ORIENTED_EDGE('',*,*,#218563,.T.); #303109=ORIENTED_EDGE('',*,*,#218565,.F.); #303110=ORIENTED_EDGE('',*,*,#218566,.F.); #303111=ORIENTED_EDGE('',*,*,#218567,.T.); #303112=ORIENTED_EDGE('',*,*,#218566,.T.); #303113=ORIENTED_EDGE('',*,*,#218568,.F.); #303114=ORIENTED_EDGE('',*,*,#218569,.F.); #303115=ORIENTED_EDGE('',*,*,#218570,.T.); #303116=ORIENTED_EDGE('',*,*,#218569,.T.); #303117=ORIENTED_EDGE('',*,*,#218571,.F.); #303118=ORIENTED_EDGE('',*,*,#218572,.F.); #303119=ORIENTED_EDGE('',*,*,#218573,.T.); #303120=ORIENTED_EDGE('',*,*,#218572,.T.); #303121=ORIENTED_EDGE('',*,*,#218574,.F.); #303122=ORIENTED_EDGE('',*,*,#218575,.F.); #303123=ORIENTED_EDGE('',*,*,#218576,.T.); #303124=ORIENTED_EDGE('',*,*,#218575,.T.); #303125=ORIENTED_EDGE('',*,*,#218577,.F.); #303126=ORIENTED_EDGE('',*,*,#218578,.F.); #303127=ORIENTED_EDGE('',*,*,#218579,.T.); #303128=ORIENTED_EDGE('',*,*,#218578,.T.); #303129=ORIENTED_EDGE('',*,*,#218580,.F.); #303130=ORIENTED_EDGE('',*,*,#218581,.F.); #303131=ORIENTED_EDGE('',*,*,#218582,.T.); #303132=ORIENTED_EDGE('',*,*,#218581,.T.); #303133=ORIENTED_EDGE('',*,*,#218583,.F.); #303134=ORIENTED_EDGE('',*,*,#218584,.F.); #303135=ORIENTED_EDGE('',*,*,#218585,.T.); #303136=ORIENTED_EDGE('',*,*,#218584,.T.); #303137=ORIENTED_EDGE('',*,*,#218586,.F.); #303138=ORIENTED_EDGE('',*,*,#218587,.F.); #303139=ORIENTED_EDGE('',*,*,#218588,.T.); #303140=ORIENTED_EDGE('',*,*,#218587,.T.); #303141=ORIENTED_EDGE('',*,*,#218589,.F.); #303142=ORIENTED_EDGE('',*,*,#218590,.F.); #303143=ORIENTED_EDGE('',*,*,#218591,.T.); #303144=ORIENTED_EDGE('',*,*,#218590,.T.); #303145=ORIENTED_EDGE('',*,*,#218592,.F.); #303146=ORIENTED_EDGE('',*,*,#218593,.F.); #303147=ORIENTED_EDGE('',*,*,#218594,.T.); #303148=ORIENTED_EDGE('',*,*,#218593,.T.); #303149=ORIENTED_EDGE('',*,*,#218595,.F.); #303150=ORIENTED_EDGE('',*,*,#218596,.F.); #303151=ORIENTED_EDGE('',*,*,#218597,.T.); #303152=ORIENTED_EDGE('',*,*,#218596,.T.); #303153=ORIENTED_EDGE('',*,*,#218598,.F.); #303154=ORIENTED_EDGE('',*,*,#218599,.F.); #303155=ORIENTED_EDGE('',*,*,#218600,.T.); #303156=ORIENTED_EDGE('',*,*,#218599,.T.); #303157=ORIENTED_EDGE('',*,*,#218601,.F.); #303158=ORIENTED_EDGE('',*,*,#218602,.F.); #303159=ORIENTED_EDGE('',*,*,#218603,.T.); #303160=ORIENTED_EDGE('',*,*,#218602,.T.); #303161=ORIENTED_EDGE('',*,*,#218604,.F.); #303162=ORIENTED_EDGE('',*,*,#218605,.F.); #303163=ORIENTED_EDGE('',*,*,#218606,.T.); #303164=ORIENTED_EDGE('',*,*,#218605,.T.); #303165=ORIENTED_EDGE('',*,*,#218607,.F.); #303166=ORIENTED_EDGE('',*,*,#218608,.F.); #303167=ORIENTED_EDGE('',*,*,#218609,.T.); #303168=ORIENTED_EDGE('',*,*,#218608,.T.); #303169=ORIENTED_EDGE('',*,*,#218610,.F.); #303170=ORIENTED_EDGE('',*,*,#218611,.F.); #303171=ORIENTED_EDGE('',*,*,#218612,.T.); #303172=ORIENTED_EDGE('',*,*,#218611,.T.); #303173=ORIENTED_EDGE('',*,*,#218613,.F.); #303174=ORIENTED_EDGE('',*,*,#218614,.F.); #303175=ORIENTED_EDGE('',*,*,#218615,.T.); #303176=ORIENTED_EDGE('',*,*,#218614,.T.); #303177=ORIENTED_EDGE('',*,*,#218616,.F.); #303178=ORIENTED_EDGE('',*,*,#218617,.F.); #303179=ORIENTED_EDGE('',*,*,#218618,.T.); #303180=ORIENTED_EDGE('',*,*,#218617,.T.); #303181=ORIENTED_EDGE('',*,*,#218619,.F.); #303182=ORIENTED_EDGE('',*,*,#218620,.F.); #303183=ORIENTED_EDGE('',*,*,#218621,.T.); #303184=ORIENTED_EDGE('',*,*,#218620,.T.); #303185=ORIENTED_EDGE('',*,*,#218622,.F.); #303186=ORIENTED_EDGE('',*,*,#218623,.F.); #303187=ORIENTED_EDGE('',*,*,#218624,.T.); #303188=ORIENTED_EDGE('',*,*,#218623,.T.); #303189=ORIENTED_EDGE('',*,*,#218625,.F.); #303190=ORIENTED_EDGE('',*,*,#218626,.F.); #303191=ORIENTED_EDGE('',*,*,#218627,.T.); #303192=ORIENTED_EDGE('',*,*,#218626,.T.); #303193=ORIENTED_EDGE('',*,*,#218628,.F.); #303194=ORIENTED_EDGE('',*,*,#218629,.F.); #303195=ORIENTED_EDGE('',*,*,#218630,.T.); #303196=ORIENTED_EDGE('',*,*,#218629,.T.); #303197=ORIENTED_EDGE('',*,*,#218631,.F.); #303198=ORIENTED_EDGE('',*,*,#218632,.F.); #303199=ORIENTED_EDGE('',*,*,#218633,.T.); #303200=ORIENTED_EDGE('',*,*,#218632,.T.); #303201=ORIENTED_EDGE('',*,*,#218634,.F.); #303202=ORIENTED_EDGE('',*,*,#218635,.F.); #303203=ORIENTED_EDGE('',*,*,#218636,.T.); #303204=ORIENTED_EDGE('',*,*,#218635,.T.); #303205=ORIENTED_EDGE('',*,*,#218637,.F.); #303206=ORIENTED_EDGE('',*,*,#218638,.F.); #303207=ORIENTED_EDGE('',*,*,#218639,.T.); #303208=ORIENTED_EDGE('',*,*,#218638,.T.); #303209=ORIENTED_EDGE('',*,*,#218640,.F.); #303210=ORIENTED_EDGE('',*,*,#218641,.F.); #303211=ORIENTED_EDGE('',*,*,#218642,.T.); #303212=ORIENTED_EDGE('',*,*,#218641,.T.); #303213=ORIENTED_EDGE('',*,*,#218643,.F.); #303214=ORIENTED_EDGE('',*,*,#218644,.F.); #303215=ORIENTED_EDGE('',*,*,#218645,.T.); #303216=ORIENTED_EDGE('',*,*,#218644,.T.); #303217=ORIENTED_EDGE('',*,*,#218646,.F.); #303218=ORIENTED_EDGE('',*,*,#218647,.F.); #303219=ORIENTED_EDGE('',*,*,#218648,.T.); #303220=ORIENTED_EDGE('',*,*,#218647,.T.); #303221=ORIENTED_EDGE('',*,*,#218649,.F.); #303222=ORIENTED_EDGE('',*,*,#218650,.F.); #303223=ORIENTED_EDGE('',*,*,#218651,.T.); #303224=ORIENTED_EDGE('',*,*,#218650,.T.); #303225=ORIENTED_EDGE('',*,*,#218652,.F.); #303226=ORIENTED_EDGE('',*,*,#218653,.F.); #303227=ORIENTED_EDGE('',*,*,#218654,.T.); #303228=ORIENTED_EDGE('',*,*,#218653,.T.); #303229=ORIENTED_EDGE('',*,*,#218655,.F.); #303230=ORIENTED_EDGE('',*,*,#218656,.F.); #303231=ORIENTED_EDGE('',*,*,#218657,.T.); #303232=ORIENTED_EDGE('',*,*,#218656,.T.); #303233=ORIENTED_EDGE('',*,*,#218658,.F.); #303234=ORIENTED_EDGE('',*,*,#218659,.F.); #303235=ORIENTED_EDGE('',*,*,#218660,.T.); #303236=ORIENTED_EDGE('',*,*,#218659,.T.); #303237=ORIENTED_EDGE('',*,*,#218661,.F.); #303238=ORIENTED_EDGE('',*,*,#218662,.F.); #303239=ORIENTED_EDGE('',*,*,#218663,.T.); #303240=ORIENTED_EDGE('',*,*,#218662,.T.); #303241=ORIENTED_EDGE('',*,*,#218664,.F.); #303242=ORIENTED_EDGE('',*,*,#218665,.F.); #303243=ORIENTED_EDGE('',*,*,#218666,.T.); #303244=ORIENTED_EDGE('',*,*,#218665,.T.); #303245=ORIENTED_EDGE('',*,*,#218667,.F.); #303246=ORIENTED_EDGE('',*,*,#218668,.F.); #303247=ORIENTED_EDGE('',*,*,#218669,.T.); #303248=ORIENTED_EDGE('',*,*,#218668,.T.); #303249=ORIENTED_EDGE('',*,*,#218670,.F.); #303250=ORIENTED_EDGE('',*,*,#218671,.F.); #303251=ORIENTED_EDGE('',*,*,#218672,.T.); #303252=ORIENTED_EDGE('',*,*,#218671,.T.); #303253=ORIENTED_EDGE('',*,*,#218673,.F.); #303254=ORIENTED_EDGE('',*,*,#218674,.F.); #303255=ORIENTED_EDGE('',*,*,#218675,.T.); #303256=ORIENTED_EDGE('',*,*,#218674,.T.); #303257=ORIENTED_EDGE('',*,*,#218676,.F.); #303258=ORIENTED_EDGE('',*,*,#218677,.F.); #303259=ORIENTED_EDGE('',*,*,#218678,.T.); #303260=ORIENTED_EDGE('',*,*,#218677,.T.); #303261=ORIENTED_EDGE('',*,*,#218679,.F.); #303262=ORIENTED_EDGE('',*,*,#218680,.F.); #303263=ORIENTED_EDGE('',*,*,#218681,.T.); #303264=ORIENTED_EDGE('',*,*,#218680,.T.); #303265=ORIENTED_EDGE('',*,*,#218682,.F.); #303266=ORIENTED_EDGE('',*,*,#218683,.F.); #303267=ORIENTED_EDGE('',*,*,#218684,.T.); #303268=ORIENTED_EDGE('',*,*,#218683,.T.); #303269=ORIENTED_EDGE('',*,*,#218685,.F.); #303270=ORIENTED_EDGE('',*,*,#218686,.F.); #303271=ORIENTED_EDGE('',*,*,#218687,.T.); #303272=ORIENTED_EDGE('',*,*,#218686,.T.); #303273=ORIENTED_EDGE('',*,*,#218688,.F.); #303274=ORIENTED_EDGE('',*,*,#218689,.F.); #303275=ORIENTED_EDGE('',*,*,#218690,.T.); #303276=ORIENTED_EDGE('',*,*,#218689,.T.); #303277=ORIENTED_EDGE('',*,*,#218691,.F.); #303278=ORIENTED_EDGE('',*,*,#218692,.F.); #303279=ORIENTED_EDGE('',*,*,#218693,.T.); #303280=ORIENTED_EDGE('',*,*,#218692,.T.); #303281=ORIENTED_EDGE('',*,*,#218694,.F.); #303282=ORIENTED_EDGE('',*,*,#218695,.F.); #303283=ORIENTED_EDGE('',*,*,#218696,.T.); #303284=ORIENTED_EDGE('',*,*,#218695,.T.); #303285=ORIENTED_EDGE('',*,*,#218697,.F.); #303286=ORIENTED_EDGE('',*,*,#218531,.F.); #303287=ORIENTED_EDGE('',*,*,#218697,.T.); #303288=ORIENTED_EDGE('',*,*,#218694,.T.); #303289=ORIENTED_EDGE('',*,*,#218691,.T.); #303290=ORIENTED_EDGE('',*,*,#218688,.T.); #303291=ORIENTED_EDGE('',*,*,#218685,.T.); #303292=ORIENTED_EDGE('',*,*,#218682,.T.); #303293=ORIENTED_EDGE('',*,*,#218679,.T.); #303294=ORIENTED_EDGE('',*,*,#218676,.T.); #303295=ORIENTED_EDGE('',*,*,#218673,.T.); #303296=ORIENTED_EDGE('',*,*,#218670,.T.); #303297=ORIENTED_EDGE('',*,*,#218667,.T.); #303298=ORIENTED_EDGE('',*,*,#218664,.T.); #303299=ORIENTED_EDGE('',*,*,#218661,.T.); #303300=ORIENTED_EDGE('',*,*,#218658,.T.); #303301=ORIENTED_EDGE('',*,*,#218655,.T.); #303302=ORIENTED_EDGE('',*,*,#218652,.T.); #303303=ORIENTED_EDGE('',*,*,#218649,.T.); #303304=ORIENTED_EDGE('',*,*,#218646,.T.); #303305=ORIENTED_EDGE('',*,*,#218643,.T.); #303306=ORIENTED_EDGE('',*,*,#218640,.T.); #303307=ORIENTED_EDGE('',*,*,#218637,.T.); #303308=ORIENTED_EDGE('',*,*,#218634,.T.); #303309=ORIENTED_EDGE('',*,*,#218631,.T.); #303310=ORIENTED_EDGE('',*,*,#218628,.T.); #303311=ORIENTED_EDGE('',*,*,#218625,.T.); #303312=ORIENTED_EDGE('',*,*,#218622,.T.); #303313=ORIENTED_EDGE('',*,*,#218619,.T.); #303314=ORIENTED_EDGE('',*,*,#218616,.T.); #303315=ORIENTED_EDGE('',*,*,#218613,.T.); #303316=ORIENTED_EDGE('',*,*,#218610,.T.); #303317=ORIENTED_EDGE('',*,*,#218607,.T.); #303318=ORIENTED_EDGE('',*,*,#218604,.T.); #303319=ORIENTED_EDGE('',*,*,#218601,.T.); #303320=ORIENTED_EDGE('',*,*,#218598,.T.); #303321=ORIENTED_EDGE('',*,*,#218595,.T.); #303322=ORIENTED_EDGE('',*,*,#218592,.T.); #303323=ORIENTED_EDGE('',*,*,#218589,.T.); #303324=ORIENTED_EDGE('',*,*,#218586,.T.); #303325=ORIENTED_EDGE('',*,*,#218583,.T.); #303326=ORIENTED_EDGE('',*,*,#218580,.T.); #303327=ORIENTED_EDGE('',*,*,#218577,.T.); #303328=ORIENTED_EDGE('',*,*,#218574,.T.); #303329=ORIENTED_EDGE('',*,*,#218571,.T.); #303330=ORIENTED_EDGE('',*,*,#218568,.T.); #303331=ORIENTED_EDGE('',*,*,#218565,.T.); #303332=ORIENTED_EDGE('',*,*,#218562,.T.); #303333=ORIENTED_EDGE('',*,*,#218559,.T.); #303334=ORIENTED_EDGE('',*,*,#218556,.T.); #303335=ORIENTED_EDGE('',*,*,#218553,.T.); #303336=ORIENTED_EDGE('',*,*,#218550,.T.); #303337=ORIENTED_EDGE('',*,*,#218547,.T.); #303338=ORIENTED_EDGE('',*,*,#218544,.T.); #303339=ORIENTED_EDGE('',*,*,#218541,.T.); #303340=ORIENTED_EDGE('',*,*,#218538,.T.); #303341=ORIENTED_EDGE('',*,*,#218535,.T.); #303342=ORIENTED_EDGE('',*,*,#218532,.T.); #303343=ORIENTED_EDGE('',*,*,#218525,.T.); #303344=ORIENTED_EDGE('',*,*,#218528,.T.); #303345=ORIENTED_EDGE('',*,*,#218518,.T.); #303346=ORIENTED_EDGE('',*,*,#218522,.T.); #303347=ORIENTED_EDGE('',*,*,#218696,.F.); #303348=ORIENTED_EDGE('',*,*,#218530,.F.); #303349=ORIENTED_EDGE('',*,*,#218534,.F.); #303350=ORIENTED_EDGE('',*,*,#218537,.F.); #303351=ORIENTED_EDGE('',*,*,#218540,.F.); #303352=ORIENTED_EDGE('',*,*,#218543,.F.); #303353=ORIENTED_EDGE('',*,*,#218546,.F.); #303354=ORIENTED_EDGE('',*,*,#218549,.F.); #303355=ORIENTED_EDGE('',*,*,#218552,.F.); #303356=ORIENTED_EDGE('',*,*,#218555,.F.); #303357=ORIENTED_EDGE('',*,*,#218558,.F.); #303358=ORIENTED_EDGE('',*,*,#218561,.F.); #303359=ORIENTED_EDGE('',*,*,#218564,.F.); #303360=ORIENTED_EDGE('',*,*,#218567,.F.); #303361=ORIENTED_EDGE('',*,*,#218570,.F.); #303362=ORIENTED_EDGE('',*,*,#218573,.F.); #303363=ORIENTED_EDGE('',*,*,#218576,.F.); #303364=ORIENTED_EDGE('',*,*,#218579,.F.); #303365=ORIENTED_EDGE('',*,*,#218582,.F.); #303366=ORIENTED_EDGE('',*,*,#218585,.F.); #303367=ORIENTED_EDGE('',*,*,#218588,.F.); #303368=ORIENTED_EDGE('',*,*,#218591,.F.); #303369=ORIENTED_EDGE('',*,*,#218594,.F.); #303370=ORIENTED_EDGE('',*,*,#218597,.F.); #303371=ORIENTED_EDGE('',*,*,#218600,.F.); #303372=ORIENTED_EDGE('',*,*,#218603,.F.); #303373=ORIENTED_EDGE('',*,*,#218606,.F.); #303374=ORIENTED_EDGE('',*,*,#218609,.F.); #303375=ORIENTED_EDGE('',*,*,#218612,.F.); #303376=ORIENTED_EDGE('',*,*,#218615,.F.); #303377=ORIENTED_EDGE('',*,*,#218618,.F.); #303378=ORIENTED_EDGE('',*,*,#218621,.F.); #303379=ORIENTED_EDGE('',*,*,#218624,.F.); #303380=ORIENTED_EDGE('',*,*,#218627,.F.); #303381=ORIENTED_EDGE('',*,*,#218630,.F.); #303382=ORIENTED_EDGE('',*,*,#218633,.F.); #303383=ORIENTED_EDGE('',*,*,#218636,.F.); #303384=ORIENTED_EDGE('',*,*,#218639,.F.); #303385=ORIENTED_EDGE('',*,*,#218642,.F.); #303386=ORIENTED_EDGE('',*,*,#218645,.F.); #303387=ORIENTED_EDGE('',*,*,#218648,.F.); #303388=ORIENTED_EDGE('',*,*,#218651,.F.); #303389=ORIENTED_EDGE('',*,*,#218654,.F.); #303390=ORIENTED_EDGE('',*,*,#218657,.F.); #303391=ORIENTED_EDGE('',*,*,#218660,.F.); #303392=ORIENTED_EDGE('',*,*,#218663,.F.); #303393=ORIENTED_EDGE('',*,*,#218666,.F.); #303394=ORIENTED_EDGE('',*,*,#218669,.F.); #303395=ORIENTED_EDGE('',*,*,#218672,.F.); #303396=ORIENTED_EDGE('',*,*,#218675,.F.); #303397=ORIENTED_EDGE('',*,*,#218678,.F.); #303398=ORIENTED_EDGE('',*,*,#218681,.F.); #303399=ORIENTED_EDGE('',*,*,#218684,.F.); #303400=ORIENTED_EDGE('',*,*,#218687,.F.); #303401=ORIENTED_EDGE('',*,*,#218690,.F.); #303402=ORIENTED_EDGE('',*,*,#218693,.F.); #303403=ORIENTED_EDGE('',*,*,#218526,.T.); #303404=ORIENTED_EDGE('',*,*,#218523,.T.); #303405=ORIENTED_EDGE('',*,*,#218520,.T.); #303406=ORIENTED_EDGE('',*,*,#218529,.T.); #303407=ORIENTED_EDGE('',*,*,#218698,.F.); #303408=ORIENTED_EDGE('',*,*,#218699,.F.); #303409=ORIENTED_EDGE('',*,*,#218700,.F.); #303410=ORIENTED_EDGE('',*,*,#218701,.T.); #303411=ORIENTED_EDGE('',*,*,#218702,.F.); #303412=ORIENTED_EDGE('',*,*,#218701,.F.); #303413=ORIENTED_EDGE('',*,*,#218703,.F.); #303414=ORIENTED_EDGE('',*,*,#218704,.T.); #303415=ORIENTED_EDGE('',*,*,#218705,.F.); #303416=ORIENTED_EDGE('',*,*,#218704,.F.); #303417=ORIENTED_EDGE('',*,*,#218706,.F.); #303418=ORIENTED_EDGE('',*,*,#218707,.T.); #303419=ORIENTED_EDGE('',*,*,#218708,.F.); #303420=ORIENTED_EDGE('',*,*,#218707,.F.); #303421=ORIENTED_EDGE('',*,*,#218709,.F.); #303422=ORIENTED_EDGE('',*,*,#218699,.T.); #303423=ORIENTED_EDGE('',*,*,#218710,.T.); #303424=ORIENTED_EDGE('',*,*,#218711,.T.); #303425=ORIENTED_EDGE('',*,*,#218712,.F.); #303426=ORIENTED_EDGE('',*,*,#218713,.F.); #303427=ORIENTED_EDGE('',*,*,#218714,.T.); #303428=ORIENTED_EDGE('',*,*,#218713,.T.); #303429=ORIENTED_EDGE('',*,*,#218715,.F.); #303430=ORIENTED_EDGE('',*,*,#218716,.F.); #303431=ORIENTED_EDGE('',*,*,#218717,.T.); #303432=ORIENTED_EDGE('',*,*,#218716,.T.); #303433=ORIENTED_EDGE('',*,*,#218718,.F.); #303434=ORIENTED_EDGE('',*,*,#218719,.F.); #303435=ORIENTED_EDGE('',*,*,#218720,.T.); #303436=ORIENTED_EDGE('',*,*,#218719,.T.); #303437=ORIENTED_EDGE('',*,*,#218721,.F.); #303438=ORIENTED_EDGE('',*,*,#218722,.F.); #303439=ORIENTED_EDGE('',*,*,#218723,.T.); #303440=ORIENTED_EDGE('',*,*,#218722,.T.); #303441=ORIENTED_EDGE('',*,*,#218724,.F.); #303442=ORIENTED_EDGE('',*,*,#218725,.F.); #303443=ORIENTED_EDGE('',*,*,#218726,.T.); #303444=ORIENTED_EDGE('',*,*,#218725,.T.); #303445=ORIENTED_EDGE('',*,*,#218727,.F.); #303446=ORIENTED_EDGE('',*,*,#218728,.F.); #303447=ORIENTED_EDGE('',*,*,#218729,.T.); #303448=ORIENTED_EDGE('',*,*,#218728,.T.); #303449=ORIENTED_EDGE('',*,*,#218730,.F.); #303450=ORIENTED_EDGE('',*,*,#218731,.F.); #303451=ORIENTED_EDGE('',*,*,#218732,.T.); #303452=ORIENTED_EDGE('',*,*,#218731,.T.); #303453=ORIENTED_EDGE('',*,*,#218733,.F.); #303454=ORIENTED_EDGE('',*,*,#218734,.F.); #303455=ORIENTED_EDGE('',*,*,#218735,.T.); #303456=ORIENTED_EDGE('',*,*,#218734,.T.); #303457=ORIENTED_EDGE('',*,*,#218736,.F.); #303458=ORIENTED_EDGE('',*,*,#218737,.F.); #303459=ORIENTED_EDGE('',*,*,#218738,.T.); #303460=ORIENTED_EDGE('',*,*,#218737,.T.); #303461=ORIENTED_EDGE('',*,*,#218739,.F.); #303462=ORIENTED_EDGE('',*,*,#218740,.F.); #303463=ORIENTED_EDGE('',*,*,#218741,.T.); #303464=ORIENTED_EDGE('',*,*,#218740,.T.); #303465=ORIENTED_EDGE('',*,*,#218742,.F.); #303466=ORIENTED_EDGE('',*,*,#218743,.F.); #303467=ORIENTED_EDGE('',*,*,#218744,.T.); #303468=ORIENTED_EDGE('',*,*,#218743,.T.); #303469=ORIENTED_EDGE('',*,*,#218745,.F.); #303470=ORIENTED_EDGE('',*,*,#218746,.F.); #303471=ORIENTED_EDGE('',*,*,#218747,.T.); #303472=ORIENTED_EDGE('',*,*,#218746,.T.); #303473=ORIENTED_EDGE('',*,*,#218748,.F.); #303474=ORIENTED_EDGE('',*,*,#218749,.F.); #303475=ORIENTED_EDGE('',*,*,#218750,.T.); #303476=ORIENTED_EDGE('',*,*,#218749,.T.); #303477=ORIENTED_EDGE('',*,*,#218751,.F.); #303478=ORIENTED_EDGE('',*,*,#218752,.F.); #303479=ORIENTED_EDGE('',*,*,#218753,.T.); #303480=ORIENTED_EDGE('',*,*,#218752,.T.); #303481=ORIENTED_EDGE('',*,*,#218754,.F.); #303482=ORIENTED_EDGE('',*,*,#218755,.F.); #303483=ORIENTED_EDGE('',*,*,#218756,.T.); #303484=ORIENTED_EDGE('',*,*,#218755,.T.); #303485=ORIENTED_EDGE('',*,*,#218757,.F.); #303486=ORIENTED_EDGE('',*,*,#218758,.F.); #303487=ORIENTED_EDGE('',*,*,#218759,.T.); #303488=ORIENTED_EDGE('',*,*,#218758,.T.); #303489=ORIENTED_EDGE('',*,*,#218760,.F.); #303490=ORIENTED_EDGE('',*,*,#218761,.F.); #303491=ORIENTED_EDGE('',*,*,#218762,.T.); #303492=ORIENTED_EDGE('',*,*,#218761,.T.); #303493=ORIENTED_EDGE('',*,*,#218763,.F.); #303494=ORIENTED_EDGE('',*,*,#218764,.F.); #303495=ORIENTED_EDGE('',*,*,#218765,.T.); #303496=ORIENTED_EDGE('',*,*,#218764,.T.); #303497=ORIENTED_EDGE('',*,*,#218766,.F.); #303498=ORIENTED_EDGE('',*,*,#218767,.F.); #303499=ORIENTED_EDGE('',*,*,#218768,.T.); #303500=ORIENTED_EDGE('',*,*,#218767,.T.); #303501=ORIENTED_EDGE('',*,*,#218769,.F.); #303502=ORIENTED_EDGE('',*,*,#218770,.F.); #303503=ORIENTED_EDGE('',*,*,#218771,.T.); #303504=ORIENTED_EDGE('',*,*,#218770,.T.); #303505=ORIENTED_EDGE('',*,*,#218772,.F.); #303506=ORIENTED_EDGE('',*,*,#218773,.F.); #303507=ORIENTED_EDGE('',*,*,#218774,.T.); #303508=ORIENTED_EDGE('',*,*,#218773,.T.); #303509=ORIENTED_EDGE('',*,*,#218775,.F.); #303510=ORIENTED_EDGE('',*,*,#218776,.F.); #303511=ORIENTED_EDGE('',*,*,#218777,.T.); #303512=ORIENTED_EDGE('',*,*,#218776,.T.); #303513=ORIENTED_EDGE('',*,*,#218778,.F.); #303514=ORIENTED_EDGE('',*,*,#218779,.F.); #303515=ORIENTED_EDGE('',*,*,#218780,.T.); #303516=ORIENTED_EDGE('',*,*,#218779,.T.); #303517=ORIENTED_EDGE('',*,*,#218781,.F.); #303518=ORIENTED_EDGE('',*,*,#218782,.F.); #303519=ORIENTED_EDGE('',*,*,#218783,.T.); #303520=ORIENTED_EDGE('',*,*,#218782,.T.); #303521=ORIENTED_EDGE('',*,*,#218784,.F.); #303522=ORIENTED_EDGE('',*,*,#218785,.F.); #303523=ORIENTED_EDGE('',*,*,#218786,.T.); #303524=ORIENTED_EDGE('',*,*,#218785,.T.); #303525=ORIENTED_EDGE('',*,*,#218787,.F.); #303526=ORIENTED_EDGE('',*,*,#218788,.F.); #303527=ORIENTED_EDGE('',*,*,#218789,.T.); #303528=ORIENTED_EDGE('',*,*,#218788,.T.); #303529=ORIENTED_EDGE('',*,*,#218790,.F.); #303530=ORIENTED_EDGE('',*,*,#218791,.F.); #303531=ORIENTED_EDGE('',*,*,#218792,.T.); #303532=ORIENTED_EDGE('',*,*,#218791,.T.); #303533=ORIENTED_EDGE('',*,*,#218793,.F.); #303534=ORIENTED_EDGE('',*,*,#218794,.F.); #303535=ORIENTED_EDGE('',*,*,#218795,.T.); #303536=ORIENTED_EDGE('',*,*,#218794,.T.); #303537=ORIENTED_EDGE('',*,*,#218796,.F.); #303538=ORIENTED_EDGE('',*,*,#218797,.F.); #303539=ORIENTED_EDGE('',*,*,#218798,.T.); #303540=ORIENTED_EDGE('',*,*,#218797,.T.); #303541=ORIENTED_EDGE('',*,*,#218799,.F.); #303542=ORIENTED_EDGE('',*,*,#218800,.F.); #303543=ORIENTED_EDGE('',*,*,#218801,.T.); #303544=ORIENTED_EDGE('',*,*,#218800,.T.); #303545=ORIENTED_EDGE('',*,*,#218802,.F.); #303546=ORIENTED_EDGE('',*,*,#218803,.F.); #303547=ORIENTED_EDGE('',*,*,#218804,.T.); #303548=ORIENTED_EDGE('',*,*,#218803,.T.); #303549=ORIENTED_EDGE('',*,*,#218805,.F.); #303550=ORIENTED_EDGE('',*,*,#218806,.F.); #303551=ORIENTED_EDGE('',*,*,#218807,.T.); #303552=ORIENTED_EDGE('',*,*,#218806,.T.); #303553=ORIENTED_EDGE('',*,*,#218808,.F.); #303554=ORIENTED_EDGE('',*,*,#218809,.F.); #303555=ORIENTED_EDGE('',*,*,#218810,.T.); #303556=ORIENTED_EDGE('',*,*,#218809,.T.); #303557=ORIENTED_EDGE('',*,*,#218811,.F.); #303558=ORIENTED_EDGE('',*,*,#218812,.F.); #303559=ORIENTED_EDGE('',*,*,#218813,.T.); #303560=ORIENTED_EDGE('',*,*,#218812,.T.); #303561=ORIENTED_EDGE('',*,*,#218814,.F.); #303562=ORIENTED_EDGE('',*,*,#218815,.F.); #303563=ORIENTED_EDGE('',*,*,#218816,.T.); #303564=ORIENTED_EDGE('',*,*,#218815,.T.); #303565=ORIENTED_EDGE('',*,*,#218817,.F.); #303566=ORIENTED_EDGE('',*,*,#218818,.F.); #303567=ORIENTED_EDGE('',*,*,#218819,.T.); #303568=ORIENTED_EDGE('',*,*,#218818,.T.); #303569=ORIENTED_EDGE('',*,*,#218820,.F.); #303570=ORIENTED_EDGE('',*,*,#218821,.F.); #303571=ORIENTED_EDGE('',*,*,#218822,.T.); #303572=ORIENTED_EDGE('',*,*,#218821,.T.); #303573=ORIENTED_EDGE('',*,*,#218823,.F.); #303574=ORIENTED_EDGE('',*,*,#218824,.F.); #303575=ORIENTED_EDGE('',*,*,#218825,.T.); #303576=ORIENTED_EDGE('',*,*,#218824,.T.); #303577=ORIENTED_EDGE('',*,*,#218826,.F.); #303578=ORIENTED_EDGE('',*,*,#218827,.F.); #303579=ORIENTED_EDGE('',*,*,#218828,.T.); #303580=ORIENTED_EDGE('',*,*,#218827,.T.); #303581=ORIENTED_EDGE('',*,*,#218829,.F.); #303582=ORIENTED_EDGE('',*,*,#218830,.F.); #303583=ORIENTED_EDGE('',*,*,#218831,.T.); #303584=ORIENTED_EDGE('',*,*,#218830,.T.); #303585=ORIENTED_EDGE('',*,*,#218832,.F.); #303586=ORIENTED_EDGE('',*,*,#218833,.F.); #303587=ORIENTED_EDGE('',*,*,#218834,.T.); #303588=ORIENTED_EDGE('',*,*,#218833,.T.); #303589=ORIENTED_EDGE('',*,*,#218835,.F.); #303590=ORIENTED_EDGE('',*,*,#218836,.F.); #303591=ORIENTED_EDGE('',*,*,#218837,.T.); #303592=ORIENTED_EDGE('',*,*,#218836,.T.); #303593=ORIENTED_EDGE('',*,*,#218838,.F.); #303594=ORIENTED_EDGE('',*,*,#218839,.F.); #303595=ORIENTED_EDGE('',*,*,#218840,.T.); #303596=ORIENTED_EDGE('',*,*,#218839,.T.); #303597=ORIENTED_EDGE('',*,*,#218841,.F.); #303598=ORIENTED_EDGE('',*,*,#218842,.F.); #303599=ORIENTED_EDGE('',*,*,#218843,.T.); #303600=ORIENTED_EDGE('',*,*,#218842,.T.); #303601=ORIENTED_EDGE('',*,*,#218844,.F.); #303602=ORIENTED_EDGE('',*,*,#218845,.F.); #303603=ORIENTED_EDGE('',*,*,#218846,.T.); #303604=ORIENTED_EDGE('',*,*,#218845,.T.); #303605=ORIENTED_EDGE('',*,*,#218847,.F.); #303606=ORIENTED_EDGE('',*,*,#218848,.F.); #303607=ORIENTED_EDGE('',*,*,#218849,.T.); #303608=ORIENTED_EDGE('',*,*,#218848,.T.); #303609=ORIENTED_EDGE('',*,*,#218850,.F.); #303610=ORIENTED_EDGE('',*,*,#218851,.F.); #303611=ORIENTED_EDGE('',*,*,#218852,.T.); #303612=ORIENTED_EDGE('',*,*,#218851,.T.); #303613=ORIENTED_EDGE('',*,*,#218853,.F.); #303614=ORIENTED_EDGE('',*,*,#218854,.F.); #303615=ORIENTED_EDGE('',*,*,#218855,.T.); #303616=ORIENTED_EDGE('',*,*,#218854,.T.); #303617=ORIENTED_EDGE('',*,*,#218856,.F.); #303618=ORIENTED_EDGE('',*,*,#218857,.F.); #303619=ORIENTED_EDGE('',*,*,#218858,.T.); #303620=ORIENTED_EDGE('',*,*,#218857,.T.); #303621=ORIENTED_EDGE('',*,*,#218859,.F.); #303622=ORIENTED_EDGE('',*,*,#218860,.F.); #303623=ORIENTED_EDGE('',*,*,#218861,.T.); #303624=ORIENTED_EDGE('',*,*,#218860,.T.); #303625=ORIENTED_EDGE('',*,*,#218862,.F.); #303626=ORIENTED_EDGE('',*,*,#218863,.F.); #303627=ORIENTED_EDGE('',*,*,#218864,.T.); #303628=ORIENTED_EDGE('',*,*,#218863,.T.); #303629=ORIENTED_EDGE('',*,*,#218865,.F.); #303630=ORIENTED_EDGE('',*,*,#218866,.F.); #303631=ORIENTED_EDGE('',*,*,#218867,.T.); #303632=ORIENTED_EDGE('',*,*,#218866,.T.); #303633=ORIENTED_EDGE('',*,*,#218868,.F.); #303634=ORIENTED_EDGE('',*,*,#218869,.F.); #303635=ORIENTED_EDGE('',*,*,#218870,.T.); #303636=ORIENTED_EDGE('',*,*,#218869,.T.); #303637=ORIENTED_EDGE('',*,*,#218871,.F.); #303638=ORIENTED_EDGE('',*,*,#218872,.F.); #303639=ORIENTED_EDGE('',*,*,#218873,.T.); #303640=ORIENTED_EDGE('',*,*,#218872,.T.); #303641=ORIENTED_EDGE('',*,*,#218874,.F.); #303642=ORIENTED_EDGE('',*,*,#218875,.F.); #303643=ORIENTED_EDGE('',*,*,#218876,.T.); #303644=ORIENTED_EDGE('',*,*,#218875,.T.); #303645=ORIENTED_EDGE('',*,*,#218877,.F.); #303646=ORIENTED_EDGE('',*,*,#218878,.F.); #303647=ORIENTED_EDGE('',*,*,#218879,.T.); #303648=ORIENTED_EDGE('',*,*,#218878,.T.); #303649=ORIENTED_EDGE('',*,*,#218880,.F.); #303650=ORIENTED_EDGE('',*,*,#218881,.F.); #303651=ORIENTED_EDGE('',*,*,#218882,.T.); #303652=ORIENTED_EDGE('',*,*,#218881,.T.); #303653=ORIENTED_EDGE('',*,*,#218883,.F.); #303654=ORIENTED_EDGE('',*,*,#218884,.F.); #303655=ORIENTED_EDGE('',*,*,#218885,.T.); #303656=ORIENTED_EDGE('',*,*,#218884,.T.); #303657=ORIENTED_EDGE('',*,*,#218886,.F.); #303658=ORIENTED_EDGE('',*,*,#218887,.F.); #303659=ORIENTED_EDGE('',*,*,#218888,.T.); #303660=ORIENTED_EDGE('',*,*,#218887,.T.); #303661=ORIENTED_EDGE('',*,*,#218889,.F.); #303662=ORIENTED_EDGE('',*,*,#218890,.F.); #303663=ORIENTED_EDGE('',*,*,#218891,.T.); #303664=ORIENTED_EDGE('',*,*,#218890,.T.); #303665=ORIENTED_EDGE('',*,*,#218892,.F.); #303666=ORIENTED_EDGE('',*,*,#218893,.F.); #303667=ORIENTED_EDGE('',*,*,#218894,.T.); #303668=ORIENTED_EDGE('',*,*,#218893,.T.); #303669=ORIENTED_EDGE('',*,*,#218895,.F.); #303670=ORIENTED_EDGE('',*,*,#218896,.F.); #303671=ORIENTED_EDGE('',*,*,#218897,.T.); #303672=ORIENTED_EDGE('',*,*,#218896,.T.); #303673=ORIENTED_EDGE('',*,*,#218898,.F.); #303674=ORIENTED_EDGE('',*,*,#218899,.F.); #303675=ORIENTED_EDGE('',*,*,#218900,.T.); #303676=ORIENTED_EDGE('',*,*,#218899,.T.); #303677=ORIENTED_EDGE('',*,*,#218901,.F.); #303678=ORIENTED_EDGE('',*,*,#218902,.F.); #303679=ORIENTED_EDGE('',*,*,#218903,.T.); #303680=ORIENTED_EDGE('',*,*,#218902,.T.); #303681=ORIENTED_EDGE('',*,*,#218904,.F.); #303682=ORIENTED_EDGE('',*,*,#218905,.F.); #303683=ORIENTED_EDGE('',*,*,#218906,.T.); #303684=ORIENTED_EDGE('',*,*,#218905,.T.); #303685=ORIENTED_EDGE('',*,*,#218907,.F.); #303686=ORIENTED_EDGE('',*,*,#218908,.F.); #303687=ORIENTED_EDGE('',*,*,#218909,.T.); #303688=ORIENTED_EDGE('',*,*,#218908,.T.); #303689=ORIENTED_EDGE('',*,*,#218910,.F.); #303690=ORIENTED_EDGE('',*,*,#218911,.F.); #303691=ORIENTED_EDGE('',*,*,#218912,.T.); #303692=ORIENTED_EDGE('',*,*,#218911,.T.); #303693=ORIENTED_EDGE('',*,*,#218913,.F.); #303694=ORIENTED_EDGE('',*,*,#218914,.F.); #303695=ORIENTED_EDGE('',*,*,#218915,.T.); #303696=ORIENTED_EDGE('',*,*,#218914,.T.); #303697=ORIENTED_EDGE('',*,*,#218916,.F.); #303698=ORIENTED_EDGE('',*,*,#218917,.F.); #303699=ORIENTED_EDGE('',*,*,#218918,.T.); #303700=ORIENTED_EDGE('',*,*,#218917,.T.); #303701=ORIENTED_EDGE('',*,*,#218919,.F.); #303702=ORIENTED_EDGE('',*,*,#218920,.F.); #303703=ORIENTED_EDGE('',*,*,#218921,.T.); #303704=ORIENTED_EDGE('',*,*,#218920,.T.); #303705=ORIENTED_EDGE('',*,*,#218922,.F.); #303706=ORIENTED_EDGE('',*,*,#218923,.F.); #303707=ORIENTED_EDGE('',*,*,#218924,.T.); #303708=ORIENTED_EDGE('',*,*,#218923,.T.); #303709=ORIENTED_EDGE('',*,*,#218925,.F.); #303710=ORIENTED_EDGE('',*,*,#218711,.F.); #303711=ORIENTED_EDGE('',*,*,#218925,.T.); #303712=ORIENTED_EDGE('',*,*,#218922,.T.); #303713=ORIENTED_EDGE('',*,*,#218919,.T.); #303714=ORIENTED_EDGE('',*,*,#218916,.T.); #303715=ORIENTED_EDGE('',*,*,#218913,.T.); #303716=ORIENTED_EDGE('',*,*,#218910,.T.); #303717=ORIENTED_EDGE('',*,*,#218907,.T.); #303718=ORIENTED_EDGE('',*,*,#218904,.T.); #303719=ORIENTED_EDGE('',*,*,#218901,.T.); #303720=ORIENTED_EDGE('',*,*,#218898,.T.); #303721=ORIENTED_EDGE('',*,*,#218895,.T.); #303722=ORIENTED_EDGE('',*,*,#218892,.T.); #303723=ORIENTED_EDGE('',*,*,#218889,.T.); #303724=ORIENTED_EDGE('',*,*,#218886,.T.); #303725=ORIENTED_EDGE('',*,*,#218883,.T.); #303726=ORIENTED_EDGE('',*,*,#218880,.T.); #303727=ORIENTED_EDGE('',*,*,#218877,.T.); #303728=ORIENTED_EDGE('',*,*,#218874,.T.); #303729=ORIENTED_EDGE('',*,*,#218871,.T.); #303730=ORIENTED_EDGE('',*,*,#218868,.T.); #303731=ORIENTED_EDGE('',*,*,#218865,.T.); #303732=ORIENTED_EDGE('',*,*,#218862,.T.); #303733=ORIENTED_EDGE('',*,*,#218859,.T.); #303734=ORIENTED_EDGE('',*,*,#218856,.T.); #303735=ORIENTED_EDGE('',*,*,#218853,.T.); #303736=ORIENTED_EDGE('',*,*,#218850,.T.); #303737=ORIENTED_EDGE('',*,*,#218847,.T.); #303738=ORIENTED_EDGE('',*,*,#218844,.T.); #303739=ORIENTED_EDGE('',*,*,#218841,.T.); #303740=ORIENTED_EDGE('',*,*,#218838,.T.); #303741=ORIENTED_EDGE('',*,*,#218835,.T.); #303742=ORIENTED_EDGE('',*,*,#218832,.T.); #303743=ORIENTED_EDGE('',*,*,#218829,.T.); #303744=ORIENTED_EDGE('',*,*,#218826,.T.); #303745=ORIENTED_EDGE('',*,*,#218823,.T.); #303746=ORIENTED_EDGE('',*,*,#218820,.T.); #303747=ORIENTED_EDGE('',*,*,#218817,.T.); #303748=ORIENTED_EDGE('',*,*,#218814,.T.); #303749=ORIENTED_EDGE('',*,*,#218811,.T.); #303750=ORIENTED_EDGE('',*,*,#218808,.T.); #303751=ORIENTED_EDGE('',*,*,#218805,.T.); #303752=ORIENTED_EDGE('',*,*,#218802,.T.); #303753=ORIENTED_EDGE('',*,*,#218799,.T.); #303754=ORIENTED_EDGE('',*,*,#218796,.T.); #303755=ORIENTED_EDGE('',*,*,#218793,.T.); #303756=ORIENTED_EDGE('',*,*,#218790,.T.); #303757=ORIENTED_EDGE('',*,*,#218787,.T.); #303758=ORIENTED_EDGE('',*,*,#218784,.T.); #303759=ORIENTED_EDGE('',*,*,#218781,.T.); #303760=ORIENTED_EDGE('',*,*,#218778,.T.); #303761=ORIENTED_EDGE('',*,*,#218775,.T.); #303762=ORIENTED_EDGE('',*,*,#218772,.T.); #303763=ORIENTED_EDGE('',*,*,#218769,.T.); #303764=ORIENTED_EDGE('',*,*,#218766,.T.); #303765=ORIENTED_EDGE('',*,*,#218763,.T.); #303766=ORIENTED_EDGE('',*,*,#218760,.T.); #303767=ORIENTED_EDGE('',*,*,#218757,.T.); #303768=ORIENTED_EDGE('',*,*,#218754,.T.); #303769=ORIENTED_EDGE('',*,*,#218751,.T.); #303770=ORIENTED_EDGE('',*,*,#218748,.T.); #303771=ORIENTED_EDGE('',*,*,#218745,.T.); #303772=ORIENTED_EDGE('',*,*,#218742,.T.); #303773=ORIENTED_EDGE('',*,*,#218739,.T.); #303774=ORIENTED_EDGE('',*,*,#218736,.T.); #303775=ORIENTED_EDGE('',*,*,#218733,.T.); #303776=ORIENTED_EDGE('',*,*,#218730,.T.); #303777=ORIENTED_EDGE('',*,*,#218727,.T.); #303778=ORIENTED_EDGE('',*,*,#218724,.T.); #303779=ORIENTED_EDGE('',*,*,#218721,.T.); #303780=ORIENTED_EDGE('',*,*,#218718,.T.); #303781=ORIENTED_EDGE('',*,*,#218715,.T.); #303782=ORIENTED_EDGE('',*,*,#218712,.T.); #303783=ORIENTED_EDGE('',*,*,#218705,.T.); #303784=ORIENTED_EDGE('',*,*,#218708,.T.); #303785=ORIENTED_EDGE('',*,*,#218698,.T.); #303786=ORIENTED_EDGE('',*,*,#218702,.T.); #303787=ORIENTED_EDGE('',*,*,#218924,.F.); #303788=ORIENTED_EDGE('',*,*,#218710,.F.); #303789=ORIENTED_EDGE('',*,*,#218714,.F.); #303790=ORIENTED_EDGE('',*,*,#218717,.F.); #303791=ORIENTED_EDGE('',*,*,#218720,.F.); #303792=ORIENTED_EDGE('',*,*,#218723,.F.); #303793=ORIENTED_EDGE('',*,*,#218726,.F.); #303794=ORIENTED_EDGE('',*,*,#218729,.F.); #303795=ORIENTED_EDGE('',*,*,#218732,.F.); #303796=ORIENTED_EDGE('',*,*,#218735,.F.); #303797=ORIENTED_EDGE('',*,*,#218738,.F.); #303798=ORIENTED_EDGE('',*,*,#218741,.F.); #303799=ORIENTED_EDGE('',*,*,#218744,.F.); #303800=ORIENTED_EDGE('',*,*,#218747,.F.); #303801=ORIENTED_EDGE('',*,*,#218750,.F.); #303802=ORIENTED_EDGE('',*,*,#218753,.F.); #303803=ORIENTED_EDGE('',*,*,#218756,.F.); #303804=ORIENTED_EDGE('',*,*,#218759,.F.); #303805=ORIENTED_EDGE('',*,*,#218762,.F.); #303806=ORIENTED_EDGE('',*,*,#218765,.F.); #303807=ORIENTED_EDGE('',*,*,#218768,.F.); #303808=ORIENTED_EDGE('',*,*,#218771,.F.); #303809=ORIENTED_EDGE('',*,*,#218774,.F.); #303810=ORIENTED_EDGE('',*,*,#218777,.F.); #303811=ORIENTED_EDGE('',*,*,#218780,.F.); #303812=ORIENTED_EDGE('',*,*,#218783,.F.); #303813=ORIENTED_EDGE('',*,*,#218786,.F.); #303814=ORIENTED_EDGE('',*,*,#218789,.F.); #303815=ORIENTED_EDGE('',*,*,#218792,.F.); #303816=ORIENTED_EDGE('',*,*,#218795,.F.); #303817=ORIENTED_EDGE('',*,*,#218798,.F.); #303818=ORIENTED_EDGE('',*,*,#218801,.F.); #303819=ORIENTED_EDGE('',*,*,#218804,.F.); #303820=ORIENTED_EDGE('',*,*,#218807,.F.); #303821=ORIENTED_EDGE('',*,*,#218810,.F.); #303822=ORIENTED_EDGE('',*,*,#218813,.F.); #303823=ORIENTED_EDGE('',*,*,#218816,.F.); #303824=ORIENTED_EDGE('',*,*,#218819,.F.); #303825=ORIENTED_EDGE('',*,*,#218822,.F.); #303826=ORIENTED_EDGE('',*,*,#218825,.F.); #303827=ORIENTED_EDGE('',*,*,#218828,.F.); #303828=ORIENTED_EDGE('',*,*,#218831,.F.); #303829=ORIENTED_EDGE('',*,*,#218834,.F.); #303830=ORIENTED_EDGE('',*,*,#218837,.F.); #303831=ORIENTED_EDGE('',*,*,#218840,.F.); #303832=ORIENTED_EDGE('',*,*,#218843,.F.); #303833=ORIENTED_EDGE('',*,*,#218846,.F.); #303834=ORIENTED_EDGE('',*,*,#218849,.F.); #303835=ORIENTED_EDGE('',*,*,#218852,.F.); #303836=ORIENTED_EDGE('',*,*,#218855,.F.); #303837=ORIENTED_EDGE('',*,*,#218858,.F.); #303838=ORIENTED_EDGE('',*,*,#218861,.F.); #303839=ORIENTED_EDGE('',*,*,#218864,.F.); #303840=ORIENTED_EDGE('',*,*,#218867,.F.); #303841=ORIENTED_EDGE('',*,*,#218870,.F.); #303842=ORIENTED_EDGE('',*,*,#218873,.F.); #303843=ORIENTED_EDGE('',*,*,#218876,.F.); #303844=ORIENTED_EDGE('',*,*,#218879,.F.); #303845=ORIENTED_EDGE('',*,*,#218882,.F.); #303846=ORIENTED_EDGE('',*,*,#218885,.F.); #303847=ORIENTED_EDGE('',*,*,#218888,.F.); #303848=ORIENTED_EDGE('',*,*,#218891,.F.); #303849=ORIENTED_EDGE('',*,*,#218894,.F.); #303850=ORIENTED_EDGE('',*,*,#218897,.F.); #303851=ORIENTED_EDGE('',*,*,#218900,.F.); #303852=ORIENTED_EDGE('',*,*,#218903,.F.); #303853=ORIENTED_EDGE('',*,*,#218906,.F.); #303854=ORIENTED_EDGE('',*,*,#218909,.F.); #303855=ORIENTED_EDGE('',*,*,#218912,.F.); #303856=ORIENTED_EDGE('',*,*,#218915,.F.); #303857=ORIENTED_EDGE('',*,*,#218918,.F.); #303858=ORIENTED_EDGE('',*,*,#218921,.F.); #303859=ORIENTED_EDGE('',*,*,#218706,.T.); #303860=ORIENTED_EDGE('',*,*,#218703,.T.); #303861=ORIENTED_EDGE('',*,*,#218700,.T.); #303862=ORIENTED_EDGE('',*,*,#218709,.T.); #303863=ORIENTED_EDGE('',*,*,#218926,.F.); #303864=ORIENTED_EDGE('',*,*,#218927,.T.); #303865=ORIENTED_EDGE('',*,*,#218928,.F.); #303866=ORIENTED_EDGE('',*,*,#218927,.F.); #303867=ORIENTED_EDGE('',*,*,#218929,.T.); #303868=ORIENTED_EDGE('',*,*,#218930,.T.); #303869=ORIENTED_EDGE('',*,*,#218931,.F.); #303870=ORIENTED_EDGE('',*,*,#218932,.F.); #303871=ORIENTED_EDGE('',*,*,#218933,.T.); #303872=ORIENTED_EDGE('',*,*,#218932,.T.); #303873=ORIENTED_EDGE('',*,*,#218934,.F.); #303874=ORIENTED_EDGE('',*,*,#218935,.F.); #303875=ORIENTED_EDGE('',*,*,#218936,.T.); #303876=ORIENTED_EDGE('',*,*,#218935,.T.); #303877=ORIENTED_EDGE('',*,*,#218937,.F.); #303878=ORIENTED_EDGE('',*,*,#218930,.F.); #303879=ORIENTED_EDGE('',*,*,#218937,.T.); #303880=ORIENTED_EDGE('',*,*,#218934,.T.); #303881=ORIENTED_EDGE('',*,*,#218931,.T.); #303882=ORIENTED_EDGE('',*,*,#218928,.T.); #303883=ORIENTED_EDGE('',*,*,#218936,.F.); #303884=ORIENTED_EDGE('',*,*,#218929,.F.); #303885=ORIENTED_EDGE('',*,*,#218933,.F.); #303886=ORIENTED_EDGE('',*,*,#218926,.T.); #303887=ORIENTED_EDGE('',*,*,#218938,.F.); #303888=ORIENTED_EDGE('',*,*,#218939,.T.); #303889=ORIENTED_EDGE('',*,*,#218940,.F.); #303890=ORIENTED_EDGE('',*,*,#218939,.F.); #303891=ORIENTED_EDGE('',*,*,#218941,.T.); #303892=ORIENTED_EDGE('',*,*,#218942,.T.); #303893=ORIENTED_EDGE('',*,*,#218943,.F.); #303894=ORIENTED_EDGE('',*,*,#218944,.F.); #303895=ORIENTED_EDGE('',*,*,#218945,.T.); #303896=ORIENTED_EDGE('',*,*,#218944,.T.); #303897=ORIENTED_EDGE('',*,*,#218946,.F.); #303898=ORIENTED_EDGE('',*,*,#218947,.F.); #303899=ORIENTED_EDGE('',*,*,#218948,.T.); #303900=ORIENTED_EDGE('',*,*,#218947,.T.); #303901=ORIENTED_EDGE('',*,*,#218949,.F.); #303902=ORIENTED_EDGE('',*,*,#218942,.F.); #303903=ORIENTED_EDGE('',*,*,#218949,.T.); #303904=ORIENTED_EDGE('',*,*,#218946,.T.); #303905=ORIENTED_EDGE('',*,*,#218943,.T.); #303906=ORIENTED_EDGE('',*,*,#218940,.T.); #303907=ORIENTED_EDGE('',*,*,#218948,.F.); #303908=ORIENTED_EDGE('',*,*,#218941,.F.); #303909=ORIENTED_EDGE('',*,*,#218945,.F.); #303910=ORIENTED_EDGE('',*,*,#218938,.T.); #303911=ORIENTED_EDGE('',*,*,#218950,.F.); #303912=ORIENTED_EDGE('',*,*,#218951,.F.); #303913=ORIENTED_EDGE('',*,*,#218952,.F.); #303914=ORIENTED_EDGE('',*,*,#218953,.T.); #303915=ORIENTED_EDGE('',*,*,#218954,.F.); #303916=ORIENTED_EDGE('',*,*,#218953,.F.); #303917=ORIENTED_EDGE('',*,*,#218955,.F.); #303918=ORIENTED_EDGE('',*,*,#218956,.T.); #303919=ORIENTED_EDGE('',*,*,#218957,.F.); #303920=ORIENTED_EDGE('',*,*,#218956,.F.); #303921=ORIENTED_EDGE('',*,*,#218958,.F.); #303922=ORIENTED_EDGE('',*,*,#218959,.T.); #303923=ORIENTED_EDGE('',*,*,#218960,.F.); #303924=ORIENTED_EDGE('',*,*,#218959,.F.); #303925=ORIENTED_EDGE('',*,*,#218961,.F.); #303926=ORIENTED_EDGE('',*,*,#218951,.T.); #303927=ORIENTED_EDGE('',*,*,#218962,.T.); #303928=ORIENTED_EDGE('',*,*,#218963,.T.); #303929=ORIENTED_EDGE('',*,*,#218964,.F.); #303930=ORIENTED_EDGE('',*,*,#218965,.F.); #303931=ORIENTED_EDGE('',*,*,#218966,.T.); #303932=ORIENTED_EDGE('',*,*,#218965,.T.); #303933=ORIENTED_EDGE('',*,*,#218967,.F.); #303934=ORIENTED_EDGE('',*,*,#218968,.F.); #303935=ORIENTED_EDGE('',*,*,#218969,.T.); #303936=ORIENTED_EDGE('',*,*,#218968,.T.); #303937=ORIENTED_EDGE('',*,*,#218970,.F.); #303938=ORIENTED_EDGE('',*,*,#218971,.F.); #303939=ORIENTED_EDGE('',*,*,#218972,.T.); #303940=ORIENTED_EDGE('',*,*,#218971,.T.); #303941=ORIENTED_EDGE('',*,*,#218973,.F.); #303942=ORIENTED_EDGE('',*,*,#218974,.F.); #303943=ORIENTED_EDGE('',*,*,#218975,.T.); #303944=ORIENTED_EDGE('',*,*,#218974,.T.); #303945=ORIENTED_EDGE('',*,*,#218976,.F.); #303946=ORIENTED_EDGE('',*,*,#218977,.F.); #303947=ORIENTED_EDGE('',*,*,#218978,.T.); #303948=ORIENTED_EDGE('',*,*,#218977,.T.); #303949=ORIENTED_EDGE('',*,*,#218979,.F.); #303950=ORIENTED_EDGE('',*,*,#218980,.F.); #303951=ORIENTED_EDGE('',*,*,#218981,.T.); #303952=ORIENTED_EDGE('',*,*,#218980,.T.); #303953=ORIENTED_EDGE('',*,*,#218982,.F.); #303954=ORIENTED_EDGE('',*,*,#218983,.F.); #303955=ORIENTED_EDGE('',*,*,#218984,.T.); #303956=ORIENTED_EDGE('',*,*,#218983,.T.); #303957=ORIENTED_EDGE('',*,*,#218985,.F.); #303958=ORIENTED_EDGE('',*,*,#218986,.F.); #303959=ORIENTED_EDGE('',*,*,#218987,.T.); #303960=ORIENTED_EDGE('',*,*,#218986,.T.); #303961=ORIENTED_EDGE('',*,*,#218988,.F.); #303962=ORIENTED_EDGE('',*,*,#218989,.F.); #303963=ORIENTED_EDGE('',*,*,#218990,.T.); #303964=ORIENTED_EDGE('',*,*,#218989,.T.); #303965=ORIENTED_EDGE('',*,*,#218991,.F.); #303966=ORIENTED_EDGE('',*,*,#218992,.F.); #303967=ORIENTED_EDGE('',*,*,#218993,.T.); #303968=ORIENTED_EDGE('',*,*,#218992,.T.); #303969=ORIENTED_EDGE('',*,*,#218994,.F.); #303970=ORIENTED_EDGE('',*,*,#218995,.F.); #303971=ORIENTED_EDGE('',*,*,#218996,.T.); #303972=ORIENTED_EDGE('',*,*,#218995,.T.); #303973=ORIENTED_EDGE('',*,*,#218997,.F.); #303974=ORIENTED_EDGE('',*,*,#218998,.F.); #303975=ORIENTED_EDGE('',*,*,#218999,.T.); #303976=ORIENTED_EDGE('',*,*,#218998,.T.); #303977=ORIENTED_EDGE('',*,*,#219000,.F.); #303978=ORIENTED_EDGE('',*,*,#219001,.F.); #303979=ORIENTED_EDGE('',*,*,#219002,.T.); #303980=ORIENTED_EDGE('',*,*,#219001,.T.); #303981=ORIENTED_EDGE('',*,*,#219003,.F.); #303982=ORIENTED_EDGE('',*,*,#219004,.F.); #303983=ORIENTED_EDGE('',*,*,#219005,.T.); #303984=ORIENTED_EDGE('',*,*,#219004,.T.); #303985=ORIENTED_EDGE('',*,*,#219006,.F.); #303986=ORIENTED_EDGE('',*,*,#219007,.F.); #303987=ORIENTED_EDGE('',*,*,#219008,.T.); #303988=ORIENTED_EDGE('',*,*,#219007,.T.); #303989=ORIENTED_EDGE('',*,*,#219009,.F.); #303990=ORIENTED_EDGE('',*,*,#219010,.F.); #303991=ORIENTED_EDGE('',*,*,#219011,.T.); #303992=ORIENTED_EDGE('',*,*,#219010,.T.); #303993=ORIENTED_EDGE('',*,*,#219012,.F.); #303994=ORIENTED_EDGE('',*,*,#219013,.F.); #303995=ORIENTED_EDGE('',*,*,#219014,.T.); #303996=ORIENTED_EDGE('',*,*,#219013,.T.); #303997=ORIENTED_EDGE('',*,*,#219015,.F.); #303998=ORIENTED_EDGE('',*,*,#219016,.F.); #303999=ORIENTED_EDGE('',*,*,#219017,.T.); #304000=ORIENTED_EDGE('',*,*,#219016,.T.); #304001=ORIENTED_EDGE('',*,*,#219018,.F.); #304002=ORIENTED_EDGE('',*,*,#219019,.F.); #304003=ORIENTED_EDGE('',*,*,#219020,.T.); #304004=ORIENTED_EDGE('',*,*,#219019,.T.); #304005=ORIENTED_EDGE('',*,*,#219021,.F.); #304006=ORIENTED_EDGE('',*,*,#219022,.F.); #304007=ORIENTED_EDGE('',*,*,#219023,.T.); #304008=ORIENTED_EDGE('',*,*,#219022,.T.); #304009=ORIENTED_EDGE('',*,*,#219024,.F.); #304010=ORIENTED_EDGE('',*,*,#219025,.F.); #304011=ORIENTED_EDGE('',*,*,#219026,.T.); #304012=ORIENTED_EDGE('',*,*,#219025,.T.); #304013=ORIENTED_EDGE('',*,*,#219027,.F.); #304014=ORIENTED_EDGE('',*,*,#219028,.F.); #304015=ORIENTED_EDGE('',*,*,#219029,.T.); #304016=ORIENTED_EDGE('',*,*,#219028,.T.); #304017=ORIENTED_EDGE('',*,*,#219030,.F.); #304018=ORIENTED_EDGE('',*,*,#219031,.F.); #304019=ORIENTED_EDGE('',*,*,#219032,.T.); #304020=ORIENTED_EDGE('',*,*,#219031,.T.); #304021=ORIENTED_EDGE('',*,*,#219033,.F.); #304022=ORIENTED_EDGE('',*,*,#219034,.F.); #304023=ORIENTED_EDGE('',*,*,#219035,.T.); #304024=ORIENTED_EDGE('',*,*,#219034,.T.); #304025=ORIENTED_EDGE('',*,*,#219036,.F.); #304026=ORIENTED_EDGE('',*,*,#219037,.F.); #304027=ORIENTED_EDGE('',*,*,#219038,.T.); #304028=ORIENTED_EDGE('',*,*,#219037,.T.); #304029=ORIENTED_EDGE('',*,*,#219039,.F.); #304030=ORIENTED_EDGE('',*,*,#219040,.F.); #304031=ORIENTED_EDGE('',*,*,#219041,.T.); #304032=ORIENTED_EDGE('',*,*,#219040,.T.); #304033=ORIENTED_EDGE('',*,*,#219042,.F.); #304034=ORIENTED_EDGE('',*,*,#219043,.F.); #304035=ORIENTED_EDGE('',*,*,#219044,.T.); #304036=ORIENTED_EDGE('',*,*,#219043,.T.); #304037=ORIENTED_EDGE('',*,*,#219045,.F.); #304038=ORIENTED_EDGE('',*,*,#219046,.F.); #304039=ORIENTED_EDGE('',*,*,#219047,.T.); #304040=ORIENTED_EDGE('',*,*,#219046,.T.); #304041=ORIENTED_EDGE('',*,*,#219048,.F.); #304042=ORIENTED_EDGE('',*,*,#219049,.F.); #304043=ORIENTED_EDGE('',*,*,#219050,.T.); #304044=ORIENTED_EDGE('',*,*,#219049,.T.); #304045=ORIENTED_EDGE('',*,*,#219051,.F.); #304046=ORIENTED_EDGE('',*,*,#219052,.F.); #304047=ORIENTED_EDGE('',*,*,#219053,.T.); #304048=ORIENTED_EDGE('',*,*,#219052,.T.); #304049=ORIENTED_EDGE('',*,*,#219054,.F.); #304050=ORIENTED_EDGE('',*,*,#219055,.F.); #304051=ORIENTED_EDGE('',*,*,#219056,.T.); #304052=ORIENTED_EDGE('',*,*,#219055,.T.); #304053=ORIENTED_EDGE('',*,*,#219057,.F.); #304054=ORIENTED_EDGE('',*,*,#219058,.F.); #304055=ORIENTED_EDGE('',*,*,#219059,.T.); #304056=ORIENTED_EDGE('',*,*,#219058,.T.); #304057=ORIENTED_EDGE('',*,*,#219060,.F.); #304058=ORIENTED_EDGE('',*,*,#219061,.F.); #304059=ORIENTED_EDGE('',*,*,#219062,.T.); #304060=ORIENTED_EDGE('',*,*,#219061,.T.); #304061=ORIENTED_EDGE('',*,*,#219063,.F.); #304062=ORIENTED_EDGE('',*,*,#219064,.F.); #304063=ORIENTED_EDGE('',*,*,#219065,.T.); #304064=ORIENTED_EDGE('',*,*,#219064,.T.); #304065=ORIENTED_EDGE('',*,*,#219066,.F.); #304066=ORIENTED_EDGE('',*,*,#219067,.F.); #304067=ORIENTED_EDGE('',*,*,#219068,.T.); #304068=ORIENTED_EDGE('',*,*,#219067,.T.); #304069=ORIENTED_EDGE('',*,*,#219069,.F.); #304070=ORIENTED_EDGE('',*,*,#219070,.F.); #304071=ORIENTED_EDGE('',*,*,#219071,.T.); #304072=ORIENTED_EDGE('',*,*,#219070,.T.); #304073=ORIENTED_EDGE('',*,*,#219072,.F.); #304074=ORIENTED_EDGE('',*,*,#219073,.F.); #304075=ORIENTED_EDGE('',*,*,#219074,.T.); #304076=ORIENTED_EDGE('',*,*,#219073,.T.); #304077=ORIENTED_EDGE('',*,*,#219075,.F.); #304078=ORIENTED_EDGE('',*,*,#219076,.F.); #304079=ORIENTED_EDGE('',*,*,#219077,.T.); #304080=ORIENTED_EDGE('',*,*,#219076,.T.); #304081=ORIENTED_EDGE('',*,*,#219078,.F.); #304082=ORIENTED_EDGE('',*,*,#219079,.F.); #304083=ORIENTED_EDGE('',*,*,#219080,.T.); #304084=ORIENTED_EDGE('',*,*,#219079,.T.); #304085=ORIENTED_EDGE('',*,*,#219081,.F.); #304086=ORIENTED_EDGE('',*,*,#219082,.F.); #304087=ORIENTED_EDGE('',*,*,#219083,.T.); #304088=ORIENTED_EDGE('',*,*,#219082,.T.); #304089=ORIENTED_EDGE('',*,*,#219084,.F.); #304090=ORIENTED_EDGE('',*,*,#219085,.F.); #304091=ORIENTED_EDGE('',*,*,#219086,.T.); #304092=ORIENTED_EDGE('',*,*,#219085,.T.); #304093=ORIENTED_EDGE('',*,*,#219087,.F.); #304094=ORIENTED_EDGE('',*,*,#219088,.F.); #304095=ORIENTED_EDGE('',*,*,#219089,.T.); #304096=ORIENTED_EDGE('',*,*,#219088,.T.); #304097=ORIENTED_EDGE('',*,*,#219090,.F.); #304098=ORIENTED_EDGE('',*,*,#219091,.F.); #304099=ORIENTED_EDGE('',*,*,#219092,.T.); #304100=ORIENTED_EDGE('',*,*,#219091,.T.); #304101=ORIENTED_EDGE('',*,*,#219093,.F.); #304102=ORIENTED_EDGE('',*,*,#219094,.F.); #304103=ORIENTED_EDGE('',*,*,#219095,.T.); #304104=ORIENTED_EDGE('',*,*,#219094,.T.); #304105=ORIENTED_EDGE('',*,*,#219096,.F.); #304106=ORIENTED_EDGE('',*,*,#219097,.F.); #304107=ORIENTED_EDGE('',*,*,#219098,.T.); #304108=ORIENTED_EDGE('',*,*,#219097,.T.); #304109=ORIENTED_EDGE('',*,*,#219099,.F.); #304110=ORIENTED_EDGE('',*,*,#219100,.F.); #304111=ORIENTED_EDGE('',*,*,#219101,.T.); #304112=ORIENTED_EDGE('',*,*,#219100,.T.); #304113=ORIENTED_EDGE('',*,*,#219102,.F.); #304114=ORIENTED_EDGE('',*,*,#219103,.F.); #304115=ORIENTED_EDGE('',*,*,#219104,.T.); #304116=ORIENTED_EDGE('',*,*,#219103,.T.); #304117=ORIENTED_EDGE('',*,*,#219105,.F.); #304118=ORIENTED_EDGE('',*,*,#219106,.F.); #304119=ORIENTED_EDGE('',*,*,#219107,.T.); #304120=ORIENTED_EDGE('',*,*,#219106,.T.); #304121=ORIENTED_EDGE('',*,*,#219108,.F.); #304122=ORIENTED_EDGE('',*,*,#219109,.F.); #304123=ORIENTED_EDGE('',*,*,#219110,.T.); #304124=ORIENTED_EDGE('',*,*,#219109,.T.); #304125=ORIENTED_EDGE('',*,*,#219111,.F.); #304126=ORIENTED_EDGE('',*,*,#219112,.F.); #304127=ORIENTED_EDGE('',*,*,#219113,.T.); #304128=ORIENTED_EDGE('',*,*,#219112,.T.); #304129=ORIENTED_EDGE('',*,*,#219114,.F.); #304130=ORIENTED_EDGE('',*,*,#219115,.F.); #304131=ORIENTED_EDGE('',*,*,#219116,.T.); #304132=ORIENTED_EDGE('',*,*,#219115,.T.); #304133=ORIENTED_EDGE('',*,*,#219117,.F.); #304134=ORIENTED_EDGE('',*,*,#219118,.F.); #304135=ORIENTED_EDGE('',*,*,#219119,.T.); #304136=ORIENTED_EDGE('',*,*,#219118,.T.); #304137=ORIENTED_EDGE('',*,*,#219120,.F.); #304138=ORIENTED_EDGE('',*,*,#219121,.F.); #304139=ORIENTED_EDGE('',*,*,#219122,.T.); #304140=ORIENTED_EDGE('',*,*,#219121,.T.); #304141=ORIENTED_EDGE('',*,*,#219123,.F.); #304142=ORIENTED_EDGE('',*,*,#219124,.F.); #304143=ORIENTED_EDGE('',*,*,#219125,.T.); #304144=ORIENTED_EDGE('',*,*,#219124,.T.); #304145=ORIENTED_EDGE('',*,*,#219126,.F.); #304146=ORIENTED_EDGE('',*,*,#219127,.F.); #304147=ORIENTED_EDGE('',*,*,#219128,.T.); #304148=ORIENTED_EDGE('',*,*,#219127,.T.); #304149=ORIENTED_EDGE('',*,*,#219129,.F.); #304150=ORIENTED_EDGE('',*,*,#218963,.F.); #304151=ORIENTED_EDGE('',*,*,#219129,.T.); #304152=ORIENTED_EDGE('',*,*,#219126,.T.); #304153=ORIENTED_EDGE('',*,*,#219123,.T.); #304154=ORIENTED_EDGE('',*,*,#219120,.T.); #304155=ORIENTED_EDGE('',*,*,#219117,.T.); #304156=ORIENTED_EDGE('',*,*,#219114,.T.); #304157=ORIENTED_EDGE('',*,*,#219111,.T.); #304158=ORIENTED_EDGE('',*,*,#219108,.T.); #304159=ORIENTED_EDGE('',*,*,#219105,.T.); #304160=ORIENTED_EDGE('',*,*,#219102,.T.); #304161=ORIENTED_EDGE('',*,*,#219099,.T.); #304162=ORIENTED_EDGE('',*,*,#219096,.T.); #304163=ORIENTED_EDGE('',*,*,#219093,.T.); #304164=ORIENTED_EDGE('',*,*,#219090,.T.); #304165=ORIENTED_EDGE('',*,*,#219087,.T.); #304166=ORIENTED_EDGE('',*,*,#219084,.T.); #304167=ORIENTED_EDGE('',*,*,#219081,.T.); #304168=ORIENTED_EDGE('',*,*,#219078,.T.); #304169=ORIENTED_EDGE('',*,*,#219075,.T.); #304170=ORIENTED_EDGE('',*,*,#219072,.T.); #304171=ORIENTED_EDGE('',*,*,#219069,.T.); #304172=ORIENTED_EDGE('',*,*,#219066,.T.); #304173=ORIENTED_EDGE('',*,*,#219063,.T.); #304174=ORIENTED_EDGE('',*,*,#219060,.T.); #304175=ORIENTED_EDGE('',*,*,#219057,.T.); #304176=ORIENTED_EDGE('',*,*,#219054,.T.); #304177=ORIENTED_EDGE('',*,*,#219051,.T.); #304178=ORIENTED_EDGE('',*,*,#219048,.T.); #304179=ORIENTED_EDGE('',*,*,#219045,.T.); #304180=ORIENTED_EDGE('',*,*,#219042,.T.); #304181=ORIENTED_EDGE('',*,*,#219039,.T.); #304182=ORIENTED_EDGE('',*,*,#219036,.T.); #304183=ORIENTED_EDGE('',*,*,#219033,.T.); #304184=ORIENTED_EDGE('',*,*,#219030,.T.); #304185=ORIENTED_EDGE('',*,*,#219027,.T.); #304186=ORIENTED_EDGE('',*,*,#219024,.T.); #304187=ORIENTED_EDGE('',*,*,#219021,.T.); #304188=ORIENTED_EDGE('',*,*,#219018,.T.); #304189=ORIENTED_EDGE('',*,*,#219015,.T.); #304190=ORIENTED_EDGE('',*,*,#219012,.T.); #304191=ORIENTED_EDGE('',*,*,#219009,.T.); #304192=ORIENTED_EDGE('',*,*,#219006,.T.); #304193=ORIENTED_EDGE('',*,*,#219003,.T.); #304194=ORIENTED_EDGE('',*,*,#219000,.T.); #304195=ORIENTED_EDGE('',*,*,#218997,.T.); #304196=ORIENTED_EDGE('',*,*,#218994,.T.); #304197=ORIENTED_EDGE('',*,*,#218991,.T.); #304198=ORIENTED_EDGE('',*,*,#218988,.T.); #304199=ORIENTED_EDGE('',*,*,#218985,.T.); #304200=ORIENTED_EDGE('',*,*,#218982,.T.); #304201=ORIENTED_EDGE('',*,*,#218979,.T.); #304202=ORIENTED_EDGE('',*,*,#218976,.T.); #304203=ORIENTED_EDGE('',*,*,#218973,.T.); #304204=ORIENTED_EDGE('',*,*,#218970,.T.); #304205=ORIENTED_EDGE('',*,*,#218967,.T.); #304206=ORIENTED_EDGE('',*,*,#218964,.T.); #304207=ORIENTED_EDGE('',*,*,#218957,.T.); #304208=ORIENTED_EDGE('',*,*,#218960,.T.); #304209=ORIENTED_EDGE('',*,*,#218950,.T.); #304210=ORIENTED_EDGE('',*,*,#218954,.T.); #304211=ORIENTED_EDGE('',*,*,#219128,.F.); #304212=ORIENTED_EDGE('',*,*,#218962,.F.); #304213=ORIENTED_EDGE('',*,*,#218966,.F.); #304214=ORIENTED_EDGE('',*,*,#218969,.F.); #304215=ORIENTED_EDGE('',*,*,#218972,.F.); #304216=ORIENTED_EDGE('',*,*,#218975,.F.); #304217=ORIENTED_EDGE('',*,*,#218978,.F.); #304218=ORIENTED_EDGE('',*,*,#218981,.F.); #304219=ORIENTED_EDGE('',*,*,#218984,.F.); #304220=ORIENTED_EDGE('',*,*,#218987,.F.); #304221=ORIENTED_EDGE('',*,*,#218990,.F.); #304222=ORIENTED_EDGE('',*,*,#218993,.F.); #304223=ORIENTED_EDGE('',*,*,#218996,.F.); #304224=ORIENTED_EDGE('',*,*,#218999,.F.); #304225=ORIENTED_EDGE('',*,*,#219002,.F.); #304226=ORIENTED_EDGE('',*,*,#219005,.F.); #304227=ORIENTED_EDGE('',*,*,#219008,.F.); #304228=ORIENTED_EDGE('',*,*,#219011,.F.); #304229=ORIENTED_EDGE('',*,*,#219014,.F.); #304230=ORIENTED_EDGE('',*,*,#219017,.F.); #304231=ORIENTED_EDGE('',*,*,#219020,.F.); #304232=ORIENTED_EDGE('',*,*,#219023,.F.); #304233=ORIENTED_EDGE('',*,*,#219026,.F.); #304234=ORIENTED_EDGE('',*,*,#219029,.F.); #304235=ORIENTED_EDGE('',*,*,#219032,.F.); #304236=ORIENTED_EDGE('',*,*,#219035,.F.); #304237=ORIENTED_EDGE('',*,*,#219038,.F.); #304238=ORIENTED_EDGE('',*,*,#219041,.F.); #304239=ORIENTED_EDGE('',*,*,#219044,.F.); #304240=ORIENTED_EDGE('',*,*,#219047,.F.); #304241=ORIENTED_EDGE('',*,*,#219050,.F.); #304242=ORIENTED_EDGE('',*,*,#219053,.F.); #304243=ORIENTED_EDGE('',*,*,#219056,.F.); #304244=ORIENTED_EDGE('',*,*,#219059,.F.); #304245=ORIENTED_EDGE('',*,*,#219062,.F.); #304246=ORIENTED_EDGE('',*,*,#219065,.F.); #304247=ORIENTED_EDGE('',*,*,#219068,.F.); #304248=ORIENTED_EDGE('',*,*,#219071,.F.); #304249=ORIENTED_EDGE('',*,*,#219074,.F.); #304250=ORIENTED_EDGE('',*,*,#219077,.F.); #304251=ORIENTED_EDGE('',*,*,#219080,.F.); #304252=ORIENTED_EDGE('',*,*,#219083,.F.); #304253=ORIENTED_EDGE('',*,*,#219086,.F.); #304254=ORIENTED_EDGE('',*,*,#219089,.F.); #304255=ORIENTED_EDGE('',*,*,#219092,.F.); #304256=ORIENTED_EDGE('',*,*,#219095,.F.); #304257=ORIENTED_EDGE('',*,*,#219098,.F.); #304258=ORIENTED_EDGE('',*,*,#219101,.F.); #304259=ORIENTED_EDGE('',*,*,#219104,.F.); #304260=ORIENTED_EDGE('',*,*,#219107,.F.); #304261=ORIENTED_EDGE('',*,*,#219110,.F.); #304262=ORIENTED_EDGE('',*,*,#219113,.F.); #304263=ORIENTED_EDGE('',*,*,#219116,.F.); #304264=ORIENTED_EDGE('',*,*,#219119,.F.); #304265=ORIENTED_EDGE('',*,*,#219122,.F.); #304266=ORIENTED_EDGE('',*,*,#219125,.F.); #304267=ORIENTED_EDGE('',*,*,#218958,.T.); #304268=ORIENTED_EDGE('',*,*,#218955,.T.); #304269=ORIENTED_EDGE('',*,*,#218952,.T.); #304270=ORIENTED_EDGE('',*,*,#218961,.T.); #304271=ORIENTED_EDGE('',*,*,#219130,.F.); #304272=ORIENTED_EDGE('',*,*,#219131,.T.); #304273=ORIENTED_EDGE('',*,*,#219132,.F.); #304274=ORIENTED_EDGE('',*,*,#219131,.F.); #304275=ORIENTED_EDGE('',*,*,#219133,.T.); #304276=ORIENTED_EDGE('',*,*,#219134,.T.); #304277=ORIENTED_EDGE('',*,*,#219135,.F.); #304278=ORIENTED_EDGE('',*,*,#219136,.F.); #304279=ORIENTED_EDGE('',*,*,#219137,.T.); #304280=ORIENTED_EDGE('',*,*,#219136,.T.); #304281=ORIENTED_EDGE('',*,*,#219138,.F.); #304282=ORIENTED_EDGE('',*,*,#219139,.F.); #304283=ORIENTED_EDGE('',*,*,#219140,.T.); #304284=ORIENTED_EDGE('',*,*,#219139,.T.); #304285=ORIENTED_EDGE('',*,*,#219141,.F.); #304286=ORIENTED_EDGE('',*,*,#219134,.F.); #304287=ORIENTED_EDGE('',*,*,#219141,.T.); #304288=ORIENTED_EDGE('',*,*,#219138,.T.); #304289=ORIENTED_EDGE('',*,*,#219135,.T.); #304290=ORIENTED_EDGE('',*,*,#219132,.T.); #304291=ORIENTED_EDGE('',*,*,#219140,.F.); #304292=ORIENTED_EDGE('',*,*,#219133,.F.); #304293=ORIENTED_EDGE('',*,*,#219137,.F.); #304294=ORIENTED_EDGE('',*,*,#219130,.T.); #304295=ORIENTED_EDGE('',*,*,#219142,.F.); #304296=ORIENTED_EDGE('',*,*,#219143,.T.); #304297=ORIENTED_EDGE('',*,*,#219144,.F.); #304298=ORIENTED_EDGE('',*,*,#219143,.F.); #304299=ORIENTED_EDGE('',*,*,#219145,.T.); #304300=ORIENTED_EDGE('',*,*,#219146,.T.); #304301=ORIENTED_EDGE('',*,*,#219147,.F.); #304302=ORIENTED_EDGE('',*,*,#219148,.F.); #304303=ORIENTED_EDGE('',*,*,#219149,.T.); #304304=ORIENTED_EDGE('',*,*,#219148,.T.); #304305=ORIENTED_EDGE('',*,*,#219150,.F.); #304306=ORIENTED_EDGE('',*,*,#219151,.F.); #304307=ORIENTED_EDGE('',*,*,#219152,.T.); #304308=ORIENTED_EDGE('',*,*,#219151,.T.); #304309=ORIENTED_EDGE('',*,*,#219153,.F.); #304310=ORIENTED_EDGE('',*,*,#219146,.F.); #304311=ORIENTED_EDGE('',*,*,#219153,.T.); #304312=ORIENTED_EDGE('',*,*,#219150,.T.); #304313=ORIENTED_EDGE('',*,*,#219147,.T.); #304314=ORIENTED_EDGE('',*,*,#219144,.T.); #304315=ORIENTED_EDGE('',*,*,#219152,.F.); #304316=ORIENTED_EDGE('',*,*,#219145,.F.); #304317=ORIENTED_EDGE('',*,*,#219149,.F.); #304318=ORIENTED_EDGE('',*,*,#219142,.T.); #304319=ORIENTED_EDGE('',*,*,#219154,.F.); #304320=ORIENTED_EDGE('',*,*,#219155,.T.); #304321=ORIENTED_EDGE('',*,*,#219156,.F.); #304322=ORIENTED_EDGE('',*,*,#219155,.F.); #304323=ORIENTED_EDGE('',*,*,#219157,.T.); #304324=ORIENTED_EDGE('',*,*,#219158,.T.); #304325=ORIENTED_EDGE('',*,*,#219159,.F.); #304326=ORIENTED_EDGE('',*,*,#219160,.F.); #304327=ORIENTED_EDGE('',*,*,#219161,.T.); #304328=ORIENTED_EDGE('',*,*,#219160,.T.); #304329=ORIENTED_EDGE('',*,*,#219162,.F.); #304330=ORIENTED_EDGE('',*,*,#219163,.F.); #304331=ORIENTED_EDGE('',*,*,#219164,.T.); #304332=ORIENTED_EDGE('',*,*,#219163,.T.); #304333=ORIENTED_EDGE('',*,*,#219165,.F.); #304334=ORIENTED_EDGE('',*,*,#219158,.F.); #304335=ORIENTED_EDGE('',*,*,#219165,.T.); #304336=ORIENTED_EDGE('',*,*,#219162,.T.); #304337=ORIENTED_EDGE('',*,*,#219159,.T.); #304338=ORIENTED_EDGE('',*,*,#219156,.T.); #304339=ORIENTED_EDGE('',*,*,#219164,.F.); #304340=ORIENTED_EDGE('',*,*,#219157,.F.); #304341=ORIENTED_EDGE('',*,*,#219161,.F.); #304342=ORIENTED_EDGE('',*,*,#219154,.T.); #304343=ORIENTED_EDGE('',*,*,#219166,.F.); #304344=ORIENTED_EDGE('',*,*,#219167,.T.); #304345=ORIENTED_EDGE('',*,*,#219168,.F.); #304346=ORIENTED_EDGE('',*,*,#219167,.F.); #304347=ORIENTED_EDGE('',*,*,#219169,.T.); #304348=ORIENTED_EDGE('',*,*,#219170,.T.); #304349=ORIENTED_EDGE('',*,*,#219171,.F.); #304350=ORIENTED_EDGE('',*,*,#219172,.F.); #304351=ORIENTED_EDGE('',*,*,#219173,.T.); #304352=ORIENTED_EDGE('',*,*,#219172,.T.); #304353=ORIENTED_EDGE('',*,*,#219174,.F.); #304354=ORIENTED_EDGE('',*,*,#219175,.F.); #304355=ORIENTED_EDGE('',*,*,#219176,.T.); #304356=ORIENTED_EDGE('',*,*,#219175,.T.); #304357=ORIENTED_EDGE('',*,*,#219177,.F.); #304358=ORIENTED_EDGE('',*,*,#219178,.F.); #304359=ORIENTED_EDGE('',*,*,#219179,.T.); #304360=ORIENTED_EDGE('',*,*,#219178,.T.); #304361=ORIENTED_EDGE('',*,*,#219180,.F.); #304362=ORIENTED_EDGE('',*,*,#219170,.F.); #304363=ORIENTED_EDGE('',*,*,#219180,.T.); #304364=ORIENTED_EDGE('',*,*,#219177,.T.); #304365=ORIENTED_EDGE('',*,*,#219174,.T.); #304366=ORIENTED_EDGE('',*,*,#219171,.T.); #304367=ORIENTED_EDGE('',*,*,#219168,.T.); #304368=ORIENTED_EDGE('',*,*,#219179,.F.); #304369=ORIENTED_EDGE('',*,*,#219169,.F.); #304370=ORIENTED_EDGE('',*,*,#219173,.F.); #304371=ORIENTED_EDGE('',*,*,#219176,.F.); #304372=ORIENTED_EDGE('',*,*,#219166,.T.); #304373=ORIENTED_EDGE('',*,*,#219181,.F.); #304374=ORIENTED_EDGE('',*,*,#219182,.T.); #304375=ORIENTED_EDGE('',*,*,#219183,.F.); #304376=ORIENTED_EDGE('',*,*,#219182,.F.); #304377=ORIENTED_EDGE('',*,*,#219184,.T.); #304378=ORIENTED_EDGE('',*,*,#219185,.T.); #304379=ORIENTED_EDGE('',*,*,#219186,.F.); #304380=ORIENTED_EDGE('',*,*,#219187,.F.); #304381=ORIENTED_EDGE('',*,*,#219188,.T.); #304382=ORIENTED_EDGE('',*,*,#219187,.T.); #304383=ORIENTED_EDGE('',*,*,#219189,.F.); #304384=ORIENTED_EDGE('',*,*,#219190,.F.); #304385=ORIENTED_EDGE('',*,*,#219191,.T.); #304386=ORIENTED_EDGE('',*,*,#219190,.T.); #304387=ORIENTED_EDGE('',*,*,#219192,.F.); #304388=ORIENTED_EDGE('',*,*,#219185,.F.); #304389=ORIENTED_EDGE('',*,*,#219192,.T.); #304390=ORIENTED_EDGE('',*,*,#219189,.T.); #304391=ORIENTED_EDGE('',*,*,#219186,.T.); #304392=ORIENTED_EDGE('',*,*,#219183,.T.); #304393=ORIENTED_EDGE('',*,*,#219191,.F.); #304394=ORIENTED_EDGE('',*,*,#219184,.F.); #304395=ORIENTED_EDGE('',*,*,#219188,.F.); #304396=ORIENTED_EDGE('',*,*,#219181,.T.); #304397=ORIENTED_EDGE('',*,*,#219193,.T.); #304398=ORIENTED_EDGE('',*,*,#219194,.T.); #304399=ORIENTED_EDGE('',*,*,#219195,.F.); #304400=ORIENTED_EDGE('',*,*,#219196,.F.); #304401=ORIENTED_EDGE('',*,*,#219197,.T.); #304402=ORIENTED_EDGE('',*,*,#219196,.T.); #304403=ORIENTED_EDGE('',*,*,#219198,.F.); #304404=ORIENTED_EDGE('',*,*,#219199,.F.); #304405=ORIENTED_EDGE('',*,*,#219200,.T.); #304406=ORIENTED_EDGE('',*,*,#219199,.T.); #304407=ORIENTED_EDGE('',*,*,#219201,.F.); #304408=ORIENTED_EDGE('',*,*,#219202,.F.); #304409=ORIENTED_EDGE('',*,*,#219203,.T.); #304410=ORIENTED_EDGE('',*,*,#219202,.T.); #304411=ORIENTED_EDGE('',*,*,#219204,.F.); #304412=ORIENTED_EDGE('',*,*,#219194,.F.); #304413=ORIENTED_EDGE('',*,*,#219204,.T.); #304414=ORIENTED_EDGE('',*,*,#219201,.T.); #304415=ORIENTED_EDGE('',*,*,#219198,.T.); #304416=ORIENTED_EDGE('',*,*,#219195,.T.); #304417=ORIENTED_EDGE('',*,*,#219203,.F.); #304418=ORIENTED_EDGE('',*,*,#219193,.F.); #304419=ORIENTED_EDGE('',*,*,#219197,.F.); #304420=ORIENTED_EDGE('',*,*,#219200,.F.); #304421=ORIENTED_EDGE('',*,*,#219205,.T.); #304422=ORIENTED_EDGE('',*,*,#219206,.T.); #304423=ORIENTED_EDGE('',*,*,#219207,.F.); #304424=ORIENTED_EDGE('',*,*,#219208,.F.); #304425=ORIENTED_EDGE('',*,*,#219209,.T.); #304426=ORIENTED_EDGE('',*,*,#219208,.T.); #304427=ORIENTED_EDGE('',*,*,#219210,.F.); #304428=ORIENTED_EDGE('',*,*,#219211,.F.); #304429=ORIENTED_EDGE('',*,*,#219212,.T.); #304430=ORIENTED_EDGE('',*,*,#219211,.T.); #304431=ORIENTED_EDGE('',*,*,#219213,.F.); #304432=ORIENTED_EDGE('',*,*,#219214,.F.); #304433=ORIENTED_EDGE('',*,*,#219215,.T.); #304434=ORIENTED_EDGE('',*,*,#219214,.T.); #304435=ORIENTED_EDGE('',*,*,#219216,.F.); #304436=ORIENTED_EDGE('',*,*,#219206,.F.); #304437=ORIENTED_EDGE('',*,*,#219216,.T.); #304438=ORIENTED_EDGE('',*,*,#219213,.T.); #304439=ORIENTED_EDGE('',*,*,#219210,.T.); #304440=ORIENTED_EDGE('',*,*,#219207,.T.); #304441=ORIENTED_EDGE('',*,*,#219215,.F.); #304442=ORIENTED_EDGE('',*,*,#219205,.F.); #304443=ORIENTED_EDGE('',*,*,#219209,.F.); #304444=ORIENTED_EDGE('',*,*,#219212,.F.); #304445=ORIENTED_EDGE('',*,*,#219217,.T.); #304446=ORIENTED_EDGE('',*,*,#219218,.T.); #304447=ORIENTED_EDGE('',*,*,#219219,.F.); #304448=ORIENTED_EDGE('',*,*,#219220,.F.); #304449=ORIENTED_EDGE('',*,*,#219221,.T.); #304450=ORIENTED_EDGE('',*,*,#219220,.T.); #304451=ORIENTED_EDGE('',*,*,#219222,.F.); #304452=ORIENTED_EDGE('',*,*,#219223,.F.); #304453=ORIENTED_EDGE('',*,*,#219224,.T.); #304454=ORIENTED_EDGE('',*,*,#219223,.T.); #304455=ORIENTED_EDGE('',*,*,#219225,.F.); #304456=ORIENTED_EDGE('',*,*,#219226,.F.); #304457=ORIENTED_EDGE('',*,*,#219227,.T.); #304458=ORIENTED_EDGE('',*,*,#219226,.T.); #304459=ORIENTED_EDGE('',*,*,#219228,.F.); #304460=ORIENTED_EDGE('',*,*,#219218,.F.); #304461=ORIENTED_EDGE('',*,*,#219228,.T.); #304462=ORIENTED_EDGE('',*,*,#219225,.T.); #304463=ORIENTED_EDGE('',*,*,#219222,.T.); #304464=ORIENTED_EDGE('',*,*,#219219,.T.); #304465=ORIENTED_EDGE('',*,*,#219227,.F.); #304466=ORIENTED_EDGE('',*,*,#219217,.F.); #304467=ORIENTED_EDGE('',*,*,#219221,.F.); #304468=ORIENTED_EDGE('',*,*,#219224,.F.); #304469=ORIENTED_EDGE('',*,*,#219229,.T.); #304470=ORIENTED_EDGE('',*,*,#219230,.T.); #304471=ORIENTED_EDGE('',*,*,#219231,.F.); #304472=ORIENTED_EDGE('',*,*,#219232,.F.); #304473=ORIENTED_EDGE('',*,*,#219233,.T.); #304474=ORIENTED_EDGE('',*,*,#219232,.T.); #304475=ORIENTED_EDGE('',*,*,#219234,.F.); #304476=ORIENTED_EDGE('',*,*,#219235,.F.); #304477=ORIENTED_EDGE('',*,*,#219236,.T.); #304478=ORIENTED_EDGE('',*,*,#219235,.T.); #304479=ORIENTED_EDGE('',*,*,#219237,.F.); #304480=ORIENTED_EDGE('',*,*,#219238,.F.); #304481=ORIENTED_EDGE('',*,*,#219239,.T.); #304482=ORIENTED_EDGE('',*,*,#219238,.T.); #304483=ORIENTED_EDGE('',*,*,#219240,.F.); #304484=ORIENTED_EDGE('',*,*,#219230,.F.); #304485=ORIENTED_EDGE('',*,*,#219240,.T.); #304486=ORIENTED_EDGE('',*,*,#219237,.T.); #304487=ORIENTED_EDGE('',*,*,#219234,.T.); #304488=ORIENTED_EDGE('',*,*,#219231,.T.); #304489=ORIENTED_EDGE('',*,*,#219239,.F.); #304490=ORIENTED_EDGE('',*,*,#219229,.F.); #304491=ORIENTED_EDGE('',*,*,#219233,.F.); #304492=ORIENTED_EDGE('',*,*,#219236,.F.); #304493=ORIENTED_EDGE('',*,*,#219241,.T.); #304494=ORIENTED_EDGE('',*,*,#219242,.T.); #304495=ORIENTED_EDGE('',*,*,#219243,.F.); #304496=ORIENTED_EDGE('',*,*,#219244,.F.); #304497=ORIENTED_EDGE('',*,*,#219245,.T.); #304498=ORIENTED_EDGE('',*,*,#219244,.T.); #304499=ORIENTED_EDGE('',*,*,#219246,.F.); #304500=ORIENTED_EDGE('',*,*,#219247,.F.); #304501=ORIENTED_EDGE('',*,*,#219248,.T.); #304502=ORIENTED_EDGE('',*,*,#219247,.T.); #304503=ORIENTED_EDGE('',*,*,#219249,.F.); #304504=ORIENTED_EDGE('',*,*,#219250,.F.); #304505=ORIENTED_EDGE('',*,*,#219251,.T.); #304506=ORIENTED_EDGE('',*,*,#219250,.T.); #304507=ORIENTED_EDGE('',*,*,#219252,.F.); #304508=ORIENTED_EDGE('',*,*,#219242,.F.); #304509=ORIENTED_EDGE('',*,*,#219252,.T.); #304510=ORIENTED_EDGE('',*,*,#219249,.T.); #304511=ORIENTED_EDGE('',*,*,#219246,.T.); #304512=ORIENTED_EDGE('',*,*,#219243,.T.); #304513=ORIENTED_EDGE('',*,*,#219251,.F.); #304514=ORIENTED_EDGE('',*,*,#219241,.F.); #304515=ORIENTED_EDGE('',*,*,#219245,.F.); #304516=ORIENTED_EDGE('',*,*,#219248,.F.); #304517=ORIENTED_EDGE('',*,*,#219253,.T.); #304518=ORIENTED_EDGE('',*,*,#219254,.T.); #304519=ORIENTED_EDGE('',*,*,#219255,.F.); #304520=ORIENTED_EDGE('',*,*,#219256,.F.); #304521=ORIENTED_EDGE('',*,*,#219257,.T.); #304522=ORIENTED_EDGE('',*,*,#219256,.T.); #304523=ORIENTED_EDGE('',*,*,#219258,.F.); #304524=ORIENTED_EDGE('',*,*,#219259,.F.); #304525=ORIENTED_EDGE('',*,*,#219260,.T.); #304526=ORIENTED_EDGE('',*,*,#219259,.T.); #304527=ORIENTED_EDGE('',*,*,#219261,.F.); #304528=ORIENTED_EDGE('',*,*,#219262,.F.); #304529=ORIENTED_EDGE('',*,*,#219263,.T.); #304530=ORIENTED_EDGE('',*,*,#219262,.T.); #304531=ORIENTED_EDGE('',*,*,#219264,.F.); #304532=ORIENTED_EDGE('',*,*,#219254,.F.); #304533=ORIENTED_EDGE('',*,*,#219264,.T.); #304534=ORIENTED_EDGE('',*,*,#219261,.T.); #304535=ORIENTED_EDGE('',*,*,#219258,.T.); #304536=ORIENTED_EDGE('',*,*,#219255,.T.); #304537=ORIENTED_EDGE('',*,*,#219263,.F.); #304538=ORIENTED_EDGE('',*,*,#219253,.F.); #304539=ORIENTED_EDGE('',*,*,#219257,.F.); #304540=ORIENTED_EDGE('',*,*,#219260,.F.); #304541=ORIENTED_EDGE('',*,*,#219265,.T.); #304542=ORIENTED_EDGE('',*,*,#219266,.T.); #304543=ORIENTED_EDGE('',*,*,#219267,.F.); #304544=ORIENTED_EDGE('',*,*,#219268,.F.); #304545=ORIENTED_EDGE('',*,*,#219269,.T.); #304546=ORIENTED_EDGE('',*,*,#219268,.T.); #304547=ORIENTED_EDGE('',*,*,#219270,.F.); #304548=ORIENTED_EDGE('',*,*,#219271,.F.); #304549=ORIENTED_EDGE('',*,*,#219272,.T.); #304550=ORIENTED_EDGE('',*,*,#219271,.T.); #304551=ORIENTED_EDGE('',*,*,#219273,.F.); #304552=ORIENTED_EDGE('',*,*,#219274,.F.); #304553=ORIENTED_EDGE('',*,*,#219275,.T.); #304554=ORIENTED_EDGE('',*,*,#219274,.T.); #304555=ORIENTED_EDGE('',*,*,#219276,.F.); #304556=ORIENTED_EDGE('',*,*,#219266,.F.); #304557=ORIENTED_EDGE('',*,*,#219276,.T.); #304558=ORIENTED_EDGE('',*,*,#219273,.T.); #304559=ORIENTED_EDGE('',*,*,#219270,.T.); #304560=ORIENTED_EDGE('',*,*,#219267,.T.); #304561=ORIENTED_EDGE('',*,*,#219275,.F.); #304562=ORIENTED_EDGE('',*,*,#219265,.F.); #304563=ORIENTED_EDGE('',*,*,#219269,.F.); #304564=ORIENTED_EDGE('',*,*,#219272,.F.); #304565=ORIENTED_EDGE('',*,*,#219277,.T.); #304566=ORIENTED_EDGE('',*,*,#219278,.T.); #304567=ORIENTED_EDGE('',*,*,#219279,.F.); #304568=ORIENTED_EDGE('',*,*,#219280,.F.); #304569=ORIENTED_EDGE('',*,*,#219281,.T.); #304570=ORIENTED_EDGE('',*,*,#219280,.T.); #304571=ORIENTED_EDGE('',*,*,#219282,.F.); #304572=ORIENTED_EDGE('',*,*,#219283,.F.); #304573=ORIENTED_EDGE('',*,*,#219284,.T.); #304574=ORIENTED_EDGE('',*,*,#219283,.T.); #304575=ORIENTED_EDGE('',*,*,#219285,.F.); #304576=ORIENTED_EDGE('',*,*,#219286,.F.); #304577=ORIENTED_EDGE('',*,*,#219287,.T.); #304578=ORIENTED_EDGE('',*,*,#219286,.T.); #304579=ORIENTED_EDGE('',*,*,#219288,.F.); #304580=ORIENTED_EDGE('',*,*,#219278,.F.); #304581=ORIENTED_EDGE('',*,*,#219288,.T.); #304582=ORIENTED_EDGE('',*,*,#219285,.T.); #304583=ORIENTED_EDGE('',*,*,#219282,.T.); #304584=ORIENTED_EDGE('',*,*,#219279,.T.); #304585=ORIENTED_EDGE('',*,*,#219287,.F.); #304586=ORIENTED_EDGE('',*,*,#219277,.F.); #304587=ORIENTED_EDGE('',*,*,#219281,.F.); #304588=ORIENTED_EDGE('',*,*,#219284,.F.); #304589=ORIENTED_EDGE('',*,*,#219289,.T.); #304590=ORIENTED_EDGE('',*,*,#219290,.T.); #304591=ORIENTED_EDGE('',*,*,#219291,.F.); #304592=ORIENTED_EDGE('',*,*,#219292,.F.); #304593=ORIENTED_EDGE('',*,*,#219293,.T.); #304594=ORIENTED_EDGE('',*,*,#219292,.T.); #304595=ORIENTED_EDGE('',*,*,#219294,.F.); #304596=ORIENTED_EDGE('',*,*,#219295,.F.); #304597=ORIENTED_EDGE('',*,*,#219296,.T.); #304598=ORIENTED_EDGE('',*,*,#219295,.T.); #304599=ORIENTED_EDGE('',*,*,#219297,.F.); #304600=ORIENTED_EDGE('',*,*,#219298,.F.); #304601=ORIENTED_EDGE('',*,*,#219299,.T.); #304602=ORIENTED_EDGE('',*,*,#219298,.T.); #304603=ORIENTED_EDGE('',*,*,#219300,.F.); #304604=ORIENTED_EDGE('',*,*,#219290,.F.); #304605=ORIENTED_EDGE('',*,*,#219300,.T.); #304606=ORIENTED_EDGE('',*,*,#219297,.T.); #304607=ORIENTED_EDGE('',*,*,#219294,.T.); #304608=ORIENTED_EDGE('',*,*,#219291,.T.); #304609=ORIENTED_EDGE('',*,*,#219299,.F.); #304610=ORIENTED_EDGE('',*,*,#219289,.F.); #304611=ORIENTED_EDGE('',*,*,#219293,.F.); #304612=ORIENTED_EDGE('',*,*,#219296,.F.); #304613=ORIENTED_EDGE('',*,*,#219301,.T.); #304614=ORIENTED_EDGE('',*,*,#219302,.T.); #304615=ORIENTED_EDGE('',*,*,#219303,.F.); #304616=ORIENTED_EDGE('',*,*,#219304,.F.); #304617=ORIENTED_EDGE('',*,*,#219305,.T.); #304618=ORIENTED_EDGE('',*,*,#219304,.T.); #304619=ORIENTED_EDGE('',*,*,#219306,.F.); #304620=ORIENTED_EDGE('',*,*,#219307,.F.); #304621=ORIENTED_EDGE('',*,*,#219308,.T.); #304622=ORIENTED_EDGE('',*,*,#219307,.T.); #304623=ORIENTED_EDGE('',*,*,#219309,.F.); #304624=ORIENTED_EDGE('',*,*,#219310,.F.); #304625=ORIENTED_EDGE('',*,*,#219311,.T.); #304626=ORIENTED_EDGE('',*,*,#219310,.T.); #304627=ORIENTED_EDGE('',*,*,#219312,.F.); #304628=ORIENTED_EDGE('',*,*,#219302,.F.); #304629=ORIENTED_EDGE('',*,*,#219312,.T.); #304630=ORIENTED_EDGE('',*,*,#219309,.T.); #304631=ORIENTED_EDGE('',*,*,#219306,.T.); #304632=ORIENTED_EDGE('',*,*,#219303,.T.); #304633=ORIENTED_EDGE('',*,*,#219311,.F.); #304634=ORIENTED_EDGE('',*,*,#219301,.F.); #304635=ORIENTED_EDGE('',*,*,#219305,.F.); #304636=ORIENTED_EDGE('',*,*,#219308,.F.); #304637=ORIENTED_EDGE('',*,*,#219313,.T.); #304638=ORIENTED_EDGE('',*,*,#219314,.T.); #304639=ORIENTED_EDGE('',*,*,#219315,.F.); #304640=ORIENTED_EDGE('',*,*,#219316,.F.); #304641=ORIENTED_EDGE('',*,*,#219317,.T.); #304642=ORIENTED_EDGE('',*,*,#219316,.T.); #304643=ORIENTED_EDGE('',*,*,#219318,.F.); #304644=ORIENTED_EDGE('',*,*,#219319,.F.); #304645=ORIENTED_EDGE('',*,*,#219320,.T.); #304646=ORIENTED_EDGE('',*,*,#219319,.T.); #304647=ORIENTED_EDGE('',*,*,#219321,.F.); #304648=ORIENTED_EDGE('',*,*,#219322,.F.); #304649=ORIENTED_EDGE('',*,*,#219323,.T.); #304650=ORIENTED_EDGE('',*,*,#219322,.T.); #304651=ORIENTED_EDGE('',*,*,#219324,.F.); #304652=ORIENTED_EDGE('',*,*,#219314,.F.); #304653=ORIENTED_EDGE('',*,*,#219324,.T.); #304654=ORIENTED_EDGE('',*,*,#219321,.T.); #304655=ORIENTED_EDGE('',*,*,#219318,.T.); #304656=ORIENTED_EDGE('',*,*,#219315,.T.); #304657=ORIENTED_EDGE('',*,*,#219323,.F.); #304658=ORIENTED_EDGE('',*,*,#219313,.F.); #304659=ORIENTED_EDGE('',*,*,#219317,.F.); #304660=ORIENTED_EDGE('',*,*,#219320,.F.); #304661=ORIENTED_EDGE('',*,*,#219325,.T.); #304662=ORIENTED_EDGE('',*,*,#219326,.T.); #304663=ORIENTED_EDGE('',*,*,#219327,.F.); #304664=ORIENTED_EDGE('',*,*,#219328,.F.); #304665=ORIENTED_EDGE('',*,*,#219329,.T.); #304666=ORIENTED_EDGE('',*,*,#219328,.T.); #304667=ORIENTED_EDGE('',*,*,#219330,.F.); #304668=ORIENTED_EDGE('',*,*,#219331,.F.); #304669=ORIENTED_EDGE('',*,*,#219332,.T.); #304670=ORIENTED_EDGE('',*,*,#219331,.T.); #304671=ORIENTED_EDGE('',*,*,#219333,.F.); #304672=ORIENTED_EDGE('',*,*,#219334,.F.); #304673=ORIENTED_EDGE('',*,*,#219335,.T.); #304674=ORIENTED_EDGE('',*,*,#219334,.T.); #304675=ORIENTED_EDGE('',*,*,#219336,.F.); #304676=ORIENTED_EDGE('',*,*,#219326,.F.); #304677=ORIENTED_EDGE('',*,*,#219336,.T.); #304678=ORIENTED_EDGE('',*,*,#219333,.T.); #304679=ORIENTED_EDGE('',*,*,#219330,.T.); #304680=ORIENTED_EDGE('',*,*,#219327,.T.); #304681=ORIENTED_EDGE('',*,*,#219335,.F.); #304682=ORIENTED_EDGE('',*,*,#219325,.F.); #304683=ORIENTED_EDGE('',*,*,#219329,.F.); #304684=ORIENTED_EDGE('',*,*,#219332,.F.); #304685=ORIENTED_EDGE('',*,*,#219337,.T.); #304686=ORIENTED_EDGE('',*,*,#219338,.T.); #304687=ORIENTED_EDGE('',*,*,#219339,.F.); #304688=ORIENTED_EDGE('',*,*,#219340,.F.); #304689=ORIENTED_EDGE('',*,*,#219341,.T.); #304690=ORIENTED_EDGE('',*,*,#219340,.T.); #304691=ORIENTED_EDGE('',*,*,#219342,.F.); #304692=ORIENTED_EDGE('',*,*,#219343,.F.); #304693=ORIENTED_EDGE('',*,*,#219344,.T.); #304694=ORIENTED_EDGE('',*,*,#219343,.T.); #304695=ORIENTED_EDGE('',*,*,#219345,.F.); #304696=ORIENTED_EDGE('',*,*,#219346,.F.); #304697=ORIENTED_EDGE('',*,*,#219347,.T.); #304698=ORIENTED_EDGE('',*,*,#219346,.T.); #304699=ORIENTED_EDGE('',*,*,#219348,.F.); #304700=ORIENTED_EDGE('',*,*,#219338,.F.); #304701=ORIENTED_EDGE('',*,*,#219348,.T.); #304702=ORIENTED_EDGE('',*,*,#219345,.T.); #304703=ORIENTED_EDGE('',*,*,#219342,.T.); #304704=ORIENTED_EDGE('',*,*,#219339,.T.); #304705=ORIENTED_EDGE('',*,*,#219347,.F.); #304706=ORIENTED_EDGE('',*,*,#219337,.F.); #304707=ORIENTED_EDGE('',*,*,#219341,.F.); #304708=ORIENTED_EDGE('',*,*,#219344,.F.); #304709=ORIENTED_EDGE('',*,*,#219349,.T.); #304710=ORIENTED_EDGE('',*,*,#219350,.T.); #304711=ORIENTED_EDGE('',*,*,#219351,.F.); #304712=ORIENTED_EDGE('',*,*,#219352,.F.); #304713=ORIENTED_EDGE('',*,*,#219353,.T.); #304714=ORIENTED_EDGE('',*,*,#219352,.T.); #304715=ORIENTED_EDGE('',*,*,#219354,.F.); #304716=ORIENTED_EDGE('',*,*,#219355,.F.); #304717=ORIENTED_EDGE('',*,*,#219356,.T.); #304718=ORIENTED_EDGE('',*,*,#219355,.T.); #304719=ORIENTED_EDGE('',*,*,#219357,.F.); #304720=ORIENTED_EDGE('',*,*,#219358,.F.); #304721=ORIENTED_EDGE('',*,*,#219359,.T.); #304722=ORIENTED_EDGE('',*,*,#219358,.T.); #304723=ORIENTED_EDGE('',*,*,#219360,.F.); #304724=ORIENTED_EDGE('',*,*,#219350,.F.); #304725=ORIENTED_EDGE('',*,*,#219360,.T.); #304726=ORIENTED_EDGE('',*,*,#219357,.T.); #304727=ORIENTED_EDGE('',*,*,#219354,.T.); #304728=ORIENTED_EDGE('',*,*,#219351,.T.); #304729=ORIENTED_EDGE('',*,*,#219359,.F.); #304730=ORIENTED_EDGE('',*,*,#219349,.F.); #304731=ORIENTED_EDGE('',*,*,#219353,.F.); #304732=ORIENTED_EDGE('',*,*,#219356,.F.); #304733=ORIENTED_EDGE('',*,*,#219361,.T.); #304734=ORIENTED_EDGE('',*,*,#219362,.T.); #304735=ORIENTED_EDGE('',*,*,#219363,.F.); #304736=ORIENTED_EDGE('',*,*,#219364,.F.); #304737=ORIENTED_EDGE('',*,*,#219365,.T.); #304738=ORIENTED_EDGE('',*,*,#219364,.T.); #304739=ORIENTED_EDGE('',*,*,#219366,.F.); #304740=ORIENTED_EDGE('',*,*,#219367,.F.); #304741=ORIENTED_EDGE('',*,*,#219368,.T.); #304742=ORIENTED_EDGE('',*,*,#219367,.T.); #304743=ORIENTED_EDGE('',*,*,#219369,.F.); #304744=ORIENTED_EDGE('',*,*,#219370,.F.); #304745=ORIENTED_EDGE('',*,*,#219371,.T.); #304746=ORIENTED_EDGE('',*,*,#219370,.T.); #304747=ORIENTED_EDGE('',*,*,#219372,.F.); #304748=ORIENTED_EDGE('',*,*,#219362,.F.); #304749=ORIENTED_EDGE('',*,*,#219372,.T.); #304750=ORIENTED_EDGE('',*,*,#219369,.T.); #304751=ORIENTED_EDGE('',*,*,#219366,.T.); #304752=ORIENTED_EDGE('',*,*,#219363,.T.); #304753=ORIENTED_EDGE('',*,*,#219371,.F.); #304754=ORIENTED_EDGE('',*,*,#219361,.F.); #304755=ORIENTED_EDGE('',*,*,#219365,.F.); #304756=ORIENTED_EDGE('',*,*,#219368,.F.); #304757=ORIENTED_EDGE('',*,*,#219373,.T.); #304758=ORIENTED_EDGE('',*,*,#219374,.T.); #304759=ORIENTED_EDGE('',*,*,#219375,.F.); #304760=ORIENTED_EDGE('',*,*,#219376,.F.); #304761=ORIENTED_EDGE('',*,*,#219377,.T.); #304762=ORIENTED_EDGE('',*,*,#219376,.T.); #304763=ORIENTED_EDGE('',*,*,#219378,.F.); #304764=ORIENTED_EDGE('',*,*,#219379,.F.); #304765=ORIENTED_EDGE('',*,*,#219380,.T.); #304766=ORIENTED_EDGE('',*,*,#219379,.T.); #304767=ORIENTED_EDGE('',*,*,#219381,.F.); #304768=ORIENTED_EDGE('',*,*,#219382,.F.); #304769=ORIENTED_EDGE('',*,*,#219383,.T.); #304770=ORIENTED_EDGE('',*,*,#219382,.T.); #304771=ORIENTED_EDGE('',*,*,#219384,.F.); #304772=ORIENTED_EDGE('',*,*,#219374,.F.); #304773=ORIENTED_EDGE('',*,*,#219384,.T.); #304774=ORIENTED_EDGE('',*,*,#219381,.T.); #304775=ORIENTED_EDGE('',*,*,#219378,.T.); #304776=ORIENTED_EDGE('',*,*,#219375,.T.); #304777=ORIENTED_EDGE('',*,*,#219383,.F.); #304778=ORIENTED_EDGE('',*,*,#219373,.F.); #304779=ORIENTED_EDGE('',*,*,#219377,.F.); #304780=ORIENTED_EDGE('',*,*,#219380,.F.); #304781=ORIENTED_EDGE('',*,*,#219385,.T.); #304782=ORIENTED_EDGE('',*,*,#219386,.T.); #304783=ORIENTED_EDGE('',*,*,#219387,.F.); #304784=ORIENTED_EDGE('',*,*,#219388,.F.); #304785=ORIENTED_EDGE('',*,*,#219389,.T.); #304786=ORIENTED_EDGE('',*,*,#219388,.T.); #304787=ORIENTED_EDGE('',*,*,#219390,.F.); #304788=ORIENTED_EDGE('',*,*,#219391,.F.); #304789=ORIENTED_EDGE('',*,*,#219392,.T.); #304790=ORIENTED_EDGE('',*,*,#219391,.T.); #304791=ORIENTED_EDGE('',*,*,#219393,.F.); #304792=ORIENTED_EDGE('',*,*,#219394,.F.); #304793=ORIENTED_EDGE('',*,*,#219395,.T.); #304794=ORIENTED_EDGE('',*,*,#219394,.T.); #304795=ORIENTED_EDGE('',*,*,#219396,.F.); #304796=ORIENTED_EDGE('',*,*,#219386,.F.); #304797=ORIENTED_EDGE('',*,*,#219396,.T.); #304798=ORIENTED_EDGE('',*,*,#219393,.T.); #304799=ORIENTED_EDGE('',*,*,#219390,.T.); #304800=ORIENTED_EDGE('',*,*,#219387,.T.); #304801=ORIENTED_EDGE('',*,*,#219395,.F.); #304802=ORIENTED_EDGE('',*,*,#219385,.F.); #304803=ORIENTED_EDGE('',*,*,#219389,.F.); #304804=ORIENTED_EDGE('',*,*,#219392,.F.); #304805=ORIENTED_EDGE('',*,*,#219397,.T.); #304806=ORIENTED_EDGE('',*,*,#219398,.T.); #304807=ORIENTED_EDGE('',*,*,#219399,.F.); #304808=ORIENTED_EDGE('',*,*,#219400,.F.); #304809=ORIENTED_EDGE('',*,*,#219401,.T.); #304810=ORIENTED_EDGE('',*,*,#219400,.T.); #304811=ORIENTED_EDGE('',*,*,#219402,.F.); #304812=ORIENTED_EDGE('',*,*,#219403,.F.); #304813=ORIENTED_EDGE('',*,*,#219404,.T.); #304814=ORIENTED_EDGE('',*,*,#219403,.T.); #304815=ORIENTED_EDGE('',*,*,#219405,.F.); #304816=ORIENTED_EDGE('',*,*,#219406,.F.); #304817=ORIENTED_EDGE('',*,*,#219407,.T.); #304818=ORIENTED_EDGE('',*,*,#219406,.T.); #304819=ORIENTED_EDGE('',*,*,#219408,.F.); #304820=ORIENTED_EDGE('',*,*,#219398,.F.); #304821=ORIENTED_EDGE('',*,*,#219408,.T.); #304822=ORIENTED_EDGE('',*,*,#219405,.T.); #304823=ORIENTED_EDGE('',*,*,#219402,.T.); #304824=ORIENTED_EDGE('',*,*,#219399,.T.); #304825=ORIENTED_EDGE('',*,*,#219407,.F.); #304826=ORIENTED_EDGE('',*,*,#219397,.F.); #304827=ORIENTED_EDGE('',*,*,#219401,.F.); #304828=ORIENTED_EDGE('',*,*,#219404,.F.); #304829=ORIENTED_EDGE('',*,*,#219409,.T.); #304830=ORIENTED_EDGE('',*,*,#219410,.T.); #304831=ORIENTED_EDGE('',*,*,#219411,.F.); #304832=ORIENTED_EDGE('',*,*,#219412,.F.); #304833=ORIENTED_EDGE('',*,*,#219413,.T.); #304834=ORIENTED_EDGE('',*,*,#219412,.T.); #304835=ORIENTED_EDGE('',*,*,#219414,.F.); #304836=ORIENTED_EDGE('',*,*,#219415,.F.); #304837=ORIENTED_EDGE('',*,*,#219416,.T.); #304838=ORIENTED_EDGE('',*,*,#219415,.T.); #304839=ORIENTED_EDGE('',*,*,#219417,.F.); #304840=ORIENTED_EDGE('',*,*,#219418,.F.); #304841=ORIENTED_EDGE('',*,*,#219419,.T.); #304842=ORIENTED_EDGE('',*,*,#219418,.T.); #304843=ORIENTED_EDGE('',*,*,#219420,.F.); #304844=ORIENTED_EDGE('',*,*,#219410,.F.); #304845=ORIENTED_EDGE('',*,*,#219420,.T.); #304846=ORIENTED_EDGE('',*,*,#219417,.T.); #304847=ORIENTED_EDGE('',*,*,#219414,.T.); #304848=ORIENTED_EDGE('',*,*,#219411,.T.); #304849=ORIENTED_EDGE('',*,*,#219419,.F.); #304850=ORIENTED_EDGE('',*,*,#219409,.F.); #304851=ORIENTED_EDGE('',*,*,#219413,.F.); #304852=ORIENTED_EDGE('',*,*,#219416,.F.); #304853=ORIENTED_EDGE('',*,*,#219421,.T.); #304854=ORIENTED_EDGE('',*,*,#219422,.T.); #304855=ORIENTED_EDGE('',*,*,#219423,.F.); #304856=ORIENTED_EDGE('',*,*,#219424,.F.); #304857=ORIENTED_EDGE('',*,*,#219425,.T.); #304858=ORIENTED_EDGE('',*,*,#219424,.T.); #304859=ORIENTED_EDGE('',*,*,#219426,.F.); #304860=ORIENTED_EDGE('',*,*,#219427,.F.); #304861=ORIENTED_EDGE('',*,*,#219428,.T.); #304862=ORIENTED_EDGE('',*,*,#219427,.T.); #304863=ORIENTED_EDGE('',*,*,#219429,.F.); #304864=ORIENTED_EDGE('',*,*,#219430,.F.); #304865=ORIENTED_EDGE('',*,*,#219431,.T.); #304866=ORIENTED_EDGE('',*,*,#219430,.T.); #304867=ORIENTED_EDGE('',*,*,#219432,.F.); #304868=ORIENTED_EDGE('',*,*,#219422,.F.); #304869=ORIENTED_EDGE('',*,*,#219432,.T.); #304870=ORIENTED_EDGE('',*,*,#219429,.T.); #304871=ORIENTED_EDGE('',*,*,#219426,.T.); #304872=ORIENTED_EDGE('',*,*,#219423,.T.); #304873=ORIENTED_EDGE('',*,*,#219431,.F.); #304874=ORIENTED_EDGE('',*,*,#219421,.F.); #304875=ORIENTED_EDGE('',*,*,#219425,.F.); #304876=ORIENTED_EDGE('',*,*,#219428,.F.); #304877=ORIENTED_EDGE('',*,*,#219433,.T.); #304878=ORIENTED_EDGE('',*,*,#219434,.T.); #304879=ORIENTED_EDGE('',*,*,#219435,.F.); #304880=ORIENTED_EDGE('',*,*,#219436,.F.); #304881=ORIENTED_EDGE('',*,*,#219437,.T.); #304882=ORIENTED_EDGE('',*,*,#219436,.T.); #304883=ORIENTED_EDGE('',*,*,#219438,.F.); #304884=ORIENTED_EDGE('',*,*,#219439,.F.); #304885=ORIENTED_EDGE('',*,*,#219440,.T.); #304886=ORIENTED_EDGE('',*,*,#219439,.T.); #304887=ORIENTED_EDGE('',*,*,#219441,.F.); #304888=ORIENTED_EDGE('',*,*,#219442,.F.); #304889=ORIENTED_EDGE('',*,*,#219443,.T.); #304890=ORIENTED_EDGE('',*,*,#219442,.T.); #304891=ORIENTED_EDGE('',*,*,#219444,.F.); #304892=ORIENTED_EDGE('',*,*,#219434,.F.); #304893=ORIENTED_EDGE('',*,*,#219444,.T.); #304894=ORIENTED_EDGE('',*,*,#219441,.T.); #304895=ORIENTED_EDGE('',*,*,#219438,.T.); #304896=ORIENTED_EDGE('',*,*,#219435,.T.); #304897=ORIENTED_EDGE('',*,*,#219443,.F.); #304898=ORIENTED_EDGE('',*,*,#219433,.F.); #304899=ORIENTED_EDGE('',*,*,#219437,.F.); #304900=ORIENTED_EDGE('',*,*,#219440,.F.); #304901=ORIENTED_EDGE('',*,*,#219445,.T.); #304902=ORIENTED_EDGE('',*,*,#219446,.T.); #304903=ORIENTED_EDGE('',*,*,#219447,.F.); #304904=ORIENTED_EDGE('',*,*,#219448,.F.); #304905=ORIENTED_EDGE('',*,*,#219449,.T.); #304906=ORIENTED_EDGE('',*,*,#219448,.T.); #304907=ORIENTED_EDGE('',*,*,#219450,.F.); #304908=ORIENTED_EDGE('',*,*,#219451,.F.); #304909=ORIENTED_EDGE('',*,*,#219452,.T.); #304910=ORIENTED_EDGE('',*,*,#219451,.T.); #304911=ORIENTED_EDGE('',*,*,#219453,.F.); #304912=ORIENTED_EDGE('',*,*,#219454,.F.); #304913=ORIENTED_EDGE('',*,*,#219455,.T.); #304914=ORIENTED_EDGE('',*,*,#219454,.T.); #304915=ORIENTED_EDGE('',*,*,#219456,.F.); #304916=ORIENTED_EDGE('',*,*,#219446,.F.); #304917=ORIENTED_EDGE('',*,*,#219456,.T.); #304918=ORIENTED_EDGE('',*,*,#219453,.T.); #304919=ORIENTED_EDGE('',*,*,#219450,.T.); #304920=ORIENTED_EDGE('',*,*,#219447,.T.); #304921=ORIENTED_EDGE('',*,*,#219455,.F.); #304922=ORIENTED_EDGE('',*,*,#219445,.F.); #304923=ORIENTED_EDGE('',*,*,#219449,.F.); #304924=ORIENTED_EDGE('',*,*,#219452,.F.); #304925=ORIENTED_EDGE('',*,*,#219457,.T.); #304926=ORIENTED_EDGE('',*,*,#219458,.T.); #304927=ORIENTED_EDGE('',*,*,#219459,.F.); #304928=ORIENTED_EDGE('',*,*,#219460,.F.); #304929=ORIENTED_EDGE('',*,*,#219461,.T.); #304930=ORIENTED_EDGE('',*,*,#219460,.T.); #304931=ORIENTED_EDGE('',*,*,#219462,.F.); #304932=ORIENTED_EDGE('',*,*,#219463,.F.); #304933=ORIENTED_EDGE('',*,*,#219464,.T.); #304934=ORIENTED_EDGE('',*,*,#219463,.T.); #304935=ORIENTED_EDGE('',*,*,#219465,.F.); #304936=ORIENTED_EDGE('',*,*,#219466,.F.); #304937=ORIENTED_EDGE('',*,*,#219467,.T.); #304938=ORIENTED_EDGE('',*,*,#219466,.T.); #304939=ORIENTED_EDGE('',*,*,#219468,.F.); #304940=ORIENTED_EDGE('',*,*,#219458,.F.); #304941=ORIENTED_EDGE('',*,*,#219468,.T.); #304942=ORIENTED_EDGE('',*,*,#219465,.T.); #304943=ORIENTED_EDGE('',*,*,#219462,.T.); #304944=ORIENTED_EDGE('',*,*,#219459,.T.); #304945=ORIENTED_EDGE('',*,*,#219467,.F.); #304946=ORIENTED_EDGE('',*,*,#219457,.F.); #304947=ORIENTED_EDGE('',*,*,#219461,.F.); #304948=ORIENTED_EDGE('',*,*,#219464,.F.); #304949=ORIENTED_EDGE('',*,*,#219469,.F.); #304950=ORIENTED_EDGE('',*,*,#219470,.T.); #304951=ORIENTED_EDGE('',*,*,#219471,.F.); #304952=ORIENTED_EDGE('',*,*,#219470,.F.); #304953=ORIENTED_EDGE('',*,*,#219472,.F.); #304954=ORIENTED_EDGE('',*,*,#219473,.T.); #304955=ORIENTED_EDGE('',*,*,#219474,.F.); #304956=ORIENTED_EDGE('',*,*,#219473,.F.); #304957=ORIENTED_EDGE('',*,*,#219475,.T.); #304958=ORIENTED_EDGE('',*,*,#219476,.T.); #304959=ORIENTED_EDGE('',*,*,#219477,.F.); #304960=ORIENTED_EDGE('',*,*,#219478,.F.); #304961=ORIENTED_EDGE('',*,*,#219479,.T.); #304962=ORIENTED_EDGE('',*,*,#219478,.T.); #304963=ORIENTED_EDGE('',*,*,#219480,.F.); #304964=ORIENTED_EDGE('',*,*,#219481,.F.); #304965=ORIENTED_EDGE('',*,*,#219482,.T.); #304966=ORIENTED_EDGE('',*,*,#219481,.T.); #304967=ORIENTED_EDGE('',*,*,#219483,.F.); #304968=ORIENTED_EDGE('',*,*,#219484,.F.); #304969=ORIENTED_EDGE('',*,*,#219485,.T.); #304970=ORIENTED_EDGE('',*,*,#219484,.T.); #304971=ORIENTED_EDGE('',*,*,#219486,.F.); #304972=ORIENTED_EDGE('',*,*,#219476,.F.); #304973=ORIENTED_EDGE('',*,*,#219486,.T.); #304974=ORIENTED_EDGE('',*,*,#219483,.T.); #304975=ORIENTED_EDGE('',*,*,#219480,.T.); #304976=ORIENTED_EDGE('',*,*,#219477,.T.); #304977=ORIENTED_EDGE('',*,*,#219471,.T.); #304978=ORIENTED_EDGE('',*,*,#219474,.T.); #304979=ORIENTED_EDGE('',*,*,#219485,.F.); #304980=ORIENTED_EDGE('',*,*,#219475,.F.); #304981=ORIENTED_EDGE('',*,*,#219479,.F.); #304982=ORIENTED_EDGE('',*,*,#219482,.F.); #304983=ORIENTED_EDGE('',*,*,#219469,.T.); #304984=ORIENTED_EDGE('',*,*,#219472,.T.); #304985=ORIENTED_EDGE('',*,*,#219487,.T.); #304986=ORIENTED_EDGE('',*,*,#219488,.T.); #304987=ORIENTED_EDGE('',*,*,#219489,.F.); #304988=ORIENTED_EDGE('',*,*,#219490,.F.); #304989=ORIENTED_EDGE('',*,*,#219491,.T.); #304990=ORIENTED_EDGE('',*,*,#219490,.T.); #304991=ORIENTED_EDGE('',*,*,#219492,.F.); #304992=ORIENTED_EDGE('',*,*,#219493,.F.); #304993=ORIENTED_EDGE('',*,*,#219494,.T.); #304994=ORIENTED_EDGE('',*,*,#219493,.T.); #304995=ORIENTED_EDGE('',*,*,#219495,.F.); #304996=ORIENTED_EDGE('',*,*,#219496,.F.); #304997=ORIENTED_EDGE('',*,*,#219497,.T.); #304998=ORIENTED_EDGE('',*,*,#219496,.T.); #304999=ORIENTED_EDGE('',*,*,#219498,.F.); #305000=ORIENTED_EDGE('',*,*,#219488,.F.); #305001=ORIENTED_EDGE('',*,*,#219498,.T.); #305002=ORIENTED_EDGE('',*,*,#219495,.T.); #305003=ORIENTED_EDGE('',*,*,#219492,.T.); #305004=ORIENTED_EDGE('',*,*,#219489,.T.); #305005=ORIENTED_EDGE('',*,*,#219497,.F.); #305006=ORIENTED_EDGE('',*,*,#219487,.F.); #305007=ORIENTED_EDGE('',*,*,#219491,.F.); #305008=ORIENTED_EDGE('',*,*,#219494,.F.); #305009=ORIENTED_EDGE('',*,*,#219499,.F.); #305010=ORIENTED_EDGE('',*,*,#219500,.T.); #305011=ORIENTED_EDGE('',*,*,#219501,.F.); #305012=ORIENTED_EDGE('',*,*,#219500,.F.); #305013=ORIENTED_EDGE('',*,*,#219502,.F.); #305014=ORIENTED_EDGE('',*,*,#219503,.T.); #305015=ORIENTED_EDGE('',*,*,#219504,.F.); #305016=ORIENTED_EDGE('',*,*,#219503,.F.); #305017=ORIENTED_EDGE('',*,*,#219505,.T.); #305018=ORIENTED_EDGE('',*,*,#219506,.T.); #305019=ORIENTED_EDGE('',*,*,#219507,.F.); #305020=ORIENTED_EDGE('',*,*,#219508,.F.); #305021=ORIENTED_EDGE('',*,*,#219509,.T.); #305022=ORIENTED_EDGE('',*,*,#219508,.T.); #305023=ORIENTED_EDGE('',*,*,#219510,.F.); #305024=ORIENTED_EDGE('',*,*,#219511,.F.); #305025=ORIENTED_EDGE('',*,*,#219512,.T.); #305026=ORIENTED_EDGE('',*,*,#219511,.T.); #305027=ORIENTED_EDGE('',*,*,#219513,.F.); #305028=ORIENTED_EDGE('',*,*,#219514,.F.); #305029=ORIENTED_EDGE('',*,*,#219515,.T.); #305030=ORIENTED_EDGE('',*,*,#219514,.T.); #305031=ORIENTED_EDGE('',*,*,#219516,.F.); #305032=ORIENTED_EDGE('',*,*,#219506,.F.); #305033=ORIENTED_EDGE('',*,*,#219516,.T.); #305034=ORIENTED_EDGE('',*,*,#219513,.T.); #305035=ORIENTED_EDGE('',*,*,#219510,.T.); #305036=ORIENTED_EDGE('',*,*,#219507,.T.); #305037=ORIENTED_EDGE('',*,*,#219501,.T.); #305038=ORIENTED_EDGE('',*,*,#219504,.T.); #305039=ORIENTED_EDGE('',*,*,#219515,.F.); #305040=ORIENTED_EDGE('',*,*,#219505,.F.); #305041=ORIENTED_EDGE('',*,*,#219509,.F.); #305042=ORIENTED_EDGE('',*,*,#219512,.F.); #305043=ORIENTED_EDGE('',*,*,#219499,.T.); #305044=ORIENTED_EDGE('',*,*,#219502,.T.); #305045=ORIENTED_EDGE('',*,*,#219517,.T.); #305046=ORIENTED_EDGE('',*,*,#219518,.T.); #305047=ORIENTED_EDGE('',*,*,#219519,.F.); #305048=ORIENTED_EDGE('',*,*,#219520,.F.); #305049=ORIENTED_EDGE('',*,*,#219521,.T.); #305050=ORIENTED_EDGE('',*,*,#219520,.T.); #305051=ORIENTED_EDGE('',*,*,#219522,.F.); #305052=ORIENTED_EDGE('',*,*,#219523,.F.); #305053=ORIENTED_EDGE('',*,*,#219524,.T.); #305054=ORIENTED_EDGE('',*,*,#219523,.T.); #305055=ORIENTED_EDGE('',*,*,#219525,.F.); #305056=ORIENTED_EDGE('',*,*,#219526,.F.); #305057=ORIENTED_EDGE('',*,*,#219527,.T.); #305058=ORIENTED_EDGE('',*,*,#219526,.T.); #305059=ORIENTED_EDGE('',*,*,#219528,.F.); #305060=ORIENTED_EDGE('',*,*,#219518,.F.); #305061=ORIENTED_EDGE('',*,*,#219528,.T.); #305062=ORIENTED_EDGE('',*,*,#219525,.T.); #305063=ORIENTED_EDGE('',*,*,#219522,.T.); #305064=ORIENTED_EDGE('',*,*,#219519,.T.); #305065=ORIENTED_EDGE('',*,*,#219527,.F.); #305066=ORIENTED_EDGE('',*,*,#219517,.F.); #305067=ORIENTED_EDGE('',*,*,#219521,.F.); #305068=ORIENTED_EDGE('',*,*,#219524,.F.); #305069=ORIENTED_EDGE('',*,*,#219529,.T.); #305070=ORIENTED_EDGE('',*,*,#219530,.T.); #305071=ORIENTED_EDGE('',*,*,#219531,.F.); #305072=ORIENTED_EDGE('',*,*,#219532,.F.); #305073=ORIENTED_EDGE('',*,*,#219533,.T.); #305074=ORIENTED_EDGE('',*,*,#219532,.T.); #305075=ORIENTED_EDGE('',*,*,#219534,.F.); #305076=ORIENTED_EDGE('',*,*,#219535,.F.); #305077=ORIENTED_EDGE('',*,*,#219536,.T.); #305078=ORIENTED_EDGE('',*,*,#219535,.T.); #305079=ORIENTED_EDGE('',*,*,#219537,.F.); #305080=ORIENTED_EDGE('',*,*,#219538,.F.); #305081=ORIENTED_EDGE('',*,*,#219539,.T.); #305082=ORIENTED_EDGE('',*,*,#219538,.T.); #305083=ORIENTED_EDGE('',*,*,#219540,.F.); #305084=ORIENTED_EDGE('',*,*,#219530,.F.); #305085=ORIENTED_EDGE('',*,*,#219540,.T.); #305086=ORIENTED_EDGE('',*,*,#219537,.T.); #305087=ORIENTED_EDGE('',*,*,#219534,.T.); #305088=ORIENTED_EDGE('',*,*,#219531,.T.); #305089=ORIENTED_EDGE('',*,*,#219539,.F.); #305090=ORIENTED_EDGE('',*,*,#219529,.F.); #305091=ORIENTED_EDGE('',*,*,#219533,.F.); #305092=ORIENTED_EDGE('',*,*,#219536,.F.); #305093=ORIENTED_EDGE('',*,*,#219541,.T.); #305094=ORIENTED_EDGE('',*,*,#219542,.T.); #305095=ORIENTED_EDGE('',*,*,#219543,.F.); #305096=ORIENTED_EDGE('',*,*,#219544,.F.); #305097=ORIENTED_EDGE('',*,*,#219545,.T.); #305098=ORIENTED_EDGE('',*,*,#219544,.T.); #305099=ORIENTED_EDGE('',*,*,#219546,.F.); #305100=ORIENTED_EDGE('',*,*,#219547,.F.); #305101=ORIENTED_EDGE('',*,*,#219548,.T.); #305102=ORIENTED_EDGE('',*,*,#219547,.T.); #305103=ORIENTED_EDGE('',*,*,#219549,.F.); #305104=ORIENTED_EDGE('',*,*,#219550,.F.); #305105=ORIENTED_EDGE('',*,*,#219551,.T.); #305106=ORIENTED_EDGE('',*,*,#219550,.T.); #305107=ORIENTED_EDGE('',*,*,#219552,.F.); #305108=ORIENTED_EDGE('',*,*,#219542,.F.); #305109=ORIENTED_EDGE('',*,*,#219552,.T.); #305110=ORIENTED_EDGE('',*,*,#219549,.T.); #305111=ORIENTED_EDGE('',*,*,#219546,.T.); #305112=ORIENTED_EDGE('',*,*,#219543,.T.); #305113=ORIENTED_EDGE('',*,*,#219551,.F.); #305114=ORIENTED_EDGE('',*,*,#219541,.F.); #305115=ORIENTED_EDGE('',*,*,#219545,.F.); #305116=ORIENTED_EDGE('',*,*,#219548,.F.); #305117=ORIENTED_EDGE('',*,*,#219553,.T.); #305118=ORIENTED_EDGE('',*,*,#219554,.T.); #305119=ORIENTED_EDGE('',*,*,#219555,.F.); #305120=ORIENTED_EDGE('',*,*,#219556,.F.); #305121=ORIENTED_EDGE('',*,*,#219557,.T.); #305122=ORIENTED_EDGE('',*,*,#219556,.T.); #305123=ORIENTED_EDGE('',*,*,#219558,.F.); #305124=ORIENTED_EDGE('',*,*,#219559,.F.); #305125=ORIENTED_EDGE('',*,*,#219560,.T.); #305126=ORIENTED_EDGE('',*,*,#219559,.T.); #305127=ORIENTED_EDGE('',*,*,#219561,.F.); #305128=ORIENTED_EDGE('',*,*,#219562,.F.); #305129=ORIENTED_EDGE('',*,*,#219563,.T.); #305130=ORIENTED_EDGE('',*,*,#219562,.T.); #305131=ORIENTED_EDGE('',*,*,#219564,.F.); #305132=ORIENTED_EDGE('',*,*,#219554,.F.); #305133=ORIENTED_EDGE('',*,*,#219564,.T.); #305134=ORIENTED_EDGE('',*,*,#219561,.T.); #305135=ORIENTED_EDGE('',*,*,#219558,.T.); #305136=ORIENTED_EDGE('',*,*,#219555,.T.); #305137=ORIENTED_EDGE('',*,*,#219563,.F.); #305138=ORIENTED_EDGE('',*,*,#219553,.F.); #305139=ORIENTED_EDGE('',*,*,#219557,.F.); #305140=ORIENTED_EDGE('',*,*,#219560,.F.); #305141=ORIENTED_EDGE('',*,*,#219565,.T.); #305142=ORIENTED_EDGE('',*,*,#219566,.T.); #305143=ORIENTED_EDGE('',*,*,#219567,.F.); #305144=ORIENTED_EDGE('',*,*,#219568,.F.); #305145=ORIENTED_EDGE('',*,*,#219569,.T.); #305146=ORIENTED_EDGE('',*,*,#219568,.T.); #305147=ORIENTED_EDGE('',*,*,#219570,.F.); #305148=ORIENTED_EDGE('',*,*,#219571,.F.); #305149=ORIENTED_EDGE('',*,*,#219572,.T.); #305150=ORIENTED_EDGE('',*,*,#219571,.T.); #305151=ORIENTED_EDGE('',*,*,#219573,.F.); #305152=ORIENTED_EDGE('',*,*,#219574,.F.); #305153=ORIENTED_EDGE('',*,*,#219575,.T.); #305154=ORIENTED_EDGE('',*,*,#219574,.T.); #305155=ORIENTED_EDGE('',*,*,#219576,.F.); #305156=ORIENTED_EDGE('',*,*,#219566,.F.); #305157=ORIENTED_EDGE('',*,*,#219576,.T.); #305158=ORIENTED_EDGE('',*,*,#219573,.T.); #305159=ORIENTED_EDGE('',*,*,#219570,.T.); #305160=ORIENTED_EDGE('',*,*,#219567,.T.); #305161=ORIENTED_EDGE('',*,*,#219575,.F.); #305162=ORIENTED_EDGE('',*,*,#219565,.F.); #305163=ORIENTED_EDGE('',*,*,#219569,.F.); #305164=ORIENTED_EDGE('',*,*,#219572,.F.); #305165=ORIENTED_EDGE('',*,*,#219577,.T.); #305166=ORIENTED_EDGE('',*,*,#219578,.T.); #305167=ORIENTED_EDGE('',*,*,#219579,.F.); #305168=ORIENTED_EDGE('',*,*,#219580,.F.); #305169=ORIENTED_EDGE('',*,*,#219581,.T.); #305170=ORIENTED_EDGE('',*,*,#219580,.T.); #305171=ORIENTED_EDGE('',*,*,#219582,.F.); #305172=ORIENTED_EDGE('',*,*,#219583,.F.); #305173=ORIENTED_EDGE('',*,*,#219584,.T.); #305174=ORIENTED_EDGE('',*,*,#219583,.T.); #305175=ORIENTED_EDGE('',*,*,#219585,.F.); #305176=ORIENTED_EDGE('',*,*,#219586,.F.); #305177=ORIENTED_EDGE('',*,*,#219587,.T.); #305178=ORIENTED_EDGE('',*,*,#219586,.T.); #305179=ORIENTED_EDGE('',*,*,#219588,.F.); #305180=ORIENTED_EDGE('',*,*,#219578,.F.); #305181=ORIENTED_EDGE('',*,*,#219588,.T.); #305182=ORIENTED_EDGE('',*,*,#219585,.T.); #305183=ORIENTED_EDGE('',*,*,#219582,.T.); #305184=ORIENTED_EDGE('',*,*,#219579,.T.); #305185=ORIENTED_EDGE('',*,*,#219587,.F.); #305186=ORIENTED_EDGE('',*,*,#219577,.F.); #305187=ORIENTED_EDGE('',*,*,#219581,.F.); #305188=ORIENTED_EDGE('',*,*,#219584,.F.); #305189=ORIENTED_EDGE('',*,*,#219589,.T.); #305190=ORIENTED_EDGE('',*,*,#219590,.T.); #305191=ORIENTED_EDGE('',*,*,#219591,.F.); #305192=ORIENTED_EDGE('',*,*,#219592,.F.); #305193=ORIENTED_EDGE('',*,*,#219593,.T.); #305194=ORIENTED_EDGE('',*,*,#219592,.T.); #305195=ORIENTED_EDGE('',*,*,#219594,.F.); #305196=ORIENTED_EDGE('',*,*,#219595,.F.); #305197=ORIENTED_EDGE('',*,*,#219596,.T.); #305198=ORIENTED_EDGE('',*,*,#219595,.T.); #305199=ORIENTED_EDGE('',*,*,#219597,.F.); #305200=ORIENTED_EDGE('',*,*,#219598,.F.); #305201=ORIENTED_EDGE('',*,*,#219599,.T.); #305202=ORIENTED_EDGE('',*,*,#219598,.T.); #305203=ORIENTED_EDGE('',*,*,#219600,.F.); #305204=ORIENTED_EDGE('',*,*,#219590,.F.); #305205=ORIENTED_EDGE('',*,*,#219600,.T.); #305206=ORIENTED_EDGE('',*,*,#219597,.T.); #305207=ORIENTED_EDGE('',*,*,#219594,.T.); #305208=ORIENTED_EDGE('',*,*,#219591,.T.); #305209=ORIENTED_EDGE('',*,*,#219599,.F.); #305210=ORIENTED_EDGE('',*,*,#219589,.F.); #305211=ORIENTED_EDGE('',*,*,#219593,.F.); #305212=ORIENTED_EDGE('',*,*,#219596,.F.); #305213=ORIENTED_EDGE('',*,*,#219601,.T.); #305214=ORIENTED_EDGE('',*,*,#219602,.T.); #305215=ORIENTED_EDGE('',*,*,#219603,.F.); #305216=ORIENTED_EDGE('',*,*,#219604,.F.); #305217=ORIENTED_EDGE('',*,*,#219605,.T.); #305218=ORIENTED_EDGE('',*,*,#219604,.T.); #305219=ORIENTED_EDGE('',*,*,#219606,.F.); #305220=ORIENTED_EDGE('',*,*,#219607,.F.); #305221=ORIENTED_EDGE('',*,*,#219608,.T.); #305222=ORIENTED_EDGE('',*,*,#219607,.T.); #305223=ORIENTED_EDGE('',*,*,#219609,.F.); #305224=ORIENTED_EDGE('',*,*,#219610,.F.); #305225=ORIENTED_EDGE('',*,*,#219611,.T.); #305226=ORIENTED_EDGE('',*,*,#219610,.T.); #305227=ORIENTED_EDGE('',*,*,#219612,.F.); #305228=ORIENTED_EDGE('',*,*,#219602,.F.); #305229=ORIENTED_EDGE('',*,*,#219612,.T.); #305230=ORIENTED_EDGE('',*,*,#219609,.T.); #305231=ORIENTED_EDGE('',*,*,#219606,.T.); #305232=ORIENTED_EDGE('',*,*,#219603,.T.); #305233=ORIENTED_EDGE('',*,*,#219611,.F.); #305234=ORIENTED_EDGE('',*,*,#219601,.F.); #305235=ORIENTED_EDGE('',*,*,#219605,.F.); #305236=ORIENTED_EDGE('',*,*,#219608,.F.); #305237=ORIENTED_EDGE('',*,*,#219613,.T.); #305238=ORIENTED_EDGE('',*,*,#219614,.T.); #305239=ORIENTED_EDGE('',*,*,#219615,.F.); #305240=ORIENTED_EDGE('',*,*,#219616,.F.); #305241=ORIENTED_EDGE('',*,*,#219617,.T.); #305242=ORIENTED_EDGE('',*,*,#219616,.T.); #305243=ORIENTED_EDGE('',*,*,#219618,.F.); #305244=ORIENTED_EDGE('',*,*,#219619,.F.); #305245=ORIENTED_EDGE('',*,*,#219620,.T.); #305246=ORIENTED_EDGE('',*,*,#219619,.T.); #305247=ORIENTED_EDGE('',*,*,#219621,.F.); #305248=ORIENTED_EDGE('',*,*,#219622,.F.); #305249=ORIENTED_EDGE('',*,*,#219623,.T.); #305250=ORIENTED_EDGE('',*,*,#219622,.T.); #305251=ORIENTED_EDGE('',*,*,#219624,.F.); #305252=ORIENTED_EDGE('',*,*,#219614,.F.); #305253=ORIENTED_EDGE('',*,*,#219624,.T.); #305254=ORIENTED_EDGE('',*,*,#219621,.T.); #305255=ORIENTED_EDGE('',*,*,#219618,.T.); #305256=ORIENTED_EDGE('',*,*,#219615,.T.); #305257=ORIENTED_EDGE('',*,*,#219623,.F.); #305258=ORIENTED_EDGE('',*,*,#219613,.F.); #305259=ORIENTED_EDGE('',*,*,#219617,.F.); #305260=ORIENTED_EDGE('',*,*,#219620,.F.); #305261=ORIENTED_EDGE('',*,*,#219625,.T.); #305262=ORIENTED_EDGE('',*,*,#219626,.T.); #305263=ORIENTED_EDGE('',*,*,#219627,.F.); #305264=ORIENTED_EDGE('',*,*,#219628,.F.); #305265=ORIENTED_EDGE('',*,*,#219629,.T.); #305266=ORIENTED_EDGE('',*,*,#219628,.T.); #305267=ORIENTED_EDGE('',*,*,#219630,.F.); #305268=ORIENTED_EDGE('',*,*,#219631,.F.); #305269=ORIENTED_EDGE('',*,*,#219632,.T.); #305270=ORIENTED_EDGE('',*,*,#219631,.T.); #305271=ORIENTED_EDGE('',*,*,#219633,.F.); #305272=ORIENTED_EDGE('',*,*,#219634,.F.); #305273=ORIENTED_EDGE('',*,*,#219635,.T.); #305274=ORIENTED_EDGE('',*,*,#219634,.T.); #305275=ORIENTED_EDGE('',*,*,#219636,.F.); #305276=ORIENTED_EDGE('',*,*,#219626,.F.); #305277=ORIENTED_EDGE('',*,*,#219636,.T.); #305278=ORIENTED_EDGE('',*,*,#219633,.T.); #305279=ORIENTED_EDGE('',*,*,#219630,.T.); #305280=ORIENTED_EDGE('',*,*,#219627,.T.); #305281=ORIENTED_EDGE('',*,*,#219635,.F.); #305282=ORIENTED_EDGE('',*,*,#219625,.F.); #305283=ORIENTED_EDGE('',*,*,#219629,.F.); #305284=ORIENTED_EDGE('',*,*,#219632,.F.); #305285=ORIENTED_EDGE('',*,*,#219637,.T.); #305286=ORIENTED_EDGE('',*,*,#219638,.T.); #305287=ORIENTED_EDGE('',*,*,#219639,.F.); #305288=ORIENTED_EDGE('',*,*,#219640,.F.); #305289=ORIENTED_EDGE('',*,*,#219641,.T.); #305290=ORIENTED_EDGE('',*,*,#219640,.T.); #305291=ORIENTED_EDGE('',*,*,#219642,.F.); #305292=ORIENTED_EDGE('',*,*,#219643,.F.); #305293=ORIENTED_EDGE('',*,*,#219644,.T.); #305294=ORIENTED_EDGE('',*,*,#219643,.T.); #305295=ORIENTED_EDGE('',*,*,#219645,.F.); #305296=ORIENTED_EDGE('',*,*,#219646,.F.); #305297=ORIENTED_EDGE('',*,*,#219647,.T.); #305298=ORIENTED_EDGE('',*,*,#219646,.T.); #305299=ORIENTED_EDGE('',*,*,#219648,.F.); #305300=ORIENTED_EDGE('',*,*,#219638,.F.); #305301=ORIENTED_EDGE('',*,*,#219648,.T.); #305302=ORIENTED_EDGE('',*,*,#219645,.T.); #305303=ORIENTED_EDGE('',*,*,#219642,.T.); #305304=ORIENTED_EDGE('',*,*,#219639,.T.); #305305=ORIENTED_EDGE('',*,*,#219647,.F.); #305306=ORIENTED_EDGE('',*,*,#219637,.F.); #305307=ORIENTED_EDGE('',*,*,#219641,.F.); #305308=ORIENTED_EDGE('',*,*,#219644,.F.); #305309=ORIENTED_EDGE('',*,*,#219649,.T.); #305310=ORIENTED_EDGE('',*,*,#219650,.T.); #305311=ORIENTED_EDGE('',*,*,#219651,.F.); #305312=ORIENTED_EDGE('',*,*,#219652,.F.); #305313=ORIENTED_EDGE('',*,*,#219653,.T.); #305314=ORIENTED_EDGE('',*,*,#219652,.T.); #305315=ORIENTED_EDGE('',*,*,#219654,.F.); #305316=ORIENTED_EDGE('',*,*,#219655,.F.); #305317=ORIENTED_EDGE('',*,*,#219656,.T.); #305318=ORIENTED_EDGE('',*,*,#219655,.T.); #305319=ORIENTED_EDGE('',*,*,#219657,.F.); #305320=ORIENTED_EDGE('',*,*,#219658,.F.); #305321=ORIENTED_EDGE('',*,*,#219659,.T.); #305322=ORIENTED_EDGE('',*,*,#219658,.T.); #305323=ORIENTED_EDGE('',*,*,#219660,.F.); #305324=ORIENTED_EDGE('',*,*,#219650,.F.); #305325=ORIENTED_EDGE('',*,*,#219660,.T.); #305326=ORIENTED_EDGE('',*,*,#219657,.T.); #305327=ORIENTED_EDGE('',*,*,#219654,.T.); #305328=ORIENTED_EDGE('',*,*,#219651,.T.); #305329=ORIENTED_EDGE('',*,*,#219659,.F.); #305330=ORIENTED_EDGE('',*,*,#219649,.F.); #305331=ORIENTED_EDGE('',*,*,#219653,.F.); #305332=ORIENTED_EDGE('',*,*,#219656,.F.); #305333=ORIENTED_EDGE('',*,*,#219661,.T.); #305334=ORIENTED_EDGE('',*,*,#219662,.T.); #305335=ORIENTED_EDGE('',*,*,#219663,.F.); #305336=ORIENTED_EDGE('',*,*,#219664,.F.); #305337=ORIENTED_EDGE('',*,*,#219665,.T.); #305338=ORIENTED_EDGE('',*,*,#219664,.T.); #305339=ORIENTED_EDGE('',*,*,#219666,.F.); #305340=ORIENTED_EDGE('',*,*,#219667,.F.); #305341=ORIENTED_EDGE('',*,*,#219668,.T.); #305342=ORIENTED_EDGE('',*,*,#219667,.T.); #305343=ORIENTED_EDGE('',*,*,#219669,.F.); #305344=ORIENTED_EDGE('',*,*,#219670,.F.); #305345=ORIENTED_EDGE('',*,*,#219671,.T.); #305346=ORIENTED_EDGE('',*,*,#219670,.T.); #305347=ORIENTED_EDGE('',*,*,#219672,.F.); #305348=ORIENTED_EDGE('',*,*,#219662,.F.); #305349=ORIENTED_EDGE('',*,*,#219672,.T.); #305350=ORIENTED_EDGE('',*,*,#219669,.T.); #305351=ORIENTED_EDGE('',*,*,#219666,.T.); #305352=ORIENTED_EDGE('',*,*,#219663,.T.); #305353=ORIENTED_EDGE('',*,*,#219671,.F.); #305354=ORIENTED_EDGE('',*,*,#219661,.F.); #305355=ORIENTED_EDGE('',*,*,#219665,.F.); #305356=ORIENTED_EDGE('',*,*,#219668,.F.); #305357=ORIENTED_EDGE('',*,*,#219673,.T.); #305358=ORIENTED_EDGE('',*,*,#219674,.T.); #305359=ORIENTED_EDGE('',*,*,#219675,.F.); #305360=ORIENTED_EDGE('',*,*,#219676,.F.); #305361=ORIENTED_EDGE('',*,*,#219677,.T.); #305362=ORIENTED_EDGE('',*,*,#219676,.T.); #305363=ORIENTED_EDGE('',*,*,#219678,.F.); #305364=ORIENTED_EDGE('',*,*,#219679,.F.); #305365=ORIENTED_EDGE('',*,*,#219680,.T.); #305366=ORIENTED_EDGE('',*,*,#219679,.T.); #305367=ORIENTED_EDGE('',*,*,#219681,.F.); #305368=ORIENTED_EDGE('',*,*,#219682,.F.); #305369=ORIENTED_EDGE('',*,*,#219683,.T.); #305370=ORIENTED_EDGE('',*,*,#219682,.T.); #305371=ORIENTED_EDGE('',*,*,#219684,.F.); #305372=ORIENTED_EDGE('',*,*,#219674,.F.); #305373=ORIENTED_EDGE('',*,*,#219684,.T.); #305374=ORIENTED_EDGE('',*,*,#219681,.T.); #305375=ORIENTED_EDGE('',*,*,#219678,.T.); #305376=ORIENTED_EDGE('',*,*,#219675,.T.); #305377=ORIENTED_EDGE('',*,*,#219683,.F.); #305378=ORIENTED_EDGE('',*,*,#219673,.F.); #305379=ORIENTED_EDGE('',*,*,#219677,.F.); #305380=ORIENTED_EDGE('',*,*,#219680,.F.); #305381=ORIENTED_EDGE('',*,*,#219685,.T.); #305382=ORIENTED_EDGE('',*,*,#219686,.T.); #305383=ORIENTED_EDGE('',*,*,#219687,.F.); #305384=ORIENTED_EDGE('',*,*,#219688,.F.); #305385=ORIENTED_EDGE('',*,*,#219689,.T.); #305386=ORIENTED_EDGE('',*,*,#219688,.T.); #305387=ORIENTED_EDGE('',*,*,#219690,.F.); #305388=ORIENTED_EDGE('',*,*,#219691,.F.); #305389=ORIENTED_EDGE('',*,*,#219692,.T.); #305390=ORIENTED_EDGE('',*,*,#219691,.T.); #305391=ORIENTED_EDGE('',*,*,#219693,.F.); #305392=ORIENTED_EDGE('',*,*,#219694,.F.); #305393=ORIENTED_EDGE('',*,*,#219695,.T.); #305394=ORIENTED_EDGE('',*,*,#219694,.T.); #305395=ORIENTED_EDGE('',*,*,#219696,.F.); #305396=ORIENTED_EDGE('',*,*,#219686,.F.); #305397=ORIENTED_EDGE('',*,*,#219696,.T.); #305398=ORIENTED_EDGE('',*,*,#219693,.T.); #305399=ORIENTED_EDGE('',*,*,#219690,.T.); #305400=ORIENTED_EDGE('',*,*,#219687,.T.); #305401=ORIENTED_EDGE('',*,*,#219695,.F.); #305402=ORIENTED_EDGE('',*,*,#219685,.F.); #305403=ORIENTED_EDGE('',*,*,#219689,.F.); #305404=ORIENTED_EDGE('',*,*,#219692,.F.); #305405=ORIENTED_EDGE('',*,*,#219697,.T.); #305406=ORIENTED_EDGE('',*,*,#219698,.T.); #305407=ORIENTED_EDGE('',*,*,#219699,.F.); #305408=ORIENTED_EDGE('',*,*,#219700,.F.); #305409=ORIENTED_EDGE('',*,*,#219701,.T.); #305410=ORIENTED_EDGE('',*,*,#219700,.T.); #305411=ORIENTED_EDGE('',*,*,#219702,.F.); #305412=ORIENTED_EDGE('',*,*,#219703,.F.); #305413=ORIENTED_EDGE('',*,*,#219704,.T.); #305414=ORIENTED_EDGE('',*,*,#219703,.T.); #305415=ORIENTED_EDGE('',*,*,#219705,.F.); #305416=ORIENTED_EDGE('',*,*,#219706,.F.); #305417=ORIENTED_EDGE('',*,*,#219707,.T.); #305418=ORIENTED_EDGE('',*,*,#219706,.T.); #305419=ORIENTED_EDGE('',*,*,#219708,.F.); #305420=ORIENTED_EDGE('',*,*,#219698,.F.); #305421=ORIENTED_EDGE('',*,*,#219708,.T.); #305422=ORIENTED_EDGE('',*,*,#219705,.T.); #305423=ORIENTED_EDGE('',*,*,#219702,.T.); #305424=ORIENTED_EDGE('',*,*,#219699,.T.); #305425=ORIENTED_EDGE('',*,*,#219707,.F.); #305426=ORIENTED_EDGE('',*,*,#219697,.F.); #305427=ORIENTED_EDGE('',*,*,#219701,.F.); #305428=ORIENTED_EDGE('',*,*,#219704,.F.); #305429=ORIENTED_EDGE('',*,*,#219709,.F.); #305430=ORIENTED_EDGE('',*,*,#219710,.T.); #305431=ORIENTED_EDGE('',*,*,#219711,.F.); #305432=ORIENTED_EDGE('',*,*,#219710,.F.); #305433=ORIENTED_EDGE('',*,*,#219712,.T.); #305434=ORIENTED_EDGE('',*,*,#219713,.T.); #305435=ORIENTED_EDGE('',*,*,#219714,.F.); #305436=ORIENTED_EDGE('',*,*,#219715,.F.); #305437=ORIENTED_EDGE('',*,*,#219716,.T.); #305438=ORIENTED_EDGE('',*,*,#219715,.T.); #305439=ORIENTED_EDGE('',*,*,#219717,.F.); #305440=ORIENTED_EDGE('',*,*,#219718,.F.); #305441=ORIENTED_EDGE('',*,*,#219719,.T.); #305442=ORIENTED_EDGE('',*,*,#219718,.T.); #305443=ORIENTED_EDGE('',*,*,#219720,.F.); #305444=ORIENTED_EDGE('',*,*,#219721,.F.); #305445=ORIENTED_EDGE('',*,*,#219722,.T.); #305446=ORIENTED_EDGE('',*,*,#219721,.T.); #305447=ORIENTED_EDGE('',*,*,#219723,.F.); #305448=ORIENTED_EDGE('',*,*,#219713,.F.); #305449=ORIENTED_EDGE('',*,*,#219723,.T.); #305450=ORIENTED_EDGE('',*,*,#219720,.T.); #305451=ORIENTED_EDGE('',*,*,#219717,.T.); #305452=ORIENTED_EDGE('',*,*,#219714,.T.); #305453=ORIENTED_EDGE('',*,*,#219711,.T.); #305454=ORIENTED_EDGE('',*,*,#219722,.F.); #305455=ORIENTED_EDGE('',*,*,#219712,.F.); #305456=ORIENTED_EDGE('',*,*,#219716,.F.); #305457=ORIENTED_EDGE('',*,*,#219719,.F.); #305458=ORIENTED_EDGE('',*,*,#219709,.T.); #305459=ORIENTED_EDGE('',*,*,#219724,.T.); #305460=ORIENTED_EDGE('',*,*,#219725,.T.); #305461=ORIENTED_EDGE('',*,*,#219726,.F.); #305462=ORIENTED_EDGE('',*,*,#219727,.F.); #305463=ORIENTED_EDGE('',*,*,#219728,.T.); #305464=ORIENTED_EDGE('',*,*,#219727,.T.); #305465=ORIENTED_EDGE('',*,*,#219729,.F.); #305466=ORIENTED_EDGE('',*,*,#219730,.F.); #305467=ORIENTED_EDGE('',*,*,#219731,.T.); #305468=ORIENTED_EDGE('',*,*,#219730,.T.); #305469=ORIENTED_EDGE('',*,*,#219732,.F.); #305470=ORIENTED_EDGE('',*,*,#219733,.F.); #305471=ORIENTED_EDGE('',*,*,#219734,.T.); #305472=ORIENTED_EDGE('',*,*,#219733,.T.); #305473=ORIENTED_EDGE('',*,*,#219735,.F.); #305474=ORIENTED_EDGE('',*,*,#219725,.F.); #305475=ORIENTED_EDGE('',*,*,#219735,.T.); #305476=ORIENTED_EDGE('',*,*,#219732,.T.); #305477=ORIENTED_EDGE('',*,*,#219729,.T.); #305478=ORIENTED_EDGE('',*,*,#219726,.T.); #305479=ORIENTED_EDGE('',*,*,#219734,.F.); #305480=ORIENTED_EDGE('',*,*,#219724,.F.); #305481=ORIENTED_EDGE('',*,*,#219728,.F.); #305482=ORIENTED_EDGE('',*,*,#219731,.F.); #305483=ORIENTED_EDGE('',*,*,#219736,.T.); #305484=ORIENTED_EDGE('',*,*,#219737,.T.); #305485=ORIENTED_EDGE('',*,*,#219738,.F.); #305486=ORIENTED_EDGE('',*,*,#219739,.F.); #305487=ORIENTED_EDGE('',*,*,#219740,.T.); #305488=ORIENTED_EDGE('',*,*,#219739,.T.); #305489=ORIENTED_EDGE('',*,*,#219741,.F.); #305490=ORIENTED_EDGE('',*,*,#219742,.F.); #305491=ORIENTED_EDGE('',*,*,#219743,.T.); #305492=ORIENTED_EDGE('',*,*,#219742,.T.); #305493=ORIENTED_EDGE('',*,*,#219744,.F.); #305494=ORIENTED_EDGE('',*,*,#219745,.F.); #305495=ORIENTED_EDGE('',*,*,#219746,.T.); #305496=ORIENTED_EDGE('',*,*,#219745,.T.); #305497=ORIENTED_EDGE('',*,*,#219747,.F.); #305498=ORIENTED_EDGE('',*,*,#219737,.F.); #305499=ORIENTED_EDGE('',*,*,#219747,.T.); #305500=ORIENTED_EDGE('',*,*,#219744,.T.); #305501=ORIENTED_EDGE('',*,*,#219741,.T.); #305502=ORIENTED_EDGE('',*,*,#219738,.T.); #305503=ORIENTED_EDGE('',*,*,#219746,.F.); #305504=ORIENTED_EDGE('',*,*,#219736,.F.); #305505=ORIENTED_EDGE('',*,*,#219740,.F.); #305506=ORIENTED_EDGE('',*,*,#219743,.F.); #305507=ORIENTED_EDGE('',*,*,#219748,.T.); #305508=ORIENTED_EDGE('',*,*,#219749,.T.); #305509=ORIENTED_EDGE('',*,*,#219750,.F.); #305510=ORIENTED_EDGE('',*,*,#219751,.F.); #305511=ORIENTED_EDGE('',*,*,#219752,.T.); #305512=ORIENTED_EDGE('',*,*,#219751,.T.); #305513=ORIENTED_EDGE('',*,*,#219753,.F.); #305514=ORIENTED_EDGE('',*,*,#219754,.F.); #305515=ORIENTED_EDGE('',*,*,#219755,.T.); #305516=ORIENTED_EDGE('',*,*,#219754,.T.); #305517=ORIENTED_EDGE('',*,*,#219756,.F.); #305518=ORIENTED_EDGE('',*,*,#219757,.F.); #305519=ORIENTED_EDGE('',*,*,#219758,.T.); #305520=ORIENTED_EDGE('',*,*,#219757,.T.); #305521=ORIENTED_EDGE('',*,*,#219759,.F.); #305522=ORIENTED_EDGE('',*,*,#219749,.F.); #305523=ORIENTED_EDGE('',*,*,#219759,.T.); #305524=ORIENTED_EDGE('',*,*,#219756,.T.); #305525=ORIENTED_EDGE('',*,*,#219753,.T.); #305526=ORIENTED_EDGE('',*,*,#219750,.T.); #305527=ORIENTED_EDGE('',*,*,#219758,.F.); #305528=ORIENTED_EDGE('',*,*,#219748,.F.); #305529=ORIENTED_EDGE('',*,*,#219752,.F.); #305530=ORIENTED_EDGE('',*,*,#219755,.F.); #305531=ORIENTED_EDGE('',*,*,#219760,.T.); #305532=ORIENTED_EDGE('',*,*,#219761,.T.); #305533=ORIENTED_EDGE('',*,*,#219762,.F.); #305534=ORIENTED_EDGE('',*,*,#219763,.F.); #305535=ORIENTED_EDGE('',*,*,#219764,.T.); #305536=ORIENTED_EDGE('',*,*,#219763,.T.); #305537=ORIENTED_EDGE('',*,*,#219765,.F.); #305538=ORIENTED_EDGE('',*,*,#219766,.F.); #305539=ORIENTED_EDGE('',*,*,#219767,.T.); #305540=ORIENTED_EDGE('',*,*,#219766,.T.); #305541=ORIENTED_EDGE('',*,*,#219768,.F.); #305542=ORIENTED_EDGE('',*,*,#219769,.F.); #305543=ORIENTED_EDGE('',*,*,#219770,.T.); #305544=ORIENTED_EDGE('',*,*,#219769,.T.); #305545=ORIENTED_EDGE('',*,*,#219771,.F.); #305546=ORIENTED_EDGE('',*,*,#219761,.F.); #305547=ORIENTED_EDGE('',*,*,#219771,.T.); #305548=ORIENTED_EDGE('',*,*,#219768,.T.); #305549=ORIENTED_EDGE('',*,*,#219765,.T.); #305550=ORIENTED_EDGE('',*,*,#219762,.T.); #305551=ORIENTED_EDGE('',*,*,#219770,.F.); #305552=ORIENTED_EDGE('',*,*,#219760,.F.); #305553=ORIENTED_EDGE('',*,*,#219764,.F.); #305554=ORIENTED_EDGE('',*,*,#219767,.F.); #305555=ORIENTED_EDGE('',*,*,#219772,.F.); #305556=ORIENTED_EDGE('',*,*,#219773,.T.); #305557=ORIENTED_EDGE('',*,*,#219774,.F.); #305558=ORIENTED_EDGE('',*,*,#219773,.F.); #305559=ORIENTED_EDGE('',*,*,#219775,.F.); #305560=ORIENTED_EDGE('',*,*,#219776,.T.); #305561=ORIENTED_EDGE('',*,*,#219777,.F.); #305562=ORIENTED_EDGE('',*,*,#219776,.F.); #305563=ORIENTED_EDGE('',*,*,#219778,.F.); #305564=ORIENTED_EDGE('',*,*,#219779,.T.); #305565=ORIENTED_EDGE('',*,*,#219780,.F.); #305566=ORIENTED_EDGE('',*,*,#219779,.F.); #305567=ORIENTED_EDGE('',*,*,#219781,.F.); #305568=ORIENTED_EDGE('',*,*,#219782,.T.); #305569=ORIENTED_EDGE('',*,*,#219783,.F.); #305570=ORIENTED_EDGE('',*,*,#219782,.F.); #305571=ORIENTED_EDGE('',*,*,#219784,.F.); #305572=ORIENTED_EDGE('',*,*,#219785,.T.); #305573=ORIENTED_EDGE('',*,*,#219786,.F.); #305574=ORIENTED_EDGE('',*,*,#219785,.F.); #305575=ORIENTED_EDGE('',*,*,#219787,.F.); #305576=ORIENTED_EDGE('',*,*,#219788,.T.); #305577=ORIENTED_EDGE('',*,*,#219789,.F.); #305578=ORIENTED_EDGE('',*,*,#219788,.F.); #305579=ORIENTED_EDGE('',*,*,#219790,.F.); #305580=ORIENTED_EDGE('',*,*,#219791,.T.); #305581=ORIENTED_EDGE('',*,*,#219792,.F.); #305582=ORIENTED_EDGE('',*,*,#219791,.F.); #305583=ORIENTED_EDGE('',*,*,#219793,.F.); #305584=ORIENTED_EDGE('',*,*,#219794,.T.); #305585=ORIENTED_EDGE('',*,*,#219795,.F.); #305586=ORIENTED_EDGE('',*,*,#219794,.F.); #305587=ORIENTED_EDGE('',*,*,#219796,.F.); #305588=ORIENTED_EDGE('',*,*,#219797,.T.); #305589=ORIENTED_EDGE('',*,*,#219798,.F.); #305590=ORIENTED_EDGE('',*,*,#219797,.F.); #305591=ORIENTED_EDGE('',*,*,#219799,.F.); #305592=ORIENTED_EDGE('',*,*,#219800,.T.); #305593=ORIENTED_EDGE('',*,*,#219801,.F.); #305594=ORIENTED_EDGE('',*,*,#219800,.F.); #305595=ORIENTED_EDGE('',*,*,#219802,.F.); #305596=ORIENTED_EDGE('',*,*,#219803,.T.); #305597=ORIENTED_EDGE('',*,*,#219804,.F.); #305598=ORIENTED_EDGE('',*,*,#219803,.F.); #305599=ORIENTED_EDGE('',*,*,#219805,.F.); #305600=ORIENTED_EDGE('',*,*,#219806,.T.); #305601=ORIENTED_EDGE('',*,*,#219807,.F.); #305602=ORIENTED_EDGE('',*,*,#219806,.F.); #305603=ORIENTED_EDGE('',*,*,#219808,.F.); #305604=ORIENTED_EDGE('',*,*,#219809,.T.); #305605=ORIENTED_EDGE('',*,*,#219810,.F.); #305606=ORIENTED_EDGE('',*,*,#219809,.F.); #305607=ORIENTED_EDGE('',*,*,#219811,.F.); #305608=ORIENTED_EDGE('',*,*,#219812,.T.); #305609=ORIENTED_EDGE('',*,*,#219813,.F.); #305610=ORIENTED_EDGE('',*,*,#219812,.F.); #305611=ORIENTED_EDGE('',*,*,#219814,.F.); #305612=ORIENTED_EDGE('',*,*,#219815,.T.); #305613=ORIENTED_EDGE('',*,*,#219816,.F.); #305614=ORIENTED_EDGE('',*,*,#219815,.F.); #305615=ORIENTED_EDGE('',*,*,#219817,.T.); #305616=ORIENTED_EDGE('',*,*,#219818,.T.); #305617=ORIENTED_EDGE('',*,*,#219819,.F.); #305618=ORIENTED_EDGE('',*,*,#219820,.F.); #305619=ORIENTED_EDGE('',*,*,#219821,.T.); #305620=ORIENTED_EDGE('',*,*,#219820,.T.); #305621=ORIENTED_EDGE('',*,*,#219822,.F.); #305622=ORIENTED_EDGE('',*,*,#219823,.F.); #305623=ORIENTED_EDGE('',*,*,#219824,.T.); #305624=ORIENTED_EDGE('',*,*,#219823,.T.); #305625=ORIENTED_EDGE('',*,*,#219825,.F.); #305626=ORIENTED_EDGE('',*,*,#219826,.F.); #305627=ORIENTED_EDGE('',*,*,#219827,.T.); #305628=ORIENTED_EDGE('',*,*,#219826,.T.); #305629=ORIENTED_EDGE('',*,*,#219828,.F.); #305630=ORIENTED_EDGE('',*,*,#219818,.F.); #305631=ORIENTED_EDGE('',*,*,#219828,.T.); #305632=ORIENTED_EDGE('',*,*,#219825,.T.); #305633=ORIENTED_EDGE('',*,*,#219822,.T.); #305634=ORIENTED_EDGE('',*,*,#219819,.T.); #305635=ORIENTED_EDGE('',*,*,#219774,.T.); #305636=ORIENTED_EDGE('',*,*,#219777,.T.); #305637=ORIENTED_EDGE('',*,*,#219780,.T.); #305638=ORIENTED_EDGE('',*,*,#219783,.T.); #305639=ORIENTED_EDGE('',*,*,#219786,.T.); #305640=ORIENTED_EDGE('',*,*,#219789,.T.); #305641=ORIENTED_EDGE('',*,*,#219792,.T.); #305642=ORIENTED_EDGE('',*,*,#219795,.T.); #305643=ORIENTED_EDGE('',*,*,#219798,.T.); #305644=ORIENTED_EDGE('',*,*,#219801,.T.); #305645=ORIENTED_EDGE('',*,*,#219804,.T.); #305646=ORIENTED_EDGE('',*,*,#219807,.T.); #305647=ORIENTED_EDGE('',*,*,#219810,.T.); #305648=ORIENTED_EDGE('',*,*,#219813,.T.); #305649=ORIENTED_EDGE('',*,*,#219816,.T.); #305650=ORIENTED_EDGE('',*,*,#219827,.F.); #305651=ORIENTED_EDGE('',*,*,#219817,.F.); #305652=ORIENTED_EDGE('',*,*,#219821,.F.); #305653=ORIENTED_EDGE('',*,*,#219824,.F.); #305654=ORIENTED_EDGE('',*,*,#219772,.T.); #305655=ORIENTED_EDGE('',*,*,#219775,.T.); #305656=ORIENTED_EDGE('',*,*,#219778,.T.); #305657=ORIENTED_EDGE('',*,*,#219781,.T.); #305658=ORIENTED_EDGE('',*,*,#219784,.T.); #305659=ORIENTED_EDGE('',*,*,#219787,.T.); #305660=ORIENTED_EDGE('',*,*,#219790,.T.); #305661=ORIENTED_EDGE('',*,*,#219793,.T.); #305662=ORIENTED_EDGE('',*,*,#219796,.T.); #305663=ORIENTED_EDGE('',*,*,#219799,.T.); #305664=ORIENTED_EDGE('',*,*,#219802,.T.); #305665=ORIENTED_EDGE('',*,*,#219805,.T.); #305666=ORIENTED_EDGE('',*,*,#219808,.T.); #305667=ORIENTED_EDGE('',*,*,#219811,.T.); #305668=ORIENTED_EDGE('',*,*,#219814,.T.); #305669=ORIENTED_EDGE('',*,*,#219829,.T.); #305670=ORIENTED_EDGE('',*,*,#219830,.T.); #305671=ORIENTED_EDGE('',*,*,#219831,.F.); #305672=ORIENTED_EDGE('',*,*,#219832,.F.); #305673=ORIENTED_EDGE('',*,*,#219833,.T.); #305674=ORIENTED_EDGE('',*,*,#219832,.T.); #305675=ORIENTED_EDGE('',*,*,#219834,.F.); #305676=ORIENTED_EDGE('',*,*,#219835,.F.); #305677=ORIENTED_EDGE('',*,*,#219836,.T.); #305678=ORIENTED_EDGE('',*,*,#219835,.T.); #305679=ORIENTED_EDGE('',*,*,#219837,.F.); #305680=ORIENTED_EDGE('',*,*,#219838,.F.); #305681=ORIENTED_EDGE('',*,*,#219839,.T.); #305682=ORIENTED_EDGE('',*,*,#219838,.T.); #305683=ORIENTED_EDGE('',*,*,#219840,.F.); #305684=ORIENTED_EDGE('',*,*,#219830,.F.); #305685=ORIENTED_EDGE('',*,*,#219840,.T.); #305686=ORIENTED_EDGE('',*,*,#219837,.T.); #305687=ORIENTED_EDGE('',*,*,#219834,.T.); #305688=ORIENTED_EDGE('',*,*,#219831,.T.); #305689=ORIENTED_EDGE('',*,*,#219839,.F.); #305690=ORIENTED_EDGE('',*,*,#219829,.F.); #305691=ORIENTED_EDGE('',*,*,#219833,.F.); #305692=ORIENTED_EDGE('',*,*,#219836,.F.); #305693=ORIENTED_EDGE('',*,*,#219841,.T.); #305694=ORIENTED_EDGE('',*,*,#219842,.T.); #305695=ORIENTED_EDGE('',*,*,#219843,.F.); #305696=ORIENTED_EDGE('',*,*,#219844,.F.); #305697=ORIENTED_EDGE('',*,*,#219845,.T.); #305698=ORIENTED_EDGE('',*,*,#219844,.T.); #305699=ORIENTED_EDGE('',*,*,#219846,.F.); #305700=ORIENTED_EDGE('',*,*,#219847,.F.); #305701=ORIENTED_EDGE('',*,*,#219848,.T.); #305702=ORIENTED_EDGE('',*,*,#219847,.T.); #305703=ORIENTED_EDGE('',*,*,#219849,.F.); #305704=ORIENTED_EDGE('',*,*,#219850,.F.); #305705=ORIENTED_EDGE('',*,*,#219851,.T.); #305706=ORIENTED_EDGE('',*,*,#219850,.T.); #305707=ORIENTED_EDGE('',*,*,#219852,.F.); #305708=ORIENTED_EDGE('',*,*,#219842,.F.); #305709=ORIENTED_EDGE('',*,*,#219852,.T.); #305710=ORIENTED_EDGE('',*,*,#219849,.T.); #305711=ORIENTED_EDGE('',*,*,#219846,.T.); #305712=ORIENTED_EDGE('',*,*,#219843,.T.); #305713=ORIENTED_EDGE('',*,*,#219851,.F.); #305714=ORIENTED_EDGE('',*,*,#219841,.F.); #305715=ORIENTED_EDGE('',*,*,#219845,.F.); #305716=ORIENTED_EDGE('',*,*,#219848,.F.); #305717=ORIENTED_EDGE('',*,*,#219853,.T.); #305718=ORIENTED_EDGE('',*,*,#219854,.T.); #305719=ORIENTED_EDGE('',*,*,#219855,.F.); #305720=ORIENTED_EDGE('',*,*,#219856,.F.); #305721=ORIENTED_EDGE('',*,*,#219857,.T.); #305722=ORIENTED_EDGE('',*,*,#219856,.T.); #305723=ORIENTED_EDGE('',*,*,#219858,.F.); #305724=ORIENTED_EDGE('',*,*,#219859,.F.); #305725=ORIENTED_EDGE('',*,*,#219860,.T.); #305726=ORIENTED_EDGE('',*,*,#219859,.T.); #305727=ORIENTED_EDGE('',*,*,#219861,.F.); #305728=ORIENTED_EDGE('',*,*,#219862,.F.); #305729=ORIENTED_EDGE('',*,*,#219863,.T.); #305730=ORIENTED_EDGE('',*,*,#219862,.T.); #305731=ORIENTED_EDGE('',*,*,#219864,.F.); #305732=ORIENTED_EDGE('',*,*,#219854,.F.); #305733=ORIENTED_EDGE('',*,*,#219864,.T.); #305734=ORIENTED_EDGE('',*,*,#219861,.T.); #305735=ORIENTED_EDGE('',*,*,#219858,.T.); #305736=ORIENTED_EDGE('',*,*,#219855,.T.); #305737=ORIENTED_EDGE('',*,*,#219863,.F.); #305738=ORIENTED_EDGE('',*,*,#219853,.F.); #305739=ORIENTED_EDGE('',*,*,#219857,.F.); #305740=ORIENTED_EDGE('',*,*,#219860,.F.); #305741=ORIENTED_EDGE('',*,*,#219865,.F.); #305742=ORIENTED_EDGE('',*,*,#219866,.T.); #305743=ORIENTED_EDGE('',*,*,#219867,.F.); #305744=ORIENTED_EDGE('',*,*,#219866,.F.); #305745=ORIENTED_EDGE('',*,*,#219868,.T.); #305746=ORIENTED_EDGE('',*,*,#219869,.T.); #305747=ORIENTED_EDGE('',*,*,#219870,.F.); #305748=ORIENTED_EDGE('',*,*,#219871,.F.); #305749=ORIENTED_EDGE('',*,*,#219872,.T.); #305750=ORIENTED_EDGE('',*,*,#219871,.T.); #305751=ORIENTED_EDGE('',*,*,#219873,.F.); #305752=ORIENTED_EDGE('',*,*,#219874,.F.); #305753=ORIENTED_EDGE('',*,*,#219875,.T.); #305754=ORIENTED_EDGE('',*,*,#219874,.T.); #305755=ORIENTED_EDGE('',*,*,#219876,.F.); #305756=ORIENTED_EDGE('',*,*,#219877,.F.); #305757=ORIENTED_EDGE('',*,*,#219878,.T.); #305758=ORIENTED_EDGE('',*,*,#219877,.T.); #305759=ORIENTED_EDGE('',*,*,#219879,.F.); #305760=ORIENTED_EDGE('',*,*,#219869,.F.); #305761=ORIENTED_EDGE('',*,*,#219879,.T.); #305762=ORIENTED_EDGE('',*,*,#219876,.T.); #305763=ORIENTED_EDGE('',*,*,#219873,.T.); #305764=ORIENTED_EDGE('',*,*,#219870,.T.); #305765=ORIENTED_EDGE('',*,*,#219867,.T.); #305766=ORIENTED_EDGE('',*,*,#219878,.F.); #305767=ORIENTED_EDGE('',*,*,#219868,.F.); #305768=ORIENTED_EDGE('',*,*,#219872,.F.); #305769=ORIENTED_EDGE('',*,*,#219875,.F.); #305770=ORIENTED_EDGE('',*,*,#219865,.T.); #305771=ORIENTED_EDGE('',*,*,#219880,.T.); #305772=ORIENTED_EDGE('',*,*,#219881,.T.); #305773=ORIENTED_EDGE('',*,*,#219882,.F.); #305774=ORIENTED_EDGE('',*,*,#219883,.F.); #305775=ORIENTED_EDGE('',*,*,#219884,.T.); #305776=ORIENTED_EDGE('',*,*,#219883,.T.); #305777=ORIENTED_EDGE('',*,*,#219885,.F.); #305778=ORIENTED_EDGE('',*,*,#219886,.F.); #305779=ORIENTED_EDGE('',*,*,#219887,.T.); #305780=ORIENTED_EDGE('',*,*,#219886,.T.); #305781=ORIENTED_EDGE('',*,*,#219888,.F.); #305782=ORIENTED_EDGE('',*,*,#219889,.F.); #305783=ORIENTED_EDGE('',*,*,#219890,.T.); #305784=ORIENTED_EDGE('',*,*,#219889,.T.); #305785=ORIENTED_EDGE('',*,*,#219891,.F.); #305786=ORIENTED_EDGE('',*,*,#219881,.F.); #305787=ORIENTED_EDGE('',*,*,#219891,.T.); #305788=ORIENTED_EDGE('',*,*,#219888,.T.); #305789=ORIENTED_EDGE('',*,*,#219885,.T.); #305790=ORIENTED_EDGE('',*,*,#219882,.T.); #305791=ORIENTED_EDGE('',*,*,#219890,.F.); #305792=ORIENTED_EDGE('',*,*,#219880,.F.); #305793=ORIENTED_EDGE('',*,*,#219884,.F.); #305794=ORIENTED_EDGE('',*,*,#219887,.F.); #305795=ORIENTED_EDGE('',*,*,#219892,.T.); #305796=ORIENTED_EDGE('',*,*,#219893,.T.); #305797=ORIENTED_EDGE('',*,*,#219894,.F.); #305798=ORIENTED_EDGE('',*,*,#219895,.F.); #305799=ORIENTED_EDGE('',*,*,#219896,.T.); #305800=ORIENTED_EDGE('',*,*,#219895,.T.); #305801=ORIENTED_EDGE('',*,*,#219897,.F.); #305802=ORIENTED_EDGE('',*,*,#219898,.F.); #305803=ORIENTED_EDGE('',*,*,#219899,.T.); #305804=ORIENTED_EDGE('',*,*,#219898,.T.); #305805=ORIENTED_EDGE('',*,*,#219900,.F.); #305806=ORIENTED_EDGE('',*,*,#219901,.F.); #305807=ORIENTED_EDGE('',*,*,#219902,.T.); #305808=ORIENTED_EDGE('',*,*,#219901,.T.); #305809=ORIENTED_EDGE('',*,*,#219903,.F.); #305810=ORIENTED_EDGE('',*,*,#219893,.F.); #305811=ORIENTED_EDGE('',*,*,#219903,.T.); #305812=ORIENTED_EDGE('',*,*,#219900,.T.); #305813=ORIENTED_EDGE('',*,*,#219897,.T.); #305814=ORIENTED_EDGE('',*,*,#219894,.T.); #305815=ORIENTED_EDGE('',*,*,#219902,.F.); #305816=ORIENTED_EDGE('',*,*,#219892,.F.); #305817=ORIENTED_EDGE('',*,*,#219896,.F.); #305818=ORIENTED_EDGE('',*,*,#219899,.F.); #305819=ORIENTED_EDGE('',*,*,#219904,.T.); #305820=ORIENTED_EDGE('',*,*,#219905,.T.); #305821=ORIENTED_EDGE('',*,*,#219906,.F.); #305822=ORIENTED_EDGE('',*,*,#219907,.F.); #305823=ORIENTED_EDGE('',*,*,#219908,.T.); #305824=ORIENTED_EDGE('',*,*,#219907,.T.); #305825=ORIENTED_EDGE('',*,*,#219909,.F.); #305826=ORIENTED_EDGE('',*,*,#219910,.F.); #305827=ORIENTED_EDGE('',*,*,#219911,.T.); #305828=ORIENTED_EDGE('',*,*,#219910,.T.); #305829=ORIENTED_EDGE('',*,*,#219912,.F.); #305830=ORIENTED_EDGE('',*,*,#219913,.F.); #305831=ORIENTED_EDGE('',*,*,#219914,.T.); #305832=ORIENTED_EDGE('',*,*,#219913,.T.); #305833=ORIENTED_EDGE('',*,*,#219915,.F.); #305834=ORIENTED_EDGE('',*,*,#219905,.F.); #305835=ORIENTED_EDGE('',*,*,#219915,.T.); #305836=ORIENTED_EDGE('',*,*,#219912,.T.); #305837=ORIENTED_EDGE('',*,*,#219909,.T.); #305838=ORIENTED_EDGE('',*,*,#219906,.T.); #305839=ORIENTED_EDGE('',*,*,#219914,.F.); #305840=ORIENTED_EDGE('',*,*,#219904,.F.); #305841=ORIENTED_EDGE('',*,*,#219908,.F.); #305842=ORIENTED_EDGE('',*,*,#219911,.F.); #305843=ORIENTED_EDGE('',*,*,#219916,.T.); #305844=ORIENTED_EDGE('',*,*,#219917,.T.); #305845=ORIENTED_EDGE('',*,*,#219918,.F.); #305846=ORIENTED_EDGE('',*,*,#219919,.F.); #305847=ORIENTED_EDGE('',*,*,#219920,.T.); #305848=ORIENTED_EDGE('',*,*,#219919,.T.); #305849=ORIENTED_EDGE('',*,*,#219921,.F.); #305850=ORIENTED_EDGE('',*,*,#219922,.F.); #305851=ORIENTED_EDGE('',*,*,#219923,.T.); #305852=ORIENTED_EDGE('',*,*,#219922,.T.); #305853=ORIENTED_EDGE('',*,*,#219924,.F.); #305854=ORIENTED_EDGE('',*,*,#219925,.F.); #305855=ORIENTED_EDGE('',*,*,#219926,.T.); #305856=ORIENTED_EDGE('',*,*,#219925,.T.); #305857=ORIENTED_EDGE('',*,*,#219927,.F.); #305858=ORIENTED_EDGE('',*,*,#219917,.F.); #305859=ORIENTED_EDGE('',*,*,#219927,.T.); #305860=ORIENTED_EDGE('',*,*,#219924,.T.); #305861=ORIENTED_EDGE('',*,*,#219921,.T.); #305862=ORIENTED_EDGE('',*,*,#219918,.T.); #305863=ORIENTED_EDGE('',*,*,#219926,.F.); #305864=ORIENTED_EDGE('',*,*,#219916,.F.); #305865=ORIENTED_EDGE('',*,*,#219920,.F.); #305866=ORIENTED_EDGE('',*,*,#219923,.F.); #305867=ORIENTED_EDGE('',*,*,#219928,.F.); #305868=ORIENTED_EDGE('',*,*,#219929,.T.); #305869=ORIENTED_EDGE('',*,*,#219930,.F.); #305870=ORIENTED_EDGE('',*,*,#219929,.F.); #305871=ORIENTED_EDGE('',*,*,#219931,.F.); #305872=ORIENTED_EDGE('',*,*,#219932,.T.); #305873=ORIENTED_EDGE('',*,*,#219933,.F.); #305874=ORIENTED_EDGE('',*,*,#219932,.F.); #305875=ORIENTED_EDGE('',*,*,#219934,.F.); #305876=ORIENTED_EDGE('',*,*,#219935,.T.); #305877=ORIENTED_EDGE('',*,*,#219936,.F.); #305878=ORIENTED_EDGE('',*,*,#219935,.F.); #305879=ORIENTED_EDGE('',*,*,#219937,.F.); #305880=ORIENTED_EDGE('',*,*,#219938,.T.); #305881=ORIENTED_EDGE('',*,*,#219939,.F.); #305882=ORIENTED_EDGE('',*,*,#219938,.F.); #305883=ORIENTED_EDGE('',*,*,#219940,.F.); #305884=ORIENTED_EDGE('',*,*,#219941,.T.); #305885=ORIENTED_EDGE('',*,*,#219942,.F.); #305886=ORIENTED_EDGE('',*,*,#219941,.F.); #305887=ORIENTED_EDGE('',*,*,#219943,.F.); #305888=ORIENTED_EDGE('',*,*,#219944,.T.); #305889=ORIENTED_EDGE('',*,*,#219945,.F.); #305890=ORIENTED_EDGE('',*,*,#219944,.F.); #305891=ORIENTED_EDGE('',*,*,#219946,.F.); #305892=ORIENTED_EDGE('',*,*,#219947,.T.); #305893=ORIENTED_EDGE('',*,*,#219948,.F.); #305894=ORIENTED_EDGE('',*,*,#219947,.F.); #305895=ORIENTED_EDGE('',*,*,#219949,.F.); #305896=ORIENTED_EDGE('',*,*,#219950,.T.); #305897=ORIENTED_EDGE('',*,*,#219951,.F.); #305898=ORIENTED_EDGE('',*,*,#219950,.F.); #305899=ORIENTED_EDGE('',*,*,#219952,.F.); #305900=ORIENTED_EDGE('',*,*,#219953,.T.); #305901=ORIENTED_EDGE('',*,*,#219954,.F.); #305902=ORIENTED_EDGE('',*,*,#219953,.F.); #305903=ORIENTED_EDGE('',*,*,#219955,.F.); #305904=ORIENTED_EDGE('',*,*,#219956,.T.); #305905=ORIENTED_EDGE('',*,*,#219957,.F.); #305906=ORIENTED_EDGE('',*,*,#219956,.F.); #305907=ORIENTED_EDGE('',*,*,#219958,.F.); #305908=ORIENTED_EDGE('',*,*,#219959,.T.); #305909=ORIENTED_EDGE('',*,*,#219960,.F.); #305910=ORIENTED_EDGE('',*,*,#219959,.F.); #305911=ORIENTED_EDGE('',*,*,#219961,.F.); #305912=ORIENTED_EDGE('',*,*,#219962,.T.); #305913=ORIENTED_EDGE('',*,*,#219963,.F.); #305914=ORIENTED_EDGE('',*,*,#219962,.F.); #305915=ORIENTED_EDGE('',*,*,#219964,.F.); #305916=ORIENTED_EDGE('',*,*,#219965,.T.); #305917=ORIENTED_EDGE('',*,*,#219966,.F.); #305918=ORIENTED_EDGE('',*,*,#219965,.F.); #305919=ORIENTED_EDGE('',*,*,#219967,.F.); #305920=ORIENTED_EDGE('',*,*,#219968,.T.); #305921=ORIENTED_EDGE('',*,*,#219969,.F.); #305922=ORIENTED_EDGE('',*,*,#219968,.F.); #305923=ORIENTED_EDGE('',*,*,#219970,.F.); #305924=ORIENTED_EDGE('',*,*,#219971,.T.); #305925=ORIENTED_EDGE('',*,*,#219972,.F.); #305926=ORIENTED_EDGE('',*,*,#219971,.F.); #305927=ORIENTED_EDGE('',*,*,#219973,.T.); #305928=ORIENTED_EDGE('',*,*,#219974,.T.); #305929=ORIENTED_EDGE('',*,*,#219975,.F.); #305930=ORIENTED_EDGE('',*,*,#219976,.F.); #305931=ORIENTED_EDGE('',*,*,#219977,.T.); #305932=ORIENTED_EDGE('',*,*,#219976,.T.); #305933=ORIENTED_EDGE('',*,*,#219978,.F.); #305934=ORIENTED_EDGE('',*,*,#219979,.F.); #305935=ORIENTED_EDGE('',*,*,#219980,.T.); #305936=ORIENTED_EDGE('',*,*,#219979,.T.); #305937=ORIENTED_EDGE('',*,*,#219981,.F.); #305938=ORIENTED_EDGE('',*,*,#219982,.F.); #305939=ORIENTED_EDGE('',*,*,#219983,.T.); #305940=ORIENTED_EDGE('',*,*,#219982,.T.); #305941=ORIENTED_EDGE('',*,*,#219984,.F.); #305942=ORIENTED_EDGE('',*,*,#219974,.F.); #305943=ORIENTED_EDGE('',*,*,#219984,.T.); #305944=ORIENTED_EDGE('',*,*,#219981,.T.); #305945=ORIENTED_EDGE('',*,*,#219978,.T.); #305946=ORIENTED_EDGE('',*,*,#219975,.T.); #305947=ORIENTED_EDGE('',*,*,#219930,.T.); #305948=ORIENTED_EDGE('',*,*,#219933,.T.); #305949=ORIENTED_EDGE('',*,*,#219936,.T.); #305950=ORIENTED_EDGE('',*,*,#219939,.T.); #305951=ORIENTED_EDGE('',*,*,#219942,.T.); #305952=ORIENTED_EDGE('',*,*,#219945,.T.); #305953=ORIENTED_EDGE('',*,*,#219948,.T.); #305954=ORIENTED_EDGE('',*,*,#219951,.T.); #305955=ORIENTED_EDGE('',*,*,#219954,.T.); #305956=ORIENTED_EDGE('',*,*,#219957,.T.); #305957=ORIENTED_EDGE('',*,*,#219960,.T.); #305958=ORIENTED_EDGE('',*,*,#219963,.T.); #305959=ORIENTED_EDGE('',*,*,#219966,.T.); #305960=ORIENTED_EDGE('',*,*,#219969,.T.); #305961=ORIENTED_EDGE('',*,*,#219972,.T.); #305962=ORIENTED_EDGE('',*,*,#219983,.F.); #305963=ORIENTED_EDGE('',*,*,#219973,.F.); #305964=ORIENTED_EDGE('',*,*,#219977,.F.); #305965=ORIENTED_EDGE('',*,*,#219980,.F.); #305966=ORIENTED_EDGE('',*,*,#219928,.T.); #305967=ORIENTED_EDGE('',*,*,#219931,.T.); #305968=ORIENTED_EDGE('',*,*,#219934,.T.); #305969=ORIENTED_EDGE('',*,*,#219937,.T.); #305970=ORIENTED_EDGE('',*,*,#219940,.T.); #305971=ORIENTED_EDGE('',*,*,#219943,.T.); #305972=ORIENTED_EDGE('',*,*,#219946,.T.); #305973=ORIENTED_EDGE('',*,*,#219949,.T.); #305974=ORIENTED_EDGE('',*,*,#219952,.T.); #305975=ORIENTED_EDGE('',*,*,#219955,.T.); #305976=ORIENTED_EDGE('',*,*,#219958,.T.); #305977=ORIENTED_EDGE('',*,*,#219961,.T.); #305978=ORIENTED_EDGE('',*,*,#219964,.T.); #305979=ORIENTED_EDGE('',*,*,#219967,.T.); #305980=ORIENTED_EDGE('',*,*,#219970,.T.); #305981=ORIENTED_EDGE('',*,*,#219985,.T.); #305982=ORIENTED_EDGE('',*,*,#219986,.T.); #305983=ORIENTED_EDGE('',*,*,#219987,.F.); #305984=ORIENTED_EDGE('',*,*,#219988,.F.); #305985=ORIENTED_EDGE('',*,*,#219989,.T.); #305986=ORIENTED_EDGE('',*,*,#219988,.T.); #305987=ORIENTED_EDGE('',*,*,#219990,.F.); #305988=ORIENTED_EDGE('',*,*,#219991,.F.); #305989=ORIENTED_EDGE('',*,*,#219992,.T.); #305990=ORIENTED_EDGE('',*,*,#219991,.T.); #305991=ORIENTED_EDGE('',*,*,#219993,.F.); #305992=ORIENTED_EDGE('',*,*,#219994,.F.); #305993=ORIENTED_EDGE('',*,*,#219995,.T.); #305994=ORIENTED_EDGE('',*,*,#219994,.T.); #305995=ORIENTED_EDGE('',*,*,#219996,.F.); #305996=ORIENTED_EDGE('',*,*,#219986,.F.); #305997=ORIENTED_EDGE('',*,*,#219996,.T.); #305998=ORIENTED_EDGE('',*,*,#219993,.T.); #305999=ORIENTED_EDGE('',*,*,#219990,.T.); #306000=ORIENTED_EDGE('',*,*,#219987,.T.); #306001=ORIENTED_EDGE('',*,*,#219995,.F.); #306002=ORIENTED_EDGE('',*,*,#219985,.F.); #306003=ORIENTED_EDGE('',*,*,#219989,.F.); #306004=ORIENTED_EDGE('',*,*,#219992,.F.); #306005=ORIENTED_EDGE('',*,*,#219997,.T.); #306006=ORIENTED_EDGE('',*,*,#219998,.T.); #306007=ORIENTED_EDGE('',*,*,#219999,.F.); #306008=ORIENTED_EDGE('',*,*,#220000,.F.); #306009=ORIENTED_EDGE('',*,*,#220001,.T.); #306010=ORIENTED_EDGE('',*,*,#220000,.T.); #306011=ORIENTED_EDGE('',*,*,#220002,.F.); #306012=ORIENTED_EDGE('',*,*,#220003,.F.); #306013=ORIENTED_EDGE('',*,*,#220004,.T.); #306014=ORIENTED_EDGE('',*,*,#220003,.T.); #306015=ORIENTED_EDGE('',*,*,#220005,.F.); #306016=ORIENTED_EDGE('',*,*,#220006,.F.); #306017=ORIENTED_EDGE('',*,*,#220007,.T.); #306018=ORIENTED_EDGE('',*,*,#220006,.T.); #306019=ORIENTED_EDGE('',*,*,#220008,.F.); #306020=ORIENTED_EDGE('',*,*,#219998,.F.); #306021=ORIENTED_EDGE('',*,*,#220008,.T.); #306022=ORIENTED_EDGE('',*,*,#220005,.T.); #306023=ORIENTED_EDGE('',*,*,#220002,.T.); #306024=ORIENTED_EDGE('',*,*,#219999,.T.); #306025=ORIENTED_EDGE('',*,*,#220007,.F.); #306026=ORIENTED_EDGE('',*,*,#219997,.F.); #306027=ORIENTED_EDGE('',*,*,#220001,.F.); #306028=ORIENTED_EDGE('',*,*,#220004,.F.); #306029=ORIENTED_EDGE('',*,*,#220009,.T.); #306030=ORIENTED_EDGE('',*,*,#220010,.T.); #306031=ORIENTED_EDGE('',*,*,#220011,.F.); #306032=ORIENTED_EDGE('',*,*,#220012,.F.); #306033=ORIENTED_EDGE('',*,*,#220013,.T.); #306034=ORIENTED_EDGE('',*,*,#220012,.T.); #306035=ORIENTED_EDGE('',*,*,#220014,.F.); #306036=ORIENTED_EDGE('',*,*,#220015,.F.); #306037=ORIENTED_EDGE('',*,*,#220016,.T.); #306038=ORIENTED_EDGE('',*,*,#220015,.T.); #306039=ORIENTED_EDGE('',*,*,#220017,.F.); #306040=ORIENTED_EDGE('',*,*,#220018,.F.); #306041=ORIENTED_EDGE('',*,*,#220019,.T.); #306042=ORIENTED_EDGE('',*,*,#220018,.T.); #306043=ORIENTED_EDGE('',*,*,#220020,.F.); #306044=ORIENTED_EDGE('',*,*,#220010,.F.); #306045=ORIENTED_EDGE('',*,*,#220020,.T.); #306046=ORIENTED_EDGE('',*,*,#220017,.T.); #306047=ORIENTED_EDGE('',*,*,#220014,.T.); #306048=ORIENTED_EDGE('',*,*,#220011,.T.); #306049=ORIENTED_EDGE('',*,*,#220019,.F.); #306050=ORIENTED_EDGE('',*,*,#220009,.F.); #306051=ORIENTED_EDGE('',*,*,#220013,.F.); #306052=ORIENTED_EDGE('',*,*,#220016,.F.); #306053=ORIENTED_EDGE('',*,*,#220021,.F.); #306054=ORIENTED_EDGE('',*,*,#220022,.T.); #306055=ORIENTED_EDGE('',*,*,#220023,.F.); #306056=ORIENTED_EDGE('',*,*,#220022,.F.); #306057=ORIENTED_EDGE('',*,*,#220024,.T.); #306058=ORIENTED_EDGE('',*,*,#220025,.T.); #306059=ORIENTED_EDGE('',*,*,#220026,.F.); #306060=ORIENTED_EDGE('',*,*,#220027,.F.); #306061=ORIENTED_EDGE('',*,*,#220028,.T.); #306062=ORIENTED_EDGE('',*,*,#220027,.T.); #306063=ORIENTED_EDGE('',*,*,#220029,.F.); #306064=ORIENTED_EDGE('',*,*,#220030,.F.); #306065=ORIENTED_EDGE('',*,*,#220031,.T.); #306066=ORIENTED_EDGE('',*,*,#220030,.T.); #306067=ORIENTED_EDGE('',*,*,#220032,.F.); #306068=ORIENTED_EDGE('',*,*,#220033,.F.); #306069=ORIENTED_EDGE('',*,*,#220034,.T.); #306070=ORIENTED_EDGE('',*,*,#220033,.T.); #306071=ORIENTED_EDGE('',*,*,#220035,.F.); #306072=ORIENTED_EDGE('',*,*,#220025,.F.); #306073=ORIENTED_EDGE('',*,*,#220035,.T.); #306074=ORIENTED_EDGE('',*,*,#220032,.T.); #306075=ORIENTED_EDGE('',*,*,#220029,.T.); #306076=ORIENTED_EDGE('',*,*,#220026,.T.); #306077=ORIENTED_EDGE('',*,*,#220023,.T.); #306078=ORIENTED_EDGE('',*,*,#220034,.F.); #306079=ORIENTED_EDGE('',*,*,#220024,.F.); #306080=ORIENTED_EDGE('',*,*,#220028,.F.); #306081=ORIENTED_EDGE('',*,*,#220031,.F.); #306082=ORIENTED_EDGE('',*,*,#220021,.T.); #306083=ORIENTED_EDGE('',*,*,#220036,.F.); #306084=ORIENTED_EDGE('',*,*,#220037,.T.); #306085=ORIENTED_EDGE('',*,*,#220038,.F.); #306086=ORIENTED_EDGE('',*,*,#220037,.F.); #306087=ORIENTED_EDGE('',*,*,#220039,.T.); #306088=ORIENTED_EDGE('',*,*,#220040,.T.); #306089=ORIENTED_EDGE('',*,*,#220041,.F.); #306090=ORIENTED_EDGE('',*,*,#220042,.F.); #306091=ORIENTED_EDGE('',*,*,#220043,.T.); #306092=ORIENTED_EDGE('',*,*,#220042,.T.); #306093=ORIENTED_EDGE('',*,*,#220044,.F.); #306094=ORIENTED_EDGE('',*,*,#220045,.F.); #306095=ORIENTED_EDGE('',*,*,#220046,.T.); #306096=ORIENTED_EDGE('',*,*,#220045,.T.); #306097=ORIENTED_EDGE('',*,*,#220047,.F.); #306098=ORIENTED_EDGE('',*,*,#220048,.F.); #306099=ORIENTED_EDGE('',*,*,#220049,.T.); #306100=ORIENTED_EDGE('',*,*,#220048,.T.); #306101=ORIENTED_EDGE('',*,*,#220050,.F.); #306102=ORIENTED_EDGE('',*,*,#220040,.F.); #306103=ORIENTED_EDGE('',*,*,#220050,.T.); #306104=ORIENTED_EDGE('',*,*,#220047,.T.); #306105=ORIENTED_EDGE('',*,*,#220044,.T.); #306106=ORIENTED_EDGE('',*,*,#220041,.T.); #306107=ORIENTED_EDGE('',*,*,#220038,.T.); #306108=ORIENTED_EDGE('',*,*,#220049,.F.); #306109=ORIENTED_EDGE('',*,*,#220039,.F.); #306110=ORIENTED_EDGE('',*,*,#220043,.F.); #306111=ORIENTED_EDGE('',*,*,#220046,.F.); #306112=ORIENTED_EDGE('',*,*,#220036,.T.); #306113=ORIENTED_EDGE('',*,*,#220051,.F.); #306114=ORIENTED_EDGE('',*,*,#220052,.T.); #306115=ORIENTED_EDGE('',*,*,#220053,.F.); #306116=ORIENTED_EDGE('',*,*,#220052,.F.); #306117=ORIENTED_EDGE('',*,*,#220054,.T.); #306118=ORIENTED_EDGE('',*,*,#220055,.T.); #306119=ORIENTED_EDGE('',*,*,#220056,.F.); #306120=ORIENTED_EDGE('',*,*,#220057,.F.); #306121=ORIENTED_EDGE('',*,*,#220058,.T.); #306122=ORIENTED_EDGE('',*,*,#220057,.T.); #306123=ORIENTED_EDGE('',*,*,#220059,.F.); #306124=ORIENTED_EDGE('',*,*,#220060,.F.); #306125=ORIENTED_EDGE('',*,*,#220061,.T.); #306126=ORIENTED_EDGE('',*,*,#220060,.T.); #306127=ORIENTED_EDGE('',*,*,#220062,.F.); #306128=ORIENTED_EDGE('',*,*,#220063,.F.); #306129=ORIENTED_EDGE('',*,*,#220064,.T.); #306130=ORIENTED_EDGE('',*,*,#220063,.T.); #306131=ORIENTED_EDGE('',*,*,#220065,.F.); #306132=ORIENTED_EDGE('',*,*,#220055,.F.); #306133=ORIENTED_EDGE('',*,*,#220065,.T.); #306134=ORIENTED_EDGE('',*,*,#220062,.T.); #306135=ORIENTED_EDGE('',*,*,#220059,.T.); #306136=ORIENTED_EDGE('',*,*,#220056,.T.); #306137=ORIENTED_EDGE('',*,*,#220053,.T.); #306138=ORIENTED_EDGE('',*,*,#220064,.F.); #306139=ORIENTED_EDGE('',*,*,#220054,.F.); #306140=ORIENTED_EDGE('',*,*,#220058,.F.); #306141=ORIENTED_EDGE('',*,*,#220061,.F.); #306142=ORIENTED_EDGE('',*,*,#220051,.T.); #306143=ORIENTED_EDGE('',*,*,#220066,.F.); #306144=ORIENTED_EDGE('',*,*,#220067,.T.); #306145=ORIENTED_EDGE('',*,*,#220068,.F.); #306146=ORIENTED_EDGE('',*,*,#220067,.F.); #306147=ORIENTED_EDGE('',*,*,#220069,.F.); #306148=ORIENTED_EDGE('',*,*,#220070,.T.); #306149=ORIENTED_EDGE('',*,*,#220071,.F.); #306150=ORIENTED_EDGE('',*,*,#220070,.F.); #306151=ORIENTED_EDGE('',*,*,#220072,.F.); #306152=ORIENTED_EDGE('',*,*,#220073,.T.); #306153=ORIENTED_EDGE('',*,*,#220074,.F.); #306154=ORIENTED_EDGE('',*,*,#220073,.F.); #306155=ORIENTED_EDGE('',*,*,#220075,.F.); #306156=ORIENTED_EDGE('',*,*,#220076,.T.); #306157=ORIENTED_EDGE('',*,*,#220077,.F.); #306158=ORIENTED_EDGE('',*,*,#220076,.F.); #306159=ORIENTED_EDGE('',*,*,#220078,.F.); #306160=ORIENTED_EDGE('',*,*,#220079,.T.); #306161=ORIENTED_EDGE('',*,*,#220080,.F.); #306162=ORIENTED_EDGE('',*,*,#220079,.F.); #306163=ORIENTED_EDGE('',*,*,#220081,.F.); #306164=ORIENTED_EDGE('',*,*,#220082,.T.); #306165=ORIENTED_EDGE('',*,*,#220083,.F.); #306166=ORIENTED_EDGE('',*,*,#220082,.F.); #306167=ORIENTED_EDGE('',*,*,#220084,.F.); #306168=ORIENTED_EDGE('',*,*,#220085,.T.); #306169=ORIENTED_EDGE('',*,*,#220086,.F.); #306170=ORIENTED_EDGE('',*,*,#220085,.F.); #306171=ORIENTED_EDGE('',*,*,#220087,.F.); #306172=ORIENTED_EDGE('',*,*,#220088,.T.); #306173=ORIENTED_EDGE('',*,*,#220089,.F.); #306174=ORIENTED_EDGE('',*,*,#220088,.F.); #306175=ORIENTED_EDGE('',*,*,#220090,.F.); #306176=ORIENTED_EDGE('',*,*,#220091,.T.); #306177=ORIENTED_EDGE('',*,*,#220092,.F.); #306178=ORIENTED_EDGE('',*,*,#220091,.F.); #306179=ORIENTED_EDGE('',*,*,#220093,.F.); #306180=ORIENTED_EDGE('',*,*,#220094,.T.); #306181=ORIENTED_EDGE('',*,*,#220095,.F.); #306182=ORIENTED_EDGE('',*,*,#220094,.F.); #306183=ORIENTED_EDGE('',*,*,#220096,.F.); #306184=ORIENTED_EDGE('',*,*,#220097,.T.); #306185=ORIENTED_EDGE('',*,*,#220098,.F.); #306186=ORIENTED_EDGE('',*,*,#220097,.F.); #306187=ORIENTED_EDGE('',*,*,#220099,.F.); #306188=ORIENTED_EDGE('',*,*,#220100,.T.); #306189=ORIENTED_EDGE('',*,*,#220101,.F.); #306190=ORIENTED_EDGE('',*,*,#220100,.F.); #306191=ORIENTED_EDGE('',*,*,#220102,.F.); #306192=ORIENTED_EDGE('',*,*,#220103,.T.); #306193=ORIENTED_EDGE('',*,*,#220104,.F.); #306194=ORIENTED_EDGE('',*,*,#220103,.F.); #306195=ORIENTED_EDGE('',*,*,#220105,.F.); #306196=ORIENTED_EDGE('',*,*,#220106,.T.); #306197=ORIENTED_EDGE('',*,*,#220107,.F.); #306198=ORIENTED_EDGE('',*,*,#220106,.F.); #306199=ORIENTED_EDGE('',*,*,#220108,.F.); #306200=ORIENTED_EDGE('',*,*,#220109,.T.); #306201=ORIENTED_EDGE('',*,*,#220110,.F.); #306202=ORIENTED_EDGE('',*,*,#220109,.F.); #306203=ORIENTED_EDGE('',*,*,#220111,.T.); #306204=ORIENTED_EDGE('',*,*,#220112,.T.); #306205=ORIENTED_EDGE('',*,*,#220113,.F.); #306206=ORIENTED_EDGE('',*,*,#220114,.F.); #306207=ORIENTED_EDGE('',*,*,#220115,.T.); #306208=ORIENTED_EDGE('',*,*,#220114,.T.); #306209=ORIENTED_EDGE('',*,*,#220116,.F.); #306210=ORIENTED_EDGE('',*,*,#220117,.F.); #306211=ORIENTED_EDGE('',*,*,#220118,.T.); #306212=ORIENTED_EDGE('',*,*,#220117,.T.); #306213=ORIENTED_EDGE('',*,*,#220119,.F.); #306214=ORIENTED_EDGE('',*,*,#220120,.F.); #306215=ORIENTED_EDGE('',*,*,#220121,.T.); #306216=ORIENTED_EDGE('',*,*,#220120,.T.); #306217=ORIENTED_EDGE('',*,*,#220122,.F.); #306218=ORIENTED_EDGE('',*,*,#220112,.F.); #306219=ORIENTED_EDGE('',*,*,#220122,.T.); #306220=ORIENTED_EDGE('',*,*,#220119,.T.); #306221=ORIENTED_EDGE('',*,*,#220116,.T.); #306222=ORIENTED_EDGE('',*,*,#220113,.T.); #306223=ORIENTED_EDGE('',*,*,#220068,.T.); #306224=ORIENTED_EDGE('',*,*,#220071,.T.); #306225=ORIENTED_EDGE('',*,*,#220074,.T.); #306226=ORIENTED_EDGE('',*,*,#220077,.T.); #306227=ORIENTED_EDGE('',*,*,#220080,.T.); #306228=ORIENTED_EDGE('',*,*,#220083,.T.); #306229=ORIENTED_EDGE('',*,*,#220086,.T.); #306230=ORIENTED_EDGE('',*,*,#220089,.T.); #306231=ORIENTED_EDGE('',*,*,#220092,.T.); #306232=ORIENTED_EDGE('',*,*,#220095,.T.); #306233=ORIENTED_EDGE('',*,*,#220098,.T.); #306234=ORIENTED_EDGE('',*,*,#220101,.T.); #306235=ORIENTED_EDGE('',*,*,#220104,.T.); #306236=ORIENTED_EDGE('',*,*,#220107,.T.); #306237=ORIENTED_EDGE('',*,*,#220110,.T.); #306238=ORIENTED_EDGE('',*,*,#220121,.F.); #306239=ORIENTED_EDGE('',*,*,#220111,.F.); #306240=ORIENTED_EDGE('',*,*,#220115,.F.); #306241=ORIENTED_EDGE('',*,*,#220118,.F.); #306242=ORIENTED_EDGE('',*,*,#220066,.T.); #306243=ORIENTED_EDGE('',*,*,#220069,.T.); #306244=ORIENTED_EDGE('',*,*,#220072,.T.); #306245=ORIENTED_EDGE('',*,*,#220075,.T.); #306246=ORIENTED_EDGE('',*,*,#220078,.T.); #306247=ORIENTED_EDGE('',*,*,#220081,.T.); #306248=ORIENTED_EDGE('',*,*,#220084,.T.); #306249=ORIENTED_EDGE('',*,*,#220087,.T.); #306250=ORIENTED_EDGE('',*,*,#220090,.T.); #306251=ORIENTED_EDGE('',*,*,#220093,.T.); #306252=ORIENTED_EDGE('',*,*,#220096,.T.); #306253=ORIENTED_EDGE('',*,*,#220099,.T.); #306254=ORIENTED_EDGE('',*,*,#220102,.T.); #306255=ORIENTED_EDGE('',*,*,#220105,.T.); #306256=ORIENTED_EDGE('',*,*,#220108,.T.); #306257=ORIENTED_EDGE('',*,*,#220123,.T.); #306258=ORIENTED_EDGE('',*,*,#220124,.T.); #306259=ORIENTED_EDGE('',*,*,#220125,.F.); #306260=ORIENTED_EDGE('',*,*,#220126,.F.); #306261=ORIENTED_EDGE('',*,*,#220127,.T.); #306262=ORIENTED_EDGE('',*,*,#220126,.T.); #306263=ORIENTED_EDGE('',*,*,#220128,.F.); #306264=ORIENTED_EDGE('',*,*,#220129,.F.); #306265=ORIENTED_EDGE('',*,*,#220130,.T.); #306266=ORIENTED_EDGE('',*,*,#220129,.T.); #306267=ORIENTED_EDGE('',*,*,#220131,.F.); #306268=ORIENTED_EDGE('',*,*,#220132,.F.); #306269=ORIENTED_EDGE('',*,*,#220133,.T.); #306270=ORIENTED_EDGE('',*,*,#220132,.T.); #306271=ORIENTED_EDGE('',*,*,#220134,.F.); #306272=ORIENTED_EDGE('',*,*,#220124,.F.); #306273=ORIENTED_EDGE('',*,*,#220134,.T.); #306274=ORIENTED_EDGE('',*,*,#220131,.T.); #306275=ORIENTED_EDGE('',*,*,#220128,.T.); #306276=ORIENTED_EDGE('',*,*,#220125,.T.); #306277=ORIENTED_EDGE('',*,*,#220133,.F.); #306278=ORIENTED_EDGE('',*,*,#220123,.F.); #306279=ORIENTED_EDGE('',*,*,#220127,.F.); #306280=ORIENTED_EDGE('',*,*,#220130,.F.); #306281=ORIENTED_EDGE('',*,*,#220135,.T.); #306282=ORIENTED_EDGE('',*,*,#220136,.T.); #306283=ORIENTED_EDGE('',*,*,#220137,.F.); #306284=ORIENTED_EDGE('',*,*,#220138,.F.); #306285=ORIENTED_EDGE('',*,*,#220139,.T.); #306286=ORIENTED_EDGE('',*,*,#220138,.T.); #306287=ORIENTED_EDGE('',*,*,#220140,.F.); #306288=ORIENTED_EDGE('',*,*,#220141,.F.); #306289=ORIENTED_EDGE('',*,*,#220142,.T.); #306290=ORIENTED_EDGE('',*,*,#220141,.T.); #306291=ORIENTED_EDGE('',*,*,#220143,.F.); #306292=ORIENTED_EDGE('',*,*,#220144,.F.); #306293=ORIENTED_EDGE('',*,*,#220145,.T.); #306294=ORIENTED_EDGE('',*,*,#220144,.T.); #306295=ORIENTED_EDGE('',*,*,#220146,.F.); #306296=ORIENTED_EDGE('',*,*,#220136,.F.); #306297=ORIENTED_EDGE('',*,*,#220146,.T.); #306298=ORIENTED_EDGE('',*,*,#220143,.T.); #306299=ORIENTED_EDGE('',*,*,#220140,.T.); #306300=ORIENTED_EDGE('',*,*,#220137,.T.); #306301=ORIENTED_EDGE('',*,*,#220145,.F.); #306302=ORIENTED_EDGE('',*,*,#220135,.F.); #306303=ORIENTED_EDGE('',*,*,#220139,.F.); #306304=ORIENTED_EDGE('',*,*,#220142,.F.); #306305=ORIENTED_EDGE('',*,*,#220147,.T.); #306306=ORIENTED_EDGE('',*,*,#220148,.T.); #306307=ORIENTED_EDGE('',*,*,#220149,.F.); #306308=ORIENTED_EDGE('',*,*,#220150,.F.); #306309=ORIENTED_EDGE('',*,*,#220151,.T.); #306310=ORIENTED_EDGE('',*,*,#220150,.T.); #306311=ORIENTED_EDGE('',*,*,#220152,.F.); #306312=ORIENTED_EDGE('',*,*,#220153,.F.); #306313=ORIENTED_EDGE('',*,*,#220154,.T.); #306314=ORIENTED_EDGE('',*,*,#220153,.T.); #306315=ORIENTED_EDGE('',*,*,#220155,.F.); #306316=ORIENTED_EDGE('',*,*,#220156,.F.); #306317=ORIENTED_EDGE('',*,*,#220157,.T.); #306318=ORIENTED_EDGE('',*,*,#220156,.T.); #306319=ORIENTED_EDGE('',*,*,#220158,.F.); #306320=ORIENTED_EDGE('',*,*,#220148,.F.); #306321=ORIENTED_EDGE('',*,*,#220158,.T.); #306322=ORIENTED_EDGE('',*,*,#220155,.T.); #306323=ORIENTED_EDGE('',*,*,#220152,.T.); #306324=ORIENTED_EDGE('',*,*,#220149,.T.); #306325=ORIENTED_EDGE('',*,*,#220157,.F.); #306326=ORIENTED_EDGE('',*,*,#220147,.F.); #306327=ORIENTED_EDGE('',*,*,#220151,.F.); #306328=ORIENTED_EDGE('',*,*,#220154,.F.); #306329=ORIENTED_EDGE('',*,*,#220159,.T.); #306330=ORIENTED_EDGE('',*,*,#220160,.T.); #306331=ORIENTED_EDGE('',*,*,#220161,.F.); #306332=ORIENTED_EDGE('',*,*,#220162,.F.); #306333=ORIENTED_EDGE('',*,*,#220163,.T.); #306334=ORIENTED_EDGE('',*,*,#220162,.T.); #306335=ORIENTED_EDGE('',*,*,#220164,.F.); #306336=ORIENTED_EDGE('',*,*,#220165,.F.); #306337=ORIENTED_EDGE('',*,*,#220166,.T.); #306338=ORIENTED_EDGE('',*,*,#220165,.T.); #306339=ORIENTED_EDGE('',*,*,#220167,.F.); #306340=ORIENTED_EDGE('',*,*,#220168,.F.); #306341=ORIENTED_EDGE('',*,*,#220169,.T.); #306342=ORIENTED_EDGE('',*,*,#220168,.T.); #306343=ORIENTED_EDGE('',*,*,#220170,.F.); #306344=ORIENTED_EDGE('',*,*,#220160,.F.); #306345=ORIENTED_EDGE('',*,*,#220170,.T.); #306346=ORIENTED_EDGE('',*,*,#220167,.T.); #306347=ORIENTED_EDGE('',*,*,#220164,.T.); #306348=ORIENTED_EDGE('',*,*,#220161,.T.); #306349=ORIENTED_EDGE('',*,*,#220169,.F.); #306350=ORIENTED_EDGE('',*,*,#220159,.F.); #306351=ORIENTED_EDGE('',*,*,#220163,.F.); #306352=ORIENTED_EDGE('',*,*,#220166,.F.); #306353=ORIENTED_EDGE('',*,*,#220171,.T.); #306354=ORIENTED_EDGE('',*,*,#220172,.T.); #306355=ORIENTED_EDGE('',*,*,#220173,.F.); #306356=ORIENTED_EDGE('',*,*,#220174,.F.); #306357=ORIENTED_EDGE('',*,*,#220175,.T.); #306358=ORIENTED_EDGE('',*,*,#220174,.T.); #306359=ORIENTED_EDGE('',*,*,#220176,.F.); #306360=ORIENTED_EDGE('',*,*,#220177,.F.); #306361=ORIENTED_EDGE('',*,*,#220178,.T.); #306362=ORIENTED_EDGE('',*,*,#220177,.T.); #306363=ORIENTED_EDGE('',*,*,#220179,.F.); #306364=ORIENTED_EDGE('',*,*,#220180,.F.); #306365=ORIENTED_EDGE('',*,*,#220181,.T.); #306366=ORIENTED_EDGE('',*,*,#220180,.T.); #306367=ORIENTED_EDGE('',*,*,#220182,.F.); #306368=ORIENTED_EDGE('',*,*,#220172,.F.); #306369=ORIENTED_EDGE('',*,*,#220182,.T.); #306370=ORIENTED_EDGE('',*,*,#220179,.T.); #306371=ORIENTED_EDGE('',*,*,#220176,.T.); #306372=ORIENTED_EDGE('',*,*,#220173,.T.); #306373=ORIENTED_EDGE('',*,*,#220181,.F.); #306374=ORIENTED_EDGE('',*,*,#220171,.F.); #306375=ORIENTED_EDGE('',*,*,#220175,.F.); #306376=ORIENTED_EDGE('',*,*,#220178,.F.); #306377=ORIENTED_EDGE('',*,*,#220183,.T.); #306378=ORIENTED_EDGE('',*,*,#220184,.T.); #306379=ORIENTED_EDGE('',*,*,#220185,.F.); #306380=ORIENTED_EDGE('',*,*,#220186,.F.); #306381=ORIENTED_EDGE('',*,*,#220187,.T.); #306382=ORIENTED_EDGE('',*,*,#220186,.T.); #306383=ORIENTED_EDGE('',*,*,#220188,.F.); #306384=ORIENTED_EDGE('',*,*,#220189,.F.); #306385=ORIENTED_EDGE('',*,*,#220190,.T.); #306386=ORIENTED_EDGE('',*,*,#220189,.T.); #306387=ORIENTED_EDGE('',*,*,#220191,.F.); #306388=ORIENTED_EDGE('',*,*,#220192,.F.); #306389=ORIENTED_EDGE('',*,*,#220193,.T.); #306390=ORIENTED_EDGE('',*,*,#220192,.T.); #306391=ORIENTED_EDGE('',*,*,#220194,.F.); #306392=ORIENTED_EDGE('',*,*,#220184,.F.); #306393=ORIENTED_EDGE('',*,*,#220194,.T.); #306394=ORIENTED_EDGE('',*,*,#220191,.T.); #306395=ORIENTED_EDGE('',*,*,#220188,.T.); #306396=ORIENTED_EDGE('',*,*,#220185,.T.); #306397=ORIENTED_EDGE('',*,*,#220193,.F.); #306398=ORIENTED_EDGE('',*,*,#220183,.F.); #306399=ORIENTED_EDGE('',*,*,#220187,.F.); #306400=ORIENTED_EDGE('',*,*,#220190,.F.); #306401=ORIENTED_EDGE('',*,*,#220195,.T.); #306402=ORIENTED_EDGE('',*,*,#220196,.T.); #306403=ORIENTED_EDGE('',*,*,#220197,.F.); #306404=ORIENTED_EDGE('',*,*,#220198,.F.); #306405=ORIENTED_EDGE('',*,*,#220199,.T.); #306406=ORIENTED_EDGE('',*,*,#220198,.T.); #306407=ORIENTED_EDGE('',*,*,#220200,.F.); #306408=ORIENTED_EDGE('',*,*,#220201,.F.); #306409=ORIENTED_EDGE('',*,*,#220202,.T.); #306410=ORIENTED_EDGE('',*,*,#220201,.T.); #306411=ORIENTED_EDGE('',*,*,#220203,.F.); #306412=ORIENTED_EDGE('',*,*,#220204,.F.); #306413=ORIENTED_EDGE('',*,*,#220205,.T.); #306414=ORIENTED_EDGE('',*,*,#220204,.T.); #306415=ORIENTED_EDGE('',*,*,#220206,.F.); #306416=ORIENTED_EDGE('',*,*,#220196,.F.); #306417=ORIENTED_EDGE('',*,*,#220206,.T.); #306418=ORIENTED_EDGE('',*,*,#220203,.T.); #306419=ORIENTED_EDGE('',*,*,#220200,.T.); #306420=ORIENTED_EDGE('',*,*,#220197,.T.); #306421=ORIENTED_EDGE('',*,*,#220205,.F.); #306422=ORIENTED_EDGE('',*,*,#220195,.F.); #306423=ORIENTED_EDGE('',*,*,#220199,.F.); #306424=ORIENTED_EDGE('',*,*,#220202,.F.); #306425=ORIENTED_EDGE('',*,*,#220207,.T.); #306426=ORIENTED_EDGE('',*,*,#220208,.T.); #306427=ORIENTED_EDGE('',*,*,#220209,.F.); #306428=ORIENTED_EDGE('',*,*,#220210,.F.); #306429=ORIENTED_EDGE('',*,*,#220211,.T.); #306430=ORIENTED_EDGE('',*,*,#220210,.T.); #306431=ORIENTED_EDGE('',*,*,#220212,.F.); #306432=ORIENTED_EDGE('',*,*,#220213,.F.); #306433=ORIENTED_EDGE('',*,*,#220214,.T.); #306434=ORIENTED_EDGE('',*,*,#220213,.T.); #306435=ORIENTED_EDGE('',*,*,#220215,.F.); #306436=ORIENTED_EDGE('',*,*,#220216,.F.); #306437=ORIENTED_EDGE('',*,*,#220217,.T.); #306438=ORIENTED_EDGE('',*,*,#220216,.T.); #306439=ORIENTED_EDGE('',*,*,#220218,.F.); #306440=ORIENTED_EDGE('',*,*,#220208,.F.); #306441=ORIENTED_EDGE('',*,*,#220218,.T.); #306442=ORIENTED_EDGE('',*,*,#220215,.T.); #306443=ORIENTED_EDGE('',*,*,#220212,.T.); #306444=ORIENTED_EDGE('',*,*,#220209,.T.); #306445=ORIENTED_EDGE('',*,*,#220217,.F.); #306446=ORIENTED_EDGE('',*,*,#220207,.F.); #306447=ORIENTED_EDGE('',*,*,#220211,.F.); #306448=ORIENTED_EDGE('',*,*,#220214,.F.); #306449=ORIENTED_EDGE('',*,*,#220219,.T.); #306450=ORIENTED_EDGE('',*,*,#220220,.T.); #306451=ORIENTED_EDGE('',*,*,#220221,.F.); #306452=ORIENTED_EDGE('',*,*,#220222,.F.); #306453=ORIENTED_EDGE('',*,*,#220223,.T.); #306454=ORIENTED_EDGE('',*,*,#220222,.T.); #306455=ORIENTED_EDGE('',*,*,#220224,.F.); #306456=ORIENTED_EDGE('',*,*,#220225,.F.); #306457=ORIENTED_EDGE('',*,*,#220226,.T.); #306458=ORIENTED_EDGE('',*,*,#220225,.T.); #306459=ORIENTED_EDGE('',*,*,#220227,.F.); #306460=ORIENTED_EDGE('',*,*,#220228,.F.); #306461=ORIENTED_EDGE('',*,*,#220229,.T.); #306462=ORIENTED_EDGE('',*,*,#220228,.T.); #306463=ORIENTED_EDGE('',*,*,#220230,.F.); #306464=ORIENTED_EDGE('',*,*,#220220,.F.); #306465=ORIENTED_EDGE('',*,*,#220230,.T.); #306466=ORIENTED_EDGE('',*,*,#220227,.T.); #306467=ORIENTED_EDGE('',*,*,#220224,.T.); #306468=ORIENTED_EDGE('',*,*,#220221,.T.); #306469=ORIENTED_EDGE('',*,*,#220229,.F.); #306470=ORIENTED_EDGE('',*,*,#220219,.F.); #306471=ORIENTED_EDGE('',*,*,#220223,.F.); #306472=ORIENTED_EDGE('',*,*,#220226,.F.); #306473=ORIENTED_EDGE('',*,*,#220231,.T.); #306474=ORIENTED_EDGE('',*,*,#220232,.T.); #306475=ORIENTED_EDGE('',*,*,#220233,.F.); #306476=ORIENTED_EDGE('',*,*,#220234,.F.); #306477=ORIENTED_EDGE('',*,*,#220235,.T.); #306478=ORIENTED_EDGE('',*,*,#220234,.T.); #306479=ORIENTED_EDGE('',*,*,#220236,.F.); #306480=ORIENTED_EDGE('',*,*,#220237,.F.); #306481=ORIENTED_EDGE('',*,*,#220238,.T.); #306482=ORIENTED_EDGE('',*,*,#220237,.T.); #306483=ORIENTED_EDGE('',*,*,#220239,.F.); #306484=ORIENTED_EDGE('',*,*,#220240,.F.); #306485=ORIENTED_EDGE('',*,*,#220241,.T.); #306486=ORIENTED_EDGE('',*,*,#220240,.T.); #306487=ORIENTED_EDGE('',*,*,#220242,.F.); #306488=ORIENTED_EDGE('',*,*,#220232,.F.); #306489=ORIENTED_EDGE('',*,*,#220242,.T.); #306490=ORIENTED_EDGE('',*,*,#220239,.T.); #306491=ORIENTED_EDGE('',*,*,#220236,.T.); #306492=ORIENTED_EDGE('',*,*,#220233,.T.); #306493=ORIENTED_EDGE('',*,*,#220241,.F.); #306494=ORIENTED_EDGE('',*,*,#220231,.F.); #306495=ORIENTED_EDGE('',*,*,#220235,.F.); #306496=ORIENTED_EDGE('',*,*,#220238,.F.); #306497=ORIENTED_EDGE('',*,*,#220243,.T.); #306498=ORIENTED_EDGE('',*,*,#220244,.T.); #306499=ORIENTED_EDGE('',*,*,#220245,.F.); #306500=ORIENTED_EDGE('',*,*,#220246,.F.); #306501=ORIENTED_EDGE('',*,*,#220247,.T.); #306502=ORIENTED_EDGE('',*,*,#220246,.T.); #306503=ORIENTED_EDGE('',*,*,#220248,.F.); #306504=ORIENTED_EDGE('',*,*,#220249,.F.); #306505=ORIENTED_EDGE('',*,*,#220250,.T.); #306506=ORIENTED_EDGE('',*,*,#220249,.T.); #306507=ORIENTED_EDGE('',*,*,#220251,.F.); #306508=ORIENTED_EDGE('',*,*,#220252,.F.); #306509=ORIENTED_EDGE('',*,*,#220253,.T.); #306510=ORIENTED_EDGE('',*,*,#220252,.T.); #306511=ORIENTED_EDGE('',*,*,#220254,.F.); #306512=ORIENTED_EDGE('',*,*,#220244,.F.); #306513=ORIENTED_EDGE('',*,*,#220254,.T.); #306514=ORIENTED_EDGE('',*,*,#220251,.T.); #306515=ORIENTED_EDGE('',*,*,#220248,.T.); #306516=ORIENTED_EDGE('',*,*,#220245,.T.); #306517=ORIENTED_EDGE('',*,*,#220253,.F.); #306518=ORIENTED_EDGE('',*,*,#220243,.F.); #306519=ORIENTED_EDGE('',*,*,#220247,.F.); #306520=ORIENTED_EDGE('',*,*,#220250,.F.); #306521=ORIENTED_EDGE('',*,*,#220255,.T.); #306522=ORIENTED_EDGE('',*,*,#220256,.T.); #306523=ORIENTED_EDGE('',*,*,#220257,.F.); #306524=ORIENTED_EDGE('',*,*,#220258,.F.); #306525=ORIENTED_EDGE('',*,*,#220259,.T.); #306526=ORIENTED_EDGE('',*,*,#220258,.T.); #306527=ORIENTED_EDGE('',*,*,#220260,.F.); #306528=ORIENTED_EDGE('',*,*,#220261,.F.); #306529=ORIENTED_EDGE('',*,*,#220262,.T.); #306530=ORIENTED_EDGE('',*,*,#220261,.T.); #306531=ORIENTED_EDGE('',*,*,#220263,.F.); #306532=ORIENTED_EDGE('',*,*,#220264,.F.); #306533=ORIENTED_EDGE('',*,*,#220265,.T.); #306534=ORIENTED_EDGE('',*,*,#220264,.T.); #306535=ORIENTED_EDGE('',*,*,#220266,.F.); #306536=ORIENTED_EDGE('',*,*,#220256,.F.); #306537=ORIENTED_EDGE('',*,*,#220266,.T.); #306538=ORIENTED_EDGE('',*,*,#220263,.T.); #306539=ORIENTED_EDGE('',*,*,#220260,.T.); #306540=ORIENTED_EDGE('',*,*,#220257,.T.); #306541=ORIENTED_EDGE('',*,*,#220265,.F.); #306542=ORIENTED_EDGE('',*,*,#220255,.F.); #306543=ORIENTED_EDGE('',*,*,#220259,.F.); #306544=ORIENTED_EDGE('',*,*,#220262,.F.); #306545=ORIENTED_EDGE('',*,*,#220267,.T.); #306546=ORIENTED_EDGE('',*,*,#220268,.T.); #306547=ORIENTED_EDGE('',*,*,#220269,.F.); #306548=ORIENTED_EDGE('',*,*,#220270,.F.); #306549=ORIENTED_EDGE('',*,*,#220271,.T.); #306550=ORIENTED_EDGE('',*,*,#220270,.T.); #306551=ORIENTED_EDGE('',*,*,#220272,.F.); #306552=ORIENTED_EDGE('',*,*,#220273,.F.); #306553=ORIENTED_EDGE('',*,*,#220274,.T.); #306554=ORIENTED_EDGE('',*,*,#220273,.T.); #306555=ORIENTED_EDGE('',*,*,#220275,.F.); #306556=ORIENTED_EDGE('',*,*,#220276,.F.); #306557=ORIENTED_EDGE('',*,*,#220277,.T.); #306558=ORIENTED_EDGE('',*,*,#220276,.T.); #306559=ORIENTED_EDGE('',*,*,#220278,.F.); #306560=ORIENTED_EDGE('',*,*,#220268,.F.); #306561=ORIENTED_EDGE('',*,*,#220278,.T.); #306562=ORIENTED_EDGE('',*,*,#220275,.T.); #306563=ORIENTED_EDGE('',*,*,#220272,.T.); #306564=ORIENTED_EDGE('',*,*,#220269,.T.); #306565=ORIENTED_EDGE('',*,*,#220277,.F.); #306566=ORIENTED_EDGE('',*,*,#220267,.F.); #306567=ORIENTED_EDGE('',*,*,#220271,.F.); #306568=ORIENTED_EDGE('',*,*,#220274,.F.); #306569=ORIENTED_EDGE('',*,*,#220279,.T.); #306570=ORIENTED_EDGE('',*,*,#220280,.T.); #306571=ORIENTED_EDGE('',*,*,#220281,.F.); #306572=ORIENTED_EDGE('',*,*,#220282,.F.); #306573=ORIENTED_EDGE('',*,*,#220283,.T.); #306574=ORIENTED_EDGE('',*,*,#220282,.T.); #306575=ORIENTED_EDGE('',*,*,#220284,.F.); #306576=ORIENTED_EDGE('',*,*,#220285,.F.); #306577=ORIENTED_EDGE('',*,*,#220286,.T.); #306578=ORIENTED_EDGE('',*,*,#220285,.T.); #306579=ORIENTED_EDGE('',*,*,#220287,.F.); #306580=ORIENTED_EDGE('',*,*,#220288,.F.); #306581=ORIENTED_EDGE('',*,*,#220289,.T.); #306582=ORIENTED_EDGE('',*,*,#220288,.T.); #306583=ORIENTED_EDGE('',*,*,#220290,.F.); #306584=ORIENTED_EDGE('',*,*,#220280,.F.); #306585=ORIENTED_EDGE('',*,*,#220290,.T.); #306586=ORIENTED_EDGE('',*,*,#220287,.T.); #306587=ORIENTED_EDGE('',*,*,#220284,.T.); #306588=ORIENTED_EDGE('',*,*,#220281,.T.); #306589=ORIENTED_EDGE('',*,*,#220289,.F.); #306590=ORIENTED_EDGE('',*,*,#220279,.F.); #306591=ORIENTED_EDGE('',*,*,#220283,.F.); #306592=ORIENTED_EDGE('',*,*,#220286,.F.); #306593=ORIENTED_EDGE('',*,*,#220291,.T.); #306594=ORIENTED_EDGE('',*,*,#220292,.T.); #306595=ORIENTED_EDGE('',*,*,#220293,.F.); #306596=ORIENTED_EDGE('',*,*,#220294,.F.); #306597=ORIENTED_EDGE('',*,*,#220295,.T.); #306598=ORIENTED_EDGE('',*,*,#220294,.T.); #306599=ORIENTED_EDGE('',*,*,#220296,.F.); #306600=ORIENTED_EDGE('',*,*,#220297,.F.); #306601=ORIENTED_EDGE('',*,*,#220298,.T.); #306602=ORIENTED_EDGE('',*,*,#220297,.T.); #306603=ORIENTED_EDGE('',*,*,#220299,.F.); #306604=ORIENTED_EDGE('',*,*,#220300,.F.); #306605=ORIENTED_EDGE('',*,*,#220301,.T.); #306606=ORIENTED_EDGE('',*,*,#220300,.T.); #306607=ORIENTED_EDGE('',*,*,#220302,.F.); #306608=ORIENTED_EDGE('',*,*,#220292,.F.); #306609=ORIENTED_EDGE('',*,*,#220302,.T.); #306610=ORIENTED_EDGE('',*,*,#220299,.T.); #306611=ORIENTED_EDGE('',*,*,#220296,.T.); #306612=ORIENTED_EDGE('',*,*,#220293,.T.); #306613=ORIENTED_EDGE('',*,*,#220301,.F.); #306614=ORIENTED_EDGE('',*,*,#220291,.F.); #306615=ORIENTED_EDGE('',*,*,#220295,.F.); #306616=ORIENTED_EDGE('',*,*,#220298,.F.); #306617=ORIENTED_EDGE('',*,*,#220303,.T.); #306618=ORIENTED_EDGE('',*,*,#220304,.T.); #306619=ORIENTED_EDGE('',*,*,#220305,.F.); #306620=ORIENTED_EDGE('',*,*,#220306,.F.); #306621=ORIENTED_EDGE('',*,*,#220307,.T.); #306622=ORIENTED_EDGE('',*,*,#220306,.T.); #306623=ORIENTED_EDGE('',*,*,#220308,.F.); #306624=ORIENTED_EDGE('',*,*,#220309,.F.); #306625=ORIENTED_EDGE('',*,*,#220310,.T.); #306626=ORIENTED_EDGE('',*,*,#220309,.T.); #306627=ORIENTED_EDGE('',*,*,#220311,.F.); #306628=ORIENTED_EDGE('',*,*,#220312,.F.); #306629=ORIENTED_EDGE('',*,*,#220313,.T.); #306630=ORIENTED_EDGE('',*,*,#220312,.T.); #306631=ORIENTED_EDGE('',*,*,#220314,.F.); #306632=ORIENTED_EDGE('',*,*,#220304,.F.); #306633=ORIENTED_EDGE('',*,*,#220314,.T.); #306634=ORIENTED_EDGE('',*,*,#220311,.T.); #306635=ORIENTED_EDGE('',*,*,#220308,.T.); #306636=ORIENTED_EDGE('',*,*,#220305,.T.); #306637=ORIENTED_EDGE('',*,*,#220313,.F.); #306638=ORIENTED_EDGE('',*,*,#220303,.F.); #306639=ORIENTED_EDGE('',*,*,#220307,.F.); #306640=ORIENTED_EDGE('',*,*,#220310,.F.); #306641=ORIENTED_EDGE('',*,*,#220315,.T.); #306642=ORIENTED_EDGE('',*,*,#220316,.T.); #306643=ORIENTED_EDGE('',*,*,#220317,.F.); #306644=ORIENTED_EDGE('',*,*,#220318,.F.); #306645=ORIENTED_EDGE('',*,*,#220319,.T.); #306646=ORIENTED_EDGE('',*,*,#220318,.T.); #306647=ORIENTED_EDGE('',*,*,#220320,.F.); #306648=ORIENTED_EDGE('',*,*,#220321,.F.); #306649=ORIENTED_EDGE('',*,*,#220322,.T.); #306650=ORIENTED_EDGE('',*,*,#220321,.T.); #306651=ORIENTED_EDGE('',*,*,#220323,.F.); #306652=ORIENTED_EDGE('',*,*,#220324,.F.); #306653=ORIENTED_EDGE('',*,*,#220325,.T.); #306654=ORIENTED_EDGE('',*,*,#220324,.T.); #306655=ORIENTED_EDGE('',*,*,#220326,.F.); #306656=ORIENTED_EDGE('',*,*,#220316,.F.); #306657=ORIENTED_EDGE('',*,*,#220326,.T.); #306658=ORIENTED_EDGE('',*,*,#220323,.T.); #306659=ORIENTED_EDGE('',*,*,#220320,.T.); #306660=ORIENTED_EDGE('',*,*,#220317,.T.); #306661=ORIENTED_EDGE('',*,*,#220325,.F.); #306662=ORIENTED_EDGE('',*,*,#220315,.F.); #306663=ORIENTED_EDGE('',*,*,#220319,.F.); #306664=ORIENTED_EDGE('',*,*,#220322,.F.); #306665=ORIENTED_EDGE('',*,*,#220327,.T.); #306666=ORIENTED_EDGE('',*,*,#220328,.T.); #306667=ORIENTED_EDGE('',*,*,#220329,.F.); #306668=ORIENTED_EDGE('',*,*,#220330,.F.); #306669=ORIENTED_EDGE('',*,*,#220331,.T.); #306670=ORIENTED_EDGE('',*,*,#220330,.T.); #306671=ORIENTED_EDGE('',*,*,#220332,.F.); #306672=ORIENTED_EDGE('',*,*,#220333,.F.); #306673=ORIENTED_EDGE('',*,*,#220334,.T.); #306674=ORIENTED_EDGE('',*,*,#220333,.T.); #306675=ORIENTED_EDGE('',*,*,#220335,.F.); #306676=ORIENTED_EDGE('',*,*,#220336,.F.); #306677=ORIENTED_EDGE('',*,*,#220337,.T.); #306678=ORIENTED_EDGE('',*,*,#220336,.T.); #306679=ORIENTED_EDGE('',*,*,#220338,.F.); #306680=ORIENTED_EDGE('',*,*,#220328,.F.); #306681=ORIENTED_EDGE('',*,*,#220338,.T.); #306682=ORIENTED_EDGE('',*,*,#220335,.T.); #306683=ORIENTED_EDGE('',*,*,#220332,.T.); #306684=ORIENTED_EDGE('',*,*,#220329,.T.); #306685=ORIENTED_EDGE('',*,*,#220337,.F.); #306686=ORIENTED_EDGE('',*,*,#220327,.F.); #306687=ORIENTED_EDGE('',*,*,#220331,.F.); #306688=ORIENTED_EDGE('',*,*,#220334,.F.); #306689=ORIENTED_EDGE('',*,*,#220339,.T.); #306690=ORIENTED_EDGE('',*,*,#220340,.T.); #306691=ORIENTED_EDGE('',*,*,#220341,.F.); #306692=ORIENTED_EDGE('',*,*,#220342,.F.); #306693=ORIENTED_EDGE('',*,*,#220343,.T.); #306694=ORIENTED_EDGE('',*,*,#220342,.T.); #306695=ORIENTED_EDGE('',*,*,#220344,.F.); #306696=ORIENTED_EDGE('',*,*,#220345,.F.); #306697=ORIENTED_EDGE('',*,*,#220346,.T.); #306698=ORIENTED_EDGE('',*,*,#220345,.T.); #306699=ORIENTED_EDGE('',*,*,#220347,.F.); #306700=ORIENTED_EDGE('',*,*,#220348,.F.); #306701=ORIENTED_EDGE('',*,*,#220349,.T.); #306702=ORIENTED_EDGE('',*,*,#220348,.T.); #306703=ORIENTED_EDGE('',*,*,#220350,.F.); #306704=ORIENTED_EDGE('',*,*,#220340,.F.); #306705=ORIENTED_EDGE('',*,*,#220350,.T.); #306706=ORIENTED_EDGE('',*,*,#220347,.T.); #306707=ORIENTED_EDGE('',*,*,#220344,.T.); #306708=ORIENTED_EDGE('',*,*,#220341,.T.); #306709=ORIENTED_EDGE('',*,*,#220349,.F.); #306710=ORIENTED_EDGE('',*,*,#220339,.F.); #306711=ORIENTED_EDGE('',*,*,#220343,.F.); #306712=ORIENTED_EDGE('',*,*,#220346,.F.); #306713=ORIENTED_EDGE('',*,*,#220351,.T.); #306714=ORIENTED_EDGE('',*,*,#220352,.T.); #306715=ORIENTED_EDGE('',*,*,#220353,.F.); #306716=ORIENTED_EDGE('',*,*,#220354,.F.); #306717=ORIENTED_EDGE('',*,*,#220355,.T.); #306718=ORIENTED_EDGE('',*,*,#220354,.T.); #306719=ORIENTED_EDGE('',*,*,#220356,.F.); #306720=ORIENTED_EDGE('',*,*,#220357,.F.); #306721=ORIENTED_EDGE('',*,*,#220358,.T.); #306722=ORIENTED_EDGE('',*,*,#220357,.T.); #306723=ORIENTED_EDGE('',*,*,#220359,.F.); #306724=ORIENTED_EDGE('',*,*,#220360,.F.); #306725=ORIENTED_EDGE('',*,*,#220361,.T.); #306726=ORIENTED_EDGE('',*,*,#220360,.T.); #306727=ORIENTED_EDGE('',*,*,#220362,.F.); #306728=ORIENTED_EDGE('',*,*,#220352,.F.); #306729=ORIENTED_EDGE('',*,*,#220362,.T.); #306730=ORIENTED_EDGE('',*,*,#220359,.T.); #306731=ORIENTED_EDGE('',*,*,#220356,.T.); #306732=ORIENTED_EDGE('',*,*,#220353,.T.); #306733=ORIENTED_EDGE('',*,*,#220361,.F.); #306734=ORIENTED_EDGE('',*,*,#220351,.F.); #306735=ORIENTED_EDGE('',*,*,#220355,.F.); #306736=ORIENTED_EDGE('',*,*,#220358,.F.); #306737=ORIENTED_EDGE('',*,*,#220363,.F.); #306738=ORIENTED_EDGE('',*,*,#220364,.T.); #306739=ORIENTED_EDGE('',*,*,#220365,.F.); #306740=ORIENTED_EDGE('',*,*,#220364,.F.); #306741=ORIENTED_EDGE('',*,*,#220366,.F.); #306742=ORIENTED_EDGE('',*,*,#220367,.T.); #306743=ORIENTED_EDGE('',*,*,#220368,.F.); #306744=ORIENTED_EDGE('',*,*,#220367,.F.); #306745=ORIENTED_EDGE('',*,*,#220369,.F.); #306746=ORIENTED_EDGE('',*,*,#220370,.T.); #306747=ORIENTED_EDGE('',*,*,#220371,.F.); #306748=ORIENTED_EDGE('',*,*,#220370,.F.); #306749=ORIENTED_EDGE('',*,*,#220372,.F.); #306750=ORIENTED_EDGE('',*,*,#220373,.T.); #306751=ORIENTED_EDGE('',*,*,#220374,.F.); #306752=ORIENTED_EDGE('',*,*,#220373,.F.); #306753=ORIENTED_EDGE('',*,*,#220375,.F.); #306754=ORIENTED_EDGE('',*,*,#220376,.T.); #306755=ORIENTED_EDGE('',*,*,#220377,.F.); #306756=ORIENTED_EDGE('',*,*,#220376,.F.); #306757=ORIENTED_EDGE('',*,*,#220378,.F.); #306758=ORIENTED_EDGE('',*,*,#220379,.T.); #306759=ORIENTED_EDGE('',*,*,#220380,.F.); #306760=ORIENTED_EDGE('',*,*,#220379,.F.); #306761=ORIENTED_EDGE('',*,*,#220381,.F.); #306762=ORIENTED_EDGE('',*,*,#220382,.T.); #306763=ORIENTED_EDGE('',*,*,#220383,.F.); #306764=ORIENTED_EDGE('',*,*,#220382,.F.); #306765=ORIENTED_EDGE('',*,*,#220384,.F.); #306766=ORIENTED_EDGE('',*,*,#220385,.T.); #306767=ORIENTED_EDGE('',*,*,#220386,.F.); #306768=ORIENTED_EDGE('',*,*,#220385,.F.); #306769=ORIENTED_EDGE('',*,*,#220387,.F.); #306770=ORIENTED_EDGE('',*,*,#220388,.T.); #306771=ORIENTED_EDGE('',*,*,#220389,.F.); #306772=ORIENTED_EDGE('',*,*,#220388,.F.); #306773=ORIENTED_EDGE('',*,*,#220390,.F.); #306774=ORIENTED_EDGE('',*,*,#220391,.T.); #306775=ORIENTED_EDGE('',*,*,#220392,.F.); #306776=ORIENTED_EDGE('',*,*,#220391,.F.); #306777=ORIENTED_EDGE('',*,*,#220393,.F.); #306778=ORIENTED_EDGE('',*,*,#220394,.T.); #306779=ORIENTED_EDGE('',*,*,#220395,.F.); #306780=ORIENTED_EDGE('',*,*,#220394,.F.); #306781=ORIENTED_EDGE('',*,*,#220396,.F.); #306782=ORIENTED_EDGE('',*,*,#220397,.T.); #306783=ORIENTED_EDGE('',*,*,#220398,.F.); #306784=ORIENTED_EDGE('',*,*,#220397,.F.); #306785=ORIENTED_EDGE('',*,*,#220399,.F.); #306786=ORIENTED_EDGE('',*,*,#220400,.T.); #306787=ORIENTED_EDGE('',*,*,#220401,.F.); #306788=ORIENTED_EDGE('',*,*,#220400,.F.); #306789=ORIENTED_EDGE('',*,*,#220402,.F.); #306790=ORIENTED_EDGE('',*,*,#220403,.T.); #306791=ORIENTED_EDGE('',*,*,#220404,.F.); #306792=ORIENTED_EDGE('',*,*,#220403,.F.); #306793=ORIENTED_EDGE('',*,*,#220405,.F.); #306794=ORIENTED_EDGE('',*,*,#220406,.T.); #306795=ORIENTED_EDGE('',*,*,#220407,.F.); #306796=ORIENTED_EDGE('',*,*,#220406,.F.); #306797=ORIENTED_EDGE('',*,*,#220408,.T.); #306798=ORIENTED_EDGE('',*,*,#220409,.T.); #306799=ORIENTED_EDGE('',*,*,#220410,.F.); #306800=ORIENTED_EDGE('',*,*,#220411,.F.); #306801=ORIENTED_EDGE('',*,*,#220412,.T.); #306802=ORIENTED_EDGE('',*,*,#220411,.T.); #306803=ORIENTED_EDGE('',*,*,#220413,.F.); #306804=ORIENTED_EDGE('',*,*,#220414,.F.); #306805=ORIENTED_EDGE('',*,*,#220415,.T.); #306806=ORIENTED_EDGE('',*,*,#220414,.T.); #306807=ORIENTED_EDGE('',*,*,#220416,.F.); #306808=ORIENTED_EDGE('',*,*,#220417,.F.); #306809=ORIENTED_EDGE('',*,*,#220418,.T.); #306810=ORIENTED_EDGE('',*,*,#220417,.T.); #306811=ORIENTED_EDGE('',*,*,#220419,.F.); #306812=ORIENTED_EDGE('',*,*,#220409,.F.); #306813=ORIENTED_EDGE('',*,*,#220419,.T.); #306814=ORIENTED_EDGE('',*,*,#220416,.T.); #306815=ORIENTED_EDGE('',*,*,#220413,.T.); #306816=ORIENTED_EDGE('',*,*,#220410,.T.); #306817=ORIENTED_EDGE('',*,*,#220365,.T.); #306818=ORIENTED_EDGE('',*,*,#220368,.T.); #306819=ORIENTED_EDGE('',*,*,#220371,.T.); #306820=ORIENTED_EDGE('',*,*,#220374,.T.); #306821=ORIENTED_EDGE('',*,*,#220377,.T.); #306822=ORIENTED_EDGE('',*,*,#220380,.T.); #306823=ORIENTED_EDGE('',*,*,#220383,.T.); #306824=ORIENTED_EDGE('',*,*,#220386,.T.); #306825=ORIENTED_EDGE('',*,*,#220389,.T.); #306826=ORIENTED_EDGE('',*,*,#220392,.T.); #306827=ORIENTED_EDGE('',*,*,#220395,.T.); #306828=ORIENTED_EDGE('',*,*,#220398,.T.); #306829=ORIENTED_EDGE('',*,*,#220401,.T.); #306830=ORIENTED_EDGE('',*,*,#220404,.T.); #306831=ORIENTED_EDGE('',*,*,#220407,.T.); #306832=ORIENTED_EDGE('',*,*,#220418,.F.); #306833=ORIENTED_EDGE('',*,*,#220408,.F.); #306834=ORIENTED_EDGE('',*,*,#220412,.F.); #306835=ORIENTED_EDGE('',*,*,#220415,.F.); #306836=ORIENTED_EDGE('',*,*,#220363,.T.); #306837=ORIENTED_EDGE('',*,*,#220366,.T.); #306838=ORIENTED_EDGE('',*,*,#220369,.T.); #306839=ORIENTED_EDGE('',*,*,#220372,.T.); #306840=ORIENTED_EDGE('',*,*,#220375,.T.); #306841=ORIENTED_EDGE('',*,*,#220378,.T.); #306842=ORIENTED_EDGE('',*,*,#220381,.T.); #306843=ORIENTED_EDGE('',*,*,#220384,.T.); #306844=ORIENTED_EDGE('',*,*,#220387,.T.); #306845=ORIENTED_EDGE('',*,*,#220390,.T.); #306846=ORIENTED_EDGE('',*,*,#220393,.T.); #306847=ORIENTED_EDGE('',*,*,#220396,.T.); #306848=ORIENTED_EDGE('',*,*,#220399,.T.); #306849=ORIENTED_EDGE('',*,*,#220402,.T.); #306850=ORIENTED_EDGE('',*,*,#220405,.T.); #306851=ORIENTED_EDGE('',*,*,#220420,.T.); #306852=ORIENTED_EDGE('',*,*,#220421,.T.); #306853=ORIENTED_EDGE('',*,*,#220422,.F.); #306854=ORIENTED_EDGE('',*,*,#220423,.F.); #306855=ORIENTED_EDGE('',*,*,#220424,.T.); #306856=ORIENTED_EDGE('',*,*,#220423,.T.); #306857=ORIENTED_EDGE('',*,*,#220425,.F.); #306858=ORIENTED_EDGE('',*,*,#220426,.F.); #306859=ORIENTED_EDGE('',*,*,#220427,.T.); #306860=ORIENTED_EDGE('',*,*,#220426,.T.); #306861=ORIENTED_EDGE('',*,*,#220428,.F.); #306862=ORIENTED_EDGE('',*,*,#220429,.F.); #306863=ORIENTED_EDGE('',*,*,#220430,.T.); #306864=ORIENTED_EDGE('',*,*,#220429,.T.); #306865=ORIENTED_EDGE('',*,*,#220431,.F.); #306866=ORIENTED_EDGE('',*,*,#220421,.F.); #306867=ORIENTED_EDGE('',*,*,#220431,.T.); #306868=ORIENTED_EDGE('',*,*,#220428,.T.); #306869=ORIENTED_EDGE('',*,*,#220425,.T.); #306870=ORIENTED_EDGE('',*,*,#220422,.T.); #306871=ORIENTED_EDGE('',*,*,#220430,.F.); #306872=ORIENTED_EDGE('',*,*,#220420,.F.); #306873=ORIENTED_EDGE('',*,*,#220424,.F.); #306874=ORIENTED_EDGE('',*,*,#220427,.F.); #306875=ORIENTED_EDGE('',*,*,#220432,.T.); #306876=ORIENTED_EDGE('',*,*,#220433,.T.); #306877=ORIENTED_EDGE('',*,*,#220434,.F.); #306878=ORIENTED_EDGE('',*,*,#220435,.F.); #306879=ORIENTED_EDGE('',*,*,#220436,.T.); #306880=ORIENTED_EDGE('',*,*,#220435,.T.); #306881=ORIENTED_EDGE('',*,*,#220437,.F.); #306882=ORIENTED_EDGE('',*,*,#220438,.F.); #306883=ORIENTED_EDGE('',*,*,#220439,.T.); #306884=ORIENTED_EDGE('',*,*,#220438,.T.); #306885=ORIENTED_EDGE('',*,*,#220440,.F.); #306886=ORIENTED_EDGE('',*,*,#220441,.F.); #306887=ORIENTED_EDGE('',*,*,#220442,.T.); #306888=ORIENTED_EDGE('',*,*,#220441,.T.); #306889=ORIENTED_EDGE('',*,*,#220443,.F.); #306890=ORIENTED_EDGE('',*,*,#220433,.F.); #306891=ORIENTED_EDGE('',*,*,#220443,.T.); #306892=ORIENTED_EDGE('',*,*,#220440,.T.); #306893=ORIENTED_EDGE('',*,*,#220437,.T.); #306894=ORIENTED_EDGE('',*,*,#220434,.T.); #306895=ORIENTED_EDGE('',*,*,#220442,.F.); #306896=ORIENTED_EDGE('',*,*,#220432,.F.); #306897=ORIENTED_EDGE('',*,*,#220436,.F.); #306898=ORIENTED_EDGE('',*,*,#220439,.F.); #306899=ORIENTED_EDGE('',*,*,#220444,.T.); #306900=ORIENTED_EDGE('',*,*,#220445,.T.); #306901=ORIENTED_EDGE('',*,*,#220446,.F.); #306902=ORIENTED_EDGE('',*,*,#220447,.F.); #306903=ORIENTED_EDGE('',*,*,#220448,.T.); #306904=ORIENTED_EDGE('',*,*,#220447,.T.); #306905=ORIENTED_EDGE('',*,*,#220449,.F.); #306906=ORIENTED_EDGE('',*,*,#220450,.F.); #306907=ORIENTED_EDGE('',*,*,#220451,.T.); #306908=ORIENTED_EDGE('',*,*,#220450,.T.); #306909=ORIENTED_EDGE('',*,*,#220452,.F.); #306910=ORIENTED_EDGE('',*,*,#220453,.F.); #306911=ORIENTED_EDGE('',*,*,#220454,.T.); #306912=ORIENTED_EDGE('',*,*,#220453,.T.); #306913=ORIENTED_EDGE('',*,*,#220455,.F.); #306914=ORIENTED_EDGE('',*,*,#220445,.F.); #306915=ORIENTED_EDGE('',*,*,#220455,.T.); #306916=ORIENTED_EDGE('',*,*,#220452,.T.); #306917=ORIENTED_EDGE('',*,*,#220449,.T.); #306918=ORIENTED_EDGE('',*,*,#220446,.T.); #306919=ORIENTED_EDGE('',*,*,#220454,.F.); #306920=ORIENTED_EDGE('',*,*,#220444,.F.); #306921=ORIENTED_EDGE('',*,*,#220448,.F.); #306922=ORIENTED_EDGE('',*,*,#220451,.F.); #306923=ORIENTED_EDGE('',*,*,#220456,.T.); #306924=ORIENTED_EDGE('',*,*,#220457,.T.); #306925=ORIENTED_EDGE('',*,*,#220458,.F.); #306926=ORIENTED_EDGE('',*,*,#220459,.F.); #306927=ORIENTED_EDGE('',*,*,#220460,.T.); #306928=ORIENTED_EDGE('',*,*,#220459,.T.); #306929=ORIENTED_EDGE('',*,*,#220461,.F.); #306930=ORIENTED_EDGE('',*,*,#220462,.F.); #306931=ORIENTED_EDGE('',*,*,#220463,.T.); #306932=ORIENTED_EDGE('',*,*,#220462,.T.); #306933=ORIENTED_EDGE('',*,*,#220464,.F.); #306934=ORIENTED_EDGE('',*,*,#220465,.F.); #306935=ORIENTED_EDGE('',*,*,#220466,.T.); #306936=ORIENTED_EDGE('',*,*,#220465,.T.); #306937=ORIENTED_EDGE('',*,*,#220467,.F.); #306938=ORIENTED_EDGE('',*,*,#220457,.F.); #306939=ORIENTED_EDGE('',*,*,#220467,.T.); #306940=ORIENTED_EDGE('',*,*,#220464,.T.); #306941=ORIENTED_EDGE('',*,*,#220461,.T.); #306942=ORIENTED_EDGE('',*,*,#220458,.T.); #306943=ORIENTED_EDGE('',*,*,#220466,.F.); #306944=ORIENTED_EDGE('',*,*,#220456,.F.); #306945=ORIENTED_EDGE('',*,*,#220460,.F.); #306946=ORIENTED_EDGE('',*,*,#220463,.F.); #306947=ORIENTED_EDGE('',*,*,#220468,.T.); #306948=ORIENTED_EDGE('',*,*,#220469,.T.); #306949=ORIENTED_EDGE('',*,*,#220470,.F.); #306950=ORIENTED_EDGE('',*,*,#220471,.F.); #306951=ORIENTED_EDGE('',*,*,#220472,.T.); #306952=ORIENTED_EDGE('',*,*,#220471,.T.); #306953=ORIENTED_EDGE('',*,*,#220473,.F.); #306954=ORIENTED_EDGE('',*,*,#220474,.F.); #306955=ORIENTED_EDGE('',*,*,#220475,.T.); #306956=ORIENTED_EDGE('',*,*,#220474,.T.); #306957=ORIENTED_EDGE('',*,*,#220476,.F.); #306958=ORIENTED_EDGE('',*,*,#220477,.F.); #306959=ORIENTED_EDGE('',*,*,#220478,.T.); #306960=ORIENTED_EDGE('',*,*,#220477,.T.); #306961=ORIENTED_EDGE('',*,*,#220479,.F.); #306962=ORIENTED_EDGE('',*,*,#220469,.F.); #306963=ORIENTED_EDGE('',*,*,#220479,.T.); #306964=ORIENTED_EDGE('',*,*,#220476,.T.); #306965=ORIENTED_EDGE('',*,*,#220473,.T.); #306966=ORIENTED_EDGE('',*,*,#220470,.T.); #306967=ORIENTED_EDGE('',*,*,#220478,.F.); #306968=ORIENTED_EDGE('',*,*,#220468,.F.); #306969=ORIENTED_EDGE('',*,*,#220472,.F.); #306970=ORIENTED_EDGE('',*,*,#220475,.F.); #306971=ORIENTED_EDGE('',*,*,#220480,.T.); #306972=ORIENTED_EDGE('',*,*,#220481,.T.); #306973=ORIENTED_EDGE('',*,*,#220482,.F.); #306974=ORIENTED_EDGE('',*,*,#220483,.F.); #306975=ORIENTED_EDGE('',*,*,#220484,.T.); #306976=ORIENTED_EDGE('',*,*,#220483,.T.); #306977=ORIENTED_EDGE('',*,*,#220485,.F.); #306978=ORIENTED_EDGE('',*,*,#220486,.F.); #306979=ORIENTED_EDGE('',*,*,#220487,.T.); #306980=ORIENTED_EDGE('',*,*,#220486,.T.); #306981=ORIENTED_EDGE('',*,*,#220488,.F.); #306982=ORIENTED_EDGE('',*,*,#220489,.F.); #306983=ORIENTED_EDGE('',*,*,#220490,.T.); #306984=ORIENTED_EDGE('',*,*,#220489,.T.); #306985=ORIENTED_EDGE('',*,*,#220491,.F.); #306986=ORIENTED_EDGE('',*,*,#220481,.F.); #306987=ORIENTED_EDGE('',*,*,#220491,.T.); #306988=ORIENTED_EDGE('',*,*,#220488,.T.); #306989=ORIENTED_EDGE('',*,*,#220485,.T.); #306990=ORIENTED_EDGE('',*,*,#220482,.T.); #306991=ORIENTED_EDGE('',*,*,#220490,.F.); #306992=ORIENTED_EDGE('',*,*,#220480,.F.); #306993=ORIENTED_EDGE('',*,*,#220484,.F.); #306994=ORIENTED_EDGE('',*,*,#220487,.F.); #306995=ORIENTED_EDGE('',*,*,#220492,.F.); #306996=ORIENTED_EDGE('',*,*,#220493,.T.); #306997=ORIENTED_EDGE('',*,*,#220494,.F.); #306998=ORIENTED_EDGE('',*,*,#220493,.F.); #306999=ORIENTED_EDGE('',*,*,#220495,.T.); #307000=ORIENTED_EDGE('',*,*,#220496,.T.); #307001=ORIENTED_EDGE('',*,*,#220497,.F.); #307002=ORIENTED_EDGE('',*,*,#220498,.F.); #307003=ORIENTED_EDGE('',*,*,#220499,.T.); #307004=ORIENTED_EDGE('',*,*,#220498,.T.); #307005=ORIENTED_EDGE('',*,*,#220500,.F.); #307006=ORIENTED_EDGE('',*,*,#220501,.F.); #307007=ORIENTED_EDGE('',*,*,#220502,.T.); #307008=ORIENTED_EDGE('',*,*,#220501,.T.); #307009=ORIENTED_EDGE('',*,*,#220503,.F.); #307010=ORIENTED_EDGE('',*,*,#220504,.F.); #307011=ORIENTED_EDGE('',*,*,#220505,.T.); #307012=ORIENTED_EDGE('',*,*,#220504,.T.); #307013=ORIENTED_EDGE('',*,*,#220506,.F.); #307014=ORIENTED_EDGE('',*,*,#220496,.F.); #307015=ORIENTED_EDGE('',*,*,#220506,.T.); #307016=ORIENTED_EDGE('',*,*,#220503,.T.); #307017=ORIENTED_EDGE('',*,*,#220500,.T.); #307018=ORIENTED_EDGE('',*,*,#220497,.T.); #307019=ORIENTED_EDGE('',*,*,#220494,.T.); #307020=ORIENTED_EDGE('',*,*,#220505,.F.); #307021=ORIENTED_EDGE('',*,*,#220495,.F.); #307022=ORIENTED_EDGE('',*,*,#220499,.F.); #307023=ORIENTED_EDGE('',*,*,#220502,.F.); #307024=ORIENTED_EDGE('',*,*,#220492,.T.); #307025=ORIENTED_EDGE('',*,*,#220507,.F.); #307026=ORIENTED_EDGE('',*,*,#220508,.T.); #307027=ORIENTED_EDGE('',*,*,#220509,.F.); #307028=ORIENTED_EDGE('',*,*,#220508,.F.); #307029=ORIENTED_EDGE('',*,*,#220510,.F.); #307030=ORIENTED_EDGE('',*,*,#220511,.T.); #307031=ORIENTED_EDGE('',*,*,#220512,.F.); #307032=ORIENTED_EDGE('',*,*,#220511,.F.); #307033=ORIENTED_EDGE('',*,*,#220513,.T.); #307034=ORIENTED_EDGE('',*,*,#220514,.T.); #307035=ORIENTED_EDGE('',*,*,#220515,.F.); #307036=ORIENTED_EDGE('',*,*,#220516,.F.); #307037=ORIENTED_EDGE('',*,*,#220517,.T.); #307038=ORIENTED_EDGE('',*,*,#220516,.T.); #307039=ORIENTED_EDGE('',*,*,#220518,.F.); #307040=ORIENTED_EDGE('',*,*,#220519,.F.); #307041=ORIENTED_EDGE('',*,*,#220520,.T.); #307042=ORIENTED_EDGE('',*,*,#220519,.T.); #307043=ORIENTED_EDGE('',*,*,#220521,.F.); #307044=ORIENTED_EDGE('',*,*,#220522,.F.); #307045=ORIENTED_EDGE('',*,*,#220523,.T.); #307046=ORIENTED_EDGE('',*,*,#220522,.T.); #307047=ORIENTED_EDGE('',*,*,#220524,.F.); #307048=ORIENTED_EDGE('',*,*,#220514,.F.); #307049=ORIENTED_EDGE('',*,*,#220524,.T.); #307050=ORIENTED_EDGE('',*,*,#220521,.T.); #307051=ORIENTED_EDGE('',*,*,#220518,.T.); #307052=ORIENTED_EDGE('',*,*,#220515,.T.); #307053=ORIENTED_EDGE('',*,*,#220509,.T.); #307054=ORIENTED_EDGE('',*,*,#220512,.T.); #307055=ORIENTED_EDGE('',*,*,#220523,.F.); #307056=ORIENTED_EDGE('',*,*,#220513,.F.); #307057=ORIENTED_EDGE('',*,*,#220517,.F.); #307058=ORIENTED_EDGE('',*,*,#220520,.F.); #307059=ORIENTED_EDGE('',*,*,#220507,.T.); #307060=ORIENTED_EDGE('',*,*,#220510,.T.); #307061=ORIENTED_EDGE('',*,*,#220525,.F.); #307062=ORIENTED_EDGE('',*,*,#220526,.T.); #307063=ORIENTED_EDGE('',*,*,#220527,.F.); #307064=ORIENTED_EDGE('',*,*,#220526,.F.); #307065=ORIENTED_EDGE('',*,*,#220528,.F.); #307066=ORIENTED_EDGE('',*,*,#220529,.T.); #307067=ORIENTED_EDGE('',*,*,#220530,.F.); #307068=ORIENTED_EDGE('',*,*,#220529,.F.); #307069=ORIENTED_EDGE('',*,*,#220531,.T.); #307070=ORIENTED_EDGE('',*,*,#220532,.T.); #307071=ORIENTED_EDGE('',*,*,#220533,.F.); #307072=ORIENTED_EDGE('',*,*,#220534,.F.); #307073=ORIENTED_EDGE('',*,*,#220535,.T.); #307074=ORIENTED_EDGE('',*,*,#220534,.T.); #307075=ORIENTED_EDGE('',*,*,#220536,.F.); #307076=ORIENTED_EDGE('',*,*,#220537,.F.); #307077=ORIENTED_EDGE('',*,*,#220538,.T.); #307078=ORIENTED_EDGE('',*,*,#220537,.T.); #307079=ORIENTED_EDGE('',*,*,#220539,.F.); #307080=ORIENTED_EDGE('',*,*,#220540,.F.); #307081=ORIENTED_EDGE('',*,*,#220541,.T.); #307082=ORIENTED_EDGE('',*,*,#220540,.T.); #307083=ORIENTED_EDGE('',*,*,#220542,.F.); #307084=ORIENTED_EDGE('',*,*,#220532,.F.); #307085=ORIENTED_EDGE('',*,*,#220542,.T.); #307086=ORIENTED_EDGE('',*,*,#220539,.T.); #307087=ORIENTED_EDGE('',*,*,#220536,.T.); #307088=ORIENTED_EDGE('',*,*,#220533,.T.); #307089=ORIENTED_EDGE('',*,*,#220527,.T.); #307090=ORIENTED_EDGE('',*,*,#220530,.T.); #307091=ORIENTED_EDGE('',*,*,#220541,.F.); #307092=ORIENTED_EDGE('',*,*,#220531,.F.); #307093=ORIENTED_EDGE('',*,*,#220535,.F.); #307094=ORIENTED_EDGE('',*,*,#220538,.F.); #307095=ORIENTED_EDGE('',*,*,#220525,.T.); #307096=ORIENTED_EDGE('',*,*,#220528,.T.); #307097=ORIENTED_EDGE('',*,*,#220543,.F.); #307098=ORIENTED_EDGE('',*,*,#220544,.T.); #307099=ORIENTED_EDGE('',*,*,#220545,.F.); #307100=ORIENTED_EDGE('',*,*,#220544,.F.); #307101=ORIENTED_EDGE('',*,*,#220546,.T.); #307102=ORIENTED_EDGE('',*,*,#220547,.T.); #307103=ORIENTED_EDGE('',*,*,#220548,.F.); #307104=ORIENTED_EDGE('',*,*,#220549,.F.); #307105=ORIENTED_EDGE('',*,*,#220550,.T.); #307106=ORIENTED_EDGE('',*,*,#220549,.T.); #307107=ORIENTED_EDGE('',*,*,#220551,.F.); #307108=ORIENTED_EDGE('',*,*,#220552,.F.); #307109=ORIENTED_EDGE('',*,*,#220553,.T.); #307110=ORIENTED_EDGE('',*,*,#220552,.T.); #307111=ORIENTED_EDGE('',*,*,#220554,.F.); #307112=ORIENTED_EDGE('',*,*,#220555,.F.); #307113=ORIENTED_EDGE('',*,*,#220556,.T.); #307114=ORIENTED_EDGE('',*,*,#220555,.T.); #307115=ORIENTED_EDGE('',*,*,#220557,.F.); #307116=ORIENTED_EDGE('',*,*,#220547,.F.); #307117=ORIENTED_EDGE('',*,*,#220557,.T.); #307118=ORIENTED_EDGE('',*,*,#220554,.T.); #307119=ORIENTED_EDGE('',*,*,#220551,.T.); #307120=ORIENTED_EDGE('',*,*,#220548,.T.); #307121=ORIENTED_EDGE('',*,*,#220545,.T.); #307122=ORIENTED_EDGE('',*,*,#220556,.F.); #307123=ORIENTED_EDGE('',*,*,#220546,.F.); #307124=ORIENTED_EDGE('',*,*,#220550,.F.); #307125=ORIENTED_EDGE('',*,*,#220553,.F.); #307126=ORIENTED_EDGE('',*,*,#220543,.T.); #307127=ORIENTED_EDGE('',*,*,#220558,.F.); #307128=ORIENTED_EDGE('',*,*,#220559,.T.); #307129=ORIENTED_EDGE('',*,*,#220560,.F.); #307130=ORIENTED_EDGE('',*,*,#220559,.F.); #307131=ORIENTED_EDGE('',*,*,#220561,.F.); #307132=ORIENTED_EDGE('',*,*,#220562,.T.); #307133=ORIENTED_EDGE('',*,*,#220563,.F.); #307134=ORIENTED_EDGE('',*,*,#220562,.F.); #307135=ORIENTED_EDGE('',*,*,#220564,.F.); #307136=ORIENTED_EDGE('',*,*,#220565,.T.); #307137=ORIENTED_EDGE('',*,*,#220566,.F.); #307138=ORIENTED_EDGE('',*,*,#220565,.F.); #307139=ORIENTED_EDGE('',*,*,#220567,.F.); #307140=ORIENTED_EDGE('',*,*,#220568,.T.); #307141=ORIENTED_EDGE('',*,*,#220569,.F.); #307142=ORIENTED_EDGE('',*,*,#220568,.F.); #307143=ORIENTED_EDGE('',*,*,#220570,.F.); #307144=ORIENTED_EDGE('',*,*,#220571,.T.); #307145=ORIENTED_EDGE('',*,*,#220572,.F.); #307146=ORIENTED_EDGE('',*,*,#220571,.F.); #307147=ORIENTED_EDGE('',*,*,#220573,.F.); #307148=ORIENTED_EDGE('',*,*,#220574,.T.); #307149=ORIENTED_EDGE('',*,*,#220575,.F.); #307150=ORIENTED_EDGE('',*,*,#220574,.F.); #307151=ORIENTED_EDGE('',*,*,#220576,.F.); #307152=ORIENTED_EDGE('',*,*,#220577,.T.); #307153=ORIENTED_EDGE('',*,*,#220578,.F.); #307154=ORIENTED_EDGE('',*,*,#220577,.F.); #307155=ORIENTED_EDGE('',*,*,#220579,.F.); #307156=ORIENTED_EDGE('',*,*,#220580,.T.); #307157=ORIENTED_EDGE('',*,*,#220581,.F.); #307158=ORIENTED_EDGE('',*,*,#220580,.F.); #307159=ORIENTED_EDGE('',*,*,#220582,.F.); #307160=ORIENTED_EDGE('',*,*,#220583,.T.); #307161=ORIENTED_EDGE('',*,*,#220584,.F.); #307162=ORIENTED_EDGE('',*,*,#220583,.F.); #307163=ORIENTED_EDGE('',*,*,#220585,.F.); #307164=ORIENTED_EDGE('',*,*,#220586,.T.); #307165=ORIENTED_EDGE('',*,*,#220587,.F.); #307166=ORIENTED_EDGE('',*,*,#220586,.F.); #307167=ORIENTED_EDGE('',*,*,#220588,.F.); #307168=ORIENTED_EDGE('',*,*,#220589,.T.); #307169=ORIENTED_EDGE('',*,*,#220590,.F.); #307170=ORIENTED_EDGE('',*,*,#220589,.F.); #307171=ORIENTED_EDGE('',*,*,#220591,.F.); #307172=ORIENTED_EDGE('',*,*,#220592,.T.); #307173=ORIENTED_EDGE('',*,*,#220593,.F.); #307174=ORIENTED_EDGE('',*,*,#220592,.F.); #307175=ORIENTED_EDGE('',*,*,#220594,.F.); #307176=ORIENTED_EDGE('',*,*,#220595,.T.); #307177=ORIENTED_EDGE('',*,*,#220596,.F.); #307178=ORIENTED_EDGE('',*,*,#220595,.F.); #307179=ORIENTED_EDGE('',*,*,#220597,.F.); #307180=ORIENTED_EDGE('',*,*,#220598,.T.); #307181=ORIENTED_EDGE('',*,*,#220599,.F.); #307182=ORIENTED_EDGE('',*,*,#220598,.F.); #307183=ORIENTED_EDGE('',*,*,#220600,.F.); #307184=ORIENTED_EDGE('',*,*,#220601,.T.); #307185=ORIENTED_EDGE('',*,*,#220602,.F.); #307186=ORIENTED_EDGE('',*,*,#220601,.F.); #307187=ORIENTED_EDGE('',*,*,#220603,.F.); #307188=ORIENTED_EDGE('',*,*,#220604,.T.); #307189=ORIENTED_EDGE('',*,*,#220605,.F.); #307190=ORIENTED_EDGE('',*,*,#220604,.F.); #307191=ORIENTED_EDGE('',*,*,#220606,.F.); #307192=ORIENTED_EDGE('',*,*,#220607,.T.); #307193=ORIENTED_EDGE('',*,*,#220608,.F.); #307194=ORIENTED_EDGE('',*,*,#220607,.F.); #307195=ORIENTED_EDGE('',*,*,#220609,.F.); #307196=ORIENTED_EDGE('',*,*,#220610,.T.); #307197=ORIENTED_EDGE('',*,*,#220611,.F.); #307198=ORIENTED_EDGE('',*,*,#220610,.F.); #307199=ORIENTED_EDGE('',*,*,#220612,.F.); #307200=ORIENTED_EDGE('',*,*,#220613,.T.); #307201=ORIENTED_EDGE('',*,*,#220614,.F.); #307202=ORIENTED_EDGE('',*,*,#220613,.F.); #307203=ORIENTED_EDGE('',*,*,#220615,.F.); #307204=ORIENTED_EDGE('',*,*,#220616,.T.); #307205=ORIENTED_EDGE('',*,*,#220617,.F.); #307206=ORIENTED_EDGE('',*,*,#220616,.F.); #307207=ORIENTED_EDGE('',*,*,#220618,.F.); #307208=ORIENTED_EDGE('',*,*,#220619,.T.); #307209=ORIENTED_EDGE('',*,*,#220620,.F.); #307210=ORIENTED_EDGE('',*,*,#220619,.F.); #307211=ORIENTED_EDGE('',*,*,#220621,.F.); #307212=ORIENTED_EDGE('',*,*,#220622,.T.); #307213=ORIENTED_EDGE('',*,*,#220623,.F.); #307214=ORIENTED_EDGE('',*,*,#220622,.F.); #307215=ORIENTED_EDGE('',*,*,#220624,.F.); #307216=ORIENTED_EDGE('',*,*,#220625,.T.); #307217=ORIENTED_EDGE('',*,*,#220626,.F.); #307218=ORIENTED_EDGE('',*,*,#220625,.F.); #307219=ORIENTED_EDGE('',*,*,#220627,.F.); #307220=ORIENTED_EDGE('',*,*,#220628,.T.); #307221=ORIENTED_EDGE('',*,*,#220629,.F.); #307222=ORIENTED_EDGE('',*,*,#220628,.F.); #307223=ORIENTED_EDGE('',*,*,#220630,.F.); #307224=ORIENTED_EDGE('',*,*,#220631,.T.); #307225=ORIENTED_EDGE('',*,*,#220632,.F.); #307226=ORIENTED_EDGE('',*,*,#220631,.F.); #307227=ORIENTED_EDGE('',*,*,#220633,.F.); #307228=ORIENTED_EDGE('',*,*,#220634,.T.); #307229=ORIENTED_EDGE('',*,*,#220635,.F.); #307230=ORIENTED_EDGE('',*,*,#220634,.F.); #307231=ORIENTED_EDGE('',*,*,#220636,.F.); #307232=ORIENTED_EDGE('',*,*,#220637,.T.); #307233=ORIENTED_EDGE('',*,*,#220638,.F.); #307234=ORIENTED_EDGE('',*,*,#220637,.F.); #307235=ORIENTED_EDGE('',*,*,#220639,.F.); #307236=ORIENTED_EDGE('',*,*,#220640,.T.); #307237=ORIENTED_EDGE('',*,*,#220641,.F.); #307238=ORIENTED_EDGE('',*,*,#220640,.F.); #307239=ORIENTED_EDGE('',*,*,#220642,.F.); #307240=ORIENTED_EDGE('',*,*,#220643,.T.); #307241=ORIENTED_EDGE('',*,*,#220644,.F.); #307242=ORIENTED_EDGE('',*,*,#220643,.F.); #307243=ORIENTED_EDGE('',*,*,#220645,.F.); #307244=ORIENTED_EDGE('',*,*,#220646,.T.); #307245=ORIENTED_EDGE('',*,*,#220647,.F.); #307246=ORIENTED_EDGE('',*,*,#220646,.F.); #307247=ORIENTED_EDGE('',*,*,#220648,.F.); #307248=ORIENTED_EDGE('',*,*,#220649,.T.); #307249=ORIENTED_EDGE('',*,*,#220650,.F.); #307250=ORIENTED_EDGE('',*,*,#220649,.F.); #307251=ORIENTED_EDGE('',*,*,#220651,.F.); #307252=ORIENTED_EDGE('',*,*,#220652,.T.); #307253=ORIENTED_EDGE('',*,*,#220653,.F.); #307254=ORIENTED_EDGE('',*,*,#220652,.F.); #307255=ORIENTED_EDGE('',*,*,#220654,.F.); #307256=ORIENTED_EDGE('',*,*,#220655,.T.); #307257=ORIENTED_EDGE('',*,*,#220656,.F.); #307258=ORIENTED_EDGE('',*,*,#220655,.F.); #307259=ORIENTED_EDGE('',*,*,#220657,.F.); #307260=ORIENTED_EDGE('',*,*,#220658,.T.); #307261=ORIENTED_EDGE('',*,*,#220659,.F.); #307262=ORIENTED_EDGE('',*,*,#220658,.F.); #307263=ORIENTED_EDGE('',*,*,#220660,.F.); #307264=ORIENTED_EDGE('',*,*,#220661,.T.); #307265=ORIENTED_EDGE('',*,*,#220662,.F.); #307266=ORIENTED_EDGE('',*,*,#220661,.F.); #307267=ORIENTED_EDGE('',*,*,#220663,.F.); #307268=ORIENTED_EDGE('',*,*,#220664,.T.); #307269=ORIENTED_EDGE('',*,*,#220665,.F.); #307270=ORIENTED_EDGE('',*,*,#220664,.F.); #307271=ORIENTED_EDGE('',*,*,#220666,.F.); #307272=ORIENTED_EDGE('',*,*,#220667,.T.); #307273=ORIENTED_EDGE('',*,*,#220668,.F.); #307274=ORIENTED_EDGE('',*,*,#220667,.F.); #307275=ORIENTED_EDGE('',*,*,#220669,.F.); #307276=ORIENTED_EDGE('',*,*,#220670,.T.); #307277=ORIENTED_EDGE('',*,*,#220671,.F.); #307278=ORIENTED_EDGE('',*,*,#220670,.F.); #307279=ORIENTED_EDGE('',*,*,#220672,.F.); #307280=ORIENTED_EDGE('',*,*,#220673,.T.); #307281=ORIENTED_EDGE('',*,*,#220674,.F.); #307282=ORIENTED_EDGE('',*,*,#220673,.F.); #307283=ORIENTED_EDGE('',*,*,#220675,.F.); #307284=ORIENTED_EDGE('',*,*,#220676,.T.); #307285=ORIENTED_EDGE('',*,*,#220677,.F.); #307286=ORIENTED_EDGE('',*,*,#220676,.F.); #307287=ORIENTED_EDGE('',*,*,#220678,.F.); #307288=ORIENTED_EDGE('',*,*,#220679,.T.); #307289=ORIENTED_EDGE('',*,*,#220680,.F.); #307290=ORIENTED_EDGE('',*,*,#220679,.F.); #307291=ORIENTED_EDGE('',*,*,#220681,.F.); #307292=ORIENTED_EDGE('',*,*,#220682,.T.); #307293=ORIENTED_EDGE('',*,*,#220683,.F.); #307294=ORIENTED_EDGE('',*,*,#220682,.F.); #307295=ORIENTED_EDGE('',*,*,#220684,.F.); #307296=ORIENTED_EDGE('',*,*,#220685,.T.); #307297=ORIENTED_EDGE('',*,*,#220686,.F.); #307298=ORIENTED_EDGE('',*,*,#220685,.F.); #307299=ORIENTED_EDGE('',*,*,#220687,.F.); #307300=ORIENTED_EDGE('',*,*,#220688,.T.); #307301=ORIENTED_EDGE('',*,*,#220689,.F.); #307302=ORIENTED_EDGE('',*,*,#220688,.F.); #307303=ORIENTED_EDGE('',*,*,#220690,.F.); #307304=ORIENTED_EDGE('',*,*,#220691,.T.); #307305=ORIENTED_EDGE('',*,*,#220692,.F.); #307306=ORIENTED_EDGE('',*,*,#220691,.F.); #307307=ORIENTED_EDGE('',*,*,#220693,.F.); #307308=ORIENTED_EDGE('',*,*,#220694,.T.); #307309=ORIENTED_EDGE('',*,*,#220695,.F.); #307310=ORIENTED_EDGE('',*,*,#220694,.F.); #307311=ORIENTED_EDGE('',*,*,#220696,.F.); #307312=ORIENTED_EDGE('',*,*,#220697,.T.); #307313=ORIENTED_EDGE('',*,*,#220698,.F.); #307314=ORIENTED_EDGE('',*,*,#220697,.F.); #307315=ORIENTED_EDGE('',*,*,#220699,.F.); #307316=ORIENTED_EDGE('',*,*,#220700,.T.); #307317=ORIENTED_EDGE('',*,*,#220701,.F.); #307318=ORIENTED_EDGE('',*,*,#220700,.F.); #307319=ORIENTED_EDGE('',*,*,#220702,.F.); #307320=ORIENTED_EDGE('',*,*,#220703,.T.); #307321=ORIENTED_EDGE('',*,*,#220704,.F.); #307322=ORIENTED_EDGE('',*,*,#220703,.F.); #307323=ORIENTED_EDGE('',*,*,#220705,.F.); #307324=ORIENTED_EDGE('',*,*,#220706,.T.); #307325=ORIENTED_EDGE('',*,*,#220707,.F.); #307326=ORIENTED_EDGE('',*,*,#220706,.F.); #307327=ORIENTED_EDGE('',*,*,#220708,.F.); #307328=ORIENTED_EDGE('',*,*,#220709,.T.); #307329=ORIENTED_EDGE('',*,*,#220710,.F.); #307330=ORIENTED_EDGE('',*,*,#220709,.F.); #307331=ORIENTED_EDGE('',*,*,#220711,.F.); #307332=ORIENTED_EDGE('',*,*,#220712,.T.); #307333=ORIENTED_EDGE('',*,*,#220713,.F.); #307334=ORIENTED_EDGE('',*,*,#220712,.F.); #307335=ORIENTED_EDGE('',*,*,#220714,.F.); #307336=ORIENTED_EDGE('',*,*,#220715,.T.); #307337=ORIENTED_EDGE('',*,*,#220716,.F.); #307338=ORIENTED_EDGE('',*,*,#220715,.F.); #307339=ORIENTED_EDGE('',*,*,#220717,.F.); #307340=ORIENTED_EDGE('',*,*,#220718,.T.); #307341=ORIENTED_EDGE('',*,*,#220719,.F.); #307342=ORIENTED_EDGE('',*,*,#220718,.F.); #307343=ORIENTED_EDGE('',*,*,#220720,.F.); #307344=ORIENTED_EDGE('',*,*,#220721,.T.); #307345=ORIENTED_EDGE('',*,*,#220722,.F.); #307346=ORIENTED_EDGE('',*,*,#220721,.F.); #307347=ORIENTED_EDGE('',*,*,#220723,.F.); #307348=ORIENTED_EDGE('',*,*,#220724,.T.); #307349=ORIENTED_EDGE('',*,*,#220725,.F.); #307350=ORIENTED_EDGE('',*,*,#220724,.F.); #307351=ORIENTED_EDGE('',*,*,#220726,.F.); #307352=ORIENTED_EDGE('',*,*,#220727,.T.); #307353=ORIENTED_EDGE('',*,*,#220728,.F.); #307354=ORIENTED_EDGE('',*,*,#220727,.F.); #307355=ORIENTED_EDGE('',*,*,#220729,.F.); #307356=ORIENTED_EDGE('',*,*,#220730,.T.); #307357=ORIENTED_EDGE('',*,*,#220731,.F.); #307358=ORIENTED_EDGE('',*,*,#220730,.F.); #307359=ORIENTED_EDGE('',*,*,#220732,.F.); #307360=ORIENTED_EDGE('',*,*,#220733,.T.); #307361=ORIENTED_EDGE('',*,*,#220734,.F.); #307362=ORIENTED_EDGE('',*,*,#220733,.F.); #307363=ORIENTED_EDGE('',*,*,#220735,.F.); #307364=ORIENTED_EDGE('',*,*,#220736,.T.); #307365=ORIENTED_EDGE('',*,*,#220737,.F.); #307366=ORIENTED_EDGE('',*,*,#220736,.F.); #307367=ORIENTED_EDGE('',*,*,#220738,.F.); #307368=ORIENTED_EDGE('',*,*,#220739,.T.); #307369=ORIENTED_EDGE('',*,*,#220740,.F.); #307370=ORIENTED_EDGE('',*,*,#220739,.F.); #307371=ORIENTED_EDGE('',*,*,#220741,.F.); #307372=ORIENTED_EDGE('',*,*,#220742,.T.); #307373=ORIENTED_EDGE('',*,*,#220743,.F.); #307374=ORIENTED_EDGE('',*,*,#220742,.F.); #307375=ORIENTED_EDGE('',*,*,#220744,.F.); #307376=ORIENTED_EDGE('',*,*,#220745,.T.); #307377=ORIENTED_EDGE('',*,*,#220746,.F.); #307378=ORIENTED_EDGE('',*,*,#220745,.F.); #307379=ORIENTED_EDGE('',*,*,#220747,.F.); #307380=ORIENTED_EDGE('',*,*,#220748,.T.); #307381=ORIENTED_EDGE('',*,*,#220749,.F.); #307382=ORIENTED_EDGE('',*,*,#220748,.F.); #307383=ORIENTED_EDGE('',*,*,#220750,.F.); #307384=ORIENTED_EDGE('',*,*,#220751,.T.); #307385=ORIENTED_EDGE('',*,*,#220752,.F.); #307386=ORIENTED_EDGE('',*,*,#220751,.F.); #307387=ORIENTED_EDGE('',*,*,#220753,.F.); #307388=ORIENTED_EDGE('',*,*,#220754,.T.); #307389=ORIENTED_EDGE('',*,*,#220755,.F.); #307390=ORIENTED_EDGE('',*,*,#220754,.F.); #307391=ORIENTED_EDGE('',*,*,#220756,.F.); #307392=ORIENTED_EDGE('',*,*,#220757,.T.); #307393=ORIENTED_EDGE('',*,*,#220758,.F.); #307394=ORIENTED_EDGE('',*,*,#220757,.F.); #307395=ORIENTED_EDGE('',*,*,#220759,.F.); #307396=ORIENTED_EDGE('',*,*,#220760,.T.); #307397=ORIENTED_EDGE('',*,*,#220761,.F.); #307398=ORIENTED_EDGE('',*,*,#220760,.F.); #307399=ORIENTED_EDGE('',*,*,#220762,.F.); #307400=ORIENTED_EDGE('',*,*,#220763,.T.); #307401=ORIENTED_EDGE('',*,*,#220764,.F.); #307402=ORIENTED_EDGE('',*,*,#220763,.F.); #307403=ORIENTED_EDGE('',*,*,#220765,.F.); #307404=ORIENTED_EDGE('',*,*,#220766,.T.); #307405=ORIENTED_EDGE('',*,*,#220767,.F.); #307406=ORIENTED_EDGE('',*,*,#220766,.F.); #307407=ORIENTED_EDGE('',*,*,#220768,.F.); #307408=ORIENTED_EDGE('',*,*,#220769,.T.); #307409=ORIENTED_EDGE('',*,*,#220770,.F.); #307410=ORIENTED_EDGE('',*,*,#220769,.F.); #307411=ORIENTED_EDGE('',*,*,#220771,.F.); #307412=ORIENTED_EDGE('',*,*,#220772,.T.); #307413=ORIENTED_EDGE('',*,*,#220773,.F.); #307414=ORIENTED_EDGE('',*,*,#220772,.F.); #307415=ORIENTED_EDGE('',*,*,#220774,.F.); #307416=ORIENTED_EDGE('',*,*,#220775,.T.); #307417=ORIENTED_EDGE('',*,*,#220776,.F.); #307418=ORIENTED_EDGE('',*,*,#220775,.F.); #307419=ORIENTED_EDGE('',*,*,#220777,.F.); #307420=ORIENTED_EDGE('',*,*,#220778,.T.); #307421=ORIENTED_EDGE('',*,*,#220779,.F.); #307422=ORIENTED_EDGE('',*,*,#220778,.F.); #307423=ORIENTED_EDGE('',*,*,#220780,.F.); #307424=ORIENTED_EDGE('',*,*,#220781,.T.); #307425=ORIENTED_EDGE('',*,*,#220782,.F.); #307426=ORIENTED_EDGE('',*,*,#220781,.F.); #307427=ORIENTED_EDGE('',*,*,#220783,.F.); #307428=ORIENTED_EDGE('',*,*,#220784,.T.); #307429=ORIENTED_EDGE('',*,*,#220785,.F.); #307430=ORIENTED_EDGE('',*,*,#220784,.F.); #307431=ORIENTED_EDGE('',*,*,#220786,.F.); #307432=ORIENTED_EDGE('',*,*,#220787,.T.); #307433=ORIENTED_EDGE('',*,*,#220788,.F.); #307434=ORIENTED_EDGE('',*,*,#220787,.F.); #307435=ORIENTED_EDGE('',*,*,#220789,.F.); #307436=ORIENTED_EDGE('',*,*,#220790,.T.); #307437=ORIENTED_EDGE('',*,*,#220791,.F.); #307438=ORIENTED_EDGE('',*,*,#220790,.F.); #307439=ORIENTED_EDGE('',*,*,#220792,.F.); #307440=ORIENTED_EDGE('',*,*,#220793,.T.); #307441=ORIENTED_EDGE('',*,*,#220794,.F.); #307442=ORIENTED_EDGE('',*,*,#220793,.F.); #307443=ORIENTED_EDGE('',*,*,#220795,.F.); #307444=ORIENTED_EDGE('',*,*,#220796,.T.); #307445=ORIENTED_EDGE('',*,*,#220797,.F.); #307446=ORIENTED_EDGE('',*,*,#220796,.F.); #307447=ORIENTED_EDGE('',*,*,#220798,.F.); #307448=ORIENTED_EDGE('',*,*,#220799,.T.); #307449=ORIENTED_EDGE('',*,*,#220800,.F.); #307450=ORIENTED_EDGE('',*,*,#220799,.F.); #307451=ORIENTED_EDGE('',*,*,#220801,.F.); #307452=ORIENTED_EDGE('',*,*,#220802,.T.); #307453=ORIENTED_EDGE('',*,*,#220803,.F.); #307454=ORIENTED_EDGE('',*,*,#220802,.F.); #307455=ORIENTED_EDGE('',*,*,#220804,.F.); #307456=ORIENTED_EDGE('',*,*,#220805,.T.); #307457=ORIENTED_EDGE('',*,*,#220806,.F.); #307458=ORIENTED_EDGE('',*,*,#220805,.F.); #307459=ORIENTED_EDGE('',*,*,#220807,.F.); #307460=ORIENTED_EDGE('',*,*,#220808,.T.); #307461=ORIENTED_EDGE('',*,*,#220809,.F.); #307462=ORIENTED_EDGE('',*,*,#220808,.F.); #307463=ORIENTED_EDGE('',*,*,#220810,.F.); #307464=ORIENTED_EDGE('',*,*,#220811,.T.); #307465=ORIENTED_EDGE('',*,*,#220812,.F.); #307466=ORIENTED_EDGE('',*,*,#220811,.F.); #307467=ORIENTED_EDGE('',*,*,#220813,.F.); #307468=ORIENTED_EDGE('',*,*,#220814,.T.); #307469=ORIENTED_EDGE('',*,*,#220815,.F.); #307470=ORIENTED_EDGE('',*,*,#220814,.F.); #307471=ORIENTED_EDGE('',*,*,#220816,.F.); #307472=ORIENTED_EDGE('',*,*,#220817,.T.); #307473=ORIENTED_EDGE('',*,*,#220818,.F.); #307474=ORIENTED_EDGE('',*,*,#220817,.F.); #307475=ORIENTED_EDGE('',*,*,#220819,.F.); #307476=ORIENTED_EDGE('',*,*,#220820,.T.); #307477=ORIENTED_EDGE('',*,*,#220821,.F.); #307478=ORIENTED_EDGE('',*,*,#220820,.F.); #307479=ORIENTED_EDGE('',*,*,#220822,.F.); #307480=ORIENTED_EDGE('',*,*,#220823,.T.); #307481=ORIENTED_EDGE('',*,*,#220824,.F.); #307482=ORIENTED_EDGE('',*,*,#220823,.F.); #307483=ORIENTED_EDGE('',*,*,#220825,.F.); #307484=ORIENTED_EDGE('',*,*,#220826,.T.); #307485=ORIENTED_EDGE('',*,*,#220827,.F.); #307486=ORIENTED_EDGE('',*,*,#220826,.F.); #307487=ORIENTED_EDGE('',*,*,#220828,.F.); #307488=ORIENTED_EDGE('',*,*,#220829,.T.); #307489=ORIENTED_EDGE('',*,*,#220830,.F.); #307490=ORIENTED_EDGE('',*,*,#220829,.F.); #307491=ORIENTED_EDGE('',*,*,#220831,.F.); #307492=ORIENTED_EDGE('',*,*,#220832,.T.); #307493=ORIENTED_EDGE('',*,*,#220833,.F.); #307494=ORIENTED_EDGE('',*,*,#220832,.F.); #307495=ORIENTED_EDGE('',*,*,#220834,.F.); #307496=ORIENTED_EDGE('',*,*,#220835,.T.); #307497=ORIENTED_EDGE('',*,*,#220836,.F.); #307498=ORIENTED_EDGE('',*,*,#220835,.F.); #307499=ORIENTED_EDGE('',*,*,#220837,.F.); #307500=ORIENTED_EDGE('',*,*,#220838,.T.); #307501=ORIENTED_EDGE('',*,*,#220839,.F.); #307502=ORIENTED_EDGE('',*,*,#220838,.F.); #307503=ORIENTED_EDGE('',*,*,#220840,.F.); #307504=ORIENTED_EDGE('',*,*,#220841,.T.); #307505=ORIENTED_EDGE('',*,*,#220842,.F.); #307506=ORIENTED_EDGE('',*,*,#220841,.F.); #307507=ORIENTED_EDGE('',*,*,#220843,.F.); #307508=ORIENTED_EDGE('',*,*,#220844,.T.); #307509=ORIENTED_EDGE('',*,*,#220845,.F.); #307510=ORIENTED_EDGE('',*,*,#220844,.F.); #307511=ORIENTED_EDGE('',*,*,#220846,.F.); #307512=ORIENTED_EDGE('',*,*,#220847,.T.); #307513=ORIENTED_EDGE('',*,*,#220848,.F.); #307514=ORIENTED_EDGE('',*,*,#220847,.F.); #307515=ORIENTED_EDGE('',*,*,#220849,.F.); #307516=ORIENTED_EDGE('',*,*,#220850,.T.); #307517=ORIENTED_EDGE('',*,*,#220851,.F.); #307518=ORIENTED_EDGE('',*,*,#220850,.F.); #307519=ORIENTED_EDGE('',*,*,#220852,.F.); #307520=ORIENTED_EDGE('',*,*,#220853,.T.); #307521=ORIENTED_EDGE('',*,*,#220854,.F.); #307522=ORIENTED_EDGE('',*,*,#220853,.F.); #307523=ORIENTED_EDGE('',*,*,#220855,.F.); #307524=ORIENTED_EDGE('',*,*,#220856,.T.); #307525=ORIENTED_EDGE('',*,*,#220857,.F.); #307526=ORIENTED_EDGE('',*,*,#220856,.F.); #307527=ORIENTED_EDGE('',*,*,#220858,.F.); #307528=ORIENTED_EDGE('',*,*,#220859,.T.); #307529=ORIENTED_EDGE('',*,*,#220860,.F.); #307530=ORIENTED_EDGE('',*,*,#220859,.F.); #307531=ORIENTED_EDGE('',*,*,#220861,.F.); #307532=ORIENTED_EDGE('',*,*,#220862,.T.); #307533=ORIENTED_EDGE('',*,*,#220863,.F.); #307534=ORIENTED_EDGE('',*,*,#220862,.F.); #307535=ORIENTED_EDGE('',*,*,#220864,.F.); #307536=ORIENTED_EDGE('',*,*,#220865,.T.); #307537=ORIENTED_EDGE('',*,*,#220866,.F.); #307538=ORIENTED_EDGE('',*,*,#220865,.F.); #307539=ORIENTED_EDGE('',*,*,#220867,.F.); #307540=ORIENTED_EDGE('',*,*,#220868,.T.); #307541=ORIENTED_EDGE('',*,*,#220869,.F.); #307542=ORIENTED_EDGE('',*,*,#220868,.F.); #307543=ORIENTED_EDGE('',*,*,#220870,.F.); #307544=ORIENTED_EDGE('',*,*,#220871,.T.); #307545=ORIENTED_EDGE('',*,*,#220872,.F.); #307546=ORIENTED_EDGE('',*,*,#220871,.F.); #307547=ORIENTED_EDGE('',*,*,#220873,.F.); #307548=ORIENTED_EDGE('',*,*,#220874,.T.); #307549=ORIENTED_EDGE('',*,*,#220875,.F.); #307550=ORIENTED_EDGE('',*,*,#220874,.F.); #307551=ORIENTED_EDGE('',*,*,#220876,.F.); #307552=ORIENTED_EDGE('',*,*,#220877,.T.); #307553=ORIENTED_EDGE('',*,*,#220878,.F.); #307554=ORIENTED_EDGE('',*,*,#220877,.F.); #307555=ORIENTED_EDGE('',*,*,#220879,.F.); #307556=ORIENTED_EDGE('',*,*,#220880,.T.); #307557=ORIENTED_EDGE('',*,*,#220881,.F.); #307558=ORIENTED_EDGE('',*,*,#220880,.F.); #307559=ORIENTED_EDGE('',*,*,#220882,.F.); #307560=ORIENTED_EDGE('',*,*,#220883,.T.); #307561=ORIENTED_EDGE('',*,*,#220884,.F.); #307562=ORIENTED_EDGE('',*,*,#220883,.F.); #307563=ORIENTED_EDGE('',*,*,#220885,.F.); #307564=ORIENTED_EDGE('',*,*,#220886,.T.); #307565=ORIENTED_EDGE('',*,*,#220887,.F.); #307566=ORIENTED_EDGE('',*,*,#220886,.F.); #307567=ORIENTED_EDGE('',*,*,#220888,.F.); #307568=ORIENTED_EDGE('',*,*,#220889,.T.); #307569=ORIENTED_EDGE('',*,*,#220890,.F.); #307570=ORIENTED_EDGE('',*,*,#220889,.F.); #307571=ORIENTED_EDGE('',*,*,#220891,.F.); #307572=ORIENTED_EDGE('',*,*,#220892,.T.); #307573=ORIENTED_EDGE('',*,*,#220893,.F.); #307574=ORIENTED_EDGE('',*,*,#220892,.F.); #307575=ORIENTED_EDGE('',*,*,#220894,.F.); #307576=ORIENTED_EDGE('',*,*,#220895,.T.); #307577=ORIENTED_EDGE('',*,*,#220896,.F.); #307578=ORIENTED_EDGE('',*,*,#220895,.F.); #307579=ORIENTED_EDGE('',*,*,#220897,.F.); #307580=ORIENTED_EDGE('',*,*,#220898,.T.); #307581=ORIENTED_EDGE('',*,*,#220899,.F.); #307582=ORIENTED_EDGE('',*,*,#220898,.F.); #307583=ORIENTED_EDGE('',*,*,#220900,.F.); #307584=ORIENTED_EDGE('',*,*,#220901,.T.); #307585=ORIENTED_EDGE('',*,*,#220902,.F.); #307586=ORIENTED_EDGE('',*,*,#220901,.F.); #307587=ORIENTED_EDGE('',*,*,#220903,.F.); #307588=ORIENTED_EDGE('',*,*,#220904,.T.); #307589=ORIENTED_EDGE('',*,*,#220905,.F.); #307590=ORIENTED_EDGE('',*,*,#220904,.F.); #307591=ORIENTED_EDGE('',*,*,#220906,.F.); #307592=ORIENTED_EDGE('',*,*,#220907,.T.); #307593=ORIENTED_EDGE('',*,*,#220908,.F.); #307594=ORIENTED_EDGE('',*,*,#220907,.F.); #307595=ORIENTED_EDGE('',*,*,#220909,.F.); #307596=ORIENTED_EDGE('',*,*,#220910,.T.); #307597=ORIENTED_EDGE('',*,*,#220911,.F.); #307598=ORIENTED_EDGE('',*,*,#220910,.F.); #307599=ORIENTED_EDGE('',*,*,#220912,.F.); #307600=ORIENTED_EDGE('',*,*,#220913,.T.); #307601=ORIENTED_EDGE('',*,*,#220914,.F.); #307602=ORIENTED_EDGE('',*,*,#220913,.F.); #307603=ORIENTED_EDGE('',*,*,#220915,.F.); #307604=ORIENTED_EDGE('',*,*,#220916,.T.); #307605=ORIENTED_EDGE('',*,*,#220917,.F.); #307606=ORIENTED_EDGE('',*,*,#220916,.F.); #307607=ORIENTED_EDGE('',*,*,#220918,.F.); #307608=ORIENTED_EDGE('',*,*,#220919,.T.); #307609=ORIENTED_EDGE('',*,*,#220920,.F.); #307610=ORIENTED_EDGE('',*,*,#220919,.F.); #307611=ORIENTED_EDGE('',*,*,#220921,.F.); #307612=ORIENTED_EDGE('',*,*,#220922,.T.); #307613=ORIENTED_EDGE('',*,*,#220923,.F.); #307614=ORIENTED_EDGE('',*,*,#220922,.F.); #307615=ORIENTED_EDGE('',*,*,#220924,.F.); #307616=ORIENTED_EDGE('',*,*,#220925,.T.); #307617=ORIENTED_EDGE('',*,*,#220926,.F.); #307618=ORIENTED_EDGE('',*,*,#220925,.F.); #307619=ORIENTED_EDGE('',*,*,#220927,.F.); #307620=ORIENTED_EDGE('',*,*,#220928,.T.); #307621=ORIENTED_EDGE('',*,*,#220929,.F.); #307622=ORIENTED_EDGE('',*,*,#220928,.F.); #307623=ORIENTED_EDGE('',*,*,#220930,.F.); #307624=ORIENTED_EDGE('',*,*,#220931,.T.); #307625=ORIENTED_EDGE('',*,*,#220932,.F.); #307626=ORIENTED_EDGE('',*,*,#220931,.F.); #307627=ORIENTED_EDGE('',*,*,#220933,.F.); #307628=ORIENTED_EDGE('',*,*,#220934,.T.); #307629=ORIENTED_EDGE('',*,*,#220935,.F.); #307630=ORIENTED_EDGE('',*,*,#220934,.F.); #307631=ORIENTED_EDGE('',*,*,#220936,.F.); #307632=ORIENTED_EDGE('',*,*,#220937,.T.); #307633=ORIENTED_EDGE('',*,*,#220938,.F.); #307634=ORIENTED_EDGE('',*,*,#220937,.F.); #307635=ORIENTED_EDGE('',*,*,#220939,.F.); #307636=ORIENTED_EDGE('',*,*,#220940,.T.); #307637=ORIENTED_EDGE('',*,*,#220941,.F.); #307638=ORIENTED_EDGE('',*,*,#220940,.F.); #307639=ORIENTED_EDGE('',*,*,#220942,.F.); #307640=ORIENTED_EDGE('',*,*,#220943,.T.); #307641=ORIENTED_EDGE('',*,*,#220944,.F.); #307642=ORIENTED_EDGE('',*,*,#220943,.F.); #307643=ORIENTED_EDGE('',*,*,#220945,.F.); #307644=ORIENTED_EDGE('',*,*,#220946,.T.); #307645=ORIENTED_EDGE('',*,*,#220947,.F.); #307646=ORIENTED_EDGE('',*,*,#220946,.F.); #307647=ORIENTED_EDGE('',*,*,#220948,.F.); #307648=ORIENTED_EDGE('',*,*,#220949,.T.); #307649=ORIENTED_EDGE('',*,*,#220950,.F.); #307650=ORIENTED_EDGE('',*,*,#220949,.F.); #307651=ORIENTED_EDGE('',*,*,#220951,.F.); #307652=ORIENTED_EDGE('',*,*,#220952,.T.); #307653=ORIENTED_EDGE('',*,*,#220953,.F.); #307654=ORIENTED_EDGE('',*,*,#220952,.F.); #307655=ORIENTED_EDGE('',*,*,#220954,.F.); #307656=ORIENTED_EDGE('',*,*,#220955,.T.); #307657=ORIENTED_EDGE('',*,*,#220956,.F.); #307658=ORIENTED_EDGE('',*,*,#220955,.F.); #307659=ORIENTED_EDGE('',*,*,#220957,.F.); #307660=ORIENTED_EDGE('',*,*,#220958,.T.); #307661=ORIENTED_EDGE('',*,*,#220959,.F.); #307662=ORIENTED_EDGE('',*,*,#220958,.F.); #307663=ORIENTED_EDGE('',*,*,#220960,.F.); #307664=ORIENTED_EDGE('',*,*,#220961,.T.); #307665=ORIENTED_EDGE('',*,*,#220962,.F.); #307666=ORIENTED_EDGE('',*,*,#220961,.F.); #307667=ORIENTED_EDGE('',*,*,#220963,.F.); #307668=ORIENTED_EDGE('',*,*,#220964,.T.); #307669=ORIENTED_EDGE('',*,*,#220965,.F.); #307670=ORIENTED_EDGE('',*,*,#220964,.F.); #307671=ORIENTED_EDGE('',*,*,#220966,.F.); #307672=ORIENTED_EDGE('',*,*,#220967,.T.); #307673=ORIENTED_EDGE('',*,*,#220968,.F.); #307674=ORIENTED_EDGE('',*,*,#220967,.F.); #307675=ORIENTED_EDGE('',*,*,#220969,.F.); #307676=ORIENTED_EDGE('',*,*,#220970,.T.); #307677=ORIENTED_EDGE('',*,*,#220971,.F.); #307678=ORIENTED_EDGE('',*,*,#220970,.F.); #307679=ORIENTED_EDGE('',*,*,#220972,.F.); #307680=ORIENTED_EDGE('',*,*,#220973,.T.); #307681=ORIENTED_EDGE('',*,*,#220974,.F.); #307682=ORIENTED_EDGE('',*,*,#220973,.F.); #307683=ORIENTED_EDGE('',*,*,#220975,.F.); #307684=ORIENTED_EDGE('',*,*,#220976,.T.); #307685=ORIENTED_EDGE('',*,*,#220977,.F.); #307686=ORIENTED_EDGE('',*,*,#220976,.F.); #307687=ORIENTED_EDGE('',*,*,#220978,.F.); #307688=ORIENTED_EDGE('',*,*,#220979,.T.); #307689=ORIENTED_EDGE('',*,*,#220980,.F.); #307690=ORIENTED_EDGE('',*,*,#220979,.F.); #307691=ORIENTED_EDGE('',*,*,#220981,.F.); #307692=ORIENTED_EDGE('',*,*,#220982,.T.); #307693=ORIENTED_EDGE('',*,*,#220983,.F.); #307694=ORIENTED_EDGE('',*,*,#220982,.F.); #307695=ORIENTED_EDGE('',*,*,#220984,.F.); #307696=ORIENTED_EDGE('',*,*,#220985,.T.); #307697=ORIENTED_EDGE('',*,*,#220986,.F.); #307698=ORIENTED_EDGE('',*,*,#220985,.F.); #307699=ORIENTED_EDGE('',*,*,#220987,.F.); #307700=ORIENTED_EDGE('',*,*,#220988,.T.); #307701=ORIENTED_EDGE('',*,*,#220989,.F.); #307702=ORIENTED_EDGE('',*,*,#220988,.F.); #307703=ORIENTED_EDGE('',*,*,#220990,.F.); #307704=ORIENTED_EDGE('',*,*,#220991,.T.); #307705=ORIENTED_EDGE('',*,*,#220992,.F.); #307706=ORIENTED_EDGE('',*,*,#220991,.F.); #307707=ORIENTED_EDGE('',*,*,#220993,.F.); #307708=ORIENTED_EDGE('',*,*,#220994,.T.); #307709=ORIENTED_EDGE('',*,*,#220995,.F.); #307710=ORIENTED_EDGE('',*,*,#220994,.F.); #307711=ORIENTED_EDGE('',*,*,#220996,.F.); #307712=ORIENTED_EDGE('',*,*,#220997,.T.); #307713=ORIENTED_EDGE('',*,*,#220998,.F.); #307714=ORIENTED_EDGE('',*,*,#220997,.F.); #307715=ORIENTED_EDGE('',*,*,#220999,.F.); #307716=ORIENTED_EDGE('',*,*,#221000,.T.); #307717=ORIENTED_EDGE('',*,*,#221001,.F.); #307718=ORIENTED_EDGE('',*,*,#221000,.F.); #307719=ORIENTED_EDGE('',*,*,#221002,.F.); #307720=ORIENTED_EDGE('',*,*,#221003,.T.); #307721=ORIENTED_EDGE('',*,*,#221004,.F.); #307722=ORIENTED_EDGE('',*,*,#221003,.F.); #307723=ORIENTED_EDGE('',*,*,#221005,.F.); #307724=ORIENTED_EDGE('',*,*,#221006,.T.); #307725=ORIENTED_EDGE('',*,*,#221007,.F.); #307726=ORIENTED_EDGE('',*,*,#221006,.F.); #307727=ORIENTED_EDGE('',*,*,#221008,.F.); #307728=ORIENTED_EDGE('',*,*,#221009,.T.); #307729=ORIENTED_EDGE('',*,*,#221010,.F.); #307730=ORIENTED_EDGE('',*,*,#221009,.F.); #307731=ORIENTED_EDGE('',*,*,#221011,.F.); #307732=ORIENTED_EDGE('',*,*,#221012,.T.); #307733=ORIENTED_EDGE('',*,*,#221013,.F.); #307734=ORIENTED_EDGE('',*,*,#221012,.F.); #307735=ORIENTED_EDGE('',*,*,#221014,.F.); #307736=ORIENTED_EDGE('',*,*,#221015,.T.); #307737=ORIENTED_EDGE('',*,*,#221016,.F.); #307738=ORIENTED_EDGE('',*,*,#221015,.F.); #307739=ORIENTED_EDGE('',*,*,#221017,.F.); #307740=ORIENTED_EDGE('',*,*,#221018,.T.); #307741=ORIENTED_EDGE('',*,*,#221019,.F.); #307742=ORIENTED_EDGE('',*,*,#221018,.F.); #307743=ORIENTED_EDGE('',*,*,#221020,.F.); #307744=ORIENTED_EDGE('',*,*,#221021,.T.); #307745=ORIENTED_EDGE('',*,*,#221022,.F.); #307746=ORIENTED_EDGE('',*,*,#221021,.F.); #307747=ORIENTED_EDGE('',*,*,#221023,.F.); #307748=ORIENTED_EDGE('',*,*,#221024,.T.); #307749=ORIENTED_EDGE('',*,*,#221025,.F.); #307750=ORIENTED_EDGE('',*,*,#221024,.F.); #307751=ORIENTED_EDGE('',*,*,#221026,.F.); #307752=ORIENTED_EDGE('',*,*,#221027,.T.); #307753=ORIENTED_EDGE('',*,*,#221028,.F.); #307754=ORIENTED_EDGE('',*,*,#221027,.F.); #307755=ORIENTED_EDGE('',*,*,#221029,.F.); #307756=ORIENTED_EDGE('',*,*,#221030,.T.); #307757=ORIENTED_EDGE('',*,*,#221031,.F.); #307758=ORIENTED_EDGE('',*,*,#221030,.F.); #307759=ORIENTED_EDGE('',*,*,#221032,.F.); #307760=ORIENTED_EDGE('',*,*,#221033,.T.); #307761=ORIENTED_EDGE('',*,*,#221034,.F.); #307762=ORIENTED_EDGE('',*,*,#221033,.F.); #307763=ORIENTED_EDGE('',*,*,#221035,.F.); #307764=ORIENTED_EDGE('',*,*,#221036,.T.); #307765=ORIENTED_EDGE('',*,*,#221037,.F.); #307766=ORIENTED_EDGE('',*,*,#221036,.F.); #307767=ORIENTED_EDGE('',*,*,#221038,.F.); #307768=ORIENTED_EDGE('',*,*,#221039,.T.); #307769=ORIENTED_EDGE('',*,*,#221040,.F.); #307770=ORIENTED_EDGE('',*,*,#221039,.F.); #307771=ORIENTED_EDGE('',*,*,#221041,.F.); #307772=ORIENTED_EDGE('',*,*,#221042,.T.); #307773=ORIENTED_EDGE('',*,*,#221043,.F.); #307774=ORIENTED_EDGE('',*,*,#221042,.F.); #307775=ORIENTED_EDGE('',*,*,#221044,.F.); #307776=ORIENTED_EDGE('',*,*,#221045,.T.); #307777=ORIENTED_EDGE('',*,*,#221046,.F.); #307778=ORIENTED_EDGE('',*,*,#221045,.F.); #307779=ORIENTED_EDGE('',*,*,#221047,.F.); #307780=ORIENTED_EDGE('',*,*,#221048,.F.); #307781=ORIENTED_EDGE('',*,*,#221049,.F.); #307782=ORIENTED_EDGE('',*,*,#221050,.T.); #307783=ORIENTED_EDGE('',*,*,#221051,.F.); #307784=ORIENTED_EDGE('',*,*,#221050,.F.); #307785=ORIENTED_EDGE('',*,*,#221052,.F.); #307786=ORIENTED_EDGE('',*,*,#221053,.T.); #307787=ORIENTED_EDGE('',*,*,#221054,.F.); #307788=ORIENTED_EDGE('',*,*,#221053,.F.); #307789=ORIENTED_EDGE('',*,*,#221055,.F.); #307790=ORIENTED_EDGE('',*,*,#221056,.T.); #307791=ORIENTED_EDGE('',*,*,#221057,.F.); #307792=ORIENTED_EDGE('',*,*,#221056,.F.); #307793=ORIENTED_EDGE('',*,*,#221058,.F.); #307794=ORIENTED_EDGE('',*,*,#221048,.T.); #307795=ORIENTED_EDGE('',*,*,#221059,.F.); #307796=ORIENTED_EDGE('',*,*,#221060,.T.); #307797=ORIENTED_EDGE('',*,*,#221061,.F.); #307798=ORIENTED_EDGE('',*,*,#221060,.F.); #307799=ORIENTED_EDGE('',*,*,#221062,.F.); #307800=ORIENTED_EDGE('',*,*,#221063,.F.); #307801=ORIENTED_EDGE('',*,*,#221064,.F.); #307802=ORIENTED_EDGE('',*,*,#221065,.T.); #307803=ORIENTED_EDGE('',*,*,#221066,.F.); #307804=ORIENTED_EDGE('',*,*,#221065,.F.); #307805=ORIENTED_EDGE('',*,*,#221067,.F.); #307806=ORIENTED_EDGE('',*,*,#221068,.T.); #307807=ORIENTED_EDGE('',*,*,#221069,.F.); #307808=ORIENTED_EDGE('',*,*,#221068,.F.); #307809=ORIENTED_EDGE('',*,*,#221070,.F.); #307810=ORIENTED_EDGE('',*,*,#221071,.T.); #307811=ORIENTED_EDGE('',*,*,#221072,.F.); #307812=ORIENTED_EDGE('',*,*,#221071,.F.); #307813=ORIENTED_EDGE('',*,*,#221073,.F.); #307814=ORIENTED_EDGE('',*,*,#221063,.T.); #307815=ORIENTED_EDGE('',*,*,#221074,.F.); #307816=ORIENTED_EDGE('',*,*,#221075,.T.); #307817=ORIENTED_EDGE('',*,*,#221076,.F.); #307818=ORIENTED_EDGE('',*,*,#221075,.F.); #307819=ORIENTED_EDGE('',*,*,#221077,.F.); #307820=ORIENTED_EDGE('',*,*,#221078,.T.); #307821=ORIENTED_EDGE('',*,*,#221079,.F.); #307822=ORIENTED_EDGE('',*,*,#221078,.F.); #307823=ORIENTED_EDGE('',*,*,#221080,.F.); #307824=ORIENTED_EDGE('',*,*,#221081,.T.); #307825=ORIENTED_EDGE('',*,*,#221082,.F.); #307826=ORIENTED_EDGE('',*,*,#221081,.F.); #307827=ORIENTED_EDGE('',*,*,#221083,.F.); #307828=ORIENTED_EDGE('',*,*,#221084,.F.); #307829=ORIENTED_EDGE('',*,*,#221085,.F.); #307830=ORIENTED_EDGE('',*,*,#221086,.T.); #307831=ORIENTED_EDGE('',*,*,#221087,.F.); #307832=ORIENTED_EDGE('',*,*,#221086,.F.); #307833=ORIENTED_EDGE('',*,*,#221088,.F.); #307834=ORIENTED_EDGE('',*,*,#221089,.T.); #307835=ORIENTED_EDGE('',*,*,#221090,.F.); #307836=ORIENTED_EDGE('',*,*,#221089,.F.); #307837=ORIENTED_EDGE('',*,*,#221091,.F.); #307838=ORIENTED_EDGE('',*,*,#221092,.T.); #307839=ORIENTED_EDGE('',*,*,#221093,.F.); #307840=ORIENTED_EDGE('',*,*,#221092,.F.); #307841=ORIENTED_EDGE('',*,*,#221094,.F.); #307842=ORIENTED_EDGE('',*,*,#221084,.T.); #307843=ORIENTED_EDGE('',*,*,#221095,.F.); #307844=ORIENTED_EDGE('',*,*,#221096,.T.); #307845=ORIENTED_EDGE('',*,*,#221097,.F.); #307846=ORIENTED_EDGE('',*,*,#221096,.F.); #307847=ORIENTED_EDGE('',*,*,#221098,.F.); #307848=ORIENTED_EDGE('',*,*,#221099,.T.); #307849=ORIENTED_EDGE('',*,*,#221100,.F.); #307850=ORIENTED_EDGE('',*,*,#221099,.F.); #307851=ORIENTED_EDGE('',*,*,#221101,.F.); #307852=ORIENTED_EDGE('',*,*,#221102,.T.); #307853=ORIENTED_EDGE('',*,*,#221103,.F.); #307854=ORIENTED_EDGE('',*,*,#221102,.F.); #307855=ORIENTED_EDGE('',*,*,#221104,.F.); #307856=ORIENTED_EDGE('',*,*,#221105,.T.); #307857=ORIENTED_EDGE('',*,*,#221106,.F.); #307858=ORIENTED_EDGE('',*,*,#221105,.F.); #307859=ORIENTED_EDGE('',*,*,#221107,.F.); #307860=ORIENTED_EDGE('',*,*,#221108,.T.); #307861=ORIENTED_EDGE('',*,*,#221109,.F.); #307862=ORIENTED_EDGE('',*,*,#221108,.F.); #307863=ORIENTED_EDGE('',*,*,#221110,.F.); #307864=ORIENTED_EDGE('',*,*,#221111,.T.); #307865=ORIENTED_EDGE('',*,*,#221112,.F.); #307866=ORIENTED_EDGE('',*,*,#221111,.F.); #307867=ORIENTED_EDGE('',*,*,#221113,.F.); #307868=ORIENTED_EDGE('',*,*,#221114,.T.); #307869=ORIENTED_EDGE('',*,*,#221115,.F.); #307870=ORIENTED_EDGE('',*,*,#221114,.F.); #307871=ORIENTED_EDGE('',*,*,#221116,.F.); #307872=ORIENTED_EDGE('',*,*,#221117,.T.); #307873=ORIENTED_EDGE('',*,*,#221118,.F.); #307874=ORIENTED_EDGE('',*,*,#221117,.F.); #307875=ORIENTED_EDGE('',*,*,#221119,.F.); #307876=ORIENTED_EDGE('',*,*,#221120,.T.); #307877=ORIENTED_EDGE('',*,*,#221121,.F.); #307878=ORIENTED_EDGE('',*,*,#221120,.F.); #307879=ORIENTED_EDGE('',*,*,#221122,.F.); #307880=ORIENTED_EDGE('',*,*,#221123,.T.); #307881=ORIENTED_EDGE('',*,*,#221124,.F.); #307882=ORIENTED_EDGE('',*,*,#221123,.F.); #307883=ORIENTED_EDGE('',*,*,#221125,.F.); #307884=ORIENTED_EDGE('',*,*,#221126,.F.); #307885=ORIENTED_EDGE('',*,*,#221127,.F.); #307886=ORIENTED_EDGE('',*,*,#221128,.T.); #307887=ORIENTED_EDGE('',*,*,#221129,.F.); #307888=ORIENTED_EDGE('',*,*,#221128,.F.); #307889=ORIENTED_EDGE('',*,*,#221130,.F.); #307890=ORIENTED_EDGE('',*,*,#221131,.T.); #307891=ORIENTED_EDGE('',*,*,#221132,.F.); #307892=ORIENTED_EDGE('',*,*,#221131,.F.); #307893=ORIENTED_EDGE('',*,*,#221133,.F.); #307894=ORIENTED_EDGE('',*,*,#221134,.T.); #307895=ORIENTED_EDGE('',*,*,#221135,.F.); #307896=ORIENTED_EDGE('',*,*,#221134,.F.); #307897=ORIENTED_EDGE('',*,*,#221136,.F.); #307898=ORIENTED_EDGE('',*,*,#221126,.T.); #307899=ORIENTED_EDGE('',*,*,#221137,.F.); #307900=ORIENTED_EDGE('',*,*,#221138,.T.); #307901=ORIENTED_EDGE('',*,*,#221139,.F.); #307902=ORIENTED_EDGE('',*,*,#221138,.F.); #307903=ORIENTED_EDGE('',*,*,#221140,.F.); #307904=ORIENTED_EDGE('',*,*,#221141,.F.); #307905=ORIENTED_EDGE('',*,*,#221142,.F.); #307906=ORIENTED_EDGE('',*,*,#221143,.T.); #307907=ORIENTED_EDGE('',*,*,#221144,.F.); #307908=ORIENTED_EDGE('',*,*,#221143,.F.); #307909=ORIENTED_EDGE('',*,*,#221145,.F.); #307910=ORIENTED_EDGE('',*,*,#221146,.T.); #307911=ORIENTED_EDGE('',*,*,#221147,.F.); #307912=ORIENTED_EDGE('',*,*,#221146,.F.); #307913=ORIENTED_EDGE('',*,*,#221148,.F.); #307914=ORIENTED_EDGE('',*,*,#221149,.T.); #307915=ORIENTED_EDGE('',*,*,#221150,.F.); #307916=ORIENTED_EDGE('',*,*,#221149,.F.); #307917=ORIENTED_EDGE('',*,*,#221151,.F.); #307918=ORIENTED_EDGE('',*,*,#221141,.T.); #307919=ORIENTED_EDGE('',*,*,#221152,.F.); #307920=ORIENTED_EDGE('',*,*,#221153,.T.); #307921=ORIENTED_EDGE('',*,*,#221154,.F.); #307922=ORIENTED_EDGE('',*,*,#221153,.F.); #307923=ORIENTED_EDGE('',*,*,#221155,.F.); #307924=ORIENTED_EDGE('',*,*,#221156,.F.); #307925=ORIENTED_EDGE('',*,*,#221157,.F.); #307926=ORIENTED_EDGE('',*,*,#221158,.T.); #307927=ORIENTED_EDGE('',*,*,#221159,.F.); #307928=ORIENTED_EDGE('',*,*,#221158,.F.); #307929=ORIENTED_EDGE('',*,*,#221160,.F.); #307930=ORIENTED_EDGE('',*,*,#221161,.T.); #307931=ORIENTED_EDGE('',*,*,#221162,.F.); #307932=ORIENTED_EDGE('',*,*,#221161,.F.); #307933=ORIENTED_EDGE('',*,*,#221163,.F.); #307934=ORIENTED_EDGE('',*,*,#221164,.T.); #307935=ORIENTED_EDGE('',*,*,#221165,.F.); #307936=ORIENTED_EDGE('',*,*,#221164,.F.); #307937=ORIENTED_EDGE('',*,*,#221166,.F.); #307938=ORIENTED_EDGE('',*,*,#221156,.T.); #307939=ORIENTED_EDGE('',*,*,#221167,.F.); #307940=ORIENTED_EDGE('',*,*,#221168,.T.); #307941=ORIENTED_EDGE('',*,*,#221169,.F.); #307942=ORIENTED_EDGE('',*,*,#221168,.F.); #307943=ORIENTED_EDGE('',*,*,#221170,.F.); #307944=ORIENTED_EDGE('',*,*,#221171,.T.); #307945=ORIENTED_EDGE('',*,*,#221172,.F.); #307946=ORIENTED_EDGE('',*,*,#221171,.F.); #307947=ORIENTED_EDGE('',*,*,#221173,.F.); #307948=ORIENTED_EDGE('',*,*,#221174,.T.); #307949=ORIENTED_EDGE('',*,*,#221175,.F.); #307950=ORIENTED_EDGE('',*,*,#221174,.F.); #307951=ORIENTED_EDGE('',*,*,#221176,.F.); #307952=ORIENTED_EDGE('',*,*,#221177,.T.); #307953=ORIENTED_EDGE('',*,*,#221178,.F.); #307954=ORIENTED_EDGE('',*,*,#221177,.F.); #307955=ORIENTED_EDGE('',*,*,#221179,.F.); #307956=ORIENTED_EDGE('',*,*,#221180,.T.); #307957=ORIENTED_EDGE('',*,*,#221181,.F.); #307958=ORIENTED_EDGE('',*,*,#221180,.F.); #307959=ORIENTED_EDGE('',*,*,#221182,.F.); #307960=ORIENTED_EDGE('',*,*,#221183,.T.); #307961=ORIENTED_EDGE('',*,*,#221184,.F.); #307962=ORIENTED_EDGE('',*,*,#221183,.F.); #307963=ORIENTED_EDGE('',*,*,#221185,.F.); #307964=ORIENTED_EDGE('',*,*,#221186,.T.); #307965=ORIENTED_EDGE('',*,*,#221187,.F.); #307966=ORIENTED_EDGE('',*,*,#221186,.F.); #307967=ORIENTED_EDGE('',*,*,#221188,.F.); #307968=ORIENTED_EDGE('',*,*,#221189,.T.); #307969=ORIENTED_EDGE('',*,*,#221190,.F.); #307970=ORIENTED_EDGE('',*,*,#221189,.F.); #307971=ORIENTED_EDGE('',*,*,#221191,.F.); #307972=ORIENTED_EDGE('',*,*,#221192,.T.); #307973=ORIENTED_EDGE('',*,*,#221193,.F.); #307974=ORIENTED_EDGE('',*,*,#221192,.F.); #307975=ORIENTED_EDGE('',*,*,#221194,.F.); #307976=ORIENTED_EDGE('',*,*,#221195,.T.); #307977=ORIENTED_EDGE('',*,*,#221196,.F.); #307978=ORIENTED_EDGE('',*,*,#221195,.F.); #307979=ORIENTED_EDGE('',*,*,#221197,.F.); #307980=ORIENTED_EDGE('',*,*,#221198,.T.); #307981=ORIENTED_EDGE('',*,*,#221199,.F.); #307982=ORIENTED_EDGE('',*,*,#221198,.F.); #307983=ORIENTED_EDGE('',*,*,#221200,.F.); #307984=ORIENTED_EDGE('',*,*,#221201,.T.); #307985=ORIENTED_EDGE('',*,*,#221202,.F.); #307986=ORIENTED_EDGE('',*,*,#221201,.F.); #307987=ORIENTED_EDGE('',*,*,#221203,.F.); #307988=ORIENTED_EDGE('',*,*,#221204,.T.); #307989=ORIENTED_EDGE('',*,*,#221205,.F.); #307990=ORIENTED_EDGE('',*,*,#221204,.F.); #307991=ORIENTED_EDGE('',*,*,#221206,.F.); #307992=ORIENTED_EDGE('',*,*,#221207,.T.); #307993=ORIENTED_EDGE('',*,*,#221208,.F.); #307994=ORIENTED_EDGE('',*,*,#221207,.F.); #307995=ORIENTED_EDGE('',*,*,#221209,.F.); #307996=ORIENTED_EDGE('',*,*,#221210,.T.); #307997=ORIENTED_EDGE('',*,*,#221211,.F.); #307998=ORIENTED_EDGE('',*,*,#221210,.F.); #307999=ORIENTED_EDGE('',*,*,#221212,.F.); #308000=ORIENTED_EDGE('',*,*,#221213,.T.); #308001=ORIENTED_EDGE('',*,*,#221214,.F.); #308002=ORIENTED_EDGE('',*,*,#221213,.F.); #308003=ORIENTED_EDGE('',*,*,#221215,.F.); #308004=ORIENTED_EDGE('',*,*,#221216,.T.); #308005=ORIENTED_EDGE('',*,*,#221217,.F.); #308006=ORIENTED_EDGE('',*,*,#221216,.F.); #308007=ORIENTED_EDGE('',*,*,#221218,.F.); #308008=ORIENTED_EDGE('',*,*,#221219,.T.); #308009=ORIENTED_EDGE('',*,*,#221220,.F.); #308010=ORIENTED_EDGE('',*,*,#221219,.F.); #308011=ORIENTED_EDGE('',*,*,#221221,.F.); #308012=ORIENTED_EDGE('',*,*,#221222,.T.); #308013=ORIENTED_EDGE('',*,*,#221223,.F.); #308014=ORIENTED_EDGE('',*,*,#221222,.F.); #308015=ORIENTED_EDGE('',*,*,#221224,.F.); #308016=ORIENTED_EDGE('',*,*,#221225,.T.); #308017=ORIENTED_EDGE('',*,*,#221226,.F.); #308018=ORIENTED_EDGE('',*,*,#221225,.F.); #308019=ORIENTED_EDGE('',*,*,#221227,.F.); #308020=ORIENTED_EDGE('',*,*,#221228,.T.); #308021=ORIENTED_EDGE('',*,*,#221229,.F.); #308022=ORIENTED_EDGE('',*,*,#221228,.F.); #308023=ORIENTED_EDGE('',*,*,#221230,.F.); #308024=ORIENTED_EDGE('',*,*,#221231,.T.); #308025=ORIENTED_EDGE('',*,*,#221232,.F.); #308026=ORIENTED_EDGE('',*,*,#221231,.F.); #308027=ORIENTED_EDGE('',*,*,#221233,.F.); #308028=ORIENTED_EDGE('',*,*,#221234,.T.); #308029=ORIENTED_EDGE('',*,*,#221235,.F.); #308030=ORIENTED_EDGE('',*,*,#221234,.F.); #308031=ORIENTED_EDGE('',*,*,#221236,.F.); #308032=ORIENTED_EDGE('',*,*,#221237,.T.); #308033=ORIENTED_EDGE('',*,*,#221238,.F.); #308034=ORIENTED_EDGE('',*,*,#221237,.F.); #308035=ORIENTED_EDGE('',*,*,#221239,.F.); #308036=ORIENTED_EDGE('',*,*,#221240,.T.); #308037=ORIENTED_EDGE('',*,*,#221241,.F.); #308038=ORIENTED_EDGE('',*,*,#221240,.F.); #308039=ORIENTED_EDGE('',*,*,#221242,.F.); #308040=ORIENTED_EDGE('',*,*,#221243,.T.); #308041=ORIENTED_EDGE('',*,*,#221244,.F.); #308042=ORIENTED_EDGE('',*,*,#221243,.F.); #308043=ORIENTED_EDGE('',*,*,#221245,.F.); #308044=ORIENTED_EDGE('',*,*,#221246,.T.); #308045=ORIENTED_EDGE('',*,*,#221247,.F.); #308046=ORIENTED_EDGE('',*,*,#221246,.F.); #308047=ORIENTED_EDGE('',*,*,#221248,.F.); #308048=ORIENTED_EDGE('',*,*,#221249,.T.); #308049=ORIENTED_EDGE('',*,*,#221250,.F.); #308050=ORIENTED_EDGE('',*,*,#221249,.F.); #308051=ORIENTED_EDGE('',*,*,#221251,.F.); #308052=ORIENTED_EDGE('',*,*,#221252,.T.); #308053=ORIENTED_EDGE('',*,*,#221253,.F.); #308054=ORIENTED_EDGE('',*,*,#221252,.F.); #308055=ORIENTED_EDGE('',*,*,#221254,.F.); #308056=ORIENTED_EDGE('',*,*,#221255,.T.); #308057=ORIENTED_EDGE('',*,*,#221256,.F.); #308058=ORIENTED_EDGE('',*,*,#221255,.F.); #308059=ORIENTED_EDGE('',*,*,#221257,.F.); #308060=ORIENTED_EDGE('',*,*,#221258,.T.); #308061=ORIENTED_EDGE('',*,*,#221259,.F.); #308062=ORIENTED_EDGE('',*,*,#221258,.F.); #308063=ORIENTED_EDGE('',*,*,#221260,.F.); #308064=ORIENTED_EDGE('',*,*,#221261,.T.); #308065=ORIENTED_EDGE('',*,*,#221262,.F.); #308066=ORIENTED_EDGE('',*,*,#221261,.F.); #308067=ORIENTED_EDGE('',*,*,#221263,.F.); #308068=ORIENTED_EDGE('',*,*,#221264,.T.); #308069=ORIENTED_EDGE('',*,*,#221265,.F.); #308070=ORIENTED_EDGE('',*,*,#221264,.F.); #308071=ORIENTED_EDGE('',*,*,#221266,.F.); #308072=ORIENTED_EDGE('',*,*,#221267,.T.); #308073=ORIENTED_EDGE('',*,*,#221268,.F.); #308074=ORIENTED_EDGE('',*,*,#221267,.F.); #308075=ORIENTED_EDGE('',*,*,#221269,.F.); #308076=ORIENTED_EDGE('',*,*,#221270,.T.); #308077=ORIENTED_EDGE('',*,*,#221271,.F.); #308078=ORIENTED_EDGE('',*,*,#221270,.F.); #308079=ORIENTED_EDGE('',*,*,#221272,.F.); #308080=ORIENTED_EDGE('',*,*,#221273,.T.); #308081=ORIENTED_EDGE('',*,*,#221274,.F.); #308082=ORIENTED_EDGE('',*,*,#221273,.F.); #308083=ORIENTED_EDGE('',*,*,#221275,.F.); #308084=ORIENTED_EDGE('',*,*,#221276,.T.); #308085=ORIENTED_EDGE('',*,*,#221277,.F.); #308086=ORIENTED_EDGE('',*,*,#221276,.F.); #308087=ORIENTED_EDGE('',*,*,#221278,.F.); #308088=ORIENTED_EDGE('',*,*,#221279,.T.); #308089=ORIENTED_EDGE('',*,*,#221280,.F.); #308090=ORIENTED_EDGE('',*,*,#221279,.F.); #308091=ORIENTED_EDGE('',*,*,#221281,.F.); #308092=ORIENTED_EDGE('',*,*,#221282,.T.); #308093=ORIENTED_EDGE('',*,*,#221283,.F.); #308094=ORIENTED_EDGE('',*,*,#221282,.F.); #308095=ORIENTED_EDGE('',*,*,#221284,.F.); #308096=ORIENTED_EDGE('',*,*,#221285,.T.); #308097=ORIENTED_EDGE('',*,*,#221286,.F.); #308098=ORIENTED_EDGE('',*,*,#221285,.F.); #308099=ORIENTED_EDGE('',*,*,#221287,.F.); #308100=ORIENTED_EDGE('',*,*,#221288,.T.); #308101=ORIENTED_EDGE('',*,*,#221289,.F.); #308102=ORIENTED_EDGE('',*,*,#221288,.F.); #308103=ORIENTED_EDGE('',*,*,#221290,.F.); #308104=ORIENTED_EDGE('',*,*,#221291,.T.); #308105=ORIENTED_EDGE('',*,*,#221292,.F.); #308106=ORIENTED_EDGE('',*,*,#221291,.F.); #308107=ORIENTED_EDGE('',*,*,#221293,.F.); #308108=ORIENTED_EDGE('',*,*,#221294,.T.); #308109=ORIENTED_EDGE('',*,*,#221295,.F.); #308110=ORIENTED_EDGE('',*,*,#221294,.F.); #308111=ORIENTED_EDGE('',*,*,#221296,.F.); #308112=ORIENTED_EDGE('',*,*,#221297,.T.); #308113=ORIENTED_EDGE('',*,*,#221298,.F.); #308114=ORIENTED_EDGE('',*,*,#221297,.F.); #308115=ORIENTED_EDGE('',*,*,#221299,.F.); #308116=ORIENTED_EDGE('',*,*,#221300,.T.); #308117=ORIENTED_EDGE('',*,*,#221301,.F.); #308118=ORIENTED_EDGE('',*,*,#221300,.F.); #308119=ORIENTED_EDGE('',*,*,#221302,.F.); #308120=ORIENTED_EDGE('',*,*,#221303,.T.); #308121=ORIENTED_EDGE('',*,*,#221304,.F.); #308122=ORIENTED_EDGE('',*,*,#221303,.F.); #308123=ORIENTED_EDGE('',*,*,#221305,.F.); #308124=ORIENTED_EDGE('',*,*,#221306,.T.); #308125=ORIENTED_EDGE('',*,*,#221307,.F.); #308126=ORIENTED_EDGE('',*,*,#221306,.F.); #308127=ORIENTED_EDGE('',*,*,#221308,.F.); #308128=ORIENTED_EDGE('',*,*,#221309,.T.); #308129=ORIENTED_EDGE('',*,*,#221310,.F.); #308130=ORIENTED_EDGE('',*,*,#221309,.F.); #308131=ORIENTED_EDGE('',*,*,#221311,.F.); #308132=ORIENTED_EDGE('',*,*,#221312,.T.); #308133=ORIENTED_EDGE('',*,*,#221313,.F.); #308134=ORIENTED_EDGE('',*,*,#221312,.F.); #308135=ORIENTED_EDGE('',*,*,#221314,.F.); #308136=ORIENTED_EDGE('',*,*,#221315,.T.); #308137=ORIENTED_EDGE('',*,*,#221316,.F.); #308138=ORIENTED_EDGE('',*,*,#221315,.F.); #308139=ORIENTED_EDGE('',*,*,#221317,.F.); #308140=ORIENTED_EDGE('',*,*,#221318,.T.); #308141=ORIENTED_EDGE('',*,*,#221319,.F.); #308142=ORIENTED_EDGE('',*,*,#221318,.F.); #308143=ORIENTED_EDGE('',*,*,#221320,.F.); #308144=ORIENTED_EDGE('',*,*,#221321,.T.); #308145=ORIENTED_EDGE('',*,*,#221322,.F.); #308146=ORIENTED_EDGE('',*,*,#221321,.F.); #308147=ORIENTED_EDGE('',*,*,#221323,.F.); #308148=ORIENTED_EDGE('',*,*,#221324,.T.); #308149=ORIENTED_EDGE('',*,*,#221325,.F.); #308150=ORIENTED_EDGE('',*,*,#221324,.F.); #308151=ORIENTED_EDGE('',*,*,#221326,.F.); #308152=ORIENTED_EDGE('',*,*,#221327,.T.); #308153=ORIENTED_EDGE('',*,*,#221328,.F.); #308154=ORIENTED_EDGE('',*,*,#221327,.F.); #308155=ORIENTED_EDGE('',*,*,#221329,.F.); #308156=ORIENTED_EDGE('',*,*,#221330,.T.); #308157=ORIENTED_EDGE('',*,*,#221331,.F.); #308158=ORIENTED_EDGE('',*,*,#221330,.F.); #308159=ORIENTED_EDGE('',*,*,#221332,.F.); #308160=ORIENTED_EDGE('',*,*,#221333,.T.); #308161=ORIENTED_EDGE('',*,*,#221334,.F.); #308162=ORIENTED_EDGE('',*,*,#221333,.F.); #308163=ORIENTED_EDGE('',*,*,#221335,.F.); #308164=ORIENTED_EDGE('',*,*,#221336,.T.); #308165=ORIENTED_EDGE('',*,*,#221337,.F.); #308166=ORIENTED_EDGE('',*,*,#221336,.F.); #308167=ORIENTED_EDGE('',*,*,#221338,.F.); #308168=ORIENTED_EDGE('',*,*,#221339,.T.); #308169=ORIENTED_EDGE('',*,*,#221340,.F.); #308170=ORIENTED_EDGE('',*,*,#221339,.F.); #308171=ORIENTED_EDGE('',*,*,#221341,.F.); #308172=ORIENTED_EDGE('',*,*,#221342,.T.); #308173=ORIENTED_EDGE('',*,*,#221343,.F.); #308174=ORIENTED_EDGE('',*,*,#221342,.F.); #308175=ORIENTED_EDGE('',*,*,#221344,.F.); #308176=ORIENTED_EDGE('',*,*,#221345,.T.); #308177=ORIENTED_EDGE('',*,*,#221346,.F.); #308178=ORIENTED_EDGE('',*,*,#221345,.F.); #308179=ORIENTED_EDGE('',*,*,#221347,.F.); #308180=ORIENTED_EDGE('',*,*,#221348,.T.); #308181=ORIENTED_EDGE('',*,*,#221349,.F.); #308182=ORIENTED_EDGE('',*,*,#221348,.F.); #308183=ORIENTED_EDGE('',*,*,#221350,.F.); #308184=ORIENTED_EDGE('',*,*,#221351,.T.); #308185=ORIENTED_EDGE('',*,*,#221352,.F.); #308186=ORIENTED_EDGE('',*,*,#221351,.F.); #308187=ORIENTED_EDGE('',*,*,#221353,.F.); #308188=ORIENTED_EDGE('',*,*,#221354,.T.); #308189=ORIENTED_EDGE('',*,*,#221355,.F.); #308190=ORIENTED_EDGE('',*,*,#221354,.F.); #308191=ORIENTED_EDGE('',*,*,#221356,.F.); #308192=ORIENTED_EDGE('',*,*,#221357,.T.); #308193=ORIENTED_EDGE('',*,*,#221358,.F.); #308194=ORIENTED_EDGE('',*,*,#221357,.F.); #308195=ORIENTED_EDGE('',*,*,#221359,.F.); #308196=ORIENTED_EDGE('',*,*,#221360,.T.); #308197=ORIENTED_EDGE('',*,*,#221361,.F.); #308198=ORIENTED_EDGE('',*,*,#221360,.F.); #308199=ORIENTED_EDGE('',*,*,#221362,.F.); #308200=ORIENTED_EDGE('',*,*,#221363,.T.); #308201=ORIENTED_EDGE('',*,*,#221364,.F.); #308202=ORIENTED_EDGE('',*,*,#221363,.F.); #308203=ORIENTED_EDGE('',*,*,#221365,.F.); #308204=ORIENTED_EDGE('',*,*,#221366,.T.); #308205=ORIENTED_EDGE('',*,*,#221367,.F.); #308206=ORIENTED_EDGE('',*,*,#221366,.F.); #308207=ORIENTED_EDGE('',*,*,#221368,.F.); #308208=ORIENTED_EDGE('',*,*,#221369,.T.); #308209=ORIENTED_EDGE('',*,*,#221370,.F.); #308210=ORIENTED_EDGE('',*,*,#221369,.F.); #308211=ORIENTED_EDGE('',*,*,#221371,.F.); #308212=ORIENTED_EDGE('',*,*,#221372,.T.); #308213=ORIENTED_EDGE('',*,*,#221373,.F.); #308214=ORIENTED_EDGE('',*,*,#221372,.F.); #308215=ORIENTED_EDGE('',*,*,#221374,.F.); #308216=ORIENTED_EDGE('',*,*,#221375,.T.); #308217=ORIENTED_EDGE('',*,*,#221376,.F.); #308218=ORIENTED_EDGE('',*,*,#221375,.F.); #308219=ORIENTED_EDGE('',*,*,#221377,.F.); #308220=ORIENTED_EDGE('',*,*,#221378,.T.); #308221=ORIENTED_EDGE('',*,*,#221379,.F.); #308222=ORIENTED_EDGE('',*,*,#221378,.F.); #308223=ORIENTED_EDGE('',*,*,#221380,.F.); #308224=ORIENTED_EDGE('',*,*,#221381,.T.); #308225=ORIENTED_EDGE('',*,*,#221382,.F.); #308226=ORIENTED_EDGE('',*,*,#221381,.F.); #308227=ORIENTED_EDGE('',*,*,#221383,.F.); #308228=ORIENTED_EDGE('',*,*,#221384,.T.); #308229=ORIENTED_EDGE('',*,*,#221385,.F.); #308230=ORIENTED_EDGE('',*,*,#221384,.F.); #308231=ORIENTED_EDGE('',*,*,#221386,.F.); #308232=ORIENTED_EDGE('',*,*,#221387,.T.); #308233=ORIENTED_EDGE('',*,*,#221388,.F.); #308234=ORIENTED_EDGE('',*,*,#221387,.F.); #308235=ORIENTED_EDGE('',*,*,#221389,.F.); #308236=ORIENTED_EDGE('',*,*,#221390,.T.); #308237=ORIENTED_EDGE('',*,*,#221391,.F.); #308238=ORIENTED_EDGE('',*,*,#221390,.F.); #308239=ORIENTED_EDGE('',*,*,#221392,.F.); #308240=ORIENTED_EDGE('',*,*,#221393,.T.); #308241=ORIENTED_EDGE('',*,*,#221394,.F.); #308242=ORIENTED_EDGE('',*,*,#221393,.F.); #308243=ORIENTED_EDGE('',*,*,#221395,.F.); #308244=ORIENTED_EDGE('',*,*,#221396,.T.); #308245=ORIENTED_EDGE('',*,*,#221397,.F.); #308246=ORIENTED_EDGE('',*,*,#221396,.F.); #308247=ORIENTED_EDGE('',*,*,#221398,.F.); #308248=ORIENTED_EDGE('',*,*,#221399,.T.); #308249=ORIENTED_EDGE('',*,*,#221400,.F.); #308250=ORIENTED_EDGE('',*,*,#221399,.F.); #308251=ORIENTED_EDGE('',*,*,#221401,.F.); #308252=ORIENTED_EDGE('',*,*,#221402,.T.); #308253=ORIENTED_EDGE('',*,*,#221403,.F.); #308254=ORIENTED_EDGE('',*,*,#221402,.F.); #308255=ORIENTED_EDGE('',*,*,#221404,.F.); #308256=ORIENTED_EDGE('',*,*,#221405,.T.); #308257=ORIENTED_EDGE('',*,*,#221406,.F.); #308258=ORIENTED_EDGE('',*,*,#221405,.F.); #308259=ORIENTED_EDGE('',*,*,#221407,.F.); #308260=ORIENTED_EDGE('',*,*,#221408,.T.); #308261=ORIENTED_EDGE('',*,*,#221409,.F.); #308262=ORIENTED_EDGE('',*,*,#221408,.F.); #308263=ORIENTED_EDGE('',*,*,#221410,.F.); #308264=ORIENTED_EDGE('',*,*,#221411,.T.); #308265=ORIENTED_EDGE('',*,*,#221412,.F.); #308266=ORIENTED_EDGE('',*,*,#221411,.F.); #308267=ORIENTED_EDGE('',*,*,#221413,.F.); #308268=ORIENTED_EDGE('',*,*,#221414,.T.); #308269=ORIENTED_EDGE('',*,*,#221415,.F.); #308270=ORIENTED_EDGE('',*,*,#221414,.F.); #308271=ORIENTED_EDGE('',*,*,#221416,.F.); #308272=ORIENTED_EDGE('',*,*,#221417,.T.); #308273=ORIENTED_EDGE('',*,*,#221418,.F.); #308274=ORIENTED_EDGE('',*,*,#221417,.F.); #308275=ORIENTED_EDGE('',*,*,#221419,.F.); #308276=ORIENTED_EDGE('',*,*,#221420,.T.); #308277=ORIENTED_EDGE('',*,*,#221421,.F.); #308278=ORIENTED_EDGE('',*,*,#221420,.F.); #308279=ORIENTED_EDGE('',*,*,#221422,.F.); #308280=ORIENTED_EDGE('',*,*,#221423,.T.); #308281=ORIENTED_EDGE('',*,*,#221424,.F.); #308282=ORIENTED_EDGE('',*,*,#221423,.F.); #308283=ORIENTED_EDGE('',*,*,#221425,.F.); #308284=ORIENTED_EDGE('',*,*,#221426,.T.); #308285=ORIENTED_EDGE('',*,*,#221427,.F.); #308286=ORIENTED_EDGE('',*,*,#221426,.F.); #308287=ORIENTED_EDGE('',*,*,#221428,.F.); #308288=ORIENTED_EDGE('',*,*,#221429,.T.); #308289=ORIENTED_EDGE('',*,*,#221430,.F.); #308290=ORIENTED_EDGE('',*,*,#221429,.F.); #308291=ORIENTED_EDGE('',*,*,#221431,.F.); #308292=ORIENTED_EDGE('',*,*,#221432,.T.); #308293=ORIENTED_EDGE('',*,*,#221433,.F.); #308294=ORIENTED_EDGE('',*,*,#221432,.F.); #308295=ORIENTED_EDGE('',*,*,#221434,.F.); #308296=ORIENTED_EDGE('',*,*,#221435,.T.); #308297=ORIENTED_EDGE('',*,*,#221436,.F.); #308298=ORIENTED_EDGE('',*,*,#221435,.F.); #308299=ORIENTED_EDGE('',*,*,#221437,.F.); #308300=ORIENTED_EDGE('',*,*,#221438,.T.); #308301=ORIENTED_EDGE('',*,*,#221439,.F.); #308302=ORIENTED_EDGE('',*,*,#221438,.F.); #308303=ORIENTED_EDGE('',*,*,#221440,.F.); #308304=ORIENTED_EDGE('',*,*,#221441,.T.); #308305=ORIENTED_EDGE('',*,*,#221442,.F.); #308306=ORIENTED_EDGE('',*,*,#221441,.F.); #308307=ORIENTED_EDGE('',*,*,#221443,.F.); #308308=ORIENTED_EDGE('',*,*,#221444,.T.); #308309=ORIENTED_EDGE('',*,*,#221445,.F.); #308310=ORIENTED_EDGE('',*,*,#221444,.F.); #308311=ORIENTED_EDGE('',*,*,#221446,.F.); #308312=ORIENTED_EDGE('',*,*,#221447,.T.); #308313=ORIENTED_EDGE('',*,*,#221448,.F.); #308314=ORIENTED_EDGE('',*,*,#221447,.F.); #308315=ORIENTED_EDGE('',*,*,#221449,.F.); #308316=ORIENTED_EDGE('',*,*,#221450,.T.); #308317=ORIENTED_EDGE('',*,*,#221451,.F.); #308318=ORIENTED_EDGE('',*,*,#221450,.F.); #308319=ORIENTED_EDGE('',*,*,#221452,.F.); #308320=ORIENTED_EDGE('',*,*,#221453,.T.); #308321=ORIENTED_EDGE('',*,*,#221454,.F.); #308322=ORIENTED_EDGE('',*,*,#221453,.F.); #308323=ORIENTED_EDGE('',*,*,#221455,.F.); #308324=ORIENTED_EDGE('',*,*,#221456,.T.); #308325=ORIENTED_EDGE('',*,*,#221457,.F.); #308326=ORIENTED_EDGE('',*,*,#221456,.F.); #308327=ORIENTED_EDGE('',*,*,#221458,.F.); #308328=ORIENTED_EDGE('',*,*,#221459,.T.); #308329=ORIENTED_EDGE('',*,*,#221460,.F.); #308330=ORIENTED_EDGE('',*,*,#221459,.F.); #308331=ORIENTED_EDGE('',*,*,#221461,.F.); #308332=ORIENTED_EDGE('',*,*,#221462,.T.); #308333=ORIENTED_EDGE('',*,*,#221463,.F.); #308334=ORIENTED_EDGE('',*,*,#221462,.F.); #308335=ORIENTED_EDGE('',*,*,#221464,.F.); #308336=ORIENTED_EDGE('',*,*,#221465,.T.); #308337=ORIENTED_EDGE('',*,*,#221466,.F.); #308338=ORIENTED_EDGE('',*,*,#221465,.F.); #308339=ORIENTED_EDGE('',*,*,#221467,.F.); #308340=ORIENTED_EDGE('',*,*,#221468,.T.); #308341=ORIENTED_EDGE('',*,*,#221469,.F.); #308342=ORIENTED_EDGE('',*,*,#221468,.F.); #308343=ORIENTED_EDGE('',*,*,#221470,.F.); #308344=ORIENTED_EDGE('',*,*,#221471,.T.); #308345=ORIENTED_EDGE('',*,*,#221472,.F.); #308346=ORIENTED_EDGE('',*,*,#221471,.F.); #308347=ORIENTED_EDGE('',*,*,#221473,.F.); #308348=ORIENTED_EDGE('',*,*,#221474,.T.); #308349=ORIENTED_EDGE('',*,*,#221475,.F.); #308350=ORIENTED_EDGE('',*,*,#221474,.F.); #308351=ORIENTED_EDGE('',*,*,#221476,.F.); #308352=ORIENTED_EDGE('',*,*,#221477,.T.); #308353=ORIENTED_EDGE('',*,*,#221478,.F.); #308354=ORIENTED_EDGE('',*,*,#221477,.F.); #308355=ORIENTED_EDGE('',*,*,#221479,.F.); #308356=ORIENTED_EDGE('',*,*,#221480,.T.); #308357=ORIENTED_EDGE('',*,*,#221481,.F.); #308358=ORIENTED_EDGE('',*,*,#221480,.F.); #308359=ORIENTED_EDGE('',*,*,#221482,.F.); #308360=ORIENTED_EDGE('',*,*,#221483,.T.); #308361=ORIENTED_EDGE('',*,*,#221484,.F.); #308362=ORIENTED_EDGE('',*,*,#221483,.F.); #308363=ORIENTED_EDGE('',*,*,#221485,.F.); #308364=ORIENTED_EDGE('',*,*,#221486,.T.); #308365=ORIENTED_EDGE('',*,*,#221487,.F.); #308366=ORIENTED_EDGE('',*,*,#221486,.F.); #308367=ORIENTED_EDGE('',*,*,#221488,.F.); #308368=ORIENTED_EDGE('',*,*,#221489,.T.); #308369=ORIENTED_EDGE('',*,*,#221490,.F.); #308370=ORIENTED_EDGE('',*,*,#221489,.F.); #308371=ORIENTED_EDGE('',*,*,#221491,.F.); #308372=ORIENTED_EDGE('',*,*,#221492,.T.); #308373=ORIENTED_EDGE('',*,*,#221493,.F.); #308374=ORIENTED_EDGE('',*,*,#221492,.F.); #308375=ORIENTED_EDGE('',*,*,#221494,.F.); #308376=ORIENTED_EDGE('',*,*,#221495,.T.); #308377=ORIENTED_EDGE('',*,*,#221496,.F.); #308378=ORIENTED_EDGE('',*,*,#221495,.F.); #308379=ORIENTED_EDGE('',*,*,#221497,.F.); #308380=ORIENTED_EDGE('',*,*,#221498,.T.); #308381=ORIENTED_EDGE('',*,*,#221499,.F.); #308382=ORIENTED_EDGE('',*,*,#221498,.F.); #308383=ORIENTED_EDGE('',*,*,#221500,.F.); #308384=ORIENTED_EDGE('',*,*,#221501,.T.); #308385=ORIENTED_EDGE('',*,*,#221502,.F.); #308386=ORIENTED_EDGE('',*,*,#221501,.F.); #308387=ORIENTED_EDGE('',*,*,#221503,.F.); #308388=ORIENTED_EDGE('',*,*,#221504,.T.); #308389=ORIENTED_EDGE('',*,*,#221505,.F.); #308390=ORIENTED_EDGE('',*,*,#221504,.F.); #308391=ORIENTED_EDGE('',*,*,#221506,.F.); #308392=ORIENTED_EDGE('',*,*,#221507,.T.); #308393=ORIENTED_EDGE('',*,*,#221508,.F.); #308394=ORIENTED_EDGE('',*,*,#221507,.F.); #308395=ORIENTED_EDGE('',*,*,#221509,.F.); #308396=ORIENTED_EDGE('',*,*,#221510,.T.); #308397=ORIENTED_EDGE('',*,*,#221511,.F.); #308398=ORIENTED_EDGE('',*,*,#221510,.F.); #308399=ORIENTED_EDGE('',*,*,#221512,.F.); #308400=ORIENTED_EDGE('',*,*,#221513,.T.); #308401=ORIENTED_EDGE('',*,*,#221514,.F.); #308402=ORIENTED_EDGE('',*,*,#221513,.F.); #308403=ORIENTED_EDGE('',*,*,#221515,.F.); #308404=ORIENTED_EDGE('',*,*,#221516,.T.); #308405=ORIENTED_EDGE('',*,*,#221517,.F.); #308406=ORIENTED_EDGE('',*,*,#221516,.F.); #308407=ORIENTED_EDGE('',*,*,#221518,.F.); #308408=ORIENTED_EDGE('',*,*,#221519,.T.); #308409=ORIENTED_EDGE('',*,*,#221520,.F.); #308410=ORIENTED_EDGE('',*,*,#221519,.F.); #308411=ORIENTED_EDGE('',*,*,#221521,.F.); #308412=ORIENTED_EDGE('',*,*,#221522,.T.); #308413=ORIENTED_EDGE('',*,*,#221523,.F.); #308414=ORIENTED_EDGE('',*,*,#221522,.F.); #308415=ORIENTED_EDGE('',*,*,#221524,.F.); #308416=ORIENTED_EDGE('',*,*,#221525,.T.); #308417=ORIENTED_EDGE('',*,*,#221526,.F.); #308418=ORIENTED_EDGE('',*,*,#221525,.F.); #308419=ORIENTED_EDGE('',*,*,#221527,.F.); #308420=ORIENTED_EDGE('',*,*,#221528,.T.); #308421=ORIENTED_EDGE('',*,*,#221529,.F.); #308422=ORIENTED_EDGE('',*,*,#221528,.F.); #308423=ORIENTED_EDGE('',*,*,#221530,.F.); #308424=ORIENTED_EDGE('',*,*,#221531,.T.); #308425=ORIENTED_EDGE('',*,*,#221532,.F.); #308426=ORIENTED_EDGE('',*,*,#221531,.F.); #308427=ORIENTED_EDGE('',*,*,#221533,.F.); #308428=ORIENTED_EDGE('',*,*,#221534,.T.); #308429=ORIENTED_EDGE('',*,*,#221535,.F.); #308430=ORIENTED_EDGE('',*,*,#221534,.F.); #308431=ORIENTED_EDGE('',*,*,#221536,.F.); #308432=ORIENTED_EDGE('',*,*,#221537,.T.); #308433=ORIENTED_EDGE('',*,*,#221538,.F.); #308434=ORIENTED_EDGE('',*,*,#221537,.F.); #308435=ORIENTED_EDGE('',*,*,#221539,.F.); #308436=ORIENTED_EDGE('',*,*,#221540,.T.); #308437=ORIENTED_EDGE('',*,*,#221541,.F.); #308438=ORIENTED_EDGE('',*,*,#221540,.F.); #308439=ORIENTED_EDGE('',*,*,#221542,.F.); #308440=ORIENTED_EDGE('',*,*,#221543,.T.); #308441=ORIENTED_EDGE('',*,*,#221544,.F.); #308442=ORIENTED_EDGE('',*,*,#221543,.F.); #308443=ORIENTED_EDGE('',*,*,#221545,.F.); #308444=ORIENTED_EDGE('',*,*,#221546,.T.); #308445=ORIENTED_EDGE('',*,*,#221547,.F.); #308446=ORIENTED_EDGE('',*,*,#221546,.F.); #308447=ORIENTED_EDGE('',*,*,#221548,.F.); #308448=ORIENTED_EDGE('',*,*,#221549,.T.); #308449=ORIENTED_EDGE('',*,*,#221550,.F.); #308450=ORIENTED_EDGE('',*,*,#221549,.F.); #308451=ORIENTED_EDGE('',*,*,#221551,.F.); #308452=ORIENTED_EDGE('',*,*,#221552,.T.); #308453=ORIENTED_EDGE('',*,*,#221553,.F.); #308454=ORIENTED_EDGE('',*,*,#221552,.F.); #308455=ORIENTED_EDGE('',*,*,#221554,.F.); #308456=ORIENTED_EDGE('',*,*,#221555,.T.); #308457=ORIENTED_EDGE('',*,*,#221556,.F.); #308458=ORIENTED_EDGE('',*,*,#221555,.F.); #308459=ORIENTED_EDGE('',*,*,#221557,.F.); #308460=ORIENTED_EDGE('',*,*,#221558,.T.); #308461=ORIENTED_EDGE('',*,*,#221559,.F.); #308462=ORIENTED_EDGE('',*,*,#221558,.F.); #308463=ORIENTED_EDGE('',*,*,#221560,.F.); #308464=ORIENTED_EDGE('',*,*,#221561,.T.); #308465=ORIENTED_EDGE('',*,*,#221562,.F.); #308466=ORIENTED_EDGE('',*,*,#221561,.F.); #308467=ORIENTED_EDGE('',*,*,#221563,.F.); #308468=ORIENTED_EDGE('',*,*,#221564,.T.); #308469=ORIENTED_EDGE('',*,*,#221565,.T.); #308470=ORIENTED_EDGE('',*,*,#221564,.F.); #308471=ORIENTED_EDGE('',*,*,#221563,.T.); #308472=ORIENTED_EDGE('',*,*,#220558,.T.); #308473=ORIENTED_EDGE('',*,*,#220561,.T.); #308474=ORIENTED_EDGE('',*,*,#220566,.T.); #308475=ORIENTED_EDGE('',*,*,#220569,.T.); #308476=ORIENTED_EDGE('',*,*,#220572,.T.); #308477=ORIENTED_EDGE('',*,*,#220575,.T.); #308478=ORIENTED_EDGE('',*,*,#220578,.T.); #308479=ORIENTED_EDGE('',*,*,#220581,.T.); #308480=ORIENTED_EDGE('',*,*,#220584,.T.); #308481=ORIENTED_EDGE('',*,*,#220587,.T.); #308482=ORIENTED_EDGE('',*,*,#220590,.T.); #308483=ORIENTED_EDGE('',*,*,#220593,.T.); #308484=ORIENTED_EDGE('',*,*,#220596,.T.); #308485=ORIENTED_EDGE('',*,*,#220599,.T.); #308486=ORIENTED_EDGE('',*,*,#220602,.T.); #308487=ORIENTED_EDGE('',*,*,#220605,.T.); #308488=ORIENTED_EDGE('',*,*,#220608,.T.); #308489=ORIENTED_EDGE('',*,*,#220611,.T.); #308490=ORIENTED_EDGE('',*,*,#220614,.T.); #308491=ORIENTED_EDGE('',*,*,#220617,.T.); #308492=ORIENTED_EDGE('',*,*,#220620,.T.); #308493=ORIENTED_EDGE('',*,*,#220623,.T.); #308494=ORIENTED_EDGE('',*,*,#220626,.T.); #308495=ORIENTED_EDGE('',*,*,#220629,.T.); #308496=ORIENTED_EDGE('',*,*,#220632,.T.); #308497=ORIENTED_EDGE('',*,*,#220635,.T.); #308498=ORIENTED_EDGE('',*,*,#220638,.T.); #308499=ORIENTED_EDGE('',*,*,#220641,.T.); #308500=ORIENTED_EDGE('',*,*,#220644,.T.); #308501=ORIENTED_EDGE('',*,*,#220647,.T.); #308502=ORIENTED_EDGE('',*,*,#220650,.T.); #308503=ORIENTED_EDGE('',*,*,#220653,.T.); #308504=ORIENTED_EDGE('',*,*,#220656,.T.); #308505=ORIENTED_EDGE('',*,*,#220659,.T.); #308506=ORIENTED_EDGE('',*,*,#220662,.T.); #308507=ORIENTED_EDGE('',*,*,#220665,.T.); #308508=ORIENTED_EDGE('',*,*,#220668,.T.); #308509=ORIENTED_EDGE('',*,*,#220671,.T.); #308510=ORIENTED_EDGE('',*,*,#220674,.T.); #308511=ORIENTED_EDGE('',*,*,#220677,.T.); #308512=ORIENTED_EDGE('',*,*,#220680,.T.); #308513=ORIENTED_EDGE('',*,*,#220683,.T.); #308514=ORIENTED_EDGE('',*,*,#220686,.T.); #308515=ORIENTED_EDGE('',*,*,#220689,.T.); #308516=ORIENTED_EDGE('',*,*,#220692,.T.); #308517=ORIENTED_EDGE('',*,*,#220695,.T.); #308518=ORIENTED_EDGE('',*,*,#220698,.T.); #308519=ORIENTED_EDGE('',*,*,#220701,.T.); #308520=ORIENTED_EDGE('',*,*,#220704,.T.); #308521=ORIENTED_EDGE('',*,*,#220707,.T.); #308522=ORIENTED_EDGE('',*,*,#220710,.T.); #308523=ORIENTED_EDGE('',*,*,#220713,.T.); #308524=ORIENTED_EDGE('',*,*,#220716,.T.); #308525=ORIENTED_EDGE('',*,*,#220719,.T.); #308526=ORIENTED_EDGE('',*,*,#220722,.T.); #308527=ORIENTED_EDGE('',*,*,#220725,.T.); #308528=ORIENTED_EDGE('',*,*,#220728,.T.); #308529=ORIENTED_EDGE('',*,*,#220731,.T.); #308530=ORIENTED_EDGE('',*,*,#220734,.T.); #308531=ORIENTED_EDGE('',*,*,#220737,.T.); #308532=ORIENTED_EDGE('',*,*,#220740,.T.); #308533=ORIENTED_EDGE('',*,*,#220743,.T.); #308534=ORIENTED_EDGE('',*,*,#220746,.T.); #308535=ORIENTED_EDGE('',*,*,#220749,.T.); #308536=ORIENTED_EDGE('',*,*,#220752,.T.); #308537=ORIENTED_EDGE('',*,*,#220755,.T.); #308538=ORIENTED_EDGE('',*,*,#220758,.T.); #308539=ORIENTED_EDGE('',*,*,#220761,.T.); #308540=ORIENTED_EDGE('',*,*,#220764,.T.); #308541=ORIENTED_EDGE('',*,*,#220767,.T.); #308542=ORIENTED_EDGE('',*,*,#220770,.T.); #308543=ORIENTED_EDGE('',*,*,#220773,.T.); #308544=ORIENTED_EDGE('',*,*,#220776,.T.); #308545=ORIENTED_EDGE('',*,*,#220779,.T.); #308546=ORIENTED_EDGE('',*,*,#220782,.T.); #308547=ORIENTED_EDGE('',*,*,#220785,.T.); #308548=ORIENTED_EDGE('',*,*,#220788,.T.); #308549=ORIENTED_EDGE('',*,*,#220791,.T.); #308550=ORIENTED_EDGE('',*,*,#220794,.T.); #308551=ORIENTED_EDGE('',*,*,#220797,.T.); #308552=ORIENTED_EDGE('',*,*,#220800,.T.); #308553=ORIENTED_EDGE('',*,*,#220803,.T.); #308554=ORIENTED_EDGE('',*,*,#220806,.T.); #308555=ORIENTED_EDGE('',*,*,#220809,.T.); #308556=ORIENTED_EDGE('',*,*,#220812,.T.); #308557=ORIENTED_EDGE('',*,*,#220815,.T.); #308558=ORIENTED_EDGE('',*,*,#220818,.T.); #308559=ORIENTED_EDGE('',*,*,#220821,.T.); #308560=ORIENTED_EDGE('',*,*,#220824,.T.); #308561=ORIENTED_EDGE('',*,*,#220827,.T.); #308562=ORIENTED_EDGE('',*,*,#220830,.T.); #308563=ORIENTED_EDGE('',*,*,#220833,.T.); #308564=ORIENTED_EDGE('',*,*,#220836,.T.); #308565=ORIENTED_EDGE('',*,*,#220839,.T.); #308566=ORIENTED_EDGE('',*,*,#220842,.T.); #308567=ORIENTED_EDGE('',*,*,#220845,.T.); #308568=ORIENTED_EDGE('',*,*,#220848,.T.); #308569=ORIENTED_EDGE('',*,*,#220851,.T.); #308570=ORIENTED_EDGE('',*,*,#220854,.T.); #308571=ORIENTED_EDGE('',*,*,#220857,.T.); #308572=ORIENTED_EDGE('',*,*,#220860,.T.); #308573=ORIENTED_EDGE('',*,*,#220863,.T.); #308574=ORIENTED_EDGE('',*,*,#220866,.T.); #308575=ORIENTED_EDGE('',*,*,#220869,.T.); #308576=ORIENTED_EDGE('',*,*,#220872,.T.); #308577=ORIENTED_EDGE('',*,*,#220875,.T.); #308578=ORIENTED_EDGE('',*,*,#220878,.T.); #308579=ORIENTED_EDGE('',*,*,#220881,.T.); #308580=ORIENTED_EDGE('',*,*,#220884,.T.); #308581=ORIENTED_EDGE('',*,*,#220887,.T.); #308582=ORIENTED_EDGE('',*,*,#220890,.T.); #308583=ORIENTED_EDGE('',*,*,#220893,.T.); #308584=ORIENTED_EDGE('',*,*,#220896,.T.); #308585=ORIENTED_EDGE('',*,*,#220899,.T.); #308586=ORIENTED_EDGE('',*,*,#220902,.T.); #308587=ORIENTED_EDGE('',*,*,#220905,.T.); #308588=ORIENTED_EDGE('',*,*,#220908,.T.); #308589=ORIENTED_EDGE('',*,*,#220911,.T.); #308590=ORIENTED_EDGE('',*,*,#220914,.T.); #308591=ORIENTED_EDGE('',*,*,#220917,.T.); #308592=ORIENTED_EDGE('',*,*,#220920,.T.); #308593=ORIENTED_EDGE('',*,*,#220923,.T.); #308594=ORIENTED_EDGE('',*,*,#220926,.T.); #308595=ORIENTED_EDGE('',*,*,#220929,.T.); #308596=ORIENTED_EDGE('',*,*,#220932,.T.); #308597=ORIENTED_EDGE('',*,*,#220935,.T.); #308598=ORIENTED_EDGE('',*,*,#220938,.T.); #308599=ORIENTED_EDGE('',*,*,#220941,.T.); #308600=ORIENTED_EDGE('',*,*,#220944,.T.); #308601=ORIENTED_EDGE('',*,*,#220947,.T.); #308602=ORIENTED_EDGE('',*,*,#220950,.T.); #308603=ORIENTED_EDGE('',*,*,#220953,.T.); #308604=ORIENTED_EDGE('',*,*,#220956,.T.); #308605=ORIENTED_EDGE('',*,*,#220959,.T.); #308606=ORIENTED_EDGE('',*,*,#220962,.T.); #308607=ORIENTED_EDGE('',*,*,#220965,.T.); #308608=ORIENTED_EDGE('',*,*,#220968,.T.); #308609=ORIENTED_EDGE('',*,*,#220971,.T.); #308610=ORIENTED_EDGE('',*,*,#220974,.T.); #308611=ORIENTED_EDGE('',*,*,#220977,.T.); #308612=ORIENTED_EDGE('',*,*,#220980,.T.); #308613=ORIENTED_EDGE('',*,*,#220983,.T.); #308614=ORIENTED_EDGE('',*,*,#220986,.T.); #308615=ORIENTED_EDGE('',*,*,#220989,.T.); #308616=ORIENTED_EDGE('',*,*,#220992,.T.); #308617=ORIENTED_EDGE('',*,*,#220995,.T.); #308618=ORIENTED_EDGE('',*,*,#220998,.T.); #308619=ORIENTED_EDGE('',*,*,#221001,.T.); #308620=ORIENTED_EDGE('',*,*,#221004,.T.); #308621=ORIENTED_EDGE('',*,*,#221007,.T.); #308622=ORIENTED_EDGE('',*,*,#221010,.T.); #308623=ORIENTED_EDGE('',*,*,#221013,.T.); #308624=ORIENTED_EDGE('',*,*,#221016,.T.); #308625=ORIENTED_EDGE('',*,*,#221019,.T.); #308626=ORIENTED_EDGE('',*,*,#221022,.T.); #308627=ORIENTED_EDGE('',*,*,#221025,.T.); #308628=ORIENTED_EDGE('',*,*,#221028,.T.); #308629=ORIENTED_EDGE('',*,*,#221031,.T.); #308630=ORIENTED_EDGE('',*,*,#221034,.T.); #308631=ORIENTED_EDGE('',*,*,#221037,.T.); #308632=ORIENTED_EDGE('',*,*,#221040,.T.); #308633=ORIENTED_EDGE('',*,*,#221043,.T.); #308634=ORIENTED_EDGE('',*,*,#221046,.T.); #308635=ORIENTED_EDGE('',*,*,#221054,.T.); #308636=ORIENTED_EDGE('',*,*,#221057,.T.); #308637=ORIENTED_EDGE('',*,*,#221047,.T.); #308638=ORIENTED_EDGE('',*,*,#221051,.T.); #308639=ORIENTED_EDGE('',*,*,#221061,.T.); #308640=ORIENTED_EDGE('',*,*,#221069,.T.); #308641=ORIENTED_EDGE('',*,*,#221072,.T.); #308642=ORIENTED_EDGE('',*,*,#221062,.T.); #308643=ORIENTED_EDGE('',*,*,#221066,.T.); #308644=ORIENTED_EDGE('',*,*,#221076,.T.); #308645=ORIENTED_EDGE('',*,*,#221079,.T.); #308646=ORIENTED_EDGE('',*,*,#221082,.T.); #308647=ORIENTED_EDGE('',*,*,#221090,.T.); #308648=ORIENTED_EDGE('',*,*,#221093,.T.); #308649=ORIENTED_EDGE('',*,*,#221083,.T.); #308650=ORIENTED_EDGE('',*,*,#221087,.T.); #308651=ORIENTED_EDGE('',*,*,#221097,.T.); #308652=ORIENTED_EDGE('',*,*,#221100,.T.); #308653=ORIENTED_EDGE('',*,*,#221103,.T.); #308654=ORIENTED_EDGE('',*,*,#221106,.T.); #308655=ORIENTED_EDGE('',*,*,#221109,.T.); #308656=ORIENTED_EDGE('',*,*,#221112,.T.); #308657=ORIENTED_EDGE('',*,*,#221115,.T.); #308658=ORIENTED_EDGE('',*,*,#221118,.T.); #308659=ORIENTED_EDGE('',*,*,#221121,.T.); #308660=ORIENTED_EDGE('',*,*,#221124,.T.); #308661=ORIENTED_EDGE('',*,*,#221132,.T.); #308662=ORIENTED_EDGE('',*,*,#221135,.T.); #308663=ORIENTED_EDGE('',*,*,#221125,.T.); #308664=ORIENTED_EDGE('',*,*,#221129,.T.); #308665=ORIENTED_EDGE('',*,*,#221139,.T.); #308666=ORIENTED_EDGE('',*,*,#221147,.T.); #308667=ORIENTED_EDGE('',*,*,#221150,.T.); #308668=ORIENTED_EDGE('',*,*,#221140,.T.); #308669=ORIENTED_EDGE('',*,*,#221144,.T.); #308670=ORIENTED_EDGE('',*,*,#221154,.T.); #308671=ORIENTED_EDGE('',*,*,#221162,.T.); #308672=ORIENTED_EDGE('',*,*,#221165,.T.); #308673=ORIENTED_EDGE('',*,*,#221155,.T.); #308674=ORIENTED_EDGE('',*,*,#221159,.T.); #308675=ORIENTED_EDGE('',*,*,#221169,.T.); #308676=ORIENTED_EDGE('',*,*,#221172,.T.); #308677=ORIENTED_EDGE('',*,*,#221175,.T.); #308678=ORIENTED_EDGE('',*,*,#221178,.T.); #308679=ORIENTED_EDGE('',*,*,#221181,.T.); #308680=ORIENTED_EDGE('',*,*,#221184,.T.); #308681=ORIENTED_EDGE('',*,*,#221187,.T.); #308682=ORIENTED_EDGE('',*,*,#221190,.T.); #308683=ORIENTED_EDGE('',*,*,#221193,.T.); #308684=ORIENTED_EDGE('',*,*,#221196,.T.); #308685=ORIENTED_EDGE('',*,*,#221199,.T.); #308686=ORIENTED_EDGE('',*,*,#221202,.T.); #308687=ORIENTED_EDGE('',*,*,#221205,.T.); #308688=ORIENTED_EDGE('',*,*,#221208,.T.); #308689=ORIENTED_EDGE('',*,*,#221211,.T.); #308690=ORIENTED_EDGE('',*,*,#221214,.T.); #308691=ORIENTED_EDGE('',*,*,#221217,.T.); #308692=ORIENTED_EDGE('',*,*,#221220,.T.); #308693=ORIENTED_EDGE('',*,*,#221223,.T.); #308694=ORIENTED_EDGE('',*,*,#221226,.T.); #308695=ORIENTED_EDGE('',*,*,#221229,.T.); #308696=ORIENTED_EDGE('',*,*,#221232,.T.); #308697=ORIENTED_EDGE('',*,*,#221235,.T.); #308698=ORIENTED_EDGE('',*,*,#221238,.T.); #308699=ORIENTED_EDGE('',*,*,#221241,.T.); #308700=ORIENTED_EDGE('',*,*,#221244,.T.); #308701=ORIENTED_EDGE('',*,*,#221247,.T.); #308702=ORIENTED_EDGE('',*,*,#221250,.T.); #308703=ORIENTED_EDGE('',*,*,#221253,.T.); #308704=ORIENTED_EDGE('',*,*,#221256,.T.); #308705=ORIENTED_EDGE('',*,*,#221259,.T.); #308706=ORIENTED_EDGE('',*,*,#221262,.T.); #308707=ORIENTED_EDGE('',*,*,#221265,.T.); #308708=ORIENTED_EDGE('',*,*,#221268,.T.); #308709=ORIENTED_EDGE('',*,*,#221271,.T.); #308710=ORIENTED_EDGE('',*,*,#221274,.T.); #308711=ORIENTED_EDGE('',*,*,#221277,.T.); #308712=ORIENTED_EDGE('',*,*,#221280,.T.); #308713=ORIENTED_EDGE('',*,*,#221283,.T.); #308714=ORIENTED_EDGE('',*,*,#221286,.T.); #308715=ORIENTED_EDGE('',*,*,#221289,.T.); #308716=ORIENTED_EDGE('',*,*,#221292,.T.); #308717=ORIENTED_EDGE('',*,*,#221295,.T.); #308718=ORIENTED_EDGE('',*,*,#221298,.T.); #308719=ORIENTED_EDGE('',*,*,#221301,.T.); #308720=ORIENTED_EDGE('',*,*,#221304,.T.); #308721=ORIENTED_EDGE('',*,*,#221307,.T.); #308722=ORIENTED_EDGE('',*,*,#221310,.T.); #308723=ORIENTED_EDGE('',*,*,#221313,.T.); #308724=ORIENTED_EDGE('',*,*,#221316,.T.); #308725=ORIENTED_EDGE('',*,*,#221319,.T.); #308726=ORIENTED_EDGE('',*,*,#221322,.T.); #308727=ORIENTED_EDGE('',*,*,#221325,.T.); #308728=ORIENTED_EDGE('',*,*,#221328,.T.); #308729=ORIENTED_EDGE('',*,*,#221331,.T.); #308730=ORIENTED_EDGE('',*,*,#221334,.T.); #308731=ORIENTED_EDGE('',*,*,#221337,.T.); #308732=ORIENTED_EDGE('',*,*,#221340,.T.); #308733=ORIENTED_EDGE('',*,*,#221343,.T.); #308734=ORIENTED_EDGE('',*,*,#221346,.T.); #308735=ORIENTED_EDGE('',*,*,#221349,.T.); #308736=ORIENTED_EDGE('',*,*,#221352,.T.); #308737=ORIENTED_EDGE('',*,*,#221355,.T.); #308738=ORIENTED_EDGE('',*,*,#221358,.T.); #308739=ORIENTED_EDGE('',*,*,#221361,.T.); #308740=ORIENTED_EDGE('',*,*,#221364,.T.); #308741=ORIENTED_EDGE('',*,*,#221367,.T.); #308742=ORIENTED_EDGE('',*,*,#221370,.T.); #308743=ORIENTED_EDGE('',*,*,#221373,.T.); #308744=ORIENTED_EDGE('',*,*,#221376,.T.); #308745=ORIENTED_EDGE('',*,*,#221379,.T.); #308746=ORIENTED_EDGE('',*,*,#221382,.T.); #308747=ORIENTED_EDGE('',*,*,#221385,.T.); #308748=ORIENTED_EDGE('',*,*,#221388,.T.); #308749=ORIENTED_EDGE('',*,*,#221391,.T.); #308750=ORIENTED_EDGE('',*,*,#221394,.T.); #308751=ORIENTED_EDGE('',*,*,#221397,.T.); #308752=ORIENTED_EDGE('',*,*,#221400,.T.); #308753=ORIENTED_EDGE('',*,*,#221403,.T.); #308754=ORIENTED_EDGE('',*,*,#221406,.T.); #308755=ORIENTED_EDGE('',*,*,#221409,.T.); #308756=ORIENTED_EDGE('',*,*,#221412,.T.); #308757=ORIENTED_EDGE('',*,*,#221415,.T.); #308758=ORIENTED_EDGE('',*,*,#221418,.T.); #308759=ORIENTED_EDGE('',*,*,#221421,.T.); #308760=ORIENTED_EDGE('',*,*,#221424,.T.); #308761=ORIENTED_EDGE('',*,*,#221427,.T.); #308762=ORIENTED_EDGE('',*,*,#221430,.T.); #308763=ORIENTED_EDGE('',*,*,#221433,.T.); #308764=ORIENTED_EDGE('',*,*,#221436,.T.); #308765=ORIENTED_EDGE('',*,*,#221439,.T.); #308766=ORIENTED_EDGE('',*,*,#221442,.T.); #308767=ORIENTED_EDGE('',*,*,#221445,.T.); #308768=ORIENTED_EDGE('',*,*,#221448,.T.); #308769=ORIENTED_EDGE('',*,*,#221451,.T.); #308770=ORIENTED_EDGE('',*,*,#221454,.T.); #308771=ORIENTED_EDGE('',*,*,#221457,.T.); #308772=ORIENTED_EDGE('',*,*,#221460,.T.); #308773=ORIENTED_EDGE('',*,*,#221463,.T.); #308774=ORIENTED_EDGE('',*,*,#221466,.T.); #308775=ORIENTED_EDGE('',*,*,#221469,.T.); #308776=ORIENTED_EDGE('',*,*,#221472,.T.); #308777=ORIENTED_EDGE('',*,*,#221475,.T.); #308778=ORIENTED_EDGE('',*,*,#221478,.T.); #308779=ORIENTED_EDGE('',*,*,#221481,.T.); #308780=ORIENTED_EDGE('',*,*,#221484,.T.); #308781=ORIENTED_EDGE('',*,*,#221487,.T.); #308782=ORIENTED_EDGE('',*,*,#221490,.T.); #308783=ORIENTED_EDGE('',*,*,#221493,.T.); #308784=ORIENTED_EDGE('',*,*,#221496,.T.); #308785=ORIENTED_EDGE('',*,*,#221499,.T.); #308786=ORIENTED_EDGE('',*,*,#221502,.T.); #308787=ORIENTED_EDGE('',*,*,#221505,.T.); #308788=ORIENTED_EDGE('',*,*,#221508,.T.); #308789=ORIENTED_EDGE('',*,*,#221511,.T.); #308790=ORIENTED_EDGE('',*,*,#221514,.T.); #308791=ORIENTED_EDGE('',*,*,#221517,.T.); #308792=ORIENTED_EDGE('',*,*,#221520,.T.); #308793=ORIENTED_EDGE('',*,*,#221523,.T.); #308794=ORIENTED_EDGE('',*,*,#221526,.T.); #308795=ORIENTED_EDGE('',*,*,#221529,.T.); #308796=ORIENTED_EDGE('',*,*,#221532,.T.); #308797=ORIENTED_EDGE('',*,*,#221535,.T.); #308798=ORIENTED_EDGE('',*,*,#221538,.T.); #308799=ORIENTED_EDGE('',*,*,#221541,.T.); #308800=ORIENTED_EDGE('',*,*,#221544,.T.); #308801=ORIENTED_EDGE('',*,*,#221547,.T.); #308802=ORIENTED_EDGE('',*,*,#221550,.T.); #308803=ORIENTED_EDGE('',*,*,#221553,.T.); #308804=ORIENTED_EDGE('',*,*,#221556,.T.); #308805=ORIENTED_EDGE('',*,*,#221559,.T.); #308806=ORIENTED_EDGE('',*,*,#221562,.T.); #308807=ORIENTED_EDGE('',*,*,#221565,.F.); #308808=ORIENTED_EDGE('',*,*,#220560,.T.); #308809=ORIENTED_EDGE('',*,*,#220563,.T.); #308810=ORIENTED_EDGE('',*,*,#220564,.T.); #308811=ORIENTED_EDGE('',*,*,#220567,.T.); #308812=ORIENTED_EDGE('',*,*,#220570,.T.); #308813=ORIENTED_EDGE('',*,*,#220573,.T.); #308814=ORIENTED_EDGE('',*,*,#220576,.T.); #308815=ORIENTED_EDGE('',*,*,#220579,.T.); #308816=ORIENTED_EDGE('',*,*,#220582,.T.); #308817=ORIENTED_EDGE('',*,*,#220585,.T.); #308818=ORIENTED_EDGE('',*,*,#220588,.T.); #308819=ORIENTED_EDGE('',*,*,#220591,.T.); #308820=ORIENTED_EDGE('',*,*,#220594,.T.); #308821=ORIENTED_EDGE('',*,*,#220597,.T.); #308822=ORIENTED_EDGE('',*,*,#220600,.T.); #308823=ORIENTED_EDGE('',*,*,#220603,.T.); #308824=ORIENTED_EDGE('',*,*,#220606,.T.); #308825=ORIENTED_EDGE('',*,*,#220609,.T.); #308826=ORIENTED_EDGE('',*,*,#220612,.T.); #308827=ORIENTED_EDGE('',*,*,#220615,.T.); #308828=ORIENTED_EDGE('',*,*,#220618,.T.); #308829=ORIENTED_EDGE('',*,*,#220621,.T.); #308830=ORIENTED_EDGE('',*,*,#220624,.T.); #308831=ORIENTED_EDGE('',*,*,#220627,.T.); #308832=ORIENTED_EDGE('',*,*,#220630,.T.); #308833=ORIENTED_EDGE('',*,*,#220633,.T.); #308834=ORIENTED_EDGE('',*,*,#220636,.T.); #308835=ORIENTED_EDGE('',*,*,#220639,.T.); #308836=ORIENTED_EDGE('',*,*,#220642,.T.); #308837=ORIENTED_EDGE('',*,*,#220645,.T.); #308838=ORIENTED_EDGE('',*,*,#220648,.T.); #308839=ORIENTED_EDGE('',*,*,#220651,.T.); #308840=ORIENTED_EDGE('',*,*,#220654,.T.); #308841=ORIENTED_EDGE('',*,*,#220657,.T.); #308842=ORIENTED_EDGE('',*,*,#220660,.T.); #308843=ORIENTED_EDGE('',*,*,#220663,.T.); #308844=ORIENTED_EDGE('',*,*,#220666,.T.); #308845=ORIENTED_EDGE('',*,*,#220669,.T.); #308846=ORIENTED_EDGE('',*,*,#220672,.T.); #308847=ORIENTED_EDGE('',*,*,#220675,.T.); #308848=ORIENTED_EDGE('',*,*,#220678,.T.); #308849=ORIENTED_EDGE('',*,*,#220681,.T.); #308850=ORIENTED_EDGE('',*,*,#220684,.T.); #308851=ORIENTED_EDGE('',*,*,#220687,.T.); #308852=ORIENTED_EDGE('',*,*,#220690,.T.); #308853=ORIENTED_EDGE('',*,*,#220693,.T.); #308854=ORIENTED_EDGE('',*,*,#220696,.T.); #308855=ORIENTED_EDGE('',*,*,#220699,.T.); #308856=ORIENTED_EDGE('',*,*,#220702,.T.); #308857=ORIENTED_EDGE('',*,*,#220705,.T.); #308858=ORIENTED_EDGE('',*,*,#220708,.T.); #308859=ORIENTED_EDGE('',*,*,#220711,.T.); #308860=ORIENTED_EDGE('',*,*,#220714,.T.); #308861=ORIENTED_EDGE('',*,*,#220717,.T.); #308862=ORIENTED_EDGE('',*,*,#220720,.T.); #308863=ORIENTED_EDGE('',*,*,#220723,.T.); #308864=ORIENTED_EDGE('',*,*,#220726,.T.); #308865=ORIENTED_EDGE('',*,*,#220729,.T.); #308866=ORIENTED_EDGE('',*,*,#220732,.T.); #308867=ORIENTED_EDGE('',*,*,#220735,.T.); #308868=ORIENTED_EDGE('',*,*,#220738,.T.); #308869=ORIENTED_EDGE('',*,*,#220741,.T.); #308870=ORIENTED_EDGE('',*,*,#220744,.T.); #308871=ORIENTED_EDGE('',*,*,#220747,.T.); #308872=ORIENTED_EDGE('',*,*,#220750,.T.); #308873=ORIENTED_EDGE('',*,*,#220753,.T.); #308874=ORIENTED_EDGE('',*,*,#220756,.T.); #308875=ORIENTED_EDGE('',*,*,#220759,.T.); #308876=ORIENTED_EDGE('',*,*,#220762,.T.); #308877=ORIENTED_EDGE('',*,*,#220765,.T.); #308878=ORIENTED_EDGE('',*,*,#220768,.T.); #308879=ORIENTED_EDGE('',*,*,#220771,.T.); #308880=ORIENTED_EDGE('',*,*,#220774,.T.); #308881=ORIENTED_EDGE('',*,*,#220777,.T.); #308882=ORIENTED_EDGE('',*,*,#220780,.T.); #308883=ORIENTED_EDGE('',*,*,#220783,.T.); #308884=ORIENTED_EDGE('',*,*,#220786,.T.); #308885=ORIENTED_EDGE('',*,*,#220789,.T.); #308886=ORIENTED_EDGE('',*,*,#220792,.T.); #308887=ORIENTED_EDGE('',*,*,#220795,.T.); #308888=ORIENTED_EDGE('',*,*,#220798,.T.); #308889=ORIENTED_EDGE('',*,*,#220801,.T.); #308890=ORIENTED_EDGE('',*,*,#220804,.T.); #308891=ORIENTED_EDGE('',*,*,#220807,.T.); #308892=ORIENTED_EDGE('',*,*,#220810,.T.); #308893=ORIENTED_EDGE('',*,*,#220813,.T.); #308894=ORIENTED_EDGE('',*,*,#220816,.T.); #308895=ORIENTED_EDGE('',*,*,#220819,.T.); #308896=ORIENTED_EDGE('',*,*,#220822,.T.); #308897=ORIENTED_EDGE('',*,*,#220825,.T.); #308898=ORIENTED_EDGE('',*,*,#220828,.T.); #308899=ORIENTED_EDGE('',*,*,#220831,.T.); #308900=ORIENTED_EDGE('',*,*,#220834,.T.); #308901=ORIENTED_EDGE('',*,*,#220837,.T.); #308902=ORIENTED_EDGE('',*,*,#220840,.T.); #308903=ORIENTED_EDGE('',*,*,#220843,.T.); #308904=ORIENTED_EDGE('',*,*,#220846,.T.); #308905=ORIENTED_EDGE('',*,*,#220849,.T.); #308906=ORIENTED_EDGE('',*,*,#220852,.T.); #308907=ORIENTED_EDGE('',*,*,#220855,.T.); #308908=ORIENTED_EDGE('',*,*,#220858,.T.); #308909=ORIENTED_EDGE('',*,*,#220861,.T.); #308910=ORIENTED_EDGE('',*,*,#220864,.T.); #308911=ORIENTED_EDGE('',*,*,#220867,.T.); #308912=ORIENTED_EDGE('',*,*,#220870,.T.); #308913=ORIENTED_EDGE('',*,*,#220873,.T.); #308914=ORIENTED_EDGE('',*,*,#220876,.T.); #308915=ORIENTED_EDGE('',*,*,#220879,.T.); #308916=ORIENTED_EDGE('',*,*,#220882,.T.); #308917=ORIENTED_EDGE('',*,*,#220885,.T.); #308918=ORIENTED_EDGE('',*,*,#220888,.T.); #308919=ORIENTED_EDGE('',*,*,#220891,.T.); #308920=ORIENTED_EDGE('',*,*,#220894,.T.); #308921=ORIENTED_EDGE('',*,*,#220897,.T.); #308922=ORIENTED_EDGE('',*,*,#220900,.T.); #308923=ORIENTED_EDGE('',*,*,#220903,.T.); #308924=ORIENTED_EDGE('',*,*,#220906,.T.); #308925=ORIENTED_EDGE('',*,*,#220909,.T.); #308926=ORIENTED_EDGE('',*,*,#220912,.T.); #308927=ORIENTED_EDGE('',*,*,#220915,.T.); #308928=ORIENTED_EDGE('',*,*,#220918,.T.); #308929=ORIENTED_EDGE('',*,*,#220921,.T.); #308930=ORIENTED_EDGE('',*,*,#220924,.T.); #308931=ORIENTED_EDGE('',*,*,#220927,.T.); #308932=ORIENTED_EDGE('',*,*,#220930,.T.); #308933=ORIENTED_EDGE('',*,*,#220933,.T.); #308934=ORIENTED_EDGE('',*,*,#220936,.T.); #308935=ORIENTED_EDGE('',*,*,#220939,.T.); #308936=ORIENTED_EDGE('',*,*,#220942,.T.); #308937=ORIENTED_EDGE('',*,*,#220945,.T.); #308938=ORIENTED_EDGE('',*,*,#220948,.T.); #308939=ORIENTED_EDGE('',*,*,#220951,.T.); #308940=ORIENTED_EDGE('',*,*,#220954,.T.); #308941=ORIENTED_EDGE('',*,*,#220957,.T.); #308942=ORIENTED_EDGE('',*,*,#220960,.T.); #308943=ORIENTED_EDGE('',*,*,#220963,.T.); #308944=ORIENTED_EDGE('',*,*,#220966,.T.); #308945=ORIENTED_EDGE('',*,*,#220969,.T.); #308946=ORIENTED_EDGE('',*,*,#220972,.T.); #308947=ORIENTED_EDGE('',*,*,#220975,.T.); #308948=ORIENTED_EDGE('',*,*,#220978,.T.); #308949=ORIENTED_EDGE('',*,*,#220981,.T.); #308950=ORIENTED_EDGE('',*,*,#220984,.T.); #308951=ORIENTED_EDGE('',*,*,#220987,.T.); #308952=ORIENTED_EDGE('',*,*,#220990,.T.); #308953=ORIENTED_EDGE('',*,*,#220993,.T.); #308954=ORIENTED_EDGE('',*,*,#220996,.T.); #308955=ORIENTED_EDGE('',*,*,#220999,.T.); #308956=ORIENTED_EDGE('',*,*,#221002,.T.); #308957=ORIENTED_EDGE('',*,*,#221005,.T.); #308958=ORIENTED_EDGE('',*,*,#221008,.T.); #308959=ORIENTED_EDGE('',*,*,#221011,.T.); #308960=ORIENTED_EDGE('',*,*,#221014,.T.); #308961=ORIENTED_EDGE('',*,*,#221017,.T.); #308962=ORIENTED_EDGE('',*,*,#221020,.T.); #308963=ORIENTED_EDGE('',*,*,#221023,.T.); #308964=ORIENTED_EDGE('',*,*,#221026,.T.); #308965=ORIENTED_EDGE('',*,*,#221029,.T.); #308966=ORIENTED_EDGE('',*,*,#221032,.T.); #308967=ORIENTED_EDGE('',*,*,#221035,.T.); #308968=ORIENTED_EDGE('',*,*,#221038,.T.); #308969=ORIENTED_EDGE('',*,*,#221041,.T.); #308970=ORIENTED_EDGE('',*,*,#221044,.T.); #308971=ORIENTED_EDGE('',*,*,#221055,.T.); #308972=ORIENTED_EDGE('',*,*,#221052,.T.); #308973=ORIENTED_EDGE('',*,*,#221049,.T.); #308974=ORIENTED_EDGE('',*,*,#221058,.T.); #308975=ORIENTED_EDGE('',*,*,#221059,.T.); #308976=ORIENTED_EDGE('',*,*,#221070,.T.); #308977=ORIENTED_EDGE('',*,*,#221067,.T.); #308978=ORIENTED_EDGE('',*,*,#221064,.T.); #308979=ORIENTED_EDGE('',*,*,#221073,.T.); #308980=ORIENTED_EDGE('',*,*,#221074,.T.); #308981=ORIENTED_EDGE('',*,*,#221077,.T.); #308982=ORIENTED_EDGE('',*,*,#221080,.T.); #308983=ORIENTED_EDGE('',*,*,#221091,.T.); #308984=ORIENTED_EDGE('',*,*,#221088,.T.); #308985=ORIENTED_EDGE('',*,*,#221085,.T.); #308986=ORIENTED_EDGE('',*,*,#221094,.T.); #308987=ORIENTED_EDGE('',*,*,#221095,.T.); #308988=ORIENTED_EDGE('',*,*,#221098,.T.); #308989=ORIENTED_EDGE('',*,*,#221101,.T.); #308990=ORIENTED_EDGE('',*,*,#221104,.T.); #308991=ORIENTED_EDGE('',*,*,#221107,.T.); #308992=ORIENTED_EDGE('',*,*,#221110,.T.); #308993=ORIENTED_EDGE('',*,*,#221113,.T.); #308994=ORIENTED_EDGE('',*,*,#221116,.T.); #308995=ORIENTED_EDGE('',*,*,#221119,.T.); #308996=ORIENTED_EDGE('',*,*,#221122,.T.); #308997=ORIENTED_EDGE('',*,*,#221133,.T.); #308998=ORIENTED_EDGE('',*,*,#221130,.T.); #308999=ORIENTED_EDGE('',*,*,#221127,.T.); #309000=ORIENTED_EDGE('',*,*,#221136,.T.); #309001=ORIENTED_EDGE('',*,*,#221137,.T.); #309002=ORIENTED_EDGE('',*,*,#221148,.T.); #309003=ORIENTED_EDGE('',*,*,#221145,.T.); #309004=ORIENTED_EDGE('',*,*,#221142,.T.); #309005=ORIENTED_EDGE('',*,*,#221151,.T.); #309006=ORIENTED_EDGE('',*,*,#221152,.T.); #309007=ORIENTED_EDGE('',*,*,#221163,.T.); #309008=ORIENTED_EDGE('',*,*,#221160,.T.); #309009=ORIENTED_EDGE('',*,*,#221157,.T.); #309010=ORIENTED_EDGE('',*,*,#221166,.T.); #309011=ORIENTED_EDGE('',*,*,#221167,.T.); #309012=ORIENTED_EDGE('',*,*,#221170,.T.); #309013=ORIENTED_EDGE('',*,*,#221173,.T.); #309014=ORIENTED_EDGE('',*,*,#221176,.T.); #309015=ORIENTED_EDGE('',*,*,#221179,.T.); #309016=ORIENTED_EDGE('',*,*,#221182,.T.); #309017=ORIENTED_EDGE('',*,*,#221185,.T.); #309018=ORIENTED_EDGE('',*,*,#221188,.T.); #309019=ORIENTED_EDGE('',*,*,#221191,.T.); #309020=ORIENTED_EDGE('',*,*,#221194,.T.); #309021=ORIENTED_EDGE('',*,*,#221197,.T.); #309022=ORIENTED_EDGE('',*,*,#221200,.T.); #309023=ORIENTED_EDGE('',*,*,#221203,.T.); #309024=ORIENTED_EDGE('',*,*,#221206,.T.); #309025=ORIENTED_EDGE('',*,*,#221209,.T.); #309026=ORIENTED_EDGE('',*,*,#221212,.T.); #309027=ORIENTED_EDGE('',*,*,#221215,.T.); #309028=ORIENTED_EDGE('',*,*,#221218,.T.); #309029=ORIENTED_EDGE('',*,*,#221221,.T.); #309030=ORIENTED_EDGE('',*,*,#221224,.T.); #309031=ORIENTED_EDGE('',*,*,#221227,.T.); #309032=ORIENTED_EDGE('',*,*,#221230,.T.); #309033=ORIENTED_EDGE('',*,*,#221233,.T.); #309034=ORIENTED_EDGE('',*,*,#221236,.T.); #309035=ORIENTED_EDGE('',*,*,#221239,.T.); #309036=ORIENTED_EDGE('',*,*,#221242,.T.); #309037=ORIENTED_EDGE('',*,*,#221245,.T.); #309038=ORIENTED_EDGE('',*,*,#221248,.T.); #309039=ORIENTED_EDGE('',*,*,#221251,.T.); #309040=ORIENTED_EDGE('',*,*,#221254,.T.); #309041=ORIENTED_EDGE('',*,*,#221257,.T.); #309042=ORIENTED_EDGE('',*,*,#221260,.T.); #309043=ORIENTED_EDGE('',*,*,#221263,.T.); #309044=ORIENTED_EDGE('',*,*,#221266,.T.); #309045=ORIENTED_EDGE('',*,*,#221269,.T.); #309046=ORIENTED_EDGE('',*,*,#221272,.T.); #309047=ORIENTED_EDGE('',*,*,#221275,.T.); #309048=ORIENTED_EDGE('',*,*,#221278,.T.); #309049=ORIENTED_EDGE('',*,*,#221281,.T.); #309050=ORIENTED_EDGE('',*,*,#221284,.T.); #309051=ORIENTED_EDGE('',*,*,#221287,.T.); #309052=ORIENTED_EDGE('',*,*,#221290,.T.); #309053=ORIENTED_EDGE('',*,*,#221293,.T.); #309054=ORIENTED_EDGE('',*,*,#221296,.T.); #309055=ORIENTED_EDGE('',*,*,#221299,.T.); #309056=ORIENTED_EDGE('',*,*,#221302,.T.); #309057=ORIENTED_EDGE('',*,*,#221305,.T.); #309058=ORIENTED_EDGE('',*,*,#221308,.T.); #309059=ORIENTED_EDGE('',*,*,#221311,.T.); #309060=ORIENTED_EDGE('',*,*,#221314,.T.); #309061=ORIENTED_EDGE('',*,*,#221317,.T.); #309062=ORIENTED_EDGE('',*,*,#221320,.T.); #309063=ORIENTED_EDGE('',*,*,#221323,.T.); #309064=ORIENTED_EDGE('',*,*,#221326,.T.); #309065=ORIENTED_EDGE('',*,*,#221329,.T.); #309066=ORIENTED_EDGE('',*,*,#221332,.T.); #309067=ORIENTED_EDGE('',*,*,#221335,.T.); #309068=ORIENTED_EDGE('',*,*,#221338,.T.); #309069=ORIENTED_EDGE('',*,*,#221341,.T.); #309070=ORIENTED_EDGE('',*,*,#221344,.T.); #309071=ORIENTED_EDGE('',*,*,#221347,.T.); #309072=ORIENTED_EDGE('',*,*,#221350,.T.); #309073=ORIENTED_EDGE('',*,*,#221353,.T.); #309074=ORIENTED_EDGE('',*,*,#221356,.T.); #309075=ORIENTED_EDGE('',*,*,#221359,.T.); #309076=ORIENTED_EDGE('',*,*,#221362,.T.); #309077=ORIENTED_EDGE('',*,*,#221365,.T.); #309078=ORIENTED_EDGE('',*,*,#221368,.T.); #309079=ORIENTED_EDGE('',*,*,#221371,.T.); #309080=ORIENTED_EDGE('',*,*,#221374,.T.); #309081=ORIENTED_EDGE('',*,*,#221377,.T.); #309082=ORIENTED_EDGE('',*,*,#221380,.T.); #309083=ORIENTED_EDGE('',*,*,#221383,.T.); #309084=ORIENTED_EDGE('',*,*,#221386,.T.); #309085=ORIENTED_EDGE('',*,*,#221389,.T.); #309086=ORIENTED_EDGE('',*,*,#221392,.T.); #309087=ORIENTED_EDGE('',*,*,#221395,.T.); #309088=ORIENTED_EDGE('',*,*,#221398,.T.); #309089=ORIENTED_EDGE('',*,*,#221401,.T.); #309090=ORIENTED_EDGE('',*,*,#221404,.T.); #309091=ORIENTED_EDGE('',*,*,#221407,.T.); #309092=ORIENTED_EDGE('',*,*,#221410,.T.); #309093=ORIENTED_EDGE('',*,*,#221413,.T.); #309094=ORIENTED_EDGE('',*,*,#221416,.T.); #309095=ORIENTED_EDGE('',*,*,#221419,.T.); #309096=ORIENTED_EDGE('',*,*,#221422,.T.); #309097=ORIENTED_EDGE('',*,*,#221425,.T.); #309098=ORIENTED_EDGE('',*,*,#221428,.T.); #309099=ORIENTED_EDGE('',*,*,#221431,.T.); #309100=ORIENTED_EDGE('',*,*,#221434,.T.); #309101=ORIENTED_EDGE('',*,*,#221437,.T.); #309102=ORIENTED_EDGE('',*,*,#221440,.T.); #309103=ORIENTED_EDGE('',*,*,#221443,.T.); #309104=ORIENTED_EDGE('',*,*,#221446,.T.); #309105=ORIENTED_EDGE('',*,*,#221449,.T.); #309106=ORIENTED_EDGE('',*,*,#221452,.T.); #309107=ORIENTED_EDGE('',*,*,#221455,.T.); #309108=ORIENTED_EDGE('',*,*,#221458,.T.); #309109=ORIENTED_EDGE('',*,*,#221461,.T.); #309110=ORIENTED_EDGE('',*,*,#221464,.T.); #309111=ORIENTED_EDGE('',*,*,#221467,.T.); #309112=ORIENTED_EDGE('',*,*,#221470,.T.); #309113=ORIENTED_EDGE('',*,*,#221473,.T.); #309114=ORIENTED_EDGE('',*,*,#221476,.T.); #309115=ORIENTED_EDGE('',*,*,#221479,.T.); #309116=ORIENTED_EDGE('',*,*,#221482,.T.); #309117=ORIENTED_EDGE('',*,*,#221485,.T.); #309118=ORIENTED_EDGE('',*,*,#221488,.T.); #309119=ORIENTED_EDGE('',*,*,#221491,.T.); #309120=ORIENTED_EDGE('',*,*,#221494,.T.); #309121=ORIENTED_EDGE('',*,*,#221497,.T.); #309122=ORIENTED_EDGE('',*,*,#221500,.T.); #309123=ORIENTED_EDGE('',*,*,#221503,.T.); #309124=ORIENTED_EDGE('',*,*,#221506,.T.); #309125=ORIENTED_EDGE('',*,*,#221509,.T.); #309126=ORIENTED_EDGE('',*,*,#221512,.T.); #309127=ORIENTED_EDGE('',*,*,#221515,.T.); #309128=ORIENTED_EDGE('',*,*,#221518,.T.); #309129=ORIENTED_EDGE('',*,*,#221521,.T.); #309130=ORIENTED_EDGE('',*,*,#221524,.T.); #309131=ORIENTED_EDGE('',*,*,#221527,.T.); #309132=ORIENTED_EDGE('',*,*,#221530,.T.); #309133=ORIENTED_EDGE('',*,*,#221533,.T.); #309134=ORIENTED_EDGE('',*,*,#221536,.T.); #309135=ORIENTED_EDGE('',*,*,#221539,.T.); #309136=ORIENTED_EDGE('',*,*,#221542,.T.); #309137=ORIENTED_EDGE('',*,*,#221545,.T.); #309138=ORIENTED_EDGE('',*,*,#221548,.T.); #309139=ORIENTED_EDGE('',*,*,#221551,.T.); #309140=ORIENTED_EDGE('',*,*,#221554,.T.); #309141=ORIENTED_EDGE('',*,*,#221557,.T.); #309142=ORIENTED_EDGE('',*,*,#221560,.T.); #309143=ORIENTED_EDGE('',*,*,#221566,.F.); #309144=ORIENTED_EDGE('',*,*,#221567,.T.); #309145=ORIENTED_EDGE('',*,*,#221568,.F.); #309146=ORIENTED_EDGE('',*,*,#221567,.F.); #309147=ORIENTED_EDGE('',*,*,#221569,.F.); #309148=ORIENTED_EDGE('',*,*,#221570,.T.); #309149=ORIENTED_EDGE('',*,*,#221571,.F.); #309150=ORIENTED_EDGE('',*,*,#221570,.F.); #309151=ORIENTED_EDGE('',*,*,#221572,.F.); #309152=ORIENTED_EDGE('',*,*,#221573,.T.); #309153=ORIENTED_EDGE('',*,*,#221574,.F.); #309154=ORIENTED_EDGE('',*,*,#221573,.F.); #309155=ORIENTED_EDGE('',*,*,#221575,.F.); #309156=ORIENTED_EDGE('',*,*,#221576,.T.); #309157=ORIENTED_EDGE('',*,*,#221577,.F.); #309158=ORIENTED_EDGE('',*,*,#221576,.F.); #309159=ORIENTED_EDGE('',*,*,#221578,.F.); #309160=ORIENTED_EDGE('',*,*,#221579,.T.); #309161=ORIENTED_EDGE('',*,*,#221580,.F.); #309162=ORIENTED_EDGE('',*,*,#221579,.F.); #309163=ORIENTED_EDGE('',*,*,#221581,.F.); #309164=ORIENTED_EDGE('',*,*,#221582,.T.); #309165=ORIENTED_EDGE('',*,*,#221583,.F.); #309166=ORIENTED_EDGE('',*,*,#221582,.F.); #309167=ORIENTED_EDGE('',*,*,#221584,.F.); #309168=ORIENTED_EDGE('',*,*,#221585,.T.); #309169=ORIENTED_EDGE('',*,*,#221586,.F.); #309170=ORIENTED_EDGE('',*,*,#221585,.F.); #309171=ORIENTED_EDGE('',*,*,#221587,.F.); #309172=ORIENTED_EDGE('',*,*,#221588,.T.); #309173=ORIENTED_EDGE('',*,*,#221589,.F.); #309174=ORIENTED_EDGE('',*,*,#221588,.F.); #309175=ORIENTED_EDGE('',*,*,#221590,.F.); #309176=ORIENTED_EDGE('',*,*,#221591,.T.); #309177=ORIENTED_EDGE('',*,*,#221592,.F.); #309178=ORIENTED_EDGE('',*,*,#221591,.F.); #309179=ORIENTED_EDGE('',*,*,#221593,.F.); #309180=ORIENTED_EDGE('',*,*,#221594,.T.); #309181=ORIENTED_EDGE('',*,*,#221595,.F.); #309182=ORIENTED_EDGE('',*,*,#221594,.F.); #309183=ORIENTED_EDGE('',*,*,#221596,.F.); #309184=ORIENTED_EDGE('',*,*,#221597,.T.); #309185=ORIENTED_EDGE('',*,*,#221598,.F.); #309186=ORIENTED_EDGE('',*,*,#221597,.F.); #309187=ORIENTED_EDGE('',*,*,#221599,.F.); #309188=ORIENTED_EDGE('',*,*,#221600,.T.); #309189=ORIENTED_EDGE('',*,*,#221601,.F.); #309190=ORIENTED_EDGE('',*,*,#221600,.F.); #309191=ORIENTED_EDGE('',*,*,#221602,.F.); #309192=ORIENTED_EDGE('',*,*,#221603,.T.); #309193=ORIENTED_EDGE('',*,*,#221604,.F.); #309194=ORIENTED_EDGE('',*,*,#221603,.F.); #309195=ORIENTED_EDGE('',*,*,#221605,.F.); #309196=ORIENTED_EDGE('',*,*,#221606,.T.); #309197=ORIENTED_EDGE('',*,*,#221607,.F.); #309198=ORIENTED_EDGE('',*,*,#221606,.F.); #309199=ORIENTED_EDGE('',*,*,#221608,.F.); #309200=ORIENTED_EDGE('',*,*,#221609,.T.); #309201=ORIENTED_EDGE('',*,*,#221610,.F.); #309202=ORIENTED_EDGE('',*,*,#221609,.F.); #309203=ORIENTED_EDGE('',*,*,#221611,.F.); #309204=ORIENTED_EDGE('',*,*,#221612,.T.); #309205=ORIENTED_EDGE('',*,*,#221613,.F.); #309206=ORIENTED_EDGE('',*,*,#221612,.F.); #309207=ORIENTED_EDGE('',*,*,#221614,.F.); #309208=ORIENTED_EDGE('',*,*,#221615,.T.); #309209=ORIENTED_EDGE('',*,*,#221616,.F.); #309210=ORIENTED_EDGE('',*,*,#221615,.F.); #309211=ORIENTED_EDGE('',*,*,#221617,.F.); #309212=ORIENTED_EDGE('',*,*,#221618,.T.); #309213=ORIENTED_EDGE('',*,*,#221619,.F.); #309214=ORIENTED_EDGE('',*,*,#221618,.F.); #309215=ORIENTED_EDGE('',*,*,#221620,.F.); #309216=ORIENTED_EDGE('',*,*,#221621,.T.); #309217=ORIENTED_EDGE('',*,*,#221622,.F.); #309218=ORIENTED_EDGE('',*,*,#221621,.F.); #309219=ORIENTED_EDGE('',*,*,#221623,.F.); #309220=ORIENTED_EDGE('',*,*,#221624,.T.); #309221=ORIENTED_EDGE('',*,*,#221625,.F.); #309222=ORIENTED_EDGE('',*,*,#221624,.F.); #309223=ORIENTED_EDGE('',*,*,#221626,.F.); #309224=ORIENTED_EDGE('',*,*,#221627,.T.); #309225=ORIENTED_EDGE('',*,*,#221628,.F.); #309226=ORIENTED_EDGE('',*,*,#221627,.F.); #309227=ORIENTED_EDGE('',*,*,#221629,.F.); #309228=ORIENTED_EDGE('',*,*,#221630,.T.); #309229=ORIENTED_EDGE('',*,*,#221631,.F.); #309230=ORIENTED_EDGE('',*,*,#221630,.F.); #309231=ORIENTED_EDGE('',*,*,#221632,.F.); #309232=ORIENTED_EDGE('',*,*,#221633,.T.); #309233=ORIENTED_EDGE('',*,*,#221634,.F.); #309234=ORIENTED_EDGE('',*,*,#221633,.F.); #309235=ORIENTED_EDGE('',*,*,#221635,.F.); #309236=ORIENTED_EDGE('',*,*,#221636,.T.); #309237=ORIENTED_EDGE('',*,*,#221637,.F.); #309238=ORIENTED_EDGE('',*,*,#221636,.F.); #309239=ORIENTED_EDGE('',*,*,#221638,.F.); #309240=ORIENTED_EDGE('',*,*,#221639,.T.); #309241=ORIENTED_EDGE('',*,*,#221640,.F.); #309242=ORIENTED_EDGE('',*,*,#221639,.F.); #309243=ORIENTED_EDGE('',*,*,#221641,.F.); #309244=ORIENTED_EDGE('',*,*,#221642,.T.); #309245=ORIENTED_EDGE('',*,*,#221643,.F.); #309246=ORIENTED_EDGE('',*,*,#221642,.F.); #309247=ORIENTED_EDGE('',*,*,#221644,.F.); #309248=ORIENTED_EDGE('',*,*,#221645,.T.); #309249=ORIENTED_EDGE('',*,*,#221646,.F.); #309250=ORIENTED_EDGE('',*,*,#221645,.F.); #309251=ORIENTED_EDGE('',*,*,#221647,.F.); #309252=ORIENTED_EDGE('',*,*,#221648,.T.); #309253=ORIENTED_EDGE('',*,*,#221649,.F.); #309254=ORIENTED_EDGE('',*,*,#221648,.F.); #309255=ORIENTED_EDGE('',*,*,#221650,.F.); #309256=ORIENTED_EDGE('',*,*,#221651,.T.); #309257=ORIENTED_EDGE('',*,*,#221652,.F.); #309258=ORIENTED_EDGE('',*,*,#221651,.F.); #309259=ORIENTED_EDGE('',*,*,#221653,.F.); #309260=ORIENTED_EDGE('',*,*,#221654,.T.); #309261=ORIENTED_EDGE('',*,*,#221655,.F.); #309262=ORIENTED_EDGE('',*,*,#221654,.F.); #309263=ORIENTED_EDGE('',*,*,#221656,.F.); #309264=ORIENTED_EDGE('',*,*,#221657,.T.); #309265=ORIENTED_EDGE('',*,*,#221658,.F.); #309266=ORIENTED_EDGE('',*,*,#221657,.F.); #309267=ORIENTED_EDGE('',*,*,#221659,.F.); #309268=ORIENTED_EDGE('',*,*,#221660,.T.); #309269=ORIENTED_EDGE('',*,*,#221661,.F.); #309270=ORIENTED_EDGE('',*,*,#221660,.F.); #309271=ORIENTED_EDGE('',*,*,#221662,.F.); #309272=ORIENTED_EDGE('',*,*,#221663,.T.); #309273=ORIENTED_EDGE('',*,*,#221664,.F.); #309274=ORIENTED_EDGE('',*,*,#221663,.F.); #309275=ORIENTED_EDGE('',*,*,#221665,.F.); #309276=ORIENTED_EDGE('',*,*,#221666,.T.); #309277=ORIENTED_EDGE('',*,*,#221667,.F.); #309278=ORIENTED_EDGE('',*,*,#221666,.F.); #309279=ORIENTED_EDGE('',*,*,#221668,.F.); #309280=ORIENTED_EDGE('',*,*,#221669,.T.); #309281=ORIENTED_EDGE('',*,*,#221670,.F.); #309282=ORIENTED_EDGE('',*,*,#221669,.F.); #309283=ORIENTED_EDGE('',*,*,#221671,.F.); #309284=ORIENTED_EDGE('',*,*,#221672,.T.); #309285=ORIENTED_EDGE('',*,*,#221673,.F.); #309286=ORIENTED_EDGE('',*,*,#221672,.F.); #309287=ORIENTED_EDGE('',*,*,#221674,.F.); #309288=ORIENTED_EDGE('',*,*,#221675,.T.); #309289=ORIENTED_EDGE('',*,*,#221676,.F.); #309290=ORIENTED_EDGE('',*,*,#221675,.F.); #309291=ORIENTED_EDGE('',*,*,#221677,.F.); #309292=ORIENTED_EDGE('',*,*,#221678,.T.); #309293=ORIENTED_EDGE('',*,*,#221679,.F.); #309294=ORIENTED_EDGE('',*,*,#221678,.F.); #309295=ORIENTED_EDGE('',*,*,#221680,.F.); #309296=ORIENTED_EDGE('',*,*,#221681,.T.); #309297=ORIENTED_EDGE('',*,*,#221682,.F.); #309298=ORIENTED_EDGE('',*,*,#221681,.F.); #309299=ORIENTED_EDGE('',*,*,#221683,.F.); #309300=ORIENTED_EDGE('',*,*,#221684,.T.); #309301=ORIENTED_EDGE('',*,*,#221685,.F.); #309302=ORIENTED_EDGE('',*,*,#221684,.F.); #309303=ORIENTED_EDGE('',*,*,#221686,.F.); #309304=ORIENTED_EDGE('',*,*,#221687,.T.); #309305=ORIENTED_EDGE('',*,*,#221688,.F.); #309306=ORIENTED_EDGE('',*,*,#221687,.F.); #309307=ORIENTED_EDGE('',*,*,#221689,.F.); #309308=ORIENTED_EDGE('',*,*,#221690,.T.); #309309=ORIENTED_EDGE('',*,*,#221691,.F.); #309310=ORIENTED_EDGE('',*,*,#221690,.F.); #309311=ORIENTED_EDGE('',*,*,#221692,.F.); #309312=ORIENTED_EDGE('',*,*,#221693,.T.); #309313=ORIENTED_EDGE('',*,*,#221694,.F.); #309314=ORIENTED_EDGE('',*,*,#221693,.F.); #309315=ORIENTED_EDGE('',*,*,#221695,.F.); #309316=ORIENTED_EDGE('',*,*,#221696,.T.); #309317=ORIENTED_EDGE('',*,*,#221697,.F.); #309318=ORIENTED_EDGE('',*,*,#221696,.F.); #309319=ORIENTED_EDGE('',*,*,#221698,.F.); #309320=ORIENTED_EDGE('',*,*,#221699,.T.); #309321=ORIENTED_EDGE('',*,*,#221700,.F.); #309322=ORIENTED_EDGE('',*,*,#221699,.F.); #309323=ORIENTED_EDGE('',*,*,#221701,.F.); #309324=ORIENTED_EDGE('',*,*,#221702,.T.); #309325=ORIENTED_EDGE('',*,*,#221703,.F.); #309326=ORIENTED_EDGE('',*,*,#221702,.F.); #309327=ORIENTED_EDGE('',*,*,#221704,.F.); #309328=ORIENTED_EDGE('',*,*,#221705,.T.); #309329=ORIENTED_EDGE('',*,*,#221706,.F.); #309330=ORIENTED_EDGE('',*,*,#221705,.F.); #309331=ORIENTED_EDGE('',*,*,#221707,.F.); #309332=ORIENTED_EDGE('',*,*,#221708,.T.); #309333=ORIENTED_EDGE('',*,*,#221709,.F.); #309334=ORIENTED_EDGE('',*,*,#221708,.F.); #309335=ORIENTED_EDGE('',*,*,#221710,.F.); #309336=ORIENTED_EDGE('',*,*,#221711,.T.); #309337=ORIENTED_EDGE('',*,*,#221712,.F.); #309338=ORIENTED_EDGE('',*,*,#221711,.F.); #309339=ORIENTED_EDGE('',*,*,#221713,.F.); #309340=ORIENTED_EDGE('',*,*,#221714,.T.); #309341=ORIENTED_EDGE('',*,*,#221715,.F.); #309342=ORIENTED_EDGE('',*,*,#221714,.F.); #309343=ORIENTED_EDGE('',*,*,#221716,.F.); #309344=ORIENTED_EDGE('',*,*,#221717,.T.); #309345=ORIENTED_EDGE('',*,*,#221718,.F.); #309346=ORIENTED_EDGE('',*,*,#221717,.F.); #309347=ORIENTED_EDGE('',*,*,#221719,.F.); #309348=ORIENTED_EDGE('',*,*,#221720,.T.); #309349=ORIENTED_EDGE('',*,*,#221721,.F.); #309350=ORIENTED_EDGE('',*,*,#221720,.F.); #309351=ORIENTED_EDGE('',*,*,#221722,.F.); #309352=ORIENTED_EDGE('',*,*,#221723,.T.); #309353=ORIENTED_EDGE('',*,*,#221724,.F.); #309354=ORIENTED_EDGE('',*,*,#221723,.F.); #309355=ORIENTED_EDGE('',*,*,#221725,.F.); #309356=ORIENTED_EDGE('',*,*,#221726,.T.); #309357=ORIENTED_EDGE('',*,*,#221727,.F.); #309358=ORIENTED_EDGE('',*,*,#221726,.F.); #309359=ORIENTED_EDGE('',*,*,#221728,.F.); #309360=ORIENTED_EDGE('',*,*,#221729,.T.); #309361=ORIENTED_EDGE('',*,*,#221730,.F.); #309362=ORIENTED_EDGE('',*,*,#221729,.F.); #309363=ORIENTED_EDGE('',*,*,#221731,.F.); #309364=ORIENTED_EDGE('',*,*,#221732,.T.); #309365=ORIENTED_EDGE('',*,*,#221733,.F.); #309366=ORIENTED_EDGE('',*,*,#221732,.F.); #309367=ORIENTED_EDGE('',*,*,#221734,.F.); #309368=ORIENTED_EDGE('',*,*,#221735,.T.); #309369=ORIENTED_EDGE('',*,*,#221736,.F.); #309370=ORIENTED_EDGE('',*,*,#221735,.F.); #309371=ORIENTED_EDGE('',*,*,#221737,.F.); #309372=ORIENTED_EDGE('',*,*,#221738,.T.); #309373=ORIENTED_EDGE('',*,*,#221739,.F.); #309374=ORIENTED_EDGE('',*,*,#221738,.F.); #309375=ORIENTED_EDGE('',*,*,#221740,.F.); #309376=ORIENTED_EDGE('',*,*,#221741,.T.); #309377=ORIENTED_EDGE('',*,*,#221742,.F.); #309378=ORIENTED_EDGE('',*,*,#221741,.F.); #309379=ORIENTED_EDGE('',*,*,#221743,.F.); #309380=ORIENTED_EDGE('',*,*,#221744,.T.); #309381=ORIENTED_EDGE('',*,*,#221745,.F.); #309382=ORIENTED_EDGE('',*,*,#221744,.F.); #309383=ORIENTED_EDGE('',*,*,#221746,.F.); #309384=ORIENTED_EDGE('',*,*,#221747,.T.); #309385=ORIENTED_EDGE('',*,*,#221748,.F.); #309386=ORIENTED_EDGE('',*,*,#221747,.F.); #309387=ORIENTED_EDGE('',*,*,#221749,.F.); #309388=ORIENTED_EDGE('',*,*,#221750,.T.); #309389=ORIENTED_EDGE('',*,*,#221751,.F.); #309390=ORIENTED_EDGE('',*,*,#221750,.F.); #309391=ORIENTED_EDGE('',*,*,#221752,.F.); #309392=ORIENTED_EDGE('',*,*,#221753,.T.); #309393=ORIENTED_EDGE('',*,*,#221754,.F.); #309394=ORIENTED_EDGE('',*,*,#221753,.F.); #309395=ORIENTED_EDGE('',*,*,#221755,.F.); #309396=ORIENTED_EDGE('',*,*,#221756,.T.); #309397=ORIENTED_EDGE('',*,*,#221757,.F.); #309398=ORIENTED_EDGE('',*,*,#221756,.F.); #309399=ORIENTED_EDGE('',*,*,#221758,.F.); #309400=ORIENTED_EDGE('',*,*,#221759,.T.); #309401=ORIENTED_EDGE('',*,*,#221760,.F.); #309402=ORIENTED_EDGE('',*,*,#221759,.F.); #309403=ORIENTED_EDGE('',*,*,#221761,.F.); #309404=ORIENTED_EDGE('',*,*,#221762,.T.); #309405=ORIENTED_EDGE('',*,*,#221763,.F.); #309406=ORIENTED_EDGE('',*,*,#221762,.F.); #309407=ORIENTED_EDGE('',*,*,#221764,.F.); #309408=ORIENTED_EDGE('',*,*,#221765,.T.); #309409=ORIENTED_EDGE('',*,*,#221766,.F.); #309410=ORIENTED_EDGE('',*,*,#221765,.F.); #309411=ORIENTED_EDGE('',*,*,#221767,.F.); #309412=ORIENTED_EDGE('',*,*,#221768,.T.); #309413=ORIENTED_EDGE('',*,*,#221769,.F.); #309414=ORIENTED_EDGE('',*,*,#221768,.F.); #309415=ORIENTED_EDGE('',*,*,#221770,.F.); #309416=ORIENTED_EDGE('',*,*,#221771,.T.); #309417=ORIENTED_EDGE('',*,*,#221772,.F.); #309418=ORIENTED_EDGE('',*,*,#221771,.F.); #309419=ORIENTED_EDGE('',*,*,#221773,.F.); #309420=ORIENTED_EDGE('',*,*,#221774,.T.); #309421=ORIENTED_EDGE('',*,*,#221775,.F.); #309422=ORIENTED_EDGE('',*,*,#221774,.F.); #309423=ORIENTED_EDGE('',*,*,#221776,.F.); #309424=ORIENTED_EDGE('',*,*,#221777,.T.); #309425=ORIENTED_EDGE('',*,*,#221778,.F.); #309426=ORIENTED_EDGE('',*,*,#221777,.F.); #309427=ORIENTED_EDGE('',*,*,#221779,.F.); #309428=ORIENTED_EDGE('',*,*,#221780,.T.); #309429=ORIENTED_EDGE('',*,*,#221781,.F.); #309430=ORIENTED_EDGE('',*,*,#221780,.F.); #309431=ORIENTED_EDGE('',*,*,#221782,.F.); #309432=ORIENTED_EDGE('',*,*,#221783,.T.); #309433=ORIENTED_EDGE('',*,*,#221784,.F.); #309434=ORIENTED_EDGE('',*,*,#221783,.F.); #309435=ORIENTED_EDGE('',*,*,#221785,.F.); #309436=ORIENTED_EDGE('',*,*,#221786,.T.); #309437=ORIENTED_EDGE('',*,*,#221787,.F.); #309438=ORIENTED_EDGE('',*,*,#221786,.F.); #309439=ORIENTED_EDGE('',*,*,#221788,.F.); #309440=ORIENTED_EDGE('',*,*,#221789,.T.); #309441=ORIENTED_EDGE('',*,*,#221790,.F.); #309442=ORIENTED_EDGE('',*,*,#221789,.F.); #309443=ORIENTED_EDGE('',*,*,#221791,.F.); #309444=ORIENTED_EDGE('',*,*,#221792,.T.); #309445=ORIENTED_EDGE('',*,*,#221793,.F.); #309446=ORIENTED_EDGE('',*,*,#221792,.F.); #309447=ORIENTED_EDGE('',*,*,#221794,.F.); #309448=ORIENTED_EDGE('',*,*,#221795,.T.); #309449=ORIENTED_EDGE('',*,*,#221796,.F.); #309450=ORIENTED_EDGE('',*,*,#221795,.F.); #309451=ORIENTED_EDGE('',*,*,#221797,.F.); #309452=ORIENTED_EDGE('',*,*,#221798,.T.); #309453=ORIENTED_EDGE('',*,*,#221799,.F.); #309454=ORIENTED_EDGE('',*,*,#221798,.F.); #309455=ORIENTED_EDGE('',*,*,#221800,.F.); #309456=ORIENTED_EDGE('',*,*,#221801,.T.); #309457=ORIENTED_EDGE('',*,*,#221802,.F.); #309458=ORIENTED_EDGE('',*,*,#221801,.F.); #309459=ORIENTED_EDGE('',*,*,#221803,.F.); #309460=ORIENTED_EDGE('',*,*,#221804,.T.); #309461=ORIENTED_EDGE('',*,*,#221805,.F.); #309462=ORIENTED_EDGE('',*,*,#221804,.F.); #309463=ORIENTED_EDGE('',*,*,#221806,.F.); #309464=ORIENTED_EDGE('',*,*,#221807,.T.); #309465=ORIENTED_EDGE('',*,*,#221808,.F.); #309466=ORIENTED_EDGE('',*,*,#221807,.F.); #309467=ORIENTED_EDGE('',*,*,#221809,.F.); #309468=ORIENTED_EDGE('',*,*,#221810,.T.); #309469=ORIENTED_EDGE('',*,*,#221811,.F.); #309470=ORIENTED_EDGE('',*,*,#221810,.F.); #309471=ORIENTED_EDGE('',*,*,#221812,.F.); #309472=ORIENTED_EDGE('',*,*,#221813,.T.); #309473=ORIENTED_EDGE('',*,*,#221814,.F.); #309474=ORIENTED_EDGE('',*,*,#221813,.F.); #309475=ORIENTED_EDGE('',*,*,#221815,.F.); #309476=ORIENTED_EDGE('',*,*,#221816,.T.); #309477=ORIENTED_EDGE('',*,*,#221817,.F.); #309478=ORIENTED_EDGE('',*,*,#221816,.F.); #309479=ORIENTED_EDGE('',*,*,#221818,.F.); #309480=ORIENTED_EDGE('',*,*,#221819,.T.); #309481=ORIENTED_EDGE('',*,*,#221820,.F.); #309482=ORIENTED_EDGE('',*,*,#221819,.F.); #309483=ORIENTED_EDGE('',*,*,#221821,.F.); #309484=ORIENTED_EDGE('',*,*,#221822,.T.); #309485=ORIENTED_EDGE('',*,*,#221823,.F.); #309486=ORIENTED_EDGE('',*,*,#221822,.F.); #309487=ORIENTED_EDGE('',*,*,#221824,.F.); #309488=ORIENTED_EDGE('',*,*,#221825,.T.); #309489=ORIENTED_EDGE('',*,*,#221826,.F.); #309490=ORIENTED_EDGE('',*,*,#221825,.F.); #309491=ORIENTED_EDGE('',*,*,#221827,.F.); #309492=ORIENTED_EDGE('',*,*,#221828,.T.); #309493=ORIENTED_EDGE('',*,*,#221829,.F.); #309494=ORIENTED_EDGE('',*,*,#221828,.F.); #309495=ORIENTED_EDGE('',*,*,#221830,.F.); #309496=ORIENTED_EDGE('',*,*,#221831,.T.); #309497=ORIENTED_EDGE('',*,*,#221832,.F.); #309498=ORIENTED_EDGE('',*,*,#221831,.F.); #309499=ORIENTED_EDGE('',*,*,#221833,.F.); #309500=ORIENTED_EDGE('',*,*,#221834,.T.); #309501=ORIENTED_EDGE('',*,*,#221835,.F.); #309502=ORIENTED_EDGE('',*,*,#221834,.F.); #309503=ORIENTED_EDGE('',*,*,#221836,.F.); #309504=ORIENTED_EDGE('',*,*,#221837,.T.); #309505=ORIENTED_EDGE('',*,*,#221838,.F.); #309506=ORIENTED_EDGE('',*,*,#221837,.F.); #309507=ORIENTED_EDGE('',*,*,#221839,.F.); #309508=ORIENTED_EDGE('',*,*,#221840,.T.); #309509=ORIENTED_EDGE('',*,*,#221841,.F.); #309510=ORIENTED_EDGE('',*,*,#221840,.F.); #309511=ORIENTED_EDGE('',*,*,#221842,.F.); #309512=ORIENTED_EDGE('',*,*,#221843,.T.); #309513=ORIENTED_EDGE('',*,*,#221844,.F.); #309514=ORIENTED_EDGE('',*,*,#221843,.F.); #309515=ORIENTED_EDGE('',*,*,#221845,.F.); #309516=ORIENTED_EDGE('',*,*,#221846,.T.); #309517=ORIENTED_EDGE('',*,*,#221847,.F.); #309518=ORIENTED_EDGE('',*,*,#221846,.F.); #309519=ORIENTED_EDGE('',*,*,#221848,.F.); #309520=ORIENTED_EDGE('',*,*,#221849,.T.); #309521=ORIENTED_EDGE('',*,*,#221850,.F.); #309522=ORIENTED_EDGE('',*,*,#221849,.F.); #309523=ORIENTED_EDGE('',*,*,#221851,.F.); #309524=ORIENTED_EDGE('',*,*,#221852,.T.); #309525=ORIENTED_EDGE('',*,*,#221853,.F.); #309526=ORIENTED_EDGE('',*,*,#221852,.F.); #309527=ORIENTED_EDGE('',*,*,#221854,.F.); #309528=ORIENTED_EDGE('',*,*,#221855,.T.); #309529=ORIENTED_EDGE('',*,*,#221856,.F.); #309530=ORIENTED_EDGE('',*,*,#221855,.F.); #309531=ORIENTED_EDGE('',*,*,#221857,.F.); #309532=ORIENTED_EDGE('',*,*,#221858,.T.); #309533=ORIENTED_EDGE('',*,*,#221859,.F.); #309534=ORIENTED_EDGE('',*,*,#221858,.F.); #309535=ORIENTED_EDGE('',*,*,#221860,.F.); #309536=ORIENTED_EDGE('',*,*,#221861,.T.); #309537=ORIENTED_EDGE('',*,*,#221862,.F.); #309538=ORIENTED_EDGE('',*,*,#221861,.F.); #309539=ORIENTED_EDGE('',*,*,#221863,.F.); #309540=ORIENTED_EDGE('',*,*,#221864,.T.); #309541=ORIENTED_EDGE('',*,*,#221865,.F.); #309542=ORIENTED_EDGE('',*,*,#221864,.F.); #309543=ORIENTED_EDGE('',*,*,#221866,.F.); #309544=ORIENTED_EDGE('',*,*,#221867,.T.); #309545=ORIENTED_EDGE('',*,*,#221868,.F.); #309546=ORIENTED_EDGE('',*,*,#221867,.F.); #309547=ORIENTED_EDGE('',*,*,#221869,.F.); #309548=ORIENTED_EDGE('',*,*,#221870,.T.); #309549=ORIENTED_EDGE('',*,*,#221871,.F.); #309550=ORIENTED_EDGE('',*,*,#221870,.F.); #309551=ORIENTED_EDGE('',*,*,#221872,.F.); #309552=ORIENTED_EDGE('',*,*,#221873,.T.); #309553=ORIENTED_EDGE('',*,*,#221874,.F.); #309554=ORIENTED_EDGE('',*,*,#221873,.F.); #309555=ORIENTED_EDGE('',*,*,#221875,.F.); #309556=ORIENTED_EDGE('',*,*,#221876,.T.); #309557=ORIENTED_EDGE('',*,*,#221877,.F.); #309558=ORIENTED_EDGE('',*,*,#221876,.F.); #309559=ORIENTED_EDGE('',*,*,#221878,.F.); #309560=ORIENTED_EDGE('',*,*,#221879,.T.); #309561=ORIENTED_EDGE('',*,*,#221880,.F.); #309562=ORIENTED_EDGE('',*,*,#221879,.F.); #309563=ORIENTED_EDGE('',*,*,#221881,.F.); #309564=ORIENTED_EDGE('',*,*,#221882,.T.); #309565=ORIENTED_EDGE('',*,*,#221883,.F.); #309566=ORIENTED_EDGE('',*,*,#221882,.F.); #309567=ORIENTED_EDGE('',*,*,#221884,.F.); #309568=ORIENTED_EDGE('',*,*,#221885,.T.); #309569=ORIENTED_EDGE('',*,*,#221886,.F.); #309570=ORIENTED_EDGE('',*,*,#221885,.F.); #309571=ORIENTED_EDGE('',*,*,#221887,.F.); #309572=ORIENTED_EDGE('',*,*,#221888,.T.); #309573=ORIENTED_EDGE('',*,*,#221889,.F.); #309574=ORIENTED_EDGE('',*,*,#221888,.F.); #309575=ORIENTED_EDGE('',*,*,#221890,.F.); #309576=ORIENTED_EDGE('',*,*,#221891,.T.); #309577=ORIENTED_EDGE('',*,*,#221892,.F.); #309578=ORIENTED_EDGE('',*,*,#221891,.F.); #309579=ORIENTED_EDGE('',*,*,#221893,.F.); #309580=ORIENTED_EDGE('',*,*,#221894,.T.); #309581=ORIENTED_EDGE('',*,*,#221895,.F.); #309582=ORIENTED_EDGE('',*,*,#221894,.F.); #309583=ORIENTED_EDGE('',*,*,#221896,.F.); #309584=ORIENTED_EDGE('',*,*,#221897,.T.); #309585=ORIENTED_EDGE('',*,*,#221898,.F.); #309586=ORIENTED_EDGE('',*,*,#221897,.F.); #309587=ORIENTED_EDGE('',*,*,#221899,.F.); #309588=ORIENTED_EDGE('',*,*,#221900,.T.); #309589=ORIENTED_EDGE('',*,*,#221901,.F.); #309590=ORIENTED_EDGE('',*,*,#221900,.F.); #309591=ORIENTED_EDGE('',*,*,#221902,.F.); #309592=ORIENTED_EDGE('',*,*,#221903,.T.); #309593=ORIENTED_EDGE('',*,*,#221904,.F.); #309594=ORIENTED_EDGE('',*,*,#221903,.F.); #309595=ORIENTED_EDGE('',*,*,#221905,.F.); #309596=ORIENTED_EDGE('',*,*,#221906,.T.); #309597=ORIENTED_EDGE('',*,*,#221907,.F.); #309598=ORIENTED_EDGE('',*,*,#221906,.F.); #309599=ORIENTED_EDGE('',*,*,#221908,.F.); #309600=ORIENTED_EDGE('',*,*,#221909,.T.); #309601=ORIENTED_EDGE('',*,*,#221910,.F.); #309602=ORIENTED_EDGE('',*,*,#221909,.F.); #309603=ORIENTED_EDGE('',*,*,#221911,.F.); #309604=ORIENTED_EDGE('',*,*,#221912,.T.); #309605=ORIENTED_EDGE('',*,*,#221913,.F.); #309606=ORIENTED_EDGE('',*,*,#221912,.F.); #309607=ORIENTED_EDGE('',*,*,#221914,.F.); #309608=ORIENTED_EDGE('',*,*,#221915,.T.); #309609=ORIENTED_EDGE('',*,*,#221916,.F.); #309610=ORIENTED_EDGE('',*,*,#221915,.F.); #309611=ORIENTED_EDGE('',*,*,#221917,.F.); #309612=ORIENTED_EDGE('',*,*,#221918,.T.); #309613=ORIENTED_EDGE('',*,*,#221919,.F.); #309614=ORIENTED_EDGE('',*,*,#221918,.F.); #309615=ORIENTED_EDGE('',*,*,#221920,.F.); #309616=ORIENTED_EDGE('',*,*,#221921,.T.); #309617=ORIENTED_EDGE('',*,*,#221922,.F.); #309618=ORIENTED_EDGE('',*,*,#221921,.F.); #309619=ORIENTED_EDGE('',*,*,#221923,.F.); #309620=ORIENTED_EDGE('',*,*,#221924,.T.); #309621=ORIENTED_EDGE('',*,*,#221925,.F.); #309622=ORIENTED_EDGE('',*,*,#221924,.F.); #309623=ORIENTED_EDGE('',*,*,#221926,.F.); #309624=ORIENTED_EDGE('',*,*,#221927,.T.); #309625=ORIENTED_EDGE('',*,*,#221928,.F.); #309626=ORIENTED_EDGE('',*,*,#221927,.F.); #309627=ORIENTED_EDGE('',*,*,#221929,.F.); #309628=ORIENTED_EDGE('',*,*,#221930,.T.); #309629=ORIENTED_EDGE('',*,*,#221931,.F.); #309630=ORIENTED_EDGE('',*,*,#221930,.F.); #309631=ORIENTED_EDGE('',*,*,#221932,.F.); #309632=ORIENTED_EDGE('',*,*,#221933,.T.); #309633=ORIENTED_EDGE('',*,*,#221934,.F.); #309634=ORIENTED_EDGE('',*,*,#221933,.F.); #309635=ORIENTED_EDGE('',*,*,#221935,.F.); #309636=ORIENTED_EDGE('',*,*,#221936,.T.); #309637=ORIENTED_EDGE('',*,*,#221937,.F.); #309638=ORIENTED_EDGE('',*,*,#221936,.F.); #309639=ORIENTED_EDGE('',*,*,#221938,.F.); #309640=ORIENTED_EDGE('',*,*,#221939,.T.); #309641=ORIENTED_EDGE('',*,*,#221940,.F.); #309642=ORIENTED_EDGE('',*,*,#221939,.F.); #309643=ORIENTED_EDGE('',*,*,#221941,.F.); #309644=ORIENTED_EDGE('',*,*,#221942,.T.); #309645=ORIENTED_EDGE('',*,*,#221943,.F.); #309646=ORIENTED_EDGE('',*,*,#221942,.F.); #309647=ORIENTED_EDGE('',*,*,#221944,.F.); #309648=ORIENTED_EDGE('',*,*,#221945,.T.); #309649=ORIENTED_EDGE('',*,*,#221946,.F.); #309650=ORIENTED_EDGE('',*,*,#221945,.F.); #309651=ORIENTED_EDGE('',*,*,#221947,.F.); #309652=ORIENTED_EDGE('',*,*,#221948,.T.); #309653=ORIENTED_EDGE('',*,*,#221949,.F.); #309654=ORIENTED_EDGE('',*,*,#221948,.F.); #309655=ORIENTED_EDGE('',*,*,#221950,.F.); #309656=ORIENTED_EDGE('',*,*,#221951,.T.); #309657=ORIENTED_EDGE('',*,*,#221952,.F.); #309658=ORIENTED_EDGE('',*,*,#221951,.F.); #309659=ORIENTED_EDGE('',*,*,#221953,.F.); #309660=ORIENTED_EDGE('',*,*,#221954,.T.); #309661=ORIENTED_EDGE('',*,*,#221955,.F.); #309662=ORIENTED_EDGE('',*,*,#221954,.F.); #309663=ORIENTED_EDGE('',*,*,#221956,.F.); #309664=ORIENTED_EDGE('',*,*,#221957,.T.); #309665=ORIENTED_EDGE('',*,*,#221958,.F.); #309666=ORIENTED_EDGE('',*,*,#221957,.F.); #309667=ORIENTED_EDGE('',*,*,#221959,.F.); #309668=ORIENTED_EDGE('',*,*,#221960,.T.); #309669=ORIENTED_EDGE('',*,*,#221961,.F.); #309670=ORIENTED_EDGE('',*,*,#221960,.F.); #309671=ORIENTED_EDGE('',*,*,#221962,.F.); #309672=ORIENTED_EDGE('',*,*,#221963,.T.); #309673=ORIENTED_EDGE('',*,*,#221964,.F.); #309674=ORIENTED_EDGE('',*,*,#221963,.F.); #309675=ORIENTED_EDGE('',*,*,#221965,.F.); #309676=ORIENTED_EDGE('',*,*,#221966,.T.); #309677=ORIENTED_EDGE('',*,*,#221967,.F.); #309678=ORIENTED_EDGE('',*,*,#221966,.F.); #309679=ORIENTED_EDGE('',*,*,#221968,.F.); #309680=ORIENTED_EDGE('',*,*,#221969,.T.); #309681=ORIENTED_EDGE('',*,*,#221970,.F.); #309682=ORIENTED_EDGE('',*,*,#221969,.F.); #309683=ORIENTED_EDGE('',*,*,#221971,.F.); #309684=ORIENTED_EDGE('',*,*,#221972,.T.); #309685=ORIENTED_EDGE('',*,*,#221973,.F.); #309686=ORIENTED_EDGE('',*,*,#221972,.F.); #309687=ORIENTED_EDGE('',*,*,#221974,.F.); #309688=ORIENTED_EDGE('',*,*,#221975,.T.); #309689=ORIENTED_EDGE('',*,*,#221976,.F.); #309690=ORIENTED_EDGE('',*,*,#221975,.F.); #309691=ORIENTED_EDGE('',*,*,#221977,.F.); #309692=ORIENTED_EDGE('',*,*,#221978,.T.); #309693=ORIENTED_EDGE('',*,*,#221979,.F.); #309694=ORIENTED_EDGE('',*,*,#221978,.F.); #309695=ORIENTED_EDGE('',*,*,#221980,.F.); #309696=ORIENTED_EDGE('',*,*,#221981,.T.); #309697=ORIENTED_EDGE('',*,*,#221982,.F.); #309698=ORIENTED_EDGE('',*,*,#221981,.F.); #309699=ORIENTED_EDGE('',*,*,#221983,.F.); #309700=ORIENTED_EDGE('',*,*,#221984,.T.); #309701=ORIENTED_EDGE('',*,*,#221985,.F.); #309702=ORIENTED_EDGE('',*,*,#221984,.F.); #309703=ORIENTED_EDGE('',*,*,#221986,.F.); #309704=ORIENTED_EDGE('',*,*,#221987,.T.); #309705=ORIENTED_EDGE('',*,*,#221988,.F.); #309706=ORIENTED_EDGE('',*,*,#221987,.F.); #309707=ORIENTED_EDGE('',*,*,#221989,.F.); #309708=ORIENTED_EDGE('',*,*,#221990,.T.); #309709=ORIENTED_EDGE('',*,*,#221991,.F.); #309710=ORIENTED_EDGE('',*,*,#221990,.F.); #309711=ORIENTED_EDGE('',*,*,#221992,.F.); #309712=ORIENTED_EDGE('',*,*,#221993,.T.); #309713=ORIENTED_EDGE('',*,*,#221994,.F.); #309714=ORIENTED_EDGE('',*,*,#221993,.F.); #309715=ORIENTED_EDGE('',*,*,#221995,.F.); #309716=ORIENTED_EDGE('',*,*,#221996,.T.); #309717=ORIENTED_EDGE('',*,*,#221997,.F.); #309718=ORIENTED_EDGE('',*,*,#221996,.F.); #309719=ORIENTED_EDGE('',*,*,#221998,.F.); #309720=ORIENTED_EDGE('',*,*,#221999,.T.); #309721=ORIENTED_EDGE('',*,*,#222000,.F.); #309722=ORIENTED_EDGE('',*,*,#221999,.F.); #309723=ORIENTED_EDGE('',*,*,#222001,.F.); #309724=ORIENTED_EDGE('',*,*,#222002,.T.); #309725=ORIENTED_EDGE('',*,*,#222003,.F.); #309726=ORIENTED_EDGE('',*,*,#222002,.F.); #309727=ORIENTED_EDGE('',*,*,#222004,.F.); #309728=ORIENTED_EDGE('',*,*,#222005,.T.); #309729=ORIENTED_EDGE('',*,*,#222006,.F.); #309730=ORIENTED_EDGE('',*,*,#222005,.F.); #309731=ORIENTED_EDGE('',*,*,#222007,.F.); #309732=ORIENTED_EDGE('',*,*,#222008,.T.); #309733=ORIENTED_EDGE('',*,*,#222009,.F.); #309734=ORIENTED_EDGE('',*,*,#222008,.F.); #309735=ORIENTED_EDGE('',*,*,#222010,.F.); #309736=ORIENTED_EDGE('',*,*,#222011,.T.); #309737=ORIENTED_EDGE('',*,*,#222012,.F.); #309738=ORIENTED_EDGE('',*,*,#222011,.F.); #309739=ORIENTED_EDGE('',*,*,#222013,.F.); #309740=ORIENTED_EDGE('',*,*,#222014,.T.); #309741=ORIENTED_EDGE('',*,*,#222015,.F.); #309742=ORIENTED_EDGE('',*,*,#222014,.F.); #309743=ORIENTED_EDGE('',*,*,#222016,.F.); #309744=ORIENTED_EDGE('',*,*,#222017,.T.); #309745=ORIENTED_EDGE('',*,*,#222018,.F.); #309746=ORIENTED_EDGE('',*,*,#222017,.F.); #309747=ORIENTED_EDGE('',*,*,#222019,.F.); #309748=ORIENTED_EDGE('',*,*,#222020,.T.); #309749=ORIENTED_EDGE('',*,*,#222021,.F.); #309750=ORIENTED_EDGE('',*,*,#222020,.F.); #309751=ORIENTED_EDGE('',*,*,#222022,.F.); #309752=ORIENTED_EDGE('',*,*,#222023,.T.); #309753=ORIENTED_EDGE('',*,*,#222024,.F.); #309754=ORIENTED_EDGE('',*,*,#222023,.F.); #309755=ORIENTED_EDGE('',*,*,#222025,.F.); #309756=ORIENTED_EDGE('',*,*,#222026,.T.); #309757=ORIENTED_EDGE('',*,*,#222027,.F.); #309758=ORIENTED_EDGE('',*,*,#222026,.F.); #309759=ORIENTED_EDGE('',*,*,#222028,.F.); #309760=ORIENTED_EDGE('',*,*,#222029,.T.); #309761=ORIENTED_EDGE('',*,*,#222030,.F.); #309762=ORIENTED_EDGE('',*,*,#222029,.F.); #309763=ORIENTED_EDGE('',*,*,#222031,.F.); #309764=ORIENTED_EDGE('',*,*,#222032,.T.); #309765=ORIENTED_EDGE('',*,*,#222033,.F.); #309766=ORIENTED_EDGE('',*,*,#222032,.F.); #309767=ORIENTED_EDGE('',*,*,#222034,.F.); #309768=ORIENTED_EDGE('',*,*,#222035,.T.); #309769=ORIENTED_EDGE('',*,*,#222036,.F.); #309770=ORIENTED_EDGE('',*,*,#222035,.F.); #309771=ORIENTED_EDGE('',*,*,#222037,.F.); #309772=ORIENTED_EDGE('',*,*,#222038,.T.); #309773=ORIENTED_EDGE('',*,*,#222039,.F.); #309774=ORIENTED_EDGE('',*,*,#222038,.F.); #309775=ORIENTED_EDGE('',*,*,#222040,.F.); #309776=ORIENTED_EDGE('',*,*,#222041,.T.); #309777=ORIENTED_EDGE('',*,*,#222042,.F.); #309778=ORIENTED_EDGE('',*,*,#222041,.F.); #309779=ORIENTED_EDGE('',*,*,#222043,.F.); #309780=ORIENTED_EDGE('',*,*,#222044,.T.); #309781=ORIENTED_EDGE('',*,*,#222045,.F.); #309782=ORIENTED_EDGE('',*,*,#222044,.F.); #309783=ORIENTED_EDGE('',*,*,#222046,.F.); #309784=ORIENTED_EDGE('',*,*,#222047,.T.); #309785=ORIENTED_EDGE('',*,*,#222048,.F.); #309786=ORIENTED_EDGE('',*,*,#222047,.F.); #309787=ORIENTED_EDGE('',*,*,#222049,.F.); #309788=ORIENTED_EDGE('',*,*,#222050,.T.); #309789=ORIENTED_EDGE('',*,*,#222051,.F.); #309790=ORIENTED_EDGE('',*,*,#222050,.F.); #309791=ORIENTED_EDGE('',*,*,#222052,.F.); #309792=ORIENTED_EDGE('',*,*,#222053,.T.); #309793=ORIENTED_EDGE('',*,*,#222054,.F.); #309794=ORIENTED_EDGE('',*,*,#222053,.F.); #309795=ORIENTED_EDGE('',*,*,#222055,.F.); #309796=ORIENTED_EDGE('',*,*,#222056,.F.); #309797=ORIENTED_EDGE('',*,*,#222057,.F.); #309798=ORIENTED_EDGE('',*,*,#222058,.T.); #309799=ORIENTED_EDGE('',*,*,#222059,.F.); #309800=ORIENTED_EDGE('',*,*,#222058,.F.); #309801=ORIENTED_EDGE('',*,*,#222060,.F.); #309802=ORIENTED_EDGE('',*,*,#222061,.T.); #309803=ORIENTED_EDGE('',*,*,#222062,.F.); #309804=ORIENTED_EDGE('',*,*,#222061,.F.); #309805=ORIENTED_EDGE('',*,*,#222063,.F.); #309806=ORIENTED_EDGE('',*,*,#222064,.T.); #309807=ORIENTED_EDGE('',*,*,#222065,.F.); #309808=ORIENTED_EDGE('',*,*,#222064,.F.); #309809=ORIENTED_EDGE('',*,*,#222066,.F.); #309810=ORIENTED_EDGE('',*,*,#222056,.T.); #309811=ORIENTED_EDGE('',*,*,#222067,.F.); #309812=ORIENTED_EDGE('',*,*,#222068,.T.); #309813=ORIENTED_EDGE('',*,*,#222069,.F.); #309814=ORIENTED_EDGE('',*,*,#222068,.F.); #309815=ORIENTED_EDGE('',*,*,#222070,.F.); #309816=ORIENTED_EDGE('',*,*,#222071,.F.); #309817=ORIENTED_EDGE('',*,*,#222072,.F.); #309818=ORIENTED_EDGE('',*,*,#222073,.T.); #309819=ORIENTED_EDGE('',*,*,#222074,.F.); #309820=ORIENTED_EDGE('',*,*,#222073,.F.); #309821=ORIENTED_EDGE('',*,*,#222075,.F.); #309822=ORIENTED_EDGE('',*,*,#222076,.T.); #309823=ORIENTED_EDGE('',*,*,#222077,.F.); #309824=ORIENTED_EDGE('',*,*,#222076,.F.); #309825=ORIENTED_EDGE('',*,*,#222078,.F.); #309826=ORIENTED_EDGE('',*,*,#222079,.T.); #309827=ORIENTED_EDGE('',*,*,#222080,.F.); #309828=ORIENTED_EDGE('',*,*,#222079,.F.); #309829=ORIENTED_EDGE('',*,*,#222081,.F.); #309830=ORIENTED_EDGE('',*,*,#222071,.T.); #309831=ORIENTED_EDGE('',*,*,#222082,.F.); #309832=ORIENTED_EDGE('',*,*,#222083,.T.); #309833=ORIENTED_EDGE('',*,*,#222084,.F.); #309834=ORIENTED_EDGE('',*,*,#222083,.F.); #309835=ORIENTED_EDGE('',*,*,#222085,.F.); #309836=ORIENTED_EDGE('',*,*,#222086,.T.); #309837=ORIENTED_EDGE('',*,*,#222087,.F.); #309838=ORIENTED_EDGE('',*,*,#222086,.F.); #309839=ORIENTED_EDGE('',*,*,#222088,.F.); #309840=ORIENTED_EDGE('',*,*,#222089,.T.); #309841=ORIENTED_EDGE('',*,*,#222090,.F.); #309842=ORIENTED_EDGE('',*,*,#222089,.F.); #309843=ORIENTED_EDGE('',*,*,#222091,.F.); #309844=ORIENTED_EDGE('',*,*,#222092,.F.); #309845=ORIENTED_EDGE('',*,*,#222093,.F.); #309846=ORIENTED_EDGE('',*,*,#222094,.T.); #309847=ORIENTED_EDGE('',*,*,#222095,.F.); #309848=ORIENTED_EDGE('',*,*,#222094,.F.); #309849=ORIENTED_EDGE('',*,*,#222096,.F.); #309850=ORIENTED_EDGE('',*,*,#222097,.T.); #309851=ORIENTED_EDGE('',*,*,#222098,.F.); #309852=ORIENTED_EDGE('',*,*,#222097,.F.); #309853=ORIENTED_EDGE('',*,*,#222099,.F.); #309854=ORIENTED_EDGE('',*,*,#222100,.T.); #309855=ORIENTED_EDGE('',*,*,#222101,.F.); #309856=ORIENTED_EDGE('',*,*,#222100,.F.); #309857=ORIENTED_EDGE('',*,*,#222102,.F.); #309858=ORIENTED_EDGE('',*,*,#222092,.T.); #309859=ORIENTED_EDGE('',*,*,#222103,.F.); #309860=ORIENTED_EDGE('',*,*,#222104,.T.); #309861=ORIENTED_EDGE('',*,*,#222105,.F.); #309862=ORIENTED_EDGE('',*,*,#222104,.F.); #309863=ORIENTED_EDGE('',*,*,#222106,.F.); #309864=ORIENTED_EDGE('',*,*,#222107,.T.); #309865=ORIENTED_EDGE('',*,*,#222108,.F.); #309866=ORIENTED_EDGE('',*,*,#222107,.F.); #309867=ORIENTED_EDGE('',*,*,#222109,.F.); #309868=ORIENTED_EDGE('',*,*,#222110,.T.); #309869=ORIENTED_EDGE('',*,*,#222111,.F.); #309870=ORIENTED_EDGE('',*,*,#222110,.F.); #309871=ORIENTED_EDGE('',*,*,#222112,.F.); #309872=ORIENTED_EDGE('',*,*,#222113,.T.); #309873=ORIENTED_EDGE('',*,*,#222114,.F.); #309874=ORIENTED_EDGE('',*,*,#222113,.F.); #309875=ORIENTED_EDGE('',*,*,#222115,.F.); #309876=ORIENTED_EDGE('',*,*,#222116,.T.); #309877=ORIENTED_EDGE('',*,*,#222117,.F.); #309878=ORIENTED_EDGE('',*,*,#222116,.F.); #309879=ORIENTED_EDGE('',*,*,#222118,.F.); #309880=ORIENTED_EDGE('',*,*,#222119,.T.); #309881=ORIENTED_EDGE('',*,*,#222120,.F.); #309882=ORIENTED_EDGE('',*,*,#222119,.F.); #309883=ORIENTED_EDGE('',*,*,#222121,.F.); #309884=ORIENTED_EDGE('',*,*,#222122,.T.); #309885=ORIENTED_EDGE('',*,*,#222123,.F.); #309886=ORIENTED_EDGE('',*,*,#222122,.F.); #309887=ORIENTED_EDGE('',*,*,#222124,.F.); #309888=ORIENTED_EDGE('',*,*,#222125,.T.); #309889=ORIENTED_EDGE('',*,*,#222126,.F.); #309890=ORIENTED_EDGE('',*,*,#222125,.F.); #309891=ORIENTED_EDGE('',*,*,#222127,.F.); #309892=ORIENTED_EDGE('',*,*,#222128,.T.); #309893=ORIENTED_EDGE('',*,*,#222129,.F.); #309894=ORIENTED_EDGE('',*,*,#222128,.F.); #309895=ORIENTED_EDGE('',*,*,#222130,.F.); #309896=ORIENTED_EDGE('',*,*,#222131,.T.); #309897=ORIENTED_EDGE('',*,*,#222132,.F.); #309898=ORIENTED_EDGE('',*,*,#222131,.F.); #309899=ORIENTED_EDGE('',*,*,#222133,.F.); #309900=ORIENTED_EDGE('',*,*,#222134,.F.); #309901=ORIENTED_EDGE('',*,*,#222135,.F.); #309902=ORIENTED_EDGE('',*,*,#222136,.T.); #309903=ORIENTED_EDGE('',*,*,#222137,.F.); #309904=ORIENTED_EDGE('',*,*,#222136,.F.); #309905=ORIENTED_EDGE('',*,*,#222138,.F.); #309906=ORIENTED_EDGE('',*,*,#222139,.T.); #309907=ORIENTED_EDGE('',*,*,#222140,.F.); #309908=ORIENTED_EDGE('',*,*,#222139,.F.); #309909=ORIENTED_EDGE('',*,*,#222141,.F.); #309910=ORIENTED_EDGE('',*,*,#222142,.T.); #309911=ORIENTED_EDGE('',*,*,#222143,.F.); #309912=ORIENTED_EDGE('',*,*,#222142,.F.); #309913=ORIENTED_EDGE('',*,*,#222144,.F.); #309914=ORIENTED_EDGE('',*,*,#222134,.T.); #309915=ORIENTED_EDGE('',*,*,#222145,.F.); #309916=ORIENTED_EDGE('',*,*,#222146,.T.); #309917=ORIENTED_EDGE('',*,*,#222147,.F.); #309918=ORIENTED_EDGE('',*,*,#222146,.F.); #309919=ORIENTED_EDGE('',*,*,#222148,.F.); #309920=ORIENTED_EDGE('',*,*,#222149,.F.); #309921=ORIENTED_EDGE('',*,*,#222150,.F.); #309922=ORIENTED_EDGE('',*,*,#222151,.T.); #309923=ORIENTED_EDGE('',*,*,#222152,.F.); #309924=ORIENTED_EDGE('',*,*,#222151,.F.); #309925=ORIENTED_EDGE('',*,*,#222153,.F.); #309926=ORIENTED_EDGE('',*,*,#222154,.T.); #309927=ORIENTED_EDGE('',*,*,#222155,.F.); #309928=ORIENTED_EDGE('',*,*,#222154,.F.); #309929=ORIENTED_EDGE('',*,*,#222156,.F.); #309930=ORIENTED_EDGE('',*,*,#222157,.T.); #309931=ORIENTED_EDGE('',*,*,#222158,.F.); #309932=ORIENTED_EDGE('',*,*,#222157,.F.); #309933=ORIENTED_EDGE('',*,*,#222159,.F.); #309934=ORIENTED_EDGE('',*,*,#222149,.T.); #309935=ORIENTED_EDGE('',*,*,#222160,.F.); #309936=ORIENTED_EDGE('',*,*,#222161,.T.); #309937=ORIENTED_EDGE('',*,*,#222162,.F.); #309938=ORIENTED_EDGE('',*,*,#222161,.F.); #309939=ORIENTED_EDGE('',*,*,#222163,.F.); #309940=ORIENTED_EDGE('',*,*,#222164,.F.); #309941=ORIENTED_EDGE('',*,*,#222165,.F.); #309942=ORIENTED_EDGE('',*,*,#222166,.T.); #309943=ORIENTED_EDGE('',*,*,#222167,.F.); #309944=ORIENTED_EDGE('',*,*,#222166,.F.); #309945=ORIENTED_EDGE('',*,*,#222168,.F.); #309946=ORIENTED_EDGE('',*,*,#222169,.T.); #309947=ORIENTED_EDGE('',*,*,#222170,.F.); #309948=ORIENTED_EDGE('',*,*,#222169,.F.); #309949=ORIENTED_EDGE('',*,*,#222171,.F.); #309950=ORIENTED_EDGE('',*,*,#222172,.T.); #309951=ORIENTED_EDGE('',*,*,#222173,.F.); #309952=ORIENTED_EDGE('',*,*,#222172,.F.); #309953=ORIENTED_EDGE('',*,*,#222174,.F.); #309954=ORIENTED_EDGE('',*,*,#222164,.T.); #309955=ORIENTED_EDGE('',*,*,#222175,.F.); #309956=ORIENTED_EDGE('',*,*,#222176,.T.); #309957=ORIENTED_EDGE('',*,*,#222177,.F.); #309958=ORIENTED_EDGE('',*,*,#222176,.F.); #309959=ORIENTED_EDGE('',*,*,#222178,.F.); #309960=ORIENTED_EDGE('',*,*,#222179,.T.); #309961=ORIENTED_EDGE('',*,*,#222180,.F.); #309962=ORIENTED_EDGE('',*,*,#222179,.F.); #309963=ORIENTED_EDGE('',*,*,#222181,.F.); #309964=ORIENTED_EDGE('',*,*,#222182,.T.); #309965=ORIENTED_EDGE('',*,*,#222183,.F.); #309966=ORIENTED_EDGE('',*,*,#222182,.F.); #309967=ORIENTED_EDGE('',*,*,#222184,.F.); #309968=ORIENTED_EDGE('',*,*,#222185,.T.); #309969=ORIENTED_EDGE('',*,*,#222186,.F.); #309970=ORIENTED_EDGE('',*,*,#222185,.F.); #309971=ORIENTED_EDGE('',*,*,#222187,.F.); #309972=ORIENTED_EDGE('',*,*,#222188,.T.); #309973=ORIENTED_EDGE('',*,*,#222189,.F.); #309974=ORIENTED_EDGE('',*,*,#222188,.F.); #309975=ORIENTED_EDGE('',*,*,#222190,.F.); #309976=ORIENTED_EDGE('',*,*,#222191,.T.); #309977=ORIENTED_EDGE('',*,*,#222192,.F.); #309978=ORIENTED_EDGE('',*,*,#222191,.F.); #309979=ORIENTED_EDGE('',*,*,#222193,.F.); #309980=ORIENTED_EDGE('',*,*,#222194,.T.); #309981=ORIENTED_EDGE('',*,*,#222195,.F.); #309982=ORIENTED_EDGE('',*,*,#222194,.F.); #309983=ORIENTED_EDGE('',*,*,#222196,.F.); #309984=ORIENTED_EDGE('',*,*,#222197,.T.); #309985=ORIENTED_EDGE('',*,*,#222198,.F.); #309986=ORIENTED_EDGE('',*,*,#222197,.F.); #309987=ORIENTED_EDGE('',*,*,#222199,.F.); #309988=ORIENTED_EDGE('',*,*,#222200,.T.); #309989=ORIENTED_EDGE('',*,*,#222201,.F.); #309990=ORIENTED_EDGE('',*,*,#222200,.F.); #309991=ORIENTED_EDGE('',*,*,#222202,.F.); #309992=ORIENTED_EDGE('',*,*,#222203,.T.); #309993=ORIENTED_EDGE('',*,*,#222204,.F.); #309994=ORIENTED_EDGE('',*,*,#222203,.F.); #309995=ORIENTED_EDGE('',*,*,#222205,.F.); #309996=ORIENTED_EDGE('',*,*,#222206,.T.); #309997=ORIENTED_EDGE('',*,*,#222207,.F.); #309998=ORIENTED_EDGE('',*,*,#222206,.F.); #309999=ORIENTED_EDGE('',*,*,#222208,.F.); #310000=ORIENTED_EDGE('',*,*,#222209,.T.); #310001=ORIENTED_EDGE('',*,*,#222210,.F.); #310002=ORIENTED_EDGE('',*,*,#222209,.F.); #310003=ORIENTED_EDGE('',*,*,#222211,.F.); #310004=ORIENTED_EDGE('',*,*,#222212,.T.); #310005=ORIENTED_EDGE('',*,*,#222213,.F.); #310006=ORIENTED_EDGE('',*,*,#222212,.F.); #310007=ORIENTED_EDGE('',*,*,#222214,.F.); #310008=ORIENTED_EDGE('',*,*,#222215,.T.); #310009=ORIENTED_EDGE('',*,*,#222216,.F.); #310010=ORIENTED_EDGE('',*,*,#222215,.F.); #310011=ORIENTED_EDGE('',*,*,#222217,.F.); #310012=ORIENTED_EDGE('',*,*,#222218,.T.); #310013=ORIENTED_EDGE('',*,*,#222219,.F.); #310014=ORIENTED_EDGE('',*,*,#222218,.F.); #310015=ORIENTED_EDGE('',*,*,#222220,.F.); #310016=ORIENTED_EDGE('',*,*,#222221,.T.); #310017=ORIENTED_EDGE('',*,*,#222222,.F.); #310018=ORIENTED_EDGE('',*,*,#222221,.F.); #310019=ORIENTED_EDGE('',*,*,#222223,.F.); #310020=ORIENTED_EDGE('',*,*,#222224,.T.); #310021=ORIENTED_EDGE('',*,*,#222225,.F.); #310022=ORIENTED_EDGE('',*,*,#222224,.F.); #310023=ORIENTED_EDGE('',*,*,#222226,.F.); #310024=ORIENTED_EDGE('',*,*,#222227,.T.); #310025=ORIENTED_EDGE('',*,*,#222228,.F.); #310026=ORIENTED_EDGE('',*,*,#222227,.F.); #310027=ORIENTED_EDGE('',*,*,#222229,.F.); #310028=ORIENTED_EDGE('',*,*,#222230,.T.); #310029=ORIENTED_EDGE('',*,*,#222231,.F.); #310030=ORIENTED_EDGE('',*,*,#222230,.F.); #310031=ORIENTED_EDGE('',*,*,#222232,.F.); #310032=ORIENTED_EDGE('',*,*,#222233,.T.); #310033=ORIENTED_EDGE('',*,*,#222234,.F.); #310034=ORIENTED_EDGE('',*,*,#222233,.F.); #310035=ORIENTED_EDGE('',*,*,#222235,.F.); #310036=ORIENTED_EDGE('',*,*,#222236,.T.); #310037=ORIENTED_EDGE('',*,*,#222237,.F.); #310038=ORIENTED_EDGE('',*,*,#222236,.F.); #310039=ORIENTED_EDGE('',*,*,#222238,.F.); #310040=ORIENTED_EDGE('',*,*,#222239,.T.); #310041=ORIENTED_EDGE('',*,*,#222240,.F.); #310042=ORIENTED_EDGE('',*,*,#222239,.F.); #310043=ORIENTED_EDGE('',*,*,#222241,.F.); #310044=ORIENTED_EDGE('',*,*,#222242,.T.); #310045=ORIENTED_EDGE('',*,*,#222243,.F.); #310046=ORIENTED_EDGE('',*,*,#222242,.F.); #310047=ORIENTED_EDGE('',*,*,#222244,.F.); #310048=ORIENTED_EDGE('',*,*,#222245,.T.); #310049=ORIENTED_EDGE('',*,*,#222246,.F.); #310050=ORIENTED_EDGE('',*,*,#222245,.F.); #310051=ORIENTED_EDGE('',*,*,#222247,.F.); #310052=ORIENTED_EDGE('',*,*,#222248,.T.); #310053=ORIENTED_EDGE('',*,*,#222249,.F.); #310054=ORIENTED_EDGE('',*,*,#222248,.F.); #310055=ORIENTED_EDGE('',*,*,#222250,.F.); #310056=ORIENTED_EDGE('',*,*,#222251,.T.); #310057=ORIENTED_EDGE('',*,*,#222252,.F.); #310058=ORIENTED_EDGE('',*,*,#222251,.F.); #310059=ORIENTED_EDGE('',*,*,#222253,.F.); #310060=ORIENTED_EDGE('',*,*,#222254,.T.); #310061=ORIENTED_EDGE('',*,*,#222255,.F.); #310062=ORIENTED_EDGE('',*,*,#222254,.F.); #310063=ORIENTED_EDGE('',*,*,#222256,.F.); #310064=ORIENTED_EDGE('',*,*,#222257,.T.); #310065=ORIENTED_EDGE('',*,*,#222258,.F.); #310066=ORIENTED_EDGE('',*,*,#222257,.F.); #310067=ORIENTED_EDGE('',*,*,#222259,.F.); #310068=ORIENTED_EDGE('',*,*,#222260,.T.); #310069=ORIENTED_EDGE('',*,*,#222261,.F.); #310070=ORIENTED_EDGE('',*,*,#222260,.F.); #310071=ORIENTED_EDGE('',*,*,#222262,.F.); #310072=ORIENTED_EDGE('',*,*,#222263,.T.); #310073=ORIENTED_EDGE('',*,*,#222264,.F.); #310074=ORIENTED_EDGE('',*,*,#222263,.F.); #310075=ORIENTED_EDGE('',*,*,#222265,.F.); #310076=ORIENTED_EDGE('',*,*,#222266,.T.); #310077=ORIENTED_EDGE('',*,*,#222267,.F.); #310078=ORIENTED_EDGE('',*,*,#222266,.F.); #310079=ORIENTED_EDGE('',*,*,#222268,.F.); #310080=ORIENTED_EDGE('',*,*,#222269,.T.); #310081=ORIENTED_EDGE('',*,*,#222270,.F.); #310082=ORIENTED_EDGE('',*,*,#222269,.F.); #310083=ORIENTED_EDGE('',*,*,#222271,.F.); #310084=ORIENTED_EDGE('',*,*,#222272,.T.); #310085=ORIENTED_EDGE('',*,*,#222273,.F.); #310086=ORIENTED_EDGE('',*,*,#222272,.F.); #310087=ORIENTED_EDGE('',*,*,#222274,.F.); #310088=ORIENTED_EDGE('',*,*,#222275,.T.); #310089=ORIENTED_EDGE('',*,*,#222276,.F.); #310090=ORIENTED_EDGE('',*,*,#222275,.F.); #310091=ORIENTED_EDGE('',*,*,#222277,.F.); #310092=ORIENTED_EDGE('',*,*,#222278,.T.); #310093=ORIENTED_EDGE('',*,*,#222279,.F.); #310094=ORIENTED_EDGE('',*,*,#222278,.F.); #310095=ORIENTED_EDGE('',*,*,#222280,.F.); #310096=ORIENTED_EDGE('',*,*,#222281,.T.); #310097=ORIENTED_EDGE('',*,*,#222282,.F.); #310098=ORIENTED_EDGE('',*,*,#222281,.F.); #310099=ORIENTED_EDGE('',*,*,#222283,.F.); #310100=ORIENTED_EDGE('',*,*,#222284,.T.); #310101=ORIENTED_EDGE('',*,*,#222285,.F.); #310102=ORIENTED_EDGE('',*,*,#222284,.F.); #310103=ORIENTED_EDGE('',*,*,#222286,.F.); #310104=ORIENTED_EDGE('',*,*,#222287,.T.); #310105=ORIENTED_EDGE('',*,*,#222288,.F.); #310106=ORIENTED_EDGE('',*,*,#222287,.F.); #310107=ORIENTED_EDGE('',*,*,#222289,.F.); #310108=ORIENTED_EDGE('',*,*,#222290,.T.); #310109=ORIENTED_EDGE('',*,*,#222291,.F.); #310110=ORIENTED_EDGE('',*,*,#222290,.F.); #310111=ORIENTED_EDGE('',*,*,#222292,.F.); #310112=ORIENTED_EDGE('',*,*,#222293,.T.); #310113=ORIENTED_EDGE('',*,*,#222294,.F.); #310114=ORIENTED_EDGE('',*,*,#222293,.F.); #310115=ORIENTED_EDGE('',*,*,#222295,.F.); #310116=ORIENTED_EDGE('',*,*,#222296,.T.); #310117=ORIENTED_EDGE('',*,*,#222297,.F.); #310118=ORIENTED_EDGE('',*,*,#222296,.F.); #310119=ORIENTED_EDGE('',*,*,#222298,.F.); #310120=ORIENTED_EDGE('',*,*,#222299,.T.); #310121=ORIENTED_EDGE('',*,*,#222300,.F.); #310122=ORIENTED_EDGE('',*,*,#222299,.F.); #310123=ORIENTED_EDGE('',*,*,#222301,.F.); #310124=ORIENTED_EDGE('',*,*,#222302,.T.); #310125=ORIENTED_EDGE('',*,*,#222303,.F.); #310126=ORIENTED_EDGE('',*,*,#222302,.F.); #310127=ORIENTED_EDGE('',*,*,#222304,.F.); #310128=ORIENTED_EDGE('',*,*,#222305,.T.); #310129=ORIENTED_EDGE('',*,*,#222306,.F.); #310130=ORIENTED_EDGE('',*,*,#222305,.F.); #310131=ORIENTED_EDGE('',*,*,#222307,.F.); #310132=ORIENTED_EDGE('',*,*,#222308,.T.); #310133=ORIENTED_EDGE('',*,*,#222309,.F.); #310134=ORIENTED_EDGE('',*,*,#222308,.F.); #310135=ORIENTED_EDGE('',*,*,#222310,.F.); #310136=ORIENTED_EDGE('',*,*,#222311,.T.); #310137=ORIENTED_EDGE('',*,*,#222312,.F.); #310138=ORIENTED_EDGE('',*,*,#222311,.F.); #310139=ORIENTED_EDGE('',*,*,#222313,.F.); #310140=ORIENTED_EDGE('',*,*,#222314,.T.); #310141=ORIENTED_EDGE('',*,*,#222315,.F.); #310142=ORIENTED_EDGE('',*,*,#222314,.F.); #310143=ORIENTED_EDGE('',*,*,#222316,.F.); #310144=ORIENTED_EDGE('',*,*,#222317,.T.); #310145=ORIENTED_EDGE('',*,*,#222318,.F.); #310146=ORIENTED_EDGE('',*,*,#222317,.F.); #310147=ORIENTED_EDGE('',*,*,#222319,.F.); #310148=ORIENTED_EDGE('',*,*,#222320,.T.); #310149=ORIENTED_EDGE('',*,*,#222321,.F.); #310150=ORIENTED_EDGE('',*,*,#222320,.F.); #310151=ORIENTED_EDGE('',*,*,#222322,.F.); #310152=ORIENTED_EDGE('',*,*,#222323,.T.); #310153=ORIENTED_EDGE('',*,*,#222324,.F.); #310154=ORIENTED_EDGE('',*,*,#222323,.F.); #310155=ORIENTED_EDGE('',*,*,#222325,.F.); #310156=ORIENTED_EDGE('',*,*,#222326,.T.); #310157=ORIENTED_EDGE('',*,*,#222327,.F.); #310158=ORIENTED_EDGE('',*,*,#222326,.F.); #310159=ORIENTED_EDGE('',*,*,#222328,.F.); #310160=ORIENTED_EDGE('',*,*,#222329,.T.); #310161=ORIENTED_EDGE('',*,*,#222330,.F.); #310162=ORIENTED_EDGE('',*,*,#222329,.F.); #310163=ORIENTED_EDGE('',*,*,#222331,.F.); #310164=ORIENTED_EDGE('',*,*,#222332,.T.); #310165=ORIENTED_EDGE('',*,*,#222333,.F.); #310166=ORIENTED_EDGE('',*,*,#222332,.F.); #310167=ORIENTED_EDGE('',*,*,#222334,.F.); #310168=ORIENTED_EDGE('',*,*,#222335,.T.); #310169=ORIENTED_EDGE('',*,*,#222336,.F.); #310170=ORIENTED_EDGE('',*,*,#222335,.F.); #310171=ORIENTED_EDGE('',*,*,#222337,.F.); #310172=ORIENTED_EDGE('',*,*,#222338,.T.); #310173=ORIENTED_EDGE('',*,*,#222339,.F.); #310174=ORIENTED_EDGE('',*,*,#222338,.F.); #310175=ORIENTED_EDGE('',*,*,#222340,.F.); #310176=ORIENTED_EDGE('',*,*,#222341,.T.); #310177=ORIENTED_EDGE('',*,*,#222342,.F.); #310178=ORIENTED_EDGE('',*,*,#222341,.F.); #310179=ORIENTED_EDGE('',*,*,#222343,.F.); #310180=ORIENTED_EDGE('',*,*,#222344,.T.); #310181=ORIENTED_EDGE('',*,*,#222345,.F.); #310182=ORIENTED_EDGE('',*,*,#222344,.F.); #310183=ORIENTED_EDGE('',*,*,#222346,.F.); #310184=ORIENTED_EDGE('',*,*,#222347,.T.); #310185=ORIENTED_EDGE('',*,*,#222348,.F.); #310186=ORIENTED_EDGE('',*,*,#222347,.F.); #310187=ORIENTED_EDGE('',*,*,#222349,.F.); #310188=ORIENTED_EDGE('',*,*,#222350,.T.); #310189=ORIENTED_EDGE('',*,*,#222351,.F.); #310190=ORIENTED_EDGE('',*,*,#222350,.F.); #310191=ORIENTED_EDGE('',*,*,#222352,.F.); #310192=ORIENTED_EDGE('',*,*,#222353,.T.); #310193=ORIENTED_EDGE('',*,*,#222354,.F.); #310194=ORIENTED_EDGE('',*,*,#222353,.F.); #310195=ORIENTED_EDGE('',*,*,#222355,.F.); #310196=ORIENTED_EDGE('',*,*,#222356,.T.); #310197=ORIENTED_EDGE('',*,*,#222357,.F.); #310198=ORIENTED_EDGE('',*,*,#222356,.F.); #310199=ORIENTED_EDGE('',*,*,#222358,.F.); #310200=ORIENTED_EDGE('',*,*,#222359,.T.); #310201=ORIENTED_EDGE('',*,*,#222360,.F.); #310202=ORIENTED_EDGE('',*,*,#222359,.F.); #310203=ORIENTED_EDGE('',*,*,#222361,.F.); #310204=ORIENTED_EDGE('',*,*,#222362,.T.); #310205=ORIENTED_EDGE('',*,*,#222363,.F.); #310206=ORIENTED_EDGE('',*,*,#222362,.F.); #310207=ORIENTED_EDGE('',*,*,#222364,.F.); #310208=ORIENTED_EDGE('',*,*,#222365,.T.); #310209=ORIENTED_EDGE('',*,*,#222366,.F.); #310210=ORIENTED_EDGE('',*,*,#222365,.F.); #310211=ORIENTED_EDGE('',*,*,#222367,.F.); #310212=ORIENTED_EDGE('',*,*,#222368,.T.); #310213=ORIENTED_EDGE('',*,*,#222369,.F.); #310214=ORIENTED_EDGE('',*,*,#222368,.F.); #310215=ORIENTED_EDGE('',*,*,#222370,.F.); #310216=ORIENTED_EDGE('',*,*,#222371,.T.); #310217=ORIENTED_EDGE('',*,*,#222372,.F.); #310218=ORIENTED_EDGE('',*,*,#222371,.F.); #310219=ORIENTED_EDGE('',*,*,#222373,.F.); #310220=ORIENTED_EDGE('',*,*,#222374,.T.); #310221=ORIENTED_EDGE('',*,*,#222375,.F.); #310222=ORIENTED_EDGE('',*,*,#222374,.F.); #310223=ORIENTED_EDGE('',*,*,#222376,.F.); #310224=ORIENTED_EDGE('',*,*,#222377,.T.); #310225=ORIENTED_EDGE('',*,*,#222378,.F.); #310226=ORIENTED_EDGE('',*,*,#222377,.F.); #310227=ORIENTED_EDGE('',*,*,#222379,.F.); #310228=ORIENTED_EDGE('',*,*,#222380,.T.); #310229=ORIENTED_EDGE('',*,*,#222381,.F.); #310230=ORIENTED_EDGE('',*,*,#222380,.F.); #310231=ORIENTED_EDGE('',*,*,#222382,.F.); #310232=ORIENTED_EDGE('',*,*,#222383,.T.); #310233=ORIENTED_EDGE('',*,*,#222384,.F.); #310234=ORIENTED_EDGE('',*,*,#222383,.F.); #310235=ORIENTED_EDGE('',*,*,#222385,.F.); #310236=ORIENTED_EDGE('',*,*,#222386,.T.); #310237=ORIENTED_EDGE('',*,*,#222387,.F.); #310238=ORIENTED_EDGE('',*,*,#222386,.F.); #310239=ORIENTED_EDGE('',*,*,#222388,.F.); #310240=ORIENTED_EDGE('',*,*,#222389,.T.); #310241=ORIENTED_EDGE('',*,*,#222390,.F.); #310242=ORIENTED_EDGE('',*,*,#222389,.F.); #310243=ORIENTED_EDGE('',*,*,#222391,.F.); #310244=ORIENTED_EDGE('',*,*,#222392,.T.); #310245=ORIENTED_EDGE('',*,*,#222393,.F.); #310246=ORIENTED_EDGE('',*,*,#222392,.F.); #310247=ORIENTED_EDGE('',*,*,#222394,.F.); #310248=ORIENTED_EDGE('',*,*,#222395,.T.); #310249=ORIENTED_EDGE('',*,*,#222396,.F.); #310250=ORIENTED_EDGE('',*,*,#222395,.F.); #310251=ORIENTED_EDGE('',*,*,#222397,.F.); #310252=ORIENTED_EDGE('',*,*,#222398,.T.); #310253=ORIENTED_EDGE('',*,*,#222399,.F.); #310254=ORIENTED_EDGE('',*,*,#222398,.F.); #310255=ORIENTED_EDGE('',*,*,#222400,.F.); #310256=ORIENTED_EDGE('',*,*,#222401,.T.); #310257=ORIENTED_EDGE('',*,*,#222402,.F.); #310258=ORIENTED_EDGE('',*,*,#222401,.F.); #310259=ORIENTED_EDGE('',*,*,#222403,.F.); #310260=ORIENTED_EDGE('',*,*,#222404,.T.); #310261=ORIENTED_EDGE('',*,*,#222405,.F.); #310262=ORIENTED_EDGE('',*,*,#222404,.F.); #310263=ORIENTED_EDGE('',*,*,#222406,.F.); #310264=ORIENTED_EDGE('',*,*,#222407,.T.); #310265=ORIENTED_EDGE('',*,*,#222408,.F.); #310266=ORIENTED_EDGE('',*,*,#222407,.F.); #310267=ORIENTED_EDGE('',*,*,#222409,.F.); #310268=ORIENTED_EDGE('',*,*,#222410,.T.); #310269=ORIENTED_EDGE('',*,*,#222411,.F.); #310270=ORIENTED_EDGE('',*,*,#222410,.F.); #310271=ORIENTED_EDGE('',*,*,#222412,.F.); #310272=ORIENTED_EDGE('',*,*,#222413,.T.); #310273=ORIENTED_EDGE('',*,*,#222414,.F.); #310274=ORIENTED_EDGE('',*,*,#222413,.F.); #310275=ORIENTED_EDGE('',*,*,#222415,.F.); #310276=ORIENTED_EDGE('',*,*,#222416,.T.); #310277=ORIENTED_EDGE('',*,*,#222417,.F.); #310278=ORIENTED_EDGE('',*,*,#222416,.F.); #310279=ORIENTED_EDGE('',*,*,#222418,.F.); #310280=ORIENTED_EDGE('',*,*,#222419,.T.); #310281=ORIENTED_EDGE('',*,*,#222420,.F.); #310282=ORIENTED_EDGE('',*,*,#222419,.F.); #310283=ORIENTED_EDGE('',*,*,#222421,.F.); #310284=ORIENTED_EDGE('',*,*,#222422,.T.); #310285=ORIENTED_EDGE('',*,*,#222423,.F.); #310286=ORIENTED_EDGE('',*,*,#222422,.F.); #310287=ORIENTED_EDGE('',*,*,#222424,.F.); #310288=ORIENTED_EDGE('',*,*,#222425,.T.); #310289=ORIENTED_EDGE('',*,*,#222426,.F.); #310290=ORIENTED_EDGE('',*,*,#222425,.F.); #310291=ORIENTED_EDGE('',*,*,#222427,.F.); #310292=ORIENTED_EDGE('',*,*,#222428,.T.); #310293=ORIENTED_EDGE('',*,*,#222429,.F.); #310294=ORIENTED_EDGE('',*,*,#222428,.F.); #310295=ORIENTED_EDGE('',*,*,#222430,.F.); #310296=ORIENTED_EDGE('',*,*,#222431,.T.); #310297=ORIENTED_EDGE('',*,*,#222432,.F.); #310298=ORIENTED_EDGE('',*,*,#222431,.F.); #310299=ORIENTED_EDGE('',*,*,#222433,.F.); #310300=ORIENTED_EDGE('',*,*,#222434,.T.); #310301=ORIENTED_EDGE('',*,*,#222435,.F.); #310302=ORIENTED_EDGE('',*,*,#222434,.F.); #310303=ORIENTED_EDGE('',*,*,#222436,.F.); #310304=ORIENTED_EDGE('',*,*,#222437,.T.); #310305=ORIENTED_EDGE('',*,*,#222438,.F.); #310306=ORIENTED_EDGE('',*,*,#222437,.F.); #310307=ORIENTED_EDGE('',*,*,#222439,.F.); #310308=ORIENTED_EDGE('',*,*,#222440,.T.); #310309=ORIENTED_EDGE('',*,*,#222441,.F.); #310310=ORIENTED_EDGE('',*,*,#222440,.F.); #310311=ORIENTED_EDGE('',*,*,#222442,.F.); #310312=ORIENTED_EDGE('',*,*,#222443,.T.); #310313=ORIENTED_EDGE('',*,*,#222444,.F.); #310314=ORIENTED_EDGE('',*,*,#222443,.F.); #310315=ORIENTED_EDGE('',*,*,#222445,.F.); #310316=ORIENTED_EDGE('',*,*,#222446,.T.); #310317=ORIENTED_EDGE('',*,*,#222447,.F.); #310318=ORIENTED_EDGE('',*,*,#222446,.F.); #310319=ORIENTED_EDGE('',*,*,#222448,.F.); #310320=ORIENTED_EDGE('',*,*,#222449,.T.); #310321=ORIENTED_EDGE('',*,*,#222450,.F.); #310322=ORIENTED_EDGE('',*,*,#222449,.F.); #310323=ORIENTED_EDGE('',*,*,#222451,.F.); #310324=ORIENTED_EDGE('',*,*,#222452,.T.); #310325=ORIENTED_EDGE('',*,*,#222453,.F.); #310326=ORIENTED_EDGE('',*,*,#222452,.F.); #310327=ORIENTED_EDGE('',*,*,#222454,.F.); #310328=ORIENTED_EDGE('',*,*,#222455,.T.); #310329=ORIENTED_EDGE('',*,*,#222456,.F.); #310330=ORIENTED_EDGE('',*,*,#222455,.F.); #310331=ORIENTED_EDGE('',*,*,#222457,.F.); #310332=ORIENTED_EDGE('',*,*,#222458,.T.); #310333=ORIENTED_EDGE('',*,*,#222459,.F.); #310334=ORIENTED_EDGE('',*,*,#222458,.F.); #310335=ORIENTED_EDGE('',*,*,#222460,.F.); #310336=ORIENTED_EDGE('',*,*,#222461,.T.); #310337=ORIENTED_EDGE('',*,*,#222462,.F.); #310338=ORIENTED_EDGE('',*,*,#222461,.F.); #310339=ORIENTED_EDGE('',*,*,#222463,.F.); #310340=ORIENTED_EDGE('',*,*,#222464,.T.); #310341=ORIENTED_EDGE('',*,*,#222465,.F.); #310342=ORIENTED_EDGE('',*,*,#222464,.F.); #310343=ORIENTED_EDGE('',*,*,#222466,.F.); #310344=ORIENTED_EDGE('',*,*,#222467,.T.); #310345=ORIENTED_EDGE('',*,*,#222468,.F.); #310346=ORIENTED_EDGE('',*,*,#222467,.F.); #310347=ORIENTED_EDGE('',*,*,#222469,.F.); #310348=ORIENTED_EDGE('',*,*,#222470,.T.); #310349=ORIENTED_EDGE('',*,*,#222471,.F.); #310350=ORIENTED_EDGE('',*,*,#222470,.F.); #310351=ORIENTED_EDGE('',*,*,#222472,.F.); #310352=ORIENTED_EDGE('',*,*,#222473,.T.); #310353=ORIENTED_EDGE('',*,*,#222474,.F.); #310354=ORIENTED_EDGE('',*,*,#222473,.F.); #310355=ORIENTED_EDGE('',*,*,#222475,.F.); #310356=ORIENTED_EDGE('',*,*,#222476,.T.); #310357=ORIENTED_EDGE('',*,*,#222477,.F.); #310358=ORIENTED_EDGE('',*,*,#222476,.F.); #310359=ORIENTED_EDGE('',*,*,#222478,.F.); #310360=ORIENTED_EDGE('',*,*,#222479,.T.); #310361=ORIENTED_EDGE('',*,*,#222480,.F.); #310362=ORIENTED_EDGE('',*,*,#222479,.F.); #310363=ORIENTED_EDGE('',*,*,#222481,.F.); #310364=ORIENTED_EDGE('',*,*,#222482,.T.); #310365=ORIENTED_EDGE('',*,*,#222483,.F.); #310366=ORIENTED_EDGE('',*,*,#222482,.F.); #310367=ORIENTED_EDGE('',*,*,#222484,.F.); #310368=ORIENTED_EDGE('',*,*,#222485,.T.); #310369=ORIENTED_EDGE('',*,*,#222486,.F.); #310370=ORIENTED_EDGE('',*,*,#222485,.F.); #310371=ORIENTED_EDGE('',*,*,#222487,.F.); #310372=ORIENTED_EDGE('',*,*,#222488,.T.); #310373=ORIENTED_EDGE('',*,*,#222489,.F.); #310374=ORIENTED_EDGE('',*,*,#222488,.F.); #310375=ORIENTED_EDGE('',*,*,#222490,.F.); #310376=ORIENTED_EDGE('',*,*,#222491,.T.); #310377=ORIENTED_EDGE('',*,*,#222492,.F.); #310378=ORIENTED_EDGE('',*,*,#222491,.F.); #310379=ORIENTED_EDGE('',*,*,#222493,.F.); #310380=ORIENTED_EDGE('',*,*,#222494,.T.); #310381=ORIENTED_EDGE('',*,*,#222495,.F.); #310382=ORIENTED_EDGE('',*,*,#222494,.F.); #310383=ORIENTED_EDGE('',*,*,#222496,.F.); #310384=ORIENTED_EDGE('',*,*,#222497,.T.); #310385=ORIENTED_EDGE('',*,*,#222498,.F.); #310386=ORIENTED_EDGE('',*,*,#222497,.F.); #310387=ORIENTED_EDGE('',*,*,#222499,.F.); #310388=ORIENTED_EDGE('',*,*,#222500,.T.); #310389=ORIENTED_EDGE('',*,*,#222501,.F.); #310390=ORIENTED_EDGE('',*,*,#222500,.F.); #310391=ORIENTED_EDGE('',*,*,#222502,.F.); #310392=ORIENTED_EDGE('',*,*,#222503,.T.); #310393=ORIENTED_EDGE('',*,*,#222504,.F.); #310394=ORIENTED_EDGE('',*,*,#222503,.F.); #310395=ORIENTED_EDGE('',*,*,#222505,.F.); #310396=ORIENTED_EDGE('',*,*,#222506,.T.); #310397=ORIENTED_EDGE('',*,*,#222507,.F.); #310398=ORIENTED_EDGE('',*,*,#222506,.F.); #310399=ORIENTED_EDGE('',*,*,#222508,.F.); #310400=ORIENTED_EDGE('',*,*,#222509,.T.); #310401=ORIENTED_EDGE('',*,*,#222510,.F.); #310402=ORIENTED_EDGE('',*,*,#222509,.F.); #310403=ORIENTED_EDGE('',*,*,#222511,.F.); #310404=ORIENTED_EDGE('',*,*,#222512,.T.); #310405=ORIENTED_EDGE('',*,*,#222513,.F.); #310406=ORIENTED_EDGE('',*,*,#222512,.F.); #310407=ORIENTED_EDGE('',*,*,#222514,.F.); #310408=ORIENTED_EDGE('',*,*,#222515,.T.); #310409=ORIENTED_EDGE('',*,*,#222516,.F.); #310410=ORIENTED_EDGE('',*,*,#222515,.F.); #310411=ORIENTED_EDGE('',*,*,#222517,.F.); #310412=ORIENTED_EDGE('',*,*,#222518,.T.); #310413=ORIENTED_EDGE('',*,*,#222519,.F.); #310414=ORIENTED_EDGE('',*,*,#222518,.F.); #310415=ORIENTED_EDGE('',*,*,#222520,.F.); #310416=ORIENTED_EDGE('',*,*,#222521,.T.); #310417=ORIENTED_EDGE('',*,*,#222522,.F.); #310418=ORIENTED_EDGE('',*,*,#222521,.F.); #310419=ORIENTED_EDGE('',*,*,#222523,.F.); #310420=ORIENTED_EDGE('',*,*,#222524,.T.); #310421=ORIENTED_EDGE('',*,*,#222525,.F.); #310422=ORIENTED_EDGE('',*,*,#222524,.F.); #310423=ORIENTED_EDGE('',*,*,#222526,.F.); #310424=ORIENTED_EDGE('',*,*,#222527,.T.); #310425=ORIENTED_EDGE('',*,*,#222528,.F.); #310426=ORIENTED_EDGE('',*,*,#222527,.F.); #310427=ORIENTED_EDGE('',*,*,#222529,.F.); #310428=ORIENTED_EDGE('',*,*,#222530,.T.); #310429=ORIENTED_EDGE('',*,*,#222531,.F.); #310430=ORIENTED_EDGE('',*,*,#222530,.F.); #310431=ORIENTED_EDGE('',*,*,#222532,.F.); #310432=ORIENTED_EDGE('',*,*,#222533,.T.); #310433=ORIENTED_EDGE('',*,*,#222534,.F.); #310434=ORIENTED_EDGE('',*,*,#222533,.F.); #310435=ORIENTED_EDGE('',*,*,#222535,.F.); #310436=ORIENTED_EDGE('',*,*,#222536,.T.); #310437=ORIENTED_EDGE('',*,*,#222537,.F.); #310438=ORIENTED_EDGE('',*,*,#222536,.F.); #310439=ORIENTED_EDGE('',*,*,#222538,.F.); #310440=ORIENTED_EDGE('',*,*,#222539,.T.); #310441=ORIENTED_EDGE('',*,*,#222540,.F.); #310442=ORIENTED_EDGE('',*,*,#222539,.F.); #310443=ORIENTED_EDGE('',*,*,#222541,.F.); #310444=ORIENTED_EDGE('',*,*,#222542,.T.); #310445=ORIENTED_EDGE('',*,*,#222543,.F.); #310446=ORIENTED_EDGE('',*,*,#222542,.F.); #310447=ORIENTED_EDGE('',*,*,#222544,.F.); #310448=ORIENTED_EDGE('',*,*,#222545,.T.); #310449=ORIENTED_EDGE('',*,*,#222546,.F.); #310450=ORIENTED_EDGE('',*,*,#222545,.F.); #310451=ORIENTED_EDGE('',*,*,#222547,.F.); #310452=ORIENTED_EDGE('',*,*,#222548,.T.); #310453=ORIENTED_EDGE('',*,*,#222549,.F.); #310454=ORIENTED_EDGE('',*,*,#222548,.F.); #310455=ORIENTED_EDGE('',*,*,#222550,.F.); #310456=ORIENTED_EDGE('',*,*,#222551,.T.); #310457=ORIENTED_EDGE('',*,*,#222552,.F.); #310458=ORIENTED_EDGE('',*,*,#222551,.F.); #310459=ORIENTED_EDGE('',*,*,#222553,.F.); #310460=ORIENTED_EDGE('',*,*,#222554,.T.); #310461=ORIENTED_EDGE('',*,*,#222555,.F.); #310462=ORIENTED_EDGE('',*,*,#222554,.F.); #310463=ORIENTED_EDGE('',*,*,#222556,.F.); #310464=ORIENTED_EDGE('',*,*,#222557,.T.); #310465=ORIENTED_EDGE('',*,*,#222558,.F.); #310466=ORIENTED_EDGE('',*,*,#222557,.F.); #310467=ORIENTED_EDGE('',*,*,#222559,.F.); #310468=ORIENTED_EDGE('',*,*,#222560,.T.); #310469=ORIENTED_EDGE('',*,*,#222561,.F.); #310470=ORIENTED_EDGE('',*,*,#222560,.F.); #310471=ORIENTED_EDGE('',*,*,#222562,.F.); #310472=ORIENTED_EDGE('',*,*,#222563,.T.); #310473=ORIENTED_EDGE('',*,*,#222564,.F.); #310474=ORIENTED_EDGE('',*,*,#222563,.F.); #310475=ORIENTED_EDGE('',*,*,#222565,.F.); #310476=ORIENTED_EDGE('',*,*,#222566,.T.); #310477=ORIENTED_EDGE('',*,*,#222567,.F.); #310478=ORIENTED_EDGE('',*,*,#222566,.F.); #310479=ORIENTED_EDGE('',*,*,#222568,.F.); #310480=ORIENTED_EDGE('',*,*,#222569,.T.); #310481=ORIENTED_EDGE('',*,*,#222570,.F.); #310482=ORIENTED_EDGE('',*,*,#222569,.F.); #310483=ORIENTED_EDGE('',*,*,#222571,.F.); #310484=ORIENTED_EDGE('',*,*,#222572,.T.); #310485=ORIENTED_EDGE('',*,*,#222573,.F.); #310486=ORIENTED_EDGE('',*,*,#222572,.F.); #310487=ORIENTED_EDGE('',*,*,#222573,.T.); #310488=ORIENTED_EDGE('',*,*,#221566,.T.); #310489=ORIENTED_EDGE('',*,*,#221569,.T.); #310490=ORIENTED_EDGE('',*,*,#221574,.T.); #310491=ORIENTED_EDGE('',*,*,#221577,.T.); #310492=ORIENTED_EDGE('',*,*,#221580,.T.); #310493=ORIENTED_EDGE('',*,*,#221583,.T.); #310494=ORIENTED_EDGE('',*,*,#221586,.T.); #310495=ORIENTED_EDGE('',*,*,#221589,.T.); #310496=ORIENTED_EDGE('',*,*,#221592,.T.); #310497=ORIENTED_EDGE('',*,*,#221595,.T.); #310498=ORIENTED_EDGE('',*,*,#221598,.T.); #310499=ORIENTED_EDGE('',*,*,#221601,.T.); #310500=ORIENTED_EDGE('',*,*,#221604,.T.); #310501=ORIENTED_EDGE('',*,*,#221607,.T.); #310502=ORIENTED_EDGE('',*,*,#221610,.T.); #310503=ORIENTED_EDGE('',*,*,#221613,.T.); #310504=ORIENTED_EDGE('',*,*,#221616,.T.); #310505=ORIENTED_EDGE('',*,*,#221619,.T.); #310506=ORIENTED_EDGE('',*,*,#221622,.T.); #310507=ORIENTED_EDGE('',*,*,#221625,.T.); #310508=ORIENTED_EDGE('',*,*,#221628,.T.); #310509=ORIENTED_EDGE('',*,*,#221631,.T.); #310510=ORIENTED_EDGE('',*,*,#221634,.T.); #310511=ORIENTED_EDGE('',*,*,#221637,.T.); #310512=ORIENTED_EDGE('',*,*,#221640,.T.); #310513=ORIENTED_EDGE('',*,*,#221643,.T.); #310514=ORIENTED_EDGE('',*,*,#221646,.T.); #310515=ORIENTED_EDGE('',*,*,#221649,.T.); #310516=ORIENTED_EDGE('',*,*,#221652,.T.); #310517=ORIENTED_EDGE('',*,*,#221655,.T.); #310518=ORIENTED_EDGE('',*,*,#221658,.T.); #310519=ORIENTED_EDGE('',*,*,#221661,.T.); #310520=ORIENTED_EDGE('',*,*,#221664,.T.); #310521=ORIENTED_EDGE('',*,*,#221667,.T.); #310522=ORIENTED_EDGE('',*,*,#221670,.T.); #310523=ORIENTED_EDGE('',*,*,#221673,.T.); #310524=ORIENTED_EDGE('',*,*,#221676,.T.); #310525=ORIENTED_EDGE('',*,*,#221679,.T.); #310526=ORIENTED_EDGE('',*,*,#221682,.T.); #310527=ORIENTED_EDGE('',*,*,#221685,.T.); #310528=ORIENTED_EDGE('',*,*,#221688,.T.); #310529=ORIENTED_EDGE('',*,*,#221691,.T.); #310530=ORIENTED_EDGE('',*,*,#221694,.T.); #310531=ORIENTED_EDGE('',*,*,#221697,.T.); #310532=ORIENTED_EDGE('',*,*,#221700,.T.); #310533=ORIENTED_EDGE('',*,*,#221703,.T.); #310534=ORIENTED_EDGE('',*,*,#221706,.T.); #310535=ORIENTED_EDGE('',*,*,#221709,.T.); #310536=ORIENTED_EDGE('',*,*,#221712,.T.); #310537=ORIENTED_EDGE('',*,*,#221715,.T.); #310538=ORIENTED_EDGE('',*,*,#221718,.T.); #310539=ORIENTED_EDGE('',*,*,#221721,.T.); #310540=ORIENTED_EDGE('',*,*,#221724,.T.); #310541=ORIENTED_EDGE('',*,*,#221727,.T.); #310542=ORIENTED_EDGE('',*,*,#221730,.T.); #310543=ORIENTED_EDGE('',*,*,#221733,.T.); #310544=ORIENTED_EDGE('',*,*,#221736,.T.); #310545=ORIENTED_EDGE('',*,*,#221739,.T.); #310546=ORIENTED_EDGE('',*,*,#221742,.T.); #310547=ORIENTED_EDGE('',*,*,#221745,.T.); #310548=ORIENTED_EDGE('',*,*,#221748,.T.); #310549=ORIENTED_EDGE('',*,*,#221751,.T.); #310550=ORIENTED_EDGE('',*,*,#221754,.T.); #310551=ORIENTED_EDGE('',*,*,#221757,.T.); #310552=ORIENTED_EDGE('',*,*,#221760,.T.); #310553=ORIENTED_EDGE('',*,*,#221763,.T.); #310554=ORIENTED_EDGE('',*,*,#221766,.T.); #310555=ORIENTED_EDGE('',*,*,#221769,.T.); #310556=ORIENTED_EDGE('',*,*,#221772,.T.); #310557=ORIENTED_EDGE('',*,*,#221775,.T.); #310558=ORIENTED_EDGE('',*,*,#221778,.T.); #310559=ORIENTED_EDGE('',*,*,#221781,.T.); #310560=ORIENTED_EDGE('',*,*,#221784,.T.); #310561=ORIENTED_EDGE('',*,*,#221787,.T.); #310562=ORIENTED_EDGE('',*,*,#221790,.T.); #310563=ORIENTED_EDGE('',*,*,#221793,.T.); #310564=ORIENTED_EDGE('',*,*,#221796,.T.); #310565=ORIENTED_EDGE('',*,*,#221799,.T.); #310566=ORIENTED_EDGE('',*,*,#221802,.T.); #310567=ORIENTED_EDGE('',*,*,#221805,.T.); #310568=ORIENTED_EDGE('',*,*,#221808,.T.); #310569=ORIENTED_EDGE('',*,*,#221811,.T.); #310570=ORIENTED_EDGE('',*,*,#221814,.T.); #310571=ORIENTED_EDGE('',*,*,#221817,.T.); #310572=ORIENTED_EDGE('',*,*,#221820,.T.); #310573=ORIENTED_EDGE('',*,*,#221823,.T.); #310574=ORIENTED_EDGE('',*,*,#221826,.T.); #310575=ORIENTED_EDGE('',*,*,#221829,.T.); #310576=ORIENTED_EDGE('',*,*,#221832,.T.); #310577=ORIENTED_EDGE('',*,*,#221835,.T.); #310578=ORIENTED_EDGE('',*,*,#221838,.T.); #310579=ORIENTED_EDGE('',*,*,#221841,.T.); #310580=ORIENTED_EDGE('',*,*,#221844,.T.); #310581=ORIENTED_EDGE('',*,*,#221847,.T.); #310582=ORIENTED_EDGE('',*,*,#221850,.T.); #310583=ORIENTED_EDGE('',*,*,#221853,.T.); #310584=ORIENTED_EDGE('',*,*,#221856,.T.); #310585=ORIENTED_EDGE('',*,*,#221859,.T.); #310586=ORIENTED_EDGE('',*,*,#221862,.T.); #310587=ORIENTED_EDGE('',*,*,#221865,.T.); #310588=ORIENTED_EDGE('',*,*,#221868,.T.); #310589=ORIENTED_EDGE('',*,*,#221871,.T.); #310590=ORIENTED_EDGE('',*,*,#221874,.T.); #310591=ORIENTED_EDGE('',*,*,#221877,.T.); #310592=ORIENTED_EDGE('',*,*,#221880,.T.); #310593=ORIENTED_EDGE('',*,*,#221883,.T.); #310594=ORIENTED_EDGE('',*,*,#221886,.T.); #310595=ORIENTED_EDGE('',*,*,#221889,.T.); #310596=ORIENTED_EDGE('',*,*,#221892,.T.); #310597=ORIENTED_EDGE('',*,*,#221895,.T.); #310598=ORIENTED_EDGE('',*,*,#221898,.T.); #310599=ORIENTED_EDGE('',*,*,#221901,.T.); #310600=ORIENTED_EDGE('',*,*,#221904,.T.); #310601=ORIENTED_EDGE('',*,*,#221907,.T.); #310602=ORIENTED_EDGE('',*,*,#221910,.T.); #310603=ORIENTED_EDGE('',*,*,#221913,.T.); #310604=ORIENTED_EDGE('',*,*,#221916,.T.); #310605=ORIENTED_EDGE('',*,*,#221919,.T.); #310606=ORIENTED_EDGE('',*,*,#221922,.T.); #310607=ORIENTED_EDGE('',*,*,#221925,.T.); #310608=ORIENTED_EDGE('',*,*,#221928,.T.); #310609=ORIENTED_EDGE('',*,*,#221931,.T.); #310610=ORIENTED_EDGE('',*,*,#221934,.T.); #310611=ORIENTED_EDGE('',*,*,#221937,.T.); #310612=ORIENTED_EDGE('',*,*,#221940,.T.); #310613=ORIENTED_EDGE('',*,*,#221943,.T.); #310614=ORIENTED_EDGE('',*,*,#221946,.T.); #310615=ORIENTED_EDGE('',*,*,#221949,.T.); #310616=ORIENTED_EDGE('',*,*,#221952,.T.); #310617=ORIENTED_EDGE('',*,*,#221955,.T.); #310618=ORIENTED_EDGE('',*,*,#221958,.T.); #310619=ORIENTED_EDGE('',*,*,#221961,.T.); #310620=ORIENTED_EDGE('',*,*,#221964,.T.); #310621=ORIENTED_EDGE('',*,*,#221967,.T.); #310622=ORIENTED_EDGE('',*,*,#221970,.T.); #310623=ORIENTED_EDGE('',*,*,#221973,.T.); #310624=ORIENTED_EDGE('',*,*,#221976,.T.); #310625=ORIENTED_EDGE('',*,*,#221979,.T.); #310626=ORIENTED_EDGE('',*,*,#221982,.T.); #310627=ORIENTED_EDGE('',*,*,#221985,.T.); #310628=ORIENTED_EDGE('',*,*,#221988,.T.); #310629=ORIENTED_EDGE('',*,*,#221991,.T.); #310630=ORIENTED_EDGE('',*,*,#221994,.T.); #310631=ORIENTED_EDGE('',*,*,#221997,.T.); #310632=ORIENTED_EDGE('',*,*,#222000,.T.); #310633=ORIENTED_EDGE('',*,*,#222003,.T.); #310634=ORIENTED_EDGE('',*,*,#222006,.T.); #310635=ORIENTED_EDGE('',*,*,#222009,.T.); #310636=ORIENTED_EDGE('',*,*,#222012,.T.); #310637=ORIENTED_EDGE('',*,*,#222015,.T.); #310638=ORIENTED_EDGE('',*,*,#222018,.T.); #310639=ORIENTED_EDGE('',*,*,#222021,.T.); #310640=ORIENTED_EDGE('',*,*,#222024,.T.); #310641=ORIENTED_EDGE('',*,*,#222027,.T.); #310642=ORIENTED_EDGE('',*,*,#222030,.T.); #310643=ORIENTED_EDGE('',*,*,#222033,.T.); #310644=ORIENTED_EDGE('',*,*,#222036,.T.); #310645=ORIENTED_EDGE('',*,*,#222039,.T.); #310646=ORIENTED_EDGE('',*,*,#222042,.T.); #310647=ORIENTED_EDGE('',*,*,#222045,.T.); #310648=ORIENTED_EDGE('',*,*,#222048,.T.); #310649=ORIENTED_EDGE('',*,*,#222051,.T.); #310650=ORIENTED_EDGE('',*,*,#222054,.T.); #310651=ORIENTED_EDGE('',*,*,#222062,.T.); #310652=ORIENTED_EDGE('',*,*,#222065,.T.); #310653=ORIENTED_EDGE('',*,*,#222055,.T.); #310654=ORIENTED_EDGE('',*,*,#222059,.T.); #310655=ORIENTED_EDGE('',*,*,#222069,.T.); #310656=ORIENTED_EDGE('',*,*,#222077,.T.); #310657=ORIENTED_EDGE('',*,*,#222080,.T.); #310658=ORIENTED_EDGE('',*,*,#222070,.T.); #310659=ORIENTED_EDGE('',*,*,#222074,.T.); #310660=ORIENTED_EDGE('',*,*,#222084,.T.); #310661=ORIENTED_EDGE('',*,*,#222087,.T.); #310662=ORIENTED_EDGE('',*,*,#222090,.T.); #310663=ORIENTED_EDGE('',*,*,#222098,.T.); #310664=ORIENTED_EDGE('',*,*,#222101,.T.); #310665=ORIENTED_EDGE('',*,*,#222091,.T.); #310666=ORIENTED_EDGE('',*,*,#222095,.T.); #310667=ORIENTED_EDGE('',*,*,#222105,.T.); #310668=ORIENTED_EDGE('',*,*,#222108,.T.); #310669=ORIENTED_EDGE('',*,*,#222111,.T.); #310670=ORIENTED_EDGE('',*,*,#222114,.T.); #310671=ORIENTED_EDGE('',*,*,#222117,.T.); #310672=ORIENTED_EDGE('',*,*,#222120,.T.); #310673=ORIENTED_EDGE('',*,*,#222123,.T.); #310674=ORIENTED_EDGE('',*,*,#222126,.T.); #310675=ORIENTED_EDGE('',*,*,#222129,.T.); #310676=ORIENTED_EDGE('',*,*,#222132,.T.); #310677=ORIENTED_EDGE('',*,*,#222140,.T.); #310678=ORIENTED_EDGE('',*,*,#222143,.T.); #310679=ORIENTED_EDGE('',*,*,#222133,.T.); #310680=ORIENTED_EDGE('',*,*,#222137,.T.); #310681=ORIENTED_EDGE('',*,*,#222147,.T.); #310682=ORIENTED_EDGE('',*,*,#222155,.T.); #310683=ORIENTED_EDGE('',*,*,#222158,.T.); #310684=ORIENTED_EDGE('',*,*,#222148,.T.); #310685=ORIENTED_EDGE('',*,*,#222152,.T.); #310686=ORIENTED_EDGE('',*,*,#222162,.T.); #310687=ORIENTED_EDGE('',*,*,#222170,.T.); #310688=ORIENTED_EDGE('',*,*,#222173,.T.); #310689=ORIENTED_EDGE('',*,*,#222163,.T.); #310690=ORIENTED_EDGE('',*,*,#222167,.T.); #310691=ORIENTED_EDGE('',*,*,#222177,.T.); #310692=ORIENTED_EDGE('',*,*,#222180,.T.); #310693=ORIENTED_EDGE('',*,*,#222183,.T.); #310694=ORIENTED_EDGE('',*,*,#222186,.T.); #310695=ORIENTED_EDGE('',*,*,#222189,.T.); #310696=ORIENTED_EDGE('',*,*,#222192,.T.); #310697=ORIENTED_EDGE('',*,*,#222195,.T.); #310698=ORIENTED_EDGE('',*,*,#222198,.T.); #310699=ORIENTED_EDGE('',*,*,#222201,.T.); #310700=ORIENTED_EDGE('',*,*,#222204,.T.); #310701=ORIENTED_EDGE('',*,*,#222207,.T.); #310702=ORIENTED_EDGE('',*,*,#222210,.T.); #310703=ORIENTED_EDGE('',*,*,#222213,.T.); #310704=ORIENTED_EDGE('',*,*,#222216,.T.); #310705=ORIENTED_EDGE('',*,*,#222219,.T.); #310706=ORIENTED_EDGE('',*,*,#222222,.T.); #310707=ORIENTED_EDGE('',*,*,#222225,.T.); #310708=ORIENTED_EDGE('',*,*,#222228,.T.); #310709=ORIENTED_EDGE('',*,*,#222231,.T.); #310710=ORIENTED_EDGE('',*,*,#222234,.T.); #310711=ORIENTED_EDGE('',*,*,#222237,.T.); #310712=ORIENTED_EDGE('',*,*,#222240,.T.); #310713=ORIENTED_EDGE('',*,*,#222243,.T.); #310714=ORIENTED_EDGE('',*,*,#222246,.T.); #310715=ORIENTED_EDGE('',*,*,#222249,.T.); #310716=ORIENTED_EDGE('',*,*,#222252,.T.); #310717=ORIENTED_EDGE('',*,*,#222255,.T.); #310718=ORIENTED_EDGE('',*,*,#222258,.T.); #310719=ORIENTED_EDGE('',*,*,#222261,.T.); #310720=ORIENTED_EDGE('',*,*,#222264,.T.); #310721=ORIENTED_EDGE('',*,*,#222267,.T.); #310722=ORIENTED_EDGE('',*,*,#222270,.T.); #310723=ORIENTED_EDGE('',*,*,#222273,.T.); #310724=ORIENTED_EDGE('',*,*,#222276,.T.); #310725=ORIENTED_EDGE('',*,*,#222279,.T.); #310726=ORIENTED_EDGE('',*,*,#222282,.T.); #310727=ORIENTED_EDGE('',*,*,#222285,.T.); #310728=ORIENTED_EDGE('',*,*,#222288,.T.); #310729=ORIENTED_EDGE('',*,*,#222291,.T.); #310730=ORIENTED_EDGE('',*,*,#222294,.T.); #310731=ORIENTED_EDGE('',*,*,#222297,.T.); #310732=ORIENTED_EDGE('',*,*,#222300,.T.); #310733=ORIENTED_EDGE('',*,*,#222303,.T.); #310734=ORIENTED_EDGE('',*,*,#222306,.T.); #310735=ORIENTED_EDGE('',*,*,#222309,.T.); #310736=ORIENTED_EDGE('',*,*,#222312,.T.); #310737=ORIENTED_EDGE('',*,*,#222315,.T.); #310738=ORIENTED_EDGE('',*,*,#222318,.T.); #310739=ORIENTED_EDGE('',*,*,#222321,.T.); #310740=ORIENTED_EDGE('',*,*,#222324,.T.); #310741=ORIENTED_EDGE('',*,*,#222327,.T.); #310742=ORIENTED_EDGE('',*,*,#222330,.T.); #310743=ORIENTED_EDGE('',*,*,#222333,.T.); #310744=ORIENTED_EDGE('',*,*,#222336,.T.); #310745=ORIENTED_EDGE('',*,*,#222339,.T.); #310746=ORIENTED_EDGE('',*,*,#222342,.T.); #310747=ORIENTED_EDGE('',*,*,#222345,.T.); #310748=ORIENTED_EDGE('',*,*,#222348,.T.); #310749=ORIENTED_EDGE('',*,*,#222351,.T.); #310750=ORIENTED_EDGE('',*,*,#222354,.T.); #310751=ORIENTED_EDGE('',*,*,#222357,.T.); #310752=ORIENTED_EDGE('',*,*,#222360,.T.); #310753=ORIENTED_EDGE('',*,*,#222363,.T.); #310754=ORIENTED_EDGE('',*,*,#222366,.T.); #310755=ORIENTED_EDGE('',*,*,#222369,.T.); #310756=ORIENTED_EDGE('',*,*,#222372,.T.); #310757=ORIENTED_EDGE('',*,*,#222375,.T.); #310758=ORIENTED_EDGE('',*,*,#222378,.T.); #310759=ORIENTED_EDGE('',*,*,#222381,.T.); #310760=ORIENTED_EDGE('',*,*,#222384,.T.); #310761=ORIENTED_EDGE('',*,*,#222387,.T.); #310762=ORIENTED_EDGE('',*,*,#222390,.T.); #310763=ORIENTED_EDGE('',*,*,#222393,.T.); #310764=ORIENTED_EDGE('',*,*,#222396,.T.); #310765=ORIENTED_EDGE('',*,*,#222399,.T.); #310766=ORIENTED_EDGE('',*,*,#222402,.T.); #310767=ORIENTED_EDGE('',*,*,#222405,.T.); #310768=ORIENTED_EDGE('',*,*,#222408,.T.); #310769=ORIENTED_EDGE('',*,*,#222411,.T.); #310770=ORIENTED_EDGE('',*,*,#222414,.T.); #310771=ORIENTED_EDGE('',*,*,#222417,.T.); #310772=ORIENTED_EDGE('',*,*,#222420,.T.); #310773=ORIENTED_EDGE('',*,*,#222423,.T.); #310774=ORIENTED_EDGE('',*,*,#222426,.T.); #310775=ORIENTED_EDGE('',*,*,#222429,.T.); #310776=ORIENTED_EDGE('',*,*,#222432,.T.); #310777=ORIENTED_EDGE('',*,*,#222435,.T.); #310778=ORIENTED_EDGE('',*,*,#222438,.T.); #310779=ORIENTED_EDGE('',*,*,#222441,.T.); #310780=ORIENTED_EDGE('',*,*,#222444,.T.); #310781=ORIENTED_EDGE('',*,*,#222447,.T.); #310782=ORIENTED_EDGE('',*,*,#222450,.T.); #310783=ORIENTED_EDGE('',*,*,#222453,.T.); #310784=ORIENTED_EDGE('',*,*,#222456,.T.); #310785=ORIENTED_EDGE('',*,*,#222459,.T.); #310786=ORIENTED_EDGE('',*,*,#222462,.T.); #310787=ORIENTED_EDGE('',*,*,#222465,.T.); #310788=ORIENTED_EDGE('',*,*,#222468,.T.); #310789=ORIENTED_EDGE('',*,*,#222471,.T.); #310790=ORIENTED_EDGE('',*,*,#222474,.T.); #310791=ORIENTED_EDGE('',*,*,#222477,.T.); #310792=ORIENTED_EDGE('',*,*,#222480,.T.); #310793=ORIENTED_EDGE('',*,*,#222483,.T.); #310794=ORIENTED_EDGE('',*,*,#222486,.T.); #310795=ORIENTED_EDGE('',*,*,#222489,.T.); #310796=ORIENTED_EDGE('',*,*,#222492,.T.); #310797=ORIENTED_EDGE('',*,*,#222495,.T.); #310798=ORIENTED_EDGE('',*,*,#222498,.T.); #310799=ORIENTED_EDGE('',*,*,#222501,.T.); #310800=ORIENTED_EDGE('',*,*,#222504,.T.); #310801=ORIENTED_EDGE('',*,*,#222507,.T.); #310802=ORIENTED_EDGE('',*,*,#222510,.T.); #310803=ORIENTED_EDGE('',*,*,#222513,.T.); #310804=ORIENTED_EDGE('',*,*,#222516,.T.); #310805=ORIENTED_EDGE('',*,*,#222519,.T.); #310806=ORIENTED_EDGE('',*,*,#222522,.T.); #310807=ORIENTED_EDGE('',*,*,#222525,.T.); #310808=ORIENTED_EDGE('',*,*,#222528,.T.); #310809=ORIENTED_EDGE('',*,*,#222531,.T.); #310810=ORIENTED_EDGE('',*,*,#222534,.T.); #310811=ORIENTED_EDGE('',*,*,#222537,.T.); #310812=ORIENTED_EDGE('',*,*,#222540,.T.); #310813=ORIENTED_EDGE('',*,*,#222543,.T.); #310814=ORIENTED_EDGE('',*,*,#222546,.T.); #310815=ORIENTED_EDGE('',*,*,#222549,.T.); #310816=ORIENTED_EDGE('',*,*,#222552,.T.); #310817=ORIENTED_EDGE('',*,*,#222555,.T.); #310818=ORIENTED_EDGE('',*,*,#222558,.T.); #310819=ORIENTED_EDGE('',*,*,#222561,.T.); #310820=ORIENTED_EDGE('',*,*,#222564,.T.); #310821=ORIENTED_EDGE('',*,*,#222567,.T.); #310822=ORIENTED_EDGE('',*,*,#222570,.T.); #310823=ORIENTED_EDGE('',*,*,#222571,.T.); #310824=ORIENTED_EDGE('',*,*,#221568,.T.); #310825=ORIENTED_EDGE('',*,*,#221571,.T.); #310826=ORIENTED_EDGE('',*,*,#221572,.T.); #310827=ORIENTED_EDGE('',*,*,#221575,.T.); #310828=ORIENTED_EDGE('',*,*,#221578,.T.); #310829=ORIENTED_EDGE('',*,*,#221581,.T.); #310830=ORIENTED_EDGE('',*,*,#221584,.T.); #310831=ORIENTED_EDGE('',*,*,#221587,.T.); #310832=ORIENTED_EDGE('',*,*,#221590,.T.); #310833=ORIENTED_EDGE('',*,*,#221593,.T.); #310834=ORIENTED_EDGE('',*,*,#221596,.T.); #310835=ORIENTED_EDGE('',*,*,#221599,.T.); #310836=ORIENTED_EDGE('',*,*,#221602,.T.); #310837=ORIENTED_EDGE('',*,*,#221605,.T.); #310838=ORIENTED_EDGE('',*,*,#221608,.T.); #310839=ORIENTED_EDGE('',*,*,#221611,.T.); #310840=ORIENTED_EDGE('',*,*,#221614,.T.); #310841=ORIENTED_EDGE('',*,*,#221617,.T.); #310842=ORIENTED_EDGE('',*,*,#221620,.T.); #310843=ORIENTED_EDGE('',*,*,#221623,.T.); #310844=ORIENTED_EDGE('',*,*,#221626,.T.); #310845=ORIENTED_EDGE('',*,*,#221629,.T.); #310846=ORIENTED_EDGE('',*,*,#221632,.T.); #310847=ORIENTED_EDGE('',*,*,#221635,.T.); #310848=ORIENTED_EDGE('',*,*,#221638,.T.); #310849=ORIENTED_EDGE('',*,*,#221641,.T.); #310850=ORIENTED_EDGE('',*,*,#221644,.T.); #310851=ORIENTED_EDGE('',*,*,#221647,.T.); #310852=ORIENTED_EDGE('',*,*,#221650,.T.); #310853=ORIENTED_EDGE('',*,*,#221653,.T.); #310854=ORIENTED_EDGE('',*,*,#221656,.T.); #310855=ORIENTED_EDGE('',*,*,#221659,.T.); #310856=ORIENTED_EDGE('',*,*,#221662,.T.); #310857=ORIENTED_EDGE('',*,*,#221665,.T.); #310858=ORIENTED_EDGE('',*,*,#221668,.T.); #310859=ORIENTED_EDGE('',*,*,#221671,.T.); #310860=ORIENTED_EDGE('',*,*,#221674,.T.); #310861=ORIENTED_EDGE('',*,*,#221677,.T.); #310862=ORIENTED_EDGE('',*,*,#221680,.T.); #310863=ORIENTED_EDGE('',*,*,#221683,.T.); #310864=ORIENTED_EDGE('',*,*,#221686,.T.); #310865=ORIENTED_EDGE('',*,*,#221689,.T.); #310866=ORIENTED_EDGE('',*,*,#221692,.T.); #310867=ORIENTED_EDGE('',*,*,#221695,.T.); #310868=ORIENTED_EDGE('',*,*,#221698,.T.); #310869=ORIENTED_EDGE('',*,*,#221701,.T.); #310870=ORIENTED_EDGE('',*,*,#221704,.T.); #310871=ORIENTED_EDGE('',*,*,#221707,.T.); #310872=ORIENTED_EDGE('',*,*,#221710,.T.); #310873=ORIENTED_EDGE('',*,*,#221713,.T.); #310874=ORIENTED_EDGE('',*,*,#221716,.T.); #310875=ORIENTED_EDGE('',*,*,#221719,.T.); #310876=ORIENTED_EDGE('',*,*,#221722,.T.); #310877=ORIENTED_EDGE('',*,*,#221725,.T.); #310878=ORIENTED_EDGE('',*,*,#221728,.T.); #310879=ORIENTED_EDGE('',*,*,#221731,.T.); #310880=ORIENTED_EDGE('',*,*,#221734,.T.); #310881=ORIENTED_EDGE('',*,*,#221737,.T.); #310882=ORIENTED_EDGE('',*,*,#221740,.T.); #310883=ORIENTED_EDGE('',*,*,#221743,.T.); #310884=ORIENTED_EDGE('',*,*,#221746,.T.); #310885=ORIENTED_EDGE('',*,*,#221749,.T.); #310886=ORIENTED_EDGE('',*,*,#221752,.T.); #310887=ORIENTED_EDGE('',*,*,#221755,.T.); #310888=ORIENTED_EDGE('',*,*,#221758,.T.); #310889=ORIENTED_EDGE('',*,*,#221761,.T.); #310890=ORIENTED_EDGE('',*,*,#221764,.T.); #310891=ORIENTED_EDGE('',*,*,#221767,.T.); #310892=ORIENTED_EDGE('',*,*,#221770,.T.); #310893=ORIENTED_EDGE('',*,*,#221773,.T.); #310894=ORIENTED_EDGE('',*,*,#221776,.T.); #310895=ORIENTED_EDGE('',*,*,#221779,.T.); #310896=ORIENTED_EDGE('',*,*,#221782,.T.); #310897=ORIENTED_EDGE('',*,*,#221785,.T.); #310898=ORIENTED_EDGE('',*,*,#221788,.T.); #310899=ORIENTED_EDGE('',*,*,#221791,.T.); #310900=ORIENTED_EDGE('',*,*,#221794,.T.); #310901=ORIENTED_EDGE('',*,*,#221797,.T.); #310902=ORIENTED_EDGE('',*,*,#221800,.T.); #310903=ORIENTED_EDGE('',*,*,#221803,.T.); #310904=ORIENTED_EDGE('',*,*,#221806,.T.); #310905=ORIENTED_EDGE('',*,*,#221809,.T.); #310906=ORIENTED_EDGE('',*,*,#221812,.T.); #310907=ORIENTED_EDGE('',*,*,#221815,.T.); #310908=ORIENTED_EDGE('',*,*,#221818,.T.); #310909=ORIENTED_EDGE('',*,*,#221821,.T.); #310910=ORIENTED_EDGE('',*,*,#221824,.T.); #310911=ORIENTED_EDGE('',*,*,#221827,.T.); #310912=ORIENTED_EDGE('',*,*,#221830,.T.); #310913=ORIENTED_EDGE('',*,*,#221833,.T.); #310914=ORIENTED_EDGE('',*,*,#221836,.T.); #310915=ORIENTED_EDGE('',*,*,#221839,.T.); #310916=ORIENTED_EDGE('',*,*,#221842,.T.); #310917=ORIENTED_EDGE('',*,*,#221845,.T.); #310918=ORIENTED_EDGE('',*,*,#221848,.T.); #310919=ORIENTED_EDGE('',*,*,#221851,.T.); #310920=ORIENTED_EDGE('',*,*,#221854,.T.); #310921=ORIENTED_EDGE('',*,*,#221857,.T.); #310922=ORIENTED_EDGE('',*,*,#221860,.T.); #310923=ORIENTED_EDGE('',*,*,#221863,.T.); #310924=ORIENTED_EDGE('',*,*,#221866,.T.); #310925=ORIENTED_EDGE('',*,*,#221869,.T.); #310926=ORIENTED_EDGE('',*,*,#221872,.T.); #310927=ORIENTED_EDGE('',*,*,#221875,.T.); #310928=ORIENTED_EDGE('',*,*,#221878,.T.); #310929=ORIENTED_EDGE('',*,*,#221881,.T.); #310930=ORIENTED_EDGE('',*,*,#221884,.T.); #310931=ORIENTED_EDGE('',*,*,#221887,.T.); #310932=ORIENTED_EDGE('',*,*,#221890,.T.); #310933=ORIENTED_EDGE('',*,*,#221893,.T.); #310934=ORIENTED_EDGE('',*,*,#221896,.T.); #310935=ORIENTED_EDGE('',*,*,#221899,.T.); #310936=ORIENTED_EDGE('',*,*,#221902,.T.); #310937=ORIENTED_EDGE('',*,*,#221905,.T.); #310938=ORIENTED_EDGE('',*,*,#221908,.T.); #310939=ORIENTED_EDGE('',*,*,#221911,.T.); #310940=ORIENTED_EDGE('',*,*,#221914,.T.); #310941=ORIENTED_EDGE('',*,*,#221917,.T.); #310942=ORIENTED_EDGE('',*,*,#221920,.T.); #310943=ORIENTED_EDGE('',*,*,#221923,.T.); #310944=ORIENTED_EDGE('',*,*,#221926,.T.); #310945=ORIENTED_EDGE('',*,*,#221929,.T.); #310946=ORIENTED_EDGE('',*,*,#221932,.T.); #310947=ORIENTED_EDGE('',*,*,#221935,.T.); #310948=ORIENTED_EDGE('',*,*,#221938,.T.); #310949=ORIENTED_EDGE('',*,*,#221941,.T.); #310950=ORIENTED_EDGE('',*,*,#221944,.T.); #310951=ORIENTED_EDGE('',*,*,#221947,.T.); #310952=ORIENTED_EDGE('',*,*,#221950,.T.); #310953=ORIENTED_EDGE('',*,*,#221953,.T.); #310954=ORIENTED_EDGE('',*,*,#221956,.T.); #310955=ORIENTED_EDGE('',*,*,#221959,.T.); #310956=ORIENTED_EDGE('',*,*,#221962,.T.); #310957=ORIENTED_EDGE('',*,*,#221965,.T.); #310958=ORIENTED_EDGE('',*,*,#221968,.T.); #310959=ORIENTED_EDGE('',*,*,#221971,.T.); #310960=ORIENTED_EDGE('',*,*,#221974,.T.); #310961=ORIENTED_EDGE('',*,*,#221977,.T.); #310962=ORIENTED_EDGE('',*,*,#221980,.T.); #310963=ORIENTED_EDGE('',*,*,#221983,.T.); #310964=ORIENTED_EDGE('',*,*,#221986,.T.); #310965=ORIENTED_EDGE('',*,*,#221989,.T.); #310966=ORIENTED_EDGE('',*,*,#221992,.T.); #310967=ORIENTED_EDGE('',*,*,#221995,.T.); #310968=ORIENTED_EDGE('',*,*,#221998,.T.); #310969=ORIENTED_EDGE('',*,*,#222001,.T.); #310970=ORIENTED_EDGE('',*,*,#222004,.T.); #310971=ORIENTED_EDGE('',*,*,#222007,.T.); #310972=ORIENTED_EDGE('',*,*,#222010,.T.); #310973=ORIENTED_EDGE('',*,*,#222013,.T.); #310974=ORIENTED_EDGE('',*,*,#222016,.T.); #310975=ORIENTED_EDGE('',*,*,#222019,.T.); #310976=ORIENTED_EDGE('',*,*,#222022,.T.); #310977=ORIENTED_EDGE('',*,*,#222025,.T.); #310978=ORIENTED_EDGE('',*,*,#222028,.T.); #310979=ORIENTED_EDGE('',*,*,#222031,.T.); #310980=ORIENTED_EDGE('',*,*,#222034,.T.); #310981=ORIENTED_EDGE('',*,*,#222037,.T.); #310982=ORIENTED_EDGE('',*,*,#222040,.T.); #310983=ORIENTED_EDGE('',*,*,#222043,.T.); #310984=ORIENTED_EDGE('',*,*,#222046,.T.); #310985=ORIENTED_EDGE('',*,*,#222049,.T.); #310986=ORIENTED_EDGE('',*,*,#222052,.T.); #310987=ORIENTED_EDGE('',*,*,#222063,.T.); #310988=ORIENTED_EDGE('',*,*,#222060,.T.); #310989=ORIENTED_EDGE('',*,*,#222057,.T.); #310990=ORIENTED_EDGE('',*,*,#222066,.T.); #310991=ORIENTED_EDGE('',*,*,#222067,.T.); #310992=ORIENTED_EDGE('',*,*,#222078,.T.); #310993=ORIENTED_EDGE('',*,*,#222075,.T.); #310994=ORIENTED_EDGE('',*,*,#222072,.T.); #310995=ORIENTED_EDGE('',*,*,#222081,.T.); #310996=ORIENTED_EDGE('',*,*,#222082,.T.); #310997=ORIENTED_EDGE('',*,*,#222085,.T.); #310998=ORIENTED_EDGE('',*,*,#222088,.T.); #310999=ORIENTED_EDGE('',*,*,#222099,.T.); #311000=ORIENTED_EDGE('',*,*,#222096,.T.); #311001=ORIENTED_EDGE('',*,*,#222093,.T.); #311002=ORIENTED_EDGE('',*,*,#222102,.T.); #311003=ORIENTED_EDGE('',*,*,#222103,.T.); #311004=ORIENTED_EDGE('',*,*,#222106,.T.); #311005=ORIENTED_EDGE('',*,*,#222109,.T.); #311006=ORIENTED_EDGE('',*,*,#222112,.T.); #311007=ORIENTED_EDGE('',*,*,#222115,.T.); #311008=ORIENTED_EDGE('',*,*,#222118,.T.); #311009=ORIENTED_EDGE('',*,*,#222121,.T.); #311010=ORIENTED_EDGE('',*,*,#222124,.T.); #311011=ORIENTED_EDGE('',*,*,#222127,.T.); #311012=ORIENTED_EDGE('',*,*,#222130,.T.); #311013=ORIENTED_EDGE('',*,*,#222141,.T.); #311014=ORIENTED_EDGE('',*,*,#222138,.T.); #311015=ORIENTED_EDGE('',*,*,#222135,.T.); #311016=ORIENTED_EDGE('',*,*,#222144,.T.); #311017=ORIENTED_EDGE('',*,*,#222145,.T.); #311018=ORIENTED_EDGE('',*,*,#222156,.T.); #311019=ORIENTED_EDGE('',*,*,#222153,.T.); #311020=ORIENTED_EDGE('',*,*,#222150,.T.); #311021=ORIENTED_EDGE('',*,*,#222159,.T.); #311022=ORIENTED_EDGE('',*,*,#222160,.T.); #311023=ORIENTED_EDGE('',*,*,#222171,.T.); #311024=ORIENTED_EDGE('',*,*,#222168,.T.); #311025=ORIENTED_EDGE('',*,*,#222165,.T.); #311026=ORIENTED_EDGE('',*,*,#222174,.T.); #311027=ORIENTED_EDGE('',*,*,#222175,.T.); #311028=ORIENTED_EDGE('',*,*,#222178,.T.); #311029=ORIENTED_EDGE('',*,*,#222181,.T.); #311030=ORIENTED_EDGE('',*,*,#222184,.T.); #311031=ORIENTED_EDGE('',*,*,#222187,.T.); #311032=ORIENTED_EDGE('',*,*,#222190,.T.); #311033=ORIENTED_EDGE('',*,*,#222193,.T.); #311034=ORIENTED_EDGE('',*,*,#222196,.T.); #311035=ORIENTED_EDGE('',*,*,#222199,.T.); #311036=ORIENTED_EDGE('',*,*,#222202,.T.); #311037=ORIENTED_EDGE('',*,*,#222205,.T.); #311038=ORIENTED_EDGE('',*,*,#222208,.T.); #311039=ORIENTED_EDGE('',*,*,#222211,.T.); #311040=ORIENTED_EDGE('',*,*,#222214,.T.); #311041=ORIENTED_EDGE('',*,*,#222217,.T.); #311042=ORIENTED_EDGE('',*,*,#222220,.T.); #311043=ORIENTED_EDGE('',*,*,#222223,.T.); #311044=ORIENTED_EDGE('',*,*,#222226,.T.); #311045=ORIENTED_EDGE('',*,*,#222229,.T.); #311046=ORIENTED_EDGE('',*,*,#222232,.T.); #311047=ORIENTED_EDGE('',*,*,#222235,.T.); #311048=ORIENTED_EDGE('',*,*,#222238,.T.); #311049=ORIENTED_EDGE('',*,*,#222241,.T.); #311050=ORIENTED_EDGE('',*,*,#222244,.T.); #311051=ORIENTED_EDGE('',*,*,#222247,.T.); #311052=ORIENTED_EDGE('',*,*,#222250,.T.); #311053=ORIENTED_EDGE('',*,*,#222253,.T.); #311054=ORIENTED_EDGE('',*,*,#222256,.T.); #311055=ORIENTED_EDGE('',*,*,#222259,.T.); #311056=ORIENTED_EDGE('',*,*,#222262,.T.); #311057=ORIENTED_EDGE('',*,*,#222265,.T.); #311058=ORIENTED_EDGE('',*,*,#222268,.T.); #311059=ORIENTED_EDGE('',*,*,#222271,.T.); #311060=ORIENTED_EDGE('',*,*,#222274,.T.); #311061=ORIENTED_EDGE('',*,*,#222277,.T.); #311062=ORIENTED_EDGE('',*,*,#222280,.T.); #311063=ORIENTED_EDGE('',*,*,#222283,.T.); #311064=ORIENTED_EDGE('',*,*,#222286,.T.); #311065=ORIENTED_EDGE('',*,*,#222289,.T.); #311066=ORIENTED_EDGE('',*,*,#222292,.T.); #311067=ORIENTED_EDGE('',*,*,#222295,.T.); #311068=ORIENTED_EDGE('',*,*,#222298,.T.); #311069=ORIENTED_EDGE('',*,*,#222301,.T.); #311070=ORIENTED_EDGE('',*,*,#222304,.T.); #311071=ORIENTED_EDGE('',*,*,#222307,.T.); #311072=ORIENTED_EDGE('',*,*,#222310,.T.); #311073=ORIENTED_EDGE('',*,*,#222313,.T.); #311074=ORIENTED_EDGE('',*,*,#222316,.T.); #311075=ORIENTED_EDGE('',*,*,#222319,.T.); #311076=ORIENTED_EDGE('',*,*,#222322,.T.); #311077=ORIENTED_EDGE('',*,*,#222325,.T.); #311078=ORIENTED_EDGE('',*,*,#222328,.T.); #311079=ORIENTED_EDGE('',*,*,#222331,.T.); #311080=ORIENTED_EDGE('',*,*,#222334,.T.); #311081=ORIENTED_EDGE('',*,*,#222337,.T.); #311082=ORIENTED_EDGE('',*,*,#222340,.T.); #311083=ORIENTED_EDGE('',*,*,#222343,.T.); #311084=ORIENTED_EDGE('',*,*,#222346,.T.); #311085=ORIENTED_EDGE('',*,*,#222349,.T.); #311086=ORIENTED_EDGE('',*,*,#222352,.T.); #311087=ORIENTED_EDGE('',*,*,#222355,.T.); #311088=ORIENTED_EDGE('',*,*,#222358,.T.); #311089=ORIENTED_EDGE('',*,*,#222361,.T.); #311090=ORIENTED_EDGE('',*,*,#222364,.T.); #311091=ORIENTED_EDGE('',*,*,#222367,.T.); #311092=ORIENTED_EDGE('',*,*,#222370,.T.); #311093=ORIENTED_EDGE('',*,*,#222373,.T.); #311094=ORIENTED_EDGE('',*,*,#222376,.T.); #311095=ORIENTED_EDGE('',*,*,#222379,.T.); #311096=ORIENTED_EDGE('',*,*,#222382,.T.); #311097=ORIENTED_EDGE('',*,*,#222385,.T.); #311098=ORIENTED_EDGE('',*,*,#222388,.T.); #311099=ORIENTED_EDGE('',*,*,#222391,.T.); #311100=ORIENTED_EDGE('',*,*,#222394,.T.); #311101=ORIENTED_EDGE('',*,*,#222397,.T.); #311102=ORIENTED_EDGE('',*,*,#222400,.T.); #311103=ORIENTED_EDGE('',*,*,#222403,.T.); #311104=ORIENTED_EDGE('',*,*,#222406,.T.); #311105=ORIENTED_EDGE('',*,*,#222409,.T.); #311106=ORIENTED_EDGE('',*,*,#222412,.T.); #311107=ORIENTED_EDGE('',*,*,#222415,.T.); #311108=ORIENTED_EDGE('',*,*,#222418,.T.); #311109=ORIENTED_EDGE('',*,*,#222421,.T.); #311110=ORIENTED_EDGE('',*,*,#222424,.T.); #311111=ORIENTED_EDGE('',*,*,#222427,.T.); #311112=ORIENTED_EDGE('',*,*,#222430,.T.); #311113=ORIENTED_EDGE('',*,*,#222433,.T.); #311114=ORIENTED_EDGE('',*,*,#222436,.T.); #311115=ORIENTED_EDGE('',*,*,#222439,.T.); #311116=ORIENTED_EDGE('',*,*,#222442,.T.); #311117=ORIENTED_EDGE('',*,*,#222445,.T.); #311118=ORIENTED_EDGE('',*,*,#222448,.T.); #311119=ORIENTED_EDGE('',*,*,#222451,.T.); #311120=ORIENTED_EDGE('',*,*,#222454,.T.); #311121=ORIENTED_EDGE('',*,*,#222457,.T.); #311122=ORIENTED_EDGE('',*,*,#222460,.T.); #311123=ORIENTED_EDGE('',*,*,#222463,.T.); #311124=ORIENTED_EDGE('',*,*,#222466,.T.); #311125=ORIENTED_EDGE('',*,*,#222469,.T.); #311126=ORIENTED_EDGE('',*,*,#222472,.T.); #311127=ORIENTED_EDGE('',*,*,#222475,.T.); #311128=ORIENTED_EDGE('',*,*,#222478,.T.); #311129=ORIENTED_EDGE('',*,*,#222481,.T.); #311130=ORIENTED_EDGE('',*,*,#222484,.T.); #311131=ORIENTED_EDGE('',*,*,#222487,.T.); #311132=ORIENTED_EDGE('',*,*,#222490,.T.); #311133=ORIENTED_EDGE('',*,*,#222493,.T.); #311134=ORIENTED_EDGE('',*,*,#222496,.T.); #311135=ORIENTED_EDGE('',*,*,#222499,.T.); #311136=ORIENTED_EDGE('',*,*,#222502,.T.); #311137=ORIENTED_EDGE('',*,*,#222505,.T.); #311138=ORIENTED_EDGE('',*,*,#222508,.T.); #311139=ORIENTED_EDGE('',*,*,#222511,.T.); #311140=ORIENTED_EDGE('',*,*,#222514,.T.); #311141=ORIENTED_EDGE('',*,*,#222517,.T.); #311142=ORIENTED_EDGE('',*,*,#222520,.T.); #311143=ORIENTED_EDGE('',*,*,#222523,.T.); #311144=ORIENTED_EDGE('',*,*,#222526,.T.); #311145=ORIENTED_EDGE('',*,*,#222529,.T.); #311146=ORIENTED_EDGE('',*,*,#222532,.T.); #311147=ORIENTED_EDGE('',*,*,#222535,.T.); #311148=ORIENTED_EDGE('',*,*,#222538,.T.); #311149=ORIENTED_EDGE('',*,*,#222541,.T.); #311150=ORIENTED_EDGE('',*,*,#222544,.T.); #311151=ORIENTED_EDGE('',*,*,#222547,.T.); #311152=ORIENTED_EDGE('',*,*,#222550,.T.); #311153=ORIENTED_EDGE('',*,*,#222553,.T.); #311154=ORIENTED_EDGE('',*,*,#222556,.T.); #311155=ORIENTED_EDGE('',*,*,#222559,.T.); #311156=ORIENTED_EDGE('',*,*,#222562,.T.); #311157=ORIENTED_EDGE('',*,*,#222565,.T.); #311158=ORIENTED_EDGE('',*,*,#222568,.T.); #311159=ORIENTED_EDGE('',*,*,#222574,.T.); #311160=ORIENTED_EDGE('',*,*,#222575,.T.); #311161=ORIENTED_EDGE('',*,*,#222576,.T.); #311162=ORIENTED_EDGE('',*,*,#222577,.T.); #311163=ORIENTED_EDGE('',*,*,#222575,.F.); #311164=ORIENTED_EDGE('',*,*,#222578,.T.); #311165=ORIENTED_EDGE('',*,*,#222579,.F.); #311166=ORIENTED_EDGE('',*,*,#222580,.F.); #311167=ORIENTED_EDGE('',*,*,#222574,.F.); #311168=ORIENTED_EDGE('',*,*,#222581,.F.); #311169=ORIENTED_EDGE('',*,*,#222582,.T.); #311170=ORIENTED_EDGE('',*,*,#222583,.T.); #311171=ORIENTED_EDGE('',*,*,#222584,.F.); #311172=ORIENTED_EDGE('',*,*,#222585,.T.); #311173=ORIENTED_EDGE('',*,*,#222586,.T.); #311174=ORIENTED_EDGE('',*,*,#222587,.T.); #311175=ORIENTED_EDGE('',*,*,#222588,.F.); #311176=ORIENTED_EDGE('',*,*,#222589,.T.); #311177=ORIENTED_EDGE('',*,*,#222590,.T.); #311178=ORIENTED_EDGE('',*,*,#222591,.T.); #311179=ORIENTED_EDGE('',*,*,#222592,.F.); #311180=ORIENTED_EDGE('',*,*,#222593,.T.); #311181=ORIENTED_EDGE('',*,*,#222594,.T.); #311182=ORIENTED_EDGE('',*,*,#222595,.T.); #311183=ORIENTED_EDGE('',*,*,#222596,.F.); #311184=ORIENTED_EDGE('',*,*,#222597,.T.); #311185=ORIENTED_EDGE('',*,*,#222598,.T.); #311186=ORIENTED_EDGE('',*,*,#222599,.T.); #311187=ORIENTED_EDGE('',*,*,#222600,.F.); #311188=ORIENTED_EDGE('',*,*,#222601,.F.); #311189=ORIENTED_EDGE('',*,*,#222602,.T.); #311190=ORIENTED_EDGE('',*,*,#222603,.T.); #311191=ORIENTED_EDGE('',*,*,#222604,.F.); #311192=ORIENTED_EDGE('',*,*,#222578,.F.); #311193=ORIENTED_EDGE('',*,*,#222605,.T.); #311194=ORIENTED_EDGE('',*,*,#222579,.T.); #311195=ORIENTED_EDGE('',*,*,#222604,.T.); #311196=ORIENTED_EDGE('',*,*,#222606,.T.); #311197=ORIENTED_EDGE('',*,*,#222576,.F.); #311198=ORIENTED_EDGE('',*,*,#222580,.T.); #311199=ORIENTED_EDGE('',*,*,#222605,.F.); #311200=ORIENTED_EDGE('',*,*,#222607,.T.); #311201=ORIENTED_EDGE('',*,*,#222608,.F.); #311202=ORIENTED_EDGE('',*,*,#222609,.T.); #311203=ORIENTED_EDGE('',*,*,#222606,.F.); #311204=ORIENTED_EDGE('',*,*,#222603,.F.); #311205=ORIENTED_EDGE('',*,*,#222610,.F.); #311206=ORIENTED_EDGE('',*,*,#222611,.F.); #311207=ORIENTED_EDGE('',*,*,#222612,.F.); #311208=ORIENTED_EDGE('',*,*,#222613,.F.); #311209=ORIENTED_EDGE('',*,*,#222614,.F.); #311210=ORIENTED_EDGE('',*,*,#222607,.F.); #311211=ORIENTED_EDGE('',*,*,#222615,.F.); #311212=ORIENTED_EDGE('',*,*,#222616,.T.); #311213=ORIENTED_EDGE('',*,*,#222610,.T.); #311214=ORIENTED_EDGE('',*,*,#222602,.F.); #311215=ORIENTED_EDGE('',*,*,#222617,.F.); #311216=ORIENTED_EDGE('',*,*,#222618,.T.); #311217=ORIENTED_EDGE('',*,*,#222619,.T.); #311218=ORIENTED_EDGE('',*,*,#222582,.F.); #311219=ORIENTED_EDGE('',*,*,#222620,.F.); #311220=ORIENTED_EDGE('',*,*,#222621,.F.); #311221=ORIENTED_EDGE('',*,*,#222622,.T.); #311222=ORIENTED_EDGE('',*,*,#222623,.T.); #311223=ORIENTED_EDGE('',*,*,#222624,.F.); #311224=ORIENTED_EDGE('',*,*,#222625,.F.); #311225=ORIENTED_EDGE('',*,*,#222626,.T.); #311226=ORIENTED_EDGE('',*,*,#222627,.T.); #311227=ORIENTED_EDGE('',*,*,#222628,.F.); #311228=ORIENTED_EDGE('',*,*,#222629,.F.); #311229=ORIENTED_EDGE('',*,*,#222630,.T.); #311230=ORIENTED_EDGE('',*,*,#222631,.T.); #311231=ORIENTED_EDGE('',*,*,#222632,.F.); #311232=ORIENTED_EDGE('',*,*,#222633,.F.); #311233=ORIENTED_EDGE('',*,*,#222634,.T.); #311234=ORIENTED_EDGE('',*,*,#222635,.T.); #311235=ORIENTED_EDGE('',*,*,#222636,.T.); #311236=ORIENTED_EDGE('',*,*,#222637,.F.); #311237=ORIENTED_EDGE('',*,*,#222586,.F.); #311238=ORIENTED_EDGE('',*,*,#222638,.T.); #311239=ORIENTED_EDGE('',*,*,#222639,.F.); #311240=ORIENTED_EDGE('',*,*,#222640,.T.); #311241=ORIENTED_EDGE('',*,*,#222641,.T.); #311242=ORIENTED_EDGE('',*,*,#222642,.F.); #311243=ORIENTED_EDGE('',*,*,#222643,.T.); #311244=ORIENTED_EDGE('',*,*,#222644,.F.); #311245=ORIENTED_EDGE('',*,*,#222590,.F.); #311246=ORIENTED_EDGE('',*,*,#222645,.T.); #311247=ORIENTED_EDGE('',*,*,#222646,.F.); #311248=ORIENTED_EDGE('',*,*,#222647,.T.); #311249=ORIENTED_EDGE('',*,*,#222648,.T.); #311250=ORIENTED_EDGE('',*,*,#222649,.F.); #311251=ORIENTED_EDGE('',*,*,#222650,.T.); #311252=ORIENTED_EDGE('',*,*,#222651,.F.); #311253=ORIENTED_EDGE('',*,*,#222594,.F.); #311254=ORIENTED_EDGE('',*,*,#222652,.T.); #311255=ORIENTED_EDGE('',*,*,#222653,.F.); #311256=ORIENTED_EDGE('',*,*,#222654,.T.); #311257=ORIENTED_EDGE('',*,*,#222655,.T.); #311258=ORIENTED_EDGE('',*,*,#222656,.F.); #311259=ORIENTED_EDGE('',*,*,#222657,.F.); #311260=ORIENTED_EDGE('',*,*,#222658,.T.); #311261=ORIENTED_EDGE('',*,*,#222588,.T.); #311262=ORIENTED_EDGE('',*,*,#222659,.T.); #311263=ORIENTED_EDGE('',*,*,#222660,.F.); #311264=ORIENTED_EDGE('',*,*,#222661,.T.); #311265=ORIENTED_EDGE('',*,*,#222584,.T.); #311266=ORIENTED_EDGE('',*,*,#222662,.T.); #311267=ORIENTED_EDGE('',*,*,#222663,.T.); #311268=ORIENTED_EDGE('',*,*,#222664,.F.); #311269=ORIENTED_EDGE('',*,*,#222598,.F.); #311270=ORIENTED_EDGE('',*,*,#222665,.T.); #311271=ORIENTED_EDGE('',*,*,#222666,.F.); #311272=ORIENTED_EDGE('',*,*,#222667,.T.); #311273=ORIENTED_EDGE('',*,*,#222668,.T.); #311274=ORIENTED_EDGE('',*,*,#222669,.F.); #311275=ORIENTED_EDGE('',*,*,#222596,.T.); #311276=ORIENTED_EDGE('',*,*,#222670,.T.); #311277=ORIENTED_EDGE('',*,*,#222671,.F.); #311278=ORIENTED_EDGE('',*,*,#222672,.T.); #311279=ORIENTED_EDGE('',*,*,#222650,.F.); #311280=ORIENTED_EDGE('',*,*,#222673,.F.); #311281=ORIENTED_EDGE('',*,*,#222674,.T.); #311282=ORIENTED_EDGE('',*,*,#222675,.F.); #311283=ORIENTED_EDGE('',*,*,#222643,.F.); #311284=ORIENTED_EDGE('',*,*,#222676,.F.); #311285=ORIENTED_EDGE('',*,*,#222657,.T.); #311286=ORIENTED_EDGE('',*,*,#222677,.F.); #311287=ORIENTED_EDGE('',*,*,#222636,.F.); #311288=ORIENTED_EDGE('',*,*,#222678,.F.); #311289=ORIENTED_EDGE('',*,*,#222660,.T.); #311290=ORIENTED_EDGE('',*,*,#222679,.T.); #311291=ORIENTED_EDGE('',*,*,#222618,.F.); #311292=ORIENTED_EDGE('',*,*,#222680,.F.); #311293=ORIENTED_EDGE('',*,*,#222681,.T.); #311294=ORIENTED_EDGE('',*,*,#222682,.F.); #311295=ORIENTED_EDGE('',*,*,#222663,.F.); #311296=ORIENTED_EDGE('',*,*,#222683,.F.); #311297=ORIENTED_EDGE('',*,*,#222671,.T.); #311298=ORIENTED_EDGE('',*,*,#222684,.F.); #311299=ORIENTED_EDGE('',*,*,#222600,.T.); #311300=ORIENTED_EDGE('',*,*,#222685,.T.); #311301=ORIENTED_EDGE('',*,*,#222681,.F.); #311302=ORIENTED_EDGE('',*,*,#222686,.F.); #311303=ORIENTED_EDGE('',*,*,#222680,.T.); #311304=ORIENTED_EDGE('',*,*,#222617,.T.); #311305=ORIENTED_EDGE('',*,*,#222601,.T.); #311306=ORIENTED_EDGE('',*,*,#222686,.T.); #311307=ORIENTED_EDGE('',*,*,#222679,.F.); #311308=ORIENTED_EDGE('',*,*,#222662,.F.); #311309=ORIENTED_EDGE('',*,*,#222583,.F.); #311310=ORIENTED_EDGE('',*,*,#222619,.F.); #311311=ORIENTED_EDGE('',*,*,#222577,.F.); #311312=ORIENTED_EDGE('',*,*,#222609,.F.); #311313=ORIENTED_EDGE('',*,*,#222687,.T.); #311314=ORIENTED_EDGE('',*,*,#222688,.T.); #311315=ORIENTED_EDGE('',*,*,#222689,.T.); #311316=ORIENTED_EDGE('',*,*,#222690,.F.); #311317=ORIENTED_EDGE('',*,*,#222615,.T.); #311318=ORIENTED_EDGE('',*,*,#222581,.T.); #311319=ORIENTED_EDGE('',*,*,#222611,.T.); #311320=ORIENTED_EDGE('',*,*,#222616,.F.); #311321=ORIENTED_EDGE('',*,*,#222690,.T.); #311322=ORIENTED_EDGE('',*,*,#222691,.T.); #311323=ORIENTED_EDGE('',*,*,#222612,.T.); #311324=ORIENTED_EDGE('',*,*,#222691,.F.); #311325=ORIENTED_EDGE('',*,*,#222689,.F.); #311326=ORIENTED_EDGE('',*,*,#222692,.T.); #311327=ORIENTED_EDGE('',*,*,#222613,.T.); #311328=ORIENTED_EDGE('',*,*,#222692,.F.); #311329=ORIENTED_EDGE('',*,*,#222688,.F.); #311330=ORIENTED_EDGE('',*,*,#222693,.T.); #311331=ORIENTED_EDGE('',*,*,#222614,.T.); #311332=ORIENTED_EDGE('',*,*,#222693,.F.); #311333=ORIENTED_EDGE('',*,*,#222687,.F.); #311334=ORIENTED_EDGE('',*,*,#222608,.T.); #311335=ORIENTED_EDGE('',*,*,#222683,.T.); #311336=ORIENTED_EDGE('',*,*,#222665,.F.); #311337=ORIENTED_EDGE('',*,*,#222597,.F.); #311338=ORIENTED_EDGE('',*,*,#222672,.F.); #311339=ORIENTED_EDGE('',*,*,#222599,.F.); #311340=ORIENTED_EDGE('',*,*,#222664,.T.); #311341=ORIENTED_EDGE('',*,*,#222682,.T.); #311342=ORIENTED_EDGE('',*,*,#222685,.F.); #311343=ORIENTED_EDGE('',*,*,#222694,.T.); #311344=ORIENTED_EDGE('',*,*,#222695,.T.); #311345=ORIENTED_EDGE('',*,*,#222696,.T.); #311346=ORIENTED_EDGE('',*,*,#222697,.T.); #311347=ORIENTED_EDGE('',*,*,#222698,.T.); #311348=ORIENTED_EDGE('',*,*,#222699,.T.); #311349=ORIENTED_EDGE('',*,*,#222700,.F.); #311350=ORIENTED_EDGE('',*,*,#222668,.F.); #311351=ORIENTED_EDGE('',*,*,#222701,.T.); #311352=ORIENTED_EDGE('',*,*,#222702,.T.); #311353=ORIENTED_EDGE('',*,*,#222703,.F.); #311354=ORIENTED_EDGE('',*,*,#222666,.T.); #311355=ORIENTED_EDGE('',*,*,#222703,.T.); #311356=ORIENTED_EDGE('',*,*,#222704,.T.); #311357=ORIENTED_EDGE('',*,*,#222698,.F.); #311358=ORIENTED_EDGE('',*,*,#222667,.F.); #311359=ORIENTED_EDGE('',*,*,#222700,.T.); #311360=ORIENTED_EDGE('',*,*,#222705,.T.); #311361=ORIENTED_EDGE('',*,*,#222701,.F.); #311362=ORIENTED_EDGE('',*,*,#222669,.T.); #311363=ORIENTED_EDGE('',*,*,#222706,.F.); #311364=ORIENTED_EDGE('',*,*,#222707,.T.); #311365=ORIENTED_EDGE('',*,*,#222708,.T.); #311366=ORIENTED_EDGE('',*,*,#222633,.T.); #311367=ORIENTED_EDGE('',*,*,#222709,.F.); #311368=ORIENTED_EDGE('',*,*,#222710,.T.); #311369=ORIENTED_EDGE('',*,*,#222711,.T.); #311370=ORIENTED_EDGE('',*,*,#222635,.F.); #311371=ORIENTED_EDGE('',*,*,#222711,.F.); #311372=ORIENTED_EDGE('',*,*,#222712,.T.); #311373=ORIENTED_EDGE('',*,*,#222706,.T.); #311374=ORIENTED_EDGE('',*,*,#222632,.T.); #311375=ORIENTED_EDGE('',*,*,#222708,.F.); #311376=ORIENTED_EDGE('',*,*,#222713,.T.); #311377=ORIENTED_EDGE('',*,*,#222709,.T.); #311378=ORIENTED_EDGE('',*,*,#222634,.F.); #311379=ORIENTED_EDGE('',*,*,#222714,.T.); #311380=ORIENTED_EDGE('',*,*,#222715,.T.); #311381=ORIENTED_EDGE('',*,*,#222716,.T.); #311382=ORIENTED_EDGE('',*,*,#222717,.T.); #311383=ORIENTED_EDGE('',*,*,#222718,.F.); #311384=ORIENTED_EDGE('',*,*,#222704,.F.); #311385=ORIENTED_EDGE('',*,*,#222719,.F.); #311386=ORIENTED_EDGE('',*,*,#222697,.F.); #311387=ORIENTED_EDGE('',*,*,#222719,.T.); #311388=ORIENTED_EDGE('',*,*,#222702,.F.); #311389=ORIENTED_EDGE('',*,*,#222720,.F.); #311390=ORIENTED_EDGE('',*,*,#222694,.F.); #311391=ORIENTED_EDGE('',*,*,#222718,.T.); #311392=ORIENTED_EDGE('',*,*,#222696,.F.); #311393=ORIENTED_EDGE('',*,*,#222721,.F.); #311394=ORIENTED_EDGE('',*,*,#222699,.F.); #311395=ORIENTED_EDGE('',*,*,#222720,.T.); #311396=ORIENTED_EDGE('',*,*,#222705,.F.); #311397=ORIENTED_EDGE('',*,*,#222721,.T.); #311398=ORIENTED_EDGE('',*,*,#222695,.F.); #311399=ORIENTED_EDGE('',*,*,#222722,.F.); #311400=ORIENTED_EDGE('',*,*,#222707,.F.); #311401=ORIENTED_EDGE('',*,*,#222723,.F.); #311402=ORIENTED_EDGE('',*,*,#222717,.F.); #311403=ORIENTED_EDGE('',*,*,#222723,.T.); #311404=ORIENTED_EDGE('',*,*,#222712,.F.); #311405=ORIENTED_EDGE('',*,*,#222724,.F.); #311406=ORIENTED_EDGE('',*,*,#222714,.F.); #311407=ORIENTED_EDGE('',*,*,#222722,.T.); #311408=ORIENTED_EDGE('',*,*,#222716,.F.); #311409=ORIENTED_EDGE('',*,*,#222725,.F.); #311410=ORIENTED_EDGE('',*,*,#222713,.F.); #311411=ORIENTED_EDGE('',*,*,#222724,.T.); #311412=ORIENTED_EDGE('',*,*,#222710,.F.); #311413=ORIENTED_EDGE('',*,*,#222725,.T.); #311414=ORIENTED_EDGE('',*,*,#222715,.F.); #311415=ORIENTED_EDGE('',*,*,#222678,.T.); #311416=ORIENTED_EDGE('',*,*,#222638,.F.); #311417=ORIENTED_EDGE('',*,*,#222585,.F.); #311418=ORIENTED_EDGE('',*,*,#222661,.F.); #311419=ORIENTED_EDGE('',*,*,#222587,.F.); #311420=ORIENTED_EDGE('',*,*,#222637,.T.); #311421=ORIENTED_EDGE('',*,*,#222677,.T.); #311422=ORIENTED_EDGE('',*,*,#222659,.F.); #311423=ORIENTED_EDGE('',*,*,#222676,.T.); #311424=ORIENTED_EDGE('',*,*,#222645,.F.); #311425=ORIENTED_EDGE('',*,*,#222589,.F.); #311426=ORIENTED_EDGE('',*,*,#222658,.F.); #311427=ORIENTED_EDGE('',*,*,#222591,.F.); #311428=ORIENTED_EDGE('',*,*,#222644,.T.); #311429=ORIENTED_EDGE('',*,*,#222675,.T.); #311430=ORIENTED_EDGE('',*,*,#222726,.F.); #311431=ORIENTED_EDGE('',*,*,#222673,.T.); #311432=ORIENTED_EDGE('',*,*,#222652,.F.); #311433=ORIENTED_EDGE('',*,*,#222593,.F.); #311434=ORIENTED_EDGE('',*,*,#222727,.F.); #311435=ORIENTED_EDGE('',*,*,#222595,.F.); #311436=ORIENTED_EDGE('',*,*,#222651,.T.); #311437=ORIENTED_EDGE('',*,*,#222684,.T.); #311438=ORIENTED_EDGE('',*,*,#222670,.F.); #311439=ORIENTED_EDGE('',*,*,#222728,.T.); #311440=ORIENTED_EDGE('',*,*,#222729,.T.); #311441=ORIENTED_EDGE('',*,*,#222730,.T.); #311442=ORIENTED_EDGE('',*,*,#222731,.T.); #311443=ORIENTED_EDGE('',*,*,#222732,.T.); #311444=ORIENTED_EDGE('',*,*,#222733,.T.); #311445=ORIENTED_EDGE('',*,*,#222734,.F.); #311446=ORIENTED_EDGE('',*,*,#222655,.F.); #311447=ORIENTED_EDGE('',*,*,#222735,.T.); #311448=ORIENTED_EDGE('',*,*,#222736,.T.); #311449=ORIENTED_EDGE('',*,*,#222737,.F.); #311450=ORIENTED_EDGE('',*,*,#222653,.T.); #311451=ORIENTED_EDGE('',*,*,#222737,.T.); #311452=ORIENTED_EDGE('',*,*,#222738,.T.); #311453=ORIENTED_EDGE('',*,*,#222732,.F.); #311454=ORIENTED_EDGE('',*,*,#222654,.F.); #311455=ORIENTED_EDGE('',*,*,#222734,.T.); #311456=ORIENTED_EDGE('',*,*,#222739,.T.); #311457=ORIENTED_EDGE('',*,*,#222735,.F.); #311458=ORIENTED_EDGE('',*,*,#222656,.T.); #311459=ORIENTED_EDGE('',*,*,#222740,.F.); #311460=ORIENTED_EDGE('',*,*,#222741,.T.); #311461=ORIENTED_EDGE('',*,*,#222742,.T.); #311462=ORIENTED_EDGE('',*,*,#222621,.T.); #311463=ORIENTED_EDGE('',*,*,#222743,.F.); #311464=ORIENTED_EDGE('',*,*,#222744,.T.); #311465=ORIENTED_EDGE('',*,*,#222745,.T.); #311466=ORIENTED_EDGE('',*,*,#222623,.F.); #311467=ORIENTED_EDGE('',*,*,#222745,.F.); #311468=ORIENTED_EDGE('',*,*,#222746,.T.); #311469=ORIENTED_EDGE('',*,*,#222740,.T.); #311470=ORIENTED_EDGE('',*,*,#222620,.T.); #311471=ORIENTED_EDGE('',*,*,#222742,.F.); #311472=ORIENTED_EDGE('',*,*,#222747,.T.); #311473=ORIENTED_EDGE('',*,*,#222743,.T.); #311474=ORIENTED_EDGE('',*,*,#222622,.F.); #311475=ORIENTED_EDGE('',*,*,#222748,.T.); #311476=ORIENTED_EDGE('',*,*,#222749,.T.); #311477=ORIENTED_EDGE('',*,*,#222750,.T.); #311478=ORIENTED_EDGE('',*,*,#222751,.T.); #311479=ORIENTED_EDGE('',*,*,#222752,.T.); #311480=ORIENTED_EDGE('',*,*,#222753,.T.); #311481=ORIENTED_EDGE('',*,*,#222754,.T.); #311482=ORIENTED_EDGE('',*,*,#222755,.T.); #311483=ORIENTED_EDGE('',*,*,#222756,.T.); #311484=ORIENTED_EDGE('',*,*,#222757,.T.); #311485=ORIENTED_EDGE('',*,*,#222758,.F.); #311486=ORIENTED_EDGE('',*,*,#222648,.F.); #311487=ORIENTED_EDGE('',*,*,#222759,.T.); #311488=ORIENTED_EDGE('',*,*,#222760,.T.); #311489=ORIENTED_EDGE('',*,*,#222761,.F.); #311490=ORIENTED_EDGE('',*,*,#222646,.T.); #311491=ORIENTED_EDGE('',*,*,#222761,.T.); #311492=ORIENTED_EDGE('',*,*,#222762,.T.); #311493=ORIENTED_EDGE('',*,*,#222756,.F.); #311494=ORIENTED_EDGE('',*,*,#222647,.F.); #311495=ORIENTED_EDGE('',*,*,#222758,.T.); #311496=ORIENTED_EDGE('',*,*,#222763,.T.); #311497=ORIENTED_EDGE('',*,*,#222759,.F.); #311498=ORIENTED_EDGE('',*,*,#222649,.T.); #311499=ORIENTED_EDGE('',*,*,#222764,.F.); #311500=ORIENTED_EDGE('',*,*,#222765,.T.); #311501=ORIENTED_EDGE('',*,*,#222766,.T.); #311502=ORIENTED_EDGE('',*,*,#222629,.T.); #311503=ORIENTED_EDGE('',*,*,#222767,.F.); #311504=ORIENTED_EDGE('',*,*,#222768,.T.); #311505=ORIENTED_EDGE('',*,*,#222769,.T.); #311506=ORIENTED_EDGE('',*,*,#222631,.F.); #311507=ORIENTED_EDGE('',*,*,#222769,.F.); #311508=ORIENTED_EDGE('',*,*,#222770,.T.); #311509=ORIENTED_EDGE('',*,*,#222764,.T.); #311510=ORIENTED_EDGE('',*,*,#222628,.T.); #311511=ORIENTED_EDGE('',*,*,#222766,.F.); #311512=ORIENTED_EDGE('',*,*,#222771,.T.); #311513=ORIENTED_EDGE('',*,*,#222767,.T.); #311514=ORIENTED_EDGE('',*,*,#222630,.F.); #311515=ORIENTED_EDGE('',*,*,#222772,.T.); #311516=ORIENTED_EDGE('',*,*,#222773,.T.); #311517=ORIENTED_EDGE('',*,*,#222774,.T.); #311518=ORIENTED_EDGE('',*,*,#222775,.T.); #311519=ORIENTED_EDGE('',*,*,#222776,.T.); #311520=ORIENTED_EDGE('',*,*,#222777,.T.); #311521=ORIENTED_EDGE('',*,*,#222778,.T.); #311522=ORIENTED_EDGE('',*,*,#222779,.T.); #311523=ORIENTED_EDGE('',*,*,#222780,.T.); #311524=ORIENTED_EDGE('',*,*,#222781,.T.); #311525=ORIENTED_EDGE('',*,*,#222782,.F.); #311526=ORIENTED_EDGE('',*,*,#222641,.F.); #311527=ORIENTED_EDGE('',*,*,#222783,.T.); #311528=ORIENTED_EDGE('',*,*,#222784,.T.); #311529=ORIENTED_EDGE('',*,*,#222785,.F.); #311530=ORIENTED_EDGE('',*,*,#222639,.T.); #311531=ORIENTED_EDGE('',*,*,#222785,.T.); #311532=ORIENTED_EDGE('',*,*,#222786,.T.); #311533=ORIENTED_EDGE('',*,*,#222780,.F.); #311534=ORIENTED_EDGE('',*,*,#222640,.F.); #311535=ORIENTED_EDGE('',*,*,#222782,.T.); #311536=ORIENTED_EDGE('',*,*,#222787,.T.); #311537=ORIENTED_EDGE('',*,*,#222783,.F.); #311538=ORIENTED_EDGE('',*,*,#222642,.T.); #311539=ORIENTED_EDGE('',*,*,#222788,.F.); #311540=ORIENTED_EDGE('',*,*,#222789,.T.); #311541=ORIENTED_EDGE('',*,*,#222790,.T.); #311542=ORIENTED_EDGE('',*,*,#222625,.T.); #311543=ORIENTED_EDGE('',*,*,#222791,.F.); #311544=ORIENTED_EDGE('',*,*,#222792,.T.); #311545=ORIENTED_EDGE('',*,*,#222793,.T.); #311546=ORIENTED_EDGE('',*,*,#222627,.F.); #311547=ORIENTED_EDGE('',*,*,#222793,.F.); #311548=ORIENTED_EDGE('',*,*,#222794,.T.); #311549=ORIENTED_EDGE('',*,*,#222788,.T.); #311550=ORIENTED_EDGE('',*,*,#222624,.T.); #311551=ORIENTED_EDGE('',*,*,#222790,.F.); #311552=ORIENTED_EDGE('',*,*,#222795,.T.); #311553=ORIENTED_EDGE('',*,*,#222791,.T.); #311554=ORIENTED_EDGE('',*,*,#222626,.F.); #311555=ORIENTED_EDGE('',*,*,#222796,.T.); #311556=ORIENTED_EDGE('',*,*,#222797,.T.); #311557=ORIENTED_EDGE('',*,*,#222798,.T.); #311558=ORIENTED_EDGE('',*,*,#222799,.T.); #311559=ORIENTED_EDGE('',*,*,#222800,.F.); #311560=ORIENTED_EDGE('',*,*,#222738,.F.); #311561=ORIENTED_EDGE('',*,*,#222801,.F.); #311562=ORIENTED_EDGE('',*,*,#222731,.F.); #311563=ORIENTED_EDGE('',*,*,#222801,.T.); #311564=ORIENTED_EDGE('',*,*,#222736,.F.); #311565=ORIENTED_EDGE('',*,*,#222802,.F.); #311566=ORIENTED_EDGE('',*,*,#222728,.F.); #311567=ORIENTED_EDGE('',*,*,#222800,.T.); #311568=ORIENTED_EDGE('',*,*,#222730,.F.); #311569=ORIENTED_EDGE('',*,*,#222803,.F.); #311570=ORIENTED_EDGE('',*,*,#222733,.F.); #311571=ORIENTED_EDGE('',*,*,#222802,.T.); #311572=ORIENTED_EDGE('',*,*,#222739,.F.); #311573=ORIENTED_EDGE('',*,*,#222803,.T.); #311574=ORIENTED_EDGE('',*,*,#222729,.F.); #311575=ORIENTED_EDGE('',*,*,#222804,.F.); #311576=ORIENTED_EDGE('',*,*,#222741,.F.); #311577=ORIENTED_EDGE('',*,*,#222805,.F.); #311578=ORIENTED_EDGE('',*,*,#222751,.F.); #311579=ORIENTED_EDGE('',*,*,#222805,.T.); #311580=ORIENTED_EDGE('',*,*,#222746,.F.); #311581=ORIENTED_EDGE('',*,*,#222806,.F.); #311582=ORIENTED_EDGE('',*,*,#222748,.F.); #311583=ORIENTED_EDGE('',*,*,#222804,.T.); #311584=ORIENTED_EDGE('',*,*,#222750,.F.); #311585=ORIENTED_EDGE('',*,*,#222807,.F.); #311586=ORIENTED_EDGE('',*,*,#222747,.F.); #311587=ORIENTED_EDGE('',*,*,#222806,.T.); #311588=ORIENTED_EDGE('',*,*,#222744,.F.); #311589=ORIENTED_EDGE('',*,*,#222807,.T.); #311590=ORIENTED_EDGE('',*,*,#222749,.F.); #311591=ORIENTED_EDGE('',*,*,#222808,.F.); #311592=ORIENTED_EDGE('',*,*,#222762,.F.); #311593=ORIENTED_EDGE('',*,*,#222809,.F.); #311594=ORIENTED_EDGE('',*,*,#222755,.F.); #311595=ORIENTED_EDGE('',*,*,#222809,.T.); #311596=ORIENTED_EDGE('',*,*,#222760,.F.); #311597=ORIENTED_EDGE('',*,*,#222810,.F.); #311598=ORIENTED_EDGE('',*,*,#222752,.F.); #311599=ORIENTED_EDGE('',*,*,#222808,.T.); #311600=ORIENTED_EDGE('',*,*,#222754,.F.); #311601=ORIENTED_EDGE('',*,*,#222811,.F.); #311602=ORIENTED_EDGE('',*,*,#222757,.F.); #311603=ORIENTED_EDGE('',*,*,#222810,.T.); #311604=ORIENTED_EDGE('',*,*,#222763,.F.); #311605=ORIENTED_EDGE('',*,*,#222811,.T.); #311606=ORIENTED_EDGE('',*,*,#222753,.F.); #311607=ORIENTED_EDGE('',*,*,#222812,.F.); #311608=ORIENTED_EDGE('',*,*,#222765,.F.); #311609=ORIENTED_EDGE('',*,*,#222813,.F.); #311610=ORIENTED_EDGE('',*,*,#222775,.F.); #311611=ORIENTED_EDGE('',*,*,#222813,.T.); #311612=ORIENTED_EDGE('',*,*,#222770,.F.); #311613=ORIENTED_EDGE('',*,*,#222814,.F.); #311614=ORIENTED_EDGE('',*,*,#222772,.F.); #311615=ORIENTED_EDGE('',*,*,#222812,.T.); #311616=ORIENTED_EDGE('',*,*,#222774,.F.); #311617=ORIENTED_EDGE('',*,*,#222815,.F.); #311618=ORIENTED_EDGE('',*,*,#222771,.F.); #311619=ORIENTED_EDGE('',*,*,#222814,.T.); #311620=ORIENTED_EDGE('',*,*,#222768,.F.); #311621=ORIENTED_EDGE('',*,*,#222815,.T.); #311622=ORIENTED_EDGE('',*,*,#222773,.F.); #311623=ORIENTED_EDGE('',*,*,#222816,.F.); #311624=ORIENTED_EDGE('',*,*,#222786,.F.); #311625=ORIENTED_EDGE('',*,*,#222817,.F.); #311626=ORIENTED_EDGE('',*,*,#222779,.F.); #311627=ORIENTED_EDGE('',*,*,#222817,.T.); #311628=ORIENTED_EDGE('',*,*,#222784,.F.); #311629=ORIENTED_EDGE('',*,*,#222818,.F.); #311630=ORIENTED_EDGE('',*,*,#222776,.F.); #311631=ORIENTED_EDGE('',*,*,#222816,.T.); #311632=ORIENTED_EDGE('',*,*,#222778,.F.); #311633=ORIENTED_EDGE('',*,*,#222819,.F.); #311634=ORIENTED_EDGE('',*,*,#222781,.F.); #311635=ORIENTED_EDGE('',*,*,#222818,.T.); #311636=ORIENTED_EDGE('',*,*,#222787,.F.); #311637=ORIENTED_EDGE('',*,*,#222819,.T.); #311638=ORIENTED_EDGE('',*,*,#222777,.F.); #311639=ORIENTED_EDGE('',*,*,#222820,.F.); #311640=ORIENTED_EDGE('',*,*,#222789,.F.); #311641=ORIENTED_EDGE('',*,*,#222821,.F.); #311642=ORIENTED_EDGE('',*,*,#222799,.F.); #311643=ORIENTED_EDGE('',*,*,#222821,.T.); #311644=ORIENTED_EDGE('',*,*,#222794,.F.); #311645=ORIENTED_EDGE('',*,*,#222822,.F.); #311646=ORIENTED_EDGE('',*,*,#222796,.F.); #311647=ORIENTED_EDGE('',*,*,#222820,.T.); #311648=ORIENTED_EDGE('',*,*,#222798,.F.); #311649=ORIENTED_EDGE('',*,*,#222823,.F.); #311650=ORIENTED_EDGE('',*,*,#222795,.F.); #311651=ORIENTED_EDGE('',*,*,#222822,.T.); #311652=ORIENTED_EDGE('',*,*,#222792,.F.); #311653=ORIENTED_EDGE('',*,*,#222823,.T.); #311654=ORIENTED_EDGE('',*,*,#222797,.F.); #311655=ORIENTED_EDGE('',*,*,#222674,.F.); #311656=ORIENTED_EDGE('',*,*,#222727,.T.); #311657=ORIENTED_EDGE('',*,*,#222592,.T.); #311658=ORIENTED_EDGE('',*,*,#222726,.T.); #311659=ORIENTED_EDGE('',*,*,#222824,.F.); #311660=ORIENTED_EDGE('',*,*,#222825,.F.); #311661=ORIENTED_EDGE('',*,*,#222826,.F.); #311662=ORIENTED_EDGE('',*,*,#222827,.F.); #311663=ORIENTED_EDGE('',*,*,#222828,.F.); #311664=ORIENTED_EDGE('',*,*,#222829,.F.); #311665=ORIENTED_EDGE('',*,*,#222830,.F.); #311666=ORIENTED_EDGE('',*,*,#222831,.F.); #311667=ORIENTED_EDGE('',*,*,#222832,.F.); #311668=ORIENTED_EDGE('',*,*,#222833,.F.); #311669=ORIENTED_EDGE('',*,*,#222834,.F.); #311670=ORIENTED_EDGE('',*,*,#222835,.F.); #311671=ORIENTED_EDGE('',*,*,#222836,.F.); #311672=ORIENTED_EDGE('',*,*,#222837,.F.); #311673=ORIENTED_EDGE('',*,*,#222838,.F.); #311674=ORIENTED_EDGE('',*,*,#222837,.T.); #311675=ORIENTED_EDGE('',*,*,#222839,.T.); #311676=ORIENTED_EDGE('',*,*,#222832,.T.); #311677=ORIENTED_EDGE('',*,*,#222840,.T.); #311678=ORIENTED_EDGE('',*,*,#222841,.F.); #311679=ORIENTED_EDGE('',*,*,#222842,.F.); #311680=ORIENTED_EDGE('',*,*,#222843,.F.); #311681=ORIENTED_EDGE('',*,*,#222844,.F.); #311682=ORIENTED_EDGE('',*,*,#222845,.F.); #311683=ORIENTED_EDGE('',*,*,#222846,.F.); #311684=ORIENTED_EDGE('',*,*,#222831,.T.); #311685=ORIENTED_EDGE('',*,*,#222847,.T.); #311686=ORIENTED_EDGE('',*,*,#222845,.T.); #311687=ORIENTED_EDGE('',*,*,#222848,.T.); #311688=ORIENTED_EDGE('',*,*,#222834,.T.); #311689=ORIENTED_EDGE('',*,*,#222849,.T.); #311690=ORIENTED_EDGE('',*,*,#222846,.T.); #311691=ORIENTED_EDGE('',*,*,#222850,.T.); #311692=ORIENTED_EDGE('',*,*,#222851,.F.); #311693=ORIENTED_EDGE('',*,*,#222852,.F.); #311694=ORIENTED_EDGE('',*,*,#222853,.F.); #311695=ORIENTED_EDGE('',*,*,#222838,.T.); #311696=ORIENTED_EDGE('',*,*,#222854,.T.); #311697=ORIENTED_EDGE('',*,*,#222852,.T.); #311698=ORIENTED_EDGE('',*,*,#222855,.T.); #311699=ORIENTED_EDGE('',*,*,#222844,.T.); #311700=ORIENTED_EDGE('',*,*,#222856,.T.); #311701=ORIENTED_EDGE('',*,*,#222853,.T.); #311702=ORIENTED_EDGE('',*,*,#222857,.T.); #311703=ORIENTED_EDGE('',*,*,#222842,.T.); #311704=ORIENTED_EDGE('',*,*,#222858,.T.); #311705=ORIENTED_EDGE('',*,*,#222851,.T.); #311706=ORIENTED_EDGE('',*,*,#222859,.T.); #311707=ORIENTED_EDGE('',*,*,#222833,.T.); #311708=ORIENTED_EDGE('',*,*,#222860,.T.); #311709=ORIENTED_EDGE('',*,*,#222843,.T.); #311710=ORIENTED_EDGE('',*,*,#222861,.T.); #311711=ORIENTED_EDGE('',*,*,#222841,.T.); #311712=ORIENTED_EDGE('',*,*,#222862,.T.); #311713=ORIENTED_EDGE('',*,*,#222829,.T.); #311714=ORIENTED_EDGE('',*,*,#222863,.T.); #311715=ORIENTED_EDGE('',*,*,#222828,.T.); #311716=ORIENTED_EDGE('',*,*,#222864,.T.); #311717=ORIENTED_EDGE('',*,*,#222836,.T.); #311718=ORIENTED_EDGE('',*,*,#222865,.T.); #311719=ORIENTED_EDGE('',*,*,#222824,.T.); #311720=ORIENTED_EDGE('',*,*,#222866,.T.); #311721=ORIENTED_EDGE('',*,*,#222835,.T.); #311722=ORIENTED_EDGE('',*,*,#222867,.T.); #311723=ORIENTED_EDGE('',*,*,#222825,.T.); #311724=ORIENTED_EDGE('',*,*,#222868,.T.); #311725=ORIENTED_EDGE('',*,*,#222830,.T.); #311726=ORIENTED_EDGE('',*,*,#222869,.T.); #311727=ORIENTED_EDGE('',*,*,#222827,.T.); #311728=ORIENTED_EDGE('',*,*,#222870,.T.); #311729=ORIENTED_EDGE('',*,*,#222826,.T.); #311730=ORIENTED_EDGE('',*,*,#222871,.T.); #311731=ORIENTED_EDGE('',*,*,#222839,.F.); #311732=ORIENTED_EDGE('',*,*,#222864,.F.); #311733=ORIENTED_EDGE('',*,*,#222871,.F.); #311734=ORIENTED_EDGE('',*,*,#222869,.F.); #311735=ORIENTED_EDGE('',*,*,#222840,.F.); #311736=ORIENTED_EDGE('',*,*,#222848,.F.); #311737=ORIENTED_EDGE('',*,*,#222857,.F.); #311738=ORIENTED_EDGE('',*,*,#222854,.F.); #311739=ORIENTED_EDGE('',*,*,#222847,.F.); #311740=ORIENTED_EDGE('',*,*,#222868,.F.); #311741=ORIENTED_EDGE('',*,*,#222867,.F.); #311742=ORIENTED_EDGE('',*,*,#222850,.F.); #311743=ORIENTED_EDGE('',*,*,#222849,.F.); #311744=ORIENTED_EDGE('',*,*,#222861,.F.); #311745=ORIENTED_EDGE('',*,*,#222859,.F.); #311746=ORIENTED_EDGE('',*,*,#222856,.F.); #311747=ORIENTED_EDGE('',*,*,#222855,.F.); #311748=ORIENTED_EDGE('',*,*,#222858,.F.); #311749=ORIENTED_EDGE('',*,*,#222863,.F.); #311750=ORIENTED_EDGE('',*,*,#222865,.F.); #311751=ORIENTED_EDGE('',*,*,#222860,.F.); #311752=ORIENTED_EDGE('',*,*,#222866,.F.); #311753=ORIENTED_EDGE('',*,*,#222870,.F.); #311754=ORIENTED_EDGE('',*,*,#222862,.F.); #311755=ORIENTED_EDGE('',*,*,#222872,.F.); #311756=ORIENTED_EDGE('',*,*,#222873,.F.); #311757=ORIENTED_EDGE('',*,*,#222874,.F.); #311758=ORIENTED_EDGE('',*,*,#222875,.F.); #311759=ORIENTED_EDGE('',*,*,#222876,.F.); #311760=ORIENTED_EDGE('',*,*,#222877,.F.); #311761=ORIENTED_EDGE('',*,*,#222878,.F.); #311762=ORIENTED_EDGE('',*,*,#222879,.F.); #311763=ORIENTED_EDGE('',*,*,#222880,.F.); #311764=ORIENTED_EDGE('',*,*,#222881,.F.); #311765=ORIENTED_EDGE('',*,*,#222882,.F.); #311766=ORIENTED_EDGE('',*,*,#222883,.F.); #311767=ORIENTED_EDGE('',*,*,#222884,.F.); #311768=ORIENTED_EDGE('',*,*,#222885,.F.); #311769=ORIENTED_EDGE('',*,*,#222886,.F.); #311770=ORIENTED_EDGE('',*,*,#222885,.T.); #311771=ORIENTED_EDGE('',*,*,#222887,.T.); #311772=ORIENTED_EDGE('',*,*,#222880,.T.); #311773=ORIENTED_EDGE('',*,*,#222888,.T.); #311774=ORIENTED_EDGE('',*,*,#222889,.F.); #311775=ORIENTED_EDGE('',*,*,#222890,.F.); #311776=ORIENTED_EDGE('',*,*,#222891,.F.); #311777=ORIENTED_EDGE('',*,*,#222892,.F.); #311778=ORIENTED_EDGE('',*,*,#222893,.F.); #311779=ORIENTED_EDGE('',*,*,#222894,.F.); #311780=ORIENTED_EDGE('',*,*,#222879,.T.); #311781=ORIENTED_EDGE('',*,*,#222895,.T.); #311782=ORIENTED_EDGE('',*,*,#222893,.T.); #311783=ORIENTED_EDGE('',*,*,#222896,.T.); #311784=ORIENTED_EDGE('',*,*,#222882,.T.); #311785=ORIENTED_EDGE('',*,*,#222897,.T.); #311786=ORIENTED_EDGE('',*,*,#222894,.T.); #311787=ORIENTED_EDGE('',*,*,#222898,.T.); #311788=ORIENTED_EDGE('',*,*,#222899,.F.); #311789=ORIENTED_EDGE('',*,*,#222900,.F.); #311790=ORIENTED_EDGE('',*,*,#222901,.F.); #311791=ORIENTED_EDGE('',*,*,#222900,.T.); #311792=ORIENTED_EDGE('',*,*,#222902,.T.); #311793=ORIENTED_EDGE('',*,*,#222886,.T.); #311794=ORIENTED_EDGE('',*,*,#222903,.T.); #311795=ORIENTED_EDGE('',*,*,#222892,.T.); #311796=ORIENTED_EDGE('',*,*,#222904,.T.); #311797=ORIENTED_EDGE('',*,*,#222901,.T.); #311798=ORIENTED_EDGE('',*,*,#222905,.T.); #311799=ORIENTED_EDGE('',*,*,#222890,.T.); #311800=ORIENTED_EDGE('',*,*,#222906,.T.); #311801=ORIENTED_EDGE('',*,*,#222899,.T.); #311802=ORIENTED_EDGE('',*,*,#222907,.T.); #311803=ORIENTED_EDGE('',*,*,#222881,.T.); #311804=ORIENTED_EDGE('',*,*,#222908,.T.); #311805=ORIENTED_EDGE('',*,*,#222891,.T.); #311806=ORIENTED_EDGE('',*,*,#222909,.T.); #311807=ORIENTED_EDGE('',*,*,#222889,.T.); #311808=ORIENTED_EDGE('',*,*,#222910,.T.); #311809=ORIENTED_EDGE('',*,*,#222877,.T.); #311810=ORIENTED_EDGE('',*,*,#222911,.T.); #311811=ORIENTED_EDGE('',*,*,#222876,.T.); #311812=ORIENTED_EDGE('',*,*,#222912,.T.); #311813=ORIENTED_EDGE('',*,*,#222884,.T.); #311814=ORIENTED_EDGE('',*,*,#222913,.T.); #311815=ORIENTED_EDGE('',*,*,#222872,.T.); #311816=ORIENTED_EDGE('',*,*,#222914,.T.); #311817=ORIENTED_EDGE('',*,*,#222883,.T.); #311818=ORIENTED_EDGE('',*,*,#222915,.T.); #311819=ORIENTED_EDGE('',*,*,#222873,.T.); #311820=ORIENTED_EDGE('',*,*,#222916,.T.); #311821=ORIENTED_EDGE('',*,*,#222878,.T.); #311822=ORIENTED_EDGE('',*,*,#222917,.T.); #311823=ORIENTED_EDGE('',*,*,#222875,.T.); #311824=ORIENTED_EDGE('',*,*,#222918,.T.); #311825=ORIENTED_EDGE('',*,*,#222874,.T.); #311826=ORIENTED_EDGE('',*,*,#222919,.T.); #311827=ORIENTED_EDGE('',*,*,#222887,.F.); #311828=ORIENTED_EDGE('',*,*,#222912,.F.); #311829=ORIENTED_EDGE('',*,*,#222919,.F.); #311830=ORIENTED_EDGE('',*,*,#222917,.F.); #311831=ORIENTED_EDGE('',*,*,#222888,.F.); #311832=ORIENTED_EDGE('',*,*,#222896,.F.); #311833=ORIENTED_EDGE('',*,*,#222905,.F.); #311834=ORIENTED_EDGE('',*,*,#222903,.F.); #311835=ORIENTED_EDGE('',*,*,#222895,.F.); #311836=ORIENTED_EDGE('',*,*,#222916,.F.); #311837=ORIENTED_EDGE('',*,*,#222915,.F.); #311838=ORIENTED_EDGE('',*,*,#222898,.F.); #311839=ORIENTED_EDGE('',*,*,#222897,.F.); #311840=ORIENTED_EDGE('',*,*,#222909,.F.); #311841=ORIENTED_EDGE('',*,*,#222907,.F.); #311842=ORIENTED_EDGE('',*,*,#222904,.F.); #311843=ORIENTED_EDGE('',*,*,#222902,.F.); #311844=ORIENTED_EDGE('',*,*,#222906,.F.); #311845=ORIENTED_EDGE('',*,*,#222911,.F.); #311846=ORIENTED_EDGE('',*,*,#222913,.F.); #311847=ORIENTED_EDGE('',*,*,#222908,.F.); #311848=ORIENTED_EDGE('',*,*,#222914,.F.); #311849=ORIENTED_EDGE('',*,*,#222918,.F.); #311850=ORIENTED_EDGE('',*,*,#222910,.F.); #311851=ORIENTED_EDGE('',*,*,#222920,.F.); #311852=ORIENTED_EDGE('',*,*,#222921,.T.); #311853=ORIENTED_EDGE('',*,*,#222922,.F.); #311854=ORIENTED_EDGE('',*,*,#222923,.F.); #311855=ORIENTED_EDGE('',*,*,#222924,.T.); #311856=ORIENTED_EDGE('',*,*,#222923,.T.); #311857=ORIENTED_EDGE('',*,*,#222925,.F.); #311858=ORIENTED_EDGE('',*,*,#222926,.F.); #311859=ORIENTED_EDGE('',*,*,#222927,.T.); #311860=ORIENTED_EDGE('',*,*,#222926,.T.); #311861=ORIENTED_EDGE('',*,*,#222928,.F.); #311862=ORIENTED_EDGE('',*,*,#222929,.F.); #311863=ORIENTED_EDGE('',*,*,#222930,.T.); #311864=ORIENTED_EDGE('',*,*,#222929,.T.); #311865=ORIENTED_EDGE('',*,*,#222931,.F.); #311866=ORIENTED_EDGE('',*,*,#222921,.F.); #311867=ORIENTED_EDGE('',*,*,#222931,.T.); #311868=ORIENTED_EDGE('',*,*,#222928,.T.); #311869=ORIENTED_EDGE('',*,*,#222925,.T.); #311870=ORIENTED_EDGE('',*,*,#222922,.T.); #311871=ORIENTED_EDGE('',*,*,#222930,.F.); #311872=ORIENTED_EDGE('',*,*,#222920,.T.); #311873=ORIENTED_EDGE('',*,*,#222924,.F.); #311874=ORIENTED_EDGE('',*,*,#222927,.F.); #311875=ORIENTED_EDGE('',*,*,#222932,.F.); #311876=ORIENTED_EDGE('',*,*,#222933,.F.); #311877=ORIENTED_EDGE('',*,*,#222934,.F.); #311878=ORIENTED_EDGE('',*,*,#222935,.F.); #311879=ORIENTED_EDGE('',*,*,#222936,.T.); #311880=ORIENTED_EDGE('',*,*,#222937,.T.); #311881=ORIENTED_EDGE('',*,*,#222938,.T.); #311882=ORIENTED_EDGE('',*,*,#222939,.T.); #311883=ORIENTED_EDGE('',*,*,#222936,.F.); #311884=ORIENTED_EDGE('',*,*,#222940,.T.); #311885=ORIENTED_EDGE('',*,*,#222932,.T.); #311886=ORIENTED_EDGE('',*,*,#222941,.F.); #311887=ORIENTED_EDGE('',*,*,#222937,.F.); #311888=ORIENTED_EDGE('',*,*,#222941,.T.); #311889=ORIENTED_EDGE('',*,*,#222935,.T.); #311890=ORIENTED_EDGE('',*,*,#222942,.F.); #311891=ORIENTED_EDGE('',*,*,#222938,.F.); #311892=ORIENTED_EDGE('',*,*,#222942,.T.); #311893=ORIENTED_EDGE('',*,*,#222934,.T.); #311894=ORIENTED_EDGE('',*,*,#222943,.F.); #311895=ORIENTED_EDGE('',*,*,#222939,.F.); #311896=ORIENTED_EDGE('',*,*,#222943,.T.); #311897=ORIENTED_EDGE('',*,*,#222933,.T.); #311898=ORIENTED_EDGE('',*,*,#222940,.F.); #311899=ORIENTED_EDGE('',*,*,#222944,.T.); #311900=ORIENTED_EDGE('',*,*,#222945,.T.); #311901=ORIENTED_EDGE('',*,*,#222946,.F.); #311902=ORIENTED_EDGE('',*,*,#222947,.F.); #311903=ORIENTED_EDGE('',*,*,#222948,.T.); #311904=ORIENTED_EDGE('',*,*,#222947,.T.); #311905=ORIENTED_EDGE('',*,*,#222949,.F.); #311906=ORIENTED_EDGE('',*,*,#222950,.F.); #311907=ORIENTED_EDGE('',*,*,#222951,.T.); #311908=ORIENTED_EDGE('',*,*,#222950,.T.); #311909=ORIENTED_EDGE('',*,*,#222952,.F.); #311910=ORIENTED_EDGE('',*,*,#222953,.F.); #311911=ORIENTED_EDGE('',*,*,#222954,.T.); #311912=ORIENTED_EDGE('',*,*,#222953,.T.); #311913=ORIENTED_EDGE('',*,*,#222955,.F.); #311914=ORIENTED_EDGE('',*,*,#222945,.F.); #311915=ORIENTED_EDGE('',*,*,#222955,.T.); #311916=ORIENTED_EDGE('',*,*,#222952,.T.); #311917=ORIENTED_EDGE('',*,*,#222949,.T.); #311918=ORIENTED_EDGE('',*,*,#222946,.T.); #311919=ORIENTED_EDGE('',*,*,#222954,.F.); #311920=ORIENTED_EDGE('',*,*,#222944,.F.); #311921=ORIENTED_EDGE('',*,*,#222948,.F.); #311922=ORIENTED_EDGE('',*,*,#222951,.F.); #311923=ORIENTED_EDGE('',*,*,#222956,.F.); #311924=ORIENTED_EDGE('',*,*,#222957,.F.); #311925=ORIENTED_EDGE('',*,*,#222958,.F.); #311926=ORIENTED_EDGE('',*,*,#222959,.F.); #311927=ORIENTED_EDGE('',*,*,#222960,.T.); #311928=ORIENTED_EDGE('',*,*,#222961,.T.); #311929=ORIENTED_EDGE('',*,*,#222962,.T.); #311930=ORIENTED_EDGE('',*,*,#222963,.F.); #311931=ORIENTED_EDGE('',*,*,#222964,.T.); #311932=ORIENTED_EDGE('',*,*,#222965,.F.); #311933=ORIENTED_EDGE('',*,*,#222966,.F.); #311934=ORIENTED_EDGE('',*,*,#222967,.T.); #311935=ORIENTED_EDGE('',*,*,#222968,.F.); #311936=ORIENTED_EDGE('',*,*,#222969,.F.); #311937=ORIENTED_EDGE('',*,*,#222970,.F.); #311938=ORIENTED_EDGE('',*,*,#222971,.T.); #311939=ORIENTED_EDGE('',*,*,#222972,.F.); #311940=ORIENTED_EDGE('',*,*,#222973,.F.); #311941=ORIENTED_EDGE('',*,*,#222974,.T.); #311942=ORIENTED_EDGE('',*,*,#222975,.T.); #311943=ORIENTED_EDGE('',*,*,#222976,.F.); #311944=ORIENTED_EDGE('',*,*,#222977,.T.); #311945=ORIENTED_EDGE('',*,*,#222978,.T.); #311946=ORIENTED_EDGE('',*,*,#222979,.F.); #311947=ORIENTED_EDGE('',*,*,#222980,.T.); #311948=ORIENTED_EDGE('',*,*,#222981,.T.); #311949=ORIENTED_EDGE('',*,*,#222982,.F.); #311950=ORIENTED_EDGE('',*,*,#222983,.F.); #311951=ORIENTED_EDGE('',*,*,#222984,.F.); #311952=ORIENTED_EDGE('',*,*,#222985,.F.); #311953=ORIENTED_EDGE('',*,*,#222986,.F.); #311954=ORIENTED_EDGE('',*,*,#222987,.F.); #311955=ORIENTED_EDGE('',*,*,#222988,.T.); #311956=ORIENTED_EDGE('',*,*,#222989,.T.); #311957=ORIENTED_EDGE('',*,*,#222990,.T.); #311958=ORIENTED_EDGE('',*,*,#222991,.F.); #311959=ORIENTED_EDGE('',*,*,#222992,.F.); #311960=ORIENTED_EDGE('',*,*,#222991,.T.); #311961=ORIENTED_EDGE('',*,*,#222993,.T.); #311962=ORIENTED_EDGE('',*,*,#222977,.F.); #311963=ORIENTED_EDGE('',*,*,#222994,.F.); #311964=ORIENTED_EDGE('',*,*,#222981,.F.); #311965=ORIENTED_EDGE('',*,*,#222995,.T.); #311966=ORIENTED_EDGE('',*,*,#222987,.T.); #311967=ORIENTED_EDGE('',*,*,#222996,.F.); #311968=ORIENTED_EDGE('',*,*,#222997,.T.); #311969=ORIENTED_EDGE('',*,*,#222998,.T.); #311970=ORIENTED_EDGE('',*,*,#222999,.T.); #311971=ORIENTED_EDGE('',*,*,#223000,.T.); #311972=ORIENTED_EDGE('',*,*,#223001,.F.); #311973=ORIENTED_EDGE('',*,*,#223002,.T.); #311974=ORIENTED_EDGE('',*,*,#223003,.T.); #311975=ORIENTED_EDGE('',*,*,#223004,.T.); #311976=ORIENTED_EDGE('',*,*,#223005,.F.); #311977=ORIENTED_EDGE('',*,*,#223006,.F.); #311978=ORIENTED_EDGE('',*,*,#223007,.T.); #311979=ORIENTED_EDGE('',*,*,#223008,.T.); #311980=ORIENTED_EDGE('',*,*,#223009,.F.); #311981=ORIENTED_EDGE('',*,*,#223010,.T.); #311982=ORIENTED_EDGE('',*,*,#223011,.F.); #311983=ORIENTED_EDGE('',*,*,#223012,.F.); #311984=ORIENTED_EDGE('',*,*,#223010,.F.); #311985=ORIENTED_EDGE('',*,*,#223013,.F.); #311986=ORIENTED_EDGE('',*,*,#223014,.F.); #311987=ORIENTED_EDGE('',*,*,#223015,.F.); #311988=ORIENTED_EDGE('',*,*,#223016,.T.); #311989=ORIENTED_EDGE('',*,*,#223017,.T.); #311990=ORIENTED_EDGE('',*,*,#223018,.T.); #311991=ORIENTED_EDGE('',*,*,#223019,.F.); #311992=ORIENTED_EDGE('',*,*,#223020,.F.); #311993=ORIENTED_EDGE('',*,*,#223021,.F.); #311994=ORIENTED_EDGE('',*,*,#223022,.F.); #311995=ORIENTED_EDGE('',*,*,#223023,.F.); #311996=ORIENTED_EDGE('',*,*,#223024,.F.); #311997=ORIENTED_EDGE('',*,*,#223025,.F.); #311998=ORIENTED_EDGE('',*,*,#223026,.F.); #311999=ORIENTED_EDGE('',*,*,#223027,.F.); #312000=ORIENTED_EDGE('',*,*,#223028,.F.); #312001=ORIENTED_EDGE('',*,*,#223029,.F.); #312002=ORIENTED_EDGE('',*,*,#223030,.F.); #312003=ORIENTED_EDGE('',*,*,#223031,.F.); #312004=ORIENTED_EDGE('',*,*,#223032,.F.); #312005=ORIENTED_EDGE('',*,*,#223033,.F.); #312006=ORIENTED_EDGE('',*,*,#223034,.F.); #312007=ORIENTED_EDGE('',*,*,#223035,.T.); #312008=ORIENTED_EDGE('',*,*,#223036,.T.); #312009=ORIENTED_EDGE('',*,*,#223037,.F.); #312010=ORIENTED_EDGE('',*,*,#223038,.F.); #312011=ORIENTED_EDGE('',*,*,#223039,.T.); #312012=ORIENTED_EDGE('',*,*,#223040,.T.); #312013=ORIENTED_EDGE('',*,*,#223041,.T.); #312014=ORIENTED_EDGE('',*,*,#223042,.F.); #312015=ORIENTED_EDGE('',*,*,#223043,.T.); #312016=ORIENTED_EDGE('',*,*,#223044,.F.); #312017=ORIENTED_EDGE('',*,*,#223045,.T.); #312018=ORIENTED_EDGE('',*,*,#223039,.F.); #312019=ORIENTED_EDGE('',*,*,#223046,.T.); #312020=ORIENTED_EDGE('',*,*,#223047,.F.); #312021=ORIENTED_EDGE('',*,*,#223048,.F.); #312022=ORIENTED_EDGE('',*,*,#223049,.F.); #312023=ORIENTED_EDGE('',*,*,#223050,.T.); #312024=ORIENTED_EDGE('',*,*,#223051,.F.); #312025=ORIENTED_EDGE('',*,*,#223052,.T.); #312026=ORIENTED_EDGE('',*,*,#223053,.T.); #312027=ORIENTED_EDGE('',*,*,#223054,.T.); #312028=ORIENTED_EDGE('',*,*,#223055,.F.); #312029=ORIENTED_EDGE('',*,*,#223056,.T.); #312030=ORIENTED_EDGE('',*,*,#223057,.T.); #312031=ORIENTED_EDGE('',*,*,#223058,.T.); #312032=ORIENTED_EDGE('',*,*,#223059,.F.); #312033=ORIENTED_EDGE('',*,*,#223060,.T.); #312034=ORIENTED_EDGE('',*,*,#223061,.T.); #312035=ORIENTED_EDGE('',*,*,#223062,.T.); #312036=ORIENTED_EDGE('',*,*,#223063,.F.); #312037=ORIENTED_EDGE('',*,*,#223064,.T.); #312038=ORIENTED_EDGE('',*,*,#223065,.T.); #312039=ORIENTED_EDGE('',*,*,#223066,.T.); #312040=ORIENTED_EDGE('',*,*,#223067,.F.); #312041=ORIENTED_EDGE('',*,*,#223068,.T.); #312042=ORIENTED_EDGE('',*,*,#223069,.T.); #312043=ORIENTED_EDGE('',*,*,#223070,.T.); #312044=ORIENTED_EDGE('',*,*,#223071,.F.); #312045=ORIENTED_EDGE('',*,*,#223072,.T.); #312046=ORIENTED_EDGE('',*,*,#223073,.T.); #312047=ORIENTED_EDGE('',*,*,#223074,.T.); #312048=ORIENTED_EDGE('',*,*,#223075,.F.); #312049=ORIENTED_EDGE('',*,*,#223076,.T.); #312050=ORIENTED_EDGE('',*,*,#223077,.T.); #312051=ORIENTED_EDGE('',*,*,#223078,.T.); #312052=ORIENTED_EDGE('',*,*,#223079,.F.); #312053=ORIENTED_EDGE('',*,*,#223080,.T.); #312054=ORIENTED_EDGE('',*,*,#223081,.T.); #312055=ORIENTED_EDGE('',*,*,#223082,.T.); #312056=ORIENTED_EDGE('',*,*,#223083,.F.); #312057=ORIENTED_EDGE('',*,*,#223084,.T.); #312058=ORIENTED_EDGE('',*,*,#223085,.T.); #312059=ORIENTED_EDGE('',*,*,#223086,.T.); #312060=ORIENTED_EDGE('',*,*,#223087,.F.); #312061=ORIENTED_EDGE('',*,*,#223088,.T.); #312062=ORIENTED_EDGE('',*,*,#223089,.T.); #312063=ORIENTED_EDGE('',*,*,#223090,.T.); #312064=ORIENTED_EDGE('',*,*,#223091,.F.); #312065=ORIENTED_EDGE('',*,*,#223092,.T.); #312066=ORIENTED_EDGE('',*,*,#223093,.T.); #312067=ORIENTED_EDGE('',*,*,#223094,.T.); #312068=ORIENTED_EDGE('',*,*,#223095,.F.); #312069=ORIENTED_EDGE('',*,*,#223096,.T.); #312070=ORIENTED_EDGE('',*,*,#223097,.T.); #312071=ORIENTED_EDGE('',*,*,#223098,.T.); #312072=ORIENTED_EDGE('',*,*,#223097,.F.); #312073=ORIENTED_EDGE('',*,*,#223099,.T.); #312074=ORIENTED_EDGE('',*,*,#223100,.T.); #312075=ORIENTED_EDGE('',*,*,#223101,.F.); #312076=ORIENTED_EDGE('',*,*,#223100,.F.); #312077=ORIENTED_EDGE('',*,*,#223102,.T.); #312078=ORIENTED_EDGE('',*,*,#223103,.T.); #312079=ORIENTED_EDGE('',*,*,#223104,.F.); #312080=ORIENTED_EDGE('',*,*,#223105,.F.); #312081=ORIENTED_EDGE('',*,*,#223106,.T.); #312082=ORIENTED_EDGE('',*,*,#223107,.T.); #312083=ORIENTED_EDGE('',*,*,#223108,.F.); #312084=ORIENTED_EDGE('',*,*,#223107,.F.); #312085=ORIENTED_EDGE('',*,*,#223109,.T.); #312086=ORIENTED_EDGE('',*,*,#223091,.T.); #312087=ORIENTED_EDGE('',*,*,#223110,.F.); #312088=ORIENTED_EDGE('',*,*,#223093,.F.); #312089=ORIENTED_EDGE('',*,*,#223111,.T.); #312090=ORIENTED_EDGE('',*,*,#223112,.T.); #312091=ORIENTED_EDGE('',*,*,#223113,.F.); #312092=ORIENTED_EDGE('',*,*,#223112,.F.); #312093=ORIENTED_EDGE('',*,*,#223114,.T.); #312094=ORIENTED_EDGE('',*,*,#223115,.T.); #312095=ORIENTED_EDGE('',*,*,#223116,.F.); #312096=ORIENTED_EDGE('',*,*,#223117,.F.); #312097=ORIENTED_EDGE('',*,*,#223118,.T.); #312098=ORIENTED_EDGE('',*,*,#223119,.T.); #312099=ORIENTED_EDGE('',*,*,#223120,.F.); #312100=ORIENTED_EDGE('',*,*,#223119,.F.); #312101=ORIENTED_EDGE('',*,*,#223121,.T.); #312102=ORIENTED_EDGE('',*,*,#223087,.T.); #312103=ORIENTED_EDGE('',*,*,#223122,.F.); #312104=ORIENTED_EDGE('',*,*,#223089,.F.); #312105=ORIENTED_EDGE('',*,*,#223123,.T.); #312106=ORIENTED_EDGE('',*,*,#223124,.T.); #312107=ORIENTED_EDGE('',*,*,#223125,.F.); #312108=ORIENTED_EDGE('',*,*,#223124,.F.); #312109=ORIENTED_EDGE('',*,*,#223126,.T.); #312110=ORIENTED_EDGE('',*,*,#223127,.T.); #312111=ORIENTED_EDGE('',*,*,#223128,.F.); #312112=ORIENTED_EDGE('',*,*,#223129,.F.); #312113=ORIENTED_EDGE('',*,*,#223130,.T.); #312114=ORIENTED_EDGE('',*,*,#223131,.T.); #312115=ORIENTED_EDGE('',*,*,#223132,.F.); #312116=ORIENTED_EDGE('',*,*,#223131,.F.); #312117=ORIENTED_EDGE('',*,*,#223133,.T.); #312118=ORIENTED_EDGE('',*,*,#223083,.T.); #312119=ORIENTED_EDGE('',*,*,#223134,.F.); #312120=ORIENTED_EDGE('',*,*,#223085,.F.); #312121=ORIENTED_EDGE('',*,*,#223135,.T.); #312122=ORIENTED_EDGE('',*,*,#223136,.T.); #312123=ORIENTED_EDGE('',*,*,#223137,.F.); #312124=ORIENTED_EDGE('',*,*,#223136,.F.); #312125=ORIENTED_EDGE('',*,*,#223138,.T.); #312126=ORIENTED_EDGE('',*,*,#223139,.T.); #312127=ORIENTED_EDGE('',*,*,#223140,.F.); #312128=ORIENTED_EDGE('',*,*,#223141,.F.); #312129=ORIENTED_EDGE('',*,*,#223142,.T.); #312130=ORIENTED_EDGE('',*,*,#223143,.T.); #312131=ORIENTED_EDGE('',*,*,#223144,.F.); #312132=ORIENTED_EDGE('',*,*,#223143,.F.); #312133=ORIENTED_EDGE('',*,*,#223145,.T.); #312134=ORIENTED_EDGE('',*,*,#223079,.T.); #312135=ORIENTED_EDGE('',*,*,#223146,.F.); #312136=ORIENTED_EDGE('',*,*,#223081,.F.); #312137=ORIENTED_EDGE('',*,*,#223147,.T.); #312138=ORIENTED_EDGE('',*,*,#223148,.T.); #312139=ORIENTED_EDGE('',*,*,#223149,.F.); #312140=ORIENTED_EDGE('',*,*,#223148,.F.); #312141=ORIENTED_EDGE('',*,*,#223150,.T.); #312142=ORIENTED_EDGE('',*,*,#223151,.T.); #312143=ORIENTED_EDGE('',*,*,#223152,.F.); #312144=ORIENTED_EDGE('',*,*,#223153,.F.); #312145=ORIENTED_EDGE('',*,*,#223154,.T.); #312146=ORIENTED_EDGE('',*,*,#223155,.T.); #312147=ORIENTED_EDGE('',*,*,#223156,.F.); #312148=ORIENTED_EDGE('',*,*,#223155,.F.); #312149=ORIENTED_EDGE('',*,*,#223157,.T.); #312150=ORIENTED_EDGE('',*,*,#223075,.T.); #312151=ORIENTED_EDGE('',*,*,#223158,.F.); #312152=ORIENTED_EDGE('',*,*,#223077,.F.); #312153=ORIENTED_EDGE('',*,*,#223159,.T.); #312154=ORIENTED_EDGE('',*,*,#223160,.T.); #312155=ORIENTED_EDGE('',*,*,#223161,.F.); #312156=ORIENTED_EDGE('',*,*,#223160,.F.); #312157=ORIENTED_EDGE('',*,*,#223162,.T.); #312158=ORIENTED_EDGE('',*,*,#223163,.T.); #312159=ORIENTED_EDGE('',*,*,#223164,.F.); #312160=ORIENTED_EDGE('',*,*,#223165,.F.); #312161=ORIENTED_EDGE('',*,*,#223166,.T.); #312162=ORIENTED_EDGE('',*,*,#223167,.T.); #312163=ORIENTED_EDGE('',*,*,#223168,.F.); #312164=ORIENTED_EDGE('',*,*,#223167,.F.); #312165=ORIENTED_EDGE('',*,*,#223169,.T.); #312166=ORIENTED_EDGE('',*,*,#223051,.T.); #312167=ORIENTED_EDGE('',*,*,#223170,.F.); #312168=ORIENTED_EDGE('',*,*,#223073,.F.); #312169=ORIENTED_EDGE('',*,*,#223171,.T.); #312170=ORIENTED_EDGE('',*,*,#223172,.T.); #312171=ORIENTED_EDGE('',*,*,#223173,.F.); #312172=ORIENTED_EDGE('',*,*,#223172,.F.); #312173=ORIENTED_EDGE('',*,*,#223174,.T.); #312174=ORIENTED_EDGE('',*,*,#223175,.T.); #312175=ORIENTED_EDGE('',*,*,#223176,.F.); #312176=ORIENTED_EDGE('',*,*,#223177,.F.); #312177=ORIENTED_EDGE('',*,*,#223071,.T.); #312178=ORIENTED_EDGE('',*,*,#223178,.T.); #312179=ORIENTED_EDGE('',*,*,#223179,.F.); #312180=ORIENTED_EDGE('',*,*,#223180,.F.); #312181=ORIENTED_EDGE('',*,*,#223179,.T.); #312182=ORIENTED_EDGE('',*,*,#223181,.T.); #312183=ORIENTED_EDGE('',*,*,#223182,.F.); #312184=ORIENTED_EDGE('',*,*,#223183,.F.); #312185=ORIENTED_EDGE('',*,*,#223184,.T.); #312186=ORIENTED_EDGE('',*,*,#223185,.T.); #312187=ORIENTED_EDGE('',*,*,#223186,.F.); #312188=ORIENTED_EDGE('',*,*,#223187,.F.); #312189=ORIENTED_EDGE('',*,*,#223186,.T.); #312190=ORIENTED_EDGE('',*,*,#223188,.T.); #312191=ORIENTED_EDGE('',*,*,#223069,.F.); #312192=ORIENTED_EDGE('',*,*,#223189,.F.); #312193=ORIENTED_EDGE('',*,*,#223067,.T.); #312194=ORIENTED_EDGE('',*,*,#223190,.T.); #312195=ORIENTED_EDGE('',*,*,#223191,.F.); #312196=ORIENTED_EDGE('',*,*,#223192,.F.); #312197=ORIENTED_EDGE('',*,*,#223191,.T.); #312198=ORIENTED_EDGE('',*,*,#223193,.T.); #312199=ORIENTED_EDGE('',*,*,#223194,.F.); #312200=ORIENTED_EDGE('',*,*,#223195,.F.); #312201=ORIENTED_EDGE('',*,*,#223196,.T.); #312202=ORIENTED_EDGE('',*,*,#223197,.T.); #312203=ORIENTED_EDGE('',*,*,#223198,.F.); #312204=ORIENTED_EDGE('',*,*,#223199,.F.); #312205=ORIENTED_EDGE('',*,*,#223198,.T.); #312206=ORIENTED_EDGE('',*,*,#223200,.T.); #312207=ORIENTED_EDGE('',*,*,#223065,.F.); #312208=ORIENTED_EDGE('',*,*,#223201,.F.); #312209=ORIENTED_EDGE('',*,*,#223063,.T.); #312210=ORIENTED_EDGE('',*,*,#223202,.T.); #312211=ORIENTED_EDGE('',*,*,#223203,.F.); #312212=ORIENTED_EDGE('',*,*,#223204,.F.); #312213=ORIENTED_EDGE('',*,*,#223203,.T.); #312214=ORIENTED_EDGE('',*,*,#223205,.T.); #312215=ORIENTED_EDGE('',*,*,#223206,.F.); #312216=ORIENTED_EDGE('',*,*,#223207,.F.); #312217=ORIENTED_EDGE('',*,*,#223208,.T.); #312218=ORIENTED_EDGE('',*,*,#223209,.T.); #312219=ORIENTED_EDGE('',*,*,#223210,.F.); #312220=ORIENTED_EDGE('',*,*,#223211,.F.); #312221=ORIENTED_EDGE('',*,*,#223210,.T.); #312222=ORIENTED_EDGE('',*,*,#223212,.T.); #312223=ORIENTED_EDGE('',*,*,#223061,.F.); #312224=ORIENTED_EDGE('',*,*,#223213,.F.); #312225=ORIENTED_EDGE('',*,*,#223059,.T.); #312226=ORIENTED_EDGE('',*,*,#223214,.T.); #312227=ORIENTED_EDGE('',*,*,#223215,.F.); #312228=ORIENTED_EDGE('',*,*,#223216,.F.); #312229=ORIENTED_EDGE('',*,*,#223215,.T.); #312230=ORIENTED_EDGE('',*,*,#223217,.T.); #312231=ORIENTED_EDGE('',*,*,#223218,.F.); #312232=ORIENTED_EDGE('',*,*,#223219,.F.); #312233=ORIENTED_EDGE('',*,*,#223220,.T.); #312234=ORIENTED_EDGE('',*,*,#223221,.T.); #312235=ORIENTED_EDGE('',*,*,#223222,.F.); #312236=ORIENTED_EDGE('',*,*,#223223,.F.); #312237=ORIENTED_EDGE('',*,*,#223222,.T.); #312238=ORIENTED_EDGE('',*,*,#223224,.T.); #312239=ORIENTED_EDGE('',*,*,#223057,.F.); #312240=ORIENTED_EDGE('',*,*,#223225,.F.); #312241=ORIENTED_EDGE('',*,*,#223055,.T.); #312242=ORIENTED_EDGE('',*,*,#223226,.T.); #312243=ORIENTED_EDGE('',*,*,#223227,.F.); #312244=ORIENTED_EDGE('',*,*,#223228,.F.); #312245=ORIENTED_EDGE('',*,*,#223227,.T.); #312246=ORIENTED_EDGE('',*,*,#223229,.T.); #312247=ORIENTED_EDGE('',*,*,#223230,.F.); #312248=ORIENTED_EDGE('',*,*,#223231,.F.); #312249=ORIENTED_EDGE('',*,*,#223232,.T.); #312250=ORIENTED_EDGE('',*,*,#223233,.T.); #312251=ORIENTED_EDGE('',*,*,#223234,.F.); #312252=ORIENTED_EDGE('',*,*,#223235,.F.); #312253=ORIENTED_EDGE('',*,*,#223234,.T.); #312254=ORIENTED_EDGE('',*,*,#223236,.T.); #312255=ORIENTED_EDGE('',*,*,#223053,.F.); #312256=ORIENTED_EDGE('',*,*,#223237,.F.); #312257=ORIENTED_EDGE('',*,*,#223095,.T.); #312258=ORIENTED_EDGE('',*,*,#223238,.T.); #312259=ORIENTED_EDGE('',*,*,#223239,.F.); #312260=ORIENTED_EDGE('',*,*,#223240,.F.); #312261=ORIENTED_EDGE('',*,*,#223239,.T.); #312262=ORIENTED_EDGE('',*,*,#223241,.T.); #312263=ORIENTED_EDGE('',*,*,#223242,.F.); #312264=ORIENTED_EDGE('',*,*,#223243,.T.); #312265=ORIENTED_EDGE('',*,*,#223244,.T.); #312266=ORIENTED_EDGE('',*,*,#223245,.T.); #312267=ORIENTED_EDGE('',*,*,#222958,.T.); #312268=ORIENTED_EDGE('',*,*,#223038,.T.); #312269=ORIENTED_EDGE('',*,*,#223246,.T.); #312270=ORIENTED_EDGE('',*,*,#223247,.F.); #312271=ORIENTED_EDGE('',*,*,#223243,.F.); #312272=ORIENTED_EDGE('',*,*,#223248,.F.); #312273=ORIENTED_EDGE('',*,*,#223249,.T.); #312274=ORIENTED_EDGE('',*,*,#223250,.T.); #312275=ORIENTED_EDGE('',*,*,#223251,.T.); #312276=ORIENTED_EDGE('',*,*,#223252,.F.); #312277=ORIENTED_EDGE('',*,*,#223251,.F.); #312278=ORIENTED_EDGE('',*,*,#223253,.F.); #312279=ORIENTED_EDGE('',*,*,#223041,.F.); #312280=ORIENTED_EDGE('',*,*,#222960,.F.); #312281=ORIENTED_EDGE('',*,*,#223254,.F.); #312282=ORIENTED_EDGE('',*,*,#223238,.F.); #312283=ORIENTED_EDGE('',*,*,#223098,.F.); #312284=ORIENTED_EDGE('',*,*,#223101,.T.); #312285=ORIENTED_EDGE('',*,*,#223104,.T.); #312286=ORIENTED_EDGE('',*,*,#223255,.T.); #312287=ORIENTED_EDGE('',*,*,#223108,.T.); #312288=ORIENTED_EDGE('',*,*,#223110,.T.); #312289=ORIENTED_EDGE('',*,*,#223094,.F.); #312290=ORIENTED_EDGE('',*,*,#223113,.T.); #312291=ORIENTED_EDGE('',*,*,#223116,.T.); #312292=ORIENTED_EDGE('',*,*,#223256,.T.); #312293=ORIENTED_EDGE('',*,*,#223120,.T.); #312294=ORIENTED_EDGE('',*,*,#223122,.T.); #312295=ORIENTED_EDGE('',*,*,#223090,.F.); #312296=ORIENTED_EDGE('',*,*,#223125,.T.); #312297=ORIENTED_EDGE('',*,*,#223128,.T.); #312298=ORIENTED_EDGE('',*,*,#223257,.T.); #312299=ORIENTED_EDGE('',*,*,#223132,.T.); #312300=ORIENTED_EDGE('',*,*,#223134,.T.); #312301=ORIENTED_EDGE('',*,*,#223086,.F.); #312302=ORIENTED_EDGE('',*,*,#223137,.T.); #312303=ORIENTED_EDGE('',*,*,#223140,.T.); #312304=ORIENTED_EDGE('',*,*,#223258,.T.); #312305=ORIENTED_EDGE('',*,*,#223144,.T.); #312306=ORIENTED_EDGE('',*,*,#223146,.T.); #312307=ORIENTED_EDGE('',*,*,#223082,.F.); #312308=ORIENTED_EDGE('',*,*,#223149,.T.); #312309=ORIENTED_EDGE('',*,*,#223152,.T.); #312310=ORIENTED_EDGE('',*,*,#223259,.T.); #312311=ORIENTED_EDGE('',*,*,#223156,.T.); #312312=ORIENTED_EDGE('',*,*,#223158,.T.); #312313=ORIENTED_EDGE('',*,*,#223078,.F.); #312314=ORIENTED_EDGE('',*,*,#223161,.T.); #312315=ORIENTED_EDGE('',*,*,#223164,.T.); #312316=ORIENTED_EDGE('',*,*,#223260,.T.); #312317=ORIENTED_EDGE('',*,*,#223168,.T.); #312318=ORIENTED_EDGE('',*,*,#223170,.T.); #312319=ORIENTED_EDGE('',*,*,#223054,.F.); #312320=ORIENTED_EDGE('',*,*,#223236,.F.); #312321=ORIENTED_EDGE('',*,*,#223233,.F.); #312322=ORIENTED_EDGE('',*,*,#223261,.F.); #312323=ORIENTED_EDGE('',*,*,#223229,.F.); #312324=ORIENTED_EDGE('',*,*,#223226,.F.); #312325=ORIENTED_EDGE('',*,*,#223058,.F.); #312326=ORIENTED_EDGE('',*,*,#223224,.F.); #312327=ORIENTED_EDGE('',*,*,#223221,.F.); #312328=ORIENTED_EDGE('',*,*,#223262,.F.); #312329=ORIENTED_EDGE('',*,*,#223217,.F.); #312330=ORIENTED_EDGE('',*,*,#223214,.F.); #312331=ORIENTED_EDGE('',*,*,#223062,.F.); #312332=ORIENTED_EDGE('',*,*,#223212,.F.); #312333=ORIENTED_EDGE('',*,*,#223209,.F.); #312334=ORIENTED_EDGE('',*,*,#223263,.F.); #312335=ORIENTED_EDGE('',*,*,#223205,.F.); #312336=ORIENTED_EDGE('',*,*,#223202,.F.); #312337=ORIENTED_EDGE('',*,*,#223066,.F.); #312338=ORIENTED_EDGE('',*,*,#223200,.F.); #312339=ORIENTED_EDGE('',*,*,#223197,.F.); #312340=ORIENTED_EDGE('',*,*,#223264,.F.); #312341=ORIENTED_EDGE('',*,*,#223193,.F.); #312342=ORIENTED_EDGE('',*,*,#223190,.F.); #312343=ORIENTED_EDGE('',*,*,#223070,.F.); #312344=ORIENTED_EDGE('',*,*,#223188,.F.); #312345=ORIENTED_EDGE('',*,*,#223185,.F.); #312346=ORIENTED_EDGE('',*,*,#223265,.F.); #312347=ORIENTED_EDGE('',*,*,#223181,.F.); #312348=ORIENTED_EDGE('',*,*,#223178,.F.); #312349=ORIENTED_EDGE('',*,*,#223074,.F.); #312350=ORIENTED_EDGE('',*,*,#223173,.T.); #312351=ORIENTED_EDGE('',*,*,#223176,.T.); #312352=ORIENTED_EDGE('',*,*,#223266,.T.); #312353=ORIENTED_EDGE('',*,*,#223254,.T.); #312354=ORIENTED_EDGE('',*,*,#222959,.T.); #312355=ORIENTED_EDGE('',*,*,#223245,.F.); #312356=ORIENTED_EDGE('',*,*,#223267,.F.); #312357=ORIENTED_EDGE('',*,*,#223241,.F.); #312358=ORIENTED_EDGE('',*,*,#223042,.T.); #312359=ORIENTED_EDGE('',*,*,#223253,.T.); #312360=ORIENTED_EDGE('',*,*,#223268,.T.); #312361=ORIENTED_EDGE('',*,*,#223269,.F.); #312362=ORIENTED_EDGE('',*,*,#223270,.F.); #312363=ORIENTED_EDGE('',*,*,#223271,.F.); #312364=ORIENTED_EDGE('',*,*,#223272,.T.); #312365=ORIENTED_EDGE('',*,*,#223246,.F.); #312366=ORIENTED_EDGE('',*,*,#223037,.T.); #312367=ORIENTED_EDGE('',*,*,#223273,.T.); #312368=ORIENTED_EDGE('',*,*,#223274,.T.); #312369=ORIENTED_EDGE('',*,*,#223275,.T.); #312370=ORIENTED_EDGE('',*,*,#223276,.F.); #312371=ORIENTED_EDGE('',*,*,#223277,.T.); #312372=ORIENTED_EDGE('',*,*,#223278,.F.); #312373=ORIENTED_EDGE('',*,*,#223279,.T.); #312374=ORIENTED_EDGE('',*,*,#223280,.T.); #312375=ORIENTED_EDGE('',*,*,#223281,.T.); #312376=ORIENTED_EDGE('',*,*,#223280,.F.); #312377=ORIENTED_EDGE('',*,*,#223282,.F.); #312378=ORIENTED_EDGE('',*,*,#223283,.F.); #312379=ORIENTED_EDGE('',*,*,#223284,.T.); #312380=ORIENTED_EDGE('',*,*,#223285,.T.); #312381=ORIENTED_EDGE('',*,*,#223286,.F.); #312382=ORIENTED_EDGE('',*,*,#223287,.T.); #312383=ORIENTED_EDGE('',*,*,#223288,.T.); #312384=ORIENTED_EDGE('',*,*,#223289,.F.); #312385=ORIENTED_EDGE('',*,*,#223290,.F.); #312386=ORIENTED_EDGE('',*,*,#223291,.F.); #312387=ORIENTED_EDGE('',*,*,#223292,.T.); #312388=ORIENTED_EDGE('',*,*,#223293,.T.); #312389=ORIENTED_EDGE('',*,*,#223294,.T.); #312390=ORIENTED_EDGE('',*,*,#223295,.F.); #312391=ORIENTED_EDGE('',*,*,#223296,.F.); #312392=ORIENTED_EDGE('',*,*,#223297,.T.); #312393=ORIENTED_EDGE('',*,*,#223298,.T.); #312394=ORIENTED_EDGE('',*,*,#223299,.T.); #312395=ORIENTED_EDGE('',*,*,#223300,.T.); #312396=ORIENTED_EDGE('',*,*,#223301,.F.); #312397=ORIENTED_EDGE('',*,*,#223302,.F.); #312398=ORIENTED_EDGE('',*,*,#223303,.F.); #312399=ORIENTED_EDGE('',*,*,#223304,.T.); #312400=ORIENTED_EDGE('',*,*,#223305,.T.); #312401=ORIENTED_EDGE('',*,*,#223306,.F.); #312402=ORIENTED_EDGE('',*,*,#223307,.F.); #312403=ORIENTED_EDGE('',*,*,#223308,.F.); #312404=ORIENTED_EDGE('',*,*,#223309,.T.); #312405=ORIENTED_EDGE('',*,*,#223284,.F.); #312406=ORIENTED_EDGE('',*,*,#223310,.F.); #312407=ORIENTED_EDGE('',*,*,#223311,.T.); #312408=ORIENTED_EDGE('',*,*,#223312,.T.); #312409=ORIENTED_EDGE('',*,*,#223313,.T.); #312410=ORIENTED_EDGE('',*,*,#223314,.T.); #312411=ORIENTED_EDGE('',*,*,#223315,.T.); #312412=ORIENTED_EDGE('',*,*,#223316,.T.); #312413=ORIENTED_EDGE('',*,*,#223317,.F.); #312414=ORIENTED_EDGE('',*,*,#223318,.F.); #312415=ORIENTED_EDGE('',*,*,#223319,.F.); #312416=ORIENTED_EDGE('',*,*,#222975,.F.); #312417=ORIENTED_EDGE('',*,*,#223320,.F.); #312418=ORIENTED_EDGE('',*,*,#223312,.F.); #312419=ORIENTED_EDGE('',*,*,#223321,.T.); #312420=ORIENTED_EDGE('',*,*,#223288,.F.); #312421=ORIENTED_EDGE('',*,*,#223322,.F.); #312422=ORIENTED_EDGE('',*,*,#223323,.F.); #312423=ORIENTED_EDGE('',*,*,#223300,.F.); #312424=ORIENTED_EDGE('',*,*,#223324,.T.); #312425=ORIENTED_EDGE('',*,*,#223305,.F.); #312426=ORIENTED_EDGE('',*,*,#223325,.F.); #312427=ORIENTED_EDGE('',*,*,#223326,.F.); #312428=ORIENTED_EDGE('',*,*,#222978,.F.); #312429=ORIENTED_EDGE('',*,*,#222993,.F.); #312430=ORIENTED_EDGE('',*,*,#222990,.F.); #312431=ORIENTED_EDGE('',*,*,#223327,.F.); #312432=ORIENTED_EDGE('',*,*,#223328,.T.); #312433=ORIENTED_EDGE('',*,*,#223329,.T.); #312434=ORIENTED_EDGE('',*,*,#223330,.T.); #312435=ORIENTED_EDGE('',*,*,#223331,.T.); #312436=ORIENTED_EDGE('',*,*,#223332,.T.); #312437=ORIENTED_EDGE('',*,*,#223308,.T.); #312438=ORIENTED_EDGE('',*,*,#223333,.T.); #312439=ORIENTED_EDGE('',*,*,#223334,.T.); #312440=ORIENTED_EDGE('',*,*,#223335,.F.); #312441=ORIENTED_EDGE('',*,*,#223336,.F.); #312442=ORIENTED_EDGE('',*,*,#223329,.F.); #312443=ORIENTED_EDGE('',*,*,#223337,.T.); #312444=ORIENTED_EDGE('',*,*,#223000,.F.); #312445=ORIENTED_EDGE('',*,*,#223338,.T.); #312446=ORIENTED_EDGE('',*,*,#223004,.F.); #312447=ORIENTED_EDGE('',*,*,#223339,.T.); #312448=ORIENTED_EDGE('',*,*,#223333,.F.); #312449=ORIENTED_EDGE('',*,*,#223340,.F.); #312450=ORIENTED_EDGE('',*,*,#223341,.F.); #312451=ORIENTED_EDGE('',*,*,#223316,.F.); #312452=ORIENTED_EDGE('',*,*,#223342,.F.); #312453=ORIENTED_EDGE('',*,*,#223008,.F.); #312454=ORIENTED_EDGE('',*,*,#223343,.F.); #312455=ORIENTED_EDGE('',*,*,#223344,.T.); #312456=ORIENTED_EDGE('',*,*,#223345,.T.); #312457=ORIENTED_EDGE('',*,*,#223287,.F.); #312458=ORIENTED_EDGE('',*,*,#223274,.F.); #312459=ORIENTED_EDGE('',*,*,#223346,.T.); #312460=ORIENTED_EDGE('',*,*,#223347,.T.); #312461=ORIENTED_EDGE('',*,*,#223348,.T.); #312462=ORIENTED_EDGE('',*,*,#223344,.F.); #312463=ORIENTED_EDGE('',*,*,#223349,.T.); #312464=ORIENTED_EDGE('',*,*,#223347,.F.); #312465=ORIENTED_EDGE('',*,*,#223350,.T.); #312466=ORIENTED_EDGE('',*,*,#223009,.T.); #312467=ORIENTED_EDGE('',*,*,#223351,.T.); #312468=ORIENTED_EDGE('',*,*,#222999,.F.); #312469=ORIENTED_EDGE('',*,*,#223047,.T.); #312470=ORIENTED_EDGE('',*,*,#223352,.T.); #312471=ORIENTED_EDGE('',*,*,#223353,.T.); #312472=ORIENTED_EDGE('',*,*,#223352,.F.); #312473=ORIENTED_EDGE('',*,*,#223354,.T.); #312474=ORIENTED_EDGE('',*,*,#223271,.T.); #312475=ORIENTED_EDGE('',*,*,#223355,.F.); #312476=ORIENTED_EDGE('',*,*,#223278,.T.); #312477=ORIENTED_EDGE('',*,*,#223356,.T.); #312478=ORIENTED_EDGE('',*,*,#223357,.F.); #312479=ORIENTED_EDGE('',*,*,#223358,.T.); #312480=ORIENTED_EDGE('',*,*,#222979,.T.); #312481=ORIENTED_EDGE('',*,*,#223326,.T.); #312482=ORIENTED_EDGE('',*,*,#223359,.T.); #312483=ORIENTED_EDGE('',*,*,#223360,.T.); #312484=ORIENTED_EDGE('',*,*,#223361,.T.); #312485=ORIENTED_EDGE('',*,*,#223362,.T.); #312486=ORIENTED_EDGE('',*,*,#223303,.T.); #312487=ORIENTED_EDGE('',*,*,#223363,.F.); #312488=ORIENTED_EDGE('',*,*,#223364,.F.); #312489=ORIENTED_EDGE('',*,*,#223365,.F.); #312490=ORIENTED_EDGE('',*,*,#223366,.F.); #312491=ORIENTED_EDGE('',*,*,#223310,.T.); #312492=ORIENTED_EDGE('',*,*,#222974,.F.); #312493=ORIENTED_EDGE('',*,*,#223367,.T.); #312494=ORIENTED_EDGE('',*,*,#223368,.T.); #312495=ORIENTED_EDGE('',*,*,#223369,.T.); #312496=ORIENTED_EDGE('',*,*,#223370,.F.); #312497=ORIENTED_EDGE('',*,*,#223371,.T.); #312498=ORIENTED_EDGE('',*,*,#223357,.T.); #312499=ORIENTED_EDGE('',*,*,#223320,.T.); #312500=ORIENTED_EDGE('',*,*,#223370,.T.); #312501=ORIENTED_EDGE('',*,*,#223372,.T.); #312502=ORIENTED_EDGE('',*,*,#223373,.F.); #312503=ORIENTED_EDGE('',*,*,#223374,.T.); #312504=ORIENTED_EDGE('',*,*,#223375,.T.); #312505=ORIENTED_EDGE('',*,*,#223376,.T.); #312506=ORIENTED_EDGE('',*,*,#222972,.T.); #312507=ORIENTED_EDGE('',*,*,#223319,.T.); #312508=ORIENTED_EDGE('',*,*,#223377,.F.); #312509=ORIENTED_EDGE('',*,*,#223378,.T.); #312510=ORIENTED_EDGE('',*,*,#223373,.T.); #312511=ORIENTED_EDGE('',*,*,#223379,.T.); #312512=ORIENTED_EDGE('',*,*,#222989,.F.); #312513=ORIENTED_EDGE('',*,*,#223380,.T.); #312514=ORIENTED_EDGE('',*,*,#223377,.T.); #312515=ORIENTED_EDGE('',*,*,#223318,.T.); #312516=ORIENTED_EDGE('',*,*,#223381,.F.); #312517=ORIENTED_EDGE('',*,*,#223382,.F.); #312518=ORIENTED_EDGE('',*,*,#223383,.T.); #312519=ORIENTED_EDGE('',*,*,#223335,.T.); #312520=ORIENTED_EDGE('',*,*,#223384,.F.); #312521=ORIENTED_EDGE('',*,*,#223385,.T.); #312522=ORIENTED_EDGE('',*,*,#223386,.T.); #312523=ORIENTED_EDGE('',*,*,#223327,.T.); #312524=ORIENTED_EDGE('',*,*,#223387,.T.); #312525=ORIENTED_EDGE('',*,*,#223388,.T.); #312526=ORIENTED_EDGE('',*,*,#223389,.T.); #312527=ORIENTED_EDGE('',*,*,#223390,.T.); #312528=ORIENTED_EDGE('',*,*,#223391,.T.); #312529=ORIENTED_EDGE('',*,*,#223392,.T.); #312530=ORIENTED_EDGE('',*,*,#223393,.T.); #312531=ORIENTED_EDGE('',*,*,#223394,.T.); #312532=ORIENTED_EDGE('',*,*,#223395,.F.); #312533=ORIENTED_EDGE('',*,*,#223396,.F.); #312534=ORIENTED_EDGE('',*,*,#223397,.T.); #312535=ORIENTED_EDGE('',*,*,#223398,.T.); #312536=ORIENTED_EDGE('',*,*,#223399,.F.); #312537=ORIENTED_EDGE('',*,*,#223400,.F.); #312538=ORIENTED_EDGE('',*,*,#223401,.T.); #312539=ORIENTED_EDGE('',*,*,#223396,.T.); #312540=ORIENTED_EDGE('',*,*,#223402,.F.); #312541=ORIENTED_EDGE('',*,*,#223398,.F.); #312542=ORIENTED_EDGE('',*,*,#223403,.T.); #312543=ORIENTED_EDGE('',*,*,#223404,.T.); #312544=ORIENTED_EDGE('',*,*,#223405,.F.); #312545=ORIENTED_EDGE('',*,*,#223404,.F.); #312546=ORIENTED_EDGE('',*,*,#223406,.T.); #312547=ORIENTED_EDGE('',*,*,#223407,.T.); #312548=ORIENTED_EDGE('',*,*,#223408,.F.); #312549=ORIENTED_EDGE('',*,*,#223407,.F.); #312550=ORIENTED_EDGE('',*,*,#223409,.T.); #312551=ORIENTED_EDGE('',*,*,#223410,.T.); #312552=ORIENTED_EDGE('',*,*,#223411,.T.); #312553=ORIENTED_EDGE('',*,*,#223412,.T.); #312554=ORIENTED_EDGE('',*,*,#223413,.F.); #312555=ORIENTED_EDGE('',*,*,#223410,.F.); #312556=ORIENTED_EDGE('',*,*,#223414,.T.); #312557=ORIENTED_EDGE('',*,*,#223415,.T.); #312558=ORIENTED_EDGE('',*,*,#223416,.T.); #312559=ORIENTED_EDGE('',*,*,#223417,.T.); #312560=ORIENTED_EDGE('',*,*,#223418,.F.); #312561=ORIENTED_EDGE('',*,*,#223419,.T.); #312562=ORIENTED_EDGE('',*,*,#223420,.T.); #312563=ORIENTED_EDGE('',*,*,#223421,.T.); #312564=ORIENTED_EDGE('',*,*,#223422,.F.); #312565=ORIENTED_EDGE('',*,*,#223421,.F.); #312566=ORIENTED_EDGE('',*,*,#223423,.T.); #312567=ORIENTED_EDGE('',*,*,#223424,.T.); #312568=ORIENTED_EDGE('',*,*,#223425,.F.); #312569=ORIENTED_EDGE('',*,*,#223424,.F.); #312570=ORIENTED_EDGE('',*,*,#223426,.T.); #312571=ORIENTED_EDGE('',*,*,#223400,.T.); #312572=ORIENTED_EDGE('',*,*,#223427,.F.); #312573=ORIENTED_EDGE('',*,*,#223428,.T.); #312574=ORIENTED_EDGE('',*,*,#223429,.F.); #312575=ORIENTED_EDGE('',*,*,#223411,.F.); #312576=ORIENTED_EDGE('',*,*,#223409,.F.); #312577=ORIENTED_EDGE('',*,*,#223406,.F.); #312578=ORIENTED_EDGE('',*,*,#223403,.F.); #312579=ORIENTED_EDGE('',*,*,#223397,.F.); #312580=ORIENTED_EDGE('',*,*,#223401,.F.); #312581=ORIENTED_EDGE('',*,*,#223426,.F.); #312582=ORIENTED_EDGE('',*,*,#223423,.F.); #312583=ORIENTED_EDGE('',*,*,#223420,.F.); #312584=ORIENTED_EDGE('',*,*,#223413,.T.); #312585=ORIENTED_EDGE('',*,*,#223430,.T.); #312586=ORIENTED_EDGE('',*,*,#223431,.T.); #312587=ORIENTED_EDGE('',*,*,#223432,.T.); #312588=ORIENTED_EDGE('',*,*,#223418,.T.); #312589=ORIENTED_EDGE('',*,*,#223422,.T.); #312590=ORIENTED_EDGE('',*,*,#223425,.T.); #312591=ORIENTED_EDGE('',*,*,#223399,.T.); #312592=ORIENTED_EDGE('',*,*,#223395,.T.); #312593=ORIENTED_EDGE('',*,*,#223402,.T.); #312594=ORIENTED_EDGE('',*,*,#223405,.T.); #312595=ORIENTED_EDGE('',*,*,#223408,.T.); #312596=ORIENTED_EDGE('',*,*,#223433,.F.); #312597=ORIENTED_EDGE('',*,*,#222980,.F.); #312598=ORIENTED_EDGE('',*,*,#223434,.F.); #312599=ORIENTED_EDGE('',*,*,#223392,.F.); #312600=ORIENTED_EDGE('',*,*,#223434,.T.); #312601=ORIENTED_EDGE('',*,*,#223358,.F.); #312602=ORIENTED_EDGE('',*,*,#223435,.F.); #312603=ORIENTED_EDGE('',*,*,#223393,.F.); #312604=ORIENTED_EDGE('',*,*,#223433,.T.); #312605=ORIENTED_EDGE('',*,*,#223391,.F.); #312606=ORIENTED_EDGE('',*,*,#223436,.F.); #312607=ORIENTED_EDGE('',*,*,#222995,.F.); #312608=ORIENTED_EDGE('',*,*,#223435,.T.); #312609=ORIENTED_EDGE('',*,*,#223371,.F.); #312610=ORIENTED_EDGE('',*,*,#223437,.F.); #312611=ORIENTED_EDGE('',*,*,#223394,.F.); #312612=ORIENTED_EDGE('',*,*,#223436,.T.); #312613=ORIENTED_EDGE('',*,*,#223390,.F.); #312614=ORIENTED_EDGE('',*,*,#223438,.F.); #312615=ORIENTED_EDGE('',*,*,#222988,.F.); #312616=ORIENTED_EDGE('',*,*,#223437,.T.); #312617=ORIENTED_EDGE('',*,*,#223374,.F.); #312618=ORIENTED_EDGE('',*,*,#223439,.F.); #312619=ORIENTED_EDGE('',*,*,#223387,.F.); #312620=ORIENTED_EDGE('',*,*,#223438,.T.); #312621=ORIENTED_EDGE('',*,*,#223389,.F.); #312622=ORIENTED_EDGE('',*,*,#223440,.F.); #312623=ORIENTED_EDGE('',*,*,#223380,.F.); #312624=ORIENTED_EDGE('',*,*,#223439,.T.); #312625=ORIENTED_EDGE('',*,*,#223378,.F.); #312626=ORIENTED_EDGE('',*,*,#223440,.T.); #312627=ORIENTED_EDGE('',*,*,#223388,.F.); #312628=ORIENTED_EDGE('',*,*,#223441,.F.); #312629=ORIENTED_EDGE('',*,*,#223281,.F.); #312630=ORIENTED_EDGE('',*,*,#223309,.F.); #312631=ORIENTED_EDGE('',*,*,#223332,.F.); #312632=ORIENTED_EDGE('',*,*,#223441,.T.); #312633=ORIENTED_EDGE('',*,*,#223331,.F.); #312634=ORIENTED_EDGE('',*,*,#223442,.F.); #312635=ORIENTED_EDGE('',*,*,#223356,.F.); #312636=ORIENTED_EDGE('',*,*,#223322,.T.); #312637=ORIENTED_EDGE('',*,*,#223345,.F.); #312638=ORIENTED_EDGE('',*,*,#223443,.F.); #312639=ORIENTED_EDGE('',*,*,#223313,.F.); #312640=ORIENTED_EDGE('',*,*,#223442,.T.); #312641=ORIENTED_EDGE('',*,*,#223330,.F.); #312642=ORIENTED_EDGE('',*,*,#223338,.F.); #312643=ORIENTED_EDGE('',*,*,#223353,.F.); #312644=ORIENTED_EDGE('',*,*,#223443,.T.); #312645=ORIENTED_EDGE('',*,*,#223348,.F.); #312646=ORIENTED_EDGE('',*,*,#223444,.F.); #312647=ORIENTED_EDGE('',*,*,#223314,.F.); #312648=ORIENTED_EDGE('',*,*,#223444,.T.); #312649=ORIENTED_EDGE('',*,*,#223351,.F.); #312650=ORIENTED_EDGE('',*,*,#223342,.T.); #312651=ORIENTED_EDGE('',*,*,#223315,.F.); #312652=ORIENTED_EDGE('',*,*,#223431,.F.); #312653=ORIENTED_EDGE('',*,*,#223445,.T.); #312654=ORIENTED_EDGE('',*,*,#223414,.F.); #312655=ORIENTED_EDGE('',*,*,#223446,.T.); #312656=ORIENTED_EDGE('',*,*,#223416,.F.); #312657=ORIENTED_EDGE('',*,*,#223447,.T.); #312658=ORIENTED_EDGE('',*,*,#223428,.F.); #312659=ORIENTED_EDGE('',*,*,#223448,.T.); #312660=ORIENTED_EDGE('',*,*,#223427,.T.); #312661=ORIENTED_EDGE('',*,*,#223419,.F.); #312662=ORIENTED_EDGE('',*,*,#223432,.F.); #312663=ORIENTED_EDGE('',*,*,#223446,.F.); #312664=ORIENTED_EDGE('',*,*,#223417,.F.); #312665=ORIENTED_EDGE('',*,*,#223448,.F.); #312666=ORIENTED_EDGE('',*,*,#223447,.F.); #312667=ORIENTED_EDGE('',*,*,#223415,.F.); #312668=ORIENTED_EDGE('',*,*,#223445,.F.); #312669=ORIENTED_EDGE('',*,*,#223430,.F.); #312670=ORIENTED_EDGE('',*,*,#223412,.F.); #312671=ORIENTED_EDGE('',*,*,#223429,.T.); #312672=ORIENTED_EDGE('',*,*,#223341,.T.); #312673=ORIENTED_EDGE('',*,*,#223007,.F.); #312674=ORIENTED_EDGE('',*,*,#223449,.F.); #312675=ORIENTED_EDGE('',*,*,#223381,.T.); #312676=ORIENTED_EDGE('',*,*,#223317,.T.); #312677=ORIENTED_EDGE('',*,*,#223340,.T.); #312678=ORIENTED_EDGE('',*,*,#223336,.T.); #312679=ORIENTED_EDGE('',*,*,#223383,.F.); #312680=ORIENTED_EDGE('',*,*,#223450,.F.); #312681=ORIENTED_EDGE('',*,*,#223005,.T.); #312682=ORIENTED_EDGE('',*,*,#223449,.T.); #312683=ORIENTED_EDGE('',*,*,#223006,.T.); #312684=ORIENTED_EDGE('',*,*,#223450,.T.); #312685=ORIENTED_EDGE('',*,*,#223382,.T.); #312686=ORIENTED_EDGE('',*,*,#223328,.F.); #312687=ORIENTED_EDGE('',*,*,#223386,.F.); #312688=ORIENTED_EDGE('',*,*,#223451,.T.); #312689=ORIENTED_EDGE('',*,*,#223001,.T.); #312690=ORIENTED_EDGE('',*,*,#223337,.F.); #312691=ORIENTED_EDGE('',*,*,#223003,.F.); #312692=ORIENTED_EDGE('',*,*,#223452,.T.); #312693=ORIENTED_EDGE('',*,*,#223384,.T.); #312694=ORIENTED_EDGE('',*,*,#223334,.F.); #312695=ORIENTED_EDGE('',*,*,#223339,.F.); #312696=ORIENTED_EDGE('',*,*,#223451,.F.); #312697=ORIENTED_EDGE('',*,*,#223385,.F.); #312698=ORIENTED_EDGE('',*,*,#223452,.F.); #312699=ORIENTED_EDGE('',*,*,#223002,.F.); #312700=ORIENTED_EDGE('',*,*,#223311,.F.); #312701=ORIENTED_EDGE('',*,*,#223366,.T.); #312702=ORIENTED_EDGE('',*,*,#223453,.T.); #312703=ORIENTED_EDGE('',*,*,#223285,.F.); #312704=ORIENTED_EDGE('',*,*,#223321,.F.); #312705=ORIENTED_EDGE('',*,*,#223297,.F.); #312706=ORIENTED_EDGE('',*,*,#223454,.F.); #312707=ORIENTED_EDGE('',*,*,#223455,.F.); #312708=ORIENTED_EDGE('',*,*,#223456,.T.); #312709=ORIENTED_EDGE('',*,*,#223275,.F.); #312710=ORIENTED_EDGE('',*,*,#223349,.F.); #312711=ORIENTED_EDGE('',*,*,#223343,.T.); #312712=ORIENTED_EDGE('',*,*,#223286,.T.); #312713=ORIENTED_EDGE('',*,*,#223453,.F.); #312714=ORIENTED_EDGE('',*,*,#223365,.T.); #312715=ORIENTED_EDGE('',*,*,#223457,.T.); #312716=ORIENTED_EDGE('',*,*,#223298,.F.); #312717=ORIENTED_EDGE('',*,*,#223457,.F.); #312718=ORIENTED_EDGE('',*,*,#223364,.T.); #312719=ORIENTED_EDGE('',*,*,#223458,.T.); #312720=ORIENTED_EDGE('',*,*,#223299,.F.); #312721=ORIENTED_EDGE('',*,*,#223458,.F.); #312722=ORIENTED_EDGE('',*,*,#223363,.T.); #312723=ORIENTED_EDGE('',*,*,#223306,.T.); #312724=ORIENTED_EDGE('',*,*,#223324,.F.); #312725=ORIENTED_EDGE('',*,*,#223459,.T.); #312726=ORIENTED_EDGE('',*,*,#223291,.T.); #312727=ORIENTED_EDGE('',*,*,#223460,.F.); #312728=ORIENTED_EDGE('',*,*,#223461,.F.); #312729=ORIENTED_EDGE('',*,*,#223462,.T.); #312730=ORIENTED_EDGE('',*,*,#223295,.T.); #312731=ORIENTED_EDGE('',*,*,#223463,.T.); #312732=ORIENTED_EDGE('',*,*,#223464,.F.); #312733=ORIENTED_EDGE('',*,*,#223465,.T.); #312734=ORIENTED_EDGE('',*,*,#223466,.T.); #312735=ORIENTED_EDGE('',*,*,#223467,.T.); #312736=ORIENTED_EDGE('',*,*,#223468,.F.); #312737=ORIENTED_EDGE('',*,*,#223469,.T.); #312738=ORIENTED_EDGE('',*,*,#223464,.T.); #312739=ORIENTED_EDGE('',*,*,#223470,.T.); #312740=ORIENTED_EDGE('',*,*,#223468,.T.); #312741=ORIENTED_EDGE('',*,*,#223307,.T.); #312742=ORIENTED_EDGE('',*,*,#223283,.T.); #312743=ORIENTED_EDGE('',*,*,#223471,.F.); #312744=ORIENTED_EDGE('',*,*,#223359,.F.); #312745=ORIENTED_EDGE('',*,*,#223325,.T.); #312746=ORIENTED_EDGE('',*,*,#223471,.T.); #312747=ORIENTED_EDGE('',*,*,#223282,.T.); #312748=ORIENTED_EDGE('',*,*,#223279,.F.); #312749=ORIENTED_EDGE('',*,*,#223355,.T.); #312750=ORIENTED_EDGE('',*,*,#223270,.T.); #312751=ORIENTED_EDGE('',*,*,#223472,.F.); #312752=ORIENTED_EDGE('',*,*,#223473,.F.); #312753=ORIENTED_EDGE('',*,*,#223474,.T.); #312754=ORIENTED_EDGE('',*,*,#223289,.T.); #312755=ORIENTED_EDGE('',*,*,#223475,.F.); #312756=ORIENTED_EDGE('',*,*,#223360,.F.); #312757=ORIENTED_EDGE('',*,*,#223302,.T.); #312758=ORIENTED_EDGE('',*,*,#223476,.F.); #312759=ORIENTED_EDGE('',*,*,#223361,.F.); #312760=ORIENTED_EDGE('',*,*,#223475,.T.); #312761=ORIENTED_EDGE('',*,*,#223323,.T.); #312762=ORIENTED_EDGE('',*,*,#223304,.F.); #312763=ORIENTED_EDGE('',*,*,#223362,.F.); #312764=ORIENTED_EDGE('',*,*,#223476,.T.); #312765=ORIENTED_EDGE('',*,*,#223301,.T.); #312766=ORIENTED_EDGE('',*,*,#223463,.F.); #312767=ORIENTED_EDGE('',*,*,#223294,.F.); #312768=ORIENTED_EDGE('',*,*,#223477,.T.); #312769=ORIENTED_EDGE('',*,*,#223465,.F.); #312770=ORIENTED_EDGE('',*,*,#223470,.F.); #312771=ORIENTED_EDGE('',*,*,#223467,.F.); #312772=ORIENTED_EDGE('',*,*,#223478,.T.); #312773=ORIENTED_EDGE('',*,*,#223292,.F.); #312774=ORIENTED_EDGE('',*,*,#223459,.F.); #312775=ORIENTED_EDGE('',*,*,#223469,.F.); #312776=ORIENTED_EDGE('',*,*,#223477,.F.); #312777=ORIENTED_EDGE('',*,*,#223293,.F.); #312778=ORIENTED_EDGE('',*,*,#223478,.F.); #312779=ORIENTED_EDGE('',*,*,#223466,.F.); #312780=ORIENTED_EDGE('',*,*,#223290,.T.); #312781=ORIENTED_EDGE('',*,*,#223474,.F.); #312782=ORIENTED_EDGE('',*,*,#223479,.F.); #312783=ORIENTED_EDGE('',*,*,#223460,.T.); #312784=ORIENTED_EDGE('',*,*,#223269,.T.); #312785=ORIENTED_EDGE('',*,*,#223480,.F.); #312786=ORIENTED_EDGE('',*,*,#223481,.F.); #312787=ORIENTED_EDGE('',*,*,#223472,.T.); #312788=ORIENTED_EDGE('',*,*,#223480,.T.); #312789=ORIENTED_EDGE('',*,*,#223482,.F.); #312790=ORIENTED_EDGE('',*,*,#223483,.T.); #312791=ORIENTED_EDGE('',*,*,#223484,.T.); #312792=ORIENTED_EDGE('',*,*,#223485,.F.); #312793=ORIENTED_EDGE('',*,*,#223486,.F.); #312794=ORIENTED_EDGE('',*,*,#223276,.T.); #312795=ORIENTED_EDGE('',*,*,#223456,.F.); #312796=ORIENTED_EDGE('',*,*,#223487,.F.); #312797=ORIENTED_EDGE('',*,*,#223485,.T.); #312798=ORIENTED_EDGE('',*,*,#223296,.T.); #312799=ORIENTED_EDGE('',*,*,#223462,.F.); #312800=ORIENTED_EDGE('',*,*,#223488,.F.); #312801=ORIENTED_EDGE('',*,*,#223454,.T.); #312802=ORIENTED_EDGE('',*,*,#223479,.T.); #312803=ORIENTED_EDGE('',*,*,#223473,.T.); #312804=ORIENTED_EDGE('',*,*,#223481,.T.); #312805=ORIENTED_EDGE('',*,*,#223486,.T.); #312806=ORIENTED_EDGE('',*,*,#223487,.T.); #312807=ORIENTED_EDGE('',*,*,#223455,.T.); #312808=ORIENTED_EDGE('',*,*,#223488,.T.); #312809=ORIENTED_EDGE('',*,*,#223461,.T.); #312810=ORIENTED_EDGE('',*,*,#223489,.F.); #312811=ORIENTED_EDGE('',*,*,#223490,.T.); #312812=ORIENTED_EDGE('',*,*,#223490,.F.); #312813=ORIENTED_EDGE('',*,*,#223491,.T.); #312814=ORIENTED_EDGE('',*,*,#223492,.T.); #312815=ORIENTED_EDGE('',*,*,#223491,.F.); #312816=ORIENTED_EDGE('',*,*,#223493,.T.); #312817=ORIENTED_EDGE('',*,*,#223489,.T.); #312818=ORIENTED_EDGE('',*,*,#223494,.T.); #312819=ORIENTED_EDGE('',*,*,#223495,.T.); #312820=ORIENTED_EDGE('',*,*,#223494,.F.); #312821=ORIENTED_EDGE('',*,*,#223496,.T.); #312822=ORIENTED_EDGE('',*,*,#223495,.F.); #312823=ORIENTED_EDGE('',*,*,#223497,.T.); #312824=ORIENTED_EDGE('',*,*,#223496,.F.); #312825=ORIENTED_EDGE('',*,*,#223497,.F.); #312826=ORIENTED_EDGE('',*,*,#223492,.F.); #312827=ORIENTED_EDGE('',*,*,#223498,.T.); #312828=ORIENTED_EDGE('',*,*,#223493,.F.); #312829=ORIENTED_EDGE('',*,*,#223498,.F.); #312830=ORIENTED_EDGE('',*,*,#223272,.F.); #312831=ORIENTED_EDGE('',*,*,#223354,.F.); #312832=ORIENTED_EDGE('',*,*,#223046,.F.); #312833=ORIENTED_EDGE('',*,*,#223043,.F.); #312834=ORIENTED_EDGE('',*,*,#222998,.F.); #312835=ORIENTED_EDGE('',*,*,#223499,.F.); #312836=ORIENTED_EDGE('',*,*,#223500,.F.); #312837=ORIENTED_EDGE('',*,*,#223048,.T.); #312838=ORIENTED_EDGE('',*,*,#223501,.F.); #312839=ORIENTED_EDGE('',*,*,#223502,.T.); #312840=ORIENTED_EDGE('',*,*,#223503,.F.); #312841=ORIENTED_EDGE('',*,*,#223504,.F.); #312842=ORIENTED_EDGE('',*,*,#223013,.T.); #312843=ORIENTED_EDGE('',*,*,#223350,.F.); #312844=ORIENTED_EDGE('',*,*,#223505,.F.); #312845=ORIENTED_EDGE('',*,*,#223035,.F.); #312846=ORIENTED_EDGE('',*,*,#223273,.F.); #312847=ORIENTED_EDGE('',*,*,#223036,.F.); #312848=ORIENTED_EDGE('',*,*,#223505,.T.); #312849=ORIENTED_EDGE('',*,*,#223346,.F.); #312850=ORIENTED_EDGE('',*,*,#223268,.F.); #312851=ORIENTED_EDGE('',*,*,#223250,.F.); #312852=ORIENTED_EDGE('',*,*,#223506,.T.); #312853=ORIENTED_EDGE('',*,*,#223482,.T.); #312854=ORIENTED_EDGE('',*,*,#223277,.F.); #312855=ORIENTED_EDGE('',*,*,#223484,.F.); #312856=ORIENTED_EDGE('',*,*,#223507,.T.); #312857=ORIENTED_EDGE('',*,*,#223248,.T.); #312858=ORIENTED_EDGE('',*,*,#223506,.F.); #312859=ORIENTED_EDGE('',*,*,#223249,.F.); #312860=ORIENTED_EDGE('',*,*,#223507,.F.); #312861=ORIENTED_EDGE('',*,*,#223483,.F.); #312862=ORIENTED_EDGE('',*,*,#223247,.T.); #312863=ORIENTED_EDGE('',*,*,#223252,.T.); #312864=ORIENTED_EDGE('',*,*,#223266,.F.); #312865=ORIENTED_EDGE('',*,*,#223175,.F.); #312866=ORIENTED_EDGE('',*,*,#223508,.F.); #312867=ORIENTED_EDGE('',*,*,#223182,.T.); #312868=ORIENTED_EDGE('',*,*,#223265,.T.); #312869=ORIENTED_EDGE('',*,*,#223184,.F.); #312870=ORIENTED_EDGE('',*,*,#223509,.F.); #312871=ORIENTED_EDGE('',*,*,#223194,.T.); #312872=ORIENTED_EDGE('',*,*,#223264,.T.); #312873=ORIENTED_EDGE('',*,*,#223196,.F.); #312874=ORIENTED_EDGE('',*,*,#223510,.F.); #312875=ORIENTED_EDGE('',*,*,#223206,.T.); #312876=ORIENTED_EDGE('',*,*,#223263,.T.); #312877=ORIENTED_EDGE('',*,*,#223208,.F.); #312878=ORIENTED_EDGE('',*,*,#223511,.F.); #312879=ORIENTED_EDGE('',*,*,#223218,.T.); #312880=ORIENTED_EDGE('',*,*,#223262,.T.); #312881=ORIENTED_EDGE('',*,*,#223220,.F.); #312882=ORIENTED_EDGE('',*,*,#223512,.F.); #312883=ORIENTED_EDGE('',*,*,#223230,.T.); #312884=ORIENTED_EDGE('',*,*,#223261,.T.); #312885=ORIENTED_EDGE('',*,*,#223232,.F.); #312886=ORIENTED_EDGE('',*,*,#223513,.F.); #312887=ORIENTED_EDGE('',*,*,#223165,.T.); #312888=ORIENTED_EDGE('',*,*,#223260,.F.); #312889=ORIENTED_EDGE('',*,*,#223163,.F.); #312890=ORIENTED_EDGE('',*,*,#223514,.F.); #312891=ORIENTED_EDGE('',*,*,#223153,.T.); #312892=ORIENTED_EDGE('',*,*,#223259,.F.); #312893=ORIENTED_EDGE('',*,*,#223151,.F.); #312894=ORIENTED_EDGE('',*,*,#223515,.F.); #312895=ORIENTED_EDGE('',*,*,#223141,.T.); #312896=ORIENTED_EDGE('',*,*,#223258,.F.); #312897=ORIENTED_EDGE('',*,*,#223139,.F.); #312898=ORIENTED_EDGE('',*,*,#223516,.F.); #312899=ORIENTED_EDGE('',*,*,#223129,.T.); #312900=ORIENTED_EDGE('',*,*,#223257,.F.); #312901=ORIENTED_EDGE('',*,*,#223127,.F.); #312902=ORIENTED_EDGE('',*,*,#223517,.F.); #312903=ORIENTED_EDGE('',*,*,#223117,.T.); #312904=ORIENTED_EDGE('',*,*,#223256,.F.); #312905=ORIENTED_EDGE('',*,*,#223115,.F.); #312906=ORIENTED_EDGE('',*,*,#223518,.F.); #312907=ORIENTED_EDGE('',*,*,#223105,.T.); #312908=ORIENTED_EDGE('',*,*,#223255,.F.); #312909=ORIENTED_EDGE('',*,*,#223103,.F.); #312910=ORIENTED_EDGE('',*,*,#223519,.F.); #312911=ORIENTED_EDGE('',*,*,#223242,.T.); #312912=ORIENTED_EDGE('',*,*,#223267,.T.); #312913=ORIENTED_EDGE('',*,*,#223244,.F.); #312914=ORIENTED_EDGE('',*,*,#223520,.F.); #312915=ORIENTED_EDGE('',*,*,#223240,.T.); #312916=ORIENTED_EDGE('',*,*,#223519,.T.); #312917=ORIENTED_EDGE('',*,*,#223102,.F.); #312918=ORIENTED_EDGE('',*,*,#223096,.F.); #312919=ORIENTED_EDGE('',*,*,#223237,.T.); #312920=ORIENTED_EDGE('',*,*,#223520,.T.); #312921=ORIENTED_EDGE('',*,*,#223099,.F.); #312922=ORIENTED_EDGE('',*,*,#223521,.T.); #312923=ORIENTED_EDGE('',*,*,#223235,.T.); #312924=ORIENTED_EDGE('',*,*,#223052,.F.); #312925=ORIENTED_EDGE('',*,*,#223169,.F.); #312926=ORIENTED_EDGE('',*,*,#223513,.T.); #312927=ORIENTED_EDGE('',*,*,#223231,.T.); #312928=ORIENTED_EDGE('',*,*,#223521,.F.); #312929=ORIENTED_EDGE('',*,*,#223166,.F.); #312930=ORIENTED_EDGE('',*,*,#223219,.T.); #312931=ORIENTED_EDGE('',*,*,#223522,.F.); #312932=ORIENTED_EDGE('',*,*,#223228,.T.); #312933=ORIENTED_EDGE('',*,*,#223512,.T.); #312934=ORIENTED_EDGE('',*,*,#223223,.T.); #312935=ORIENTED_EDGE('',*,*,#223056,.F.); #312936=ORIENTED_EDGE('',*,*,#223225,.T.); #312937=ORIENTED_EDGE('',*,*,#223522,.T.); #312938=ORIENTED_EDGE('',*,*,#223207,.T.); #312939=ORIENTED_EDGE('',*,*,#223523,.F.); #312940=ORIENTED_EDGE('',*,*,#223216,.T.); #312941=ORIENTED_EDGE('',*,*,#223511,.T.); #312942=ORIENTED_EDGE('',*,*,#223211,.T.); #312943=ORIENTED_EDGE('',*,*,#223060,.F.); #312944=ORIENTED_EDGE('',*,*,#223213,.T.); #312945=ORIENTED_EDGE('',*,*,#223523,.T.); #312946=ORIENTED_EDGE('',*,*,#223195,.T.); #312947=ORIENTED_EDGE('',*,*,#223524,.F.); #312948=ORIENTED_EDGE('',*,*,#223204,.T.); #312949=ORIENTED_EDGE('',*,*,#223510,.T.); #312950=ORIENTED_EDGE('',*,*,#223199,.T.); #312951=ORIENTED_EDGE('',*,*,#223064,.F.); #312952=ORIENTED_EDGE('',*,*,#223201,.T.); #312953=ORIENTED_EDGE('',*,*,#223524,.T.); #312954=ORIENTED_EDGE('',*,*,#223183,.T.); #312955=ORIENTED_EDGE('',*,*,#223525,.F.); #312956=ORIENTED_EDGE('',*,*,#223192,.T.); #312957=ORIENTED_EDGE('',*,*,#223509,.T.); #312958=ORIENTED_EDGE('',*,*,#223187,.T.); #312959=ORIENTED_EDGE('',*,*,#223068,.F.); #312960=ORIENTED_EDGE('',*,*,#223189,.T.); #312961=ORIENTED_EDGE('',*,*,#223525,.T.); #312962=ORIENTED_EDGE('',*,*,#223526,.F.); #312963=ORIENTED_EDGE('',*,*,#223180,.T.); #312964=ORIENTED_EDGE('',*,*,#223508,.T.); #312965=ORIENTED_EDGE('',*,*,#223174,.F.); #312966=ORIENTED_EDGE('',*,*,#223072,.F.); #312967=ORIENTED_EDGE('',*,*,#223177,.T.); #312968=ORIENTED_EDGE('',*,*,#223526,.T.); #312969=ORIENTED_EDGE('',*,*,#223171,.F.); #312970=ORIENTED_EDGE('',*,*,#223514,.T.); #312971=ORIENTED_EDGE('',*,*,#223162,.F.); #312972=ORIENTED_EDGE('',*,*,#223527,.F.); #312973=ORIENTED_EDGE('',*,*,#223154,.F.); #312974=ORIENTED_EDGE('',*,*,#223527,.T.); #312975=ORIENTED_EDGE('',*,*,#223159,.F.); #312976=ORIENTED_EDGE('',*,*,#223076,.F.); #312977=ORIENTED_EDGE('',*,*,#223157,.F.); #312978=ORIENTED_EDGE('',*,*,#223515,.T.); #312979=ORIENTED_EDGE('',*,*,#223150,.F.); #312980=ORIENTED_EDGE('',*,*,#223528,.F.); #312981=ORIENTED_EDGE('',*,*,#223142,.F.); #312982=ORIENTED_EDGE('',*,*,#223528,.T.); #312983=ORIENTED_EDGE('',*,*,#223147,.F.); #312984=ORIENTED_EDGE('',*,*,#223080,.F.); #312985=ORIENTED_EDGE('',*,*,#223145,.F.); #312986=ORIENTED_EDGE('',*,*,#223516,.T.); #312987=ORIENTED_EDGE('',*,*,#223138,.F.); #312988=ORIENTED_EDGE('',*,*,#223529,.F.); #312989=ORIENTED_EDGE('',*,*,#223130,.F.); #312990=ORIENTED_EDGE('',*,*,#223529,.T.); #312991=ORIENTED_EDGE('',*,*,#223135,.F.); #312992=ORIENTED_EDGE('',*,*,#223084,.F.); #312993=ORIENTED_EDGE('',*,*,#223133,.F.); #312994=ORIENTED_EDGE('',*,*,#223517,.T.); #312995=ORIENTED_EDGE('',*,*,#223126,.F.); #312996=ORIENTED_EDGE('',*,*,#223530,.F.); #312997=ORIENTED_EDGE('',*,*,#223118,.F.); #312998=ORIENTED_EDGE('',*,*,#223530,.T.); #312999=ORIENTED_EDGE('',*,*,#223123,.F.); #313000=ORIENTED_EDGE('',*,*,#223088,.F.); #313001=ORIENTED_EDGE('',*,*,#223121,.F.); #313002=ORIENTED_EDGE('',*,*,#223531,.T.); #313003=ORIENTED_EDGE('',*,*,#223111,.F.); #313004=ORIENTED_EDGE('',*,*,#223092,.F.); #313005=ORIENTED_EDGE('',*,*,#223109,.F.); #313006=ORIENTED_EDGE('',*,*,#223518,.T.); #313007=ORIENTED_EDGE('',*,*,#223114,.F.); #313008=ORIENTED_EDGE('',*,*,#223531,.F.); #313009=ORIENTED_EDGE('',*,*,#223106,.F.); #313010=ORIENTED_EDGE('',*,*,#223532,.T.); #313011=ORIENTED_EDGE('',*,*,#223533,.T.); #313012=ORIENTED_EDGE('',*,*,#223534,.T.); #313013=ORIENTED_EDGE('',*,*,#222966,.T.); #313014=ORIENTED_EDGE('',*,*,#223535,.F.); #313015=ORIENTED_EDGE('',*,*,#223536,.F.); #313016=ORIENTED_EDGE('',*,*,#222965,.T.); #313017=ORIENTED_EDGE('',*,*,#223537,.F.); #313018=ORIENTED_EDGE('',*,*,#223538,.F.); #313019=ORIENTED_EDGE('',*,*,#223535,.T.); #313020=ORIENTED_EDGE('',*,*,#223539,.F.); #313021=ORIENTED_EDGE('',*,*,#223499,.T.); #313022=ORIENTED_EDGE('',*,*,#222997,.F.); #313023=ORIENTED_EDGE('',*,*,#223540,.F.); #313024=ORIENTED_EDGE('',*,*,#223541,.T.); #313025=ORIENTED_EDGE('',*,*,#223542,.F.); #313026=ORIENTED_EDGE('',*,*,#223537,.T.); #313027=ORIENTED_EDGE('',*,*,#222964,.F.); #313028=ORIENTED_EDGE('',*,*,#223012,.T.); #313029=ORIENTED_EDGE('',*,*,#223543,.T.); #313030=ORIENTED_EDGE('',*,*,#222970,.T.); #313031=ORIENTED_EDGE('',*,*,#223544,.F.); #313032=ORIENTED_EDGE('',*,*,#223016,.F.); #313033=ORIENTED_EDGE('',*,*,#223545,.F.); #313034=ORIENTED_EDGE('',*,*,#223546,.F.); #313035=ORIENTED_EDGE('',*,*,#223011,.T.); #313036=ORIENTED_EDGE('',*,*,#222969,.T.); #313037=ORIENTED_EDGE('',*,*,#223547,.F.); #313038=ORIENTED_EDGE('',*,*,#223017,.F.); #313039=ORIENTED_EDGE('',*,*,#223544,.T.); #313040=ORIENTED_EDGE('',*,*,#223548,.T.); #313041=ORIENTED_EDGE('',*,*,#223549,.T.); #313042=ORIENTED_EDGE('',*,*,#223550,.F.); #313043=ORIENTED_EDGE('',*,*,#223018,.F.); #313044=ORIENTED_EDGE('',*,*,#223547,.T.); #313045=ORIENTED_EDGE('',*,*,#222968,.T.); #313046=ORIENTED_EDGE('',*,*,#223550,.T.); #313047=ORIENTED_EDGE('',*,*,#223551,.T.); #313048=ORIENTED_EDGE('',*,*,#223532,.F.); #313049=ORIENTED_EDGE('',*,*,#223552,.T.); #313050=ORIENTED_EDGE('',*,*,#223553,.F.); #313051=ORIENTED_EDGE('',*,*,#223554,.F.); #313052=ORIENTED_EDGE('',*,*,#223555,.T.); #313053=ORIENTED_EDGE('',*,*,#223019,.T.); #313054=ORIENTED_EDGE('',*,*,#223552,.F.); #313055=ORIENTED_EDGE('',*,*,#223536,.T.); #313056=ORIENTED_EDGE('',*,*,#223538,.T.); #313057=ORIENTED_EDGE('',*,*,#223542,.T.); #313058=ORIENTED_EDGE('',*,*,#223556,.F.); #313059=ORIENTED_EDGE('',*,*,#223557,.F.); #313060=ORIENTED_EDGE('',*,*,#223558,.F.); #313061=ORIENTED_EDGE('',*,*,#223559,.F.); #313062=ORIENTED_EDGE('',*,*,#223560,.F.); #313063=ORIENTED_EDGE('',*,*,#223561,.F.); #313064=ORIENTED_EDGE('',*,*,#223562,.F.); #313065=ORIENTED_EDGE('',*,*,#223563,.F.); #313066=ORIENTED_EDGE('',*,*,#223564,.F.); #313067=ORIENTED_EDGE('',*,*,#223565,.F.); #313068=ORIENTED_EDGE('',*,*,#223566,.F.); #313069=ORIENTED_EDGE('',*,*,#223567,.F.); #313070=ORIENTED_EDGE('',*,*,#223568,.F.); #313071=ORIENTED_EDGE('',*,*,#223569,.F.); #313072=ORIENTED_EDGE('',*,*,#223570,.F.); #313073=ORIENTED_EDGE('',*,*,#223571,.T.); #313074=ORIENTED_EDGE('',*,*,#223572,.T.); #313075=ORIENTED_EDGE('',*,*,#223040,.F.); #313076=ORIENTED_EDGE('',*,*,#223045,.F.); #313077=ORIENTED_EDGE('',*,*,#222961,.F.); #313078=ORIENTED_EDGE('',*,*,#223572,.F.); #313079=ORIENTED_EDGE('',*,*,#223573,.T.); #313080=ORIENTED_EDGE('',*,*,#223574,.T.); #313081=ORIENTED_EDGE('',*,*,#223050,.F.); #313082=ORIENTED_EDGE('',*,*,#223575,.F.); #313083=ORIENTED_EDGE('',*,*,#222962,.F.); #313084=ORIENTED_EDGE('',*,*,#223574,.F.); #313085=ORIENTED_EDGE('',*,*,#223576,.T.); #313086=ORIENTED_EDGE('',*,*,#223044,.T.); #313087=ORIENTED_EDGE('',*,*,#223576,.F.); #313088=ORIENTED_EDGE('',*,*,#223573,.F.); #313089=ORIENTED_EDGE('',*,*,#223571,.F.); #313090=ORIENTED_EDGE('',*,*,#223501,.T.); #313091=ORIENTED_EDGE('',*,*,#223034,.T.); #313092=ORIENTED_EDGE('',*,*,#223577,.F.); #313093=ORIENTED_EDGE('',*,*,#223578,.F.); #313094=ORIENTED_EDGE('',*,*,#222957,.T.); #313095=ORIENTED_EDGE('',*,*,#223579,.F.); #313096=ORIENTED_EDGE('',*,*,#223580,.F.); #313097=ORIENTED_EDGE('',*,*,#223577,.T.); #313098=ORIENTED_EDGE('',*,*,#223581,.T.); #313099=ORIENTED_EDGE('',*,*,#223503,.T.); #313100=ORIENTED_EDGE('',*,*,#223582,.F.); #313101=ORIENTED_EDGE('',*,*,#223579,.T.); #313102=ORIENTED_EDGE('',*,*,#222956,.T.); #313103=ORIENTED_EDGE('',*,*,#223578,.T.); #313104=ORIENTED_EDGE('',*,*,#223580,.T.); #313105=ORIENTED_EDGE('',*,*,#223582,.T.); #313106=ORIENTED_EDGE('',*,*,#223502,.F.); #313107=ORIENTED_EDGE('',*,*,#223015,.T.); #313108=ORIENTED_EDGE('',*,*,#223583,.F.); #313109=ORIENTED_EDGE('',*,*,#223584,.F.); #313110=ORIENTED_EDGE('',*,*,#223545,.T.); #313111=ORIENTED_EDGE('',*,*,#223033,.T.); #313112=ORIENTED_EDGE('',*,*,#223585,.F.); #313113=ORIENTED_EDGE('',*,*,#223586,.F.); #313114=ORIENTED_EDGE('',*,*,#223583,.T.); #313115=ORIENTED_EDGE('',*,*,#223032,.T.); #313116=ORIENTED_EDGE('',*,*,#223587,.F.); #313117=ORIENTED_EDGE('',*,*,#223588,.F.); #313118=ORIENTED_EDGE('',*,*,#223585,.T.); #313119=ORIENTED_EDGE('',*,*,#223031,.T.); #313120=ORIENTED_EDGE('',*,*,#223589,.F.); #313121=ORIENTED_EDGE('',*,*,#223590,.F.); #313122=ORIENTED_EDGE('',*,*,#223587,.T.); #313123=ORIENTED_EDGE('',*,*,#223030,.T.); #313124=ORIENTED_EDGE('',*,*,#223591,.F.); #313125=ORIENTED_EDGE('',*,*,#223592,.F.); #313126=ORIENTED_EDGE('',*,*,#223589,.T.); #313127=ORIENTED_EDGE('',*,*,#223029,.T.); #313128=ORIENTED_EDGE('',*,*,#223593,.F.); #313129=ORIENTED_EDGE('',*,*,#223594,.F.); #313130=ORIENTED_EDGE('',*,*,#223591,.T.); #313131=ORIENTED_EDGE('',*,*,#223028,.T.); #313132=ORIENTED_EDGE('',*,*,#223595,.F.); #313133=ORIENTED_EDGE('',*,*,#223596,.F.); #313134=ORIENTED_EDGE('',*,*,#223593,.T.); #313135=ORIENTED_EDGE('',*,*,#223027,.T.); #313136=ORIENTED_EDGE('',*,*,#223597,.F.); #313137=ORIENTED_EDGE('',*,*,#223598,.F.); #313138=ORIENTED_EDGE('',*,*,#223595,.T.); #313139=ORIENTED_EDGE('',*,*,#223026,.T.); #313140=ORIENTED_EDGE('',*,*,#223599,.F.); #313141=ORIENTED_EDGE('',*,*,#223600,.F.); #313142=ORIENTED_EDGE('',*,*,#223597,.T.); #313143=ORIENTED_EDGE('',*,*,#223025,.T.); #313144=ORIENTED_EDGE('',*,*,#223601,.F.); #313145=ORIENTED_EDGE('',*,*,#223602,.F.); #313146=ORIENTED_EDGE('',*,*,#223599,.T.); #313147=ORIENTED_EDGE('',*,*,#223024,.T.); #313148=ORIENTED_EDGE('',*,*,#223603,.F.); #313149=ORIENTED_EDGE('',*,*,#223604,.F.); #313150=ORIENTED_EDGE('',*,*,#223601,.T.); #313151=ORIENTED_EDGE('',*,*,#223023,.T.); #313152=ORIENTED_EDGE('',*,*,#223605,.F.); #313153=ORIENTED_EDGE('',*,*,#223606,.F.); #313154=ORIENTED_EDGE('',*,*,#223603,.T.); #313155=ORIENTED_EDGE('',*,*,#223022,.T.); #313156=ORIENTED_EDGE('',*,*,#223607,.F.); #313157=ORIENTED_EDGE('',*,*,#223608,.F.); #313158=ORIENTED_EDGE('',*,*,#223605,.T.); #313159=ORIENTED_EDGE('',*,*,#223021,.T.); #313160=ORIENTED_EDGE('',*,*,#223609,.F.); #313161=ORIENTED_EDGE('',*,*,#223610,.F.); #313162=ORIENTED_EDGE('',*,*,#223607,.T.); #313163=ORIENTED_EDGE('',*,*,#223020,.T.); #313164=ORIENTED_EDGE('',*,*,#223555,.F.); #313165=ORIENTED_EDGE('',*,*,#223611,.F.); #313166=ORIENTED_EDGE('',*,*,#223609,.T.); #313167=ORIENTED_EDGE('',*,*,#223570,.T.); #313168=ORIENTED_EDGE('',*,*,#223612,.F.); #313169=ORIENTED_EDGE('',*,*,#223613,.F.); #313170=ORIENTED_EDGE('',*,*,#223553,.T.); #313171=ORIENTED_EDGE('',*,*,#223569,.T.); #313172=ORIENTED_EDGE('',*,*,#223614,.F.); #313173=ORIENTED_EDGE('',*,*,#223615,.F.); #313174=ORIENTED_EDGE('',*,*,#223612,.T.); #313175=ORIENTED_EDGE('',*,*,#223568,.T.); #313176=ORIENTED_EDGE('',*,*,#223616,.F.); #313177=ORIENTED_EDGE('',*,*,#223617,.F.); #313178=ORIENTED_EDGE('',*,*,#223614,.T.); #313179=ORIENTED_EDGE('',*,*,#223567,.T.); #313180=ORIENTED_EDGE('',*,*,#223618,.F.); #313181=ORIENTED_EDGE('',*,*,#223619,.F.); #313182=ORIENTED_EDGE('',*,*,#223616,.T.); #313183=ORIENTED_EDGE('',*,*,#223566,.T.); #313184=ORIENTED_EDGE('',*,*,#223620,.F.); #313185=ORIENTED_EDGE('',*,*,#223621,.F.); #313186=ORIENTED_EDGE('',*,*,#223618,.T.); #313187=ORIENTED_EDGE('',*,*,#223565,.T.); #313188=ORIENTED_EDGE('',*,*,#223622,.F.); #313189=ORIENTED_EDGE('',*,*,#223623,.F.); #313190=ORIENTED_EDGE('',*,*,#223620,.T.); #313191=ORIENTED_EDGE('',*,*,#223564,.T.); #313192=ORIENTED_EDGE('',*,*,#223624,.F.); #313193=ORIENTED_EDGE('',*,*,#223625,.F.); #313194=ORIENTED_EDGE('',*,*,#223622,.T.); #313195=ORIENTED_EDGE('',*,*,#223563,.T.); #313196=ORIENTED_EDGE('',*,*,#223626,.F.); #313197=ORIENTED_EDGE('',*,*,#223627,.F.); #313198=ORIENTED_EDGE('',*,*,#223624,.T.); #313199=ORIENTED_EDGE('',*,*,#223562,.T.); #313200=ORIENTED_EDGE('',*,*,#223628,.F.); #313201=ORIENTED_EDGE('',*,*,#223629,.F.); #313202=ORIENTED_EDGE('',*,*,#223626,.T.); #313203=ORIENTED_EDGE('',*,*,#223561,.T.); #313204=ORIENTED_EDGE('',*,*,#223630,.F.); #313205=ORIENTED_EDGE('',*,*,#223631,.F.); #313206=ORIENTED_EDGE('',*,*,#223628,.T.); #313207=ORIENTED_EDGE('',*,*,#223560,.T.); #313208=ORIENTED_EDGE('',*,*,#223632,.F.); #313209=ORIENTED_EDGE('',*,*,#223633,.F.); #313210=ORIENTED_EDGE('',*,*,#223630,.T.); #313211=ORIENTED_EDGE('',*,*,#223559,.T.); #313212=ORIENTED_EDGE('',*,*,#223634,.F.); #313213=ORIENTED_EDGE('',*,*,#223635,.F.); #313214=ORIENTED_EDGE('',*,*,#223632,.T.); #313215=ORIENTED_EDGE('',*,*,#223558,.T.); #313216=ORIENTED_EDGE('',*,*,#223636,.F.); #313217=ORIENTED_EDGE('',*,*,#223637,.F.); #313218=ORIENTED_EDGE('',*,*,#223634,.T.); #313219=ORIENTED_EDGE('',*,*,#223557,.T.); #313220=ORIENTED_EDGE('',*,*,#223638,.F.); #313221=ORIENTED_EDGE('',*,*,#223639,.F.); #313222=ORIENTED_EDGE('',*,*,#223636,.T.); #313223=ORIENTED_EDGE('',*,*,#223556,.T.); #313224=ORIENTED_EDGE('',*,*,#223541,.F.); #313225=ORIENTED_EDGE('',*,*,#223640,.F.); #313226=ORIENTED_EDGE('',*,*,#223638,.T.); #313227=ORIENTED_EDGE('',*,*,#222996,.T.); #313228=ORIENTED_EDGE('',*,*,#223546,.T.); #313229=ORIENTED_EDGE('',*,*,#223584,.T.); #313230=ORIENTED_EDGE('',*,*,#223586,.T.); #313231=ORIENTED_EDGE('',*,*,#223588,.T.); #313232=ORIENTED_EDGE('',*,*,#223590,.T.); #313233=ORIENTED_EDGE('',*,*,#223592,.T.); #313234=ORIENTED_EDGE('',*,*,#223594,.T.); #313235=ORIENTED_EDGE('',*,*,#223596,.T.); #313236=ORIENTED_EDGE('',*,*,#223598,.T.); #313237=ORIENTED_EDGE('',*,*,#223600,.T.); #313238=ORIENTED_EDGE('',*,*,#223602,.T.); #313239=ORIENTED_EDGE('',*,*,#223604,.T.); #313240=ORIENTED_EDGE('',*,*,#223606,.T.); #313241=ORIENTED_EDGE('',*,*,#223608,.T.); #313242=ORIENTED_EDGE('',*,*,#223610,.T.); #313243=ORIENTED_EDGE('',*,*,#223611,.T.); #313244=ORIENTED_EDGE('',*,*,#223554,.T.); #313245=ORIENTED_EDGE('',*,*,#223613,.T.); #313246=ORIENTED_EDGE('',*,*,#223615,.T.); #313247=ORIENTED_EDGE('',*,*,#223617,.T.); #313248=ORIENTED_EDGE('',*,*,#223619,.T.); #313249=ORIENTED_EDGE('',*,*,#223621,.T.); #313250=ORIENTED_EDGE('',*,*,#223623,.T.); #313251=ORIENTED_EDGE('',*,*,#223625,.T.); #313252=ORIENTED_EDGE('',*,*,#223627,.T.); #313253=ORIENTED_EDGE('',*,*,#223629,.T.); #313254=ORIENTED_EDGE('',*,*,#223631,.T.); #313255=ORIENTED_EDGE('',*,*,#223633,.T.); #313256=ORIENTED_EDGE('',*,*,#223635,.T.); #313257=ORIENTED_EDGE('',*,*,#223637,.T.); #313258=ORIENTED_EDGE('',*,*,#223639,.T.); #313259=ORIENTED_EDGE('',*,*,#223640,.T.); #313260=ORIENTED_EDGE('',*,*,#223540,.T.); #313261=ORIENTED_EDGE('',*,*,#222976,.T.); #313262=ORIENTED_EDGE('',*,*,#223641,.T.); #313263=ORIENTED_EDGE('',*,*,#222984,.T.); #313264=ORIENTED_EDGE('',*,*,#222992,.T.); #313265=ORIENTED_EDGE('',*,*,#222983,.T.); #313266=ORIENTED_EDGE('',*,*,#223642,.T.); #313267=ORIENTED_EDGE('',*,*,#222985,.T.); #313268=ORIENTED_EDGE('',*,*,#223641,.F.); #313269=ORIENTED_EDGE('',*,*,#222982,.T.); #313270=ORIENTED_EDGE('',*,*,#222994,.T.); #313271=ORIENTED_EDGE('',*,*,#222986,.T.); #313272=ORIENTED_EDGE('',*,*,#223642,.F.); #313273=ORIENTED_EDGE('',*,*,#222973,.T.); #313274=ORIENTED_EDGE('',*,*,#223376,.F.); #313275=ORIENTED_EDGE('',*,*,#223643,.F.); #313276=ORIENTED_EDGE('',*,*,#223367,.F.); #313277=ORIENTED_EDGE('',*,*,#223644,.T.); #313278=ORIENTED_EDGE('',*,*,#223379,.F.); #313279=ORIENTED_EDGE('',*,*,#223372,.F.); #313280=ORIENTED_EDGE('',*,*,#223369,.F.); #313281=ORIENTED_EDGE('',*,*,#223643,.T.); #313282=ORIENTED_EDGE('',*,*,#223375,.F.); #313283=ORIENTED_EDGE('',*,*,#223644,.F.); #313284=ORIENTED_EDGE('',*,*,#223368,.F.); #313285=ORIENTED_EDGE('',*,*,#223575,.T.); #313286=ORIENTED_EDGE('',*,*,#223049,.T.); #313287=ORIENTED_EDGE('',*,*,#223500,.T.); #313288=ORIENTED_EDGE('',*,*,#223539,.T.); #313289=ORIENTED_EDGE('',*,*,#222963,.T.); #313290=ORIENTED_EDGE('',*,*,#223534,.F.); #313291=ORIENTED_EDGE('',*,*,#223645,.T.); #313292=ORIENTED_EDGE('',*,*,#223548,.F.); #313293=ORIENTED_EDGE('',*,*,#222967,.F.); #313294=ORIENTED_EDGE('',*,*,#223014,.T.); #313295=ORIENTED_EDGE('',*,*,#223504,.T.); #313296=ORIENTED_EDGE('',*,*,#223581,.F.); #313297=ORIENTED_EDGE('',*,*,#222971,.F.); #313298=ORIENTED_EDGE('',*,*,#223543,.F.); #313299=ORIENTED_EDGE('',*,*,#223549,.F.); #313300=ORIENTED_EDGE('',*,*,#223645,.F.); #313301=ORIENTED_EDGE('',*,*,#223533,.F.); #313302=ORIENTED_EDGE('',*,*,#223551,.F.); #313303=ORIENTED_EDGE('',*,*,#223646,.T.); #313304=ORIENTED_EDGE('',*,*,#223647,.F.); #313305=ORIENTED_EDGE('',*,*,#223648,.F.); #313306=ORIENTED_EDGE('',*,*,#223649,.T.); #313307=ORIENTED_EDGE('',*,*,#223650,.T.); #313308=ORIENTED_EDGE('',*,*,#223651,.T.); #313309=ORIENTED_EDGE('',*,*,#223652,.T.); #313310=ORIENTED_EDGE('',*,*,#223653,.T.); #313311=ORIENTED_EDGE('',*,*,#223654,.T.); #313312=ORIENTED_EDGE('',*,*,#223655,.T.); #313313=ORIENTED_EDGE('',*,*,#223656,.F.); #313314=ORIENTED_EDGE('',*,*,#223657,.F.); #313315=ORIENTED_EDGE('',*,*,#223658,.F.); #313316=ORIENTED_EDGE('',*,*,#223659,.F.); #313317=ORIENTED_EDGE('',*,*,#223660,.F.); #313318=ORIENTED_EDGE('',*,*,#223647,.T.); #313319=ORIENTED_EDGE('',*,*,#223661,.T.); #313320=ORIENTED_EDGE('',*,*,#223662,.F.); #313321=ORIENTED_EDGE('',*,*,#223663,.F.); #313322=ORIENTED_EDGE('',*,*,#223664,.T.); #313323=ORIENTED_EDGE('',*,*,#223665,.T.); #313324=ORIENTED_EDGE('',*,*,#223666,.T.); #313325=ORIENTED_EDGE('',*,*,#223667,.T.); #313326=ORIENTED_EDGE('',*,*,#223668,.F.); #313327=ORIENTED_EDGE('',*,*,#223669,.F.); #313328=ORIENTED_EDGE('',*,*,#223670,.F.); #313329=ORIENTED_EDGE('',*,*,#223671,.F.); #313330=ORIENTED_EDGE('',*,*,#223672,.F.); #313331=ORIENTED_EDGE('',*,*,#223673,.T.); #313332=ORIENTED_EDGE('',*,*,#223674,.F.); #313333=ORIENTED_EDGE('',*,*,#223675,.F.); #313334=ORIENTED_EDGE('',*,*,#223662,.T.); #313335=ORIENTED_EDGE('',*,*,#223676,.F.); #313336=ORIENTED_EDGE('',*,*,#223677,.T.); #313337=ORIENTED_EDGE('',*,*,#223678,.F.); #313338=ORIENTED_EDGE('',*,*,#223674,.T.); #313339=ORIENTED_EDGE('',*,*,#223679,.T.); #313340=ORIENTED_EDGE('',*,*,#223680,.T.); #313341=ORIENTED_EDGE('',*,*,#223681,.F.); #313342=ORIENTED_EDGE('',*,*,#223682,.T.); #313343=ORIENTED_EDGE('',*,*,#223676,.T.); #313344=ORIENTED_EDGE('',*,*,#223683,.T.); #313345=ORIENTED_EDGE('',*,*,#223684,.F.); #313346=ORIENTED_EDGE('',*,*,#223685,.T.); #313347=ORIENTED_EDGE('',*,*,#223681,.T.); #313348=ORIENTED_EDGE('',*,*,#223686,.T.); #313349=ORIENTED_EDGE('',*,*,#223687,.T.); #313350=ORIENTED_EDGE('',*,*,#223649,.F.); #313351=ORIENTED_EDGE('',*,*,#223678,.T.); #313352=ORIENTED_EDGE('',*,*,#223688,.T.); #313353=ORIENTED_EDGE('',*,*,#223684,.T.); #313354=ORIENTED_EDGE('',*,*,#223648,.T.); #313355=ORIENTED_EDGE('',*,*,#223660,.T.); #313356=ORIENTED_EDGE('',*,*,#223689,.T.); #313357=ORIENTED_EDGE('',*,*,#223663,.T.); #313358=ORIENTED_EDGE('',*,*,#223675,.T.); #313359=ORIENTED_EDGE('',*,*,#223687,.F.); #313360=ORIENTED_EDGE('',*,*,#223690,.T.); #313361=ORIENTED_EDGE('',*,*,#223679,.F.); #313362=ORIENTED_EDGE('',*,*,#223673,.F.); #313363=ORIENTED_EDGE('',*,*,#223661,.F.); #313364=ORIENTED_EDGE('',*,*,#223691,.F.); #313365=ORIENTED_EDGE('',*,*,#223650,.F.); #313366=ORIENTED_EDGE('',*,*,#223646,.F.); #313367=ORIENTED_EDGE('',*,*,#223655,.F.); #313368=ORIENTED_EDGE('',*,*,#223692,.F.); #313369=ORIENTED_EDGE('',*,*,#223668,.T.); #313370=ORIENTED_EDGE('',*,*,#223693,.T.); #313371=ORIENTED_EDGE('',*,*,#223659,.T.); #313372=ORIENTED_EDGE('',*,*,#223694,.F.); #313373=ORIENTED_EDGE('',*,*,#223664,.F.); #313374=ORIENTED_EDGE('',*,*,#223689,.F.); #313375=ORIENTED_EDGE('',*,*,#223658,.T.); #313376=ORIENTED_EDGE('',*,*,#223695,.F.); #313377=ORIENTED_EDGE('',*,*,#223665,.F.); #313378=ORIENTED_EDGE('',*,*,#223694,.T.); #313379=ORIENTED_EDGE('',*,*,#223657,.T.); #313380=ORIENTED_EDGE('',*,*,#223696,.F.); #313381=ORIENTED_EDGE('',*,*,#223666,.F.); #313382=ORIENTED_EDGE('',*,*,#223695,.T.); #313383=ORIENTED_EDGE('',*,*,#223656,.T.); #313384=ORIENTED_EDGE('',*,*,#223693,.F.); #313385=ORIENTED_EDGE('',*,*,#223667,.F.); #313386=ORIENTED_EDGE('',*,*,#223696,.T.); #313387=ORIENTED_EDGE('',*,*,#223651,.F.); #313388=ORIENTED_EDGE('',*,*,#223691,.T.); #313389=ORIENTED_EDGE('',*,*,#223672,.T.); #313390=ORIENTED_EDGE('',*,*,#223697,.T.); #313391=ORIENTED_EDGE('',*,*,#223652,.F.); #313392=ORIENTED_EDGE('',*,*,#223697,.F.); #313393=ORIENTED_EDGE('',*,*,#223671,.T.); #313394=ORIENTED_EDGE('',*,*,#223698,.T.); #313395=ORIENTED_EDGE('',*,*,#223653,.F.); #313396=ORIENTED_EDGE('',*,*,#223698,.F.); #313397=ORIENTED_EDGE('',*,*,#223670,.T.); #313398=ORIENTED_EDGE('',*,*,#223699,.T.); #313399=ORIENTED_EDGE('',*,*,#223654,.F.); #313400=ORIENTED_EDGE('',*,*,#223699,.F.); #313401=ORIENTED_EDGE('',*,*,#223669,.T.); #313402=ORIENTED_EDGE('',*,*,#223692,.T.); #313403=ORIENTED_EDGE('',*,*,#223677,.F.); #313404=ORIENTED_EDGE('',*,*,#223682,.F.); #313405=ORIENTED_EDGE('',*,*,#223685,.F.); #313406=ORIENTED_EDGE('',*,*,#223688,.F.); #313407=ORIENTED_EDGE('',*,*,#223680,.F.); #313408=ORIENTED_EDGE('',*,*,#223690,.F.); #313409=ORIENTED_EDGE('',*,*,#223686,.F.); #313410=ORIENTED_EDGE('',*,*,#223683,.F.); #313411=ORIENTED_EDGE('',*,*,#223700,.T.); #313412=ORIENTED_EDGE('',*,*,#223701,.F.); #313413=ORIENTED_EDGE('',*,*,#223702,.F.); #313414=ORIENTED_EDGE('',*,*,#223703,.T.); #313415=ORIENTED_EDGE('',*,*,#223704,.T.); #313416=ORIENTED_EDGE('',*,*,#223705,.T.); #313417=ORIENTED_EDGE('',*,*,#223706,.T.); #313418=ORIENTED_EDGE('',*,*,#223707,.T.); #313419=ORIENTED_EDGE('',*,*,#223708,.T.); #313420=ORIENTED_EDGE('',*,*,#223709,.T.); #313421=ORIENTED_EDGE('',*,*,#223710,.F.); #313422=ORIENTED_EDGE('',*,*,#223711,.F.); #313423=ORIENTED_EDGE('',*,*,#223712,.F.); #313424=ORIENTED_EDGE('',*,*,#223713,.F.); #313425=ORIENTED_EDGE('',*,*,#223714,.F.); #313426=ORIENTED_EDGE('',*,*,#223701,.T.); #313427=ORIENTED_EDGE('',*,*,#223715,.T.); #313428=ORIENTED_EDGE('',*,*,#223716,.F.); #313429=ORIENTED_EDGE('',*,*,#223717,.F.); #313430=ORIENTED_EDGE('',*,*,#223718,.T.); #313431=ORIENTED_EDGE('',*,*,#223719,.T.); #313432=ORIENTED_EDGE('',*,*,#223720,.T.); #313433=ORIENTED_EDGE('',*,*,#223721,.T.); #313434=ORIENTED_EDGE('',*,*,#223722,.F.); #313435=ORIENTED_EDGE('',*,*,#223723,.F.); #313436=ORIENTED_EDGE('',*,*,#223724,.F.); #313437=ORIENTED_EDGE('',*,*,#223725,.F.); #313438=ORIENTED_EDGE('',*,*,#223726,.F.); #313439=ORIENTED_EDGE('',*,*,#223727,.T.); #313440=ORIENTED_EDGE('',*,*,#223728,.F.); #313441=ORIENTED_EDGE('',*,*,#223729,.F.); #313442=ORIENTED_EDGE('',*,*,#223716,.T.); #313443=ORIENTED_EDGE('',*,*,#223730,.F.); #313444=ORIENTED_EDGE('',*,*,#223731,.T.); #313445=ORIENTED_EDGE('',*,*,#223732,.F.); #313446=ORIENTED_EDGE('',*,*,#223728,.T.); #313447=ORIENTED_EDGE('',*,*,#223733,.T.); #313448=ORIENTED_EDGE('',*,*,#223734,.T.); #313449=ORIENTED_EDGE('',*,*,#223735,.F.); #313450=ORIENTED_EDGE('',*,*,#223736,.T.); #313451=ORIENTED_EDGE('',*,*,#223730,.T.); #313452=ORIENTED_EDGE('',*,*,#223737,.T.); #313453=ORIENTED_EDGE('',*,*,#223738,.F.); #313454=ORIENTED_EDGE('',*,*,#223739,.T.); #313455=ORIENTED_EDGE('',*,*,#223735,.T.); #313456=ORIENTED_EDGE('',*,*,#223740,.T.); #313457=ORIENTED_EDGE('',*,*,#223741,.T.); #313458=ORIENTED_EDGE('',*,*,#223703,.F.); #313459=ORIENTED_EDGE('',*,*,#223732,.T.); #313460=ORIENTED_EDGE('',*,*,#223742,.T.); #313461=ORIENTED_EDGE('',*,*,#223738,.T.); #313462=ORIENTED_EDGE('',*,*,#223702,.T.); #313463=ORIENTED_EDGE('',*,*,#223714,.T.); #313464=ORIENTED_EDGE('',*,*,#223743,.T.); #313465=ORIENTED_EDGE('',*,*,#223717,.T.); #313466=ORIENTED_EDGE('',*,*,#223729,.T.); #313467=ORIENTED_EDGE('',*,*,#223741,.F.); #313468=ORIENTED_EDGE('',*,*,#223744,.T.); #313469=ORIENTED_EDGE('',*,*,#223733,.F.); #313470=ORIENTED_EDGE('',*,*,#223727,.F.); #313471=ORIENTED_EDGE('',*,*,#223715,.F.); #313472=ORIENTED_EDGE('',*,*,#223745,.F.); #313473=ORIENTED_EDGE('',*,*,#223704,.F.); #313474=ORIENTED_EDGE('',*,*,#223700,.F.); #313475=ORIENTED_EDGE('',*,*,#223709,.F.); #313476=ORIENTED_EDGE('',*,*,#223746,.F.); #313477=ORIENTED_EDGE('',*,*,#223722,.T.); #313478=ORIENTED_EDGE('',*,*,#223747,.T.); #313479=ORIENTED_EDGE('',*,*,#223713,.T.); #313480=ORIENTED_EDGE('',*,*,#223748,.F.); #313481=ORIENTED_EDGE('',*,*,#223718,.F.); #313482=ORIENTED_EDGE('',*,*,#223743,.F.); #313483=ORIENTED_EDGE('',*,*,#223712,.T.); #313484=ORIENTED_EDGE('',*,*,#223749,.F.); #313485=ORIENTED_EDGE('',*,*,#223719,.F.); #313486=ORIENTED_EDGE('',*,*,#223748,.T.); #313487=ORIENTED_EDGE('',*,*,#223711,.T.); #313488=ORIENTED_EDGE('',*,*,#223750,.F.); #313489=ORIENTED_EDGE('',*,*,#223720,.F.); #313490=ORIENTED_EDGE('',*,*,#223749,.T.); #313491=ORIENTED_EDGE('',*,*,#223710,.T.); #313492=ORIENTED_EDGE('',*,*,#223747,.F.); #313493=ORIENTED_EDGE('',*,*,#223721,.F.); #313494=ORIENTED_EDGE('',*,*,#223750,.T.); #313495=ORIENTED_EDGE('',*,*,#223705,.F.); #313496=ORIENTED_EDGE('',*,*,#223745,.T.); #313497=ORIENTED_EDGE('',*,*,#223726,.T.); #313498=ORIENTED_EDGE('',*,*,#223751,.T.); #313499=ORIENTED_EDGE('',*,*,#223706,.F.); #313500=ORIENTED_EDGE('',*,*,#223751,.F.); #313501=ORIENTED_EDGE('',*,*,#223725,.T.); #313502=ORIENTED_EDGE('',*,*,#223752,.T.); #313503=ORIENTED_EDGE('',*,*,#223707,.F.); #313504=ORIENTED_EDGE('',*,*,#223752,.F.); #313505=ORIENTED_EDGE('',*,*,#223724,.T.); #313506=ORIENTED_EDGE('',*,*,#223753,.T.); #313507=ORIENTED_EDGE('',*,*,#223708,.F.); #313508=ORIENTED_EDGE('',*,*,#223753,.F.); #313509=ORIENTED_EDGE('',*,*,#223723,.T.); #313510=ORIENTED_EDGE('',*,*,#223746,.T.); #313511=ORIENTED_EDGE('',*,*,#223731,.F.); #313512=ORIENTED_EDGE('',*,*,#223736,.F.); #313513=ORIENTED_EDGE('',*,*,#223739,.F.); #313514=ORIENTED_EDGE('',*,*,#223742,.F.); #313515=ORIENTED_EDGE('',*,*,#223734,.F.); #313516=ORIENTED_EDGE('',*,*,#223744,.F.); #313517=ORIENTED_EDGE('',*,*,#223740,.F.); #313518=ORIENTED_EDGE('',*,*,#223737,.F.); #313519=ORIENTED_EDGE('',*,*,#223754,.T.); #313520=ORIENTED_EDGE('',*,*,#223755,.F.); #313521=ORIENTED_EDGE('',*,*,#223756,.F.); #313522=ORIENTED_EDGE('',*,*,#223757,.T.); #313523=ORIENTED_EDGE('',*,*,#223758,.T.); #313524=ORIENTED_EDGE('',*,*,#223759,.T.); #313525=ORIENTED_EDGE('',*,*,#223760,.T.); #313526=ORIENTED_EDGE('',*,*,#223761,.T.); #313527=ORIENTED_EDGE('',*,*,#223762,.T.); #313528=ORIENTED_EDGE('',*,*,#223763,.T.); #313529=ORIENTED_EDGE('',*,*,#223764,.F.); #313530=ORIENTED_EDGE('',*,*,#223765,.F.); #313531=ORIENTED_EDGE('',*,*,#223766,.F.); #313532=ORIENTED_EDGE('',*,*,#223767,.F.); #313533=ORIENTED_EDGE('',*,*,#223768,.F.); #313534=ORIENTED_EDGE('',*,*,#223755,.T.); #313535=ORIENTED_EDGE('',*,*,#223769,.T.); #313536=ORIENTED_EDGE('',*,*,#223770,.F.); #313537=ORIENTED_EDGE('',*,*,#223771,.F.); #313538=ORIENTED_EDGE('',*,*,#223772,.T.); #313539=ORIENTED_EDGE('',*,*,#223773,.T.); #313540=ORIENTED_EDGE('',*,*,#223774,.T.); #313541=ORIENTED_EDGE('',*,*,#223775,.T.); #313542=ORIENTED_EDGE('',*,*,#223776,.F.); #313543=ORIENTED_EDGE('',*,*,#223777,.F.); #313544=ORIENTED_EDGE('',*,*,#223778,.F.); #313545=ORIENTED_EDGE('',*,*,#223779,.F.); #313546=ORIENTED_EDGE('',*,*,#223780,.F.); #313547=ORIENTED_EDGE('',*,*,#223781,.T.); #313548=ORIENTED_EDGE('',*,*,#223782,.F.); #313549=ORIENTED_EDGE('',*,*,#223783,.F.); #313550=ORIENTED_EDGE('',*,*,#223770,.T.); #313551=ORIENTED_EDGE('',*,*,#223784,.F.); #313552=ORIENTED_EDGE('',*,*,#223785,.T.); #313553=ORIENTED_EDGE('',*,*,#223786,.F.); #313554=ORIENTED_EDGE('',*,*,#223782,.T.); #313555=ORIENTED_EDGE('',*,*,#223787,.T.); #313556=ORIENTED_EDGE('',*,*,#223788,.T.); #313557=ORIENTED_EDGE('',*,*,#223789,.F.); #313558=ORIENTED_EDGE('',*,*,#223790,.T.); #313559=ORIENTED_EDGE('',*,*,#223784,.T.); #313560=ORIENTED_EDGE('',*,*,#223791,.T.); #313561=ORIENTED_EDGE('',*,*,#223792,.F.); #313562=ORIENTED_EDGE('',*,*,#223793,.T.); #313563=ORIENTED_EDGE('',*,*,#223789,.T.); #313564=ORIENTED_EDGE('',*,*,#223794,.T.); #313565=ORIENTED_EDGE('',*,*,#223795,.T.); #313566=ORIENTED_EDGE('',*,*,#223757,.F.); #313567=ORIENTED_EDGE('',*,*,#223786,.T.); #313568=ORIENTED_EDGE('',*,*,#223796,.T.); #313569=ORIENTED_EDGE('',*,*,#223792,.T.); #313570=ORIENTED_EDGE('',*,*,#223756,.T.); #313571=ORIENTED_EDGE('',*,*,#223768,.T.); #313572=ORIENTED_EDGE('',*,*,#223797,.T.); #313573=ORIENTED_EDGE('',*,*,#223771,.T.); #313574=ORIENTED_EDGE('',*,*,#223783,.T.); #313575=ORIENTED_EDGE('',*,*,#223795,.F.); #313576=ORIENTED_EDGE('',*,*,#223798,.T.); #313577=ORIENTED_EDGE('',*,*,#223787,.F.); #313578=ORIENTED_EDGE('',*,*,#223781,.F.); #313579=ORIENTED_EDGE('',*,*,#223769,.F.); #313580=ORIENTED_EDGE('',*,*,#223799,.F.); #313581=ORIENTED_EDGE('',*,*,#223758,.F.); #313582=ORIENTED_EDGE('',*,*,#223754,.F.); #313583=ORIENTED_EDGE('',*,*,#223763,.F.); #313584=ORIENTED_EDGE('',*,*,#223800,.F.); #313585=ORIENTED_EDGE('',*,*,#223776,.T.); #313586=ORIENTED_EDGE('',*,*,#223801,.T.); #313587=ORIENTED_EDGE('',*,*,#223767,.T.); #313588=ORIENTED_EDGE('',*,*,#223802,.F.); #313589=ORIENTED_EDGE('',*,*,#223772,.F.); #313590=ORIENTED_EDGE('',*,*,#223797,.F.); #313591=ORIENTED_EDGE('',*,*,#223766,.T.); #313592=ORIENTED_EDGE('',*,*,#223803,.F.); #313593=ORIENTED_EDGE('',*,*,#223773,.F.); #313594=ORIENTED_EDGE('',*,*,#223802,.T.); #313595=ORIENTED_EDGE('',*,*,#223765,.T.); #313596=ORIENTED_EDGE('',*,*,#223804,.F.); #313597=ORIENTED_EDGE('',*,*,#223774,.F.); #313598=ORIENTED_EDGE('',*,*,#223803,.T.); #313599=ORIENTED_EDGE('',*,*,#223764,.T.); #313600=ORIENTED_EDGE('',*,*,#223801,.F.); #313601=ORIENTED_EDGE('',*,*,#223775,.F.); #313602=ORIENTED_EDGE('',*,*,#223804,.T.); #313603=ORIENTED_EDGE('',*,*,#223759,.F.); #313604=ORIENTED_EDGE('',*,*,#223799,.T.); #313605=ORIENTED_EDGE('',*,*,#223780,.T.); #313606=ORIENTED_EDGE('',*,*,#223805,.T.); #313607=ORIENTED_EDGE('',*,*,#223760,.F.); #313608=ORIENTED_EDGE('',*,*,#223805,.F.); #313609=ORIENTED_EDGE('',*,*,#223779,.T.); #313610=ORIENTED_EDGE('',*,*,#223806,.T.); #313611=ORIENTED_EDGE('',*,*,#223761,.F.); #313612=ORIENTED_EDGE('',*,*,#223806,.F.); #313613=ORIENTED_EDGE('',*,*,#223778,.T.); #313614=ORIENTED_EDGE('',*,*,#223807,.T.); #313615=ORIENTED_EDGE('',*,*,#223762,.F.); #313616=ORIENTED_EDGE('',*,*,#223807,.F.); #313617=ORIENTED_EDGE('',*,*,#223777,.T.); #313618=ORIENTED_EDGE('',*,*,#223800,.T.); #313619=ORIENTED_EDGE('',*,*,#223785,.F.); #313620=ORIENTED_EDGE('',*,*,#223790,.F.); #313621=ORIENTED_EDGE('',*,*,#223793,.F.); #313622=ORIENTED_EDGE('',*,*,#223796,.F.); #313623=ORIENTED_EDGE('',*,*,#223788,.F.); #313624=ORIENTED_EDGE('',*,*,#223798,.F.); #313625=ORIENTED_EDGE('',*,*,#223794,.F.); #313626=ORIENTED_EDGE('',*,*,#223791,.F.); #313627=ORIENTED_EDGE('',*,*,#223808,.T.); #313628=ORIENTED_EDGE('',*,*,#223809,.F.); #313629=ORIENTED_EDGE('',*,*,#223810,.F.); #313630=ORIENTED_EDGE('',*,*,#223811,.T.); #313631=ORIENTED_EDGE('',*,*,#223812,.T.); #313632=ORIENTED_EDGE('',*,*,#223813,.T.); #313633=ORIENTED_EDGE('',*,*,#223814,.T.); #313634=ORIENTED_EDGE('',*,*,#223815,.T.); #313635=ORIENTED_EDGE('',*,*,#223816,.T.); #313636=ORIENTED_EDGE('',*,*,#223817,.T.); #313637=ORIENTED_EDGE('',*,*,#223818,.F.); #313638=ORIENTED_EDGE('',*,*,#223819,.F.); #313639=ORIENTED_EDGE('',*,*,#223820,.F.); #313640=ORIENTED_EDGE('',*,*,#223821,.F.); #313641=ORIENTED_EDGE('',*,*,#223822,.F.); #313642=ORIENTED_EDGE('',*,*,#223809,.T.); #313643=ORIENTED_EDGE('',*,*,#223823,.T.); #313644=ORIENTED_EDGE('',*,*,#223824,.F.); #313645=ORIENTED_EDGE('',*,*,#223825,.F.); #313646=ORIENTED_EDGE('',*,*,#223826,.T.); #313647=ORIENTED_EDGE('',*,*,#223827,.T.); #313648=ORIENTED_EDGE('',*,*,#223828,.T.); #313649=ORIENTED_EDGE('',*,*,#223829,.T.); #313650=ORIENTED_EDGE('',*,*,#223830,.F.); #313651=ORIENTED_EDGE('',*,*,#223831,.F.); #313652=ORIENTED_EDGE('',*,*,#223832,.F.); #313653=ORIENTED_EDGE('',*,*,#223833,.F.); #313654=ORIENTED_EDGE('',*,*,#223834,.F.); #313655=ORIENTED_EDGE('',*,*,#223835,.T.); #313656=ORIENTED_EDGE('',*,*,#223836,.F.); #313657=ORIENTED_EDGE('',*,*,#223837,.F.); #313658=ORIENTED_EDGE('',*,*,#223824,.T.); #313659=ORIENTED_EDGE('',*,*,#223838,.F.); #313660=ORIENTED_EDGE('',*,*,#223839,.T.); #313661=ORIENTED_EDGE('',*,*,#223840,.F.); #313662=ORIENTED_EDGE('',*,*,#223836,.T.); #313663=ORIENTED_EDGE('',*,*,#223841,.T.); #313664=ORIENTED_EDGE('',*,*,#223842,.T.); #313665=ORIENTED_EDGE('',*,*,#223843,.F.); #313666=ORIENTED_EDGE('',*,*,#223844,.T.); #313667=ORIENTED_EDGE('',*,*,#223838,.T.); #313668=ORIENTED_EDGE('',*,*,#223845,.T.); #313669=ORIENTED_EDGE('',*,*,#223846,.F.); #313670=ORIENTED_EDGE('',*,*,#223847,.T.); #313671=ORIENTED_EDGE('',*,*,#223843,.T.); #313672=ORIENTED_EDGE('',*,*,#223848,.T.); #313673=ORIENTED_EDGE('',*,*,#223849,.T.); #313674=ORIENTED_EDGE('',*,*,#223811,.F.); #313675=ORIENTED_EDGE('',*,*,#223840,.T.); #313676=ORIENTED_EDGE('',*,*,#223850,.T.); #313677=ORIENTED_EDGE('',*,*,#223846,.T.); #313678=ORIENTED_EDGE('',*,*,#223810,.T.); #313679=ORIENTED_EDGE('',*,*,#223822,.T.); #313680=ORIENTED_EDGE('',*,*,#223851,.T.); #313681=ORIENTED_EDGE('',*,*,#223825,.T.); #313682=ORIENTED_EDGE('',*,*,#223837,.T.); #313683=ORIENTED_EDGE('',*,*,#223849,.F.); #313684=ORIENTED_EDGE('',*,*,#223852,.T.); #313685=ORIENTED_EDGE('',*,*,#223841,.F.); #313686=ORIENTED_EDGE('',*,*,#223835,.F.); #313687=ORIENTED_EDGE('',*,*,#223823,.F.); #313688=ORIENTED_EDGE('',*,*,#223853,.F.); #313689=ORIENTED_EDGE('',*,*,#223812,.F.); #313690=ORIENTED_EDGE('',*,*,#223808,.F.); #313691=ORIENTED_EDGE('',*,*,#223817,.F.); #313692=ORIENTED_EDGE('',*,*,#223854,.F.); #313693=ORIENTED_EDGE('',*,*,#223830,.T.); #313694=ORIENTED_EDGE('',*,*,#223855,.T.); #313695=ORIENTED_EDGE('',*,*,#223821,.T.); #313696=ORIENTED_EDGE('',*,*,#223856,.F.); #313697=ORIENTED_EDGE('',*,*,#223826,.F.); #313698=ORIENTED_EDGE('',*,*,#223851,.F.); #313699=ORIENTED_EDGE('',*,*,#223820,.T.); #313700=ORIENTED_EDGE('',*,*,#223857,.F.); #313701=ORIENTED_EDGE('',*,*,#223827,.F.); #313702=ORIENTED_EDGE('',*,*,#223856,.T.); #313703=ORIENTED_EDGE('',*,*,#223819,.T.); #313704=ORIENTED_EDGE('',*,*,#223858,.F.); #313705=ORIENTED_EDGE('',*,*,#223828,.F.); #313706=ORIENTED_EDGE('',*,*,#223857,.T.); #313707=ORIENTED_EDGE('',*,*,#223818,.T.); #313708=ORIENTED_EDGE('',*,*,#223855,.F.); #313709=ORIENTED_EDGE('',*,*,#223829,.F.); #313710=ORIENTED_EDGE('',*,*,#223858,.T.); #313711=ORIENTED_EDGE('',*,*,#223813,.F.); #313712=ORIENTED_EDGE('',*,*,#223853,.T.); #313713=ORIENTED_EDGE('',*,*,#223834,.T.); #313714=ORIENTED_EDGE('',*,*,#223859,.T.); #313715=ORIENTED_EDGE('',*,*,#223814,.F.); #313716=ORIENTED_EDGE('',*,*,#223859,.F.); #313717=ORIENTED_EDGE('',*,*,#223833,.T.); #313718=ORIENTED_EDGE('',*,*,#223860,.T.); #313719=ORIENTED_EDGE('',*,*,#223815,.F.); #313720=ORIENTED_EDGE('',*,*,#223860,.F.); #313721=ORIENTED_EDGE('',*,*,#223832,.T.); #313722=ORIENTED_EDGE('',*,*,#223861,.T.); #313723=ORIENTED_EDGE('',*,*,#223816,.F.); #313724=ORIENTED_EDGE('',*,*,#223861,.F.); #313725=ORIENTED_EDGE('',*,*,#223831,.T.); #313726=ORIENTED_EDGE('',*,*,#223854,.T.); #313727=ORIENTED_EDGE('',*,*,#223839,.F.); #313728=ORIENTED_EDGE('',*,*,#223844,.F.); #313729=ORIENTED_EDGE('',*,*,#223847,.F.); #313730=ORIENTED_EDGE('',*,*,#223850,.F.); #313731=ORIENTED_EDGE('',*,*,#223842,.F.); #313732=ORIENTED_EDGE('',*,*,#223852,.F.); #313733=ORIENTED_EDGE('',*,*,#223848,.F.); #313734=ORIENTED_EDGE('',*,*,#223845,.F.); #313735=ORIENTED_EDGE('',*,*,#223862,.T.); #313736=ORIENTED_EDGE('',*,*,#223863,.F.); #313737=ORIENTED_EDGE('',*,*,#223864,.F.); #313738=ORIENTED_EDGE('',*,*,#223865,.T.); #313739=ORIENTED_EDGE('',*,*,#223866,.T.); #313740=ORIENTED_EDGE('',*,*,#223867,.T.); #313741=ORIENTED_EDGE('',*,*,#223868,.T.); #313742=ORIENTED_EDGE('',*,*,#223869,.T.); #313743=ORIENTED_EDGE('',*,*,#223870,.T.); #313744=ORIENTED_EDGE('',*,*,#223871,.T.); #313745=ORIENTED_EDGE('',*,*,#223872,.F.); #313746=ORIENTED_EDGE('',*,*,#223873,.F.); #313747=ORIENTED_EDGE('',*,*,#223874,.F.); #313748=ORIENTED_EDGE('',*,*,#223875,.F.); #313749=ORIENTED_EDGE('',*,*,#223876,.F.); #313750=ORIENTED_EDGE('',*,*,#223863,.T.); #313751=ORIENTED_EDGE('',*,*,#223877,.T.); #313752=ORIENTED_EDGE('',*,*,#223878,.F.); #313753=ORIENTED_EDGE('',*,*,#223879,.F.); #313754=ORIENTED_EDGE('',*,*,#223880,.T.); #313755=ORIENTED_EDGE('',*,*,#223881,.T.); #313756=ORIENTED_EDGE('',*,*,#223882,.T.); #313757=ORIENTED_EDGE('',*,*,#223883,.T.); #313758=ORIENTED_EDGE('',*,*,#223884,.F.); #313759=ORIENTED_EDGE('',*,*,#223885,.F.); #313760=ORIENTED_EDGE('',*,*,#223886,.F.); #313761=ORIENTED_EDGE('',*,*,#223887,.F.); #313762=ORIENTED_EDGE('',*,*,#223888,.F.); #313763=ORIENTED_EDGE('',*,*,#223889,.T.); #313764=ORIENTED_EDGE('',*,*,#223890,.F.); #313765=ORIENTED_EDGE('',*,*,#223891,.F.); #313766=ORIENTED_EDGE('',*,*,#223878,.T.); #313767=ORIENTED_EDGE('',*,*,#223892,.F.); #313768=ORIENTED_EDGE('',*,*,#223893,.T.); #313769=ORIENTED_EDGE('',*,*,#223894,.F.); #313770=ORIENTED_EDGE('',*,*,#223890,.T.); #313771=ORIENTED_EDGE('',*,*,#223895,.T.); #313772=ORIENTED_EDGE('',*,*,#223896,.T.); #313773=ORIENTED_EDGE('',*,*,#223897,.F.); #313774=ORIENTED_EDGE('',*,*,#223898,.T.); #313775=ORIENTED_EDGE('',*,*,#223892,.T.); #313776=ORIENTED_EDGE('',*,*,#223899,.T.); #313777=ORIENTED_EDGE('',*,*,#223900,.F.); #313778=ORIENTED_EDGE('',*,*,#223901,.T.); #313779=ORIENTED_EDGE('',*,*,#223897,.T.); #313780=ORIENTED_EDGE('',*,*,#223902,.T.); #313781=ORIENTED_EDGE('',*,*,#223903,.T.); #313782=ORIENTED_EDGE('',*,*,#223865,.F.); #313783=ORIENTED_EDGE('',*,*,#223894,.T.); #313784=ORIENTED_EDGE('',*,*,#223904,.T.); #313785=ORIENTED_EDGE('',*,*,#223900,.T.); #313786=ORIENTED_EDGE('',*,*,#223864,.T.); #313787=ORIENTED_EDGE('',*,*,#223876,.T.); #313788=ORIENTED_EDGE('',*,*,#223905,.T.); #313789=ORIENTED_EDGE('',*,*,#223879,.T.); #313790=ORIENTED_EDGE('',*,*,#223891,.T.); #313791=ORIENTED_EDGE('',*,*,#223903,.F.); #313792=ORIENTED_EDGE('',*,*,#223906,.T.); #313793=ORIENTED_EDGE('',*,*,#223895,.F.); #313794=ORIENTED_EDGE('',*,*,#223889,.F.); #313795=ORIENTED_EDGE('',*,*,#223877,.F.); #313796=ORIENTED_EDGE('',*,*,#223907,.F.); #313797=ORIENTED_EDGE('',*,*,#223866,.F.); #313798=ORIENTED_EDGE('',*,*,#223862,.F.); #313799=ORIENTED_EDGE('',*,*,#223871,.F.); #313800=ORIENTED_EDGE('',*,*,#223908,.F.); #313801=ORIENTED_EDGE('',*,*,#223884,.T.); #313802=ORIENTED_EDGE('',*,*,#223909,.T.); #313803=ORIENTED_EDGE('',*,*,#223875,.T.); #313804=ORIENTED_EDGE('',*,*,#223910,.F.); #313805=ORIENTED_EDGE('',*,*,#223880,.F.); #313806=ORIENTED_EDGE('',*,*,#223905,.F.); #313807=ORIENTED_EDGE('',*,*,#223874,.T.); #313808=ORIENTED_EDGE('',*,*,#223911,.F.); #313809=ORIENTED_EDGE('',*,*,#223881,.F.); #313810=ORIENTED_EDGE('',*,*,#223910,.T.); #313811=ORIENTED_EDGE('',*,*,#223873,.T.); #313812=ORIENTED_EDGE('',*,*,#223912,.F.); #313813=ORIENTED_EDGE('',*,*,#223882,.F.); #313814=ORIENTED_EDGE('',*,*,#223911,.T.); #313815=ORIENTED_EDGE('',*,*,#223872,.T.); #313816=ORIENTED_EDGE('',*,*,#223909,.F.); #313817=ORIENTED_EDGE('',*,*,#223883,.F.); #313818=ORIENTED_EDGE('',*,*,#223912,.T.); #313819=ORIENTED_EDGE('',*,*,#223867,.F.); #313820=ORIENTED_EDGE('',*,*,#223907,.T.); #313821=ORIENTED_EDGE('',*,*,#223888,.T.); #313822=ORIENTED_EDGE('',*,*,#223913,.T.); #313823=ORIENTED_EDGE('',*,*,#223868,.F.); #313824=ORIENTED_EDGE('',*,*,#223913,.F.); #313825=ORIENTED_EDGE('',*,*,#223887,.T.); #313826=ORIENTED_EDGE('',*,*,#223914,.T.); #313827=ORIENTED_EDGE('',*,*,#223869,.F.); #313828=ORIENTED_EDGE('',*,*,#223914,.F.); #313829=ORIENTED_EDGE('',*,*,#223886,.T.); #313830=ORIENTED_EDGE('',*,*,#223915,.T.); #313831=ORIENTED_EDGE('',*,*,#223870,.F.); #313832=ORIENTED_EDGE('',*,*,#223915,.F.); #313833=ORIENTED_EDGE('',*,*,#223885,.T.); #313834=ORIENTED_EDGE('',*,*,#223908,.T.); #313835=ORIENTED_EDGE('',*,*,#223893,.F.); #313836=ORIENTED_EDGE('',*,*,#223898,.F.); #313837=ORIENTED_EDGE('',*,*,#223901,.F.); #313838=ORIENTED_EDGE('',*,*,#223904,.F.); #313839=ORIENTED_EDGE('',*,*,#223896,.F.); #313840=ORIENTED_EDGE('',*,*,#223906,.F.); #313841=ORIENTED_EDGE('',*,*,#223902,.F.); #313842=ORIENTED_EDGE('',*,*,#223899,.F.); #313843=ORIENTED_EDGE('',*,*,#223916,.T.); #313844=ORIENTED_EDGE('',*,*,#223917,.F.); #313845=ORIENTED_EDGE('',*,*,#223918,.F.); #313846=ORIENTED_EDGE('',*,*,#223919,.T.); #313847=ORIENTED_EDGE('',*,*,#223920,.T.); #313848=ORIENTED_EDGE('',*,*,#223921,.T.); #313849=ORIENTED_EDGE('',*,*,#223922,.T.); #313850=ORIENTED_EDGE('',*,*,#223923,.T.); #313851=ORIENTED_EDGE('',*,*,#223924,.T.); #313852=ORIENTED_EDGE('',*,*,#223925,.T.); #313853=ORIENTED_EDGE('',*,*,#223926,.F.); #313854=ORIENTED_EDGE('',*,*,#223927,.F.); #313855=ORIENTED_EDGE('',*,*,#223928,.F.); #313856=ORIENTED_EDGE('',*,*,#223929,.F.); #313857=ORIENTED_EDGE('',*,*,#223930,.F.); #313858=ORIENTED_EDGE('',*,*,#223917,.T.); #313859=ORIENTED_EDGE('',*,*,#223931,.T.); #313860=ORIENTED_EDGE('',*,*,#223932,.F.); #313861=ORIENTED_EDGE('',*,*,#223933,.F.); #313862=ORIENTED_EDGE('',*,*,#223934,.T.); #313863=ORIENTED_EDGE('',*,*,#223935,.T.); #313864=ORIENTED_EDGE('',*,*,#223936,.T.); #313865=ORIENTED_EDGE('',*,*,#223937,.T.); #313866=ORIENTED_EDGE('',*,*,#223938,.F.); #313867=ORIENTED_EDGE('',*,*,#223939,.F.); #313868=ORIENTED_EDGE('',*,*,#223940,.F.); #313869=ORIENTED_EDGE('',*,*,#223941,.F.); #313870=ORIENTED_EDGE('',*,*,#223942,.F.); #313871=ORIENTED_EDGE('',*,*,#223943,.T.); #313872=ORIENTED_EDGE('',*,*,#223944,.F.); #313873=ORIENTED_EDGE('',*,*,#223945,.F.); #313874=ORIENTED_EDGE('',*,*,#223932,.T.); #313875=ORIENTED_EDGE('',*,*,#223946,.F.); #313876=ORIENTED_EDGE('',*,*,#223947,.T.); #313877=ORIENTED_EDGE('',*,*,#223948,.F.); #313878=ORIENTED_EDGE('',*,*,#223944,.T.); #313879=ORIENTED_EDGE('',*,*,#223949,.T.); #313880=ORIENTED_EDGE('',*,*,#223950,.T.); #313881=ORIENTED_EDGE('',*,*,#223951,.F.); #313882=ORIENTED_EDGE('',*,*,#223952,.T.); #313883=ORIENTED_EDGE('',*,*,#223946,.T.); #313884=ORIENTED_EDGE('',*,*,#223953,.T.); #313885=ORIENTED_EDGE('',*,*,#223954,.F.); #313886=ORIENTED_EDGE('',*,*,#223955,.T.); #313887=ORIENTED_EDGE('',*,*,#223951,.T.); #313888=ORIENTED_EDGE('',*,*,#223956,.T.); #313889=ORIENTED_EDGE('',*,*,#223957,.T.); #313890=ORIENTED_EDGE('',*,*,#223919,.F.); #313891=ORIENTED_EDGE('',*,*,#223948,.T.); #313892=ORIENTED_EDGE('',*,*,#223958,.T.); #313893=ORIENTED_EDGE('',*,*,#223954,.T.); #313894=ORIENTED_EDGE('',*,*,#223918,.T.); #313895=ORIENTED_EDGE('',*,*,#223930,.T.); #313896=ORIENTED_EDGE('',*,*,#223959,.T.); #313897=ORIENTED_EDGE('',*,*,#223933,.T.); #313898=ORIENTED_EDGE('',*,*,#223945,.T.); #313899=ORIENTED_EDGE('',*,*,#223957,.F.); #313900=ORIENTED_EDGE('',*,*,#223960,.T.); #313901=ORIENTED_EDGE('',*,*,#223949,.F.); #313902=ORIENTED_EDGE('',*,*,#223943,.F.); #313903=ORIENTED_EDGE('',*,*,#223931,.F.); #313904=ORIENTED_EDGE('',*,*,#223961,.F.); #313905=ORIENTED_EDGE('',*,*,#223920,.F.); #313906=ORIENTED_EDGE('',*,*,#223916,.F.); #313907=ORIENTED_EDGE('',*,*,#223925,.F.); #313908=ORIENTED_EDGE('',*,*,#223962,.F.); #313909=ORIENTED_EDGE('',*,*,#223938,.T.); #313910=ORIENTED_EDGE('',*,*,#223963,.T.); #313911=ORIENTED_EDGE('',*,*,#223929,.T.); #313912=ORIENTED_EDGE('',*,*,#223964,.F.); #313913=ORIENTED_EDGE('',*,*,#223934,.F.); #313914=ORIENTED_EDGE('',*,*,#223959,.F.); #313915=ORIENTED_EDGE('',*,*,#223928,.T.); #313916=ORIENTED_EDGE('',*,*,#223965,.F.); #313917=ORIENTED_EDGE('',*,*,#223935,.F.); #313918=ORIENTED_EDGE('',*,*,#223964,.T.); #313919=ORIENTED_EDGE('',*,*,#223927,.T.); #313920=ORIENTED_EDGE('',*,*,#223966,.F.); #313921=ORIENTED_EDGE('',*,*,#223936,.F.); #313922=ORIENTED_EDGE('',*,*,#223965,.T.); #313923=ORIENTED_EDGE('',*,*,#223926,.T.); #313924=ORIENTED_EDGE('',*,*,#223963,.F.); #313925=ORIENTED_EDGE('',*,*,#223937,.F.); #313926=ORIENTED_EDGE('',*,*,#223966,.T.); #313927=ORIENTED_EDGE('',*,*,#223921,.F.); #313928=ORIENTED_EDGE('',*,*,#223961,.T.); #313929=ORIENTED_EDGE('',*,*,#223942,.T.); #313930=ORIENTED_EDGE('',*,*,#223967,.T.); #313931=ORIENTED_EDGE('',*,*,#223922,.F.); #313932=ORIENTED_EDGE('',*,*,#223967,.F.); #313933=ORIENTED_EDGE('',*,*,#223941,.T.); #313934=ORIENTED_EDGE('',*,*,#223968,.T.); #313935=ORIENTED_EDGE('',*,*,#223923,.F.); #313936=ORIENTED_EDGE('',*,*,#223968,.F.); #313937=ORIENTED_EDGE('',*,*,#223940,.T.); #313938=ORIENTED_EDGE('',*,*,#223969,.T.); #313939=ORIENTED_EDGE('',*,*,#223924,.F.); #313940=ORIENTED_EDGE('',*,*,#223969,.F.); #313941=ORIENTED_EDGE('',*,*,#223939,.T.); #313942=ORIENTED_EDGE('',*,*,#223962,.T.); #313943=ORIENTED_EDGE('',*,*,#223947,.F.); #313944=ORIENTED_EDGE('',*,*,#223952,.F.); #313945=ORIENTED_EDGE('',*,*,#223955,.F.); #313946=ORIENTED_EDGE('',*,*,#223958,.F.); #313947=ORIENTED_EDGE('',*,*,#223950,.F.); #313948=ORIENTED_EDGE('',*,*,#223960,.F.); #313949=ORIENTED_EDGE('',*,*,#223956,.F.); #313950=ORIENTED_EDGE('',*,*,#223953,.F.); #313951=ORIENTED_EDGE('',*,*,#223970,.T.); #313952=ORIENTED_EDGE('',*,*,#223971,.F.); #313953=ORIENTED_EDGE('',*,*,#223972,.F.); #313954=ORIENTED_EDGE('',*,*,#223973,.T.); #313955=ORIENTED_EDGE('',*,*,#223974,.T.); #313956=ORIENTED_EDGE('',*,*,#223975,.T.); #313957=ORIENTED_EDGE('',*,*,#223976,.T.); #313958=ORIENTED_EDGE('',*,*,#223977,.T.); #313959=ORIENTED_EDGE('',*,*,#223978,.T.); #313960=ORIENTED_EDGE('',*,*,#223979,.T.); #313961=ORIENTED_EDGE('',*,*,#223980,.F.); #313962=ORIENTED_EDGE('',*,*,#223981,.F.); #313963=ORIENTED_EDGE('',*,*,#223982,.F.); #313964=ORIENTED_EDGE('',*,*,#223983,.F.); #313965=ORIENTED_EDGE('',*,*,#223984,.F.); #313966=ORIENTED_EDGE('',*,*,#223971,.T.); #313967=ORIENTED_EDGE('',*,*,#223985,.T.); #313968=ORIENTED_EDGE('',*,*,#223986,.F.); #313969=ORIENTED_EDGE('',*,*,#223987,.F.); #313970=ORIENTED_EDGE('',*,*,#223988,.T.); #313971=ORIENTED_EDGE('',*,*,#223989,.T.); #313972=ORIENTED_EDGE('',*,*,#223990,.T.); #313973=ORIENTED_EDGE('',*,*,#223991,.T.); #313974=ORIENTED_EDGE('',*,*,#223992,.F.); #313975=ORIENTED_EDGE('',*,*,#223993,.F.); #313976=ORIENTED_EDGE('',*,*,#223994,.F.); #313977=ORIENTED_EDGE('',*,*,#223995,.F.); #313978=ORIENTED_EDGE('',*,*,#223996,.F.); #313979=ORIENTED_EDGE('',*,*,#223997,.T.); #313980=ORIENTED_EDGE('',*,*,#223998,.F.); #313981=ORIENTED_EDGE('',*,*,#223999,.F.); #313982=ORIENTED_EDGE('',*,*,#223986,.T.); #313983=ORIENTED_EDGE('',*,*,#224000,.F.); #313984=ORIENTED_EDGE('',*,*,#224001,.T.); #313985=ORIENTED_EDGE('',*,*,#224002,.F.); #313986=ORIENTED_EDGE('',*,*,#223998,.T.); #313987=ORIENTED_EDGE('',*,*,#224003,.T.); #313988=ORIENTED_EDGE('',*,*,#224004,.T.); #313989=ORIENTED_EDGE('',*,*,#224005,.F.); #313990=ORIENTED_EDGE('',*,*,#224006,.T.); #313991=ORIENTED_EDGE('',*,*,#224000,.T.); #313992=ORIENTED_EDGE('',*,*,#224007,.T.); #313993=ORIENTED_EDGE('',*,*,#224008,.F.); #313994=ORIENTED_EDGE('',*,*,#224009,.T.); #313995=ORIENTED_EDGE('',*,*,#224005,.T.); #313996=ORIENTED_EDGE('',*,*,#224010,.T.); #313997=ORIENTED_EDGE('',*,*,#224011,.T.); #313998=ORIENTED_EDGE('',*,*,#223973,.F.); #313999=ORIENTED_EDGE('',*,*,#224002,.T.); #314000=ORIENTED_EDGE('',*,*,#224012,.T.); #314001=ORIENTED_EDGE('',*,*,#224008,.T.); #314002=ORIENTED_EDGE('',*,*,#223972,.T.); #314003=ORIENTED_EDGE('',*,*,#223984,.T.); #314004=ORIENTED_EDGE('',*,*,#224013,.T.); #314005=ORIENTED_EDGE('',*,*,#223987,.T.); #314006=ORIENTED_EDGE('',*,*,#223999,.T.); #314007=ORIENTED_EDGE('',*,*,#224011,.F.); #314008=ORIENTED_EDGE('',*,*,#224014,.T.); #314009=ORIENTED_EDGE('',*,*,#224003,.F.); #314010=ORIENTED_EDGE('',*,*,#223997,.F.); #314011=ORIENTED_EDGE('',*,*,#223985,.F.); #314012=ORIENTED_EDGE('',*,*,#224015,.F.); #314013=ORIENTED_EDGE('',*,*,#223974,.F.); #314014=ORIENTED_EDGE('',*,*,#223970,.F.); #314015=ORIENTED_EDGE('',*,*,#223979,.F.); #314016=ORIENTED_EDGE('',*,*,#224016,.F.); #314017=ORIENTED_EDGE('',*,*,#223992,.T.); #314018=ORIENTED_EDGE('',*,*,#224017,.T.); #314019=ORIENTED_EDGE('',*,*,#223983,.T.); #314020=ORIENTED_EDGE('',*,*,#224018,.F.); #314021=ORIENTED_EDGE('',*,*,#223988,.F.); #314022=ORIENTED_EDGE('',*,*,#224013,.F.); #314023=ORIENTED_EDGE('',*,*,#223982,.T.); #314024=ORIENTED_EDGE('',*,*,#224019,.F.); #314025=ORIENTED_EDGE('',*,*,#223989,.F.); #314026=ORIENTED_EDGE('',*,*,#224018,.T.); #314027=ORIENTED_EDGE('',*,*,#223981,.T.); #314028=ORIENTED_EDGE('',*,*,#224020,.F.); #314029=ORIENTED_EDGE('',*,*,#223990,.F.); #314030=ORIENTED_EDGE('',*,*,#224019,.T.); #314031=ORIENTED_EDGE('',*,*,#223980,.T.); #314032=ORIENTED_EDGE('',*,*,#224017,.F.); #314033=ORIENTED_EDGE('',*,*,#223991,.F.); #314034=ORIENTED_EDGE('',*,*,#224020,.T.); #314035=ORIENTED_EDGE('',*,*,#223975,.F.); #314036=ORIENTED_EDGE('',*,*,#224015,.T.); #314037=ORIENTED_EDGE('',*,*,#223996,.T.); #314038=ORIENTED_EDGE('',*,*,#224021,.T.); #314039=ORIENTED_EDGE('',*,*,#223976,.F.); #314040=ORIENTED_EDGE('',*,*,#224021,.F.); #314041=ORIENTED_EDGE('',*,*,#223995,.T.); #314042=ORIENTED_EDGE('',*,*,#224022,.T.); #314043=ORIENTED_EDGE('',*,*,#223977,.F.); #314044=ORIENTED_EDGE('',*,*,#224022,.F.); #314045=ORIENTED_EDGE('',*,*,#223994,.T.); #314046=ORIENTED_EDGE('',*,*,#224023,.T.); #314047=ORIENTED_EDGE('',*,*,#223978,.F.); #314048=ORIENTED_EDGE('',*,*,#224023,.F.); #314049=ORIENTED_EDGE('',*,*,#223993,.T.); #314050=ORIENTED_EDGE('',*,*,#224016,.T.); #314051=ORIENTED_EDGE('',*,*,#224001,.F.); #314052=ORIENTED_EDGE('',*,*,#224006,.F.); #314053=ORIENTED_EDGE('',*,*,#224009,.F.); #314054=ORIENTED_EDGE('',*,*,#224012,.F.); #314055=ORIENTED_EDGE('',*,*,#224004,.F.); #314056=ORIENTED_EDGE('',*,*,#224014,.F.); #314057=ORIENTED_EDGE('',*,*,#224010,.F.); #314058=ORIENTED_EDGE('',*,*,#224007,.F.); #314059=ORIENTED_EDGE('',*,*,#224024,.F.); #314060=ORIENTED_EDGE('',*,*,#224025,.F.); #314061=ORIENTED_EDGE('',*,*,#224026,.T.); #314062=ORIENTED_EDGE('',*,*,#224027,.T.); #314063=ORIENTED_EDGE('',*,*,#224028,.F.); #314064=ORIENTED_EDGE('',*,*,#224027,.F.); #314065=ORIENTED_EDGE('',*,*,#224029,.T.); #314066=ORIENTED_EDGE('',*,*,#224030,.T.); #314067=ORIENTED_EDGE('',*,*,#224031,.T.); #314068=ORIENTED_EDGE('',*,*,#224032,.T.); #314069=ORIENTED_EDGE('',*,*,#224033,.T.); #314070=ORIENTED_EDGE('',*,*,#224034,.F.); #314071=ORIENTED_EDGE('',*,*,#224035,.F.); #314072=ORIENTED_EDGE('',*,*,#224036,.F.); #314073=ORIENTED_EDGE('',*,*,#224037,.F.); #314074=ORIENTED_EDGE('',*,*,#224038,.F.); #314075=ORIENTED_EDGE('',*,*,#224039,.F.); #314076=ORIENTED_EDGE('',*,*,#224040,.T.); #314077=ORIENTED_EDGE('',*,*,#224041,.T.); #314078=ORIENTED_EDGE('',*,*,#224042,.T.); #314079=ORIENTED_EDGE('',*,*,#224043,.T.); #314080=ORIENTED_EDGE('',*,*,#224044,.T.); #314081=ORIENTED_EDGE('',*,*,#224045,.F.); #314082=ORIENTED_EDGE('',*,*,#224046,.F.); #314083=ORIENTED_EDGE('',*,*,#224047,.F.); #314084=ORIENTED_EDGE('',*,*,#224048,.F.); #314085=ORIENTED_EDGE('',*,*,#224049,.T.); #314086=ORIENTED_EDGE('',*,*,#224050,.T.); #314087=ORIENTED_EDGE('',*,*,#224051,.F.); #314088=ORIENTED_EDGE('',*,*,#224050,.F.); #314089=ORIENTED_EDGE('',*,*,#224052,.T.); #314090=ORIENTED_EDGE('',*,*,#224053,.T.); #314091=ORIENTED_EDGE('',*,*,#224054,.T.); #314092=ORIENTED_EDGE('',*,*,#224055,.F.); #314093=ORIENTED_EDGE('',*,*,#224056,.F.); #314094=ORIENTED_EDGE('',*,*,#224053,.F.); #314095=ORIENTED_EDGE('',*,*,#224057,.T.); #314096=ORIENTED_EDGE('',*,*,#224058,.F.); #314097=ORIENTED_EDGE('',*,*,#224059,.T.); #314098=ORIENTED_EDGE('',*,*,#224060,.F.); #314099=ORIENTED_EDGE('',*,*,#224054,.F.); #314100=ORIENTED_EDGE('',*,*,#224061,.F.); #314101=ORIENTED_EDGE('',*,*,#224062,.T.); #314102=ORIENTED_EDGE('',*,*,#224025,.T.); #314103=ORIENTED_EDGE('',*,*,#224063,.F.); #314104=ORIENTED_EDGE('',*,*,#224064,.F.); #314105=ORIENTED_EDGE('',*,*,#224059,.F.); #314106=ORIENTED_EDGE('',*,*,#224065,.F.); #314107=ORIENTED_EDGE('',*,*,#224057,.F.); #314108=ORIENTED_EDGE('',*,*,#224052,.F.); #314109=ORIENTED_EDGE('',*,*,#224049,.F.); #314110=ORIENTED_EDGE('',*,*,#224066,.F.); #314111=ORIENTED_EDGE('',*,*,#224029,.F.); #314112=ORIENTED_EDGE('',*,*,#224026,.F.); #314113=ORIENTED_EDGE('',*,*,#224062,.F.); #314114=ORIENTED_EDGE('',*,*,#224067,.F.); #314115=ORIENTED_EDGE('',*,*,#224063,.T.); #314116=ORIENTED_EDGE('',*,*,#224024,.T.); #314117=ORIENTED_EDGE('',*,*,#224028,.T.); #314118=ORIENTED_EDGE('',*,*,#224068,.T.); #314119=ORIENTED_EDGE('',*,*,#224039,.T.); #314120=ORIENTED_EDGE('',*,*,#224051,.T.); #314121=ORIENTED_EDGE('',*,*,#224056,.T.); #314122=ORIENTED_EDGE('',*,*,#224069,.F.); #314123=ORIENTED_EDGE('',*,*,#224034,.T.); #314124=ORIENTED_EDGE('',*,*,#224070,.F.); #314125=ORIENTED_EDGE('',*,*,#224044,.F.); #314126=ORIENTED_EDGE('',*,*,#224071,.T.); #314127=ORIENTED_EDGE('',*,*,#224030,.F.); #314128=ORIENTED_EDGE('',*,*,#224066,.T.); #314129=ORIENTED_EDGE('',*,*,#224048,.T.); #314130=ORIENTED_EDGE('',*,*,#224072,.T.); #314131=ORIENTED_EDGE('',*,*,#224031,.F.); #314132=ORIENTED_EDGE('',*,*,#224072,.F.); #314133=ORIENTED_EDGE('',*,*,#224047,.T.); #314134=ORIENTED_EDGE('',*,*,#224073,.T.); #314135=ORIENTED_EDGE('',*,*,#224032,.F.); #314136=ORIENTED_EDGE('',*,*,#224073,.F.); #314137=ORIENTED_EDGE('',*,*,#224046,.T.); #314138=ORIENTED_EDGE('',*,*,#224074,.T.); #314139=ORIENTED_EDGE('',*,*,#224033,.F.); #314140=ORIENTED_EDGE('',*,*,#224074,.F.); #314141=ORIENTED_EDGE('',*,*,#224045,.T.); #314142=ORIENTED_EDGE('',*,*,#224070,.T.); #314143=ORIENTED_EDGE('',*,*,#224038,.T.); #314144=ORIENTED_EDGE('',*,*,#224075,.F.); #314145=ORIENTED_EDGE('',*,*,#224040,.F.); #314146=ORIENTED_EDGE('',*,*,#224068,.F.); #314147=ORIENTED_EDGE('',*,*,#224037,.T.); #314148=ORIENTED_EDGE('',*,*,#224076,.F.); #314149=ORIENTED_EDGE('',*,*,#224041,.F.); #314150=ORIENTED_EDGE('',*,*,#224075,.T.); #314151=ORIENTED_EDGE('',*,*,#224036,.T.); #314152=ORIENTED_EDGE('',*,*,#224077,.F.); #314153=ORIENTED_EDGE('',*,*,#224042,.F.); #314154=ORIENTED_EDGE('',*,*,#224076,.T.); #314155=ORIENTED_EDGE('',*,*,#224035,.T.); #314156=ORIENTED_EDGE('',*,*,#224071,.F.); #314157=ORIENTED_EDGE('',*,*,#224043,.F.); #314158=ORIENTED_EDGE('',*,*,#224077,.T.); #314159=ORIENTED_EDGE('',*,*,#224058,.T.); #314160=ORIENTED_EDGE('',*,*,#224067,.T.); #314161=ORIENTED_EDGE('',*,*,#224065,.T.); #314162=ORIENTED_EDGE('',*,*,#224061,.T.); #314163=ORIENTED_EDGE('',*,*,#224055,.T.); #314164=ORIENTED_EDGE('',*,*,#224060,.T.); #314165=ORIENTED_EDGE('',*,*,#224064,.T.); #314166=ORIENTED_EDGE('',*,*,#224069,.T.); #314167=ORIENTED_EDGE('',*,*,#224078,.F.); #314168=ORIENTED_EDGE('',*,*,#224079,.F.); #314169=ORIENTED_EDGE('',*,*,#224080,.T.); #314170=ORIENTED_EDGE('',*,*,#224081,.T.); #314171=ORIENTED_EDGE('',*,*,#224082,.F.); #314172=ORIENTED_EDGE('',*,*,#224081,.F.); #314173=ORIENTED_EDGE('',*,*,#224083,.T.); #314174=ORIENTED_EDGE('',*,*,#224084,.T.); #314175=ORIENTED_EDGE('',*,*,#224085,.T.); #314176=ORIENTED_EDGE('',*,*,#224086,.T.); #314177=ORIENTED_EDGE('',*,*,#224087,.T.); #314178=ORIENTED_EDGE('',*,*,#224088,.F.); #314179=ORIENTED_EDGE('',*,*,#224089,.F.); #314180=ORIENTED_EDGE('',*,*,#224090,.F.); #314181=ORIENTED_EDGE('',*,*,#224091,.F.); #314182=ORIENTED_EDGE('',*,*,#224092,.F.); #314183=ORIENTED_EDGE('',*,*,#224093,.F.); #314184=ORIENTED_EDGE('',*,*,#224094,.T.); #314185=ORIENTED_EDGE('',*,*,#224095,.T.); #314186=ORIENTED_EDGE('',*,*,#224096,.T.); #314187=ORIENTED_EDGE('',*,*,#224097,.T.); #314188=ORIENTED_EDGE('',*,*,#224098,.T.); #314189=ORIENTED_EDGE('',*,*,#224099,.F.); #314190=ORIENTED_EDGE('',*,*,#224100,.F.); #314191=ORIENTED_EDGE('',*,*,#224101,.F.); #314192=ORIENTED_EDGE('',*,*,#224102,.F.); #314193=ORIENTED_EDGE('',*,*,#224103,.T.); #314194=ORIENTED_EDGE('',*,*,#224104,.T.); #314195=ORIENTED_EDGE('',*,*,#224105,.F.); #314196=ORIENTED_EDGE('',*,*,#224104,.F.); #314197=ORIENTED_EDGE('',*,*,#224106,.T.); #314198=ORIENTED_EDGE('',*,*,#224107,.T.); #314199=ORIENTED_EDGE('',*,*,#224108,.T.); #314200=ORIENTED_EDGE('',*,*,#224109,.F.); #314201=ORIENTED_EDGE('',*,*,#224110,.F.); #314202=ORIENTED_EDGE('',*,*,#224107,.F.); #314203=ORIENTED_EDGE('',*,*,#224111,.T.); #314204=ORIENTED_EDGE('',*,*,#224112,.F.); #314205=ORIENTED_EDGE('',*,*,#224113,.T.); #314206=ORIENTED_EDGE('',*,*,#224114,.F.); #314207=ORIENTED_EDGE('',*,*,#224108,.F.); #314208=ORIENTED_EDGE('',*,*,#224115,.F.); #314209=ORIENTED_EDGE('',*,*,#224116,.T.); #314210=ORIENTED_EDGE('',*,*,#224079,.T.); #314211=ORIENTED_EDGE('',*,*,#224117,.F.); #314212=ORIENTED_EDGE('',*,*,#224118,.F.); #314213=ORIENTED_EDGE('',*,*,#224113,.F.); #314214=ORIENTED_EDGE('',*,*,#224119,.F.); #314215=ORIENTED_EDGE('',*,*,#224111,.F.); #314216=ORIENTED_EDGE('',*,*,#224106,.F.); #314217=ORIENTED_EDGE('',*,*,#224103,.F.); #314218=ORIENTED_EDGE('',*,*,#224120,.F.); #314219=ORIENTED_EDGE('',*,*,#224083,.F.); #314220=ORIENTED_EDGE('',*,*,#224080,.F.); #314221=ORIENTED_EDGE('',*,*,#224116,.F.); #314222=ORIENTED_EDGE('',*,*,#224121,.F.); #314223=ORIENTED_EDGE('',*,*,#224117,.T.); #314224=ORIENTED_EDGE('',*,*,#224078,.T.); #314225=ORIENTED_EDGE('',*,*,#224082,.T.); #314226=ORIENTED_EDGE('',*,*,#224122,.T.); #314227=ORIENTED_EDGE('',*,*,#224093,.T.); #314228=ORIENTED_EDGE('',*,*,#224105,.T.); #314229=ORIENTED_EDGE('',*,*,#224110,.T.); #314230=ORIENTED_EDGE('',*,*,#224123,.F.); #314231=ORIENTED_EDGE('',*,*,#224088,.T.); #314232=ORIENTED_EDGE('',*,*,#224124,.F.); #314233=ORIENTED_EDGE('',*,*,#224098,.F.); #314234=ORIENTED_EDGE('',*,*,#224125,.T.); #314235=ORIENTED_EDGE('',*,*,#224084,.F.); #314236=ORIENTED_EDGE('',*,*,#224120,.T.); #314237=ORIENTED_EDGE('',*,*,#224102,.T.); #314238=ORIENTED_EDGE('',*,*,#224126,.T.); #314239=ORIENTED_EDGE('',*,*,#224085,.F.); #314240=ORIENTED_EDGE('',*,*,#224126,.F.); #314241=ORIENTED_EDGE('',*,*,#224101,.T.); #314242=ORIENTED_EDGE('',*,*,#224127,.T.); #314243=ORIENTED_EDGE('',*,*,#224086,.F.); #314244=ORIENTED_EDGE('',*,*,#224127,.F.); #314245=ORIENTED_EDGE('',*,*,#224100,.T.); #314246=ORIENTED_EDGE('',*,*,#224128,.T.); #314247=ORIENTED_EDGE('',*,*,#224087,.F.); #314248=ORIENTED_EDGE('',*,*,#224128,.F.); #314249=ORIENTED_EDGE('',*,*,#224099,.T.); #314250=ORIENTED_EDGE('',*,*,#224124,.T.); #314251=ORIENTED_EDGE('',*,*,#224092,.T.); #314252=ORIENTED_EDGE('',*,*,#224129,.F.); #314253=ORIENTED_EDGE('',*,*,#224094,.F.); #314254=ORIENTED_EDGE('',*,*,#224122,.F.); #314255=ORIENTED_EDGE('',*,*,#224091,.T.); #314256=ORIENTED_EDGE('',*,*,#224130,.F.); #314257=ORIENTED_EDGE('',*,*,#224095,.F.); #314258=ORIENTED_EDGE('',*,*,#224129,.T.); #314259=ORIENTED_EDGE('',*,*,#224090,.T.); #314260=ORIENTED_EDGE('',*,*,#224131,.F.); #314261=ORIENTED_EDGE('',*,*,#224096,.F.); #314262=ORIENTED_EDGE('',*,*,#224130,.T.); #314263=ORIENTED_EDGE('',*,*,#224089,.T.); #314264=ORIENTED_EDGE('',*,*,#224125,.F.); #314265=ORIENTED_EDGE('',*,*,#224097,.F.); #314266=ORIENTED_EDGE('',*,*,#224131,.T.); #314267=ORIENTED_EDGE('',*,*,#224112,.T.); #314268=ORIENTED_EDGE('',*,*,#224121,.T.); #314269=ORIENTED_EDGE('',*,*,#224119,.T.); #314270=ORIENTED_EDGE('',*,*,#224115,.T.); #314271=ORIENTED_EDGE('',*,*,#224109,.T.); #314272=ORIENTED_EDGE('',*,*,#224114,.T.); #314273=ORIENTED_EDGE('',*,*,#224118,.T.); #314274=ORIENTED_EDGE('',*,*,#224123,.T.); #314275=ORIENTED_EDGE('',*,*,#224132,.F.); #314276=ORIENTED_EDGE('',*,*,#224133,.F.); #314277=ORIENTED_EDGE('',*,*,#224134,.T.); #314278=ORIENTED_EDGE('',*,*,#224135,.T.); #314279=ORIENTED_EDGE('',*,*,#224136,.F.); #314280=ORIENTED_EDGE('',*,*,#224135,.F.); #314281=ORIENTED_EDGE('',*,*,#224137,.T.); #314282=ORIENTED_EDGE('',*,*,#224138,.T.); #314283=ORIENTED_EDGE('',*,*,#224139,.T.); #314284=ORIENTED_EDGE('',*,*,#224140,.T.); #314285=ORIENTED_EDGE('',*,*,#224141,.T.); #314286=ORIENTED_EDGE('',*,*,#224142,.F.); #314287=ORIENTED_EDGE('',*,*,#224143,.F.); #314288=ORIENTED_EDGE('',*,*,#224144,.F.); #314289=ORIENTED_EDGE('',*,*,#224145,.F.); #314290=ORIENTED_EDGE('',*,*,#224146,.F.); #314291=ORIENTED_EDGE('',*,*,#224147,.F.); #314292=ORIENTED_EDGE('',*,*,#224148,.T.); #314293=ORIENTED_EDGE('',*,*,#224149,.T.); #314294=ORIENTED_EDGE('',*,*,#224150,.T.); #314295=ORIENTED_EDGE('',*,*,#224151,.T.); #314296=ORIENTED_EDGE('',*,*,#224152,.T.); #314297=ORIENTED_EDGE('',*,*,#224153,.F.); #314298=ORIENTED_EDGE('',*,*,#224154,.F.); #314299=ORIENTED_EDGE('',*,*,#224155,.F.); #314300=ORIENTED_EDGE('',*,*,#224156,.F.); #314301=ORIENTED_EDGE('',*,*,#224157,.T.); #314302=ORIENTED_EDGE('',*,*,#224158,.T.); #314303=ORIENTED_EDGE('',*,*,#224159,.F.); #314304=ORIENTED_EDGE('',*,*,#224158,.F.); #314305=ORIENTED_EDGE('',*,*,#224160,.T.); #314306=ORIENTED_EDGE('',*,*,#224161,.T.); #314307=ORIENTED_EDGE('',*,*,#224162,.T.); #314308=ORIENTED_EDGE('',*,*,#224163,.F.); #314309=ORIENTED_EDGE('',*,*,#224164,.F.); #314310=ORIENTED_EDGE('',*,*,#224161,.F.); #314311=ORIENTED_EDGE('',*,*,#224165,.T.); #314312=ORIENTED_EDGE('',*,*,#224166,.F.); #314313=ORIENTED_EDGE('',*,*,#224167,.T.); #314314=ORIENTED_EDGE('',*,*,#224168,.F.); #314315=ORIENTED_EDGE('',*,*,#224162,.F.); #314316=ORIENTED_EDGE('',*,*,#224169,.F.); #314317=ORIENTED_EDGE('',*,*,#224170,.T.); #314318=ORIENTED_EDGE('',*,*,#224133,.T.); #314319=ORIENTED_EDGE('',*,*,#224171,.F.); #314320=ORIENTED_EDGE('',*,*,#224172,.F.); #314321=ORIENTED_EDGE('',*,*,#224167,.F.); #314322=ORIENTED_EDGE('',*,*,#224173,.F.); #314323=ORIENTED_EDGE('',*,*,#224165,.F.); #314324=ORIENTED_EDGE('',*,*,#224160,.F.); #314325=ORIENTED_EDGE('',*,*,#224157,.F.); #314326=ORIENTED_EDGE('',*,*,#224174,.F.); #314327=ORIENTED_EDGE('',*,*,#224137,.F.); #314328=ORIENTED_EDGE('',*,*,#224134,.F.); #314329=ORIENTED_EDGE('',*,*,#224170,.F.); #314330=ORIENTED_EDGE('',*,*,#224175,.F.); #314331=ORIENTED_EDGE('',*,*,#224171,.T.); #314332=ORIENTED_EDGE('',*,*,#224132,.T.); #314333=ORIENTED_EDGE('',*,*,#224136,.T.); #314334=ORIENTED_EDGE('',*,*,#224176,.T.); #314335=ORIENTED_EDGE('',*,*,#224147,.T.); #314336=ORIENTED_EDGE('',*,*,#224159,.T.); #314337=ORIENTED_EDGE('',*,*,#224164,.T.); #314338=ORIENTED_EDGE('',*,*,#224177,.F.); #314339=ORIENTED_EDGE('',*,*,#224142,.T.); #314340=ORIENTED_EDGE('',*,*,#224178,.F.); #314341=ORIENTED_EDGE('',*,*,#224152,.F.); #314342=ORIENTED_EDGE('',*,*,#224179,.T.); #314343=ORIENTED_EDGE('',*,*,#224138,.F.); #314344=ORIENTED_EDGE('',*,*,#224174,.T.); #314345=ORIENTED_EDGE('',*,*,#224156,.T.); #314346=ORIENTED_EDGE('',*,*,#224180,.T.); #314347=ORIENTED_EDGE('',*,*,#224139,.F.); #314348=ORIENTED_EDGE('',*,*,#224180,.F.); #314349=ORIENTED_EDGE('',*,*,#224155,.T.); #314350=ORIENTED_EDGE('',*,*,#224181,.T.); #314351=ORIENTED_EDGE('',*,*,#224140,.F.); #314352=ORIENTED_EDGE('',*,*,#224181,.F.); #314353=ORIENTED_EDGE('',*,*,#224154,.T.); #314354=ORIENTED_EDGE('',*,*,#224182,.T.); #314355=ORIENTED_EDGE('',*,*,#224141,.F.); #314356=ORIENTED_EDGE('',*,*,#224182,.F.); #314357=ORIENTED_EDGE('',*,*,#224153,.T.); #314358=ORIENTED_EDGE('',*,*,#224178,.T.); #314359=ORIENTED_EDGE('',*,*,#224146,.T.); #314360=ORIENTED_EDGE('',*,*,#224183,.F.); #314361=ORIENTED_EDGE('',*,*,#224148,.F.); #314362=ORIENTED_EDGE('',*,*,#224176,.F.); #314363=ORIENTED_EDGE('',*,*,#224145,.T.); #314364=ORIENTED_EDGE('',*,*,#224184,.F.); #314365=ORIENTED_EDGE('',*,*,#224149,.F.); #314366=ORIENTED_EDGE('',*,*,#224183,.T.); #314367=ORIENTED_EDGE('',*,*,#224144,.T.); #314368=ORIENTED_EDGE('',*,*,#224185,.F.); #314369=ORIENTED_EDGE('',*,*,#224150,.F.); #314370=ORIENTED_EDGE('',*,*,#224184,.T.); #314371=ORIENTED_EDGE('',*,*,#224143,.T.); #314372=ORIENTED_EDGE('',*,*,#224179,.F.); #314373=ORIENTED_EDGE('',*,*,#224151,.F.); #314374=ORIENTED_EDGE('',*,*,#224185,.T.); #314375=ORIENTED_EDGE('',*,*,#224166,.T.); #314376=ORIENTED_EDGE('',*,*,#224175,.T.); #314377=ORIENTED_EDGE('',*,*,#224173,.T.); #314378=ORIENTED_EDGE('',*,*,#224169,.T.); #314379=ORIENTED_EDGE('',*,*,#224163,.T.); #314380=ORIENTED_EDGE('',*,*,#224168,.T.); #314381=ORIENTED_EDGE('',*,*,#224172,.T.); #314382=ORIENTED_EDGE('',*,*,#224177,.T.); #314383=ORIENTED_EDGE('',*,*,#224186,.F.); #314384=ORIENTED_EDGE('',*,*,#224187,.F.); #314385=ORIENTED_EDGE('',*,*,#224188,.T.); #314386=ORIENTED_EDGE('',*,*,#224189,.T.); #314387=ORIENTED_EDGE('',*,*,#224190,.F.); #314388=ORIENTED_EDGE('',*,*,#224189,.F.); #314389=ORIENTED_EDGE('',*,*,#224191,.T.); #314390=ORIENTED_EDGE('',*,*,#224192,.T.); #314391=ORIENTED_EDGE('',*,*,#224193,.T.); #314392=ORIENTED_EDGE('',*,*,#224194,.T.); #314393=ORIENTED_EDGE('',*,*,#224195,.T.); #314394=ORIENTED_EDGE('',*,*,#224196,.F.); #314395=ORIENTED_EDGE('',*,*,#224197,.F.); #314396=ORIENTED_EDGE('',*,*,#224198,.F.); #314397=ORIENTED_EDGE('',*,*,#224199,.F.); #314398=ORIENTED_EDGE('',*,*,#224200,.F.); #314399=ORIENTED_EDGE('',*,*,#224201,.F.); #314400=ORIENTED_EDGE('',*,*,#224202,.T.); #314401=ORIENTED_EDGE('',*,*,#224203,.T.); #314402=ORIENTED_EDGE('',*,*,#224204,.T.); #314403=ORIENTED_EDGE('',*,*,#224205,.T.); #314404=ORIENTED_EDGE('',*,*,#224206,.T.); #314405=ORIENTED_EDGE('',*,*,#224207,.F.); #314406=ORIENTED_EDGE('',*,*,#224208,.F.); #314407=ORIENTED_EDGE('',*,*,#224209,.F.); #314408=ORIENTED_EDGE('',*,*,#224210,.F.); #314409=ORIENTED_EDGE('',*,*,#224211,.T.); #314410=ORIENTED_EDGE('',*,*,#224212,.T.); #314411=ORIENTED_EDGE('',*,*,#224213,.F.); #314412=ORIENTED_EDGE('',*,*,#224212,.F.); #314413=ORIENTED_EDGE('',*,*,#224214,.T.); #314414=ORIENTED_EDGE('',*,*,#224215,.T.); #314415=ORIENTED_EDGE('',*,*,#224216,.T.); #314416=ORIENTED_EDGE('',*,*,#224217,.F.); #314417=ORIENTED_EDGE('',*,*,#224218,.F.); #314418=ORIENTED_EDGE('',*,*,#224215,.F.); #314419=ORIENTED_EDGE('',*,*,#224219,.T.); #314420=ORIENTED_EDGE('',*,*,#224220,.F.); #314421=ORIENTED_EDGE('',*,*,#224221,.T.); #314422=ORIENTED_EDGE('',*,*,#224222,.F.); #314423=ORIENTED_EDGE('',*,*,#224216,.F.); #314424=ORIENTED_EDGE('',*,*,#224223,.F.); #314425=ORIENTED_EDGE('',*,*,#224224,.T.); #314426=ORIENTED_EDGE('',*,*,#224187,.T.); #314427=ORIENTED_EDGE('',*,*,#224225,.F.); #314428=ORIENTED_EDGE('',*,*,#224226,.F.); #314429=ORIENTED_EDGE('',*,*,#224221,.F.); #314430=ORIENTED_EDGE('',*,*,#224227,.F.); #314431=ORIENTED_EDGE('',*,*,#224219,.F.); #314432=ORIENTED_EDGE('',*,*,#224214,.F.); #314433=ORIENTED_EDGE('',*,*,#224211,.F.); #314434=ORIENTED_EDGE('',*,*,#224228,.F.); #314435=ORIENTED_EDGE('',*,*,#224191,.F.); #314436=ORIENTED_EDGE('',*,*,#224188,.F.); #314437=ORIENTED_EDGE('',*,*,#224224,.F.); #314438=ORIENTED_EDGE('',*,*,#224229,.F.); #314439=ORIENTED_EDGE('',*,*,#224225,.T.); #314440=ORIENTED_EDGE('',*,*,#224186,.T.); #314441=ORIENTED_EDGE('',*,*,#224190,.T.); #314442=ORIENTED_EDGE('',*,*,#224230,.T.); #314443=ORIENTED_EDGE('',*,*,#224201,.T.); #314444=ORIENTED_EDGE('',*,*,#224213,.T.); #314445=ORIENTED_EDGE('',*,*,#224218,.T.); #314446=ORIENTED_EDGE('',*,*,#224231,.F.); #314447=ORIENTED_EDGE('',*,*,#224196,.T.); #314448=ORIENTED_EDGE('',*,*,#224232,.F.); #314449=ORIENTED_EDGE('',*,*,#224206,.F.); #314450=ORIENTED_EDGE('',*,*,#224233,.T.); #314451=ORIENTED_EDGE('',*,*,#224192,.F.); #314452=ORIENTED_EDGE('',*,*,#224228,.T.); #314453=ORIENTED_EDGE('',*,*,#224210,.T.); #314454=ORIENTED_EDGE('',*,*,#224234,.T.); #314455=ORIENTED_EDGE('',*,*,#224193,.F.); #314456=ORIENTED_EDGE('',*,*,#224234,.F.); #314457=ORIENTED_EDGE('',*,*,#224209,.T.); #314458=ORIENTED_EDGE('',*,*,#224235,.T.); #314459=ORIENTED_EDGE('',*,*,#224194,.F.); #314460=ORIENTED_EDGE('',*,*,#224235,.F.); #314461=ORIENTED_EDGE('',*,*,#224208,.T.); #314462=ORIENTED_EDGE('',*,*,#224236,.T.); #314463=ORIENTED_EDGE('',*,*,#224195,.F.); #314464=ORIENTED_EDGE('',*,*,#224236,.F.); #314465=ORIENTED_EDGE('',*,*,#224207,.T.); #314466=ORIENTED_EDGE('',*,*,#224232,.T.); #314467=ORIENTED_EDGE('',*,*,#224200,.T.); #314468=ORIENTED_EDGE('',*,*,#224237,.F.); #314469=ORIENTED_EDGE('',*,*,#224202,.F.); #314470=ORIENTED_EDGE('',*,*,#224230,.F.); #314471=ORIENTED_EDGE('',*,*,#224199,.T.); #314472=ORIENTED_EDGE('',*,*,#224238,.F.); #314473=ORIENTED_EDGE('',*,*,#224203,.F.); #314474=ORIENTED_EDGE('',*,*,#224237,.T.); #314475=ORIENTED_EDGE('',*,*,#224198,.T.); #314476=ORIENTED_EDGE('',*,*,#224239,.F.); #314477=ORIENTED_EDGE('',*,*,#224204,.F.); #314478=ORIENTED_EDGE('',*,*,#224238,.T.); #314479=ORIENTED_EDGE('',*,*,#224197,.T.); #314480=ORIENTED_EDGE('',*,*,#224233,.F.); #314481=ORIENTED_EDGE('',*,*,#224205,.F.); #314482=ORIENTED_EDGE('',*,*,#224239,.T.); #314483=ORIENTED_EDGE('',*,*,#224220,.T.); #314484=ORIENTED_EDGE('',*,*,#224229,.T.); #314485=ORIENTED_EDGE('',*,*,#224227,.T.); #314486=ORIENTED_EDGE('',*,*,#224223,.T.); #314487=ORIENTED_EDGE('',*,*,#224217,.T.); #314488=ORIENTED_EDGE('',*,*,#224222,.T.); #314489=ORIENTED_EDGE('',*,*,#224226,.T.); #314490=ORIENTED_EDGE('',*,*,#224231,.T.); #314491=ORIENTED_EDGE('',*,*,#224240,.F.); #314492=ORIENTED_EDGE('',*,*,#224241,.F.); #314493=ORIENTED_EDGE('',*,*,#224242,.T.); #314494=ORIENTED_EDGE('',*,*,#224243,.T.); #314495=ORIENTED_EDGE('',*,*,#224244,.F.); #314496=ORIENTED_EDGE('',*,*,#224243,.F.); #314497=ORIENTED_EDGE('',*,*,#224245,.T.); #314498=ORIENTED_EDGE('',*,*,#224246,.T.); #314499=ORIENTED_EDGE('',*,*,#224247,.T.); #314500=ORIENTED_EDGE('',*,*,#224248,.T.); #314501=ORIENTED_EDGE('',*,*,#224249,.T.); #314502=ORIENTED_EDGE('',*,*,#224250,.F.); #314503=ORIENTED_EDGE('',*,*,#224251,.F.); #314504=ORIENTED_EDGE('',*,*,#224252,.F.); #314505=ORIENTED_EDGE('',*,*,#224253,.F.); #314506=ORIENTED_EDGE('',*,*,#224254,.F.); #314507=ORIENTED_EDGE('',*,*,#224255,.F.); #314508=ORIENTED_EDGE('',*,*,#224256,.T.); #314509=ORIENTED_EDGE('',*,*,#224257,.T.); #314510=ORIENTED_EDGE('',*,*,#224258,.T.); #314511=ORIENTED_EDGE('',*,*,#224259,.T.); #314512=ORIENTED_EDGE('',*,*,#224260,.T.); #314513=ORIENTED_EDGE('',*,*,#224261,.F.); #314514=ORIENTED_EDGE('',*,*,#224262,.F.); #314515=ORIENTED_EDGE('',*,*,#224263,.F.); #314516=ORIENTED_EDGE('',*,*,#224264,.F.); #314517=ORIENTED_EDGE('',*,*,#224265,.T.); #314518=ORIENTED_EDGE('',*,*,#224266,.T.); #314519=ORIENTED_EDGE('',*,*,#224267,.F.); #314520=ORIENTED_EDGE('',*,*,#224266,.F.); #314521=ORIENTED_EDGE('',*,*,#224268,.T.); #314522=ORIENTED_EDGE('',*,*,#224269,.T.); #314523=ORIENTED_EDGE('',*,*,#224270,.T.); #314524=ORIENTED_EDGE('',*,*,#224271,.F.); #314525=ORIENTED_EDGE('',*,*,#224272,.F.); #314526=ORIENTED_EDGE('',*,*,#224269,.F.); #314527=ORIENTED_EDGE('',*,*,#224273,.T.); #314528=ORIENTED_EDGE('',*,*,#224274,.F.); #314529=ORIENTED_EDGE('',*,*,#224275,.T.); #314530=ORIENTED_EDGE('',*,*,#224276,.F.); #314531=ORIENTED_EDGE('',*,*,#224270,.F.); #314532=ORIENTED_EDGE('',*,*,#224277,.F.); #314533=ORIENTED_EDGE('',*,*,#224278,.T.); #314534=ORIENTED_EDGE('',*,*,#224241,.T.); #314535=ORIENTED_EDGE('',*,*,#224279,.F.); #314536=ORIENTED_EDGE('',*,*,#224280,.F.); #314537=ORIENTED_EDGE('',*,*,#224275,.F.); #314538=ORIENTED_EDGE('',*,*,#224281,.F.); #314539=ORIENTED_EDGE('',*,*,#224273,.F.); #314540=ORIENTED_EDGE('',*,*,#224268,.F.); #314541=ORIENTED_EDGE('',*,*,#224265,.F.); #314542=ORIENTED_EDGE('',*,*,#224282,.F.); #314543=ORIENTED_EDGE('',*,*,#224245,.F.); #314544=ORIENTED_EDGE('',*,*,#224242,.F.); #314545=ORIENTED_EDGE('',*,*,#224278,.F.); #314546=ORIENTED_EDGE('',*,*,#224283,.F.); #314547=ORIENTED_EDGE('',*,*,#224279,.T.); #314548=ORIENTED_EDGE('',*,*,#224240,.T.); #314549=ORIENTED_EDGE('',*,*,#224244,.T.); #314550=ORIENTED_EDGE('',*,*,#224284,.T.); #314551=ORIENTED_EDGE('',*,*,#224255,.T.); #314552=ORIENTED_EDGE('',*,*,#224267,.T.); #314553=ORIENTED_EDGE('',*,*,#224272,.T.); #314554=ORIENTED_EDGE('',*,*,#224285,.F.); #314555=ORIENTED_EDGE('',*,*,#224250,.T.); #314556=ORIENTED_EDGE('',*,*,#224286,.F.); #314557=ORIENTED_EDGE('',*,*,#224260,.F.); #314558=ORIENTED_EDGE('',*,*,#224287,.T.); #314559=ORIENTED_EDGE('',*,*,#224246,.F.); #314560=ORIENTED_EDGE('',*,*,#224282,.T.); #314561=ORIENTED_EDGE('',*,*,#224264,.T.); #314562=ORIENTED_EDGE('',*,*,#224288,.T.); #314563=ORIENTED_EDGE('',*,*,#224247,.F.); #314564=ORIENTED_EDGE('',*,*,#224288,.F.); #314565=ORIENTED_EDGE('',*,*,#224263,.T.); #314566=ORIENTED_EDGE('',*,*,#224289,.T.); #314567=ORIENTED_EDGE('',*,*,#224248,.F.); #314568=ORIENTED_EDGE('',*,*,#224289,.F.); #314569=ORIENTED_EDGE('',*,*,#224262,.T.); #314570=ORIENTED_EDGE('',*,*,#224290,.T.); #314571=ORIENTED_EDGE('',*,*,#224249,.F.); #314572=ORIENTED_EDGE('',*,*,#224290,.F.); #314573=ORIENTED_EDGE('',*,*,#224261,.T.); #314574=ORIENTED_EDGE('',*,*,#224286,.T.); #314575=ORIENTED_EDGE('',*,*,#224254,.T.); #314576=ORIENTED_EDGE('',*,*,#224291,.F.); #314577=ORIENTED_EDGE('',*,*,#224256,.F.); #314578=ORIENTED_EDGE('',*,*,#224284,.F.); #314579=ORIENTED_EDGE('',*,*,#224253,.T.); #314580=ORIENTED_EDGE('',*,*,#224292,.F.); #314581=ORIENTED_EDGE('',*,*,#224257,.F.); #314582=ORIENTED_EDGE('',*,*,#224291,.T.); #314583=ORIENTED_EDGE('',*,*,#224252,.T.); #314584=ORIENTED_EDGE('',*,*,#224293,.F.); #314585=ORIENTED_EDGE('',*,*,#224258,.F.); #314586=ORIENTED_EDGE('',*,*,#224292,.T.); #314587=ORIENTED_EDGE('',*,*,#224251,.T.); #314588=ORIENTED_EDGE('',*,*,#224287,.F.); #314589=ORIENTED_EDGE('',*,*,#224259,.F.); #314590=ORIENTED_EDGE('',*,*,#224293,.T.); #314591=ORIENTED_EDGE('',*,*,#224274,.T.); #314592=ORIENTED_EDGE('',*,*,#224283,.T.); #314593=ORIENTED_EDGE('',*,*,#224281,.T.); #314594=ORIENTED_EDGE('',*,*,#224277,.T.); #314595=ORIENTED_EDGE('',*,*,#224271,.T.); #314596=ORIENTED_EDGE('',*,*,#224276,.T.); #314597=ORIENTED_EDGE('',*,*,#224280,.T.); #314598=ORIENTED_EDGE('',*,*,#224285,.T.); #314599=ORIENTED_EDGE('',*,*,#224294,.F.); #314600=ORIENTED_EDGE('',*,*,#224295,.F.); #314601=ORIENTED_EDGE('',*,*,#224296,.T.); #314602=ORIENTED_EDGE('',*,*,#224297,.T.); #314603=ORIENTED_EDGE('',*,*,#224298,.F.); #314604=ORIENTED_EDGE('',*,*,#224297,.F.); #314605=ORIENTED_EDGE('',*,*,#224299,.T.); #314606=ORIENTED_EDGE('',*,*,#224300,.T.); #314607=ORIENTED_EDGE('',*,*,#224301,.T.); #314608=ORIENTED_EDGE('',*,*,#224302,.T.); #314609=ORIENTED_EDGE('',*,*,#224303,.T.); #314610=ORIENTED_EDGE('',*,*,#224304,.F.); #314611=ORIENTED_EDGE('',*,*,#224305,.F.); #314612=ORIENTED_EDGE('',*,*,#224306,.F.); #314613=ORIENTED_EDGE('',*,*,#224307,.F.); #314614=ORIENTED_EDGE('',*,*,#224308,.F.); #314615=ORIENTED_EDGE('',*,*,#224309,.F.); #314616=ORIENTED_EDGE('',*,*,#224310,.T.); #314617=ORIENTED_EDGE('',*,*,#224311,.T.); #314618=ORIENTED_EDGE('',*,*,#224312,.T.); #314619=ORIENTED_EDGE('',*,*,#224313,.T.); #314620=ORIENTED_EDGE('',*,*,#224314,.T.); #314621=ORIENTED_EDGE('',*,*,#224315,.F.); #314622=ORIENTED_EDGE('',*,*,#224316,.F.); #314623=ORIENTED_EDGE('',*,*,#224317,.F.); #314624=ORIENTED_EDGE('',*,*,#224318,.F.); #314625=ORIENTED_EDGE('',*,*,#224319,.T.); #314626=ORIENTED_EDGE('',*,*,#224320,.T.); #314627=ORIENTED_EDGE('',*,*,#224321,.F.); #314628=ORIENTED_EDGE('',*,*,#224320,.F.); #314629=ORIENTED_EDGE('',*,*,#224322,.T.); #314630=ORIENTED_EDGE('',*,*,#224323,.T.); #314631=ORIENTED_EDGE('',*,*,#224324,.T.); #314632=ORIENTED_EDGE('',*,*,#224325,.F.); #314633=ORIENTED_EDGE('',*,*,#224326,.F.); #314634=ORIENTED_EDGE('',*,*,#224323,.F.); #314635=ORIENTED_EDGE('',*,*,#224327,.T.); #314636=ORIENTED_EDGE('',*,*,#224328,.F.); #314637=ORIENTED_EDGE('',*,*,#224329,.T.); #314638=ORIENTED_EDGE('',*,*,#224330,.F.); #314639=ORIENTED_EDGE('',*,*,#224324,.F.); #314640=ORIENTED_EDGE('',*,*,#224331,.F.); #314641=ORIENTED_EDGE('',*,*,#224332,.T.); #314642=ORIENTED_EDGE('',*,*,#224295,.T.); #314643=ORIENTED_EDGE('',*,*,#224333,.F.); #314644=ORIENTED_EDGE('',*,*,#224334,.F.); #314645=ORIENTED_EDGE('',*,*,#224329,.F.); #314646=ORIENTED_EDGE('',*,*,#224335,.F.); #314647=ORIENTED_EDGE('',*,*,#224327,.F.); #314648=ORIENTED_EDGE('',*,*,#224322,.F.); #314649=ORIENTED_EDGE('',*,*,#224319,.F.); #314650=ORIENTED_EDGE('',*,*,#224336,.F.); #314651=ORIENTED_EDGE('',*,*,#224299,.F.); #314652=ORIENTED_EDGE('',*,*,#224296,.F.); #314653=ORIENTED_EDGE('',*,*,#224332,.F.); #314654=ORIENTED_EDGE('',*,*,#224337,.F.); #314655=ORIENTED_EDGE('',*,*,#224333,.T.); #314656=ORIENTED_EDGE('',*,*,#224294,.T.); #314657=ORIENTED_EDGE('',*,*,#224298,.T.); #314658=ORIENTED_EDGE('',*,*,#224338,.T.); #314659=ORIENTED_EDGE('',*,*,#224309,.T.); #314660=ORIENTED_EDGE('',*,*,#224321,.T.); #314661=ORIENTED_EDGE('',*,*,#224326,.T.); #314662=ORIENTED_EDGE('',*,*,#224339,.F.); #314663=ORIENTED_EDGE('',*,*,#224304,.T.); #314664=ORIENTED_EDGE('',*,*,#224340,.F.); #314665=ORIENTED_EDGE('',*,*,#224314,.F.); #314666=ORIENTED_EDGE('',*,*,#224341,.T.); #314667=ORIENTED_EDGE('',*,*,#224300,.F.); #314668=ORIENTED_EDGE('',*,*,#224336,.T.); #314669=ORIENTED_EDGE('',*,*,#224318,.T.); #314670=ORIENTED_EDGE('',*,*,#224342,.T.); #314671=ORIENTED_EDGE('',*,*,#224301,.F.); #314672=ORIENTED_EDGE('',*,*,#224342,.F.); #314673=ORIENTED_EDGE('',*,*,#224317,.T.); #314674=ORIENTED_EDGE('',*,*,#224343,.T.); #314675=ORIENTED_EDGE('',*,*,#224302,.F.); #314676=ORIENTED_EDGE('',*,*,#224343,.F.); #314677=ORIENTED_EDGE('',*,*,#224316,.T.); #314678=ORIENTED_EDGE('',*,*,#224344,.T.); #314679=ORIENTED_EDGE('',*,*,#224303,.F.); #314680=ORIENTED_EDGE('',*,*,#224344,.F.); #314681=ORIENTED_EDGE('',*,*,#224315,.T.); #314682=ORIENTED_EDGE('',*,*,#224340,.T.); #314683=ORIENTED_EDGE('',*,*,#224308,.T.); #314684=ORIENTED_EDGE('',*,*,#224345,.F.); #314685=ORIENTED_EDGE('',*,*,#224310,.F.); #314686=ORIENTED_EDGE('',*,*,#224338,.F.); #314687=ORIENTED_EDGE('',*,*,#224307,.T.); #314688=ORIENTED_EDGE('',*,*,#224346,.F.); #314689=ORIENTED_EDGE('',*,*,#224311,.F.); #314690=ORIENTED_EDGE('',*,*,#224345,.T.); #314691=ORIENTED_EDGE('',*,*,#224306,.T.); #314692=ORIENTED_EDGE('',*,*,#224347,.F.); #314693=ORIENTED_EDGE('',*,*,#224312,.F.); #314694=ORIENTED_EDGE('',*,*,#224346,.T.); #314695=ORIENTED_EDGE('',*,*,#224305,.T.); #314696=ORIENTED_EDGE('',*,*,#224341,.F.); #314697=ORIENTED_EDGE('',*,*,#224313,.F.); #314698=ORIENTED_EDGE('',*,*,#224347,.T.); #314699=ORIENTED_EDGE('',*,*,#224328,.T.); #314700=ORIENTED_EDGE('',*,*,#224337,.T.); #314701=ORIENTED_EDGE('',*,*,#224335,.T.); #314702=ORIENTED_EDGE('',*,*,#224331,.T.); #314703=ORIENTED_EDGE('',*,*,#224325,.T.); #314704=ORIENTED_EDGE('',*,*,#224330,.T.); #314705=ORIENTED_EDGE('',*,*,#224334,.T.); #314706=ORIENTED_EDGE('',*,*,#224339,.T.); #314707=ORIENTED_EDGE('',*,*,#224348,.F.); #314708=ORIENTED_EDGE('',*,*,#224349,.F.); #314709=ORIENTED_EDGE('',*,*,#224350,.T.); #314710=ORIENTED_EDGE('',*,*,#224351,.T.); #314711=ORIENTED_EDGE('',*,*,#224352,.F.); #314712=ORIENTED_EDGE('',*,*,#224351,.F.); #314713=ORIENTED_EDGE('',*,*,#224353,.T.); #314714=ORIENTED_EDGE('',*,*,#224354,.T.); #314715=ORIENTED_EDGE('',*,*,#224355,.T.); #314716=ORIENTED_EDGE('',*,*,#224356,.T.); #314717=ORIENTED_EDGE('',*,*,#224357,.T.); #314718=ORIENTED_EDGE('',*,*,#224358,.F.); #314719=ORIENTED_EDGE('',*,*,#224359,.F.); #314720=ORIENTED_EDGE('',*,*,#224360,.F.); #314721=ORIENTED_EDGE('',*,*,#224361,.F.); #314722=ORIENTED_EDGE('',*,*,#224362,.F.); #314723=ORIENTED_EDGE('',*,*,#224363,.F.); #314724=ORIENTED_EDGE('',*,*,#224364,.T.); #314725=ORIENTED_EDGE('',*,*,#224365,.T.); #314726=ORIENTED_EDGE('',*,*,#224366,.T.); #314727=ORIENTED_EDGE('',*,*,#224367,.T.); #314728=ORIENTED_EDGE('',*,*,#224368,.T.); #314729=ORIENTED_EDGE('',*,*,#224369,.F.); #314730=ORIENTED_EDGE('',*,*,#224370,.F.); #314731=ORIENTED_EDGE('',*,*,#224371,.F.); #314732=ORIENTED_EDGE('',*,*,#224372,.F.); #314733=ORIENTED_EDGE('',*,*,#224373,.T.); #314734=ORIENTED_EDGE('',*,*,#224374,.T.); #314735=ORIENTED_EDGE('',*,*,#224375,.F.); #314736=ORIENTED_EDGE('',*,*,#224374,.F.); #314737=ORIENTED_EDGE('',*,*,#224376,.T.); #314738=ORIENTED_EDGE('',*,*,#224377,.T.); #314739=ORIENTED_EDGE('',*,*,#224378,.T.); #314740=ORIENTED_EDGE('',*,*,#224379,.F.); #314741=ORIENTED_EDGE('',*,*,#224380,.F.); #314742=ORIENTED_EDGE('',*,*,#224377,.F.); #314743=ORIENTED_EDGE('',*,*,#224381,.T.); #314744=ORIENTED_EDGE('',*,*,#224382,.F.); #314745=ORIENTED_EDGE('',*,*,#224383,.T.); #314746=ORIENTED_EDGE('',*,*,#224384,.F.); #314747=ORIENTED_EDGE('',*,*,#224378,.F.); #314748=ORIENTED_EDGE('',*,*,#224385,.F.); #314749=ORIENTED_EDGE('',*,*,#224386,.T.); #314750=ORIENTED_EDGE('',*,*,#224349,.T.); #314751=ORIENTED_EDGE('',*,*,#224387,.F.); #314752=ORIENTED_EDGE('',*,*,#224388,.F.); #314753=ORIENTED_EDGE('',*,*,#224383,.F.); #314754=ORIENTED_EDGE('',*,*,#224389,.F.); #314755=ORIENTED_EDGE('',*,*,#224381,.F.); #314756=ORIENTED_EDGE('',*,*,#224376,.F.); #314757=ORIENTED_EDGE('',*,*,#224373,.F.); #314758=ORIENTED_EDGE('',*,*,#224390,.F.); #314759=ORIENTED_EDGE('',*,*,#224353,.F.); #314760=ORIENTED_EDGE('',*,*,#224350,.F.); #314761=ORIENTED_EDGE('',*,*,#224386,.F.); #314762=ORIENTED_EDGE('',*,*,#224391,.F.); #314763=ORIENTED_EDGE('',*,*,#224387,.T.); #314764=ORIENTED_EDGE('',*,*,#224348,.T.); #314765=ORIENTED_EDGE('',*,*,#224352,.T.); #314766=ORIENTED_EDGE('',*,*,#224392,.T.); #314767=ORIENTED_EDGE('',*,*,#224363,.T.); #314768=ORIENTED_EDGE('',*,*,#224375,.T.); #314769=ORIENTED_EDGE('',*,*,#224380,.T.); #314770=ORIENTED_EDGE('',*,*,#224393,.F.); #314771=ORIENTED_EDGE('',*,*,#224358,.T.); #314772=ORIENTED_EDGE('',*,*,#224394,.F.); #314773=ORIENTED_EDGE('',*,*,#224368,.F.); #314774=ORIENTED_EDGE('',*,*,#224395,.T.); #314775=ORIENTED_EDGE('',*,*,#224354,.F.); #314776=ORIENTED_EDGE('',*,*,#224390,.T.); #314777=ORIENTED_EDGE('',*,*,#224372,.T.); #314778=ORIENTED_EDGE('',*,*,#224396,.T.); #314779=ORIENTED_EDGE('',*,*,#224355,.F.); #314780=ORIENTED_EDGE('',*,*,#224396,.F.); #314781=ORIENTED_EDGE('',*,*,#224371,.T.); #314782=ORIENTED_EDGE('',*,*,#224397,.T.); #314783=ORIENTED_EDGE('',*,*,#224356,.F.); #314784=ORIENTED_EDGE('',*,*,#224397,.F.); #314785=ORIENTED_EDGE('',*,*,#224370,.T.); #314786=ORIENTED_EDGE('',*,*,#224398,.T.); #314787=ORIENTED_EDGE('',*,*,#224357,.F.); #314788=ORIENTED_EDGE('',*,*,#224398,.F.); #314789=ORIENTED_EDGE('',*,*,#224369,.T.); #314790=ORIENTED_EDGE('',*,*,#224394,.T.); #314791=ORIENTED_EDGE('',*,*,#224362,.T.); #314792=ORIENTED_EDGE('',*,*,#224399,.F.); #314793=ORIENTED_EDGE('',*,*,#224364,.F.); #314794=ORIENTED_EDGE('',*,*,#224392,.F.); #314795=ORIENTED_EDGE('',*,*,#224361,.T.); #314796=ORIENTED_EDGE('',*,*,#224400,.F.); #314797=ORIENTED_EDGE('',*,*,#224365,.F.); #314798=ORIENTED_EDGE('',*,*,#224399,.T.); #314799=ORIENTED_EDGE('',*,*,#224360,.T.); #314800=ORIENTED_EDGE('',*,*,#224401,.F.); #314801=ORIENTED_EDGE('',*,*,#224366,.F.); #314802=ORIENTED_EDGE('',*,*,#224400,.T.); #314803=ORIENTED_EDGE('',*,*,#224359,.T.); #314804=ORIENTED_EDGE('',*,*,#224395,.F.); #314805=ORIENTED_EDGE('',*,*,#224367,.F.); #314806=ORIENTED_EDGE('',*,*,#224401,.T.); #314807=ORIENTED_EDGE('',*,*,#224382,.T.); #314808=ORIENTED_EDGE('',*,*,#224391,.T.); #314809=ORIENTED_EDGE('',*,*,#224389,.T.); #314810=ORIENTED_EDGE('',*,*,#224385,.T.); #314811=ORIENTED_EDGE('',*,*,#224379,.T.); #314812=ORIENTED_EDGE('',*,*,#224384,.T.); #314813=ORIENTED_EDGE('',*,*,#224388,.T.); #314814=ORIENTED_EDGE('',*,*,#224393,.T.); #314815=ORIENTED_EDGE('',*,*,#224402,.T.); #314816=ORIENTED_EDGE('',*,*,#224403,.F.); #314817=ORIENTED_EDGE('',*,*,#224404,.F.); #314818=ORIENTED_EDGE('',*,*,#224405,.T.); #314819=ORIENTED_EDGE('',*,*,#224406,.T.); #314820=ORIENTED_EDGE('',*,*,#224407,.F.); #314821=ORIENTED_EDGE('',*,*,#224408,.F.); #314822=ORIENTED_EDGE('',*,*,#224403,.T.); #314823=ORIENTED_EDGE('',*,*,#224409,.T.); #314824=ORIENTED_EDGE('',*,*,#224410,.F.); #314825=ORIENTED_EDGE('',*,*,#224411,.F.); #314826=ORIENTED_EDGE('',*,*,#224407,.T.); #314827=ORIENTED_EDGE('',*,*,#224412,.T.); #314828=ORIENTED_EDGE('',*,*,#224413,.F.); #314829=ORIENTED_EDGE('',*,*,#224414,.F.); #314830=ORIENTED_EDGE('',*,*,#224410,.T.); #314831=ORIENTED_EDGE('',*,*,#224415,.T.); #314832=ORIENTED_EDGE('',*,*,#224416,.F.); #314833=ORIENTED_EDGE('',*,*,#224417,.F.); #314834=ORIENTED_EDGE('',*,*,#224413,.T.); #314835=ORIENTED_EDGE('',*,*,#224418,.T.); #314836=ORIENTED_EDGE('',*,*,#224419,.F.); #314837=ORIENTED_EDGE('',*,*,#224420,.F.); #314838=ORIENTED_EDGE('',*,*,#224416,.T.); #314839=ORIENTED_EDGE('',*,*,#224421,.T.); #314840=ORIENTED_EDGE('',*,*,#224422,.F.); #314841=ORIENTED_EDGE('',*,*,#224423,.F.); #314842=ORIENTED_EDGE('',*,*,#224419,.T.); #314843=ORIENTED_EDGE('',*,*,#224424,.T.); #314844=ORIENTED_EDGE('',*,*,#224425,.F.); #314845=ORIENTED_EDGE('',*,*,#224426,.F.); #314846=ORIENTED_EDGE('',*,*,#224422,.T.); #314847=ORIENTED_EDGE('',*,*,#224427,.T.); #314848=ORIENTED_EDGE('',*,*,#224428,.F.); #314849=ORIENTED_EDGE('',*,*,#224429,.F.); #314850=ORIENTED_EDGE('',*,*,#224425,.T.); #314851=ORIENTED_EDGE('',*,*,#224430,.T.); #314852=ORIENTED_EDGE('',*,*,#224431,.F.); #314853=ORIENTED_EDGE('',*,*,#224432,.F.); #314854=ORIENTED_EDGE('',*,*,#224428,.T.); #314855=ORIENTED_EDGE('',*,*,#224433,.T.); #314856=ORIENTED_EDGE('',*,*,#224434,.F.); #314857=ORIENTED_EDGE('',*,*,#224435,.F.); #314858=ORIENTED_EDGE('',*,*,#224431,.T.); #314859=ORIENTED_EDGE('',*,*,#224436,.T.); #314860=ORIENTED_EDGE('',*,*,#224437,.F.); #314861=ORIENTED_EDGE('',*,*,#224438,.F.); #314862=ORIENTED_EDGE('',*,*,#224434,.T.); #314863=ORIENTED_EDGE('',*,*,#224439,.T.); #314864=ORIENTED_EDGE('',*,*,#224440,.F.); #314865=ORIENTED_EDGE('',*,*,#224441,.F.); #314866=ORIENTED_EDGE('',*,*,#224437,.T.); #314867=ORIENTED_EDGE('',*,*,#224442,.T.); #314868=ORIENTED_EDGE('',*,*,#224443,.F.); #314869=ORIENTED_EDGE('',*,*,#224444,.F.); #314870=ORIENTED_EDGE('',*,*,#224440,.T.); #314871=ORIENTED_EDGE('',*,*,#224445,.T.); #314872=ORIENTED_EDGE('',*,*,#224446,.F.); #314873=ORIENTED_EDGE('',*,*,#224447,.F.); #314874=ORIENTED_EDGE('',*,*,#224443,.T.); #314875=ORIENTED_EDGE('',*,*,#224448,.T.); #314876=ORIENTED_EDGE('',*,*,#224449,.F.); #314877=ORIENTED_EDGE('',*,*,#224450,.F.); #314878=ORIENTED_EDGE('',*,*,#224446,.T.); #314879=ORIENTED_EDGE('',*,*,#224451,.T.); #314880=ORIENTED_EDGE('',*,*,#224452,.F.); #314881=ORIENTED_EDGE('',*,*,#224453,.F.); #314882=ORIENTED_EDGE('',*,*,#224449,.T.); #314883=ORIENTED_EDGE('',*,*,#224454,.T.); #314884=ORIENTED_EDGE('',*,*,#224455,.F.); #314885=ORIENTED_EDGE('',*,*,#224456,.F.); #314886=ORIENTED_EDGE('',*,*,#224452,.T.); #314887=ORIENTED_EDGE('',*,*,#224457,.T.); #314888=ORIENTED_EDGE('',*,*,#224458,.F.); #314889=ORIENTED_EDGE('',*,*,#224459,.F.); #314890=ORIENTED_EDGE('',*,*,#224455,.T.); #314891=ORIENTED_EDGE('',*,*,#224460,.T.); #314892=ORIENTED_EDGE('',*,*,#224461,.F.); #314893=ORIENTED_EDGE('',*,*,#224462,.F.); #314894=ORIENTED_EDGE('',*,*,#224458,.T.); #314895=ORIENTED_EDGE('',*,*,#224463,.T.); #314896=ORIENTED_EDGE('',*,*,#224464,.F.); #314897=ORIENTED_EDGE('',*,*,#224465,.F.); #314898=ORIENTED_EDGE('',*,*,#224461,.T.); #314899=ORIENTED_EDGE('',*,*,#224466,.T.); #314900=ORIENTED_EDGE('',*,*,#224467,.F.); #314901=ORIENTED_EDGE('',*,*,#224468,.F.); #314902=ORIENTED_EDGE('',*,*,#224464,.T.); #314903=ORIENTED_EDGE('',*,*,#224469,.T.); #314904=ORIENTED_EDGE('',*,*,#224470,.F.); #314905=ORIENTED_EDGE('',*,*,#224471,.F.); #314906=ORIENTED_EDGE('',*,*,#224467,.T.); #314907=ORIENTED_EDGE('',*,*,#224472,.T.); #314908=ORIENTED_EDGE('',*,*,#224473,.F.); #314909=ORIENTED_EDGE('',*,*,#224474,.F.); #314910=ORIENTED_EDGE('',*,*,#224470,.T.); #314911=ORIENTED_EDGE('',*,*,#224475,.T.); #314912=ORIENTED_EDGE('',*,*,#224476,.F.); #314913=ORIENTED_EDGE('',*,*,#224477,.F.); #314914=ORIENTED_EDGE('',*,*,#224473,.T.); #314915=ORIENTED_EDGE('',*,*,#224478,.T.); #314916=ORIENTED_EDGE('',*,*,#224479,.F.); #314917=ORIENTED_EDGE('',*,*,#224480,.F.); #314918=ORIENTED_EDGE('',*,*,#224476,.T.); #314919=ORIENTED_EDGE('',*,*,#224481,.T.); #314920=ORIENTED_EDGE('',*,*,#224482,.F.); #314921=ORIENTED_EDGE('',*,*,#224483,.F.); #314922=ORIENTED_EDGE('',*,*,#224479,.T.); #314923=ORIENTED_EDGE('',*,*,#224484,.T.); #314924=ORIENTED_EDGE('',*,*,#224485,.F.); #314925=ORIENTED_EDGE('',*,*,#224486,.F.); #314926=ORIENTED_EDGE('',*,*,#224482,.T.); #314927=ORIENTED_EDGE('',*,*,#224487,.T.); #314928=ORIENTED_EDGE('',*,*,#224488,.F.); #314929=ORIENTED_EDGE('',*,*,#224489,.F.); #314930=ORIENTED_EDGE('',*,*,#224485,.T.); #314931=ORIENTED_EDGE('',*,*,#224490,.T.); #314932=ORIENTED_EDGE('',*,*,#224491,.F.); #314933=ORIENTED_EDGE('',*,*,#224492,.F.); #314934=ORIENTED_EDGE('',*,*,#224488,.T.); #314935=ORIENTED_EDGE('',*,*,#224493,.T.); #314936=ORIENTED_EDGE('',*,*,#224494,.F.); #314937=ORIENTED_EDGE('',*,*,#224495,.F.); #314938=ORIENTED_EDGE('',*,*,#224491,.T.); #314939=ORIENTED_EDGE('',*,*,#224496,.T.); #314940=ORIENTED_EDGE('',*,*,#224497,.F.); #314941=ORIENTED_EDGE('',*,*,#224498,.F.); #314942=ORIENTED_EDGE('',*,*,#224494,.T.); #314943=ORIENTED_EDGE('',*,*,#224499,.T.); #314944=ORIENTED_EDGE('',*,*,#224500,.F.); #314945=ORIENTED_EDGE('',*,*,#224501,.F.); #314946=ORIENTED_EDGE('',*,*,#224497,.T.); #314947=ORIENTED_EDGE('',*,*,#224502,.T.); #314948=ORIENTED_EDGE('',*,*,#224503,.F.); #314949=ORIENTED_EDGE('',*,*,#224504,.F.); #314950=ORIENTED_EDGE('',*,*,#224500,.T.); #314951=ORIENTED_EDGE('',*,*,#224505,.T.); #314952=ORIENTED_EDGE('',*,*,#224506,.F.); #314953=ORIENTED_EDGE('',*,*,#224507,.F.); #314954=ORIENTED_EDGE('',*,*,#224503,.T.); #314955=ORIENTED_EDGE('',*,*,#224508,.T.); #314956=ORIENTED_EDGE('',*,*,#224405,.F.); #314957=ORIENTED_EDGE('',*,*,#224509,.F.); #314958=ORIENTED_EDGE('',*,*,#224506,.T.); #314959=ORIENTED_EDGE('',*,*,#224404,.T.); #314960=ORIENTED_EDGE('',*,*,#224408,.T.); #314961=ORIENTED_EDGE('',*,*,#224411,.T.); #314962=ORIENTED_EDGE('',*,*,#224414,.T.); #314963=ORIENTED_EDGE('',*,*,#224417,.T.); #314964=ORIENTED_EDGE('',*,*,#224420,.T.); #314965=ORIENTED_EDGE('',*,*,#224423,.T.); #314966=ORIENTED_EDGE('',*,*,#224426,.T.); #314967=ORIENTED_EDGE('',*,*,#224429,.T.); #314968=ORIENTED_EDGE('',*,*,#224432,.T.); #314969=ORIENTED_EDGE('',*,*,#224435,.T.); #314970=ORIENTED_EDGE('',*,*,#224438,.T.); #314971=ORIENTED_EDGE('',*,*,#224441,.T.); #314972=ORIENTED_EDGE('',*,*,#224444,.T.); #314973=ORIENTED_EDGE('',*,*,#224447,.T.); #314974=ORIENTED_EDGE('',*,*,#224450,.T.); #314975=ORIENTED_EDGE('',*,*,#224453,.T.); #314976=ORIENTED_EDGE('',*,*,#224456,.T.); #314977=ORIENTED_EDGE('',*,*,#224459,.T.); #314978=ORIENTED_EDGE('',*,*,#224462,.T.); #314979=ORIENTED_EDGE('',*,*,#224465,.T.); #314980=ORIENTED_EDGE('',*,*,#224468,.T.); #314981=ORIENTED_EDGE('',*,*,#224471,.T.); #314982=ORIENTED_EDGE('',*,*,#224474,.T.); #314983=ORIENTED_EDGE('',*,*,#224477,.T.); #314984=ORIENTED_EDGE('',*,*,#224480,.T.); #314985=ORIENTED_EDGE('',*,*,#224483,.T.); #314986=ORIENTED_EDGE('',*,*,#224486,.T.); #314987=ORIENTED_EDGE('',*,*,#224489,.T.); #314988=ORIENTED_EDGE('',*,*,#224492,.T.); #314989=ORIENTED_EDGE('',*,*,#224495,.T.); #314990=ORIENTED_EDGE('',*,*,#224498,.T.); #314991=ORIENTED_EDGE('',*,*,#224501,.T.); #314992=ORIENTED_EDGE('',*,*,#224504,.T.); #314993=ORIENTED_EDGE('',*,*,#224507,.T.); #314994=ORIENTED_EDGE('',*,*,#224509,.T.); #314995=ORIENTED_EDGE('',*,*,#224402,.F.); #314996=ORIENTED_EDGE('',*,*,#224508,.F.); #314997=ORIENTED_EDGE('',*,*,#224505,.F.); #314998=ORIENTED_EDGE('',*,*,#224502,.F.); #314999=ORIENTED_EDGE('',*,*,#224499,.F.); #315000=ORIENTED_EDGE('',*,*,#224496,.F.); #315001=ORIENTED_EDGE('',*,*,#224493,.F.); #315002=ORIENTED_EDGE('',*,*,#224490,.F.); #315003=ORIENTED_EDGE('',*,*,#224487,.F.); #315004=ORIENTED_EDGE('',*,*,#224484,.F.); #315005=ORIENTED_EDGE('',*,*,#224481,.F.); #315006=ORIENTED_EDGE('',*,*,#224478,.F.); #315007=ORIENTED_EDGE('',*,*,#224475,.F.); #315008=ORIENTED_EDGE('',*,*,#224472,.F.); #315009=ORIENTED_EDGE('',*,*,#224469,.F.); #315010=ORIENTED_EDGE('',*,*,#224466,.F.); #315011=ORIENTED_EDGE('',*,*,#224463,.F.); #315012=ORIENTED_EDGE('',*,*,#224460,.F.); #315013=ORIENTED_EDGE('',*,*,#224457,.F.); #315014=ORIENTED_EDGE('',*,*,#224454,.F.); #315015=ORIENTED_EDGE('',*,*,#224451,.F.); #315016=ORIENTED_EDGE('',*,*,#224448,.F.); #315017=ORIENTED_EDGE('',*,*,#224445,.F.); #315018=ORIENTED_EDGE('',*,*,#224442,.F.); #315019=ORIENTED_EDGE('',*,*,#224439,.F.); #315020=ORIENTED_EDGE('',*,*,#224436,.F.); #315021=ORIENTED_EDGE('',*,*,#224433,.F.); #315022=ORIENTED_EDGE('',*,*,#224430,.F.); #315023=ORIENTED_EDGE('',*,*,#224427,.F.); #315024=ORIENTED_EDGE('',*,*,#224424,.F.); #315025=ORIENTED_EDGE('',*,*,#224421,.F.); #315026=ORIENTED_EDGE('',*,*,#224418,.F.); #315027=ORIENTED_EDGE('',*,*,#224415,.F.); #315028=ORIENTED_EDGE('',*,*,#224412,.F.); #315029=ORIENTED_EDGE('',*,*,#224409,.F.); #315030=ORIENTED_EDGE('',*,*,#224406,.F.); #315031=ORIENTED_EDGE('',*,*,#224510,.T.); #315032=ORIENTED_EDGE('',*,*,#224511,.T.); #315033=ORIENTED_EDGE('',*,*,#224512,.F.); #315034=ORIENTED_EDGE('',*,*,#224513,.F.); #315035=ORIENTED_EDGE('',*,*,#224514,.F.); #315036=ORIENTED_EDGE('',*,*,#224515,.T.); #315037=ORIENTED_EDGE('',*,*,#224516,.T.); #315038=ORIENTED_EDGE('',*,*,#224517,.F.); #315039=ORIENTED_EDGE('',*,*,#224518,.F.); #315040=ORIENTED_EDGE('',*,*,#224519,.F.); #315041=ORIENTED_EDGE('',*,*,#224520,.F.); #315042=ORIENTED_EDGE('',*,*,#224521,.F.); #315043=ORIENTED_EDGE('',*,*,#224522,.F.); #315044=ORIENTED_EDGE('',*,*,#224523,.F.); #315045=ORIENTED_EDGE('',*,*,#224524,.F.); #315046=ORIENTED_EDGE('',*,*,#224525,.T.); #315047=ORIENTED_EDGE('',*,*,#224526,.T.); #315048=ORIENTED_EDGE('',*,*,#224527,.T.); #315049=ORIENTED_EDGE('',*,*,#224528,.T.); #315050=ORIENTED_EDGE('',*,*,#224529,.T.); #315051=ORIENTED_EDGE('',*,*,#224530,.T.); #315052=ORIENTED_EDGE('',*,*,#224531,.T.); #315053=ORIENTED_EDGE('',*,*,#224532,.T.); #315054=ORIENTED_EDGE('',*,*,#224533,.T.); #315055=ORIENTED_EDGE('',*,*,#224534,.T.); #315056=ORIENTED_EDGE('',*,*,#224535,.F.); #315057=ORIENTED_EDGE('',*,*,#224536,.F.); #315058=ORIENTED_EDGE('',*,*,#224537,.F.); #315059=ORIENTED_EDGE('',*,*,#224538,.F.); #315060=ORIENTED_EDGE('',*,*,#224539,.F.); #315061=ORIENTED_EDGE('',*,*,#224540,.F.); #315062=ORIENTED_EDGE('',*,*,#224541,.F.); #315063=ORIENTED_EDGE('',*,*,#224542,.F.); #315064=ORIENTED_EDGE('',*,*,#224543,.F.); #315065=ORIENTED_EDGE('',*,*,#224544,.F.); #315066=ORIENTED_EDGE('',*,*,#224545,.F.); #315067=ORIENTED_EDGE('',*,*,#224546,.F.); #315068=ORIENTED_EDGE('',*,*,#224547,.F.); #315069=ORIENTED_EDGE('',*,*,#224548,.F.); #315070=ORIENTED_EDGE('',*,*,#224549,.F.); #315071=ORIENTED_EDGE('',*,*,#224550,.F.); #315072=ORIENTED_EDGE('',*,*,#224551,.F.); #315073=ORIENTED_EDGE('',*,*,#224552,.F.); #315074=ORIENTED_EDGE('',*,*,#224553,.T.); #315075=ORIENTED_EDGE('',*,*,#224554,.F.); #315076=ORIENTED_EDGE('',*,*,#224555,.F.); #315077=ORIENTED_EDGE('',*,*,#224556,.T.); #315078=ORIENTED_EDGE('',*,*,#224557,.T.); #315079=ORIENTED_EDGE('',*,*,#224558,.T.); #315080=ORIENTED_EDGE('',*,*,#224559,.F.); #315081=ORIENTED_EDGE('',*,*,#224560,.T.); #315082=ORIENTED_EDGE('',*,*,#224561,.T.); #315083=ORIENTED_EDGE('',*,*,#224562,.T.); #315084=ORIENTED_EDGE('',*,*,#224563,.T.); #315085=ORIENTED_EDGE('',*,*,#224564,.T.); #315086=ORIENTED_EDGE('',*,*,#224565,.F.); #315087=ORIENTED_EDGE('',*,*,#224566,.F.); #315088=ORIENTED_EDGE('',*,*,#224567,.F.); #315089=ORIENTED_EDGE('',*,*,#224568,.F.); #315090=ORIENTED_EDGE('',*,*,#224569,.F.); #315091=ORIENTED_EDGE('',*,*,#224570,.F.); #315092=ORIENTED_EDGE('',*,*,#224571,.F.); #315093=ORIENTED_EDGE('',*,*,#224572,.F.); #315094=ORIENTED_EDGE('',*,*,#224573,.F.); #315095=ORIENTED_EDGE('',*,*,#224574,.F.); #315096=ORIENTED_EDGE('',*,*,#224575,.F.); #315097=ORIENTED_EDGE('',*,*,#224576,.F.); #315098=ORIENTED_EDGE('',*,*,#224577,.T.); #315099=ORIENTED_EDGE('',*,*,#224578,.F.); #315100=ORIENTED_EDGE('',*,*,#224579,.F.); #315101=ORIENTED_EDGE('',*,*,#224580,.F.); #315102=ORIENTED_EDGE('',*,*,#224581,.F.); #315103=ORIENTED_EDGE('',*,*,#224582,.F.); #315104=ORIENTED_EDGE('',*,*,#224583,.F.); #315105=ORIENTED_EDGE('',*,*,#224584,.F.); #315106=ORIENTED_EDGE('',*,*,#224585,.F.); #315107=ORIENTED_EDGE('',*,*,#224586,.F.); #315108=ORIENTED_EDGE('',*,*,#224587,.F.); #315109=ORIENTED_EDGE('',*,*,#224588,.T.); #315110=ORIENTED_EDGE('',*,*,#224589,.T.); #315111=ORIENTED_EDGE('',*,*,#224590,.F.); #315112=ORIENTED_EDGE('',*,*,#224591,.T.); #315113=ORIENTED_EDGE('',*,*,#224592,.T.); #315114=ORIENTED_EDGE('',*,*,#224593,.T.); #315115=ORIENTED_EDGE('',*,*,#224594,.T.); #315116=ORIENTED_EDGE('',*,*,#224595,.T.); #315117=ORIENTED_EDGE('',*,*,#224596,.T.); #315118=ORIENTED_EDGE('',*,*,#224597,.T.); #315119=ORIENTED_EDGE('',*,*,#224598,.F.); #315120=ORIENTED_EDGE('',*,*,#224599,.F.); #315121=ORIENTED_EDGE('',*,*,#224600,.F.); #315122=ORIENTED_EDGE('',*,*,#224601,.F.); #315123=ORIENTED_EDGE('',*,*,#224602,.F.); #315124=ORIENTED_EDGE('',*,*,#224603,.F.); #315125=ORIENTED_EDGE('',*,*,#224604,.F.); #315126=ORIENTED_EDGE('',*,*,#224605,.F.); #315127=ORIENTED_EDGE('',*,*,#224606,.F.); #315128=ORIENTED_EDGE('',*,*,#224607,.F.); #315129=ORIENTED_EDGE('',*,*,#224608,.F.); #315130=ORIENTED_EDGE('',*,*,#224609,.F.); #315131=ORIENTED_EDGE('',*,*,#224610,.F.); #315132=ORIENTED_EDGE('',*,*,#224611,.T.); #315133=ORIENTED_EDGE('',*,*,#224567,.T.); #315134=ORIENTED_EDGE('',*,*,#224612,.F.); #315135=ORIENTED_EDGE('',*,*,#224556,.F.); #315136=ORIENTED_EDGE('',*,*,#224613,.F.); #315137=ORIENTED_EDGE('',*,*,#224533,.F.); #315138=ORIENTED_EDGE('',*,*,#224614,.T.); #315139=ORIENTED_EDGE('',*,*,#224590,.T.); #315140=ORIENTED_EDGE('',*,*,#224615,.T.); #315141=ORIENTED_EDGE('',*,*,#224616,.T.); #315142=ORIENTED_EDGE('',*,*,#224617,.T.); #315143=ORIENTED_EDGE('',*,*,#224618,.T.); #315144=ORIENTED_EDGE('',*,*,#224619,.T.); #315145=ORIENTED_EDGE('',*,*,#224620,.F.); #315146=ORIENTED_EDGE('',*,*,#224621,.F.); #315147=ORIENTED_EDGE('',*,*,#224622,.T.); #315148=ORIENTED_EDGE('',*,*,#224623,.T.); #315149=ORIENTED_EDGE('',*,*,#224624,.T.); #315150=ORIENTED_EDGE('',*,*,#224625,.F.); #315151=ORIENTED_EDGE('',*,*,#224626,.F.); #315152=ORIENTED_EDGE('',*,*,#224627,.F.); #315153=ORIENTED_EDGE('',*,*,#224628,.T.); #315154=ORIENTED_EDGE('',*,*,#224622,.F.); #315155=ORIENTED_EDGE('',*,*,#224629,.T.); #315156=ORIENTED_EDGE('',*,*,#224630,.F.); #315157=ORIENTED_EDGE('',*,*,#224631,.T.); #315158=ORIENTED_EDGE('',*,*,#224617,.F.); #315159=ORIENTED_EDGE('',*,*,#224632,.T.); #315160=ORIENTED_EDGE('',*,*,#224633,.T.); #315161=ORIENTED_EDGE('',*,*,#224634,.T.); #315162=ORIENTED_EDGE('',*,*,#224635,.F.); #315163=ORIENTED_EDGE('',*,*,#224636,.T.); #315164=ORIENTED_EDGE('',*,*,#224637,.T.); #315165=ORIENTED_EDGE('',*,*,#224638,.T.); #315166=ORIENTED_EDGE('',*,*,#224639,.T.); #315167=ORIENTED_EDGE('',*,*,#224640,.T.); #315168=ORIENTED_EDGE('',*,*,#224641,.T.); #315169=ORIENTED_EDGE('',*,*,#224642,.F.); #315170=ORIENTED_EDGE('',*,*,#224643,.T.); #315171=ORIENTED_EDGE('',*,*,#224644,.T.); #315172=ORIENTED_EDGE('',*,*,#224645,.T.); #315173=ORIENTED_EDGE('',*,*,#224646,.T.); #315174=ORIENTED_EDGE('',*,*,#224647,.F.); #315175=ORIENTED_EDGE('',*,*,#224648,.T.); #315176=ORIENTED_EDGE('',*,*,#224649,.T.); #315177=ORIENTED_EDGE('',*,*,#224650,.T.); #315178=ORIENTED_EDGE('',*,*,#224651,.T.); #315179=ORIENTED_EDGE('',*,*,#224652,.T.); #315180=ORIENTED_EDGE('',*,*,#224653,.F.); #315181=ORIENTED_EDGE('',*,*,#224654,.T.); #315182=ORIENTED_EDGE('',*,*,#224655,.T.); #315183=ORIENTED_EDGE('',*,*,#224656,.T.); #315184=ORIENTED_EDGE('',*,*,#224657,.T.); #315185=ORIENTED_EDGE('',*,*,#224658,.T.); #315186=ORIENTED_EDGE('',*,*,#224659,.T.); #315187=ORIENTED_EDGE('',*,*,#224660,.T.); #315188=ORIENTED_EDGE('',*,*,#224661,.T.); #315189=ORIENTED_EDGE('',*,*,#224662,.T.); #315190=ORIENTED_EDGE('',*,*,#224663,.T.); #315191=ORIENTED_EDGE('',*,*,#224664,.T.); #315192=ORIENTED_EDGE('',*,*,#224665,.T.); #315193=ORIENTED_EDGE('',*,*,#224666,.T.); #315194=ORIENTED_EDGE('',*,*,#224667,.T.); #315195=ORIENTED_EDGE('',*,*,#224668,.T.); #315196=ORIENTED_EDGE('',*,*,#224669,.T.); #315197=ORIENTED_EDGE('',*,*,#224670,.T.); #315198=ORIENTED_EDGE('',*,*,#224671,.T.); #315199=ORIENTED_EDGE('',*,*,#224672,.T.); #315200=ORIENTED_EDGE('',*,*,#224673,.T.); #315201=ORIENTED_EDGE('',*,*,#224674,.F.); #315202=ORIENTED_EDGE('',*,*,#224675,.T.); #315203=ORIENTED_EDGE('',*,*,#224676,.T.); #315204=ORIENTED_EDGE('',*,*,#224677,.F.); #315205=ORIENTED_EDGE('',*,*,#224678,.F.); #315206=ORIENTED_EDGE('',*,*,#224679,.F.); #315207=ORIENTED_EDGE('',*,*,#224680,.F.); #315208=ORIENTED_EDGE('',*,*,#224681,.F.); #315209=ORIENTED_EDGE('',*,*,#224682,.F.); #315210=ORIENTED_EDGE('',*,*,#224683,.F.); #315211=ORIENTED_EDGE('',*,*,#224684,.F.); #315212=ORIENTED_EDGE('',*,*,#224685,.F.); #315213=ORIENTED_EDGE('',*,*,#224686,.F.); #315214=ORIENTED_EDGE('',*,*,#224687,.F.); #315215=ORIENTED_EDGE('',*,*,#224688,.F.); #315216=ORIENTED_EDGE('',*,*,#224689,.F.); #315217=ORIENTED_EDGE('',*,*,#224690,.F.); #315218=ORIENTED_EDGE('',*,*,#224691,.F.); #315219=ORIENTED_EDGE('',*,*,#224692,.T.); #315220=ORIENTED_EDGE('',*,*,#224693,.T.); #315221=ORIENTED_EDGE('',*,*,#224694,.F.); #315222=ORIENTED_EDGE('',*,*,#224695,.F.); #315223=ORIENTED_EDGE('',*,*,#224696,.T.); #315224=ORIENTED_EDGE('',*,*,#224697,.T.); #315225=ORIENTED_EDGE('',*,*,#224698,.F.); #315226=ORIENTED_EDGE('',*,*,#224699,.T.); #315227=ORIENTED_EDGE('',*,*,#224700,.F.); #315228=ORIENTED_EDGE('',*,*,#224701,.T.); #315229=ORIENTED_EDGE('',*,*,#224702,.T.); #315230=ORIENTED_EDGE('',*,*,#224703,.T.); #315231=ORIENTED_EDGE('',*,*,#224704,.T.); #315232=ORIENTED_EDGE('',*,*,#224705,.T.); #315233=ORIENTED_EDGE('',*,*,#224706,.F.); #315234=ORIENTED_EDGE('',*,*,#224707,.F.); #315235=ORIENTED_EDGE('',*,*,#224708,.T.); #315236=ORIENTED_EDGE('',*,*,#224699,.F.); #315237=ORIENTED_EDGE('',*,*,#224709,.F.); #315238=ORIENTED_EDGE('',*,*,#224710,.F.); #315239=ORIENTED_EDGE('',*,*,#224711,.F.); #315240=ORIENTED_EDGE('',*,*,#224712,.F.); #315241=ORIENTED_EDGE('',*,*,#224713,.F.); #315242=ORIENTED_EDGE('',*,*,#224714,.T.); #315243=ORIENTED_EDGE('',*,*,#224715,.T.); #315244=ORIENTED_EDGE('',*,*,#224716,.T.); #315245=ORIENTED_EDGE('',*,*,#224717,.T.); #315246=ORIENTED_EDGE('',*,*,#224718,.T.); #315247=ORIENTED_EDGE('',*,*,#224719,.T.); #315248=ORIENTED_EDGE('',*,*,#224720,.T.); #315249=ORIENTED_EDGE('',*,*,#224721,.T.); #315250=ORIENTED_EDGE('',*,*,#224722,.T.); #315251=ORIENTED_EDGE('',*,*,#224723,.T.); #315252=ORIENTED_EDGE('',*,*,#224724,.T.); #315253=ORIENTED_EDGE('',*,*,#224725,.T.); #315254=ORIENTED_EDGE('',*,*,#224726,.T.); #315255=ORIENTED_EDGE('',*,*,#224727,.F.); #315256=ORIENTED_EDGE('',*,*,#224728,.F.); #315257=ORIENTED_EDGE('',*,*,#224729,.F.); #315258=ORIENTED_EDGE('',*,*,#224730,.F.); #315259=ORIENTED_EDGE('',*,*,#224731,.F.); #315260=ORIENTED_EDGE('',*,*,#224732,.F.); #315261=ORIENTED_EDGE('',*,*,#224733,.F.); #315262=ORIENTED_EDGE('',*,*,#224734,.F.); #315263=ORIENTED_EDGE('',*,*,#224515,.F.); #315264=ORIENTED_EDGE('',*,*,#224735,.T.); #315265=ORIENTED_EDGE('',*,*,#224736,.T.); #315266=ORIENTED_EDGE('',*,*,#224737,.T.); #315267=ORIENTED_EDGE('',*,*,#224738,.T.); #315268=ORIENTED_EDGE('',*,*,#224739,.T.); #315269=ORIENTED_EDGE('',*,*,#224740,.T.); #315270=ORIENTED_EDGE('',*,*,#224741,.T.); #315271=ORIENTED_EDGE('',*,*,#224510,.F.); #315272=ORIENTED_EDGE('',*,*,#224742,.F.); #315273=ORIENTED_EDGE('',*,*,#224743,.T.); #315274=ORIENTED_EDGE('',*,*,#224744,.T.); #315275=ORIENTED_EDGE('',*,*,#224745,.T.); #315276=ORIENTED_EDGE('',*,*,#224746,.T.); #315277=ORIENTED_EDGE('',*,*,#224747,.T.); #315278=ORIENTED_EDGE('',*,*,#224748,.F.); #315279=ORIENTED_EDGE('',*,*,#224749,.T.); #315280=ORIENTED_EDGE('',*,*,#224750,.T.); #315281=ORIENTED_EDGE('',*,*,#224751,.F.); #315282=ORIENTED_EDGE('',*,*,#224743,.F.); #315283=ORIENTED_EDGE('',*,*,#224752,.T.); #315284=ORIENTED_EDGE('',*,*,#224753,.T.); #315285=ORIENTED_EDGE('',*,*,#224754,.F.); #315286=ORIENTED_EDGE('',*,*,#224747,.F.); #315287=ORIENTED_EDGE('',*,*,#224755,.T.); #315288=ORIENTED_EDGE('',*,*,#224756,.T.); #315289=ORIENTED_EDGE('',*,*,#224757,.F.); #315290=ORIENTED_EDGE('',*,*,#224646,.F.); #315291=ORIENTED_EDGE('',*,*,#224758,.T.); #315292=ORIENTED_EDGE('',*,*,#224759,.T.); #315293=ORIENTED_EDGE('',*,*,#224760,.F.); #315294=ORIENTED_EDGE('',*,*,#224650,.F.); #315295=ORIENTED_EDGE('',*,*,#224761,.T.); #315296=ORIENTED_EDGE('',*,*,#224762,.T.); #315297=ORIENTED_EDGE('',*,*,#224763,.F.); #315298=ORIENTED_EDGE('',*,*,#224764,.F.); #315299=ORIENTED_EDGE('',*,*,#224765,.F.); #315300=ORIENTED_EDGE('',*,*,#224766,.F.); #315301=ORIENTED_EDGE('',*,*,#224767,.F.); #315302=ORIENTED_EDGE('',*,*,#224768,.F.); #315303=ORIENTED_EDGE('',*,*,#224671,.F.); #315304=ORIENTED_EDGE('',*,*,#224769,.T.); #315305=ORIENTED_EDGE('',*,*,#224770,.T.); #315306=ORIENTED_EDGE('',*,*,#224771,.T.); #315307=ORIENTED_EDGE('',*,*,#224772,.F.); #315308=ORIENTED_EDGE('',*,*,#224636,.F.); #315309=ORIENTED_EDGE('',*,*,#224773,.F.); #315310=ORIENTED_EDGE('',*,*,#224774,.T.); #315311=ORIENTED_EDGE('',*,*,#224775,.T.); #315312=ORIENTED_EDGE('',*,*,#224776,.F.); #315313=ORIENTED_EDGE('',*,*,#224777,.T.); #315314=ORIENTED_EDGE('',*,*,#224778,.T.); #315315=ORIENTED_EDGE('',*,*,#224779,.T.); #315316=ORIENTED_EDGE('',*,*,#224780,.T.); #315317=ORIENTED_EDGE('',*,*,#224781,.T.); #315318=ORIENTED_EDGE('',*,*,#224782,.T.); #315319=ORIENTED_EDGE('',*,*,#224783,.T.); #315320=ORIENTED_EDGE('',*,*,#224784,.T.); #315321=ORIENTED_EDGE('',*,*,#224785,.T.); #315322=ORIENTED_EDGE('',*,*,#224786,.T.); #315323=ORIENTED_EDGE('',*,*,#224787,.T.); #315324=ORIENTED_EDGE('',*,*,#224788,.T.); #315325=ORIENTED_EDGE('',*,*,#224789,.T.); #315326=ORIENTED_EDGE('',*,*,#224790,.T.); #315327=ORIENTED_EDGE('',*,*,#224791,.T.); #315328=ORIENTED_EDGE('',*,*,#224792,.T.); #315329=ORIENTED_EDGE('',*,*,#224793,.T.); #315330=ORIENTED_EDGE('',*,*,#224794,.T.); #315331=ORIENTED_EDGE('',*,*,#224795,.F.); #315332=ORIENTED_EDGE('',*,*,#224796,.T.); #315333=ORIENTED_EDGE('',*,*,#224797,.F.); #315334=ORIENTED_EDGE('',*,*,#224798,.T.); #315335=ORIENTED_EDGE('',*,*,#224799,.F.); #315336=ORIENTED_EDGE('',*,*,#224800,.F.); #315337=ORIENTED_EDGE('',*,*,#224801,.F.); #315338=ORIENTED_EDGE('',*,*,#224802,.F.); #315339=ORIENTED_EDGE('',*,*,#224803,.F.); #315340=ORIENTED_EDGE('',*,*,#224804,.F.); #315341=ORIENTED_EDGE('',*,*,#224805,.F.); #315342=ORIENTED_EDGE('',*,*,#224806,.F.); #315343=ORIENTED_EDGE('',*,*,#224807,.F.); #315344=ORIENTED_EDGE('',*,*,#224808,.F.); #315345=ORIENTED_EDGE('',*,*,#224809,.F.); #315346=ORIENTED_EDGE('',*,*,#224810,.F.); #315347=ORIENTED_EDGE('',*,*,#224811,.F.); #315348=ORIENTED_EDGE('',*,*,#224812,.F.); #315349=ORIENTED_EDGE('',*,*,#224813,.F.); #315350=ORIENTED_EDGE('',*,*,#224814,.T.); #315351=ORIENTED_EDGE('',*,*,#224815,.F.); #315352=ORIENTED_EDGE('',*,*,#224816,.F.); #315353=ORIENTED_EDGE('',*,*,#224817,.T.); #315354=ORIENTED_EDGE('',*,*,#224818,.T.); #315355=ORIENTED_EDGE('',*,*,#224819,.F.); #315356=ORIENTED_EDGE('',*,*,#224554,.T.); #315357=ORIENTED_EDGE('',*,*,#224820,.T.); #315358=ORIENTED_EDGE('',*,*,#224821,.T.); #315359=ORIENTED_EDGE('',*,*,#224569,.T.); #315360=ORIENTED_EDGE('',*,*,#224822,.F.); #315361=ORIENTED_EDGE('',*,*,#224823,.F.); #315362=ORIENTED_EDGE('',*,*,#224558,.F.); #315363=ORIENTED_EDGE('',*,*,#224824,.T.); #315364=ORIENTED_EDGE('',*,*,#224604,.T.); #315365=ORIENTED_EDGE('',*,*,#224825,.F.); #315366=ORIENTED_EDGE('',*,*,#224562,.F.); #315367=ORIENTED_EDGE('',*,*,#224826,.T.); #315368=ORIENTED_EDGE('',*,*,#224608,.T.); #315369=ORIENTED_EDGE('',*,*,#224827,.F.); #315370=ORIENTED_EDGE('',*,*,#224637,.F.); #315371=ORIENTED_EDGE('',*,*,#224828,.T.); #315372=ORIENTED_EDGE('',*,*,#224829,.T.); #315373=ORIENTED_EDGE('',*,*,#224830,.F.); #315374=ORIENTED_EDGE('',*,*,#224641,.F.); #315375=ORIENTED_EDGE('',*,*,#224831,.T.); #315376=ORIENTED_EDGE('',*,*,#224832,.T.); #315377=ORIENTED_EDGE('',*,*,#224833,.T.); #315378=ORIENTED_EDGE('',*,*,#224834,.T.); #315379=ORIENTED_EDGE('',*,*,#224835,.T.); #315380=ORIENTED_EDGE('',*,*,#224836,.F.); #315381=ORIENTED_EDGE('',*,*,#224837,.F.); #315382=ORIENTED_EDGE('',*,*,#224838,.T.); #315383=ORIENTED_EDGE('',*,*,#224839,.T.); #315384=ORIENTED_EDGE('',*,*,#224840,.T.); #315385=ORIENTED_EDGE('',*,*,#224841,.T.); #315386=ORIENTED_EDGE('',*,*,#224842,.T.); #315387=ORIENTED_EDGE('',*,*,#224843,.T.); #315388=ORIENTED_EDGE('',*,*,#224844,.T.); #315389=ORIENTED_EDGE('',*,*,#224845,.T.); #315390=ORIENTED_EDGE('',*,*,#224846,.T.); #315391=ORIENTED_EDGE('',*,*,#224847,.T.); #315392=ORIENTED_EDGE('',*,*,#224848,.T.); #315393=ORIENTED_EDGE('',*,*,#224849,.T.); #315394=ORIENTED_EDGE('',*,*,#224850,.T.); #315395=ORIENTED_EDGE('',*,*,#224851,.T.); #315396=ORIENTED_EDGE('',*,*,#224852,.T.); #315397=ORIENTED_EDGE('',*,*,#224853,.T.); #315398=ORIENTED_EDGE('',*,*,#224854,.T.); #315399=ORIENTED_EDGE('',*,*,#224855,.T.); #315400=ORIENTED_EDGE('',*,*,#224856,.F.); #315401=ORIENTED_EDGE('',*,*,#224857,.F.); #315402=ORIENTED_EDGE('',*,*,#224858,.T.); #315403=ORIENTED_EDGE('',*,*,#224859,.F.); #315404=ORIENTED_EDGE('',*,*,#224860,.F.); #315405=ORIENTED_EDGE('',*,*,#224861,.F.); #315406=ORIENTED_EDGE('',*,*,#224862,.F.); #315407=ORIENTED_EDGE('',*,*,#224863,.F.); #315408=ORIENTED_EDGE('',*,*,#224864,.F.); #315409=ORIENTED_EDGE('',*,*,#224865,.F.); #315410=ORIENTED_EDGE('',*,*,#224866,.F.); #315411=ORIENTED_EDGE('',*,*,#224867,.F.); #315412=ORIENTED_EDGE('',*,*,#224868,.F.); #315413=ORIENTED_EDGE('',*,*,#224869,.F.); #315414=ORIENTED_EDGE('',*,*,#224870,.F.); #315415=ORIENTED_EDGE('',*,*,#224871,.T.); #315416=ORIENTED_EDGE('',*,*,#224872,.F.); #315417=ORIENTED_EDGE('',*,*,#224873,.T.); #315418=ORIENTED_EDGE('',*,*,#224874,.T.); #315419=ORIENTED_EDGE('',*,*,#224875,.T.); #315420=ORIENTED_EDGE('',*,*,#224876,.F.); #315421=ORIENTED_EDGE('',*,*,#224877,.T.); #315422=ORIENTED_EDGE('',*,*,#224878,.F.); #315423=ORIENTED_EDGE('',*,*,#224833,.F.); #315424=ORIENTED_EDGE('',*,*,#224879,.F.); #315425=ORIENTED_EDGE('',*,*,#224880,.F.); #315426=ORIENTED_EDGE('',*,*,#224881,.F.); #315427=ORIENTED_EDGE('',*,*,#224882,.F.); #315428=ORIENTED_EDGE('',*,*,#224883,.F.); #315429=ORIENTED_EDGE('',*,*,#224884,.F.); #315430=ORIENTED_EDGE('',*,*,#224885,.F.); #315431=ORIENTED_EDGE('',*,*,#224512,.T.); #315432=ORIENTED_EDGE('',*,*,#224886,.F.); #315433=ORIENTED_EDGE('',*,*,#224887,.F.); #315434=ORIENTED_EDGE('',*,*,#224888,.F.); #315435=ORIENTED_EDGE('',*,*,#224889,.F.); #315436=ORIENTED_EDGE('',*,*,#224890,.F.); #315437=ORIENTED_EDGE('',*,*,#224891,.F.); #315438=ORIENTED_EDGE('',*,*,#224892,.F.); #315439=ORIENTED_EDGE('',*,*,#224517,.T.); #315440=ORIENTED_EDGE('',*,*,#224893,.T.); #315441=ORIENTED_EDGE('',*,*,#224894,.T.); #315442=ORIENTED_EDGE('',*,*,#224895,.T.); #315443=ORIENTED_EDGE('',*,*,#224896,.T.); #315444=ORIENTED_EDGE('',*,*,#224897,.T.); #315445=ORIENTED_EDGE('',*,*,#224898,.T.); #315446=ORIENTED_EDGE('',*,*,#224899,.T.); #315447=ORIENTED_EDGE('',*,*,#224900,.T.); #315448=ORIENTED_EDGE('',*,*,#224901,.T.); #315449=ORIENTED_EDGE('',*,*,#224902,.F.); #315450=ORIENTED_EDGE('',*,*,#224903,.T.); #315451=ORIENTED_EDGE('',*,*,#224904,.T.); #315452=ORIENTED_EDGE('',*,*,#224905,.T.); #315453=ORIENTED_EDGE('',*,*,#224906,.T.); #315454=ORIENTED_EDGE('',*,*,#224907,.T.); #315455=ORIENTED_EDGE('',*,*,#224908,.T.); #315456=ORIENTED_EDGE('',*,*,#224909,.T.); #315457=ORIENTED_EDGE('',*,*,#224910,.T.); #315458=ORIENTED_EDGE('',*,*,#224911,.T.); #315459=ORIENTED_EDGE('',*,*,#224912,.T.); #315460=ORIENTED_EDGE('',*,*,#224913,.F.); #315461=ORIENTED_EDGE('',*,*,#224914,.F.); #315462=ORIENTED_EDGE('',*,*,#224915,.F.); #315463=ORIENTED_EDGE('',*,*,#224916,.F.); #315464=ORIENTED_EDGE('',*,*,#224917,.F.); #315465=ORIENTED_EDGE('',*,*,#224918,.F.); #315466=ORIENTED_EDGE('',*,*,#224705,.F.); #315467=ORIENTED_EDGE('',*,*,#224919,.F.); #315468=ORIENTED_EDGE('',*,*,#224920,.F.); #315469=ORIENTED_EDGE('',*,*,#224921,.F.); #315470=ORIENTED_EDGE('',*,*,#224753,.F.); #315471=ORIENTED_EDGE('',*,*,#224922,.F.); #315472=ORIENTED_EDGE('',*,*,#224923,.F.); #315473=ORIENTED_EDGE('',*,*,#224924,.T.); #315474=ORIENTED_EDGE('',*,*,#224756,.F.); #315475=ORIENTED_EDGE('',*,*,#224674,.T.); #315476=ORIENTED_EDGE('',*,*,#224925,.T.); #315477=ORIENTED_EDGE('',*,*,#224725,.F.); #315478=ORIENTED_EDGE('',*,*,#224926,.T.); #315479=ORIENTED_EDGE('',*,*,#224927,.T.); #315480=ORIENTED_EDGE('',*,*,#224928,.T.); #315481=ORIENTED_EDGE('',*,*,#224714,.F.); #315482=ORIENTED_EDGE('',*,*,#224929,.F.); #315483=ORIENTED_EDGE('',*,*,#224930,.F.); #315484=ORIENTED_EDGE('',*,*,#224694,.T.); #315485=ORIENTED_EDGE('',*,*,#224931,.F.); #315486=ORIENTED_EDGE('',*,*,#224902,.T.); #315487=ORIENTED_EDGE('',*,*,#224932,.T.); #315488=ORIENTED_EDGE('',*,*,#224933,.F.); #315489=ORIENTED_EDGE('',*,*,#224934,.F.); #315490=ORIENTED_EDGE('',*,*,#224935,.F.); #315491=ORIENTED_EDGE('',*,*,#224936,.F.); #315492=ORIENTED_EDGE('',*,*,#224937,.T.); #315493=ORIENTED_EDGE('',*,*,#224938,.T.); #315494=ORIENTED_EDGE('',*,*,#224913,.T.); #315495=ORIENTED_EDGE('',*,*,#224535,.T.); #315496=ORIENTED_EDGE('',*,*,#224939,.T.); #315497=ORIENTED_EDGE('',*,*,#224774,.F.); #315498=ORIENTED_EDGE('',*,*,#224940,.F.); #315499=ORIENTED_EDGE('',*,*,#224588,.F.); #315500=ORIENTED_EDGE('',*,*,#224941,.T.); #315501=ORIENTED_EDGE('',*,*,#224836,.T.); #315502=ORIENTED_EDGE('',*,*,#224942,.T.); #315503=ORIENTED_EDGE('',*,*,#224943,.T.); #315504=ORIENTED_EDGE('',*,*,#224598,.T.); #315505=ORIENTED_EDGE('',*,*,#224944,.F.); #315506=ORIENTED_EDGE('',*,*,#224525,.F.); #315507=ORIENTED_EDGE('',*,*,#224945,.F.); #315508=ORIENTED_EDGE('',*,*,#224727,.T.); #315509=ORIENTED_EDGE('',*,*,#224946,.T.); #315510=ORIENTED_EDGE('',*,*,#224947,.F.); #315511=ORIENTED_EDGE('',*,*,#224900,.F.); #315512=ORIENTED_EDGE('',*,*,#224948,.F.); #315513=ORIENTED_EDGE('',*,*,#224777,.F.); #315514=ORIENTED_EDGE('',*,*,#224949,.F.); #315515=ORIENTED_EDGE('',*,*,#224632,.F.); #315516=ORIENTED_EDGE('',*,*,#224621,.T.); #315517=ORIENTED_EDGE('',*,*,#224950,.T.); #315518=ORIENTED_EDGE('',*,*,#224951,.T.); #315519=ORIENTED_EDGE('',*,*,#224952,.F.); #315520=ORIENTED_EDGE('',*,*,#224629,.F.); #315521=ORIENTED_EDGE('',*,*,#224626,.T.); #315522=ORIENTED_EDGE('',*,*,#224953,.T.); #315523=ORIENTED_EDGE('',*,*,#224947,.T.); #315524=ORIENTED_EDGE('',*,*,#224954,.T.); #315525=ORIENTED_EDGE('',*,*,#224955,.T.); #315526=ORIENTED_EDGE('',*,*,#224956,.T.); #315527=ORIENTED_EDGE('',*,*,#224957,.T.); #315528=ORIENTED_EDGE('',*,*,#224958,.T.); #315529=ORIENTED_EDGE('',*,*,#224959,.T.); #315530=ORIENTED_EDGE('',*,*,#224960,.T.); #315531=ORIENTED_EDGE('',*,*,#224961,.T.); #315532=ORIENTED_EDGE('',*,*,#224962,.T.); #315533=ORIENTED_EDGE('',*,*,#224615,.F.); #315534=ORIENTED_EDGE('',*,*,#224589,.F.); #315535=ORIENTED_EDGE('',*,*,#224942,.F.); #315536=ORIENTED_EDGE('',*,*,#224835,.F.); #315537=ORIENTED_EDGE('',*,*,#224963,.F.); #315538=ORIENTED_EDGE('',*,*,#224964,.F.); #315539=ORIENTED_EDGE('',*,*,#224965,.F.); #315540=ORIENTED_EDGE('',*,*,#224966,.F.); #315541=ORIENTED_EDGE('',*,*,#224932,.F.); #315542=ORIENTED_EDGE('',*,*,#224901,.F.); #315543=ORIENTED_EDGE('',*,*,#224635,.T.); #315544=ORIENTED_EDGE('',*,*,#224967,.T.); #315545=ORIENTED_EDGE('',*,*,#224672,.F.); #315546=ORIENTED_EDGE('',*,*,#224773,.T.); #315547=ORIENTED_EDGE('',*,*,#224776,.T.); #315548=ORIENTED_EDGE('',*,*,#224968,.T.); #315549=ORIENTED_EDGE('',*,*,#224633,.F.); #315550=ORIENTED_EDGE('',*,*,#224949,.T.); #315551=ORIENTED_EDGE('',*,*,#224969,.F.); #315552=ORIENTED_EDGE('',*,*,#224970,.F.); #315553=ORIENTED_EDGE('',*,*,#224796,.F.); #315554=ORIENTED_EDGE('',*,*,#224971,.F.); #315555=ORIENTED_EDGE('',*,*,#224972,.F.); #315556=ORIENTED_EDGE('',*,*,#224546,.T.); #315557=ORIENTED_EDGE('',*,*,#224973,.F.); #315558=ORIENTED_EDGE('',*,*,#224768,.T.); #315559=ORIENTED_EDGE('',*,*,#224974,.T.); #315560=ORIENTED_EDGE('',*,*,#224975,.F.); #315561=ORIENTED_EDGE('',*,*,#224976,.F.); #315562=ORIENTED_EDGE('',*,*,#224977,.F.); #315563=ORIENTED_EDGE('',*,*,#224978,.F.); #315564=ORIENTED_EDGE('',*,*,#224979,.F.); #315565=ORIENTED_EDGE('',*,*,#224980,.F.); #315566=ORIENTED_EDGE('',*,*,#224981,.F.); #315567=ORIENTED_EDGE('',*,*,#224982,.F.); #315568=ORIENTED_EDGE('',*,*,#224983,.F.); #315569=ORIENTED_EDGE('',*,*,#224984,.F.); #315570=ORIENTED_EDGE('',*,*,#224985,.F.); #315571=ORIENTED_EDGE('',*,*,#224986,.F.); #315572=ORIENTED_EDGE('',*,*,#224987,.T.); #315573=ORIENTED_EDGE('',*,*,#224988,.T.); #315574=ORIENTED_EDGE('',*,*,#224936,.T.); #315575=ORIENTED_EDGE('',*,*,#224989,.F.); #315576=ORIENTED_EDGE('',*,*,#224990,.T.); #315577=ORIENTED_EDGE('',*,*,#224991,.T.); #315578=ORIENTED_EDGE('',*,*,#224992,.T.); #315579=ORIENTED_EDGE('',*,*,#224993,.T.); #315580=ORIENTED_EDGE('',*,*,#224994,.T.); #315581=ORIENTED_EDGE('',*,*,#224995,.T.); #315582=ORIENTED_EDGE('',*,*,#224996,.T.); #315583=ORIENTED_EDGE('',*,*,#224997,.T.); #315584=ORIENTED_EDGE('',*,*,#224998,.T.); #315585=ORIENTED_EDGE('',*,*,#224999,.T.); #315586=ORIENTED_EDGE('',*,*,#225000,.T.); #315587=ORIENTED_EDGE('',*,*,#225001,.T.); #315588=ORIENTED_EDGE('',*,*,#225002,.T.); #315589=ORIENTED_EDGE('',*,*,#225003,.T.); #315590=ORIENTED_EDGE('',*,*,#225004,.T.); #315591=ORIENTED_EDGE('',*,*,#225005,.T.); #315592=ORIENTED_EDGE('',*,*,#225006,.T.); #315593=ORIENTED_EDGE('',*,*,#225007,.T.); #315594=ORIENTED_EDGE('',*,*,#224933,.T.); #315595=ORIENTED_EDGE('',*,*,#224966,.T.); #315596=ORIENTED_EDGE('',*,*,#225008,.F.); #315597=ORIENTED_EDGE('',*,*,#225009,.T.); #315598=ORIENTED_EDGE('',*,*,#225010,.T.); #315599=ORIENTED_EDGE('',*,*,#225011,.T.); #315600=ORIENTED_EDGE('',*,*,#225012,.F.); #315601=ORIENTED_EDGE('',*,*,#225013,.T.); #315602=ORIENTED_EDGE('',*,*,#224965,.T.); #315603=ORIENTED_EDGE('',*,*,#225014,.F.); #315604=ORIENTED_EDGE('',*,*,#224770,.F.); #315605=ORIENTED_EDGE('',*,*,#225015,.F.); #315606=ORIENTED_EDGE('',*,*,#224763,.T.); #315607=ORIENTED_EDGE('',*,*,#224973,.T.); #315608=ORIENTED_EDGE('',*,*,#225016,.F.); #315609=ORIENTED_EDGE('',*,*,#225017,.T.); #315610=ORIENTED_EDGE('',*,*,#224771,.F.); #315611=ORIENTED_EDGE('',*,*,#225014,.T.); #315612=ORIENTED_EDGE('',*,*,#224964,.T.); #315613=ORIENTED_EDGE('',*,*,#225018,.F.); #315614=ORIENTED_EDGE('',*,*,#224950,.F.); #315615=ORIENTED_EDGE('',*,*,#224620,.T.); #315616=ORIENTED_EDGE('',*,*,#225019,.F.); #315617=ORIENTED_EDGE('',*,*,#225020,.T.); #315618=ORIENTED_EDGE('',*,*,#224953,.F.); #315619=ORIENTED_EDGE('',*,*,#224625,.T.); #315620=ORIENTED_EDGE('',*,*,#225021,.F.); #315621=ORIENTED_EDGE('',*,*,#225022,.F.); #315622=ORIENTED_EDGE('',*,*,#225023,.F.); #315623=ORIENTED_EDGE('',*,*,#224829,.F.); #315624=ORIENTED_EDGE('',*,*,#225024,.F.); #315625=ORIENTED_EDGE('',*,*,#225025,.F.); #315626=ORIENTED_EDGE('',*,*,#225026,.T.); #315627=ORIENTED_EDGE('',*,*,#224832,.F.); #315628=ORIENTED_EDGE('',*,*,#224759,.F.); #315629=ORIENTED_EDGE('',*,*,#225027,.F.); #315630=ORIENTED_EDGE('',*,*,#225028,.F.); #315631=ORIENTED_EDGE('',*,*,#225029,.F.); #315632=ORIENTED_EDGE('',*,*,#224762,.F.); #315633=ORIENTED_EDGE('',*,*,#225030,.F.); #315634=ORIENTED_EDGE('',*,*,#225031,.F.); #315635=ORIENTED_EDGE('',*,*,#225032,.T.); #315636=ORIENTED_EDGE('',*,*,#224963,.T.); #315637=ORIENTED_EDGE('',*,*,#224834,.F.); #315638=ORIENTED_EDGE('',*,*,#224878,.T.); #315639=ORIENTED_EDGE('',*,*,#225033,.F.); #315640=ORIENTED_EDGE('',*,*,#224951,.F.); #315641=ORIENTED_EDGE('',*,*,#225018,.T.); #315642=ORIENTED_EDGE('',*,*,#225034,.T.); #315643=ORIENTED_EDGE('',*,*,#225035,.T.); #315644=ORIENTED_EDGE('',*,*,#224577,.F.); #315645=ORIENTED_EDGE('',*,*,#225036,.T.); #315646=ORIENTED_EDGE('',*,*,#225037,.T.); #315647=ORIENTED_EDGE('',*,*,#224856,.T.); #315648=ORIENTED_EDGE('',*,*,#225038,.F.); #315649=ORIENTED_EDGE('',*,*,#224630,.T.); #315650=ORIENTED_EDGE('',*,*,#224952,.T.); #315651=ORIENTED_EDGE('',*,*,#225020,.F.); #315652=ORIENTED_EDGE('',*,*,#225039,.F.); #315653=ORIENTED_EDGE('',*,*,#225040,.F.); #315654=ORIENTED_EDGE('',*,*,#224627,.T.); #315655=ORIENTED_EDGE('',*,*,#224772,.T.); #315656=ORIENTED_EDGE('',*,*,#225017,.F.); #315657=ORIENTED_EDGE('',*,*,#225041,.F.); #315658=ORIENTED_EDGE('',*,*,#224972,.T.); #315659=ORIENTED_EDGE('',*,*,#225042,.T.); #315660=ORIENTED_EDGE('',*,*,#225036,.F.); #315661=ORIENTED_EDGE('',*,*,#225043,.F.); #315662=ORIENTED_EDGE('',*,*,#224795,.T.); #315663=ORIENTED_EDGE('',*,*,#225044,.T.); #315664=ORIENTED_EDGE('',*,*,#224857,.T.); #315665=ORIENTED_EDGE('',*,*,#225037,.F.); #315666=ORIENTED_EDGE('',*,*,#225042,.F.); #315667=ORIENTED_EDGE('',*,*,#224971,.T.); #315668=ORIENTED_EDGE('',*,*,#224571,.T.); #315669=ORIENTED_EDGE('',*,*,#225045,.T.); #315670=ORIENTED_EDGE('',*,*,#225046,.T.); #315671=ORIENTED_EDGE('',*,*,#225047,.F.); #315672=ORIENTED_EDGE('',*,*,#225048,.T.); #315673=ORIENTED_EDGE('',*,*,#225049,.F.); #315674=ORIENTED_EDGE('',*,*,#224552,.T.); #315675=ORIENTED_EDGE('',*,*,#225050,.T.); #315676=ORIENTED_EDGE('',*,*,#224551,.T.); #315677=ORIENTED_EDGE('',*,*,#225051,.T.); #315678=ORIENTED_EDGE('',*,*,#224572,.T.); #315679=ORIENTED_EDGE('',*,*,#225050,.F.); #315680=ORIENTED_EDGE('',*,*,#224550,.T.); #315681=ORIENTED_EDGE('',*,*,#225052,.T.); #315682=ORIENTED_EDGE('',*,*,#224573,.T.); #315683=ORIENTED_EDGE('',*,*,#225051,.F.); #315684=ORIENTED_EDGE('',*,*,#224549,.T.); #315685=ORIENTED_EDGE('',*,*,#225053,.T.); #315686=ORIENTED_EDGE('',*,*,#224574,.T.); #315687=ORIENTED_EDGE('',*,*,#225052,.F.); #315688=ORIENTED_EDGE('',*,*,#224548,.T.); #315689=ORIENTED_EDGE('',*,*,#225054,.T.); #315690=ORIENTED_EDGE('',*,*,#224575,.T.); #315691=ORIENTED_EDGE('',*,*,#225053,.F.); #315692=ORIENTED_EDGE('',*,*,#224613,.T.); #315693=ORIENTED_EDGE('',*,*,#224555,.T.); #315694=ORIENTED_EDGE('',*,*,#224822,.T.); #315695=ORIENTED_EDGE('',*,*,#224568,.T.); #315696=ORIENTED_EDGE('',*,*,#224547,.T.); #315697=ORIENTED_EDGE('',*,*,#225043,.T.); #315698=ORIENTED_EDGE('',*,*,#224576,.T.); #315699=ORIENTED_EDGE('',*,*,#225054,.F.); #315700=ORIENTED_EDGE('',*,*,#224712,.T.); #315701=ORIENTED_EDGE('',*,*,#225055,.T.); #315702=ORIENTED_EDGE('',*,*,#224915,.T.); #315703=ORIENTED_EDGE('',*,*,#225056,.F.); #315704=ORIENTED_EDGE('',*,*,#224711,.T.); #315705=ORIENTED_EDGE('',*,*,#225057,.T.); #315706=ORIENTED_EDGE('',*,*,#224916,.T.); #315707=ORIENTED_EDGE('',*,*,#225055,.F.); #315708=ORIENTED_EDGE('',*,*,#224710,.T.); #315709=ORIENTED_EDGE('',*,*,#225058,.T.); #315710=ORIENTED_EDGE('',*,*,#224917,.T.); #315711=ORIENTED_EDGE('',*,*,#225057,.F.); #315712=ORIENTED_EDGE('',*,*,#224709,.T.); #315713=ORIENTED_EDGE('',*,*,#224706,.T.); #315714=ORIENTED_EDGE('',*,*,#224918,.T.); #315715=ORIENTED_EDGE('',*,*,#225058,.F.); #315716=ORIENTED_EDGE('',*,*,#224988,.F.); #315717=ORIENTED_EDGE('',*,*,#225059,.T.); #315718=ORIENTED_EDGE('',*,*,#224653,.T.); #315719=ORIENTED_EDGE('',*,*,#224930,.T.); #315720=ORIENTED_EDGE('',*,*,#225060,.T.); #315721=ORIENTED_EDGE('',*,*,#224937,.F.); #315722=ORIENTED_EDGE('',*,*,#224929,.T.); #315723=ORIENTED_EDGE('',*,*,#225061,.T.); #315724=ORIENTED_EDGE('',*,*,#224938,.F.); #315725=ORIENTED_EDGE('',*,*,#225060,.F.); #315726=ORIENTED_EDGE('',*,*,#224713,.T.); #315727=ORIENTED_EDGE('',*,*,#225056,.T.); #315728=ORIENTED_EDGE('',*,*,#224914,.T.); #315729=ORIENTED_EDGE('',*,*,#225061,.F.); #315730=ORIENTED_EDGE('',*,*,#224513,.T.); #315731=ORIENTED_EDGE('',*,*,#224885,.T.); #315732=ORIENTED_EDGE('',*,*,#225062,.F.); #315733=ORIENTED_EDGE('',*,*,#224742,.T.); #315734=ORIENTED_EDGE('',*,*,#225063,.F.); #315735=ORIENTED_EDGE('',*,*,#224707,.T.); #315736=ORIENTED_EDGE('',*,*,#225062,.T.); #315737=ORIENTED_EDGE('',*,*,#224884,.T.); #315738=ORIENTED_EDGE('',*,*,#225064,.F.); #315739=ORIENTED_EDGE('',*,*,#224815,.T.); #315740=ORIENTED_EDGE('',*,*,#225065,.T.); #315741=ORIENTED_EDGE('',*,*,#224837,.T.); #315742=ORIENTED_EDGE('',*,*,#224941,.F.); #315743=ORIENTED_EDGE('',*,*,#224587,.T.); #315744=ORIENTED_EDGE('',*,*,#225066,.F.); #315745=ORIENTED_EDGE('',*,*,#224536,.T.); #315746=ORIENTED_EDGE('',*,*,#224940,.T.); #315747=ORIENTED_EDGE('',*,*,#224545,.T.); #315748=ORIENTED_EDGE('',*,*,#225067,.T.); #315749=ORIENTED_EDGE('',*,*,#224578,.T.); #315750=ORIENTED_EDGE('',*,*,#225035,.F.); #315751=ORIENTED_EDGE('',*,*,#225068,.F.); #315752=ORIENTED_EDGE('',*,*,#224969,.T.); #315753=ORIENTED_EDGE('',*,*,#224855,.F.); #315754=ORIENTED_EDGE('',*,*,#225069,.T.); #315755=ORIENTED_EDGE('',*,*,#224797,.T.); #315756=ORIENTED_EDGE('',*,*,#224970,.T.); #315757=ORIENTED_EDGE('',*,*,#225068,.T.); #315758=ORIENTED_EDGE('',*,*,#225034,.F.); #315759=ORIENTED_EDGE('',*,*,#224537,.T.); #315760=ORIENTED_EDGE('',*,*,#225066,.T.); #315761=ORIENTED_EDGE('',*,*,#224586,.T.); #315762=ORIENTED_EDGE('',*,*,#225070,.F.); #315763=ORIENTED_EDGE('',*,*,#224538,.T.); #315764=ORIENTED_EDGE('',*,*,#225070,.T.); #315765=ORIENTED_EDGE('',*,*,#224585,.T.); #315766=ORIENTED_EDGE('',*,*,#225071,.F.); #315767=ORIENTED_EDGE('',*,*,#224539,.T.); #315768=ORIENTED_EDGE('',*,*,#225071,.T.); #315769=ORIENTED_EDGE('',*,*,#224584,.T.); #315770=ORIENTED_EDGE('',*,*,#225072,.F.); #315771=ORIENTED_EDGE('',*,*,#224540,.T.); #315772=ORIENTED_EDGE('',*,*,#225072,.T.); #315773=ORIENTED_EDGE('',*,*,#224583,.T.); #315774=ORIENTED_EDGE('',*,*,#225073,.F.); #315775=ORIENTED_EDGE('',*,*,#224541,.T.); #315776=ORIENTED_EDGE('',*,*,#225073,.T.); #315777=ORIENTED_EDGE('',*,*,#224582,.T.); #315778=ORIENTED_EDGE('',*,*,#225074,.F.); #315779=ORIENTED_EDGE('',*,*,#224542,.T.); #315780=ORIENTED_EDGE('',*,*,#225074,.T.); #315781=ORIENTED_EDGE('',*,*,#224581,.T.); #315782=ORIENTED_EDGE('',*,*,#225075,.F.); #315783=ORIENTED_EDGE('',*,*,#224543,.T.); #315784=ORIENTED_EDGE('',*,*,#225075,.T.); #315785=ORIENTED_EDGE('',*,*,#224580,.T.); #315786=ORIENTED_EDGE('',*,*,#225076,.F.); #315787=ORIENTED_EDGE('',*,*,#224544,.T.); #315788=ORIENTED_EDGE('',*,*,#225076,.T.); #315789=ORIENTED_EDGE('',*,*,#224579,.T.); #315790=ORIENTED_EDGE('',*,*,#225067,.F.); #315791=ORIENTED_EDGE('',*,*,#225007,.F.); #315792=ORIENTED_EDGE('',*,*,#225077,.T.); #315793=ORIENTED_EDGE('',*,*,#224675,.F.); #315794=ORIENTED_EDGE('',*,*,#224926,.F.); #315795=ORIENTED_EDGE('',*,*,#224724,.F.); #315796=ORIENTED_EDGE('',*,*,#225078,.T.); #315797=ORIENTED_EDGE('',*,*,#224903,.F.); #315798=ORIENTED_EDGE('',*,*,#224931,.T.); #315799=ORIENTED_EDGE('',*,*,#224928,.F.); #315800=ORIENTED_EDGE('',*,*,#225079,.T.); #315801=ORIENTED_EDGE('',*,*,#224934,.T.); #315802=ORIENTED_EDGE('',*,*,#224912,.F.); #315803=ORIENTED_EDGE('',*,*,#225080,.F.); #315804=ORIENTED_EDGE('',*,*,#224715,.F.); #315805=ORIENTED_EDGE('',*,*,#224693,.F.); #315806=ORIENTED_EDGE('',*,*,#225081,.T.); #315807=ORIENTED_EDGE('',*,*,#224989,.T.); #315808=ORIENTED_EDGE('',*,*,#224935,.T.); #315809=ORIENTED_EDGE('',*,*,#225079,.F.); #315810=ORIENTED_EDGE('',*,*,#224927,.F.); #315811=ORIENTED_EDGE('',*,*,#225082,.T.); #315812=ORIENTED_EDGE('',*,*,#224904,.F.); #315813=ORIENTED_EDGE('',*,*,#225078,.F.); #315814=ORIENTED_EDGE('',*,*,#224723,.F.); #315815=ORIENTED_EDGE('',*,*,#225083,.T.); #315816=ORIENTED_EDGE('',*,*,#224905,.F.); #315817=ORIENTED_EDGE('',*,*,#225082,.F.); #315818=ORIENTED_EDGE('',*,*,#224722,.F.); #315819=ORIENTED_EDGE('',*,*,#225084,.T.); #315820=ORIENTED_EDGE('',*,*,#224906,.F.); #315821=ORIENTED_EDGE('',*,*,#225083,.F.); #315822=ORIENTED_EDGE('',*,*,#224721,.F.); #315823=ORIENTED_EDGE('',*,*,#225085,.T.); #315824=ORIENTED_EDGE('',*,*,#224907,.F.); #315825=ORIENTED_EDGE('',*,*,#225084,.F.); #315826=ORIENTED_EDGE('',*,*,#224720,.F.); #315827=ORIENTED_EDGE('',*,*,#225086,.T.); #315828=ORIENTED_EDGE('',*,*,#224908,.F.); #315829=ORIENTED_EDGE('',*,*,#225085,.F.); #315830=ORIENTED_EDGE('',*,*,#224719,.F.); #315831=ORIENTED_EDGE('',*,*,#225087,.T.); #315832=ORIENTED_EDGE('',*,*,#224909,.F.); #315833=ORIENTED_EDGE('',*,*,#225086,.F.); #315834=ORIENTED_EDGE('',*,*,#224718,.F.); #315835=ORIENTED_EDGE('',*,*,#225088,.T.); #315836=ORIENTED_EDGE('',*,*,#224910,.F.); #315837=ORIENTED_EDGE('',*,*,#225087,.F.); #315838=ORIENTED_EDGE('',*,*,#224717,.F.); #315839=ORIENTED_EDGE('',*,*,#225080,.T.); #315840=ORIENTED_EDGE('',*,*,#224911,.F.); #315841=ORIENTED_EDGE('',*,*,#225088,.F.); #315842=ORIENTED_EDGE('',*,*,#224716,.F.); #315843=ORIENTED_EDGE('',*,*,#224839,.F.); #315844=ORIENTED_EDGE('',*,*,#225089,.T.); #315845=ORIENTED_EDGE('',*,*,#224813,.T.); #315846=ORIENTED_EDGE('',*,*,#225090,.T.); #315847=ORIENTED_EDGE('',*,*,#224840,.F.); #315848=ORIENTED_EDGE('',*,*,#225090,.F.); #315849=ORIENTED_EDGE('',*,*,#224812,.T.); #315850=ORIENTED_EDGE('',*,*,#225091,.T.); #315851=ORIENTED_EDGE('',*,*,#224841,.F.); #315852=ORIENTED_EDGE('',*,*,#225091,.F.); #315853=ORIENTED_EDGE('',*,*,#224811,.T.); #315854=ORIENTED_EDGE('',*,*,#225092,.T.); #315855=ORIENTED_EDGE('',*,*,#224842,.F.); #315856=ORIENTED_EDGE('',*,*,#225092,.F.); #315857=ORIENTED_EDGE('',*,*,#224810,.T.); #315858=ORIENTED_EDGE('',*,*,#225093,.T.); #315859=ORIENTED_EDGE('',*,*,#224843,.F.); #315860=ORIENTED_EDGE('',*,*,#225093,.F.); #315861=ORIENTED_EDGE('',*,*,#224809,.T.); #315862=ORIENTED_EDGE('',*,*,#225094,.T.); #315863=ORIENTED_EDGE('',*,*,#224844,.F.); #315864=ORIENTED_EDGE('',*,*,#225094,.F.); #315865=ORIENTED_EDGE('',*,*,#224808,.T.); #315866=ORIENTED_EDGE('',*,*,#225095,.T.); #315867=ORIENTED_EDGE('',*,*,#224845,.F.); #315868=ORIENTED_EDGE('',*,*,#225095,.F.); #315869=ORIENTED_EDGE('',*,*,#224807,.T.); #315870=ORIENTED_EDGE('',*,*,#225096,.T.); #315871=ORIENTED_EDGE('',*,*,#224846,.F.); #315872=ORIENTED_EDGE('',*,*,#225096,.F.); #315873=ORIENTED_EDGE('',*,*,#224806,.T.); #315874=ORIENTED_EDGE('',*,*,#225097,.T.); #315875=ORIENTED_EDGE('',*,*,#224847,.F.); #315876=ORIENTED_EDGE('',*,*,#225097,.F.); #315877=ORIENTED_EDGE('',*,*,#224805,.T.); #315878=ORIENTED_EDGE('',*,*,#225098,.T.); #315879=ORIENTED_EDGE('',*,*,#224848,.F.); #315880=ORIENTED_EDGE('',*,*,#225098,.F.); #315881=ORIENTED_EDGE('',*,*,#224804,.T.); #315882=ORIENTED_EDGE('',*,*,#225099,.T.); #315883=ORIENTED_EDGE('',*,*,#224849,.F.); #315884=ORIENTED_EDGE('',*,*,#225099,.F.); #315885=ORIENTED_EDGE('',*,*,#224803,.T.); #315886=ORIENTED_EDGE('',*,*,#225100,.T.); #315887=ORIENTED_EDGE('',*,*,#224850,.F.); #315888=ORIENTED_EDGE('',*,*,#225100,.F.); #315889=ORIENTED_EDGE('',*,*,#224802,.T.); #315890=ORIENTED_EDGE('',*,*,#225101,.T.); #315891=ORIENTED_EDGE('',*,*,#224851,.F.); #315892=ORIENTED_EDGE('',*,*,#225101,.F.); #315893=ORIENTED_EDGE('',*,*,#224801,.T.); #315894=ORIENTED_EDGE('',*,*,#225102,.T.); #315895=ORIENTED_EDGE('',*,*,#224852,.F.); #315896=ORIENTED_EDGE('',*,*,#225102,.F.); #315897=ORIENTED_EDGE('',*,*,#224800,.T.); #315898=ORIENTED_EDGE('',*,*,#225103,.T.); #315899=ORIENTED_EDGE('',*,*,#224799,.T.); #315900=ORIENTED_EDGE('',*,*,#225104,.T.); #315901=ORIENTED_EDGE('',*,*,#224853,.F.); #315902=ORIENTED_EDGE('',*,*,#225103,.F.); #315903=ORIENTED_EDGE('',*,*,#224948,.T.); #315904=ORIENTED_EDGE('',*,*,#225033,.T.); #315905=ORIENTED_EDGE('',*,*,#224882,.T.); #315906=ORIENTED_EDGE('',*,*,#225105,.F.); #315907=ORIENTED_EDGE('',*,*,#224778,.F.); #315908=ORIENTED_EDGE('',*,*,#225105,.T.); #315909=ORIENTED_EDGE('',*,*,#224881,.T.); #315910=ORIENTED_EDGE('',*,*,#225106,.F.); #315911=ORIENTED_EDGE('',*,*,#224779,.F.); #315912=ORIENTED_EDGE('',*,*,#225106,.T.); #315913=ORIENTED_EDGE('',*,*,#224880,.T.); #315914=ORIENTED_EDGE('',*,*,#225107,.F.); #315915=ORIENTED_EDGE('',*,*,#224780,.F.); #315916=ORIENTED_EDGE('',*,*,#225107,.T.); #315917=ORIENTED_EDGE('',*,*,#225108,.T.); #315918=ORIENTED_EDGE('',*,*,#225109,.F.); #315919=ORIENTED_EDGE('',*,*,#224781,.F.); #315920=ORIENTED_EDGE('',*,*,#225109,.T.); #315921=ORIENTED_EDGE('',*,*,#225110,.T.); #315922=ORIENTED_EDGE('',*,*,#224870,.T.); #315923=ORIENTED_EDGE('',*,*,#225111,.F.); #315924=ORIENTED_EDGE('',*,*,#224782,.F.); #315925=ORIENTED_EDGE('',*,*,#224869,.T.); #315926=ORIENTED_EDGE('',*,*,#225112,.F.); #315927=ORIENTED_EDGE('',*,*,#224783,.F.); #315928=ORIENTED_EDGE('',*,*,#225111,.T.); #315929=ORIENTED_EDGE('',*,*,#224868,.T.); #315930=ORIENTED_EDGE('',*,*,#225113,.F.); #315931=ORIENTED_EDGE('',*,*,#224784,.F.); #315932=ORIENTED_EDGE('',*,*,#225112,.T.); #315933=ORIENTED_EDGE('',*,*,#224867,.T.); #315934=ORIENTED_EDGE('',*,*,#225114,.F.); #315935=ORIENTED_EDGE('',*,*,#224785,.F.); #315936=ORIENTED_EDGE('',*,*,#225113,.T.); #315937=ORIENTED_EDGE('',*,*,#224866,.T.); #315938=ORIENTED_EDGE('',*,*,#225115,.F.); #315939=ORIENTED_EDGE('',*,*,#224786,.F.); #315940=ORIENTED_EDGE('',*,*,#225114,.T.); #315941=ORIENTED_EDGE('',*,*,#224865,.T.); #315942=ORIENTED_EDGE('',*,*,#225116,.F.); #315943=ORIENTED_EDGE('',*,*,#224787,.F.); #315944=ORIENTED_EDGE('',*,*,#225115,.T.); #315945=ORIENTED_EDGE('',*,*,#224864,.T.); #315946=ORIENTED_EDGE('',*,*,#225117,.F.); #315947=ORIENTED_EDGE('',*,*,#224788,.F.); #315948=ORIENTED_EDGE('',*,*,#225116,.T.); #315949=ORIENTED_EDGE('',*,*,#224863,.T.); #315950=ORIENTED_EDGE('',*,*,#225118,.F.); #315951=ORIENTED_EDGE('',*,*,#224789,.F.); #315952=ORIENTED_EDGE('',*,*,#225117,.T.); #315953=ORIENTED_EDGE('',*,*,#224862,.T.); #315954=ORIENTED_EDGE('',*,*,#225119,.F.); #315955=ORIENTED_EDGE('',*,*,#224790,.F.); #315956=ORIENTED_EDGE('',*,*,#225118,.T.); #315957=ORIENTED_EDGE('',*,*,#224861,.T.); #315958=ORIENTED_EDGE('',*,*,#225120,.F.); #315959=ORIENTED_EDGE('',*,*,#224791,.F.); #315960=ORIENTED_EDGE('',*,*,#225119,.T.); #315961=ORIENTED_EDGE('',*,*,#224860,.T.); #315962=ORIENTED_EDGE('',*,*,#225121,.F.); #315963=ORIENTED_EDGE('',*,*,#224792,.F.); #315964=ORIENTED_EDGE('',*,*,#225120,.T.); #315965=ORIENTED_EDGE('',*,*,#224859,.T.); #315966=ORIENTED_EDGE('',*,*,#225122,.T.); #315967=ORIENTED_EDGE('',*,*,#224793,.F.); #315968=ORIENTED_EDGE('',*,*,#225121,.T.); #315969=ORIENTED_EDGE('',*,*,#224879,.T.); #315970=ORIENTED_EDGE('',*,*,#224871,.F.); #315971=ORIENTED_EDGE('',*,*,#225110,.F.); #315972=ORIENTED_EDGE('',*,*,#225108,.F.); #315973=ORIENTED_EDGE('',*,*,#225044,.F.); #315974=ORIENTED_EDGE('',*,*,#224794,.F.); #315975=ORIENTED_EDGE('',*,*,#225122,.F.); #315976=ORIENTED_EDGE('',*,*,#224858,.F.); #315977=ORIENTED_EDGE('',*,*,#225069,.F.); #315978=ORIENTED_EDGE('',*,*,#224854,.F.); #315979=ORIENTED_EDGE('',*,*,#225104,.F.); #315980=ORIENTED_EDGE('',*,*,#224798,.F.); #315981=ORIENTED_EDGE('',*,*,#225065,.F.); #315982=ORIENTED_EDGE('',*,*,#224814,.F.); #315983=ORIENTED_EDGE('',*,*,#225089,.F.); #315984=ORIENTED_EDGE('',*,*,#224838,.F.); #315985=ORIENTED_EDGE('',*,*,#224616,.F.); #315986=ORIENTED_EDGE('',*,*,#224962,.F.); #315987=ORIENTED_EDGE('',*,*,#225123,.F.); #315988=ORIENTED_EDGE('',*,*,#224534,.F.); #315989=ORIENTED_EDGE('',*,*,#225123,.T.); #315990=ORIENTED_EDGE('',*,*,#224961,.F.); #315991=ORIENTED_EDGE('',*,*,#225124,.F.); #315992=ORIENTED_EDGE('',*,*,#224939,.F.); #315993=ORIENTED_EDGE('',*,*,#225124,.T.); #315994=ORIENTED_EDGE('',*,*,#224960,.F.); #315995=ORIENTED_EDGE('',*,*,#225125,.F.); #315996=ORIENTED_EDGE('',*,*,#224775,.F.); #315997=ORIENTED_EDGE('',*,*,#225125,.T.); #315998=ORIENTED_EDGE('',*,*,#224959,.F.); #315999=ORIENTED_EDGE('',*,*,#225126,.F.); #316000=ORIENTED_EDGE('',*,*,#224968,.F.); #316001=ORIENTED_EDGE('',*,*,#225126,.T.); #316002=ORIENTED_EDGE('',*,*,#224958,.F.); #316003=ORIENTED_EDGE('',*,*,#225127,.F.); #316004=ORIENTED_EDGE('',*,*,#224634,.F.); #316005=ORIENTED_EDGE('',*,*,#225127,.T.); #316006=ORIENTED_EDGE('',*,*,#224957,.F.); #316007=ORIENTED_EDGE('',*,*,#225128,.F.); #316008=ORIENTED_EDGE('',*,*,#224967,.F.); #316009=ORIENTED_EDGE('',*,*,#225128,.T.); #316010=ORIENTED_EDGE('',*,*,#224956,.F.); #316011=ORIENTED_EDGE('',*,*,#225129,.F.); #316012=ORIENTED_EDGE('',*,*,#224673,.F.); #316013=ORIENTED_EDGE('',*,*,#225129,.T.); #316014=ORIENTED_EDGE('',*,*,#224955,.F.); #316015=ORIENTED_EDGE('',*,*,#225130,.F.); #316016=ORIENTED_EDGE('',*,*,#224925,.F.); #316017=ORIENTED_EDGE('',*,*,#224946,.F.); #316018=ORIENTED_EDGE('',*,*,#224726,.F.); #316019=ORIENTED_EDGE('',*,*,#225130,.T.); #316020=ORIENTED_EDGE('',*,*,#224954,.F.); #316021=ORIENTED_EDGE('',*,*,#224639,.F.); #316022=ORIENTED_EDGE('',*,*,#225131,.T.); #316023=ORIENTED_EDGE('',*,*,#225022,.T.); #316024=ORIENTED_EDGE('',*,*,#225132,.F.); #316025=ORIENTED_EDGE('',*,*,#225021,.T.); #316026=ORIENTED_EDGE('',*,*,#224831,.F.); #316027=ORIENTED_EDGE('',*,*,#224640,.F.); #316028=ORIENTED_EDGE('',*,*,#225132,.T.); #316029=ORIENTED_EDGE('',*,*,#224643,.F.); #316030=ORIENTED_EDGE('',*,*,#225133,.T.); #316031=ORIENTED_EDGE('',*,*,#225025,.T.); #316032=ORIENTED_EDGE('',*,*,#225134,.F.); #316033=ORIENTED_EDGE('',*,*,#225024,.T.); #316034=ORIENTED_EDGE('',*,*,#224828,.F.); #316035=ORIENTED_EDGE('',*,*,#224644,.F.); #316036=ORIENTED_EDGE('',*,*,#225134,.T.); #316037=ORIENTED_EDGE('',*,*,#225135,.T.); #316038=ORIENTED_EDGE('',*,*,#225136,.T.); #316039=ORIENTED_EDGE('',*,*,#225137,.T.); #316040=ORIENTED_EDGE('',*,*,#225138,.T.); #316041=ORIENTED_EDGE('',*,*,#225139,.T.); #316042=ORIENTED_EDGE('',*,*,#225140,.T.); #316043=ORIENTED_EDGE('',*,*,#225141,.T.); #316044=ORIENTED_EDGE('',*,*,#225133,.F.); #316045=ORIENTED_EDGE('',*,*,#225142,.F.); #316046=ORIENTED_EDGE('',*,*,#225143,.F.); #316047=ORIENTED_EDGE('',*,*,#225144,.F.); #316048=ORIENTED_EDGE('',*,*,#225145,.F.); #316049=ORIENTED_EDGE('',*,*,#225146,.F.); #316050=ORIENTED_EDGE('',*,*,#225147,.F.); #316051=ORIENTED_EDGE('',*,*,#225148,.F.); #316052=ORIENTED_EDGE('',*,*,#224827,.T.); #316053=ORIENTED_EDGE('',*,*,#225149,.T.); #316054=ORIENTED_EDGE('',*,*,#225150,.T.); #316055=ORIENTED_EDGE('',*,*,#225151,.T.); #316056=ORIENTED_EDGE('',*,*,#225152,.T.); #316057=ORIENTED_EDGE('',*,*,#225153,.T.); #316058=ORIENTED_EDGE('',*,*,#225154,.T.); #316059=ORIENTED_EDGE('',*,*,#224830,.T.); #316060=ORIENTED_EDGE('',*,*,#225155,.F.); #316061=ORIENTED_EDGE('',*,*,#225156,.F.); #316062=ORIENTED_EDGE('',*,*,#225157,.F.); #316063=ORIENTED_EDGE('',*,*,#225158,.F.); #316064=ORIENTED_EDGE('',*,*,#225159,.F.); #316065=ORIENTED_EDGE('',*,*,#225160,.F.); #316066=ORIENTED_EDGE('',*,*,#225161,.F.); #316067=ORIENTED_EDGE('',*,*,#225131,.F.); #316068=ORIENTED_EDGE('',*,*,#225162,.T.); #316069=ORIENTED_EDGE('',*,*,#225161,.T.); #316070=ORIENTED_EDGE('',*,*,#225163,.F.); #316071=ORIENTED_EDGE('',*,*,#225135,.F.); #316072=ORIENTED_EDGE('',*,*,#225023,.T.); #316073=ORIENTED_EDGE('',*,*,#225160,.T.); #316074=ORIENTED_EDGE('',*,*,#225164,.F.); #316075=ORIENTED_EDGE('',*,*,#225136,.F.); #316076=ORIENTED_EDGE('',*,*,#225163,.T.); #316077=ORIENTED_EDGE('',*,*,#225159,.T.); #316078=ORIENTED_EDGE('',*,*,#225165,.F.); #316079=ORIENTED_EDGE('',*,*,#225137,.F.); #316080=ORIENTED_EDGE('',*,*,#225164,.T.); #316081=ORIENTED_EDGE('',*,*,#225158,.T.); #316082=ORIENTED_EDGE('',*,*,#225166,.F.); #316083=ORIENTED_EDGE('',*,*,#225138,.F.); #316084=ORIENTED_EDGE('',*,*,#225165,.T.); #316085=ORIENTED_EDGE('',*,*,#225157,.T.); #316086=ORIENTED_EDGE('',*,*,#225167,.F.); #316087=ORIENTED_EDGE('',*,*,#225139,.F.); #316088=ORIENTED_EDGE('',*,*,#225166,.T.); #316089=ORIENTED_EDGE('',*,*,#225156,.T.); #316090=ORIENTED_EDGE('',*,*,#225168,.F.); #316091=ORIENTED_EDGE('',*,*,#225140,.F.); #316092=ORIENTED_EDGE('',*,*,#225167,.T.); #316093=ORIENTED_EDGE('',*,*,#225155,.T.); #316094=ORIENTED_EDGE('',*,*,#225026,.F.); #316095=ORIENTED_EDGE('',*,*,#225141,.F.); #316096=ORIENTED_EDGE('',*,*,#225168,.T.); #316097=ORIENTED_EDGE('',*,*,#225162,.F.); #316098=ORIENTED_EDGE('',*,*,#224638,.F.); #316099=ORIENTED_EDGE('',*,*,#225148,.T.); #316100=ORIENTED_EDGE('',*,*,#225169,.T.); #316101=ORIENTED_EDGE('',*,*,#225149,.F.); #316102=ORIENTED_EDGE('',*,*,#225169,.F.); #316103=ORIENTED_EDGE('',*,*,#225147,.T.); #316104=ORIENTED_EDGE('',*,*,#225170,.T.); #316105=ORIENTED_EDGE('',*,*,#225150,.F.); #316106=ORIENTED_EDGE('',*,*,#225170,.F.); #316107=ORIENTED_EDGE('',*,*,#225146,.T.); #316108=ORIENTED_EDGE('',*,*,#225171,.T.); #316109=ORIENTED_EDGE('',*,*,#225151,.F.); #316110=ORIENTED_EDGE('',*,*,#225171,.F.); #316111=ORIENTED_EDGE('',*,*,#225145,.T.); #316112=ORIENTED_EDGE('',*,*,#225172,.T.); #316113=ORIENTED_EDGE('',*,*,#225152,.F.); #316114=ORIENTED_EDGE('',*,*,#225172,.F.); #316115=ORIENTED_EDGE('',*,*,#225144,.T.); #316116=ORIENTED_EDGE('',*,*,#225173,.T.); #316117=ORIENTED_EDGE('',*,*,#225153,.F.); #316118=ORIENTED_EDGE('',*,*,#225173,.F.); #316119=ORIENTED_EDGE('',*,*,#225143,.T.); #316120=ORIENTED_EDGE('',*,*,#225174,.T.); #316121=ORIENTED_EDGE('',*,*,#225154,.F.); #316122=ORIENTED_EDGE('',*,*,#225174,.F.); #316123=ORIENTED_EDGE('',*,*,#225142,.T.); #316124=ORIENTED_EDGE('',*,*,#224642,.T.); #316125=ORIENTED_EDGE('',*,*,#224605,.T.); #316126=ORIENTED_EDGE('',*,*,#224824,.F.); #316127=ORIENTED_EDGE('',*,*,#224557,.F.); #316128=ORIENTED_EDGE('',*,*,#225175,.T.); #316129=ORIENTED_EDGE('',*,*,#224560,.F.); #316130=ORIENTED_EDGE('',*,*,#225176,.T.); #316131=ORIENTED_EDGE('',*,*,#224610,.T.); #316132=ORIENTED_EDGE('',*,*,#225177,.F.); #316133=ORIENTED_EDGE('',*,*,#224609,.T.); #316134=ORIENTED_EDGE('',*,*,#224826,.F.); #316135=ORIENTED_EDGE('',*,*,#224561,.F.); #316136=ORIENTED_EDGE('',*,*,#225177,.T.); #316137=ORIENTED_EDGE('',*,*,#224564,.F.); #316138=ORIENTED_EDGE('',*,*,#225178,.T.); #316139=ORIENTED_EDGE('',*,*,#224606,.T.); #316140=ORIENTED_EDGE('',*,*,#225175,.F.); #316141=ORIENTED_EDGE('',*,*,#225179,.T.); #316142=ORIENTED_EDGE('',*,*,#225180,.T.); #316143=ORIENTED_EDGE('',*,*,#225181,.T.); #316144=ORIENTED_EDGE('',*,*,#225182,.T.); #316145=ORIENTED_EDGE('',*,*,#225183,.T.); #316146=ORIENTED_EDGE('',*,*,#225184,.T.); #316147=ORIENTED_EDGE('',*,*,#225185,.T.); #316148=ORIENTED_EDGE('',*,*,#225176,.F.); #316149=ORIENTED_EDGE('',*,*,#225186,.F.); #316150=ORIENTED_EDGE('',*,*,#225187,.F.); #316151=ORIENTED_EDGE('',*,*,#225188,.F.); #316152=ORIENTED_EDGE('',*,*,#225189,.F.); #316153=ORIENTED_EDGE('',*,*,#225190,.F.); #316154=ORIENTED_EDGE('',*,*,#225191,.F.); #316155=ORIENTED_EDGE('',*,*,#225192,.F.); #316156=ORIENTED_EDGE('',*,*,#224825,.T.); #316157=ORIENTED_EDGE('',*,*,#225193,.T.); #316158=ORIENTED_EDGE('',*,*,#225194,.T.); #316159=ORIENTED_EDGE('',*,*,#225195,.T.); #316160=ORIENTED_EDGE('',*,*,#225196,.T.); #316161=ORIENTED_EDGE('',*,*,#225197,.T.); #316162=ORIENTED_EDGE('',*,*,#225198,.T.); #316163=ORIENTED_EDGE('',*,*,#224823,.T.); #316164=ORIENTED_EDGE('',*,*,#225199,.F.); #316165=ORIENTED_EDGE('',*,*,#225200,.F.); #316166=ORIENTED_EDGE('',*,*,#225201,.F.); #316167=ORIENTED_EDGE('',*,*,#225202,.F.); #316168=ORIENTED_EDGE('',*,*,#225203,.F.); #316169=ORIENTED_EDGE('',*,*,#225204,.F.); #316170=ORIENTED_EDGE('',*,*,#225205,.F.); #316171=ORIENTED_EDGE('',*,*,#225178,.F.); #316172=ORIENTED_EDGE('',*,*,#225206,.T.); #316173=ORIENTED_EDGE('',*,*,#225205,.T.); #316174=ORIENTED_EDGE('',*,*,#225207,.F.); #316175=ORIENTED_EDGE('',*,*,#225179,.F.); #316176=ORIENTED_EDGE('',*,*,#224607,.T.); #316177=ORIENTED_EDGE('',*,*,#225204,.T.); #316178=ORIENTED_EDGE('',*,*,#225208,.F.); #316179=ORIENTED_EDGE('',*,*,#225180,.F.); #316180=ORIENTED_EDGE('',*,*,#225207,.T.); #316181=ORIENTED_EDGE('',*,*,#225203,.T.); #316182=ORIENTED_EDGE('',*,*,#225209,.F.); #316183=ORIENTED_EDGE('',*,*,#225181,.F.); #316184=ORIENTED_EDGE('',*,*,#225208,.T.); #316185=ORIENTED_EDGE('',*,*,#225202,.T.); #316186=ORIENTED_EDGE('',*,*,#225210,.F.); #316187=ORIENTED_EDGE('',*,*,#225182,.F.); #316188=ORIENTED_EDGE('',*,*,#225209,.T.); #316189=ORIENTED_EDGE('',*,*,#225201,.T.); #316190=ORIENTED_EDGE('',*,*,#225211,.F.); #316191=ORIENTED_EDGE('',*,*,#225183,.F.); #316192=ORIENTED_EDGE('',*,*,#225210,.T.); #316193=ORIENTED_EDGE('',*,*,#225200,.T.); #316194=ORIENTED_EDGE('',*,*,#225212,.F.); #316195=ORIENTED_EDGE('',*,*,#225184,.F.); #316196=ORIENTED_EDGE('',*,*,#225211,.T.); #316197=ORIENTED_EDGE('',*,*,#225199,.T.); #316198=ORIENTED_EDGE('',*,*,#224611,.F.); #316199=ORIENTED_EDGE('',*,*,#225185,.F.); #316200=ORIENTED_EDGE('',*,*,#225212,.T.); #316201=ORIENTED_EDGE('',*,*,#225206,.F.); #316202=ORIENTED_EDGE('',*,*,#224563,.F.); #316203=ORIENTED_EDGE('',*,*,#225192,.T.); #316204=ORIENTED_EDGE('',*,*,#225213,.T.); #316205=ORIENTED_EDGE('',*,*,#225193,.F.); #316206=ORIENTED_EDGE('',*,*,#225213,.F.); #316207=ORIENTED_EDGE('',*,*,#225191,.T.); #316208=ORIENTED_EDGE('',*,*,#225214,.T.); #316209=ORIENTED_EDGE('',*,*,#225194,.F.); #316210=ORIENTED_EDGE('',*,*,#225214,.F.); #316211=ORIENTED_EDGE('',*,*,#225190,.T.); #316212=ORIENTED_EDGE('',*,*,#225215,.T.); #316213=ORIENTED_EDGE('',*,*,#225195,.F.); #316214=ORIENTED_EDGE('',*,*,#225215,.F.); #316215=ORIENTED_EDGE('',*,*,#225189,.T.); #316216=ORIENTED_EDGE('',*,*,#225216,.T.); #316217=ORIENTED_EDGE('',*,*,#225196,.F.); #316218=ORIENTED_EDGE('',*,*,#225216,.F.); #316219=ORIENTED_EDGE('',*,*,#225188,.T.); #316220=ORIENTED_EDGE('',*,*,#225217,.T.); #316221=ORIENTED_EDGE('',*,*,#225197,.F.); #316222=ORIENTED_EDGE('',*,*,#225217,.F.); #316223=ORIENTED_EDGE('',*,*,#225187,.T.); #316224=ORIENTED_EDGE('',*,*,#225218,.T.); #316225=ORIENTED_EDGE('',*,*,#225198,.F.); #316226=ORIENTED_EDGE('',*,*,#225218,.F.); #316227=ORIENTED_EDGE('',*,*,#225186,.T.); #316228=ORIENTED_EDGE('',*,*,#224559,.T.); #316229=ORIENTED_EDGE('',*,*,#225219,.T.); #316230=ORIENTED_EDGE('',*,*,#225220,.T.); #316231=ORIENTED_EDGE('',*,*,#225221,.T.); #316232=ORIENTED_EDGE('',*,*,#225222,.F.); #316233=ORIENTED_EDGE('',*,*,#225223,.T.); #316234=ORIENTED_EDGE('',*,*,#225222,.T.); #316235=ORIENTED_EDGE('',*,*,#225224,.T.); #316236=ORIENTED_EDGE('',*,*,#225225,.F.); #316237=ORIENTED_EDGE('',*,*,#225226,.T.); #316238=ORIENTED_EDGE('',*,*,#225225,.T.); #316239=ORIENTED_EDGE('',*,*,#225227,.T.); #316240=ORIENTED_EDGE('',*,*,#225228,.F.); #316241=ORIENTED_EDGE('',*,*,#225229,.T.); #316242=ORIENTED_EDGE('',*,*,#225047,.T.); #316243=ORIENTED_EDGE('',*,*,#225230,.T.); #316244=ORIENTED_EDGE('',*,*,#225220,.F.); #316245=ORIENTED_EDGE('',*,*,#225046,.F.); #316246=ORIENTED_EDGE('',*,*,#225231,.T.); #316247=ORIENTED_EDGE('',*,*,#225232,.F.); #316248=ORIENTED_EDGE('',*,*,#225227,.F.); #316249=ORIENTED_EDGE('',*,*,#225224,.F.); #316250=ORIENTED_EDGE('',*,*,#225221,.F.); #316251=ORIENTED_EDGE('',*,*,#225230,.F.); #316252=ORIENTED_EDGE('',*,*,#225233,.F.); #316253=ORIENTED_EDGE('',*,*,#225234,.T.); #316254=ORIENTED_EDGE('',*,*,#225228,.T.); #316255=ORIENTED_EDGE('',*,*,#225232,.T.); #316256=ORIENTED_EDGE('',*,*,#225235,.F.); #316257=ORIENTED_EDGE('',*,*,#225236,.F.); #316258=ORIENTED_EDGE('',*,*,#225048,.F.); #316259=ORIENTED_EDGE('',*,*,#225229,.F.); #316260=ORIENTED_EDGE('',*,*,#225219,.F.); #316261=ORIENTED_EDGE('',*,*,#225223,.F.); #316262=ORIENTED_EDGE('',*,*,#225226,.F.); #316263=ORIENTED_EDGE('',*,*,#225234,.F.); #316264=ORIENTED_EDGE('',*,*,#224820,.F.); #316265=ORIENTED_EDGE('',*,*,#225237,.F.); #316266=ORIENTED_EDGE('',*,*,#225233,.T.); #316267=ORIENTED_EDGE('',*,*,#225235,.T.); #316268=ORIENTED_EDGE('',*,*,#225238,.T.); #316269=ORIENTED_EDGE('',*,*,#224821,.F.); #316270=ORIENTED_EDGE('',*,*,#225238,.F.); #316271=ORIENTED_EDGE('',*,*,#225231,.F.); #316272=ORIENTED_EDGE('',*,*,#225045,.F.); #316273=ORIENTED_EDGE('',*,*,#224570,.T.); #316274=ORIENTED_EDGE('',*,*,#225237,.T.); #316275=ORIENTED_EDGE('',*,*,#224553,.F.); #316276=ORIENTED_EDGE('',*,*,#225049,.T.); #316277=ORIENTED_EDGE('',*,*,#225236,.T.); #316278=ORIENTED_EDGE('',*,*,#225239,.T.); #316279=ORIENTED_EDGE('',*,*,#225240,.T.); #316280=ORIENTED_EDGE('',*,*,#224874,.F.); #316281=ORIENTED_EDGE('',*,*,#225241,.T.); #316282=ORIENTED_EDGE('',*,*,#225242,.T.); #316283=ORIENTED_EDGE('',*,*,#225243,.T.); #316284=ORIENTED_EDGE('',*,*,#225239,.F.); #316285=ORIENTED_EDGE('',*,*,#225244,.T.); #316286=ORIENTED_EDGE('',*,*,#224872,.T.); #316287=ORIENTED_EDGE('',*,*,#225245,.T.); #316288=ORIENTED_EDGE('',*,*,#225242,.F.); #316289=ORIENTED_EDGE('',*,*,#225246,.T.); #316290=ORIENTED_EDGE('',*,*,#224873,.F.); #316291=ORIENTED_EDGE('',*,*,#225246,.F.); #316292=ORIENTED_EDGE('',*,*,#225244,.F.); #316293=ORIENTED_EDGE('',*,*,#225241,.F.); #316294=ORIENTED_EDGE('',*,*,#225245,.F.); #316295=ORIENTED_EDGE('',*,*,#224877,.F.); #316296=ORIENTED_EDGE('',*,*,#225247,.F.); #316297=ORIENTED_EDGE('',*,*,#225247,.T.); #316298=ORIENTED_EDGE('',*,*,#224876,.T.); #316299=ORIENTED_EDGE('',*,*,#225248,.F.); #316300=ORIENTED_EDGE('',*,*,#225243,.F.); #316301=ORIENTED_EDGE('',*,*,#224875,.F.); #316302=ORIENTED_EDGE('',*,*,#225240,.F.); #316303=ORIENTED_EDGE('',*,*,#225248,.T.); #316304=ORIENTED_EDGE('',*,*,#225249,.F.); #316305=ORIENTED_EDGE('',*,*,#225250,.F.); #316306=ORIENTED_EDGE('',*,*,#225251,.T.); #316307=ORIENTED_EDGE('',*,*,#224816,.T.); #316308=ORIENTED_EDGE('',*,*,#225252,.F.); #316309=ORIENTED_EDGE('',*,*,#224818,.F.); #316310=ORIENTED_EDGE('',*,*,#225253,.T.); #316311=ORIENTED_EDGE('',*,*,#225254,.T.); #316312=ORIENTED_EDGE('',*,*,#225255,.F.); #316313=ORIENTED_EDGE('',*,*,#225254,.F.); #316314=ORIENTED_EDGE('',*,*,#225256,.T.); #316315=ORIENTED_EDGE('',*,*,#225250,.T.); #316316=ORIENTED_EDGE('',*,*,#225251,.F.); #316317=ORIENTED_EDGE('',*,*,#225256,.F.); #316318=ORIENTED_EDGE('',*,*,#225253,.F.); #316319=ORIENTED_EDGE('',*,*,#224817,.F.); #316320=ORIENTED_EDGE('',*,*,#225249,.T.); #316321=ORIENTED_EDGE('',*,*,#224819,.T.); #316322=ORIENTED_EDGE('',*,*,#225252,.T.); #316323=ORIENTED_EDGE('',*,*,#225255,.T.); #316324=ORIENTED_EDGE('',*,*,#224677,.T.); #316325=ORIENTED_EDGE('',*,*,#225257,.T.); #316326=ORIENTED_EDGE('',*,*,#225005,.F.); #316327=ORIENTED_EDGE('',*,*,#225258,.F.); #316328=ORIENTED_EDGE('',*,*,#224991,.F.); #316329=ORIENTED_EDGE('',*,*,#225259,.T.); #316330=ORIENTED_EDGE('',*,*,#224691,.T.); #316331=ORIENTED_EDGE('',*,*,#225260,.T.); #316332=ORIENTED_EDGE('',*,*,#224992,.F.); #316333=ORIENTED_EDGE('',*,*,#225260,.F.); #316334=ORIENTED_EDGE('',*,*,#224690,.T.); #316335=ORIENTED_EDGE('',*,*,#225261,.T.); #316336=ORIENTED_EDGE('',*,*,#224993,.F.); #316337=ORIENTED_EDGE('',*,*,#225261,.F.); #316338=ORIENTED_EDGE('',*,*,#224689,.T.); #316339=ORIENTED_EDGE('',*,*,#225262,.T.); #316340=ORIENTED_EDGE('',*,*,#224994,.F.); #316341=ORIENTED_EDGE('',*,*,#225262,.F.); #316342=ORIENTED_EDGE('',*,*,#224688,.T.); #316343=ORIENTED_EDGE('',*,*,#225263,.T.); #316344=ORIENTED_EDGE('',*,*,#224995,.F.); #316345=ORIENTED_EDGE('',*,*,#225263,.F.); #316346=ORIENTED_EDGE('',*,*,#224687,.T.); #316347=ORIENTED_EDGE('',*,*,#225264,.T.); #316348=ORIENTED_EDGE('',*,*,#224996,.F.); #316349=ORIENTED_EDGE('',*,*,#225264,.F.); #316350=ORIENTED_EDGE('',*,*,#224686,.T.); #316351=ORIENTED_EDGE('',*,*,#225265,.T.); #316352=ORIENTED_EDGE('',*,*,#224997,.F.); #316353=ORIENTED_EDGE('',*,*,#225265,.F.); #316354=ORIENTED_EDGE('',*,*,#224685,.T.); #316355=ORIENTED_EDGE('',*,*,#225266,.T.); #316356=ORIENTED_EDGE('',*,*,#224998,.F.); #316357=ORIENTED_EDGE('',*,*,#225266,.F.); #316358=ORIENTED_EDGE('',*,*,#224684,.T.); #316359=ORIENTED_EDGE('',*,*,#225267,.T.); #316360=ORIENTED_EDGE('',*,*,#224999,.F.); #316361=ORIENTED_EDGE('',*,*,#225267,.F.); #316362=ORIENTED_EDGE('',*,*,#224683,.T.); #316363=ORIENTED_EDGE('',*,*,#225268,.T.); #316364=ORIENTED_EDGE('',*,*,#225000,.F.); #316365=ORIENTED_EDGE('',*,*,#225268,.F.); #316366=ORIENTED_EDGE('',*,*,#224682,.T.); #316367=ORIENTED_EDGE('',*,*,#225269,.T.); #316368=ORIENTED_EDGE('',*,*,#225001,.F.); #316369=ORIENTED_EDGE('',*,*,#225269,.F.); #316370=ORIENTED_EDGE('',*,*,#224681,.T.); #316371=ORIENTED_EDGE('',*,*,#225270,.T.); #316372=ORIENTED_EDGE('',*,*,#225002,.F.); #316373=ORIENTED_EDGE('',*,*,#225270,.F.); #316374=ORIENTED_EDGE('',*,*,#224680,.T.); #316375=ORIENTED_EDGE('',*,*,#225271,.T.); #316376=ORIENTED_EDGE('',*,*,#225003,.F.); #316377=ORIENTED_EDGE('',*,*,#225271,.F.); #316378=ORIENTED_EDGE('',*,*,#224679,.T.); #316379=ORIENTED_EDGE('',*,*,#225272,.T.); #316380=ORIENTED_EDGE('',*,*,#225004,.F.); #316381=ORIENTED_EDGE('',*,*,#225272,.F.); #316382=ORIENTED_EDGE('',*,*,#224678,.T.); #316383=ORIENTED_EDGE('',*,*,#225258,.T.); #316384=ORIENTED_EDGE('',*,*,#224655,.F.); #316385=ORIENTED_EDGE('',*,*,#225273,.T.); #316386=ORIENTED_EDGE('',*,*,#224986,.T.); #316387=ORIENTED_EDGE('',*,*,#225274,.F.); #316388=ORIENTED_EDGE('',*,*,#224985,.T.); #316389=ORIENTED_EDGE('',*,*,#225275,.F.); #316390=ORIENTED_EDGE('',*,*,#224656,.F.); #316391=ORIENTED_EDGE('',*,*,#225274,.T.); #316392=ORIENTED_EDGE('',*,*,#224984,.T.); #316393=ORIENTED_EDGE('',*,*,#225276,.F.); #316394=ORIENTED_EDGE('',*,*,#224657,.F.); #316395=ORIENTED_EDGE('',*,*,#225275,.T.); #316396=ORIENTED_EDGE('',*,*,#224983,.T.); #316397=ORIENTED_EDGE('',*,*,#225277,.F.); #316398=ORIENTED_EDGE('',*,*,#224658,.F.); #316399=ORIENTED_EDGE('',*,*,#225276,.T.); #316400=ORIENTED_EDGE('',*,*,#224982,.T.); #316401=ORIENTED_EDGE('',*,*,#225278,.F.); #316402=ORIENTED_EDGE('',*,*,#224659,.F.); #316403=ORIENTED_EDGE('',*,*,#225277,.T.); #316404=ORIENTED_EDGE('',*,*,#224981,.T.); #316405=ORIENTED_EDGE('',*,*,#225279,.F.); #316406=ORIENTED_EDGE('',*,*,#224660,.F.); #316407=ORIENTED_EDGE('',*,*,#225278,.T.); #316408=ORIENTED_EDGE('',*,*,#224980,.T.); #316409=ORIENTED_EDGE('',*,*,#225280,.F.); #316410=ORIENTED_EDGE('',*,*,#224661,.F.); #316411=ORIENTED_EDGE('',*,*,#225279,.T.); #316412=ORIENTED_EDGE('',*,*,#224979,.T.); #316413=ORIENTED_EDGE('',*,*,#225281,.F.); #316414=ORIENTED_EDGE('',*,*,#224662,.F.); #316415=ORIENTED_EDGE('',*,*,#225280,.T.); #316416=ORIENTED_EDGE('',*,*,#224978,.T.); #316417=ORIENTED_EDGE('',*,*,#225282,.F.); #316418=ORIENTED_EDGE('',*,*,#224663,.F.); #316419=ORIENTED_EDGE('',*,*,#225281,.T.); #316420=ORIENTED_EDGE('',*,*,#224977,.T.); #316421=ORIENTED_EDGE('',*,*,#225283,.F.); #316422=ORIENTED_EDGE('',*,*,#224664,.F.); #316423=ORIENTED_EDGE('',*,*,#225282,.T.); #316424=ORIENTED_EDGE('',*,*,#224976,.T.); #316425=ORIENTED_EDGE('',*,*,#225284,.F.); #316426=ORIENTED_EDGE('',*,*,#224665,.F.); #316427=ORIENTED_EDGE('',*,*,#225283,.T.); #316428=ORIENTED_EDGE('',*,*,#224975,.T.); #316429=ORIENTED_EDGE('',*,*,#225285,.T.); #316430=ORIENTED_EDGE('',*,*,#225286,.F.); #316431=ORIENTED_EDGE('',*,*,#224666,.F.); #316432=ORIENTED_EDGE('',*,*,#225284,.T.); #316433=ORIENTED_EDGE('',*,*,#225286,.T.); #316434=ORIENTED_EDGE('',*,*,#225287,.T.); #316435=ORIENTED_EDGE('',*,*,#225288,.F.); #316436=ORIENTED_EDGE('',*,*,#224667,.F.); #316437=ORIENTED_EDGE('',*,*,#225288,.T.); #316438=ORIENTED_EDGE('',*,*,#224766,.T.); #316439=ORIENTED_EDGE('',*,*,#225289,.F.); #316440=ORIENTED_EDGE('',*,*,#224668,.F.); #316441=ORIENTED_EDGE('',*,*,#225289,.T.); #316442=ORIENTED_EDGE('',*,*,#224765,.T.); #316443=ORIENTED_EDGE('',*,*,#225290,.F.); #316444=ORIENTED_EDGE('',*,*,#224669,.F.); #316445=ORIENTED_EDGE('',*,*,#224764,.T.); #316446=ORIENTED_EDGE('',*,*,#225015,.T.); #316447=ORIENTED_EDGE('',*,*,#224769,.F.); #316448=ORIENTED_EDGE('',*,*,#224670,.F.); #316449=ORIENTED_EDGE('',*,*,#225290,.T.); #316450=ORIENTED_EDGE('',*,*,#225285,.F.); #316451=ORIENTED_EDGE('',*,*,#224974,.F.); #316452=ORIENTED_EDGE('',*,*,#224767,.T.); #316453=ORIENTED_EDGE('',*,*,#225287,.F.); #316454=ORIENTED_EDGE('',*,*,#225059,.F.); #316455=ORIENTED_EDGE('',*,*,#224987,.F.); #316456=ORIENTED_EDGE('',*,*,#225273,.F.); #316457=ORIENTED_EDGE('',*,*,#224654,.F.); #316458=ORIENTED_EDGE('',*,*,#225081,.F.); #316459=ORIENTED_EDGE('',*,*,#224692,.F.); #316460=ORIENTED_EDGE('',*,*,#225259,.F.); #316461=ORIENTED_EDGE('',*,*,#224990,.F.); #316462=ORIENTED_EDGE('',*,*,#225077,.F.); #316463=ORIENTED_EDGE('',*,*,#225006,.F.); #316464=ORIENTED_EDGE('',*,*,#225257,.F.); #316465=ORIENTED_EDGE('',*,*,#224676,.F.); #316466=ORIENTED_EDGE('',*,*,#225027,.T.); #316467=ORIENTED_EDGE('',*,*,#224758,.F.); #316468=ORIENTED_EDGE('',*,*,#224645,.F.); #316469=ORIENTED_EDGE('',*,*,#225291,.T.); #316470=ORIENTED_EDGE('',*,*,#224648,.F.); #316471=ORIENTED_EDGE('',*,*,#225292,.T.); #316472=ORIENTED_EDGE('',*,*,#225031,.T.); #316473=ORIENTED_EDGE('',*,*,#225293,.F.); #316474=ORIENTED_EDGE('',*,*,#225030,.T.); #316475=ORIENTED_EDGE('',*,*,#224761,.F.); #316476=ORIENTED_EDGE('',*,*,#224649,.F.); #316477=ORIENTED_EDGE('',*,*,#225293,.T.); #316478=ORIENTED_EDGE('',*,*,#224652,.F.); #316479=ORIENTED_EDGE('',*,*,#225294,.T.); #316480=ORIENTED_EDGE('',*,*,#225028,.T.); #316481=ORIENTED_EDGE('',*,*,#225291,.F.); #316482=ORIENTED_EDGE('',*,*,#225295,.T.); #316483=ORIENTED_EDGE('',*,*,#225296,.T.); #316484=ORIENTED_EDGE('',*,*,#225297,.T.); #316485=ORIENTED_EDGE('',*,*,#225298,.T.); #316486=ORIENTED_EDGE('',*,*,#225299,.T.); #316487=ORIENTED_EDGE('',*,*,#225300,.T.); #316488=ORIENTED_EDGE('',*,*,#225301,.T.); #316489=ORIENTED_EDGE('',*,*,#225292,.F.); #316490=ORIENTED_EDGE('',*,*,#225302,.F.); #316491=ORIENTED_EDGE('',*,*,#225303,.F.); #316492=ORIENTED_EDGE('',*,*,#225304,.F.); #316493=ORIENTED_EDGE('',*,*,#225305,.F.); #316494=ORIENTED_EDGE('',*,*,#225306,.F.); #316495=ORIENTED_EDGE('',*,*,#225307,.F.); #316496=ORIENTED_EDGE('',*,*,#225308,.F.); #316497=ORIENTED_EDGE('',*,*,#224760,.T.); #316498=ORIENTED_EDGE('',*,*,#225309,.T.); #316499=ORIENTED_EDGE('',*,*,#225310,.T.); #316500=ORIENTED_EDGE('',*,*,#225311,.T.); #316501=ORIENTED_EDGE('',*,*,#225312,.T.); #316502=ORIENTED_EDGE('',*,*,#225313,.T.); #316503=ORIENTED_EDGE('',*,*,#225314,.T.); #316504=ORIENTED_EDGE('',*,*,#224757,.T.); #316505=ORIENTED_EDGE('',*,*,#225315,.F.); #316506=ORIENTED_EDGE('',*,*,#225316,.F.); #316507=ORIENTED_EDGE('',*,*,#225317,.F.); #316508=ORIENTED_EDGE('',*,*,#225318,.F.); #316509=ORIENTED_EDGE('',*,*,#225319,.F.); #316510=ORIENTED_EDGE('',*,*,#225320,.F.); #316511=ORIENTED_EDGE('',*,*,#225321,.F.); #316512=ORIENTED_EDGE('',*,*,#225294,.F.); #316513=ORIENTED_EDGE('',*,*,#225322,.T.); #316514=ORIENTED_EDGE('',*,*,#225321,.T.); #316515=ORIENTED_EDGE('',*,*,#225323,.F.); #316516=ORIENTED_EDGE('',*,*,#225295,.F.); #316517=ORIENTED_EDGE('',*,*,#225029,.T.); #316518=ORIENTED_EDGE('',*,*,#225320,.T.); #316519=ORIENTED_EDGE('',*,*,#225324,.F.); #316520=ORIENTED_EDGE('',*,*,#225296,.F.); #316521=ORIENTED_EDGE('',*,*,#225323,.T.); #316522=ORIENTED_EDGE('',*,*,#225319,.T.); #316523=ORIENTED_EDGE('',*,*,#225325,.F.); #316524=ORIENTED_EDGE('',*,*,#225297,.F.); #316525=ORIENTED_EDGE('',*,*,#225324,.T.); #316526=ORIENTED_EDGE('',*,*,#225318,.T.); #316527=ORIENTED_EDGE('',*,*,#225326,.F.); #316528=ORIENTED_EDGE('',*,*,#225298,.F.); #316529=ORIENTED_EDGE('',*,*,#225325,.T.); #316530=ORIENTED_EDGE('',*,*,#225317,.T.); #316531=ORIENTED_EDGE('',*,*,#225327,.F.); #316532=ORIENTED_EDGE('',*,*,#225299,.F.); #316533=ORIENTED_EDGE('',*,*,#225326,.T.); #316534=ORIENTED_EDGE('',*,*,#225316,.T.); #316535=ORIENTED_EDGE('',*,*,#225328,.F.); #316536=ORIENTED_EDGE('',*,*,#225300,.F.); #316537=ORIENTED_EDGE('',*,*,#225327,.T.); #316538=ORIENTED_EDGE('',*,*,#225315,.T.); #316539=ORIENTED_EDGE('',*,*,#225032,.F.); #316540=ORIENTED_EDGE('',*,*,#225301,.F.); #316541=ORIENTED_EDGE('',*,*,#225328,.T.); #316542=ORIENTED_EDGE('',*,*,#225322,.F.); #316543=ORIENTED_EDGE('',*,*,#224651,.F.); #316544=ORIENTED_EDGE('',*,*,#225308,.T.); #316545=ORIENTED_EDGE('',*,*,#225329,.T.); #316546=ORIENTED_EDGE('',*,*,#225309,.F.); #316547=ORIENTED_EDGE('',*,*,#225329,.F.); #316548=ORIENTED_EDGE('',*,*,#225307,.T.); #316549=ORIENTED_EDGE('',*,*,#225330,.T.); #316550=ORIENTED_EDGE('',*,*,#225310,.F.); #316551=ORIENTED_EDGE('',*,*,#225330,.F.); #316552=ORIENTED_EDGE('',*,*,#225306,.T.); #316553=ORIENTED_EDGE('',*,*,#225331,.T.); #316554=ORIENTED_EDGE('',*,*,#225311,.F.); #316555=ORIENTED_EDGE('',*,*,#225331,.F.); #316556=ORIENTED_EDGE('',*,*,#225305,.T.); #316557=ORIENTED_EDGE('',*,*,#225332,.T.); #316558=ORIENTED_EDGE('',*,*,#225312,.F.); #316559=ORIENTED_EDGE('',*,*,#225332,.F.); #316560=ORIENTED_EDGE('',*,*,#225304,.T.); #316561=ORIENTED_EDGE('',*,*,#225333,.T.); #316562=ORIENTED_EDGE('',*,*,#225313,.F.); #316563=ORIENTED_EDGE('',*,*,#225333,.F.); #316564=ORIENTED_EDGE('',*,*,#225303,.T.); #316565=ORIENTED_EDGE('',*,*,#225334,.T.); #316566=ORIENTED_EDGE('',*,*,#225314,.F.); #316567=ORIENTED_EDGE('',*,*,#225334,.F.); #316568=ORIENTED_EDGE('',*,*,#225302,.T.); #316569=ORIENTED_EDGE('',*,*,#224647,.T.); #316570=ORIENTED_EDGE('',*,*,#224745,.F.); #316571=ORIENTED_EDGE('',*,*,#225335,.T.); #316572=ORIENTED_EDGE('',*,*,#224920,.T.); #316573=ORIENTED_EDGE('',*,*,#225336,.F.); #316574=ORIENTED_EDGE('',*,*,#224919,.T.); #316575=ORIENTED_EDGE('',*,*,#224755,.F.); #316576=ORIENTED_EDGE('',*,*,#224746,.F.); #316577=ORIENTED_EDGE('',*,*,#225336,.T.); #316578=ORIENTED_EDGE('',*,*,#224749,.F.); #316579=ORIENTED_EDGE('',*,*,#225337,.T.); #316580=ORIENTED_EDGE('',*,*,#224923,.T.); #316581=ORIENTED_EDGE('',*,*,#225338,.F.); #316582=ORIENTED_EDGE('',*,*,#224922,.T.); #316583=ORIENTED_EDGE('',*,*,#224752,.F.); #316584=ORIENTED_EDGE('',*,*,#224750,.F.); #316585=ORIENTED_EDGE('',*,*,#225338,.T.); #316586=ORIENTED_EDGE('',*,*,#225339,.T.); #316587=ORIENTED_EDGE('',*,*,#225340,.T.); #316588=ORIENTED_EDGE('',*,*,#225341,.T.); #316589=ORIENTED_EDGE('',*,*,#225342,.T.); #316590=ORIENTED_EDGE('',*,*,#225343,.T.); #316591=ORIENTED_EDGE('',*,*,#225344,.T.); #316592=ORIENTED_EDGE('',*,*,#225345,.T.); #316593=ORIENTED_EDGE('',*,*,#225337,.F.); #316594=ORIENTED_EDGE('',*,*,#225346,.F.); #316595=ORIENTED_EDGE('',*,*,#225347,.F.); #316596=ORIENTED_EDGE('',*,*,#225348,.F.); #316597=ORIENTED_EDGE('',*,*,#225349,.F.); #316598=ORIENTED_EDGE('',*,*,#225350,.F.); #316599=ORIENTED_EDGE('',*,*,#225351,.F.); #316600=ORIENTED_EDGE('',*,*,#225352,.F.); #316601=ORIENTED_EDGE('',*,*,#224751,.T.); #316602=ORIENTED_EDGE('',*,*,#225353,.T.); #316603=ORIENTED_EDGE('',*,*,#225354,.T.); #316604=ORIENTED_EDGE('',*,*,#225355,.T.); #316605=ORIENTED_EDGE('',*,*,#225356,.T.); #316606=ORIENTED_EDGE('',*,*,#225357,.T.); #316607=ORIENTED_EDGE('',*,*,#225358,.T.); #316608=ORIENTED_EDGE('',*,*,#224754,.T.); #316609=ORIENTED_EDGE('',*,*,#225359,.F.); #316610=ORIENTED_EDGE('',*,*,#225360,.F.); #316611=ORIENTED_EDGE('',*,*,#225361,.F.); #316612=ORIENTED_EDGE('',*,*,#225362,.F.); #316613=ORIENTED_EDGE('',*,*,#225363,.F.); #316614=ORIENTED_EDGE('',*,*,#225364,.F.); #316615=ORIENTED_EDGE('',*,*,#225365,.F.); #316616=ORIENTED_EDGE('',*,*,#225335,.F.); #316617=ORIENTED_EDGE('',*,*,#225366,.T.); #316618=ORIENTED_EDGE('',*,*,#225365,.T.); #316619=ORIENTED_EDGE('',*,*,#225367,.F.); #316620=ORIENTED_EDGE('',*,*,#225339,.F.); #316621=ORIENTED_EDGE('',*,*,#224921,.T.); #316622=ORIENTED_EDGE('',*,*,#225364,.T.); #316623=ORIENTED_EDGE('',*,*,#225368,.F.); #316624=ORIENTED_EDGE('',*,*,#225340,.F.); #316625=ORIENTED_EDGE('',*,*,#225367,.T.); #316626=ORIENTED_EDGE('',*,*,#225363,.T.); #316627=ORIENTED_EDGE('',*,*,#225369,.F.); #316628=ORIENTED_EDGE('',*,*,#225341,.F.); #316629=ORIENTED_EDGE('',*,*,#225368,.T.); #316630=ORIENTED_EDGE('',*,*,#225362,.T.); #316631=ORIENTED_EDGE('',*,*,#225370,.F.); #316632=ORIENTED_EDGE('',*,*,#225342,.F.); #316633=ORIENTED_EDGE('',*,*,#225369,.T.); #316634=ORIENTED_EDGE('',*,*,#225361,.T.); #316635=ORIENTED_EDGE('',*,*,#225371,.F.); #316636=ORIENTED_EDGE('',*,*,#225343,.F.); #316637=ORIENTED_EDGE('',*,*,#225370,.T.); #316638=ORIENTED_EDGE('',*,*,#225360,.T.); #316639=ORIENTED_EDGE('',*,*,#225372,.F.); #316640=ORIENTED_EDGE('',*,*,#225344,.F.); #316641=ORIENTED_EDGE('',*,*,#225371,.T.); #316642=ORIENTED_EDGE('',*,*,#225359,.T.); #316643=ORIENTED_EDGE('',*,*,#224924,.F.); #316644=ORIENTED_EDGE('',*,*,#225345,.F.); #316645=ORIENTED_EDGE('',*,*,#225372,.T.); #316646=ORIENTED_EDGE('',*,*,#225366,.F.); #316647=ORIENTED_EDGE('',*,*,#224744,.F.); #316648=ORIENTED_EDGE('',*,*,#225352,.T.); #316649=ORIENTED_EDGE('',*,*,#225373,.T.); #316650=ORIENTED_EDGE('',*,*,#225353,.F.); #316651=ORIENTED_EDGE('',*,*,#225373,.F.); #316652=ORIENTED_EDGE('',*,*,#225351,.T.); #316653=ORIENTED_EDGE('',*,*,#225374,.T.); #316654=ORIENTED_EDGE('',*,*,#225354,.F.); #316655=ORIENTED_EDGE('',*,*,#225374,.F.); #316656=ORIENTED_EDGE('',*,*,#225350,.T.); #316657=ORIENTED_EDGE('',*,*,#225375,.T.); #316658=ORIENTED_EDGE('',*,*,#225355,.F.); #316659=ORIENTED_EDGE('',*,*,#225375,.F.); #316660=ORIENTED_EDGE('',*,*,#225349,.T.); #316661=ORIENTED_EDGE('',*,*,#225376,.T.); #316662=ORIENTED_EDGE('',*,*,#225356,.F.); #316663=ORIENTED_EDGE('',*,*,#225376,.F.); #316664=ORIENTED_EDGE('',*,*,#225348,.T.); #316665=ORIENTED_EDGE('',*,*,#225377,.T.); #316666=ORIENTED_EDGE('',*,*,#225357,.F.); #316667=ORIENTED_EDGE('',*,*,#225377,.F.); #316668=ORIENTED_EDGE('',*,*,#225347,.T.); #316669=ORIENTED_EDGE('',*,*,#225378,.T.); #316670=ORIENTED_EDGE('',*,*,#225358,.F.); #316671=ORIENTED_EDGE('',*,*,#225378,.F.); #316672=ORIENTED_EDGE('',*,*,#225346,.T.); #316673=ORIENTED_EDGE('',*,*,#224748,.T.); #316674=ORIENTED_EDGE('',*,*,#225379,.T.); #316675=ORIENTED_EDGE('',*,*,#225380,.T.); #316676=ORIENTED_EDGE('',*,*,#225381,.T.); #316677=ORIENTED_EDGE('',*,*,#225382,.F.); #316678=ORIENTED_EDGE('',*,*,#225383,.T.); #316679=ORIENTED_EDGE('',*,*,#225382,.T.); #316680=ORIENTED_EDGE('',*,*,#225384,.T.); #316681=ORIENTED_EDGE('',*,*,#225385,.F.); #316682=ORIENTED_EDGE('',*,*,#225386,.T.); #316683=ORIENTED_EDGE('',*,*,#225385,.T.); #316684=ORIENTED_EDGE('',*,*,#225387,.T.); #316685=ORIENTED_EDGE('',*,*,#224702,.F.); #316686=ORIENTED_EDGE('',*,*,#225388,.T.); #316687=ORIENTED_EDGE('',*,*,#225389,.T.); #316688=ORIENTED_EDGE('',*,*,#225380,.F.); #316689=ORIENTED_EDGE('',*,*,#225390,.T.); #316690=ORIENTED_EDGE('',*,*,#225391,.T.); #316691=ORIENTED_EDGE('',*,*,#225392,.T.); #316692=ORIENTED_EDGE('',*,*,#225393,.T.); #316693=ORIENTED_EDGE('',*,*,#225394,.T.); #316694=ORIENTED_EDGE('',*,*,#225388,.F.); #316695=ORIENTED_EDGE('',*,*,#225394,.F.); #316696=ORIENTED_EDGE('',*,*,#225395,.T.); #316697=ORIENTED_EDGE('',*,*,#224703,.F.); #316698=ORIENTED_EDGE('',*,*,#225387,.F.); #316699=ORIENTED_EDGE('',*,*,#225384,.F.); #316700=ORIENTED_EDGE('',*,*,#225381,.F.); #316701=ORIENTED_EDGE('',*,*,#225389,.F.); #316702=ORIENTED_EDGE('',*,*,#225396,.F.); #316703=ORIENTED_EDGE('',*,*,#225391,.F.); #316704=ORIENTED_EDGE('',*,*,#225390,.F.); #316705=ORIENTED_EDGE('',*,*,#225379,.F.); #316706=ORIENTED_EDGE('',*,*,#225383,.F.); #316707=ORIENTED_EDGE('',*,*,#225386,.F.); #316708=ORIENTED_EDGE('',*,*,#224701,.F.); #316709=ORIENTED_EDGE('',*,*,#225392,.F.); #316710=ORIENTED_EDGE('',*,*,#225397,.F.); #316711=ORIENTED_EDGE('',*,*,#225063,.T.); #316712=ORIENTED_EDGE('',*,*,#225064,.T.); #316713=ORIENTED_EDGE('',*,*,#225398,.T.); #316714=ORIENTED_EDGE('',*,*,#225393,.F.); #316715=ORIENTED_EDGE('',*,*,#224704,.F.); #316716=ORIENTED_EDGE('',*,*,#225395,.F.); #316717=ORIENTED_EDGE('',*,*,#225398,.F.); #316718=ORIENTED_EDGE('',*,*,#224883,.T.); #316719=ORIENTED_EDGE('',*,*,#224700,.T.); #316720=ORIENTED_EDGE('',*,*,#224708,.F.); #316721=ORIENTED_EDGE('',*,*,#225397,.T.); #316722=ORIENTED_EDGE('',*,*,#225396,.T.); #316723=ORIENTED_EDGE('',*,*,#225008,.T.); #316724=ORIENTED_EDGE('',*,*,#225399,.T.); #316725=ORIENTED_EDGE('',*,*,#225400,.F.); #316726=ORIENTED_EDGE('',*,*,#225401,.T.); #316727=ORIENTED_EDGE('',*,*,#225402,.T.); #316728=ORIENTED_EDGE('',*,*,#225403,.T.); #316729=ORIENTED_EDGE('',*,*,#225010,.F.); #316730=ORIENTED_EDGE('',*,*,#225404,.T.); #316731=ORIENTED_EDGE('',*,*,#225400,.T.); #316732=ORIENTED_EDGE('',*,*,#225405,.T.); #316733=ORIENTED_EDGE('',*,*,#225402,.F.); #316734=ORIENTED_EDGE('',*,*,#225406,.T.); #316735=ORIENTED_EDGE('',*,*,#225401,.F.); #316736=ORIENTED_EDGE('',*,*,#225406,.F.); #316737=ORIENTED_EDGE('',*,*,#225404,.F.); #316738=ORIENTED_EDGE('',*,*,#225009,.F.); #316739=ORIENTED_EDGE('',*,*,#225011,.F.); #316740=ORIENTED_EDGE('',*,*,#225403,.F.); #316741=ORIENTED_EDGE('',*,*,#225407,.F.); #316742=ORIENTED_EDGE('',*,*,#225407,.T.); #316743=ORIENTED_EDGE('',*,*,#225405,.F.); #316744=ORIENTED_EDGE('',*,*,#225408,.F.); #316745=ORIENTED_EDGE('',*,*,#225012,.T.); #316746=ORIENTED_EDGE('',*,*,#225408,.T.); #316747=ORIENTED_EDGE('',*,*,#225399,.F.); #316748=ORIENTED_EDGE('',*,*,#225013,.F.); #316749=ORIENTED_EDGE('',*,*,#225409,.F.); #316750=ORIENTED_EDGE('',*,*,#224697,.F.); #316751=ORIENTED_EDGE('',*,*,#225410,.T.); #316752=ORIENTED_EDGE('',*,*,#225411,.T.); #316753=ORIENTED_EDGE('',*,*,#225412,.F.); #316754=ORIENTED_EDGE('',*,*,#225411,.F.); #316755=ORIENTED_EDGE('',*,*,#225413,.T.); #316756=ORIENTED_EDGE('',*,*,#225414,.T.); #316757=ORIENTED_EDGE('',*,*,#225415,.F.); #316758=ORIENTED_EDGE('',*,*,#225414,.F.); #316759=ORIENTED_EDGE('',*,*,#225416,.T.); #316760=ORIENTED_EDGE('',*,*,#224695,.T.); #316761=ORIENTED_EDGE('',*,*,#224696,.F.); #316762=ORIENTED_EDGE('',*,*,#225416,.F.); #316763=ORIENTED_EDGE('',*,*,#225413,.F.); #316764=ORIENTED_EDGE('',*,*,#225410,.F.); #316765=ORIENTED_EDGE('',*,*,#224698,.T.); #316766=ORIENTED_EDGE('',*,*,#225409,.T.); #316767=ORIENTED_EDGE('',*,*,#225412,.T.); #316768=ORIENTED_EDGE('',*,*,#225415,.T.); #316769=ORIENTED_EDGE('',*,*,#225417,.F.); #316770=ORIENTED_EDGE('',*,*,#225418,.T.); #316771=ORIENTED_EDGE('',*,*,#225419,.T.); #316772=ORIENTED_EDGE('',*,*,#225420,.F.); #316773=ORIENTED_EDGE('',*,*,#225419,.F.); #316774=ORIENTED_EDGE('',*,*,#225421,.T.); #316775=ORIENTED_EDGE('',*,*,#225422,.T.); #316776=ORIENTED_EDGE('',*,*,#225423,.F.); #316777=ORIENTED_EDGE('',*,*,#225424,.F.); #316778=ORIENTED_EDGE('',*,*,#225425,.F.); #316779=ORIENTED_EDGE('',*,*,#225426,.T.); #316780=ORIENTED_EDGE('',*,*,#225427,.T.); #316781=ORIENTED_EDGE('',*,*,#225428,.F.); #316782=ORIENTED_EDGE('',*,*,#225427,.F.); #316783=ORIENTED_EDGE('',*,*,#225429,.T.); #316784=ORIENTED_EDGE('',*,*,#225430,.T.); #316785=ORIENTED_EDGE('',*,*,#225431,.F.); #316786=ORIENTED_EDGE('',*,*,#225430,.F.); #316787=ORIENTED_EDGE('',*,*,#225432,.T.); #316788=ORIENTED_EDGE('',*,*,#225433,.T.); #316789=ORIENTED_EDGE('',*,*,#225434,.F.); #316790=ORIENTED_EDGE('',*,*,#225433,.F.); #316791=ORIENTED_EDGE('',*,*,#225435,.T.); #316792=ORIENTED_EDGE('',*,*,#225436,.T.); #316793=ORIENTED_EDGE('',*,*,#225437,.F.); #316794=ORIENTED_EDGE('',*,*,#225436,.F.); #316795=ORIENTED_EDGE('',*,*,#225438,.T.); #316796=ORIENTED_EDGE('',*,*,#225439,.T.); #316797=ORIENTED_EDGE('',*,*,#225440,.F.); #316798=ORIENTED_EDGE('',*,*,#225439,.F.); #316799=ORIENTED_EDGE('',*,*,#225441,.T.); #316800=ORIENTED_EDGE('',*,*,#225442,.T.); #316801=ORIENTED_EDGE('',*,*,#225443,.F.); #316802=ORIENTED_EDGE('',*,*,#225442,.F.); #316803=ORIENTED_EDGE('',*,*,#225444,.T.); #316804=ORIENTED_EDGE('',*,*,#225445,.T.); #316805=ORIENTED_EDGE('',*,*,#225446,.F.); #316806=ORIENTED_EDGE('',*,*,#225445,.F.); #316807=ORIENTED_EDGE('',*,*,#225447,.T.); #316808=ORIENTED_EDGE('',*,*,#225448,.T.); #316809=ORIENTED_EDGE('',*,*,#225449,.F.); #316810=ORIENTED_EDGE('',*,*,#225448,.F.); #316811=ORIENTED_EDGE('',*,*,#225450,.T.); #316812=ORIENTED_EDGE('',*,*,#225451,.T.); #316813=ORIENTED_EDGE('',*,*,#225452,.F.); #316814=ORIENTED_EDGE('',*,*,#225451,.F.); #316815=ORIENTED_EDGE('',*,*,#225453,.T.); #316816=ORIENTED_EDGE('',*,*,#225454,.T.); #316817=ORIENTED_EDGE('',*,*,#225455,.F.); #316818=ORIENTED_EDGE('',*,*,#225454,.F.); #316819=ORIENTED_EDGE('',*,*,#225456,.T.); #316820=ORIENTED_EDGE('',*,*,#225457,.T.); #316821=ORIENTED_EDGE('',*,*,#225458,.F.); #316822=ORIENTED_EDGE('',*,*,#225457,.F.); #316823=ORIENTED_EDGE('',*,*,#225459,.T.); #316824=ORIENTED_EDGE('',*,*,#225425,.T.); #316825=ORIENTED_EDGE('',*,*,#225460,.F.); #316826=ORIENTED_EDGE('',*,*,#225461,.F.); #316827=ORIENTED_EDGE('',*,*,#225462,.T.); #316828=ORIENTED_EDGE('',*,*,#225463,.T.); #316829=ORIENTED_EDGE('',*,*,#225464,.F.); #316830=ORIENTED_EDGE('',*,*,#225465,.F.); #316831=ORIENTED_EDGE('',*,*,#225466,.T.); #316832=ORIENTED_EDGE('',*,*,#225461,.T.); #316833=ORIENTED_EDGE('',*,*,#225467,.F.); #316834=ORIENTED_EDGE('',*,*,#225468,.F.); #316835=ORIENTED_EDGE('',*,*,#225469,.T.); #316836=ORIENTED_EDGE('',*,*,#225465,.T.); #316837=ORIENTED_EDGE('',*,*,#225470,.F.); #316838=ORIENTED_EDGE('',*,*,#225471,.F.); #316839=ORIENTED_EDGE('',*,*,#225472,.T.); #316840=ORIENTED_EDGE('',*,*,#225468,.T.); #316841=ORIENTED_EDGE('',*,*,#225473,.F.); #316842=ORIENTED_EDGE('',*,*,#225474,.F.); #316843=ORIENTED_EDGE('',*,*,#225475,.T.); #316844=ORIENTED_EDGE('',*,*,#225471,.T.); #316845=ORIENTED_EDGE('',*,*,#225476,.F.); #316846=ORIENTED_EDGE('',*,*,#225477,.F.); #316847=ORIENTED_EDGE('',*,*,#225478,.T.); #316848=ORIENTED_EDGE('',*,*,#225474,.T.); #316849=ORIENTED_EDGE('',*,*,#225479,.F.); #316850=ORIENTED_EDGE('',*,*,#225480,.F.); #316851=ORIENTED_EDGE('',*,*,#225481,.T.); #316852=ORIENTED_EDGE('',*,*,#225477,.T.); #316853=ORIENTED_EDGE('',*,*,#225482,.F.); #316854=ORIENTED_EDGE('',*,*,#225483,.F.); #316855=ORIENTED_EDGE('',*,*,#225484,.T.); #316856=ORIENTED_EDGE('',*,*,#225480,.T.); #316857=ORIENTED_EDGE('',*,*,#225485,.F.); #316858=ORIENTED_EDGE('',*,*,#225486,.F.); #316859=ORIENTED_EDGE('',*,*,#225487,.T.); #316860=ORIENTED_EDGE('',*,*,#225483,.T.); #316861=ORIENTED_EDGE('',*,*,#225488,.F.); #316862=ORIENTED_EDGE('',*,*,#225489,.F.); #316863=ORIENTED_EDGE('',*,*,#225490,.T.); #316864=ORIENTED_EDGE('',*,*,#225486,.T.); #316865=ORIENTED_EDGE('',*,*,#225491,.F.); #316866=ORIENTED_EDGE('',*,*,#225492,.F.); #316867=ORIENTED_EDGE('',*,*,#225493,.T.); #316868=ORIENTED_EDGE('',*,*,#225489,.T.); #316869=ORIENTED_EDGE('',*,*,#225494,.F.); #316870=ORIENTED_EDGE('',*,*,#225463,.F.); #316871=ORIENTED_EDGE('',*,*,#225495,.T.); #316872=ORIENTED_EDGE('',*,*,#225492,.T.); #316873=ORIENTED_EDGE('',*,*,#225496,.F.); #316874=ORIENTED_EDGE('',*,*,#225497,.T.); #316875=ORIENTED_EDGE('',*,*,#225498,.T.); #316876=ORIENTED_EDGE('',*,*,#225499,.F.); #316877=ORIENTED_EDGE('',*,*,#225500,.F.); #316878=ORIENTED_EDGE('',*,*,#225501,.T.); #316879=ORIENTED_EDGE('',*,*,#225496,.T.); #316880=ORIENTED_EDGE('',*,*,#225502,.F.); #316881=ORIENTED_EDGE('',*,*,#225503,.F.); #316882=ORIENTED_EDGE('',*,*,#225504,.T.); #316883=ORIENTED_EDGE('',*,*,#225505,.T.); #316884=ORIENTED_EDGE('',*,*,#225506,.T.); #316885=ORIENTED_EDGE('',*,*,#225507,.F.); #316886=ORIENTED_EDGE('',*,*,#225508,.F.); #316887=ORIENTED_EDGE('',*,*,#225509,.T.); #316888=ORIENTED_EDGE('',*,*,#225503,.T.); #316889=ORIENTED_EDGE('',*,*,#225510,.F.); #316890=ORIENTED_EDGE('',*,*,#225422,.F.); #316891=ORIENTED_EDGE('',*,*,#225511,.T.); #316892=ORIENTED_EDGE('',*,*,#225512,.T.); #316893=ORIENTED_EDGE('',*,*,#225513,.T.); #316894=ORIENTED_EDGE('',*,*,#225508,.T.); #316895=ORIENTED_EDGE('',*,*,#225514,.F.); #316896=ORIENTED_EDGE('',*,*,#225515,.F.); #316897=ORIENTED_EDGE('',*,*,#225515,.T.); #316898=ORIENTED_EDGE('',*,*,#225516,.T.); #316899=ORIENTED_EDGE('',*,*,#225517,.T.); #316900=ORIENTED_EDGE('',*,*,#225518,.T.); #316901=ORIENTED_EDGE('',*,*,#225420,.T.); #316902=ORIENTED_EDGE('',*,*,#225423,.T.); #316903=ORIENTED_EDGE('',*,*,#225455,.T.); #316904=ORIENTED_EDGE('',*,*,#225458,.T.); #316905=ORIENTED_EDGE('',*,*,#225424,.T.); #316906=ORIENTED_EDGE('',*,*,#225428,.T.); #316907=ORIENTED_EDGE('',*,*,#225431,.T.); #316908=ORIENTED_EDGE('',*,*,#225434,.T.); #316909=ORIENTED_EDGE('',*,*,#225437,.T.); #316910=ORIENTED_EDGE('',*,*,#225440,.T.); #316911=ORIENTED_EDGE('',*,*,#225443,.T.); #316912=ORIENTED_EDGE('',*,*,#225446,.T.); #316913=ORIENTED_EDGE('',*,*,#225449,.T.); #316914=ORIENTED_EDGE('',*,*,#225452,.T.); #316915=ORIENTED_EDGE('',*,*,#225519,.F.); #316916=ORIENTED_EDGE('',*,*,#225520,.T.); #316917=ORIENTED_EDGE('',*,*,#225417,.T.); #316918=ORIENTED_EDGE('',*,*,#225518,.F.); #316919=ORIENTED_EDGE('',*,*,#225521,.F.); #316920=ORIENTED_EDGE('',*,*,#225522,.F.); #316921=ORIENTED_EDGE('',*,*,#225511,.F.); #316922=ORIENTED_EDGE('',*,*,#225421,.F.); #316923=ORIENTED_EDGE('',*,*,#225418,.F.); #316924=ORIENTED_EDGE('',*,*,#225520,.F.); #316925=ORIENTED_EDGE('',*,*,#225512,.F.); #316926=ORIENTED_EDGE('',*,*,#225522,.T.); #316927=ORIENTED_EDGE('',*,*,#225523,.F.); #316928=ORIENTED_EDGE('',*,*,#225524,.F.); #316929=ORIENTED_EDGE('',*,*,#225459,.F.); #316930=ORIENTED_EDGE('',*,*,#225456,.F.); #316931=ORIENTED_EDGE('',*,*,#225453,.F.); #316932=ORIENTED_EDGE('',*,*,#225450,.F.); #316933=ORIENTED_EDGE('',*,*,#225447,.F.); #316934=ORIENTED_EDGE('',*,*,#225444,.F.); #316935=ORIENTED_EDGE('',*,*,#225441,.F.); #316936=ORIENTED_EDGE('',*,*,#225438,.F.); #316937=ORIENTED_EDGE('',*,*,#225435,.F.); #316938=ORIENTED_EDGE('',*,*,#225432,.F.); #316939=ORIENTED_EDGE('',*,*,#225429,.F.); #316940=ORIENTED_EDGE('',*,*,#225426,.F.); #316941=ORIENTED_EDGE('',*,*,#225525,.F.); #316942=ORIENTED_EDGE('',*,*,#225526,.T.); #316943=ORIENTED_EDGE('',*,*,#225527,.F.); #316944=ORIENTED_EDGE('',*,*,#225528,.F.); #316945=ORIENTED_EDGE('',*,*,#225493,.F.); #316946=ORIENTED_EDGE('',*,*,#225495,.F.); #316947=ORIENTED_EDGE('',*,*,#225462,.F.); #316948=ORIENTED_EDGE('',*,*,#225466,.F.); #316949=ORIENTED_EDGE('',*,*,#225469,.F.); #316950=ORIENTED_EDGE('',*,*,#225472,.F.); #316951=ORIENTED_EDGE('',*,*,#225475,.F.); #316952=ORIENTED_EDGE('',*,*,#225478,.F.); #316953=ORIENTED_EDGE('',*,*,#225481,.F.); #316954=ORIENTED_EDGE('',*,*,#225484,.F.); #316955=ORIENTED_EDGE('',*,*,#225487,.F.); #316956=ORIENTED_EDGE('',*,*,#225490,.F.); #316957=ORIENTED_EDGE('',*,*,#225529,.F.); #316958=ORIENTED_EDGE('',*,*,#225526,.F.); #316959=ORIENTED_EDGE('',*,*,#225530,.F.); #316960=ORIENTED_EDGE('',*,*,#225531,.F.); #316961=ORIENTED_EDGE('',*,*,#225497,.F.); #316962=ORIENTED_EDGE('',*,*,#225501,.F.); #316963=ORIENTED_EDGE('',*,*,#225498,.F.); #316964=ORIENTED_EDGE('',*,*,#225531,.T.); #316965=ORIENTED_EDGE('',*,*,#225532,.T.); #316966=ORIENTED_EDGE('',*,*,#225533,.F.); #316967=ORIENTED_EDGE('',*,*,#225534,.F.); #316968=ORIENTED_EDGE('',*,*,#225535,.T.); #316969=ORIENTED_EDGE('',*,*,#225536,.T.); #316970=ORIENTED_EDGE('',*,*,#225537,.F.); #316971=ORIENTED_EDGE('',*,*,#225538,.T.); #316972=ORIENTED_EDGE('',*,*,#225539,.T.); #316973=ORIENTED_EDGE('',*,*,#225540,.T.); #316974=ORIENTED_EDGE('',*,*,#225502,.T.); #316975=ORIENTED_EDGE('',*,*,#225499,.T.); #316976=ORIENTED_EDGE('',*,*,#225533,.T.); #316977=ORIENTED_EDGE('',*,*,#225494,.T.); #316978=ORIENTED_EDGE('',*,*,#225491,.T.); #316979=ORIENTED_EDGE('',*,*,#225488,.T.); #316980=ORIENTED_EDGE('',*,*,#225485,.T.); #316981=ORIENTED_EDGE('',*,*,#225482,.T.); #316982=ORIENTED_EDGE('',*,*,#225479,.T.); #316983=ORIENTED_EDGE('',*,*,#225476,.T.); #316984=ORIENTED_EDGE('',*,*,#225473,.T.); #316985=ORIENTED_EDGE('',*,*,#225470,.T.); #316986=ORIENTED_EDGE('',*,*,#225467,.T.); #316987=ORIENTED_EDGE('',*,*,#225464,.T.); #316988=ORIENTED_EDGE('',*,*,#225460,.T.); #316989=ORIENTED_EDGE('',*,*,#225527,.T.); #316990=ORIENTED_EDGE('',*,*,#225529,.T.); #316991=ORIENTED_EDGE('',*,*,#225500,.T.); #316992=ORIENTED_EDGE('',*,*,#225540,.F.); #316993=ORIENTED_EDGE('',*,*,#225541,.F.); #316994=ORIENTED_EDGE('',*,*,#225536,.F.); #316995=ORIENTED_EDGE('',*,*,#225542,.T.); #316996=ORIENTED_EDGE('',*,*,#225543,.F.); #316997=ORIENTED_EDGE('',*,*,#225544,.T.); #316998=ORIENTED_EDGE('',*,*,#225534,.T.); #316999=ORIENTED_EDGE('',*,*,#225545,.F.); #317000=ORIENTED_EDGE('',*,*,#225546,.F.); #317001=ORIENTED_EDGE('',*,*,#225542,.F.); #317002=ORIENTED_EDGE('',*,*,#225535,.F.); #317003=ORIENTED_EDGE('',*,*,#225544,.F.); #317004=ORIENTED_EDGE('',*,*,#225547,.F.); #317005=ORIENTED_EDGE('',*,*,#225548,.F.); #317006=ORIENTED_EDGE('',*,*,#225528,.T.); #317007=ORIENTED_EDGE('',*,*,#225549,.T.); #317008=ORIENTED_EDGE('',*,*,#225550,.T.); #317009=ORIENTED_EDGE('',*,*,#225551,.F.); #317010=ORIENTED_EDGE('',*,*,#225552,.T.); #317011=ORIENTED_EDGE('',*,*,#225553,.T.); #317012=ORIENTED_EDGE('',*,*,#225554,.T.); #317013=ORIENTED_EDGE('',*,*,#225555,.F.); #317014=ORIENTED_EDGE('',*,*,#225556,.T.); #317015=ORIENTED_EDGE('',*,*,#225557,.F.); #317016=ORIENTED_EDGE('',*,*,#225558,.F.); #317017=ORIENTED_EDGE('',*,*,#225504,.F.); #317018=ORIENTED_EDGE('',*,*,#225509,.F.); #317019=ORIENTED_EDGE('',*,*,#225513,.F.); #317020=ORIENTED_EDGE('',*,*,#225524,.T.); #317021=ORIENTED_EDGE('',*,*,#225559,.F.); #317022=ORIENTED_EDGE('',*,*,#225560,.F.); #317023=ORIENTED_EDGE('',*,*,#225561,.T.); #317024=ORIENTED_EDGE('',*,*,#225562,.T.); #317025=ORIENTED_EDGE('',*,*,#225563,.F.); #317026=ORIENTED_EDGE('',*,*,#225564,.F.); #317027=ORIENTED_EDGE('',*,*,#225565,.T.); #317028=ORIENTED_EDGE('',*,*,#225560,.T.); #317029=ORIENTED_EDGE('',*,*,#225566,.F.); #317030=ORIENTED_EDGE('',*,*,#225567,.F.); #317031=ORIENTED_EDGE('',*,*,#225568,.T.); #317032=ORIENTED_EDGE('',*,*,#225564,.T.); #317033=ORIENTED_EDGE('',*,*,#225505,.F.); #317034=ORIENTED_EDGE('',*,*,#225569,.T.); #317035=ORIENTED_EDGE('',*,*,#225567,.T.); #317036=ORIENTED_EDGE('',*,*,#225570,.F.); #317037=ORIENTED_EDGE('',*,*,#225506,.F.); #317038=ORIENTED_EDGE('',*,*,#225571,.T.); #317039=ORIENTED_EDGE('',*,*,#225516,.F.); #317040=ORIENTED_EDGE('',*,*,#225514,.T.); #317041=ORIENTED_EDGE('',*,*,#225510,.T.); #317042=ORIENTED_EDGE('',*,*,#225507,.T.); #317043=ORIENTED_EDGE('',*,*,#225572,.T.); #317044=ORIENTED_EDGE('',*,*,#225523,.T.); #317045=ORIENTED_EDGE('',*,*,#225521,.T.); #317046=ORIENTED_EDGE('',*,*,#225519,.T.); #317047=ORIENTED_EDGE('',*,*,#225517,.F.); #317048=ORIENTED_EDGE('',*,*,#225571,.F.); #317049=ORIENTED_EDGE('',*,*,#225573,.F.); #317050=ORIENTED_EDGE('',*,*,#225574,.F.); #317051=ORIENTED_EDGE('',*,*,#225575,.T.); #317052=ORIENTED_EDGE('',*,*,#225557,.T.); #317053=ORIENTED_EDGE('',*,*,#225576,.F.); #317054=ORIENTED_EDGE('',*,*,#225577,.F.); #317055=ORIENTED_EDGE('',*,*,#225578,.T.); #317056=ORIENTED_EDGE('',*,*,#225574,.T.); #317057=ORIENTED_EDGE('',*,*,#225579,.F.); #317058=ORIENTED_EDGE('',*,*,#225580,.F.); #317059=ORIENTED_EDGE('',*,*,#225581,.T.); #317060=ORIENTED_EDGE('',*,*,#225577,.T.); #317061=ORIENTED_EDGE('',*,*,#225582,.F.); #317062=ORIENTED_EDGE('',*,*,#225583,.F.); #317063=ORIENTED_EDGE('',*,*,#225584,.T.); #317064=ORIENTED_EDGE('',*,*,#225580,.T.); #317065=ORIENTED_EDGE('',*,*,#225585,.F.); #317066=ORIENTED_EDGE('',*,*,#225586,.F.); #317067=ORIENTED_EDGE('',*,*,#225587,.T.); #317068=ORIENTED_EDGE('',*,*,#225583,.T.); #317069=ORIENTED_EDGE('',*,*,#225588,.F.); #317070=ORIENTED_EDGE('',*,*,#225589,.F.); #317071=ORIENTED_EDGE('',*,*,#225590,.T.); #317072=ORIENTED_EDGE('',*,*,#225586,.T.); #317073=ORIENTED_EDGE('',*,*,#225591,.F.); #317074=ORIENTED_EDGE('',*,*,#225592,.T.); #317075=ORIENTED_EDGE('',*,*,#225553,.F.); #317076=ORIENTED_EDGE('',*,*,#225593,.T.); #317077=ORIENTED_EDGE('',*,*,#225589,.T.); #317078=ORIENTED_EDGE('',*,*,#225594,.F.); #317079=ORIENTED_EDGE('',*,*,#225554,.F.); #317080=ORIENTED_EDGE('',*,*,#225592,.F.); #317081=ORIENTED_EDGE('',*,*,#225595,.F.); #317082=ORIENTED_EDGE('',*,*,#225555,.T.); #317083=ORIENTED_EDGE('',*,*,#225594,.T.); #317084=ORIENTED_EDGE('',*,*,#225596,.F.); #317085=ORIENTED_EDGE('',*,*,#225597,.F.); #317086=ORIENTED_EDGE('',*,*,#225598,.T.); #317087=ORIENTED_EDGE('',*,*,#225599,.F.); #317088=ORIENTED_EDGE('',*,*,#225600,.F.); #317089=ORIENTED_EDGE('',*,*,#225601,.T.); #317090=ORIENTED_EDGE('',*,*,#225597,.T.); #317091=ORIENTED_EDGE('',*,*,#225602,.F.); #317092=ORIENTED_EDGE('',*,*,#225603,.F.); #317093=ORIENTED_EDGE('',*,*,#225604,.T.); #317094=ORIENTED_EDGE('',*,*,#225600,.T.); #317095=ORIENTED_EDGE('',*,*,#225605,.F.); #317096=ORIENTED_EDGE('',*,*,#225606,.F.); #317097=ORIENTED_EDGE('',*,*,#225607,.T.); #317098=ORIENTED_EDGE('',*,*,#225603,.T.); #317099=ORIENTED_EDGE('',*,*,#225608,.F.); #317100=ORIENTED_EDGE('',*,*,#225609,.T.); #317101=ORIENTED_EDGE('',*,*,#225549,.F.); #317102=ORIENTED_EDGE('',*,*,#225610,.T.); #317103=ORIENTED_EDGE('',*,*,#225606,.T.); #317104=ORIENTED_EDGE('',*,*,#225611,.F.); #317105=ORIENTED_EDGE('',*,*,#225550,.F.); #317106=ORIENTED_EDGE('',*,*,#225609,.F.); #317107=ORIENTED_EDGE('',*,*,#225612,.F.); #317108=ORIENTED_EDGE('',*,*,#225551,.T.); #317109=ORIENTED_EDGE('',*,*,#225611,.T.); #317110=ORIENTED_EDGE('',*,*,#225613,.F.); #317111=ORIENTED_EDGE('',*,*,#225614,.F.); #317112=ORIENTED_EDGE('',*,*,#225615,.T.); #317113=ORIENTED_EDGE('',*,*,#225616,.F.); #317114=ORIENTED_EDGE('',*,*,#225617,.F.); #317115=ORIENTED_EDGE('',*,*,#225618,.T.); #317116=ORIENTED_EDGE('',*,*,#225614,.T.); #317117=ORIENTED_EDGE('',*,*,#225619,.F.); #317118=ORIENTED_EDGE('',*,*,#225620,.F.); #317119=ORIENTED_EDGE('',*,*,#225621,.T.); #317120=ORIENTED_EDGE('',*,*,#225617,.T.); #317121=ORIENTED_EDGE('',*,*,#225622,.F.); #317122=ORIENTED_EDGE('',*,*,#225623,.F.); #317123=ORIENTED_EDGE('',*,*,#225624,.T.); #317124=ORIENTED_EDGE('',*,*,#225620,.T.); #317125=ORIENTED_EDGE('',*,*,#225625,.F.); #317126=ORIENTED_EDGE('',*,*,#225626,.F.); #317127=ORIENTED_EDGE('',*,*,#225627,.T.); #317128=ORIENTED_EDGE('',*,*,#225623,.T.); #317129=ORIENTED_EDGE('',*,*,#225628,.F.); #317130=ORIENTED_EDGE('',*,*,#225629,.F.); #317131=ORIENTED_EDGE('',*,*,#225630,.T.); #317132=ORIENTED_EDGE('',*,*,#225626,.T.); #317133=ORIENTED_EDGE('',*,*,#225532,.F.); #317134=ORIENTED_EDGE('',*,*,#225530,.T.); #317135=ORIENTED_EDGE('',*,*,#225525,.T.); #317136=ORIENTED_EDGE('',*,*,#225548,.T.); #317137=ORIENTED_EDGE('',*,*,#225631,.T.); #317138=ORIENTED_EDGE('',*,*,#225629,.T.); #317139=ORIENTED_EDGE('',*,*,#225632,.F.); #317140=ORIENTED_EDGE('',*,*,#225633,.F.); #317141=ORIENTED_EDGE('',*,*,#225538,.F.); #317142=ORIENTED_EDGE('',*,*,#225541,.T.); #317143=ORIENTED_EDGE('',*,*,#225539,.F.); #317144=ORIENTED_EDGE('',*,*,#225633,.T.); #317145=ORIENTED_EDGE('',*,*,#225634,.T.); #317146=ORIENTED_EDGE('',*,*,#225545,.T.); #317147=ORIENTED_EDGE('',*,*,#225537,.T.); #317148=ORIENTED_EDGE('',*,*,#225635,.T.); #317149=ORIENTED_EDGE('',*,*,#225543,.T.); #317150=ORIENTED_EDGE('',*,*,#225546,.T.); #317151=ORIENTED_EDGE('',*,*,#225636,.F.); #317152=ORIENTED_EDGE('',*,*,#225637,.F.); #317153=ORIENTED_EDGE('',*,*,#225638,.F.); #317154=ORIENTED_EDGE('',*,*,#225639,.F.); #317155=ORIENTED_EDGE('',*,*,#225640,.T.); #317156=ORIENTED_EDGE('',*,*,#225637,.T.); #317157=ORIENTED_EDGE('',*,*,#225641,.F.); #317158=ORIENTED_EDGE('',*,*,#225642,.F.); #317159=ORIENTED_EDGE('',*,*,#225643,.T.); #317160=ORIENTED_EDGE('',*,*,#225639,.T.); #317161=ORIENTED_EDGE('',*,*,#225644,.F.); #317162=ORIENTED_EDGE('',*,*,#225645,.F.); #317163=ORIENTED_EDGE('',*,*,#225646,.T.); #317164=ORIENTED_EDGE('',*,*,#225642,.T.); #317165=ORIENTED_EDGE('',*,*,#225647,.F.); #317166=ORIENTED_EDGE('',*,*,#225648,.F.); #317167=ORIENTED_EDGE('',*,*,#225649,.T.); #317168=ORIENTED_EDGE('',*,*,#225650,.T.); #317169=ORIENTED_EDGE('',*,*,#225651,.F.); #317170=ORIENTED_EDGE('',*,*,#225652,.F.); #317171=ORIENTED_EDGE('',*,*,#225653,.T.); #317172=ORIENTED_EDGE('',*,*,#225648,.T.); #317173=ORIENTED_EDGE('',*,*,#225654,.F.); #317174=ORIENTED_EDGE('',*,*,#225650,.F.); #317175=ORIENTED_EDGE('',*,*,#225655,.T.); #317176=ORIENTED_EDGE('',*,*,#225656,.T.); #317177=ORIENTED_EDGE('',*,*,#225657,.T.); #317178=ORIENTED_EDGE('',*,*,#225658,.T.); #317179=ORIENTED_EDGE('',*,*,#225659,.T.); #317180=ORIENTED_EDGE('',*,*,#225660,.F.); #317181=ORIENTED_EDGE('',*,*,#225661,.F.); #317182=ORIENTED_EDGE('',*,*,#225656,.F.); #317183=ORIENTED_EDGE('',*,*,#225662,.T.); #317184=ORIENTED_EDGE('',*,*,#225663,.T.); #317185=ORIENTED_EDGE('',*,*,#225664,.T.); #317186=ORIENTED_EDGE('',*,*,#225651,.T.); #317187=ORIENTED_EDGE('',*,*,#225647,.T.); #317188=ORIENTED_EDGE('',*,*,#225654,.T.); #317189=ORIENTED_EDGE('',*,*,#225661,.T.); #317190=ORIENTED_EDGE('',*,*,#225665,.T.); #317191=ORIENTED_EDGE('',*,*,#225666,.T.); #317192=ORIENTED_EDGE('',*,*,#225644,.T.); #317193=ORIENTED_EDGE('',*,*,#225641,.T.); #317194=ORIENTED_EDGE('',*,*,#225638,.T.); #317195=ORIENTED_EDGE('',*,*,#225636,.T.); #317196=ORIENTED_EDGE('',*,*,#225634,.F.); #317197=ORIENTED_EDGE('',*,*,#225632,.T.); #317198=ORIENTED_EDGE('',*,*,#225628,.T.); #317199=ORIENTED_EDGE('',*,*,#225625,.T.); #317200=ORIENTED_EDGE('',*,*,#225622,.T.); #317201=ORIENTED_EDGE('',*,*,#225619,.T.); #317202=ORIENTED_EDGE('',*,*,#225616,.T.); #317203=ORIENTED_EDGE('',*,*,#225613,.T.); #317204=ORIENTED_EDGE('',*,*,#225612,.T.); #317205=ORIENTED_EDGE('',*,*,#225608,.T.); #317206=ORIENTED_EDGE('',*,*,#225605,.T.); #317207=ORIENTED_EDGE('',*,*,#225602,.T.); #317208=ORIENTED_EDGE('',*,*,#225599,.T.); #317209=ORIENTED_EDGE('',*,*,#225596,.T.); #317210=ORIENTED_EDGE('',*,*,#225595,.T.); #317211=ORIENTED_EDGE('',*,*,#225591,.T.); #317212=ORIENTED_EDGE('',*,*,#225588,.T.); #317213=ORIENTED_EDGE('',*,*,#225585,.T.); #317214=ORIENTED_EDGE('',*,*,#225582,.T.); #317215=ORIENTED_EDGE('',*,*,#225579,.T.); #317216=ORIENTED_EDGE('',*,*,#225576,.T.); #317217=ORIENTED_EDGE('',*,*,#225573,.T.); #317218=ORIENTED_EDGE('',*,*,#225572,.F.); #317219=ORIENTED_EDGE('',*,*,#225570,.T.); #317220=ORIENTED_EDGE('',*,*,#225566,.T.); #317221=ORIENTED_EDGE('',*,*,#225563,.T.); #317222=ORIENTED_EDGE('',*,*,#225559,.T.); #317223=ORIENTED_EDGE('',*,*,#225667,.F.); #317224=ORIENTED_EDGE('',*,*,#225668,.T.); #317225=ORIENTED_EDGE('',*,*,#225645,.T.); #317226=ORIENTED_EDGE('',*,*,#225666,.F.); #317227=ORIENTED_EDGE('',*,*,#225669,.F.); #317228=ORIENTED_EDGE('',*,*,#225670,.F.); #317229=ORIENTED_EDGE('',*,*,#225671,.F.); #317230=ORIENTED_EDGE('',*,*,#225561,.F.); #317231=ORIENTED_EDGE('',*,*,#225565,.F.); #317232=ORIENTED_EDGE('',*,*,#225568,.F.); #317233=ORIENTED_EDGE('',*,*,#225569,.F.); #317234=ORIENTED_EDGE('',*,*,#225558,.T.); #317235=ORIENTED_EDGE('',*,*,#225575,.F.); #317236=ORIENTED_EDGE('',*,*,#225578,.F.); #317237=ORIENTED_EDGE('',*,*,#225581,.F.); #317238=ORIENTED_EDGE('',*,*,#225584,.F.); #317239=ORIENTED_EDGE('',*,*,#225587,.F.); #317240=ORIENTED_EDGE('',*,*,#225590,.F.); #317241=ORIENTED_EDGE('',*,*,#225593,.F.); #317242=ORIENTED_EDGE('',*,*,#225556,.F.); #317243=ORIENTED_EDGE('',*,*,#225598,.F.); #317244=ORIENTED_EDGE('',*,*,#225601,.F.); #317245=ORIENTED_EDGE('',*,*,#225604,.F.); #317246=ORIENTED_EDGE('',*,*,#225607,.F.); #317247=ORIENTED_EDGE('',*,*,#225610,.F.); #317248=ORIENTED_EDGE('',*,*,#225552,.F.); #317249=ORIENTED_EDGE('',*,*,#225615,.F.); #317250=ORIENTED_EDGE('',*,*,#225618,.F.); #317251=ORIENTED_EDGE('',*,*,#225621,.F.); #317252=ORIENTED_EDGE('',*,*,#225624,.F.); #317253=ORIENTED_EDGE('',*,*,#225627,.F.); #317254=ORIENTED_EDGE('',*,*,#225630,.F.); #317255=ORIENTED_EDGE('',*,*,#225631,.F.); #317256=ORIENTED_EDGE('',*,*,#225547,.T.); #317257=ORIENTED_EDGE('',*,*,#225635,.F.); #317258=ORIENTED_EDGE('',*,*,#225640,.F.); #317259=ORIENTED_EDGE('',*,*,#225643,.F.); #317260=ORIENTED_EDGE('',*,*,#225646,.F.); #317261=ORIENTED_EDGE('',*,*,#225668,.F.); #317262=ORIENTED_EDGE('',*,*,#225672,.F.); #317263=ORIENTED_EDGE('',*,*,#225657,.F.); #317264=ORIENTED_EDGE('',*,*,#225655,.F.); #317265=ORIENTED_EDGE('',*,*,#225649,.F.); #317266=ORIENTED_EDGE('',*,*,#225653,.F.); #317267=ORIENTED_EDGE('',*,*,#225673,.F.); #317268=ORIENTED_EDGE('',*,*,#225671,.T.); #317269=ORIENTED_EDGE('',*,*,#225674,.T.); #317270=ORIENTED_EDGE('',*,*,#225675,.T.); #317271=ORIENTED_EDGE('',*,*,#225662,.F.); #317272=ORIENTED_EDGE('',*,*,#225562,.F.); #317273=ORIENTED_EDGE('',*,*,#225664,.F.); #317274=ORIENTED_EDGE('',*,*,#225676,.F.); #317275=ORIENTED_EDGE('',*,*,#225677,.F.); #317276=ORIENTED_EDGE('',*,*,#225678,.T.); #317277=ORIENTED_EDGE('',*,*,#225673,.T.); #317278=ORIENTED_EDGE('',*,*,#225652,.T.); #317279=ORIENTED_EDGE('',*,*,#225674,.F.); #317280=ORIENTED_EDGE('',*,*,#225679,.T.); #317281=ORIENTED_EDGE('',*,*,#225680,.T.); #317282=ORIENTED_EDGE('',*,*,#225681,.F.); #317283=ORIENTED_EDGE('',*,*,#225675,.F.); #317284=ORIENTED_EDGE('',*,*,#225680,.F.); #317285=ORIENTED_EDGE('',*,*,#225682,.T.); #317286=ORIENTED_EDGE('',*,*,#225683,.T.); #317287=ORIENTED_EDGE('',*,*,#225684,.F.); #317288=ORIENTED_EDGE('',*,*,#225683,.F.); #317289=ORIENTED_EDGE('',*,*,#225685,.T.); #317290=ORIENTED_EDGE('',*,*,#225040,.T.); #317291=ORIENTED_EDGE('',*,*,#225041,.T.); #317292=ORIENTED_EDGE('',*,*,#225686,.F.); #317293=ORIENTED_EDGE('',*,*,#224623,.F.); #317294=ORIENTED_EDGE('',*,*,#225687,.T.); #317295=ORIENTED_EDGE('',*,*,#225677,.T.); #317296=ORIENTED_EDGE('',*,*,#225688,.F.); #317297=ORIENTED_EDGE('',*,*,#224624,.F.); #317298=ORIENTED_EDGE('',*,*,#225676,.T.); #317299=ORIENTED_EDGE('',*,*,#225663,.F.); #317300=ORIENTED_EDGE('',*,*,#225681,.T.); #317301=ORIENTED_EDGE('',*,*,#225684,.T.); #317302=ORIENTED_EDGE('',*,*,#225686,.T.); #317303=ORIENTED_EDGE('',*,*,#225016,.T.); #317304=ORIENTED_EDGE('',*,*,#225688,.T.); #317305=ORIENTED_EDGE('',*,*,#225678,.F.); #317306=ORIENTED_EDGE('',*,*,#225687,.F.); #317307=ORIENTED_EDGE('',*,*,#224628,.F.); #317308=ORIENTED_EDGE('',*,*,#225685,.F.); #317309=ORIENTED_EDGE('',*,*,#225682,.F.); #317310=ORIENTED_EDGE('',*,*,#225679,.F.); #317311=ORIENTED_EDGE('',*,*,#225670,.T.); #317312=ORIENTED_EDGE('',*,*,#225659,.F.); #317313=ORIENTED_EDGE('',*,*,#225689,.T.); #317314=ORIENTED_EDGE('',*,*,#225038,.T.); #317315=ORIENTED_EDGE('',*,*,#225039,.T.); #317316=ORIENTED_EDGE('',*,*,#225690,.F.); #317317=ORIENTED_EDGE('',*,*,#224618,.F.); #317318=ORIENTED_EDGE('',*,*,#225691,.T.); #317319=ORIENTED_EDGE('',*,*,#225692,.T.); #317320=ORIENTED_EDGE('',*,*,#225693,.F.); #317321=ORIENTED_EDGE('',*,*,#224619,.F.); #317322=ORIENTED_EDGE('',*,*,#225692,.F.); #317323=ORIENTED_EDGE('',*,*,#225694,.T.); #317324=ORIENTED_EDGE('',*,*,#225695,.T.); #317325=ORIENTED_EDGE('',*,*,#225696,.F.); #317326=ORIENTED_EDGE('',*,*,#225695,.F.); #317327=ORIENTED_EDGE('',*,*,#225697,.T.); #317328=ORIENTED_EDGE('',*,*,#225667,.T.); #317329=ORIENTED_EDGE('',*,*,#225669,.T.); #317330=ORIENTED_EDGE('',*,*,#225698,.F.); #317331=ORIENTED_EDGE('',*,*,#225698,.T.); #317332=ORIENTED_EDGE('',*,*,#225665,.F.); #317333=ORIENTED_EDGE('',*,*,#225660,.T.); #317334=ORIENTED_EDGE('',*,*,#225690,.T.); #317335=ORIENTED_EDGE('',*,*,#225019,.T.); #317336=ORIENTED_EDGE('',*,*,#225693,.T.); #317337=ORIENTED_EDGE('',*,*,#225696,.T.); #317338=ORIENTED_EDGE('',*,*,#225697,.F.); #317339=ORIENTED_EDGE('',*,*,#225694,.F.); #317340=ORIENTED_EDGE('',*,*,#225691,.F.); #317341=ORIENTED_EDGE('',*,*,#224631,.F.); #317342=ORIENTED_EDGE('',*,*,#225689,.F.); #317343=ORIENTED_EDGE('',*,*,#225658,.F.); #317344=ORIENTED_EDGE('',*,*,#225672,.T.); #317345=ORIENTED_EDGE('',*,*,#225699,.T.); #317346=ORIENTED_EDGE('',*,*,#224591,.F.); #317347=ORIENTED_EDGE('',*,*,#224614,.F.); #317348=ORIENTED_EDGE('',*,*,#224532,.F.); #317349=ORIENTED_EDGE('',*,*,#225700,.T.); #317350=ORIENTED_EDGE('',*,*,#224592,.F.); #317351=ORIENTED_EDGE('',*,*,#225699,.F.); #317352=ORIENTED_EDGE('',*,*,#224531,.F.); #317353=ORIENTED_EDGE('',*,*,#225701,.T.); #317354=ORIENTED_EDGE('',*,*,#224593,.F.); #317355=ORIENTED_EDGE('',*,*,#225700,.F.); #317356=ORIENTED_EDGE('',*,*,#224530,.F.); #317357=ORIENTED_EDGE('',*,*,#225702,.T.); #317358=ORIENTED_EDGE('',*,*,#224594,.F.); #317359=ORIENTED_EDGE('',*,*,#225701,.F.); #317360=ORIENTED_EDGE('',*,*,#224529,.F.); #317361=ORIENTED_EDGE('',*,*,#225703,.T.); #317362=ORIENTED_EDGE('',*,*,#224595,.F.); #317363=ORIENTED_EDGE('',*,*,#225702,.F.); #317364=ORIENTED_EDGE('',*,*,#224528,.F.); #317365=ORIENTED_EDGE('',*,*,#225704,.T.); #317366=ORIENTED_EDGE('',*,*,#224596,.F.); #317367=ORIENTED_EDGE('',*,*,#225703,.F.); #317368=ORIENTED_EDGE('',*,*,#224527,.F.); #317369=ORIENTED_EDGE('',*,*,#224944,.T.); #317370=ORIENTED_EDGE('',*,*,#224597,.F.); #317371=ORIENTED_EDGE('',*,*,#225704,.F.); #317372=ORIENTED_EDGE('',*,*,#224526,.F.); #317373=ORIENTED_EDGE('',*,*,#224518,.T.); #317374=ORIENTED_EDGE('',*,*,#224612,.T.); #317375=ORIENTED_EDGE('',*,*,#224566,.T.); #317376=ORIENTED_EDGE('',*,*,#225705,.F.); #317377=ORIENTED_EDGE('',*,*,#224519,.T.); #317378=ORIENTED_EDGE('',*,*,#225705,.T.); #317379=ORIENTED_EDGE('',*,*,#224565,.T.); #317380=ORIENTED_EDGE('',*,*,#225706,.F.); #317381=ORIENTED_EDGE('',*,*,#224520,.T.); #317382=ORIENTED_EDGE('',*,*,#225706,.T.); #317383=ORIENTED_EDGE('',*,*,#224603,.T.); #317384=ORIENTED_EDGE('',*,*,#225707,.F.); #317385=ORIENTED_EDGE('',*,*,#224521,.T.); #317386=ORIENTED_EDGE('',*,*,#225707,.T.); #317387=ORIENTED_EDGE('',*,*,#224602,.T.); #317388=ORIENTED_EDGE('',*,*,#225708,.F.); #317389=ORIENTED_EDGE('',*,*,#224522,.T.); #317390=ORIENTED_EDGE('',*,*,#225708,.T.); #317391=ORIENTED_EDGE('',*,*,#224601,.T.); #317392=ORIENTED_EDGE('',*,*,#225709,.F.); #317393=ORIENTED_EDGE('',*,*,#224523,.T.); #317394=ORIENTED_EDGE('',*,*,#225709,.T.); #317395=ORIENTED_EDGE('',*,*,#224600,.T.); #317396=ORIENTED_EDGE('',*,*,#225710,.F.); #317397=ORIENTED_EDGE('',*,*,#224524,.T.); #317398=ORIENTED_EDGE('',*,*,#225710,.T.); #317399=ORIENTED_EDGE('',*,*,#224599,.T.); #317400=ORIENTED_EDGE('',*,*,#224943,.F.); #317401=ORIENTED_EDGE('',*,*,#224893,.F.); #317402=ORIENTED_EDGE('',*,*,#224516,.F.); #317403=ORIENTED_EDGE('',*,*,#224734,.T.); #317404=ORIENTED_EDGE('',*,*,#225711,.T.); #317405=ORIENTED_EDGE('',*,*,#224894,.F.); #317406=ORIENTED_EDGE('',*,*,#225711,.F.); #317407=ORIENTED_EDGE('',*,*,#224733,.T.); #317408=ORIENTED_EDGE('',*,*,#225712,.T.); #317409=ORIENTED_EDGE('',*,*,#224895,.F.); #317410=ORIENTED_EDGE('',*,*,#225712,.F.); #317411=ORIENTED_EDGE('',*,*,#224732,.T.); #317412=ORIENTED_EDGE('',*,*,#225713,.T.); #317413=ORIENTED_EDGE('',*,*,#224896,.F.); #317414=ORIENTED_EDGE('',*,*,#225713,.F.); #317415=ORIENTED_EDGE('',*,*,#224731,.T.); #317416=ORIENTED_EDGE('',*,*,#225714,.T.); #317417=ORIENTED_EDGE('',*,*,#224897,.F.); #317418=ORIENTED_EDGE('',*,*,#225714,.F.); #317419=ORIENTED_EDGE('',*,*,#224730,.T.); #317420=ORIENTED_EDGE('',*,*,#225715,.T.); #317421=ORIENTED_EDGE('',*,*,#224898,.F.); #317422=ORIENTED_EDGE('',*,*,#225715,.F.); #317423=ORIENTED_EDGE('',*,*,#224729,.T.); #317424=ORIENTED_EDGE('',*,*,#225716,.T.); #317425=ORIENTED_EDGE('',*,*,#224899,.F.); #317426=ORIENTED_EDGE('',*,*,#225716,.F.); #317427=ORIENTED_EDGE('',*,*,#224728,.T.); #317428=ORIENTED_EDGE('',*,*,#224945,.T.); #317429=ORIENTED_EDGE('',*,*,#224892,.T.); #317430=ORIENTED_EDGE('',*,*,#225717,.F.); #317431=ORIENTED_EDGE('',*,*,#224735,.F.); #317432=ORIENTED_EDGE('',*,*,#224514,.T.); #317433=ORIENTED_EDGE('',*,*,#224891,.T.); #317434=ORIENTED_EDGE('',*,*,#225718,.F.); #317435=ORIENTED_EDGE('',*,*,#224736,.F.); #317436=ORIENTED_EDGE('',*,*,#225717,.T.); #317437=ORIENTED_EDGE('',*,*,#224890,.T.); #317438=ORIENTED_EDGE('',*,*,#225719,.F.); #317439=ORIENTED_EDGE('',*,*,#224737,.F.); #317440=ORIENTED_EDGE('',*,*,#225718,.T.); #317441=ORIENTED_EDGE('',*,*,#224889,.T.); #317442=ORIENTED_EDGE('',*,*,#225720,.F.); #317443=ORIENTED_EDGE('',*,*,#224738,.F.); #317444=ORIENTED_EDGE('',*,*,#225719,.T.); #317445=ORIENTED_EDGE('',*,*,#224888,.T.); #317446=ORIENTED_EDGE('',*,*,#225721,.F.); #317447=ORIENTED_EDGE('',*,*,#224739,.F.); #317448=ORIENTED_EDGE('',*,*,#225720,.T.); #317449=ORIENTED_EDGE('',*,*,#224887,.T.); #317450=ORIENTED_EDGE('',*,*,#225722,.F.); #317451=ORIENTED_EDGE('',*,*,#224740,.F.); #317452=ORIENTED_EDGE('',*,*,#225721,.T.); #317453=ORIENTED_EDGE('',*,*,#224886,.T.); #317454=ORIENTED_EDGE('',*,*,#224511,.F.); #317455=ORIENTED_EDGE('',*,*,#224741,.F.); #317456=ORIENTED_EDGE('',*,*,#225722,.T.); #317457=ORIENTED_EDGE('',*,*,#225723,.T.); #317458=ORIENTED_EDGE('',*,*,#225724,.F.); #317459=ORIENTED_EDGE('',*,*,#225725,.F.); #317460=ORIENTED_EDGE('',*,*,#225726,.T.); #317461=ORIENTED_EDGE('',*,*,#225727,.T.); #317462=ORIENTED_EDGE('',*,*,#225728,.T.); #317463=ORIENTED_EDGE('',*,*,#225729,.T.); #317464=ORIENTED_EDGE('',*,*,#225730,.T.); #317465=ORIENTED_EDGE('',*,*,#225731,.T.); #317466=ORIENTED_EDGE('',*,*,#225732,.T.); #317467=ORIENTED_EDGE('',*,*,#225733,.F.); #317468=ORIENTED_EDGE('',*,*,#225734,.F.); #317469=ORIENTED_EDGE('',*,*,#225735,.F.); #317470=ORIENTED_EDGE('',*,*,#225736,.F.); #317471=ORIENTED_EDGE('',*,*,#225737,.F.); #317472=ORIENTED_EDGE('',*,*,#225724,.T.); #317473=ORIENTED_EDGE('',*,*,#225738,.T.); #317474=ORIENTED_EDGE('',*,*,#225739,.F.); #317475=ORIENTED_EDGE('',*,*,#225740,.F.); #317476=ORIENTED_EDGE('',*,*,#225741,.T.); #317477=ORIENTED_EDGE('',*,*,#225742,.T.); #317478=ORIENTED_EDGE('',*,*,#225743,.T.); #317479=ORIENTED_EDGE('',*,*,#225744,.T.); #317480=ORIENTED_EDGE('',*,*,#225745,.F.); #317481=ORIENTED_EDGE('',*,*,#225746,.F.); #317482=ORIENTED_EDGE('',*,*,#225747,.F.); #317483=ORIENTED_EDGE('',*,*,#225748,.F.); #317484=ORIENTED_EDGE('',*,*,#225749,.F.); #317485=ORIENTED_EDGE('',*,*,#225750,.T.); #317486=ORIENTED_EDGE('',*,*,#225751,.F.); #317487=ORIENTED_EDGE('',*,*,#225752,.F.); #317488=ORIENTED_EDGE('',*,*,#225739,.T.); #317489=ORIENTED_EDGE('',*,*,#225753,.F.); #317490=ORIENTED_EDGE('',*,*,#225754,.T.); #317491=ORIENTED_EDGE('',*,*,#225755,.F.); #317492=ORIENTED_EDGE('',*,*,#225751,.T.); #317493=ORIENTED_EDGE('',*,*,#225756,.T.); #317494=ORIENTED_EDGE('',*,*,#225757,.T.); #317495=ORIENTED_EDGE('',*,*,#225758,.F.); #317496=ORIENTED_EDGE('',*,*,#225759,.T.); #317497=ORIENTED_EDGE('',*,*,#225753,.T.); #317498=ORIENTED_EDGE('',*,*,#225760,.T.); #317499=ORIENTED_EDGE('',*,*,#225761,.F.); #317500=ORIENTED_EDGE('',*,*,#225762,.T.); #317501=ORIENTED_EDGE('',*,*,#225758,.T.); #317502=ORIENTED_EDGE('',*,*,#225763,.T.); #317503=ORIENTED_EDGE('',*,*,#225764,.T.); #317504=ORIENTED_EDGE('',*,*,#225726,.F.); #317505=ORIENTED_EDGE('',*,*,#225755,.T.); #317506=ORIENTED_EDGE('',*,*,#225765,.T.); #317507=ORIENTED_EDGE('',*,*,#225761,.T.); #317508=ORIENTED_EDGE('',*,*,#225725,.T.); #317509=ORIENTED_EDGE('',*,*,#225737,.T.); #317510=ORIENTED_EDGE('',*,*,#225766,.T.); #317511=ORIENTED_EDGE('',*,*,#225740,.T.); #317512=ORIENTED_EDGE('',*,*,#225752,.T.); #317513=ORIENTED_EDGE('',*,*,#225764,.F.); #317514=ORIENTED_EDGE('',*,*,#225767,.T.); #317515=ORIENTED_EDGE('',*,*,#225756,.F.); #317516=ORIENTED_EDGE('',*,*,#225750,.F.); #317517=ORIENTED_EDGE('',*,*,#225738,.F.); #317518=ORIENTED_EDGE('',*,*,#225768,.F.); #317519=ORIENTED_EDGE('',*,*,#225727,.F.); #317520=ORIENTED_EDGE('',*,*,#225723,.F.); #317521=ORIENTED_EDGE('',*,*,#225732,.F.); #317522=ORIENTED_EDGE('',*,*,#225769,.F.); #317523=ORIENTED_EDGE('',*,*,#225745,.T.); #317524=ORIENTED_EDGE('',*,*,#225770,.T.); #317525=ORIENTED_EDGE('',*,*,#225736,.T.); #317526=ORIENTED_EDGE('',*,*,#225771,.F.); #317527=ORIENTED_EDGE('',*,*,#225741,.F.); #317528=ORIENTED_EDGE('',*,*,#225766,.F.); #317529=ORIENTED_EDGE('',*,*,#225735,.T.); #317530=ORIENTED_EDGE('',*,*,#225772,.F.); #317531=ORIENTED_EDGE('',*,*,#225742,.F.); #317532=ORIENTED_EDGE('',*,*,#225771,.T.); #317533=ORIENTED_EDGE('',*,*,#225734,.T.); #317534=ORIENTED_EDGE('',*,*,#225773,.F.); #317535=ORIENTED_EDGE('',*,*,#225743,.F.); #317536=ORIENTED_EDGE('',*,*,#225772,.T.); #317537=ORIENTED_EDGE('',*,*,#225733,.T.); #317538=ORIENTED_EDGE('',*,*,#225770,.F.); #317539=ORIENTED_EDGE('',*,*,#225744,.F.); #317540=ORIENTED_EDGE('',*,*,#225773,.T.); #317541=ORIENTED_EDGE('',*,*,#225728,.F.); #317542=ORIENTED_EDGE('',*,*,#225768,.T.); #317543=ORIENTED_EDGE('',*,*,#225749,.T.); #317544=ORIENTED_EDGE('',*,*,#225774,.T.); #317545=ORIENTED_EDGE('',*,*,#225729,.F.); #317546=ORIENTED_EDGE('',*,*,#225774,.F.); #317547=ORIENTED_EDGE('',*,*,#225748,.T.); #317548=ORIENTED_EDGE('',*,*,#225775,.T.); #317549=ORIENTED_EDGE('',*,*,#225730,.F.); #317550=ORIENTED_EDGE('',*,*,#225775,.F.); #317551=ORIENTED_EDGE('',*,*,#225747,.T.); #317552=ORIENTED_EDGE('',*,*,#225776,.T.); #317553=ORIENTED_EDGE('',*,*,#225731,.F.); #317554=ORIENTED_EDGE('',*,*,#225776,.F.); #317555=ORIENTED_EDGE('',*,*,#225746,.T.); #317556=ORIENTED_EDGE('',*,*,#225769,.T.); #317557=ORIENTED_EDGE('',*,*,#225754,.F.); #317558=ORIENTED_EDGE('',*,*,#225759,.F.); #317559=ORIENTED_EDGE('',*,*,#225762,.F.); #317560=ORIENTED_EDGE('',*,*,#225765,.F.); #317561=ORIENTED_EDGE('',*,*,#225757,.F.); #317562=ORIENTED_EDGE('',*,*,#225767,.F.); #317563=ORIENTED_EDGE('',*,*,#225763,.F.); #317564=ORIENTED_EDGE('',*,*,#225760,.F.); #317565=ORIENTED_EDGE('',*,*,#225777,.T.); #317566=ORIENTED_EDGE('',*,*,#225778,.F.); #317567=ORIENTED_EDGE('',*,*,#225779,.F.); #317568=ORIENTED_EDGE('',*,*,#225780,.T.); #317569=ORIENTED_EDGE('',*,*,#225781,.T.); #317570=ORIENTED_EDGE('',*,*,#225782,.F.); #317571=ORIENTED_EDGE('',*,*,#225783,.F.); #317572=ORIENTED_EDGE('',*,*,#225784,.T.); #317573=ORIENTED_EDGE('',*,*,#225785,.T.); #317574=ORIENTED_EDGE('',*,*,#225786,.F.); #317575=ORIENTED_EDGE('',*,*,#225787,.F.); #317576=ORIENTED_EDGE('',*,*,#225788,.T.); #317577=ORIENTED_EDGE('',*,*,#225789,.F.); #317578=ORIENTED_EDGE('',*,*,#225790,.T.); #317579=ORIENTED_EDGE('',*,*,#225791,.T.); #317580=ORIENTED_EDGE('',*,*,#225792,.F.); #317581=ORIENTED_EDGE('',*,*,#225793,.T.); #317582=ORIENTED_EDGE('',*,*,#225794,.F.); #317583=ORIENTED_EDGE('',*,*,#225795,.T.); #317584=ORIENTED_EDGE('',*,*,#225796,.T.); #317585=ORIENTED_EDGE('',*,*,#225797,.T.); #317586=ORIENTED_EDGE('',*,*,#225798,.T.); #317587=ORIENTED_EDGE('',*,*,#225799,.T.); #317588=ORIENTED_EDGE('',*,*,#225800,.T.); #317589=ORIENTED_EDGE('',*,*,#225801,.T.); #317590=ORIENTED_EDGE('',*,*,#225802,.T.); #317591=ORIENTED_EDGE('',*,*,#225803,.F.); #317592=ORIENTED_EDGE('',*,*,#225804,.F.); #317593=ORIENTED_EDGE('',*,*,#225805,.T.); #317594=ORIENTED_EDGE('',*,*,#225806,.T.); #317595=ORIENTED_EDGE('',*,*,#225807,.T.); #317596=ORIENTED_EDGE('',*,*,#225808,.T.); #317597=ORIENTED_EDGE('',*,*,#225809,.T.); #317598=ORIENTED_EDGE('',*,*,#225810,.T.); #317599=ORIENTED_EDGE('',*,*,#225811,.F.); #317600=ORIENTED_EDGE('',*,*,#225812,.F.); #317601=ORIENTED_EDGE('',*,*,#225813,.T.); #317602=ORIENTED_EDGE('',*,*,#225814,.T.); #317603=ORIENTED_EDGE('',*,*,#225815,.T.); #317604=ORIENTED_EDGE('',*,*,#225816,.T.); #317605=ORIENTED_EDGE('',*,*,#225817,.F.); #317606=ORIENTED_EDGE('',*,*,#225818,.F.); #317607=ORIENTED_EDGE('',*,*,#225819,.F.); #317608=ORIENTED_EDGE('',*,*,#225820,.F.); #317609=ORIENTED_EDGE('',*,*,#225821,.F.); #317610=ORIENTED_EDGE('',*,*,#225822,.F.); #317611=ORIENTED_EDGE('',*,*,#225823,.F.); #317612=ORIENTED_EDGE('',*,*,#225824,.F.); #317613=ORIENTED_EDGE('',*,*,#225825,.T.); #317614=ORIENTED_EDGE('',*,*,#225799,.F.); #317615=ORIENTED_EDGE('',*,*,#225826,.T.); #317616=ORIENTED_EDGE('',*,*,#225778,.T.); #317617=ORIENTED_EDGE('',*,*,#225827,.T.); #317618=ORIENTED_EDGE('',*,*,#225828,.F.); #317619=ORIENTED_EDGE('',*,*,#225797,.F.); #317620=ORIENTED_EDGE('',*,*,#225829,.T.); #317621=ORIENTED_EDGE('',*,*,#225830,.T.); #317622=ORIENTED_EDGE('',*,*,#225831,.T.); #317623=ORIENTED_EDGE('',*,*,#225780,.F.); #317624=ORIENTED_EDGE('',*,*,#225832,.T.); #317625=ORIENTED_EDGE('',*,*,#225833,.F.); #317626=ORIENTED_EDGE('',*,*,#225827,.F.); #317627=ORIENTED_EDGE('',*,*,#225777,.F.); #317628=ORIENTED_EDGE('',*,*,#225834,.F.); #317629=ORIENTED_EDGE('',*,*,#225835,.T.); #317630=ORIENTED_EDGE('',*,*,#225807,.F.); #317631=ORIENTED_EDGE('',*,*,#225836,.T.); #317632=ORIENTED_EDGE('',*,*,#225786,.T.); #317633=ORIENTED_EDGE('',*,*,#225837,.T.); #317634=ORIENTED_EDGE('',*,*,#225838,.F.); #317635=ORIENTED_EDGE('',*,*,#225805,.F.); #317636=ORIENTED_EDGE('',*,*,#225839,.T.); #317637=ORIENTED_EDGE('',*,*,#225840,.T.); #317638=ORIENTED_EDGE('',*,*,#225834,.T.); #317639=ORIENTED_EDGE('',*,*,#225788,.F.); #317640=ORIENTED_EDGE('',*,*,#225841,.T.); #317641=ORIENTED_EDGE('',*,*,#225842,.F.); #317642=ORIENTED_EDGE('',*,*,#225837,.F.); #317643=ORIENTED_EDGE('',*,*,#225785,.F.); #317644=ORIENTED_EDGE('',*,*,#225843,.F.); #317645=ORIENTED_EDGE('',*,*,#225844,.F.); #317646=ORIENTED_EDGE('',*,*,#225845,.T.); #317647=ORIENTED_EDGE('',*,*,#225846,.T.); #317648=ORIENTED_EDGE('',*,*,#225847,.T.); #317649=ORIENTED_EDGE('',*,*,#225848,.F.); #317650=ORIENTED_EDGE('',*,*,#225849,.T.); #317651=ORIENTED_EDGE('',*,*,#225783,.T.); #317652=ORIENTED_EDGE('',*,*,#225850,.T.); #317653=ORIENTED_EDGE('',*,*,#225851,.F.); #317654=ORIENTED_EDGE('',*,*,#225852,.T.); #317655=ORIENTED_EDGE('',*,*,#225853,.T.); #317656=ORIENTED_EDGE('',*,*,#225793,.F.); #317657=ORIENTED_EDGE('',*,*,#225854,.T.); #317658=ORIENTED_EDGE('',*,*,#225843,.T.); #317659=ORIENTED_EDGE('',*,*,#225784,.F.); #317660=ORIENTED_EDGE('',*,*,#225849,.F.); #317661=ORIENTED_EDGE('',*,*,#225855,.F.); #317662=ORIENTED_EDGE('',*,*,#225790,.F.); #317663=ORIENTED_EDGE('',*,*,#225856,.F.); #317664=ORIENTED_EDGE('',*,*,#225857,.T.); #317665=ORIENTED_EDGE('',*,*,#225858,.F.); #317666=ORIENTED_EDGE('',*,*,#225859,.T.); #317667=ORIENTED_EDGE('',*,*,#225850,.F.); #317668=ORIENTED_EDGE('',*,*,#225782,.T.); #317669=ORIENTED_EDGE('',*,*,#225860,.T.); #317670=ORIENTED_EDGE('',*,*,#225861,.F.); #317671=ORIENTED_EDGE('',*,*,#225862,.F.); #317672=ORIENTED_EDGE('',*,*,#225863,.F.); #317673=ORIENTED_EDGE('',*,*,#225864,.F.); #317674=ORIENTED_EDGE('',*,*,#225865,.F.); #317675=ORIENTED_EDGE('',*,*,#225866,.F.); #317676=ORIENTED_EDGE('',*,*,#225867,.F.); #317677=ORIENTED_EDGE('',*,*,#225868,.F.); #317678=ORIENTED_EDGE('',*,*,#225791,.F.); #317679=ORIENTED_EDGE('',*,*,#225861,.T.); #317680=ORIENTED_EDGE('',*,*,#225869,.T.); #317681=ORIENTED_EDGE('',*,*,#225830,.F.); #317682=ORIENTED_EDGE('',*,*,#225870,.F.); #317683=ORIENTED_EDGE('',*,*,#225828,.T.); #317684=ORIENTED_EDGE('',*,*,#225833,.T.); #317685=ORIENTED_EDGE('',*,*,#225840,.F.); #317686=ORIENTED_EDGE('',*,*,#225871,.F.); #317687=ORIENTED_EDGE('',*,*,#225838,.T.); #317688=ORIENTED_EDGE('',*,*,#225842,.T.); #317689=ORIENTED_EDGE('',*,*,#225854,.F.); #317690=ORIENTED_EDGE('',*,*,#225796,.F.); #317691=ORIENTED_EDGE('',*,*,#225872,.F.); #317692=ORIENTED_EDGE('',*,*,#225873,.F.); #317693=ORIENTED_EDGE('',*,*,#225874,.F.); #317694=ORIENTED_EDGE('',*,*,#225875,.F.); #317695=ORIENTED_EDGE('',*,*,#225781,.F.); #317696=ORIENTED_EDGE('',*,*,#225831,.F.); #317697=ORIENTED_EDGE('',*,*,#225869,.F.); #317698=ORIENTED_EDGE('',*,*,#225860,.F.); #317699=ORIENTED_EDGE('',*,*,#225876,.T.); #317700=ORIENTED_EDGE('',*,*,#225877,.T.); #317701=ORIENTED_EDGE('',*,*,#225878,.T.); #317702=ORIENTED_EDGE('',*,*,#225879,.T.); #317703=ORIENTED_EDGE('',*,*,#225880,.T.); #317704=ORIENTED_EDGE('',*,*,#225881,.T.); #317705=ORIENTED_EDGE('',*,*,#225882,.T.); #317706=ORIENTED_EDGE('',*,*,#225794,.T.); #317707=ORIENTED_EDGE('',*,*,#225853,.F.); #317708=ORIENTED_EDGE('',*,*,#225883,.T.); #317709=ORIENTED_EDGE('',*,*,#225884,.F.); #317710=ORIENTED_EDGE('',*,*,#225813,.F.); #317711=ORIENTED_EDGE('',*,*,#225885,.T.); #317712=ORIENTED_EDGE('',*,*,#225886,.T.); #317713=ORIENTED_EDGE('',*,*,#225856,.T.); #317714=ORIENTED_EDGE('',*,*,#225789,.T.); #317715=ORIENTED_EDGE('',*,*,#225887,.T.); #317716=ORIENTED_EDGE('',*,*,#225888,.T.); #317717=ORIENTED_EDGE('',*,*,#225889,.T.); #317718=ORIENTED_EDGE('',*,*,#225890,.T.); #317719=ORIENTED_EDGE('',*,*,#225891,.T.); #317720=ORIENTED_EDGE('',*,*,#225892,.T.); #317721=ORIENTED_EDGE('',*,*,#225893,.F.); #317722=ORIENTED_EDGE('',*,*,#225894,.F.); #317723=ORIENTED_EDGE('',*,*,#225895,.T.); #317724=ORIENTED_EDGE('',*,*,#225885,.F.); #317725=ORIENTED_EDGE('',*,*,#225816,.F.); #317726=ORIENTED_EDGE('',*,*,#225896,.T.); #317727=ORIENTED_EDGE('',*,*,#225897,.T.); #317728=ORIENTED_EDGE('',*,*,#225891,.F.); #317729=ORIENTED_EDGE('',*,*,#225815,.F.); #317730=ORIENTED_EDGE('',*,*,#225898,.T.); #317731=ORIENTED_EDGE('',*,*,#225899,.T.); #317732=ORIENTED_EDGE('',*,*,#225896,.F.); #317733=ORIENTED_EDGE('',*,*,#225814,.F.); #317734=ORIENTED_EDGE('',*,*,#225884,.T.); #317735=ORIENTED_EDGE('',*,*,#225900,.T.); #317736=ORIENTED_EDGE('',*,*,#225901,.F.); #317737=ORIENTED_EDGE('',*,*,#225902,.T.); #317738=ORIENTED_EDGE('',*,*,#225872,.T.); #317739=ORIENTED_EDGE('',*,*,#225795,.F.); #317740=ORIENTED_EDGE('',*,*,#225882,.F.); #317741=ORIENTED_EDGE('',*,*,#225903,.T.); #317742=ORIENTED_EDGE('',*,*,#225873,.T.); #317743=ORIENTED_EDGE('',*,*,#225902,.F.); #317744=ORIENTED_EDGE('',*,*,#225881,.F.); #317745=ORIENTED_EDGE('',*,*,#225904,.T.); #317746=ORIENTED_EDGE('',*,*,#225874,.T.); #317747=ORIENTED_EDGE('',*,*,#225903,.F.); #317748=ORIENTED_EDGE('',*,*,#225880,.F.); #317749=ORIENTED_EDGE('',*,*,#225905,.T.); #317750=ORIENTED_EDGE('',*,*,#225875,.T.); #317751=ORIENTED_EDGE('',*,*,#225904,.F.); #317752=ORIENTED_EDGE('',*,*,#225879,.F.); #317753=ORIENTED_EDGE('',*,*,#225878,.F.); #317754=ORIENTED_EDGE('',*,*,#225906,.T.); #317755=ORIENTED_EDGE('',*,*,#225862,.T.); #317756=ORIENTED_EDGE('',*,*,#225905,.F.); #317757=ORIENTED_EDGE('',*,*,#225792,.T.); #317758=ORIENTED_EDGE('',*,*,#225868,.T.); #317759=ORIENTED_EDGE('',*,*,#225907,.F.); #317760=ORIENTED_EDGE('',*,*,#225887,.F.); #317761=ORIENTED_EDGE('',*,*,#225908,.T.); #317762=ORIENTED_EDGE('',*,*,#225863,.T.); #317763=ORIENTED_EDGE('',*,*,#225906,.F.); #317764=ORIENTED_EDGE('',*,*,#225877,.F.); #317765=ORIENTED_EDGE('',*,*,#225876,.F.); #317766=ORIENTED_EDGE('',*,*,#225909,.T.); #317767=ORIENTED_EDGE('',*,*,#225864,.T.); #317768=ORIENTED_EDGE('',*,*,#225908,.F.); #317769=ORIENTED_EDGE('',*,*,#225910,.T.); #317770=ORIENTED_EDGE('',*,*,#225865,.T.); #317771=ORIENTED_EDGE('',*,*,#225909,.F.); #317772=ORIENTED_EDGE('',*,*,#225890,.F.); #317773=ORIENTED_EDGE('',*,*,#225911,.T.); #317774=ORIENTED_EDGE('',*,*,#225866,.T.); #317775=ORIENTED_EDGE('',*,*,#225910,.F.); #317776=ORIENTED_EDGE('',*,*,#225889,.F.); #317777=ORIENTED_EDGE('',*,*,#225907,.T.); #317778=ORIENTED_EDGE('',*,*,#225867,.T.); #317779=ORIENTED_EDGE('',*,*,#225911,.F.); #317780=ORIENTED_EDGE('',*,*,#225888,.F.); #317781=ORIENTED_EDGE('',*,*,#225835,.F.); #317782=ORIENTED_EDGE('',*,*,#225871,.T.); #317783=ORIENTED_EDGE('',*,*,#225839,.F.); #317784=ORIENTED_EDGE('',*,*,#225808,.F.); #317785=ORIENTED_EDGE('',*,*,#225841,.F.); #317786=ORIENTED_EDGE('',*,*,#225787,.T.); #317787=ORIENTED_EDGE('',*,*,#225836,.F.); #317788=ORIENTED_EDGE('',*,*,#225806,.F.); #317789=ORIENTED_EDGE('',*,*,#225825,.F.); #317790=ORIENTED_EDGE('',*,*,#225870,.T.); #317791=ORIENTED_EDGE('',*,*,#225829,.F.); #317792=ORIENTED_EDGE('',*,*,#225800,.F.); #317793=ORIENTED_EDGE('',*,*,#225832,.F.); #317794=ORIENTED_EDGE('',*,*,#225779,.T.); #317795=ORIENTED_EDGE('',*,*,#225826,.F.); #317796=ORIENTED_EDGE('',*,*,#225798,.F.); #317797=ORIENTED_EDGE('',*,*,#225912,.F.); #317798=ORIENTED_EDGE('',*,*,#225913,.T.); #317799=ORIENTED_EDGE('',*,*,#225914,.T.); #317800=ORIENTED_EDGE('',*,*,#225818,.T.); #317801=ORIENTED_EDGE('',*,*,#225915,.F.); #317802=ORIENTED_EDGE('',*,*,#225916,.T.); #317803=ORIENTED_EDGE('',*,*,#225912,.T.); #317804=ORIENTED_EDGE('',*,*,#225817,.T.); #317805=ORIENTED_EDGE('',*,*,#225917,.F.); #317806=ORIENTED_EDGE('',*,*,#225918,.T.); #317807=ORIENTED_EDGE('',*,*,#225915,.T.); #317808=ORIENTED_EDGE('',*,*,#225820,.T.); #317809=ORIENTED_EDGE('',*,*,#225914,.F.); #317810=ORIENTED_EDGE('',*,*,#225919,.T.); #317811=ORIENTED_EDGE('',*,*,#225917,.T.); #317812=ORIENTED_EDGE('',*,*,#225819,.T.); #317813=ORIENTED_EDGE('',*,*,#225920,.T.); #317814=ORIENTED_EDGE('',*,*,#225921,.T.); #317815=ORIENTED_EDGE('',*,*,#225922,.T.); #317816=ORIENTED_EDGE('',*,*,#225923,.T.); #317817=ORIENTED_EDGE('',*,*,#225924,.F.); #317818=ORIENTED_EDGE('',*,*,#225916,.F.); #317819=ORIENTED_EDGE('',*,*,#225925,.F.); #317820=ORIENTED_EDGE('',*,*,#225923,.F.); #317821=ORIENTED_EDGE('',*,*,#225925,.T.); #317822=ORIENTED_EDGE('',*,*,#225918,.F.); #317823=ORIENTED_EDGE('',*,*,#225926,.F.); #317824=ORIENTED_EDGE('',*,*,#225920,.F.); #317825=ORIENTED_EDGE('',*,*,#225924,.T.); #317826=ORIENTED_EDGE('',*,*,#225922,.F.); #317827=ORIENTED_EDGE('',*,*,#225927,.F.); #317828=ORIENTED_EDGE('',*,*,#225913,.F.); #317829=ORIENTED_EDGE('',*,*,#225926,.T.); #317830=ORIENTED_EDGE('',*,*,#225919,.F.); #317831=ORIENTED_EDGE('',*,*,#225927,.T.); #317832=ORIENTED_EDGE('',*,*,#225921,.F.); #317833=ORIENTED_EDGE('',*,*,#225928,.F.); #317834=ORIENTED_EDGE('',*,*,#225929,.T.); #317835=ORIENTED_EDGE('',*,*,#225930,.T.); #317836=ORIENTED_EDGE('',*,*,#225822,.T.); #317837=ORIENTED_EDGE('',*,*,#225931,.F.); #317838=ORIENTED_EDGE('',*,*,#225932,.T.); #317839=ORIENTED_EDGE('',*,*,#225928,.T.); #317840=ORIENTED_EDGE('',*,*,#225821,.T.); #317841=ORIENTED_EDGE('',*,*,#225933,.F.); #317842=ORIENTED_EDGE('',*,*,#225934,.T.); #317843=ORIENTED_EDGE('',*,*,#225931,.T.); #317844=ORIENTED_EDGE('',*,*,#225824,.T.); #317845=ORIENTED_EDGE('',*,*,#225930,.F.); #317846=ORIENTED_EDGE('',*,*,#225935,.T.); #317847=ORIENTED_EDGE('',*,*,#225933,.T.); #317848=ORIENTED_EDGE('',*,*,#225823,.T.); #317849=ORIENTED_EDGE('',*,*,#225936,.T.); #317850=ORIENTED_EDGE('',*,*,#225937,.T.); #317851=ORIENTED_EDGE('',*,*,#225938,.T.); #317852=ORIENTED_EDGE('',*,*,#225939,.T.); #317853=ORIENTED_EDGE('',*,*,#225940,.F.); #317854=ORIENTED_EDGE('',*,*,#225932,.F.); #317855=ORIENTED_EDGE('',*,*,#225941,.F.); #317856=ORIENTED_EDGE('',*,*,#225939,.F.); #317857=ORIENTED_EDGE('',*,*,#225941,.T.); #317858=ORIENTED_EDGE('',*,*,#225934,.F.); #317859=ORIENTED_EDGE('',*,*,#225942,.F.); #317860=ORIENTED_EDGE('',*,*,#225936,.F.); #317861=ORIENTED_EDGE('',*,*,#225940,.T.); #317862=ORIENTED_EDGE('',*,*,#225938,.F.); #317863=ORIENTED_EDGE('',*,*,#225943,.F.); #317864=ORIENTED_EDGE('',*,*,#225929,.F.); #317865=ORIENTED_EDGE('',*,*,#225942,.T.); #317866=ORIENTED_EDGE('',*,*,#225935,.F.); #317867=ORIENTED_EDGE('',*,*,#225943,.T.); #317868=ORIENTED_EDGE('',*,*,#225937,.F.); #317869=ORIENTED_EDGE('',*,*,#225944,.T.); #317870=ORIENTED_EDGE('',*,*,#225945,.T.); #317871=ORIENTED_EDGE('',*,*,#225946,.F.); #317872=ORIENTED_EDGE('',*,*,#225809,.F.); #317873=ORIENTED_EDGE('',*,*,#225947,.T.); #317874=ORIENTED_EDGE('',*,*,#225948,.T.); #317875=ORIENTED_EDGE('',*,*,#225949,.T.); #317876=ORIENTED_EDGE('',*,*,#225950,.T.); #317877=ORIENTED_EDGE('',*,*,#225951,.T.); #317878=ORIENTED_EDGE('',*,*,#225952,.T.); #317879=ORIENTED_EDGE('',*,*,#225953,.F.); #317880=ORIENTED_EDGE('',*,*,#225811,.T.); #317881=ORIENTED_EDGE('',*,*,#225946,.T.); #317882=ORIENTED_EDGE('',*,*,#225954,.T.); #317883=ORIENTED_EDGE('',*,*,#225951,.F.); #317884=ORIENTED_EDGE('',*,*,#225810,.F.); #317885=ORIENTED_EDGE('',*,*,#225953,.T.); #317886=ORIENTED_EDGE('',*,*,#225955,.T.); #317887=ORIENTED_EDGE('',*,*,#225944,.F.); #317888=ORIENTED_EDGE('',*,*,#225812,.T.); #317889=ORIENTED_EDGE('',*,*,#225956,.F.); #317890=ORIENTED_EDGE('',*,*,#225952,.F.); #317891=ORIENTED_EDGE('',*,*,#225957,.F.); #317892=ORIENTED_EDGE('',*,*,#225950,.F.); #317893=ORIENTED_EDGE('',*,*,#225957,.T.); #317894=ORIENTED_EDGE('',*,*,#225954,.F.); #317895=ORIENTED_EDGE('',*,*,#225958,.F.); #317896=ORIENTED_EDGE('',*,*,#225947,.F.); #317897=ORIENTED_EDGE('',*,*,#225956,.T.); #317898=ORIENTED_EDGE('',*,*,#225949,.F.); #317899=ORIENTED_EDGE('',*,*,#225959,.F.); #317900=ORIENTED_EDGE('',*,*,#225955,.F.); #317901=ORIENTED_EDGE('',*,*,#225958,.T.); #317902=ORIENTED_EDGE('',*,*,#225945,.F.); #317903=ORIENTED_EDGE('',*,*,#225959,.T.); #317904=ORIENTED_EDGE('',*,*,#225948,.F.); #317905=ORIENTED_EDGE('',*,*,#225960,.T.); #317906=ORIENTED_EDGE('',*,*,#225961,.T.); #317907=ORIENTED_EDGE('',*,*,#225962,.F.); #317908=ORIENTED_EDGE('',*,*,#225801,.F.); #317909=ORIENTED_EDGE('',*,*,#225963,.T.); #317910=ORIENTED_EDGE('',*,*,#225964,.T.); #317911=ORIENTED_EDGE('',*,*,#225965,.T.); #317912=ORIENTED_EDGE('',*,*,#225966,.T.); #317913=ORIENTED_EDGE('',*,*,#225967,.T.); #317914=ORIENTED_EDGE('',*,*,#225968,.T.); #317915=ORIENTED_EDGE('',*,*,#225969,.F.); #317916=ORIENTED_EDGE('',*,*,#225803,.T.); #317917=ORIENTED_EDGE('',*,*,#225962,.T.); #317918=ORIENTED_EDGE('',*,*,#225970,.T.); #317919=ORIENTED_EDGE('',*,*,#225967,.F.); #317920=ORIENTED_EDGE('',*,*,#225802,.F.); #317921=ORIENTED_EDGE('',*,*,#225969,.T.); #317922=ORIENTED_EDGE('',*,*,#225971,.T.); #317923=ORIENTED_EDGE('',*,*,#225960,.F.); #317924=ORIENTED_EDGE('',*,*,#225804,.T.); #317925=ORIENTED_EDGE('',*,*,#225972,.F.); #317926=ORIENTED_EDGE('',*,*,#225968,.F.); #317927=ORIENTED_EDGE('',*,*,#225973,.F.); #317928=ORIENTED_EDGE('',*,*,#225966,.F.); #317929=ORIENTED_EDGE('',*,*,#225973,.T.); #317930=ORIENTED_EDGE('',*,*,#225970,.F.); #317931=ORIENTED_EDGE('',*,*,#225974,.F.); #317932=ORIENTED_EDGE('',*,*,#225963,.F.); #317933=ORIENTED_EDGE('',*,*,#225972,.T.); #317934=ORIENTED_EDGE('',*,*,#225965,.F.); #317935=ORIENTED_EDGE('',*,*,#225975,.F.); #317936=ORIENTED_EDGE('',*,*,#225971,.F.); #317937=ORIENTED_EDGE('',*,*,#225974,.T.); #317938=ORIENTED_EDGE('',*,*,#225961,.F.); #317939=ORIENTED_EDGE('',*,*,#225975,.T.); #317940=ORIENTED_EDGE('',*,*,#225964,.F.); #317941=ORIENTED_EDGE('',*,*,#225848,.T.); #317942=ORIENTED_EDGE('',*,*,#225976,.T.); #317943=ORIENTED_EDGE('',*,*,#225898,.F.); #317944=ORIENTED_EDGE('',*,*,#225977,.F.); #317945=ORIENTED_EDGE('',*,*,#225855,.T.); #317946=ORIENTED_EDGE('',*,*,#225901,.T.); #317947=ORIENTED_EDGE('',*,*,#225978,.T.); #317948=ORIENTED_EDGE('',*,*,#225851,.T.); #317949=ORIENTED_EDGE('',*,*,#225977,.T.); #317950=ORIENTED_EDGE('',*,*,#225893,.T.); #317951=ORIENTED_EDGE('',*,*,#225979,.T.); #317952=ORIENTED_EDGE('',*,*,#225844,.T.); #317953=ORIENTED_EDGE('',*,*,#225859,.F.); #317954=ORIENTED_EDGE('',*,*,#225980,.F.); #317955=ORIENTED_EDGE('',*,*,#225858,.T.); #317956=ORIENTED_EDGE('',*,*,#225981,.T.); #317957=ORIENTED_EDGE('',*,*,#225894,.T.); #317958=ORIENTED_EDGE('',*,*,#225980,.T.); #317959=ORIENTED_EDGE('',*,*,#225978,.F.); #317960=ORIENTED_EDGE('',*,*,#225900,.F.); #317961=ORIENTED_EDGE('',*,*,#225883,.F.); #317962=ORIENTED_EDGE('',*,*,#225852,.F.); #317963=ORIENTED_EDGE('',*,*,#225981,.F.); #317964=ORIENTED_EDGE('',*,*,#225857,.F.); #317965=ORIENTED_EDGE('',*,*,#225886,.F.); #317966=ORIENTED_EDGE('',*,*,#225895,.F.); #317967=ORIENTED_EDGE('',*,*,#225976,.F.); #317968=ORIENTED_EDGE('',*,*,#225847,.F.); #317969=ORIENTED_EDGE('',*,*,#225982,.F.); #317970=ORIENTED_EDGE('',*,*,#225899,.F.); #317971=ORIENTED_EDGE('',*,*,#225982,.T.); #317972=ORIENTED_EDGE('',*,*,#225846,.F.); #317973=ORIENTED_EDGE('',*,*,#225983,.F.); #317974=ORIENTED_EDGE('',*,*,#225897,.F.); #317975=ORIENTED_EDGE('',*,*,#225983,.T.); #317976=ORIENTED_EDGE('',*,*,#225845,.F.); #317977=ORIENTED_EDGE('',*,*,#225979,.F.); #317978=ORIENTED_EDGE('',*,*,#225892,.F.); #317979=ORIENTED_EDGE('',*,*,#225984,.F.); #317980=ORIENTED_EDGE('',*,*,#225985,.F.); #317981=ORIENTED_EDGE('',*,*,#225986,.F.); #317982=ORIENTED_EDGE('',*,*,#225987,.F.); #317983=ORIENTED_EDGE('',*,*,#225988,.F.); #317984=ORIENTED_EDGE('',*,*,#225989,.F.); #317985=ORIENTED_EDGE('',*,*,#225990,.F.); #317986=ORIENTED_EDGE('',*,*,#225991,.F.); #317987=ORIENTED_EDGE('',*,*,#225992,.F.); #317988=ORIENTED_EDGE('',*,*,#225993,.F.); #317989=ORIENTED_EDGE('',*,*,#225994,.F.); #317990=ORIENTED_EDGE('',*,*,#225995,.F.); #317991=ORIENTED_EDGE('',*,*,#225996,.F.); #317992=ORIENTED_EDGE('',*,*,#225997,.F.); #317993=ORIENTED_EDGE('',*,*,#225998,.F.); #317994=ORIENTED_EDGE('',*,*,#225997,.T.); #317995=ORIENTED_EDGE('',*,*,#225999,.T.); #317996=ORIENTED_EDGE('',*,*,#225992,.T.); #317997=ORIENTED_EDGE('',*,*,#226000,.T.); #317998=ORIENTED_EDGE('',*,*,#226001,.F.); #317999=ORIENTED_EDGE('',*,*,#226002,.F.); #318000=ORIENTED_EDGE('',*,*,#226003,.F.); #318001=ORIENTED_EDGE('',*,*,#226004,.F.); #318002=ORIENTED_EDGE('',*,*,#226005,.F.); #318003=ORIENTED_EDGE('',*,*,#226006,.F.); #318004=ORIENTED_EDGE('',*,*,#225991,.T.); #318005=ORIENTED_EDGE('',*,*,#226007,.T.); #318006=ORIENTED_EDGE('',*,*,#226005,.T.); #318007=ORIENTED_EDGE('',*,*,#226008,.T.); #318008=ORIENTED_EDGE('',*,*,#225994,.T.); #318009=ORIENTED_EDGE('',*,*,#226009,.T.); #318010=ORIENTED_EDGE('',*,*,#226006,.T.); #318011=ORIENTED_EDGE('',*,*,#226010,.T.); #318012=ORIENTED_EDGE('',*,*,#226011,.F.); #318013=ORIENTED_EDGE('',*,*,#226012,.F.); #318014=ORIENTED_EDGE('',*,*,#226013,.F.); #318015=ORIENTED_EDGE('',*,*,#225998,.T.); #318016=ORIENTED_EDGE('',*,*,#226014,.T.); #318017=ORIENTED_EDGE('',*,*,#226012,.T.); #318018=ORIENTED_EDGE('',*,*,#226015,.T.); #318019=ORIENTED_EDGE('',*,*,#226004,.T.); #318020=ORIENTED_EDGE('',*,*,#226016,.T.); #318021=ORIENTED_EDGE('',*,*,#226013,.T.); #318022=ORIENTED_EDGE('',*,*,#226017,.T.); #318023=ORIENTED_EDGE('',*,*,#226002,.T.); #318024=ORIENTED_EDGE('',*,*,#226018,.T.); #318025=ORIENTED_EDGE('',*,*,#226011,.T.); #318026=ORIENTED_EDGE('',*,*,#226019,.T.); #318027=ORIENTED_EDGE('',*,*,#225993,.T.); #318028=ORIENTED_EDGE('',*,*,#226020,.T.); #318029=ORIENTED_EDGE('',*,*,#226003,.T.); #318030=ORIENTED_EDGE('',*,*,#226021,.T.); #318031=ORIENTED_EDGE('',*,*,#226001,.T.); #318032=ORIENTED_EDGE('',*,*,#226022,.T.); #318033=ORIENTED_EDGE('',*,*,#225989,.T.); #318034=ORIENTED_EDGE('',*,*,#226023,.T.); #318035=ORIENTED_EDGE('',*,*,#225988,.T.); #318036=ORIENTED_EDGE('',*,*,#226024,.T.); #318037=ORIENTED_EDGE('',*,*,#225996,.T.); #318038=ORIENTED_EDGE('',*,*,#226025,.T.); #318039=ORIENTED_EDGE('',*,*,#225984,.T.); #318040=ORIENTED_EDGE('',*,*,#226026,.T.); #318041=ORIENTED_EDGE('',*,*,#225995,.T.); #318042=ORIENTED_EDGE('',*,*,#226027,.T.); #318043=ORIENTED_EDGE('',*,*,#225985,.T.); #318044=ORIENTED_EDGE('',*,*,#226028,.T.); #318045=ORIENTED_EDGE('',*,*,#225990,.T.); #318046=ORIENTED_EDGE('',*,*,#226029,.T.); #318047=ORIENTED_EDGE('',*,*,#225987,.T.); #318048=ORIENTED_EDGE('',*,*,#226030,.T.); #318049=ORIENTED_EDGE('',*,*,#225986,.T.); #318050=ORIENTED_EDGE('',*,*,#226031,.T.); #318051=ORIENTED_EDGE('',*,*,#225999,.F.); #318052=ORIENTED_EDGE('',*,*,#226024,.F.); #318053=ORIENTED_EDGE('',*,*,#226031,.F.); #318054=ORIENTED_EDGE('',*,*,#226029,.F.); #318055=ORIENTED_EDGE('',*,*,#226000,.F.); #318056=ORIENTED_EDGE('',*,*,#226008,.F.); #318057=ORIENTED_EDGE('',*,*,#226017,.F.); #318058=ORIENTED_EDGE('',*,*,#226014,.F.); #318059=ORIENTED_EDGE('',*,*,#226007,.F.); #318060=ORIENTED_EDGE('',*,*,#226028,.F.); #318061=ORIENTED_EDGE('',*,*,#226027,.F.); #318062=ORIENTED_EDGE('',*,*,#226010,.F.); #318063=ORIENTED_EDGE('',*,*,#226009,.F.); #318064=ORIENTED_EDGE('',*,*,#226021,.F.); #318065=ORIENTED_EDGE('',*,*,#226019,.F.); #318066=ORIENTED_EDGE('',*,*,#226016,.F.); #318067=ORIENTED_EDGE('',*,*,#226015,.F.); #318068=ORIENTED_EDGE('',*,*,#226018,.F.); #318069=ORIENTED_EDGE('',*,*,#226023,.F.); #318070=ORIENTED_EDGE('',*,*,#226025,.F.); #318071=ORIENTED_EDGE('',*,*,#226020,.F.); #318072=ORIENTED_EDGE('',*,*,#226026,.F.); #318073=ORIENTED_EDGE('',*,*,#226030,.F.); #318074=ORIENTED_EDGE('',*,*,#226022,.F.); #318075=ORIENTED_EDGE('',*,*,#226032,.F.); #318076=ORIENTED_EDGE('',*,*,#226033,.F.); #318077=ORIENTED_EDGE('',*,*,#226034,.F.); #318078=ORIENTED_EDGE('',*,*,#226035,.F.); #318079=ORIENTED_EDGE('',*,*,#226036,.F.); #318080=ORIENTED_EDGE('',*,*,#226037,.F.); #318081=ORIENTED_EDGE('',*,*,#226038,.F.); #318082=ORIENTED_EDGE('',*,*,#226039,.F.); #318083=ORIENTED_EDGE('',*,*,#226040,.F.); #318084=ORIENTED_EDGE('',*,*,#226041,.F.); #318085=ORIENTED_EDGE('',*,*,#226042,.F.); #318086=ORIENTED_EDGE('',*,*,#226043,.F.); #318087=ORIENTED_EDGE('',*,*,#226044,.F.); #318088=ORIENTED_EDGE('',*,*,#226045,.F.); #318089=ORIENTED_EDGE('',*,*,#226046,.F.); #318090=ORIENTED_EDGE('',*,*,#226045,.T.); #318091=ORIENTED_EDGE('',*,*,#226047,.T.); #318092=ORIENTED_EDGE('',*,*,#226040,.T.); #318093=ORIENTED_EDGE('',*,*,#226048,.T.); #318094=ORIENTED_EDGE('',*,*,#226049,.F.); #318095=ORIENTED_EDGE('',*,*,#226050,.F.); #318096=ORIENTED_EDGE('',*,*,#226051,.F.); #318097=ORIENTED_EDGE('',*,*,#226052,.F.); #318098=ORIENTED_EDGE('',*,*,#226053,.F.); #318099=ORIENTED_EDGE('',*,*,#226054,.F.); #318100=ORIENTED_EDGE('',*,*,#226039,.T.); #318101=ORIENTED_EDGE('',*,*,#226055,.T.); #318102=ORIENTED_EDGE('',*,*,#226053,.T.); #318103=ORIENTED_EDGE('',*,*,#226056,.T.); #318104=ORIENTED_EDGE('',*,*,#226042,.T.); #318105=ORIENTED_EDGE('',*,*,#226057,.T.); #318106=ORIENTED_EDGE('',*,*,#226054,.T.); #318107=ORIENTED_EDGE('',*,*,#226058,.T.); #318108=ORIENTED_EDGE('',*,*,#226059,.F.); #318109=ORIENTED_EDGE('',*,*,#226060,.F.); #318110=ORIENTED_EDGE('',*,*,#226061,.F.); #318111=ORIENTED_EDGE('',*,*,#226060,.T.); #318112=ORIENTED_EDGE('',*,*,#226062,.T.); #318113=ORIENTED_EDGE('',*,*,#226046,.T.); #318114=ORIENTED_EDGE('',*,*,#226063,.T.); #318115=ORIENTED_EDGE('',*,*,#226052,.T.); #318116=ORIENTED_EDGE('',*,*,#226064,.T.); #318117=ORIENTED_EDGE('',*,*,#226061,.T.); #318118=ORIENTED_EDGE('',*,*,#226065,.T.); #318119=ORIENTED_EDGE('',*,*,#226050,.T.); #318120=ORIENTED_EDGE('',*,*,#226066,.T.); #318121=ORIENTED_EDGE('',*,*,#226059,.T.); #318122=ORIENTED_EDGE('',*,*,#226067,.T.); #318123=ORIENTED_EDGE('',*,*,#226041,.T.); #318124=ORIENTED_EDGE('',*,*,#226068,.T.); #318125=ORIENTED_EDGE('',*,*,#226051,.T.); #318126=ORIENTED_EDGE('',*,*,#226069,.T.); #318127=ORIENTED_EDGE('',*,*,#226049,.T.); #318128=ORIENTED_EDGE('',*,*,#226070,.T.); #318129=ORIENTED_EDGE('',*,*,#226037,.T.); #318130=ORIENTED_EDGE('',*,*,#226071,.T.); #318131=ORIENTED_EDGE('',*,*,#226036,.T.); #318132=ORIENTED_EDGE('',*,*,#226072,.T.); #318133=ORIENTED_EDGE('',*,*,#226044,.T.); #318134=ORIENTED_EDGE('',*,*,#226073,.T.); #318135=ORIENTED_EDGE('',*,*,#226032,.T.); #318136=ORIENTED_EDGE('',*,*,#226074,.T.); #318137=ORIENTED_EDGE('',*,*,#226043,.T.); #318138=ORIENTED_EDGE('',*,*,#226075,.T.); #318139=ORIENTED_EDGE('',*,*,#226033,.T.); #318140=ORIENTED_EDGE('',*,*,#226076,.T.); #318141=ORIENTED_EDGE('',*,*,#226038,.T.); #318142=ORIENTED_EDGE('',*,*,#226077,.T.); #318143=ORIENTED_EDGE('',*,*,#226035,.T.); #318144=ORIENTED_EDGE('',*,*,#226078,.T.); #318145=ORIENTED_EDGE('',*,*,#226034,.T.); #318146=ORIENTED_EDGE('',*,*,#226079,.T.); #318147=ORIENTED_EDGE('',*,*,#226047,.F.); #318148=ORIENTED_EDGE('',*,*,#226072,.F.); #318149=ORIENTED_EDGE('',*,*,#226079,.F.); #318150=ORIENTED_EDGE('',*,*,#226077,.F.); #318151=ORIENTED_EDGE('',*,*,#226048,.F.); #318152=ORIENTED_EDGE('',*,*,#226056,.F.); #318153=ORIENTED_EDGE('',*,*,#226065,.F.); #318154=ORIENTED_EDGE('',*,*,#226063,.F.); #318155=ORIENTED_EDGE('',*,*,#226055,.F.); #318156=ORIENTED_EDGE('',*,*,#226076,.F.); #318157=ORIENTED_EDGE('',*,*,#226075,.F.); #318158=ORIENTED_EDGE('',*,*,#226058,.F.); #318159=ORIENTED_EDGE('',*,*,#226057,.F.); #318160=ORIENTED_EDGE('',*,*,#226069,.F.); #318161=ORIENTED_EDGE('',*,*,#226067,.F.); #318162=ORIENTED_EDGE('',*,*,#226064,.F.); #318163=ORIENTED_EDGE('',*,*,#226062,.F.); #318164=ORIENTED_EDGE('',*,*,#226066,.F.); #318165=ORIENTED_EDGE('',*,*,#226071,.F.); #318166=ORIENTED_EDGE('',*,*,#226073,.F.); #318167=ORIENTED_EDGE('',*,*,#226068,.F.); #318168=ORIENTED_EDGE('',*,*,#226074,.F.); #318169=ORIENTED_EDGE('',*,*,#226078,.F.); #318170=ORIENTED_EDGE('',*,*,#226070,.F.); #318171=ORIENTED_EDGE('',*,*,#226080,.F.); #318172=ORIENTED_EDGE('',*,*,#226081,.T.); #318173=ORIENTED_EDGE('',*,*,#226082,.F.); #318174=ORIENTED_EDGE('',*,*,#226083,.F.); #318175=ORIENTED_EDGE('',*,*,#226084,.T.); #318176=ORIENTED_EDGE('',*,*,#226083,.T.); #318177=ORIENTED_EDGE('',*,*,#226085,.F.); #318178=ORIENTED_EDGE('',*,*,#226086,.F.); #318179=ORIENTED_EDGE('',*,*,#226087,.T.); #318180=ORIENTED_EDGE('',*,*,#226086,.T.); #318181=ORIENTED_EDGE('',*,*,#226088,.F.); #318182=ORIENTED_EDGE('',*,*,#226089,.F.); #318183=ORIENTED_EDGE('',*,*,#226090,.T.); #318184=ORIENTED_EDGE('',*,*,#226089,.T.); #318185=ORIENTED_EDGE('',*,*,#226091,.F.); #318186=ORIENTED_EDGE('',*,*,#226081,.F.); #318187=ORIENTED_EDGE('',*,*,#226091,.T.); #318188=ORIENTED_EDGE('',*,*,#226088,.T.); #318189=ORIENTED_EDGE('',*,*,#226085,.T.); #318190=ORIENTED_EDGE('',*,*,#226082,.T.); #318191=ORIENTED_EDGE('',*,*,#226090,.F.); #318192=ORIENTED_EDGE('',*,*,#226080,.T.); #318193=ORIENTED_EDGE('',*,*,#226084,.F.); #318194=ORIENTED_EDGE('',*,*,#226087,.F.); #318195=ORIENTED_EDGE('',*,*,#226092,.F.); #318196=ORIENTED_EDGE('',*,*,#226093,.F.); #318197=ORIENTED_EDGE('',*,*,#226094,.F.); #318198=ORIENTED_EDGE('',*,*,#226095,.F.); #318199=ORIENTED_EDGE('',*,*,#226096,.F.); #318200=ORIENTED_EDGE('',*,*,#226097,.F.); #318201=ORIENTED_EDGE('',*,*,#226098,.F.); #318202=ORIENTED_EDGE('',*,*,#226099,.F.); #318203=ORIENTED_EDGE('',*,*,#226100,.F.); #318204=ORIENTED_EDGE('',*,*,#226101,.F.); #318205=ORIENTED_EDGE('',*,*,#226102,.F.); #318206=ORIENTED_EDGE('',*,*,#226103,.F.); #318207=ORIENTED_EDGE('',*,*,#226104,.F.); #318208=ORIENTED_EDGE('',*,*,#226105,.F.); #318209=ORIENTED_EDGE('',*,*,#226106,.F.); #318210=ORIENTED_EDGE('',*,*,#226105,.T.); #318211=ORIENTED_EDGE('',*,*,#226107,.T.); #318212=ORIENTED_EDGE('',*,*,#226100,.T.); #318213=ORIENTED_EDGE('',*,*,#226108,.T.); #318214=ORIENTED_EDGE('',*,*,#226109,.F.); #318215=ORIENTED_EDGE('',*,*,#226110,.F.); #318216=ORIENTED_EDGE('',*,*,#226111,.F.); #318217=ORIENTED_EDGE('',*,*,#226112,.F.); #318218=ORIENTED_EDGE('',*,*,#226113,.F.); #318219=ORIENTED_EDGE('',*,*,#226114,.F.); #318220=ORIENTED_EDGE('',*,*,#226099,.T.); #318221=ORIENTED_EDGE('',*,*,#226115,.T.); #318222=ORIENTED_EDGE('',*,*,#226113,.T.); #318223=ORIENTED_EDGE('',*,*,#226116,.T.); #318224=ORIENTED_EDGE('',*,*,#226102,.T.); #318225=ORIENTED_EDGE('',*,*,#226117,.T.); #318226=ORIENTED_EDGE('',*,*,#226114,.T.); #318227=ORIENTED_EDGE('',*,*,#226118,.T.); #318228=ORIENTED_EDGE('',*,*,#226119,.F.); #318229=ORIENTED_EDGE('',*,*,#226120,.F.); #318230=ORIENTED_EDGE('',*,*,#226121,.F.); #318231=ORIENTED_EDGE('',*,*,#226106,.T.); #318232=ORIENTED_EDGE('',*,*,#226122,.T.); #318233=ORIENTED_EDGE('',*,*,#226120,.T.); #318234=ORIENTED_EDGE('',*,*,#226123,.T.); #318235=ORIENTED_EDGE('',*,*,#226112,.T.); #318236=ORIENTED_EDGE('',*,*,#226124,.T.); #318237=ORIENTED_EDGE('',*,*,#226121,.T.); #318238=ORIENTED_EDGE('',*,*,#226125,.T.); #318239=ORIENTED_EDGE('',*,*,#226110,.T.); #318240=ORIENTED_EDGE('',*,*,#226126,.T.); #318241=ORIENTED_EDGE('',*,*,#226119,.T.); #318242=ORIENTED_EDGE('',*,*,#226127,.T.); #318243=ORIENTED_EDGE('',*,*,#226101,.T.); #318244=ORIENTED_EDGE('',*,*,#226128,.T.); #318245=ORIENTED_EDGE('',*,*,#226111,.T.); #318246=ORIENTED_EDGE('',*,*,#226129,.T.); #318247=ORIENTED_EDGE('',*,*,#226109,.T.); #318248=ORIENTED_EDGE('',*,*,#226130,.T.); #318249=ORIENTED_EDGE('',*,*,#226097,.T.); #318250=ORIENTED_EDGE('',*,*,#226131,.T.); #318251=ORIENTED_EDGE('',*,*,#226096,.T.); #318252=ORIENTED_EDGE('',*,*,#226132,.T.); #318253=ORIENTED_EDGE('',*,*,#226104,.T.); #318254=ORIENTED_EDGE('',*,*,#226133,.T.); #318255=ORIENTED_EDGE('',*,*,#226092,.T.); #318256=ORIENTED_EDGE('',*,*,#226134,.T.); #318257=ORIENTED_EDGE('',*,*,#226103,.T.); #318258=ORIENTED_EDGE('',*,*,#226135,.T.); #318259=ORIENTED_EDGE('',*,*,#226093,.T.); #318260=ORIENTED_EDGE('',*,*,#226136,.T.); #318261=ORIENTED_EDGE('',*,*,#226098,.T.); #318262=ORIENTED_EDGE('',*,*,#226137,.T.); #318263=ORIENTED_EDGE('',*,*,#226095,.T.); #318264=ORIENTED_EDGE('',*,*,#226138,.T.); #318265=ORIENTED_EDGE('',*,*,#226094,.T.); #318266=ORIENTED_EDGE('',*,*,#226139,.T.); #318267=ORIENTED_EDGE('',*,*,#226107,.F.); #318268=ORIENTED_EDGE('',*,*,#226132,.F.); #318269=ORIENTED_EDGE('',*,*,#226139,.F.); #318270=ORIENTED_EDGE('',*,*,#226137,.F.); #318271=ORIENTED_EDGE('',*,*,#226108,.F.); #318272=ORIENTED_EDGE('',*,*,#226116,.F.); #318273=ORIENTED_EDGE('',*,*,#226125,.F.); #318274=ORIENTED_EDGE('',*,*,#226122,.F.); #318275=ORIENTED_EDGE('',*,*,#226115,.F.); #318276=ORIENTED_EDGE('',*,*,#226136,.F.); #318277=ORIENTED_EDGE('',*,*,#226135,.F.); #318278=ORIENTED_EDGE('',*,*,#226118,.F.); #318279=ORIENTED_EDGE('',*,*,#226117,.F.); #318280=ORIENTED_EDGE('',*,*,#226129,.F.); #318281=ORIENTED_EDGE('',*,*,#226127,.F.); #318282=ORIENTED_EDGE('',*,*,#226124,.F.); #318283=ORIENTED_EDGE('',*,*,#226123,.F.); #318284=ORIENTED_EDGE('',*,*,#226126,.F.); #318285=ORIENTED_EDGE('',*,*,#226131,.F.); #318286=ORIENTED_EDGE('',*,*,#226133,.F.); #318287=ORIENTED_EDGE('',*,*,#226128,.F.); #318288=ORIENTED_EDGE('',*,*,#226134,.F.); #318289=ORIENTED_EDGE('',*,*,#226138,.F.); #318290=ORIENTED_EDGE('',*,*,#226130,.F.); #318291=ORIENTED_EDGE('',*,*,#226140,.F.); #318292=ORIENTED_EDGE('',*,*,#226141,.F.); #318293=ORIENTED_EDGE('',*,*,#226142,.F.); #318294=ORIENTED_EDGE('',*,*,#226143,.F.); #318295=ORIENTED_EDGE('',*,*,#226144,.F.); #318296=ORIENTED_EDGE('',*,*,#226145,.F.); #318297=ORIENTED_EDGE('',*,*,#226146,.F.); #318298=ORIENTED_EDGE('',*,*,#226147,.F.); #318299=ORIENTED_EDGE('',*,*,#226148,.F.); #318300=ORIENTED_EDGE('',*,*,#226149,.F.); #318301=ORIENTED_EDGE('',*,*,#226150,.F.); #318302=ORIENTED_EDGE('',*,*,#226151,.F.); #318303=ORIENTED_EDGE('',*,*,#226152,.F.); #318304=ORIENTED_EDGE('',*,*,#226153,.F.); #318305=ORIENTED_EDGE('',*,*,#226154,.F.); #318306=ORIENTED_EDGE('',*,*,#226153,.T.); #318307=ORIENTED_EDGE('',*,*,#226155,.T.); #318308=ORIENTED_EDGE('',*,*,#226148,.T.); #318309=ORIENTED_EDGE('',*,*,#226156,.T.); #318310=ORIENTED_EDGE('',*,*,#226157,.F.); #318311=ORIENTED_EDGE('',*,*,#226158,.F.); #318312=ORIENTED_EDGE('',*,*,#226159,.F.); #318313=ORIENTED_EDGE('',*,*,#226160,.F.); #318314=ORIENTED_EDGE('',*,*,#226161,.F.); #318315=ORIENTED_EDGE('',*,*,#226162,.F.); #318316=ORIENTED_EDGE('',*,*,#226147,.T.); #318317=ORIENTED_EDGE('',*,*,#226163,.T.); #318318=ORIENTED_EDGE('',*,*,#226161,.T.); #318319=ORIENTED_EDGE('',*,*,#226164,.T.); #318320=ORIENTED_EDGE('',*,*,#226150,.T.); #318321=ORIENTED_EDGE('',*,*,#226165,.T.); #318322=ORIENTED_EDGE('',*,*,#226162,.T.); #318323=ORIENTED_EDGE('',*,*,#226166,.T.); #318324=ORIENTED_EDGE('',*,*,#226167,.F.); #318325=ORIENTED_EDGE('',*,*,#226168,.F.); #318326=ORIENTED_EDGE('',*,*,#226169,.F.); #318327=ORIENTED_EDGE('',*,*,#226168,.T.); #318328=ORIENTED_EDGE('',*,*,#226170,.T.); #318329=ORIENTED_EDGE('',*,*,#226154,.T.); #318330=ORIENTED_EDGE('',*,*,#226171,.T.); #318331=ORIENTED_EDGE('',*,*,#226160,.T.); #318332=ORIENTED_EDGE('',*,*,#226172,.T.); #318333=ORIENTED_EDGE('',*,*,#226169,.T.); #318334=ORIENTED_EDGE('',*,*,#226173,.T.); #318335=ORIENTED_EDGE('',*,*,#226158,.T.); #318336=ORIENTED_EDGE('',*,*,#226174,.T.); #318337=ORIENTED_EDGE('',*,*,#226167,.T.); #318338=ORIENTED_EDGE('',*,*,#226175,.T.); #318339=ORIENTED_EDGE('',*,*,#226149,.T.); #318340=ORIENTED_EDGE('',*,*,#226176,.T.); #318341=ORIENTED_EDGE('',*,*,#226159,.T.); #318342=ORIENTED_EDGE('',*,*,#226177,.T.); #318343=ORIENTED_EDGE('',*,*,#226157,.T.); #318344=ORIENTED_EDGE('',*,*,#226178,.T.); #318345=ORIENTED_EDGE('',*,*,#226145,.T.); #318346=ORIENTED_EDGE('',*,*,#226179,.T.); #318347=ORIENTED_EDGE('',*,*,#226144,.T.); #318348=ORIENTED_EDGE('',*,*,#226180,.T.); #318349=ORIENTED_EDGE('',*,*,#226152,.T.); #318350=ORIENTED_EDGE('',*,*,#226181,.T.); #318351=ORIENTED_EDGE('',*,*,#226140,.T.); #318352=ORIENTED_EDGE('',*,*,#226182,.T.); #318353=ORIENTED_EDGE('',*,*,#226151,.T.); #318354=ORIENTED_EDGE('',*,*,#226183,.T.); #318355=ORIENTED_EDGE('',*,*,#226141,.T.); #318356=ORIENTED_EDGE('',*,*,#226184,.T.); #318357=ORIENTED_EDGE('',*,*,#226146,.T.); #318358=ORIENTED_EDGE('',*,*,#226185,.T.); #318359=ORIENTED_EDGE('',*,*,#226143,.T.); #318360=ORIENTED_EDGE('',*,*,#226186,.T.); #318361=ORIENTED_EDGE('',*,*,#226142,.T.); #318362=ORIENTED_EDGE('',*,*,#226187,.T.); #318363=ORIENTED_EDGE('',*,*,#226155,.F.); #318364=ORIENTED_EDGE('',*,*,#226180,.F.); #318365=ORIENTED_EDGE('',*,*,#226187,.F.); #318366=ORIENTED_EDGE('',*,*,#226185,.F.); #318367=ORIENTED_EDGE('',*,*,#226156,.F.); #318368=ORIENTED_EDGE('',*,*,#226164,.F.); #318369=ORIENTED_EDGE('',*,*,#226173,.F.); #318370=ORIENTED_EDGE('',*,*,#226171,.F.); #318371=ORIENTED_EDGE('',*,*,#226163,.F.); #318372=ORIENTED_EDGE('',*,*,#226184,.F.); #318373=ORIENTED_EDGE('',*,*,#226183,.F.); #318374=ORIENTED_EDGE('',*,*,#226166,.F.); #318375=ORIENTED_EDGE('',*,*,#226165,.F.); #318376=ORIENTED_EDGE('',*,*,#226177,.F.); #318377=ORIENTED_EDGE('',*,*,#226175,.F.); #318378=ORIENTED_EDGE('',*,*,#226172,.F.); #318379=ORIENTED_EDGE('',*,*,#226170,.F.); #318380=ORIENTED_EDGE('',*,*,#226174,.F.); #318381=ORIENTED_EDGE('',*,*,#226179,.F.); #318382=ORIENTED_EDGE('',*,*,#226181,.F.); #318383=ORIENTED_EDGE('',*,*,#226176,.F.); #318384=ORIENTED_EDGE('',*,*,#226182,.F.); #318385=ORIENTED_EDGE('',*,*,#226186,.F.); #318386=ORIENTED_EDGE('',*,*,#226178,.F.); #318387=ORIENTED_EDGE('',*,*,#226188,.F.); #318388=ORIENTED_EDGE('',*,*,#226189,.T.); #318389=ORIENTED_EDGE('',*,*,#226190,.F.); #318390=ORIENTED_EDGE('',*,*,#226191,.F.); #318391=ORIENTED_EDGE('',*,*,#226192,.T.); #318392=ORIENTED_EDGE('',*,*,#226191,.T.); #318393=ORIENTED_EDGE('',*,*,#226193,.F.); #318394=ORIENTED_EDGE('',*,*,#226194,.F.); #318395=ORIENTED_EDGE('',*,*,#226195,.T.); #318396=ORIENTED_EDGE('',*,*,#226194,.T.); #318397=ORIENTED_EDGE('',*,*,#226196,.F.); #318398=ORIENTED_EDGE('',*,*,#226197,.F.); #318399=ORIENTED_EDGE('',*,*,#226198,.T.); #318400=ORIENTED_EDGE('',*,*,#226197,.T.); #318401=ORIENTED_EDGE('',*,*,#226199,.F.); #318402=ORIENTED_EDGE('',*,*,#226189,.F.); #318403=ORIENTED_EDGE('',*,*,#226199,.T.); #318404=ORIENTED_EDGE('',*,*,#226196,.T.); #318405=ORIENTED_EDGE('',*,*,#226193,.T.); #318406=ORIENTED_EDGE('',*,*,#226190,.T.); #318407=ORIENTED_EDGE('',*,*,#226198,.F.); #318408=ORIENTED_EDGE('',*,*,#226188,.T.); #318409=ORIENTED_EDGE('',*,*,#226192,.F.); #318410=ORIENTED_EDGE('',*,*,#226195,.F.); #318411=ORIENTED_EDGE('',*,*,#226200,.F.); #318412=ORIENTED_EDGE('',*,*,#226201,.F.); #318413=ORIENTED_EDGE('',*,*,#226202,.F.); #318414=ORIENTED_EDGE('',*,*,#226203,.F.); #318415=ORIENTED_EDGE('',*,*,#226204,.F.); #318416=ORIENTED_EDGE('',*,*,#226205,.F.); #318417=ORIENTED_EDGE('',*,*,#226206,.F.); #318418=ORIENTED_EDGE('',*,*,#226207,.F.); #318419=ORIENTED_EDGE('',*,*,#226208,.F.); #318420=ORIENTED_EDGE('',*,*,#226209,.F.); #318421=ORIENTED_EDGE('',*,*,#226210,.F.); #318422=ORIENTED_EDGE('',*,*,#226211,.F.); #318423=ORIENTED_EDGE('',*,*,#226212,.F.); #318424=ORIENTED_EDGE('',*,*,#226213,.F.); #318425=ORIENTED_EDGE('',*,*,#226214,.F.); #318426=ORIENTED_EDGE('',*,*,#226213,.T.); #318427=ORIENTED_EDGE('',*,*,#226215,.T.); #318428=ORIENTED_EDGE('',*,*,#226208,.T.); #318429=ORIENTED_EDGE('',*,*,#226216,.T.); #318430=ORIENTED_EDGE('',*,*,#226217,.F.); #318431=ORIENTED_EDGE('',*,*,#226218,.F.); #318432=ORIENTED_EDGE('',*,*,#226219,.F.); #318433=ORIENTED_EDGE('',*,*,#226220,.F.); #318434=ORIENTED_EDGE('',*,*,#226221,.F.); #318435=ORIENTED_EDGE('',*,*,#226222,.F.); #318436=ORIENTED_EDGE('',*,*,#226207,.T.); #318437=ORIENTED_EDGE('',*,*,#226223,.T.); #318438=ORIENTED_EDGE('',*,*,#226221,.T.); #318439=ORIENTED_EDGE('',*,*,#226224,.T.); #318440=ORIENTED_EDGE('',*,*,#226210,.T.); #318441=ORIENTED_EDGE('',*,*,#226225,.T.); #318442=ORIENTED_EDGE('',*,*,#226222,.T.); #318443=ORIENTED_EDGE('',*,*,#226226,.T.); #318444=ORIENTED_EDGE('',*,*,#226227,.F.); #318445=ORIENTED_EDGE('',*,*,#226228,.F.); #318446=ORIENTED_EDGE('',*,*,#226229,.F.); #318447=ORIENTED_EDGE('',*,*,#226214,.T.); #318448=ORIENTED_EDGE('',*,*,#226230,.T.); #318449=ORIENTED_EDGE('',*,*,#226228,.T.); #318450=ORIENTED_EDGE('',*,*,#226231,.T.); #318451=ORIENTED_EDGE('',*,*,#226220,.T.); #318452=ORIENTED_EDGE('',*,*,#226232,.T.); #318453=ORIENTED_EDGE('',*,*,#226229,.T.); #318454=ORIENTED_EDGE('',*,*,#226233,.T.); #318455=ORIENTED_EDGE('',*,*,#226218,.T.); #318456=ORIENTED_EDGE('',*,*,#226234,.T.); #318457=ORIENTED_EDGE('',*,*,#226227,.T.); #318458=ORIENTED_EDGE('',*,*,#226235,.T.); #318459=ORIENTED_EDGE('',*,*,#226209,.T.); #318460=ORIENTED_EDGE('',*,*,#226236,.T.); #318461=ORIENTED_EDGE('',*,*,#226219,.T.); #318462=ORIENTED_EDGE('',*,*,#226237,.T.); #318463=ORIENTED_EDGE('',*,*,#226217,.T.); #318464=ORIENTED_EDGE('',*,*,#226238,.T.); #318465=ORIENTED_EDGE('',*,*,#226205,.T.); #318466=ORIENTED_EDGE('',*,*,#226239,.T.); #318467=ORIENTED_EDGE('',*,*,#226204,.T.); #318468=ORIENTED_EDGE('',*,*,#226240,.T.); #318469=ORIENTED_EDGE('',*,*,#226212,.T.); #318470=ORIENTED_EDGE('',*,*,#226241,.T.); #318471=ORIENTED_EDGE('',*,*,#226200,.T.); #318472=ORIENTED_EDGE('',*,*,#226242,.T.); #318473=ORIENTED_EDGE('',*,*,#226211,.T.); #318474=ORIENTED_EDGE('',*,*,#226243,.T.); #318475=ORIENTED_EDGE('',*,*,#226201,.T.); #318476=ORIENTED_EDGE('',*,*,#226244,.T.); #318477=ORIENTED_EDGE('',*,*,#226206,.T.); #318478=ORIENTED_EDGE('',*,*,#226245,.T.); #318479=ORIENTED_EDGE('',*,*,#226203,.T.); #318480=ORIENTED_EDGE('',*,*,#226246,.T.); #318481=ORIENTED_EDGE('',*,*,#226202,.T.); #318482=ORIENTED_EDGE('',*,*,#226247,.T.); #318483=ORIENTED_EDGE('',*,*,#226215,.F.); #318484=ORIENTED_EDGE('',*,*,#226240,.F.); #318485=ORIENTED_EDGE('',*,*,#226247,.F.); #318486=ORIENTED_EDGE('',*,*,#226245,.F.); #318487=ORIENTED_EDGE('',*,*,#226216,.F.); #318488=ORIENTED_EDGE('',*,*,#226224,.F.); #318489=ORIENTED_EDGE('',*,*,#226233,.F.); #318490=ORIENTED_EDGE('',*,*,#226230,.F.); #318491=ORIENTED_EDGE('',*,*,#226223,.F.); #318492=ORIENTED_EDGE('',*,*,#226244,.F.); #318493=ORIENTED_EDGE('',*,*,#226243,.F.); #318494=ORIENTED_EDGE('',*,*,#226226,.F.); #318495=ORIENTED_EDGE('',*,*,#226225,.F.); #318496=ORIENTED_EDGE('',*,*,#226237,.F.); #318497=ORIENTED_EDGE('',*,*,#226235,.F.); #318498=ORIENTED_EDGE('',*,*,#226232,.F.); #318499=ORIENTED_EDGE('',*,*,#226231,.F.); #318500=ORIENTED_EDGE('',*,*,#226234,.F.); #318501=ORIENTED_EDGE('',*,*,#226239,.F.); #318502=ORIENTED_EDGE('',*,*,#226241,.F.); #318503=ORIENTED_EDGE('',*,*,#226236,.F.); #318504=ORIENTED_EDGE('',*,*,#226242,.F.); #318505=ORIENTED_EDGE('',*,*,#226246,.F.); #318506=ORIENTED_EDGE('',*,*,#226238,.F.); #318507=ORIENTED_EDGE('',*,*,#226248,.F.); #318508=ORIENTED_EDGE('',*,*,#226249,.F.); #318509=ORIENTED_EDGE('',*,*,#226250,.F.); #318510=ORIENTED_EDGE('',*,*,#226251,.F.); #318511=ORIENTED_EDGE('',*,*,#226252,.F.); #318512=ORIENTED_EDGE('',*,*,#226253,.F.); #318513=ORIENTED_EDGE('',*,*,#226254,.F.); #318514=ORIENTED_EDGE('',*,*,#226255,.F.); #318515=ORIENTED_EDGE('',*,*,#226256,.F.); #318516=ORIENTED_EDGE('',*,*,#226257,.F.); #318517=ORIENTED_EDGE('',*,*,#226258,.F.); #318518=ORIENTED_EDGE('',*,*,#226259,.F.); #318519=ORIENTED_EDGE('',*,*,#226260,.F.); #318520=ORIENTED_EDGE('',*,*,#226261,.F.); #318521=ORIENTED_EDGE('',*,*,#226262,.F.); #318522=ORIENTED_EDGE('',*,*,#226261,.T.); #318523=ORIENTED_EDGE('',*,*,#226263,.T.); #318524=ORIENTED_EDGE('',*,*,#226256,.T.); #318525=ORIENTED_EDGE('',*,*,#226264,.T.); #318526=ORIENTED_EDGE('',*,*,#226265,.F.); #318527=ORIENTED_EDGE('',*,*,#226266,.F.); #318528=ORIENTED_EDGE('',*,*,#226267,.F.); #318529=ORIENTED_EDGE('',*,*,#226268,.F.); #318530=ORIENTED_EDGE('',*,*,#226269,.F.); #318531=ORIENTED_EDGE('',*,*,#226270,.F.); #318532=ORIENTED_EDGE('',*,*,#226255,.T.); #318533=ORIENTED_EDGE('',*,*,#226271,.T.); #318534=ORIENTED_EDGE('',*,*,#226269,.T.); #318535=ORIENTED_EDGE('',*,*,#226272,.T.); #318536=ORIENTED_EDGE('',*,*,#226258,.T.); #318537=ORIENTED_EDGE('',*,*,#226273,.T.); #318538=ORIENTED_EDGE('',*,*,#226270,.T.); #318539=ORIENTED_EDGE('',*,*,#226274,.T.); #318540=ORIENTED_EDGE('',*,*,#226275,.F.); #318541=ORIENTED_EDGE('',*,*,#226276,.F.); #318542=ORIENTED_EDGE('',*,*,#226277,.F.); #318543=ORIENTED_EDGE('',*,*,#226276,.T.); #318544=ORIENTED_EDGE('',*,*,#226278,.T.); #318545=ORIENTED_EDGE('',*,*,#226262,.T.); #318546=ORIENTED_EDGE('',*,*,#226279,.T.); #318547=ORIENTED_EDGE('',*,*,#226268,.T.); #318548=ORIENTED_EDGE('',*,*,#226280,.T.); #318549=ORIENTED_EDGE('',*,*,#226277,.T.); #318550=ORIENTED_EDGE('',*,*,#226281,.T.); #318551=ORIENTED_EDGE('',*,*,#226266,.T.); #318552=ORIENTED_EDGE('',*,*,#226282,.T.); #318553=ORIENTED_EDGE('',*,*,#226275,.T.); #318554=ORIENTED_EDGE('',*,*,#226283,.T.); #318555=ORIENTED_EDGE('',*,*,#226257,.T.); #318556=ORIENTED_EDGE('',*,*,#226284,.T.); #318557=ORIENTED_EDGE('',*,*,#226267,.T.); #318558=ORIENTED_EDGE('',*,*,#226285,.T.); #318559=ORIENTED_EDGE('',*,*,#226265,.T.); #318560=ORIENTED_EDGE('',*,*,#226286,.T.); #318561=ORIENTED_EDGE('',*,*,#226253,.T.); #318562=ORIENTED_EDGE('',*,*,#226287,.T.); #318563=ORIENTED_EDGE('',*,*,#226252,.T.); #318564=ORIENTED_EDGE('',*,*,#226288,.T.); #318565=ORIENTED_EDGE('',*,*,#226260,.T.); #318566=ORIENTED_EDGE('',*,*,#226289,.T.); #318567=ORIENTED_EDGE('',*,*,#226248,.T.); #318568=ORIENTED_EDGE('',*,*,#226290,.T.); #318569=ORIENTED_EDGE('',*,*,#226259,.T.); #318570=ORIENTED_EDGE('',*,*,#226291,.T.); #318571=ORIENTED_EDGE('',*,*,#226249,.T.); #318572=ORIENTED_EDGE('',*,*,#226292,.T.); #318573=ORIENTED_EDGE('',*,*,#226254,.T.); #318574=ORIENTED_EDGE('',*,*,#226293,.T.); #318575=ORIENTED_EDGE('',*,*,#226251,.T.); #318576=ORIENTED_EDGE('',*,*,#226294,.T.); #318577=ORIENTED_EDGE('',*,*,#226250,.T.); #318578=ORIENTED_EDGE('',*,*,#226295,.T.); #318579=ORIENTED_EDGE('',*,*,#226263,.F.); #318580=ORIENTED_EDGE('',*,*,#226288,.F.); #318581=ORIENTED_EDGE('',*,*,#226295,.F.); #318582=ORIENTED_EDGE('',*,*,#226293,.F.); #318583=ORIENTED_EDGE('',*,*,#226264,.F.); #318584=ORIENTED_EDGE('',*,*,#226272,.F.); #318585=ORIENTED_EDGE('',*,*,#226281,.F.); #318586=ORIENTED_EDGE('',*,*,#226279,.F.); #318587=ORIENTED_EDGE('',*,*,#226271,.F.); #318588=ORIENTED_EDGE('',*,*,#226292,.F.); #318589=ORIENTED_EDGE('',*,*,#226291,.F.); #318590=ORIENTED_EDGE('',*,*,#226274,.F.); #318591=ORIENTED_EDGE('',*,*,#226273,.F.); #318592=ORIENTED_EDGE('',*,*,#226285,.F.); #318593=ORIENTED_EDGE('',*,*,#226283,.F.); #318594=ORIENTED_EDGE('',*,*,#226280,.F.); #318595=ORIENTED_EDGE('',*,*,#226278,.F.); #318596=ORIENTED_EDGE('',*,*,#226282,.F.); #318597=ORIENTED_EDGE('',*,*,#226287,.F.); #318598=ORIENTED_EDGE('',*,*,#226289,.F.); #318599=ORIENTED_EDGE('',*,*,#226284,.F.); #318600=ORIENTED_EDGE('',*,*,#226290,.F.); #318601=ORIENTED_EDGE('',*,*,#226294,.F.); #318602=ORIENTED_EDGE('',*,*,#226286,.F.); #318603=ORIENTED_EDGE('',*,*,#226296,.F.); #318604=ORIENTED_EDGE('',*,*,#226297,.T.); #318605=ORIENTED_EDGE('',*,*,#226298,.F.); #318606=ORIENTED_EDGE('',*,*,#226299,.F.); #318607=ORIENTED_EDGE('',*,*,#226300,.T.); #318608=ORIENTED_EDGE('',*,*,#226299,.T.); #318609=ORIENTED_EDGE('',*,*,#226301,.F.); #318610=ORIENTED_EDGE('',*,*,#226302,.F.); #318611=ORIENTED_EDGE('',*,*,#226303,.T.); #318612=ORIENTED_EDGE('',*,*,#226302,.T.); #318613=ORIENTED_EDGE('',*,*,#226304,.F.); #318614=ORIENTED_EDGE('',*,*,#226305,.F.); #318615=ORIENTED_EDGE('',*,*,#226306,.T.); #318616=ORIENTED_EDGE('',*,*,#226305,.T.); #318617=ORIENTED_EDGE('',*,*,#226307,.F.); #318618=ORIENTED_EDGE('',*,*,#226297,.F.); #318619=ORIENTED_EDGE('',*,*,#226307,.T.); #318620=ORIENTED_EDGE('',*,*,#226304,.T.); #318621=ORIENTED_EDGE('',*,*,#226301,.T.); #318622=ORIENTED_EDGE('',*,*,#226298,.T.); #318623=ORIENTED_EDGE('',*,*,#226306,.F.); #318624=ORIENTED_EDGE('',*,*,#226296,.T.); #318625=ORIENTED_EDGE('',*,*,#226300,.F.); #318626=ORIENTED_EDGE('',*,*,#226303,.F.); #318627=ORIENTED_EDGE('',*,*,#226308,.F.); #318628=ORIENTED_EDGE('',*,*,#226309,.T.); #318629=ORIENTED_EDGE('',*,*,#226310,.T.); #318630=ORIENTED_EDGE('',*,*,#226309,.F.); #318631=ORIENTED_EDGE('',*,*,#226310,.F.); #318632=ORIENTED_EDGE('',*,*,#226311,.F.); #318633=ORIENTED_EDGE('',*,*,#226312,.F.); #318634=ORIENTED_EDGE('',*,*,#226313,.T.); #318635=ORIENTED_EDGE('',*,*,#226311,.T.); #318636=ORIENTED_EDGE('',*,*,#226313,.F.); #318637=ORIENTED_EDGE('',*,*,#226314,.F.); #318638=ORIENTED_EDGE('',*,*,#226315,.T.); #318639=ORIENTED_EDGE('',*,*,#226312,.T.); #318640=ORIENTED_EDGE('',*,*,#226315,.F.); #318641=ORIENTED_EDGE('',*,*,#226316,.F.); #318642=ORIENTED_EDGE('',*,*,#226317,.T.); #318643=ORIENTED_EDGE('',*,*,#226314,.T.); #318644=ORIENTED_EDGE('',*,*,#226317,.F.); #318645=ORIENTED_EDGE('',*,*,#226316,.T.); #318646=ORIENTED_EDGE('',*,*,#226318,.T.); #318647=ORIENTED_EDGE('',*,*,#226319,.F.); #318648=ORIENTED_EDGE('',*,*,#226318,.F.); #318649=ORIENTED_EDGE('',*,*,#226320,.F.); #318650=ORIENTED_EDGE('',*,*,#226321,.T.); #318651=ORIENTED_EDGE('',*,*,#226319,.T.); #318652=ORIENTED_EDGE('',*,*,#226321,.F.); #318653=ORIENTED_EDGE('',*,*,#226322,.F.); #318654=ORIENTED_EDGE('',*,*,#226323,.T.); #318655=ORIENTED_EDGE('',*,*,#226320,.T.); #318656=ORIENTED_EDGE('',*,*,#226323,.F.); #318657=ORIENTED_EDGE('',*,*,#226324,.F.); #318658=ORIENTED_EDGE('',*,*,#226325,.T.); #318659=ORIENTED_EDGE('',*,*,#226322,.T.); #318660=ORIENTED_EDGE('',*,*,#226325,.F.); #318661=ORIENTED_EDGE('',*,*,#226324,.T.); #318662=ORIENTED_EDGE('',*,*,#226308,.T.); #318663=ORIENTED_EDGE('',*,*,#226326,.T.); #318664=ORIENTED_EDGE('',*,*,#226327,.T.); #318665=ORIENTED_EDGE('',*,*,#226328,.T.); #318666=ORIENTED_EDGE('',*,*,#226329,.T.); #318667=ORIENTED_EDGE('',*,*,#226330,.T.); #318668=ORIENTED_EDGE('',*,*,#226331,.T.); #318669=ORIENTED_EDGE('',*,*,#226332,.T.); #318670=ORIENTED_EDGE('',*,*,#226333,.T.); #318671=ORIENTED_EDGE('',*,*,#226334,.T.); #318672=ORIENTED_EDGE('',*,*,#226335,.T.); #318673=ORIENTED_EDGE('',*,*,#226336,.T.); #318674=ORIENTED_EDGE('',*,*,#226337,.T.); #318675=ORIENTED_EDGE('',*,*,#226338,.T.); #318676=ORIENTED_EDGE('',*,*,#226339,.T.); #318677=ORIENTED_EDGE('',*,*,#226340,.T.); #318678=ORIENTED_EDGE('',*,*,#226341,.T.); #318679=ORIENTED_EDGE('',*,*,#226342,.F.); #318680=ORIENTED_EDGE('',*,*,#226343,.F.); #318681=ORIENTED_EDGE('',*,*,#226344,.F.); #318682=ORIENTED_EDGE('',*,*,#226327,.F.); #318683=ORIENTED_EDGE('',*,*,#226344,.T.); #318684=ORIENTED_EDGE('',*,*,#226345,.F.); #318685=ORIENTED_EDGE('',*,*,#226346,.F.); #318686=ORIENTED_EDGE('',*,*,#226328,.F.); #318687=ORIENTED_EDGE('',*,*,#226346,.T.); #318688=ORIENTED_EDGE('',*,*,#226347,.F.); #318689=ORIENTED_EDGE('',*,*,#226348,.F.); #318690=ORIENTED_EDGE('',*,*,#226329,.F.); #318691=ORIENTED_EDGE('',*,*,#226348,.T.); #318692=ORIENTED_EDGE('',*,*,#226349,.F.); #318693=ORIENTED_EDGE('',*,*,#226350,.F.); #318694=ORIENTED_EDGE('',*,*,#226330,.F.); #318695=ORIENTED_EDGE('',*,*,#226350,.T.); #318696=ORIENTED_EDGE('',*,*,#226351,.F.); #318697=ORIENTED_EDGE('',*,*,#226352,.F.); #318698=ORIENTED_EDGE('',*,*,#226331,.F.); #318699=ORIENTED_EDGE('',*,*,#226352,.T.); #318700=ORIENTED_EDGE('',*,*,#226353,.F.); #318701=ORIENTED_EDGE('',*,*,#226354,.F.); #318702=ORIENTED_EDGE('',*,*,#226332,.F.); #318703=ORIENTED_EDGE('',*,*,#226354,.T.); #318704=ORIENTED_EDGE('',*,*,#226355,.F.); #318705=ORIENTED_EDGE('',*,*,#226356,.F.); #318706=ORIENTED_EDGE('',*,*,#226333,.F.); #318707=ORIENTED_EDGE('',*,*,#226356,.T.); #318708=ORIENTED_EDGE('',*,*,#226357,.F.); #318709=ORIENTED_EDGE('',*,*,#226358,.F.); #318710=ORIENTED_EDGE('',*,*,#226334,.F.); #318711=ORIENTED_EDGE('',*,*,#226358,.T.); #318712=ORIENTED_EDGE('',*,*,#226359,.F.); #318713=ORIENTED_EDGE('',*,*,#226360,.F.); #318714=ORIENTED_EDGE('',*,*,#226335,.F.); #318715=ORIENTED_EDGE('',*,*,#226360,.T.); #318716=ORIENTED_EDGE('',*,*,#226361,.F.); #318717=ORIENTED_EDGE('',*,*,#226362,.F.); #318718=ORIENTED_EDGE('',*,*,#226336,.F.); #318719=ORIENTED_EDGE('',*,*,#226362,.T.); #318720=ORIENTED_EDGE('',*,*,#226363,.F.); #318721=ORIENTED_EDGE('',*,*,#226364,.F.); #318722=ORIENTED_EDGE('',*,*,#226337,.F.); #318723=ORIENTED_EDGE('',*,*,#226364,.T.); #318724=ORIENTED_EDGE('',*,*,#226365,.F.); #318725=ORIENTED_EDGE('',*,*,#226366,.F.); #318726=ORIENTED_EDGE('',*,*,#226338,.F.); #318727=ORIENTED_EDGE('',*,*,#226366,.T.); #318728=ORIENTED_EDGE('',*,*,#226367,.F.); #318729=ORIENTED_EDGE('',*,*,#226368,.F.); #318730=ORIENTED_EDGE('',*,*,#226339,.F.); #318731=ORIENTED_EDGE('',*,*,#226368,.T.); #318732=ORIENTED_EDGE('',*,*,#226369,.F.); #318733=ORIENTED_EDGE('',*,*,#226370,.F.); #318734=ORIENTED_EDGE('',*,*,#226340,.F.); #318735=ORIENTED_EDGE('',*,*,#226370,.T.); #318736=ORIENTED_EDGE('',*,*,#226371,.F.); #318737=ORIENTED_EDGE('',*,*,#226372,.F.); #318738=ORIENTED_EDGE('',*,*,#226341,.F.); #318739=ORIENTED_EDGE('',*,*,#226372,.T.); #318740=ORIENTED_EDGE('',*,*,#226373,.F.); #318741=ORIENTED_EDGE('',*,*,#226342,.T.); #318742=ORIENTED_EDGE('',*,*,#226326,.F.); #318743=ORIENTED_EDGE('',*,*,#226373,.T.); #318744=ORIENTED_EDGE('',*,*,#226371,.T.); #318745=ORIENTED_EDGE('',*,*,#226369,.T.); #318746=ORIENTED_EDGE('',*,*,#226367,.T.); #318747=ORIENTED_EDGE('',*,*,#226365,.T.); #318748=ORIENTED_EDGE('',*,*,#226363,.T.); #318749=ORIENTED_EDGE('',*,*,#226361,.T.); #318750=ORIENTED_EDGE('',*,*,#226359,.T.); #318751=ORIENTED_EDGE('',*,*,#226357,.T.); #318752=ORIENTED_EDGE('',*,*,#226355,.T.); #318753=ORIENTED_EDGE('',*,*,#226353,.T.); #318754=ORIENTED_EDGE('',*,*,#226351,.T.); #318755=ORIENTED_EDGE('',*,*,#226349,.T.); #318756=ORIENTED_EDGE('',*,*,#226347,.T.); #318757=ORIENTED_EDGE('',*,*,#226345,.T.); #318758=ORIENTED_EDGE('',*,*,#226343,.T.); #318759=ORIENTED_EDGE('',*,*,#226374,.F.); #318760=ORIENTED_EDGE('',*,*,#226375,.T.); #318761=ORIENTED_EDGE('',*,*,#226376,.F.); #318762=ORIENTED_EDGE('',*,*,#226375,.F.); #318763=ORIENTED_EDGE('',*,*,#226376,.T.); #318764=ORIENTED_EDGE('',*,*,#226374,.T.); #318765=ORIENTED_EDGE('',*,*,#226377,.T.); #318766=ORIENTED_EDGE('',*,*,#226378,.T.); #318767=ORIENTED_EDGE('',*,*,#226379,.T.); #318768=ORIENTED_EDGE('',*,*,#226378,.F.); #318769=ORIENTED_EDGE('',*,*,#226379,.F.); #318770=ORIENTED_EDGE('',*,*,#226377,.F.); #318771=ORIENTED_EDGE('',*,*,#226380,.T.); #318772=ORIENTED_EDGE('',*,*,#226381,.T.); #318773=ORIENTED_EDGE('',*,*,#226382,.T.); #318774=ORIENTED_EDGE('',*,*,#226383,.T.); #318775=ORIENTED_EDGE('',*,*,#226384,.F.); #318776=ORIENTED_EDGE('',*,*,#226385,.F.); #318777=ORIENTED_EDGE('',*,*,#226386,.F.); #318778=ORIENTED_EDGE('',*,*,#226381,.F.); #318779=ORIENTED_EDGE('',*,*,#226386,.T.); #318780=ORIENTED_EDGE('',*,*,#226387,.F.); #318781=ORIENTED_EDGE('',*,*,#226388,.F.); #318782=ORIENTED_EDGE('',*,*,#226382,.F.); #318783=ORIENTED_EDGE('',*,*,#226388,.T.); #318784=ORIENTED_EDGE('',*,*,#226389,.F.); #318785=ORIENTED_EDGE('',*,*,#226390,.F.); #318786=ORIENTED_EDGE('',*,*,#226383,.F.); #318787=ORIENTED_EDGE('',*,*,#226390,.T.); #318788=ORIENTED_EDGE('',*,*,#226391,.F.); #318789=ORIENTED_EDGE('',*,*,#226384,.T.); #318790=ORIENTED_EDGE('',*,*,#226380,.F.); #318791=ORIENTED_EDGE('',*,*,#226391,.T.); #318792=ORIENTED_EDGE('',*,*,#226389,.T.); #318793=ORIENTED_EDGE('',*,*,#226387,.T.); #318794=ORIENTED_EDGE('',*,*,#226385,.T.); #318795=ORIENTED_EDGE('',*,*,#226392,.T.); #318796=ORIENTED_EDGE('',*,*,#226393,.T.); #318797=ORIENTED_EDGE('',*,*,#226394,.T.); #318798=ORIENTED_EDGE('',*,*,#226395,.T.); #318799=ORIENTED_EDGE('',*,*,#226396,.F.); #318800=ORIENTED_EDGE('',*,*,#226397,.F.); #318801=ORIENTED_EDGE('',*,*,#226398,.F.); #318802=ORIENTED_EDGE('',*,*,#226393,.F.); #318803=ORIENTED_EDGE('',*,*,#226398,.T.); #318804=ORIENTED_EDGE('',*,*,#226399,.F.); #318805=ORIENTED_EDGE('',*,*,#226400,.F.); #318806=ORIENTED_EDGE('',*,*,#226394,.F.); #318807=ORIENTED_EDGE('',*,*,#226400,.T.); #318808=ORIENTED_EDGE('',*,*,#226401,.F.); #318809=ORIENTED_EDGE('',*,*,#226402,.F.); #318810=ORIENTED_EDGE('',*,*,#226395,.F.); #318811=ORIENTED_EDGE('',*,*,#226402,.T.); #318812=ORIENTED_EDGE('',*,*,#226403,.F.); #318813=ORIENTED_EDGE('',*,*,#226396,.T.); #318814=ORIENTED_EDGE('',*,*,#226392,.F.); #318815=ORIENTED_EDGE('',*,*,#226403,.T.); #318816=ORIENTED_EDGE('',*,*,#226401,.T.); #318817=ORIENTED_EDGE('',*,*,#226399,.T.); #318818=ORIENTED_EDGE('',*,*,#226397,.T.); #318819=ORIENTED_EDGE('',*,*,#226404,.T.); #318820=ORIENTED_EDGE('',*,*,#226405,.T.); #318821=ORIENTED_EDGE('',*,*,#226406,.T.); #318822=ORIENTED_EDGE('',*,*,#226407,.T.); #318823=ORIENTED_EDGE('',*,*,#226408,.F.); #318824=ORIENTED_EDGE('',*,*,#226409,.F.); #318825=ORIENTED_EDGE('',*,*,#226410,.F.); #318826=ORIENTED_EDGE('',*,*,#226405,.F.); #318827=ORIENTED_EDGE('',*,*,#226410,.T.); #318828=ORIENTED_EDGE('',*,*,#226411,.F.); #318829=ORIENTED_EDGE('',*,*,#226412,.F.); #318830=ORIENTED_EDGE('',*,*,#226406,.F.); #318831=ORIENTED_EDGE('',*,*,#226412,.T.); #318832=ORIENTED_EDGE('',*,*,#226413,.F.); #318833=ORIENTED_EDGE('',*,*,#226414,.F.); #318834=ORIENTED_EDGE('',*,*,#226407,.F.); #318835=ORIENTED_EDGE('',*,*,#226414,.T.); #318836=ORIENTED_EDGE('',*,*,#226415,.F.); #318837=ORIENTED_EDGE('',*,*,#226408,.T.); #318838=ORIENTED_EDGE('',*,*,#226404,.F.); #318839=ORIENTED_EDGE('',*,*,#226415,.T.); #318840=ORIENTED_EDGE('',*,*,#226413,.T.); #318841=ORIENTED_EDGE('',*,*,#226411,.T.); #318842=ORIENTED_EDGE('',*,*,#226409,.T.); #318843=ORIENTED_EDGE('',*,*,#226416,.T.); #318844=ORIENTED_EDGE('',*,*,#226417,.T.); #318845=ORIENTED_EDGE('',*,*,#226418,.T.); #318846=ORIENTED_EDGE('',*,*,#226419,.T.); #318847=ORIENTED_EDGE('',*,*,#226420,.F.); #318848=ORIENTED_EDGE('',*,*,#226421,.F.); #318849=ORIENTED_EDGE('',*,*,#226422,.F.); #318850=ORIENTED_EDGE('',*,*,#226417,.F.); #318851=ORIENTED_EDGE('',*,*,#226422,.T.); #318852=ORIENTED_EDGE('',*,*,#226423,.F.); #318853=ORIENTED_EDGE('',*,*,#226424,.F.); #318854=ORIENTED_EDGE('',*,*,#226418,.F.); #318855=ORIENTED_EDGE('',*,*,#226424,.T.); #318856=ORIENTED_EDGE('',*,*,#226425,.F.); #318857=ORIENTED_EDGE('',*,*,#226426,.F.); #318858=ORIENTED_EDGE('',*,*,#226419,.F.); #318859=ORIENTED_EDGE('',*,*,#226426,.T.); #318860=ORIENTED_EDGE('',*,*,#226427,.F.); #318861=ORIENTED_EDGE('',*,*,#226420,.T.); #318862=ORIENTED_EDGE('',*,*,#226416,.F.); #318863=ORIENTED_EDGE('',*,*,#226427,.T.); #318864=ORIENTED_EDGE('',*,*,#226425,.T.); #318865=ORIENTED_EDGE('',*,*,#226423,.T.); #318866=ORIENTED_EDGE('',*,*,#226421,.T.); #318867=ORIENTED_EDGE('',*,*,#226428,.T.); #318868=ORIENTED_EDGE('',*,*,#226429,.T.); #318869=ORIENTED_EDGE('',*,*,#226430,.T.); #318870=ORIENTED_EDGE('',*,*,#226431,.T.); #318871=ORIENTED_EDGE('',*,*,#226432,.F.); #318872=ORIENTED_EDGE('',*,*,#226433,.F.); #318873=ORIENTED_EDGE('',*,*,#226434,.F.); #318874=ORIENTED_EDGE('',*,*,#226429,.F.); #318875=ORIENTED_EDGE('',*,*,#226434,.T.); #318876=ORIENTED_EDGE('',*,*,#226435,.F.); #318877=ORIENTED_EDGE('',*,*,#226436,.F.); #318878=ORIENTED_EDGE('',*,*,#226430,.F.); #318879=ORIENTED_EDGE('',*,*,#226436,.T.); #318880=ORIENTED_EDGE('',*,*,#226437,.F.); #318881=ORIENTED_EDGE('',*,*,#226438,.F.); #318882=ORIENTED_EDGE('',*,*,#226431,.F.); #318883=ORIENTED_EDGE('',*,*,#226438,.T.); #318884=ORIENTED_EDGE('',*,*,#226439,.F.); #318885=ORIENTED_EDGE('',*,*,#226432,.T.); #318886=ORIENTED_EDGE('',*,*,#226428,.F.); #318887=ORIENTED_EDGE('',*,*,#226439,.T.); #318888=ORIENTED_EDGE('',*,*,#226437,.T.); #318889=ORIENTED_EDGE('',*,*,#226435,.T.); #318890=ORIENTED_EDGE('',*,*,#226433,.T.); #318891=ORIENTED_EDGE('',*,*,#226440,.T.); #318892=ORIENTED_EDGE('',*,*,#226441,.T.); #318893=ORIENTED_EDGE('',*,*,#226442,.T.); #318894=ORIENTED_EDGE('',*,*,#226443,.T.); #318895=ORIENTED_EDGE('',*,*,#226444,.F.); #318896=ORIENTED_EDGE('',*,*,#226445,.F.); #318897=ORIENTED_EDGE('',*,*,#226446,.F.); #318898=ORIENTED_EDGE('',*,*,#226441,.F.); #318899=ORIENTED_EDGE('',*,*,#226446,.T.); #318900=ORIENTED_EDGE('',*,*,#226447,.F.); #318901=ORIENTED_EDGE('',*,*,#226448,.F.); #318902=ORIENTED_EDGE('',*,*,#226442,.F.); #318903=ORIENTED_EDGE('',*,*,#226448,.T.); #318904=ORIENTED_EDGE('',*,*,#226449,.F.); #318905=ORIENTED_EDGE('',*,*,#226450,.F.); #318906=ORIENTED_EDGE('',*,*,#226443,.F.); #318907=ORIENTED_EDGE('',*,*,#226450,.T.); #318908=ORIENTED_EDGE('',*,*,#226451,.F.); #318909=ORIENTED_EDGE('',*,*,#226444,.T.); #318910=ORIENTED_EDGE('',*,*,#226440,.F.); #318911=ORIENTED_EDGE('',*,*,#226451,.T.); #318912=ORIENTED_EDGE('',*,*,#226449,.T.); #318913=ORIENTED_EDGE('',*,*,#226447,.T.); #318914=ORIENTED_EDGE('',*,*,#226445,.T.); #318915=ORIENTED_EDGE('',*,*,#226452,.T.); #318916=ORIENTED_EDGE('',*,*,#226453,.T.); #318917=ORIENTED_EDGE('',*,*,#226454,.T.); #318918=ORIENTED_EDGE('',*,*,#226455,.T.); #318919=ORIENTED_EDGE('',*,*,#226456,.F.); #318920=ORIENTED_EDGE('',*,*,#226457,.F.); #318921=ORIENTED_EDGE('',*,*,#226458,.F.); #318922=ORIENTED_EDGE('',*,*,#226453,.F.); #318923=ORIENTED_EDGE('',*,*,#226458,.T.); #318924=ORIENTED_EDGE('',*,*,#226459,.F.); #318925=ORIENTED_EDGE('',*,*,#226460,.F.); #318926=ORIENTED_EDGE('',*,*,#226454,.F.); #318927=ORIENTED_EDGE('',*,*,#226460,.T.); #318928=ORIENTED_EDGE('',*,*,#226461,.F.); #318929=ORIENTED_EDGE('',*,*,#226462,.F.); #318930=ORIENTED_EDGE('',*,*,#226455,.F.); #318931=ORIENTED_EDGE('',*,*,#226462,.T.); #318932=ORIENTED_EDGE('',*,*,#226463,.F.); #318933=ORIENTED_EDGE('',*,*,#226456,.T.); #318934=ORIENTED_EDGE('',*,*,#226452,.F.); #318935=ORIENTED_EDGE('',*,*,#226463,.T.); #318936=ORIENTED_EDGE('',*,*,#226461,.T.); #318937=ORIENTED_EDGE('',*,*,#226459,.T.); #318938=ORIENTED_EDGE('',*,*,#226457,.T.); #318939=ORIENTED_EDGE('',*,*,#226464,.T.); #318940=ORIENTED_EDGE('',*,*,#226465,.T.); #318941=ORIENTED_EDGE('',*,*,#226466,.T.); #318942=ORIENTED_EDGE('',*,*,#226467,.T.); #318943=ORIENTED_EDGE('',*,*,#226468,.F.); #318944=ORIENTED_EDGE('',*,*,#226469,.F.); #318945=ORIENTED_EDGE('',*,*,#226470,.F.); #318946=ORIENTED_EDGE('',*,*,#226465,.F.); #318947=ORIENTED_EDGE('',*,*,#226470,.T.); #318948=ORIENTED_EDGE('',*,*,#226471,.F.); #318949=ORIENTED_EDGE('',*,*,#226472,.F.); #318950=ORIENTED_EDGE('',*,*,#226466,.F.); #318951=ORIENTED_EDGE('',*,*,#226472,.T.); #318952=ORIENTED_EDGE('',*,*,#226473,.F.); #318953=ORIENTED_EDGE('',*,*,#226474,.F.); #318954=ORIENTED_EDGE('',*,*,#226467,.F.); #318955=ORIENTED_EDGE('',*,*,#226474,.T.); #318956=ORIENTED_EDGE('',*,*,#226475,.F.); #318957=ORIENTED_EDGE('',*,*,#226468,.T.); #318958=ORIENTED_EDGE('',*,*,#226464,.F.); #318959=ORIENTED_EDGE('',*,*,#226475,.T.); #318960=ORIENTED_EDGE('',*,*,#226473,.T.); #318961=ORIENTED_EDGE('',*,*,#226471,.T.); #318962=ORIENTED_EDGE('',*,*,#226469,.T.); #318963=ORIENTED_EDGE('',*,*,#226476,.T.); #318964=ORIENTED_EDGE('',*,*,#226477,.T.); #318965=ORIENTED_EDGE('',*,*,#226478,.T.); #318966=ORIENTED_EDGE('',*,*,#226479,.T.); #318967=ORIENTED_EDGE('',*,*,#226480,.F.); #318968=ORIENTED_EDGE('',*,*,#226481,.F.); #318969=ORIENTED_EDGE('',*,*,#226482,.F.); #318970=ORIENTED_EDGE('',*,*,#226477,.F.); #318971=ORIENTED_EDGE('',*,*,#226482,.T.); #318972=ORIENTED_EDGE('',*,*,#226483,.F.); #318973=ORIENTED_EDGE('',*,*,#226484,.F.); #318974=ORIENTED_EDGE('',*,*,#226478,.F.); #318975=ORIENTED_EDGE('',*,*,#226484,.T.); #318976=ORIENTED_EDGE('',*,*,#226485,.F.); #318977=ORIENTED_EDGE('',*,*,#226486,.F.); #318978=ORIENTED_EDGE('',*,*,#226479,.F.); #318979=ORIENTED_EDGE('',*,*,#226486,.T.); #318980=ORIENTED_EDGE('',*,*,#226487,.F.); #318981=ORIENTED_EDGE('',*,*,#226480,.T.); #318982=ORIENTED_EDGE('',*,*,#226476,.F.); #318983=ORIENTED_EDGE('',*,*,#226487,.T.); #318984=ORIENTED_EDGE('',*,*,#226485,.T.); #318985=ORIENTED_EDGE('',*,*,#226483,.T.); #318986=ORIENTED_EDGE('',*,*,#226481,.T.); #318987=ORIENTED_EDGE('',*,*,#226488,.F.); #318988=ORIENTED_EDGE('',*,*,#226489,.T.); #318989=ORIENTED_EDGE('',*,*,#226490,.T.); #318990=ORIENTED_EDGE('',*,*,#226489,.F.); #318991=ORIENTED_EDGE('',*,*,#226490,.F.); #318992=ORIENTED_EDGE('',*,*,#226491,.T.); #318993=ORIENTED_EDGE('',*,*,#226492,.T.); #318994=ORIENTED_EDGE('',*,*,#226493,.T.); #318995=ORIENTED_EDGE('',*,*,#226494,.T.); #318996=ORIENTED_EDGE('',*,*,#226495,.T.); #318997=ORIENTED_EDGE('',*,*,#226492,.F.); #318998=ORIENTED_EDGE('',*,*,#226496,.T.); #318999=ORIENTED_EDGE('',*,*,#226497,.F.); #319000=ORIENTED_EDGE('',*,*,#226498,.T.); #319001=ORIENTED_EDGE('',*,*,#226494,.F.); #319002=ORIENTED_EDGE('',*,*,#226499,.T.); #319003=ORIENTED_EDGE('',*,*,#226500,.T.); #319004=ORIENTED_EDGE('',*,*,#226501,.T.); #319005=ORIENTED_EDGE('',*,*,#226500,.F.); #319006=ORIENTED_EDGE('',*,*,#226502,.T.); #319007=ORIENTED_EDGE('',*,*,#226497,.T.); #319008=ORIENTED_EDGE('',*,*,#226499,.F.); #319009=ORIENTED_EDGE('',*,*,#226503,.T.); #319010=ORIENTED_EDGE('',*,*,#226504,.F.); #319011=ORIENTED_EDGE('',*,*,#226505,.F.); #319012=ORIENTED_EDGE('',*,*,#226493,.F.); #319013=ORIENTED_EDGE('',*,*,#226506,.T.); #319014=ORIENTED_EDGE('',*,*,#226507,.F.); #319015=ORIENTED_EDGE('',*,*,#226503,.F.); #319016=ORIENTED_EDGE('',*,*,#226491,.F.); #319017=ORIENTED_EDGE('',*,*,#226498,.F.); #319018=ORIENTED_EDGE('',*,*,#226501,.F.); #319019=ORIENTED_EDGE('',*,*,#226496,.F.); #319020=ORIENTED_EDGE('',*,*,#226488,.T.); #319021=ORIENTED_EDGE('',*,*,#226502,.F.); #319022=ORIENTED_EDGE('',*,*,#226505,.T.); #319023=ORIENTED_EDGE('',*,*,#226508,.F.); #319024=ORIENTED_EDGE('',*,*,#226509,.F.); #319025=ORIENTED_EDGE('',*,*,#226495,.F.); #319026=ORIENTED_EDGE('',*,*,#226509,.T.); #319027=ORIENTED_EDGE('',*,*,#226510,.F.); #319028=ORIENTED_EDGE('',*,*,#226506,.F.); #319029=ORIENTED_EDGE('',*,*,#226507,.T.); #319030=ORIENTED_EDGE('',*,*,#226510,.T.); #319031=ORIENTED_EDGE('',*,*,#226508,.T.); #319032=ORIENTED_EDGE('',*,*,#226504,.T.); #319033=ORIENTED_EDGE('',*,*,#226511,.T.); #319034=ORIENTED_EDGE('',*,*,#226512,.T.); #319035=ORIENTED_EDGE('',*,*,#226513,.F.); #319036=ORIENTED_EDGE('',*,*,#226514,.F.); #319037=ORIENTED_EDGE('',*,*,#226515,.T.); #319038=ORIENTED_EDGE('',*,*,#226514,.T.); #319039=ORIENTED_EDGE('',*,*,#226516,.F.); #319040=ORIENTED_EDGE('',*,*,#226517,.F.); #319041=ORIENTED_EDGE('',*,*,#226518,.T.); #319042=ORIENTED_EDGE('',*,*,#226517,.T.); #319043=ORIENTED_EDGE('',*,*,#226519,.F.); #319044=ORIENTED_EDGE('',*,*,#226520,.F.); #319045=ORIENTED_EDGE('',*,*,#226521,.T.); #319046=ORIENTED_EDGE('',*,*,#226520,.T.); #319047=ORIENTED_EDGE('',*,*,#226522,.F.); #319048=ORIENTED_EDGE('',*,*,#226512,.F.); #319049=ORIENTED_EDGE('',*,*,#226522,.T.); #319050=ORIENTED_EDGE('',*,*,#226519,.T.); #319051=ORIENTED_EDGE('',*,*,#226516,.T.); #319052=ORIENTED_EDGE('',*,*,#226513,.T.); #319053=ORIENTED_EDGE('',*,*,#226521,.F.); #319054=ORIENTED_EDGE('',*,*,#226511,.F.); #319055=ORIENTED_EDGE('',*,*,#226515,.F.); #319056=ORIENTED_EDGE('',*,*,#226518,.F.); #319057=ORIENTED_EDGE('',*,*,#226523,.F.); #319058=ORIENTED_EDGE('',*,*,#226524,.T.); #319059=ORIENTED_EDGE('',*,*,#226525,.F.); #319060=ORIENTED_EDGE('',*,*,#226526,.F.); #319061=ORIENTED_EDGE('',*,*,#226527,.T.); #319062=ORIENTED_EDGE('',*,*,#226526,.T.); #319063=ORIENTED_EDGE('',*,*,#226528,.F.); #319064=ORIENTED_EDGE('',*,*,#226529,.F.); #319065=ORIENTED_EDGE('',*,*,#226530,.T.); #319066=ORIENTED_EDGE('',*,*,#226529,.T.); #319067=ORIENTED_EDGE('',*,*,#226531,.F.); #319068=ORIENTED_EDGE('',*,*,#226532,.F.); #319069=ORIENTED_EDGE('',*,*,#226533,.T.); #319070=ORIENTED_EDGE('',*,*,#226532,.T.); #319071=ORIENTED_EDGE('',*,*,#226534,.F.); #319072=ORIENTED_EDGE('',*,*,#226535,.F.); #319073=ORIENTED_EDGE('',*,*,#226536,.T.); #319074=ORIENTED_EDGE('',*,*,#226535,.T.); #319075=ORIENTED_EDGE('',*,*,#226537,.F.); #319076=ORIENTED_EDGE('',*,*,#226538,.F.); #319077=ORIENTED_EDGE('',*,*,#226539,.T.); #319078=ORIENTED_EDGE('',*,*,#226538,.T.); #319079=ORIENTED_EDGE('',*,*,#226540,.F.); #319080=ORIENTED_EDGE('',*,*,#226524,.F.); #319081=ORIENTED_EDGE('',*,*,#226540,.T.); #319082=ORIENTED_EDGE('',*,*,#226537,.T.); #319083=ORIENTED_EDGE('',*,*,#226534,.T.); #319084=ORIENTED_EDGE('',*,*,#226531,.T.); #319085=ORIENTED_EDGE('',*,*,#226528,.T.); #319086=ORIENTED_EDGE('',*,*,#226525,.T.); #319087=ORIENTED_EDGE('',*,*,#226539,.F.); #319088=ORIENTED_EDGE('',*,*,#226523,.T.); #319089=ORIENTED_EDGE('',*,*,#226527,.F.); #319090=ORIENTED_EDGE('',*,*,#226530,.F.); #319091=ORIENTED_EDGE('',*,*,#226533,.F.); #319092=ORIENTED_EDGE('',*,*,#226536,.F.); #319093=ORIENTED_EDGE('',*,*,#226541,.T.); #319094=ORIENTED_EDGE('',*,*,#226542,.T.); #319095=ORIENTED_EDGE('',*,*,#226543,.T.); #319096=ORIENTED_EDGE('',*,*,#226544,.F.); #319097=ORIENTED_EDGE('',*,*,#226545,.F.); #319098=ORIENTED_EDGE('',*,*,#226546,.T.); #319099=ORIENTED_EDGE('',*,*,#226547,.T.); #319100=ORIENTED_EDGE('',*,*,#226542,.F.); #319101=ORIENTED_EDGE('',*,*,#226548,.F.); #319102=ORIENTED_EDGE('',*,*,#226549,.T.); #319103=ORIENTED_EDGE('',*,*,#226550,.T.); #319104=ORIENTED_EDGE('',*,*,#226546,.F.); #319105=ORIENTED_EDGE('',*,*,#226551,.F.); #319106=ORIENTED_EDGE('',*,*,#226552,.T.); #319107=ORIENTED_EDGE('',*,*,#226553,.T.); #319108=ORIENTED_EDGE('',*,*,#226549,.F.); #319109=ORIENTED_EDGE('',*,*,#226554,.F.); #319110=ORIENTED_EDGE('',*,*,#226555,.T.); #319111=ORIENTED_EDGE('',*,*,#226556,.T.); #319112=ORIENTED_EDGE('',*,*,#226552,.F.); #319113=ORIENTED_EDGE('',*,*,#226557,.F.); #319114=ORIENTED_EDGE('',*,*,#226544,.T.); #319115=ORIENTED_EDGE('',*,*,#226558,.T.); #319116=ORIENTED_EDGE('',*,*,#226555,.F.); #319117=ORIENTED_EDGE('',*,*,#226558,.F.); #319118=ORIENTED_EDGE('',*,*,#226543,.F.); #319119=ORIENTED_EDGE('',*,*,#226547,.F.); #319120=ORIENTED_EDGE('',*,*,#226550,.F.); #319121=ORIENTED_EDGE('',*,*,#226553,.F.); #319122=ORIENTED_EDGE('',*,*,#226556,.F.); #319123=ORIENTED_EDGE('',*,*,#226557,.T.); #319124=ORIENTED_EDGE('',*,*,#226554,.T.); #319125=ORIENTED_EDGE('',*,*,#226551,.T.); #319126=ORIENTED_EDGE('',*,*,#226548,.T.); #319127=ORIENTED_EDGE('',*,*,#226545,.T.); #319128=ORIENTED_EDGE('',*,*,#226541,.F.); #319129=ORIENTED_EDGE('',*,*,#226559,.F.); #319130=ORIENTED_EDGE('',*,*,#226560,.T.); #319131=ORIENTED_EDGE('',*,*,#226561,.F.); #319132=ORIENTED_EDGE('',*,*,#226562,.F.); #319133=ORIENTED_EDGE('',*,*,#226563,.T.); #319134=ORIENTED_EDGE('',*,*,#226562,.T.); #319135=ORIENTED_EDGE('',*,*,#226564,.F.); #319136=ORIENTED_EDGE('',*,*,#226565,.F.); #319137=ORIENTED_EDGE('',*,*,#226566,.T.); #319138=ORIENTED_EDGE('',*,*,#226565,.T.); #319139=ORIENTED_EDGE('',*,*,#226567,.F.); #319140=ORIENTED_EDGE('',*,*,#226568,.F.); #319141=ORIENTED_EDGE('',*,*,#226569,.T.); #319142=ORIENTED_EDGE('',*,*,#226568,.T.); #319143=ORIENTED_EDGE('',*,*,#226570,.F.); #319144=ORIENTED_EDGE('',*,*,#226571,.F.); #319145=ORIENTED_EDGE('',*,*,#226572,.T.); #319146=ORIENTED_EDGE('',*,*,#226571,.T.); #319147=ORIENTED_EDGE('',*,*,#226573,.F.); #319148=ORIENTED_EDGE('',*,*,#226574,.F.); #319149=ORIENTED_EDGE('',*,*,#226575,.T.); #319150=ORIENTED_EDGE('',*,*,#226574,.T.); #319151=ORIENTED_EDGE('',*,*,#226576,.F.); #319152=ORIENTED_EDGE('',*,*,#226560,.F.); #319153=ORIENTED_EDGE('',*,*,#226576,.T.); #319154=ORIENTED_EDGE('',*,*,#226573,.T.); #319155=ORIENTED_EDGE('',*,*,#226570,.T.); #319156=ORIENTED_EDGE('',*,*,#226567,.T.); #319157=ORIENTED_EDGE('',*,*,#226564,.T.); #319158=ORIENTED_EDGE('',*,*,#226561,.T.); #319159=ORIENTED_EDGE('',*,*,#226575,.F.); #319160=ORIENTED_EDGE('',*,*,#226559,.T.); #319161=ORIENTED_EDGE('',*,*,#226563,.F.); #319162=ORIENTED_EDGE('',*,*,#226566,.F.); #319163=ORIENTED_EDGE('',*,*,#226569,.F.); #319164=ORIENTED_EDGE('',*,*,#226572,.F.); #319165=ORIENTED_EDGE('',*,*,#226577,.F.); #319166=ORIENTED_EDGE('',*,*,#226578,.T.); #319167=ORIENTED_EDGE('',*,*,#226579,.F.); #319168=ORIENTED_EDGE('',*,*,#226580,.F.); #319169=ORIENTED_EDGE('',*,*,#226581,.T.); #319170=ORIENTED_EDGE('',*,*,#226580,.T.); #319171=ORIENTED_EDGE('',*,*,#226582,.F.); #319172=ORIENTED_EDGE('',*,*,#226583,.F.); #319173=ORIENTED_EDGE('',*,*,#226584,.T.); #319174=ORIENTED_EDGE('',*,*,#226583,.T.); #319175=ORIENTED_EDGE('',*,*,#226585,.F.); #319176=ORIENTED_EDGE('',*,*,#226586,.F.); #319177=ORIENTED_EDGE('',*,*,#226587,.T.); #319178=ORIENTED_EDGE('',*,*,#226586,.T.); #319179=ORIENTED_EDGE('',*,*,#226588,.F.); #319180=ORIENTED_EDGE('',*,*,#226589,.F.); #319181=ORIENTED_EDGE('',*,*,#226590,.T.); #319182=ORIENTED_EDGE('',*,*,#226589,.T.); #319183=ORIENTED_EDGE('',*,*,#226591,.F.); #319184=ORIENTED_EDGE('',*,*,#226592,.F.); #319185=ORIENTED_EDGE('',*,*,#226593,.T.); #319186=ORIENTED_EDGE('',*,*,#226592,.T.); #319187=ORIENTED_EDGE('',*,*,#226594,.F.); #319188=ORIENTED_EDGE('',*,*,#226578,.F.); #319189=ORIENTED_EDGE('',*,*,#226594,.T.); #319190=ORIENTED_EDGE('',*,*,#226591,.T.); #319191=ORIENTED_EDGE('',*,*,#226588,.T.); #319192=ORIENTED_EDGE('',*,*,#226585,.T.); #319193=ORIENTED_EDGE('',*,*,#226582,.T.); #319194=ORIENTED_EDGE('',*,*,#226579,.T.); #319195=ORIENTED_EDGE('',*,*,#226593,.F.); #319196=ORIENTED_EDGE('',*,*,#226577,.T.); #319197=ORIENTED_EDGE('',*,*,#226581,.F.); #319198=ORIENTED_EDGE('',*,*,#226584,.F.); #319199=ORIENTED_EDGE('',*,*,#226587,.F.); #319200=ORIENTED_EDGE('',*,*,#226590,.F.); #319201=ORIENTED_EDGE('',*,*,#226595,.F.); #319202=ORIENTED_EDGE('',*,*,#226596,.T.); #319203=ORIENTED_EDGE('',*,*,#226597,.F.); #319204=ORIENTED_EDGE('',*,*,#226598,.F.); #319205=ORIENTED_EDGE('',*,*,#226599,.T.); #319206=ORIENTED_EDGE('',*,*,#226598,.T.); #319207=ORIENTED_EDGE('',*,*,#226600,.F.); #319208=ORIENTED_EDGE('',*,*,#226601,.F.); #319209=ORIENTED_EDGE('',*,*,#226602,.T.); #319210=ORIENTED_EDGE('',*,*,#226601,.T.); #319211=ORIENTED_EDGE('',*,*,#226603,.F.); #319212=ORIENTED_EDGE('',*,*,#226604,.F.); #319213=ORIENTED_EDGE('',*,*,#226605,.T.); #319214=ORIENTED_EDGE('',*,*,#226604,.T.); #319215=ORIENTED_EDGE('',*,*,#226606,.F.); #319216=ORIENTED_EDGE('',*,*,#226607,.F.); #319217=ORIENTED_EDGE('',*,*,#226608,.T.); #319218=ORIENTED_EDGE('',*,*,#226607,.T.); #319219=ORIENTED_EDGE('',*,*,#226609,.F.); #319220=ORIENTED_EDGE('',*,*,#226610,.F.); #319221=ORIENTED_EDGE('',*,*,#226611,.T.); #319222=ORIENTED_EDGE('',*,*,#226610,.T.); #319223=ORIENTED_EDGE('',*,*,#226612,.F.); #319224=ORIENTED_EDGE('',*,*,#226596,.F.); #319225=ORIENTED_EDGE('',*,*,#226612,.T.); #319226=ORIENTED_EDGE('',*,*,#226609,.T.); #319227=ORIENTED_EDGE('',*,*,#226606,.T.); #319228=ORIENTED_EDGE('',*,*,#226603,.T.); #319229=ORIENTED_EDGE('',*,*,#226600,.T.); #319230=ORIENTED_EDGE('',*,*,#226597,.T.); #319231=ORIENTED_EDGE('',*,*,#226611,.F.); #319232=ORIENTED_EDGE('',*,*,#226595,.T.); #319233=ORIENTED_EDGE('',*,*,#226599,.F.); #319234=ORIENTED_EDGE('',*,*,#226602,.F.); #319235=ORIENTED_EDGE('',*,*,#226605,.F.); #319236=ORIENTED_EDGE('',*,*,#226608,.F.); #319237=ORIENTED_EDGE('',*,*,#226613,.T.); #319238=ORIENTED_EDGE('',*,*,#226614,.T.); #319239=ORIENTED_EDGE('',*,*,#226615,.T.); #319240=ORIENTED_EDGE('',*,*,#226616,.F.); #319241=ORIENTED_EDGE('',*,*,#226617,.F.); #319242=ORIENTED_EDGE('',*,*,#226618,.T.); #319243=ORIENTED_EDGE('',*,*,#226619,.T.); #319244=ORIENTED_EDGE('',*,*,#226614,.F.); #319245=ORIENTED_EDGE('',*,*,#226620,.F.); #319246=ORIENTED_EDGE('',*,*,#226621,.T.); #319247=ORIENTED_EDGE('',*,*,#226622,.T.); #319248=ORIENTED_EDGE('',*,*,#226618,.F.); #319249=ORIENTED_EDGE('',*,*,#226623,.F.); #319250=ORIENTED_EDGE('',*,*,#226624,.T.); #319251=ORIENTED_EDGE('',*,*,#226625,.T.); #319252=ORIENTED_EDGE('',*,*,#226621,.F.); #319253=ORIENTED_EDGE('',*,*,#226626,.F.); #319254=ORIENTED_EDGE('',*,*,#226627,.T.); #319255=ORIENTED_EDGE('',*,*,#226628,.T.); #319256=ORIENTED_EDGE('',*,*,#226624,.F.); #319257=ORIENTED_EDGE('',*,*,#226629,.F.); #319258=ORIENTED_EDGE('',*,*,#226616,.T.); #319259=ORIENTED_EDGE('',*,*,#226630,.T.); #319260=ORIENTED_EDGE('',*,*,#226627,.F.); #319261=ORIENTED_EDGE('',*,*,#226630,.F.); #319262=ORIENTED_EDGE('',*,*,#226615,.F.); #319263=ORIENTED_EDGE('',*,*,#226619,.F.); #319264=ORIENTED_EDGE('',*,*,#226622,.F.); #319265=ORIENTED_EDGE('',*,*,#226625,.F.); #319266=ORIENTED_EDGE('',*,*,#226628,.F.); #319267=ORIENTED_EDGE('',*,*,#226629,.T.); #319268=ORIENTED_EDGE('',*,*,#226626,.T.); #319269=ORIENTED_EDGE('',*,*,#226623,.T.); #319270=ORIENTED_EDGE('',*,*,#226620,.T.); #319271=ORIENTED_EDGE('',*,*,#226617,.T.); #319272=ORIENTED_EDGE('',*,*,#226613,.F.); #319273=ORIENTED_EDGE('',*,*,#226631,.T.); #319274=ORIENTED_EDGE('',*,*,#226632,.T.); #319275=ORIENTED_EDGE('',*,*,#226633,.T.); #319276=ORIENTED_EDGE('',*,*,#226634,.F.); #319277=ORIENTED_EDGE('',*,*,#226635,.F.); #319278=ORIENTED_EDGE('',*,*,#226636,.T.); #319279=ORIENTED_EDGE('',*,*,#226637,.T.); #319280=ORIENTED_EDGE('',*,*,#226632,.F.); #319281=ORIENTED_EDGE('',*,*,#226638,.F.); #319282=ORIENTED_EDGE('',*,*,#226639,.T.); #319283=ORIENTED_EDGE('',*,*,#226640,.T.); #319284=ORIENTED_EDGE('',*,*,#226636,.F.); #319285=ORIENTED_EDGE('',*,*,#226641,.F.); #319286=ORIENTED_EDGE('',*,*,#226642,.T.); #319287=ORIENTED_EDGE('',*,*,#226643,.T.); #319288=ORIENTED_EDGE('',*,*,#226639,.F.); #319289=ORIENTED_EDGE('',*,*,#226644,.F.); #319290=ORIENTED_EDGE('',*,*,#226645,.T.); #319291=ORIENTED_EDGE('',*,*,#226646,.T.); #319292=ORIENTED_EDGE('',*,*,#226642,.F.); #319293=ORIENTED_EDGE('',*,*,#226647,.F.); #319294=ORIENTED_EDGE('',*,*,#226634,.T.); #319295=ORIENTED_EDGE('',*,*,#226648,.T.); #319296=ORIENTED_EDGE('',*,*,#226645,.F.); #319297=ORIENTED_EDGE('',*,*,#226648,.F.); #319298=ORIENTED_EDGE('',*,*,#226633,.F.); #319299=ORIENTED_EDGE('',*,*,#226637,.F.); #319300=ORIENTED_EDGE('',*,*,#226640,.F.); #319301=ORIENTED_EDGE('',*,*,#226643,.F.); #319302=ORIENTED_EDGE('',*,*,#226646,.F.); #319303=ORIENTED_EDGE('',*,*,#226647,.T.); #319304=ORIENTED_EDGE('',*,*,#226644,.T.); #319305=ORIENTED_EDGE('',*,*,#226641,.T.); #319306=ORIENTED_EDGE('',*,*,#226638,.T.); #319307=ORIENTED_EDGE('',*,*,#226635,.T.); #319308=ORIENTED_EDGE('',*,*,#226631,.F.); #319309=ORIENTED_EDGE('',*,*,#226649,.T.); #319310=ORIENTED_EDGE('',*,*,#226650,.T.); #319311=ORIENTED_EDGE('',*,*,#226651,.T.); #319312=ORIENTED_EDGE('',*,*,#226652,.F.); #319313=ORIENTED_EDGE('',*,*,#226653,.F.); #319314=ORIENTED_EDGE('',*,*,#226654,.T.); #319315=ORIENTED_EDGE('',*,*,#226655,.T.); #319316=ORIENTED_EDGE('',*,*,#226650,.F.); #319317=ORIENTED_EDGE('',*,*,#226656,.F.); #319318=ORIENTED_EDGE('',*,*,#226657,.T.); #319319=ORIENTED_EDGE('',*,*,#226658,.T.); #319320=ORIENTED_EDGE('',*,*,#226654,.F.); #319321=ORIENTED_EDGE('',*,*,#226659,.F.); #319322=ORIENTED_EDGE('',*,*,#226660,.T.); #319323=ORIENTED_EDGE('',*,*,#226661,.T.); #319324=ORIENTED_EDGE('',*,*,#226657,.F.); #319325=ORIENTED_EDGE('',*,*,#226662,.F.); #319326=ORIENTED_EDGE('',*,*,#226663,.T.); #319327=ORIENTED_EDGE('',*,*,#226664,.T.); #319328=ORIENTED_EDGE('',*,*,#226660,.F.); #319329=ORIENTED_EDGE('',*,*,#226665,.F.); #319330=ORIENTED_EDGE('',*,*,#226652,.T.); #319331=ORIENTED_EDGE('',*,*,#226666,.T.); #319332=ORIENTED_EDGE('',*,*,#226663,.F.); #319333=ORIENTED_EDGE('',*,*,#226666,.F.); #319334=ORIENTED_EDGE('',*,*,#226651,.F.); #319335=ORIENTED_EDGE('',*,*,#226655,.F.); #319336=ORIENTED_EDGE('',*,*,#226658,.F.); #319337=ORIENTED_EDGE('',*,*,#226661,.F.); #319338=ORIENTED_EDGE('',*,*,#226664,.F.); #319339=ORIENTED_EDGE('',*,*,#226665,.T.); #319340=ORIENTED_EDGE('',*,*,#226662,.T.); #319341=ORIENTED_EDGE('',*,*,#226659,.T.); #319342=ORIENTED_EDGE('',*,*,#226656,.T.); #319343=ORIENTED_EDGE('',*,*,#226653,.T.); #319344=ORIENTED_EDGE('',*,*,#226649,.F.); #319345=ORIENTED_EDGE('',*,*,#226667,.F.); #319346=ORIENTED_EDGE('',*,*,#226668,.T.); #319347=ORIENTED_EDGE('',*,*,#226669,.T.); #319348=ORIENTED_EDGE('',*,*,#226670,.F.); #319349=ORIENTED_EDGE('',*,*,#226671,.F.); #319350=ORIENTED_EDGE('',*,*,#226672,.T.); #319351=ORIENTED_EDGE('',*,*,#226673,.T.); #319352=ORIENTED_EDGE('',*,*,#226668,.F.); #319353=ORIENTED_EDGE('',*,*,#226674,.F.); #319354=ORIENTED_EDGE('',*,*,#226675,.T.); #319355=ORIENTED_EDGE('',*,*,#226676,.T.); #319356=ORIENTED_EDGE('',*,*,#226672,.F.); #319357=ORIENTED_EDGE('',*,*,#226677,.F.); #319358=ORIENTED_EDGE('',*,*,#226670,.T.); #319359=ORIENTED_EDGE('',*,*,#226678,.T.); #319360=ORIENTED_EDGE('',*,*,#226675,.F.); #319361=ORIENTED_EDGE('',*,*,#226678,.F.); #319362=ORIENTED_EDGE('',*,*,#226669,.F.); #319363=ORIENTED_EDGE('',*,*,#226673,.F.); #319364=ORIENTED_EDGE('',*,*,#226676,.F.); #319365=ORIENTED_EDGE('',*,*,#226677,.T.); #319366=ORIENTED_EDGE('',*,*,#226674,.T.); #319367=ORIENTED_EDGE('',*,*,#226671,.T.); #319368=ORIENTED_EDGE('',*,*,#226667,.T.); #319369=ORIENTED_EDGE('',*,*,#226679,.T.); #319370=ORIENTED_EDGE('',*,*,#226680,.T.); #319371=ORIENTED_EDGE('',*,*,#226681,.F.); #319372=ORIENTED_EDGE('',*,*,#226682,.F.); #319373=ORIENTED_EDGE('',*,*,#226683,.T.); #319374=ORIENTED_EDGE('',*,*,#226682,.T.); #319375=ORIENTED_EDGE('',*,*,#226684,.F.); #319376=ORIENTED_EDGE('',*,*,#226685,.F.); #319377=ORIENTED_EDGE('',*,*,#226686,.T.); #319378=ORIENTED_EDGE('',*,*,#226685,.T.); #319379=ORIENTED_EDGE('',*,*,#226687,.F.); #319380=ORIENTED_EDGE('',*,*,#226688,.F.); #319381=ORIENTED_EDGE('',*,*,#226689,.T.); #319382=ORIENTED_EDGE('',*,*,#226688,.T.); #319383=ORIENTED_EDGE('',*,*,#226690,.F.); #319384=ORIENTED_EDGE('',*,*,#226680,.F.); #319385=ORIENTED_EDGE('',*,*,#226690,.T.); #319386=ORIENTED_EDGE('',*,*,#226687,.T.); #319387=ORIENTED_EDGE('',*,*,#226684,.T.); #319388=ORIENTED_EDGE('',*,*,#226681,.T.); #319389=ORIENTED_EDGE('',*,*,#226689,.F.); #319390=ORIENTED_EDGE('',*,*,#226679,.F.); #319391=ORIENTED_EDGE('',*,*,#226683,.F.); #319392=ORIENTED_EDGE('',*,*,#226686,.F.); #319393=ORIENTED_EDGE('',*,*,#226691,.T.); #319394=ORIENTED_EDGE('',*,*,#226692,.T.); #319395=ORIENTED_EDGE('',*,*,#226693,.F.); #319396=ORIENTED_EDGE('',*,*,#226694,.F.); #319397=ORIENTED_EDGE('',*,*,#226695,.T.); #319398=ORIENTED_EDGE('',*,*,#226694,.T.); #319399=ORIENTED_EDGE('',*,*,#226696,.F.); #319400=ORIENTED_EDGE('',*,*,#226697,.F.); #319401=ORIENTED_EDGE('',*,*,#226698,.T.); #319402=ORIENTED_EDGE('',*,*,#226697,.T.); #319403=ORIENTED_EDGE('',*,*,#226699,.F.); #319404=ORIENTED_EDGE('',*,*,#226700,.F.); #319405=ORIENTED_EDGE('',*,*,#226701,.T.); #319406=ORIENTED_EDGE('',*,*,#226700,.T.); #319407=ORIENTED_EDGE('',*,*,#226702,.F.); #319408=ORIENTED_EDGE('',*,*,#226692,.F.); #319409=ORIENTED_EDGE('',*,*,#226702,.T.); #319410=ORIENTED_EDGE('',*,*,#226699,.T.); #319411=ORIENTED_EDGE('',*,*,#226696,.T.); #319412=ORIENTED_EDGE('',*,*,#226693,.T.); #319413=ORIENTED_EDGE('',*,*,#226701,.F.); #319414=ORIENTED_EDGE('',*,*,#226691,.F.); #319415=ORIENTED_EDGE('',*,*,#226695,.F.); #319416=ORIENTED_EDGE('',*,*,#226698,.F.); #319417=ORIENTED_EDGE('',*,*,#226703,.T.); #319418=ORIENTED_EDGE('',*,*,#226704,.T.); #319419=ORIENTED_EDGE('',*,*,#226705,.F.); #319420=ORIENTED_EDGE('',*,*,#226706,.F.); #319421=ORIENTED_EDGE('',*,*,#226707,.T.); #319422=ORIENTED_EDGE('',*,*,#226706,.T.); #319423=ORIENTED_EDGE('',*,*,#226708,.F.); #319424=ORIENTED_EDGE('',*,*,#226709,.F.); #319425=ORIENTED_EDGE('',*,*,#226710,.T.); #319426=ORIENTED_EDGE('',*,*,#226709,.T.); #319427=ORIENTED_EDGE('',*,*,#226711,.F.); #319428=ORIENTED_EDGE('',*,*,#226712,.F.); #319429=ORIENTED_EDGE('',*,*,#226713,.T.); #319430=ORIENTED_EDGE('',*,*,#226712,.T.); #319431=ORIENTED_EDGE('',*,*,#226714,.F.); #319432=ORIENTED_EDGE('',*,*,#226704,.F.); #319433=ORIENTED_EDGE('',*,*,#226714,.T.); #319434=ORIENTED_EDGE('',*,*,#226711,.T.); #319435=ORIENTED_EDGE('',*,*,#226708,.T.); #319436=ORIENTED_EDGE('',*,*,#226705,.T.); #319437=ORIENTED_EDGE('',*,*,#226713,.F.); #319438=ORIENTED_EDGE('',*,*,#226703,.F.); #319439=ORIENTED_EDGE('',*,*,#226707,.F.); #319440=ORIENTED_EDGE('',*,*,#226710,.F.); #319441=ORIENTED_EDGE('',*,*,#226715,.F.); #319442=ORIENTED_EDGE('',*,*,#226716,.T.); #319443=ORIENTED_EDGE('',*,*,#226717,.T.); #319444=ORIENTED_EDGE('',*,*,#226718,.F.); #319445=ORIENTED_EDGE('',*,*,#226719,.F.); #319446=ORIENTED_EDGE('',*,*,#226720,.T.); #319447=ORIENTED_EDGE('',*,*,#226721,.T.); #319448=ORIENTED_EDGE('',*,*,#226716,.F.); #319449=ORIENTED_EDGE('',*,*,#226722,.F.); #319450=ORIENTED_EDGE('',*,*,#226723,.T.); #319451=ORIENTED_EDGE('',*,*,#226724,.T.); #319452=ORIENTED_EDGE('',*,*,#226720,.F.); #319453=ORIENTED_EDGE('',*,*,#226725,.F.); #319454=ORIENTED_EDGE('',*,*,#226718,.T.); #319455=ORIENTED_EDGE('',*,*,#226726,.T.); #319456=ORIENTED_EDGE('',*,*,#226723,.F.); #319457=ORIENTED_EDGE('',*,*,#226726,.F.); #319458=ORIENTED_EDGE('',*,*,#226717,.F.); #319459=ORIENTED_EDGE('',*,*,#226721,.F.); #319460=ORIENTED_EDGE('',*,*,#226724,.F.); #319461=ORIENTED_EDGE('',*,*,#226725,.T.); #319462=ORIENTED_EDGE('',*,*,#226722,.T.); #319463=ORIENTED_EDGE('',*,*,#226719,.T.); #319464=ORIENTED_EDGE('',*,*,#226715,.T.); #319465=ORIENTED_EDGE('',*,*,#226727,.F.); #319466=ORIENTED_EDGE('',*,*,#226728,.T.); #319467=ORIENTED_EDGE('',*,*,#226729,.T.); #319468=ORIENTED_EDGE('',*,*,#226730,.F.); #319469=ORIENTED_EDGE('',*,*,#226731,.F.); #319470=ORIENTED_EDGE('',*,*,#226732,.T.); #319471=ORIENTED_EDGE('',*,*,#226733,.T.); #319472=ORIENTED_EDGE('',*,*,#226728,.F.); #319473=ORIENTED_EDGE('',*,*,#226734,.F.); #319474=ORIENTED_EDGE('',*,*,#226735,.T.); #319475=ORIENTED_EDGE('',*,*,#226736,.T.); #319476=ORIENTED_EDGE('',*,*,#226732,.F.); #319477=ORIENTED_EDGE('',*,*,#226737,.F.); #319478=ORIENTED_EDGE('',*,*,#226730,.T.); #319479=ORIENTED_EDGE('',*,*,#226738,.T.); #319480=ORIENTED_EDGE('',*,*,#226735,.F.); #319481=ORIENTED_EDGE('',*,*,#226738,.F.); #319482=ORIENTED_EDGE('',*,*,#226729,.F.); #319483=ORIENTED_EDGE('',*,*,#226733,.F.); #319484=ORIENTED_EDGE('',*,*,#226736,.F.); #319485=ORIENTED_EDGE('',*,*,#226737,.T.); #319486=ORIENTED_EDGE('',*,*,#226734,.T.); #319487=ORIENTED_EDGE('',*,*,#226731,.T.); #319488=ORIENTED_EDGE('',*,*,#226727,.T.); #319489=ORIENTED_EDGE('',*,*,#226739,.F.); #319490=ORIENTED_EDGE('',*,*,#226740,.T.); #319491=ORIENTED_EDGE('',*,*,#226741,.T.); #319492=ORIENTED_EDGE('',*,*,#226742,.F.); #319493=ORIENTED_EDGE('',*,*,#226743,.F.); #319494=ORIENTED_EDGE('',*,*,#226744,.T.); #319495=ORIENTED_EDGE('',*,*,#226745,.T.); #319496=ORIENTED_EDGE('',*,*,#226740,.F.); #319497=ORIENTED_EDGE('',*,*,#226746,.F.); #319498=ORIENTED_EDGE('',*,*,#226747,.T.); #319499=ORIENTED_EDGE('',*,*,#226748,.T.); #319500=ORIENTED_EDGE('',*,*,#226744,.F.); #319501=ORIENTED_EDGE('',*,*,#226749,.F.); #319502=ORIENTED_EDGE('',*,*,#226742,.T.); #319503=ORIENTED_EDGE('',*,*,#226750,.T.); #319504=ORIENTED_EDGE('',*,*,#226747,.F.); #319505=ORIENTED_EDGE('',*,*,#226750,.F.); #319506=ORIENTED_EDGE('',*,*,#226741,.F.); #319507=ORIENTED_EDGE('',*,*,#226745,.F.); #319508=ORIENTED_EDGE('',*,*,#226748,.F.); #319509=ORIENTED_EDGE('',*,*,#226749,.T.); #319510=ORIENTED_EDGE('',*,*,#226746,.T.); #319511=ORIENTED_EDGE('',*,*,#226743,.T.); #319512=ORIENTED_EDGE('',*,*,#226739,.T.); #319513=ORIENTED_EDGE('',*,*,#226751,.T.); #319514=ORIENTED_EDGE('',*,*,#226752,.T.); #319515=ORIENTED_EDGE('',*,*,#226753,.F.); #319516=ORIENTED_EDGE('',*,*,#226754,.F.); #319517=ORIENTED_EDGE('',*,*,#226755,.T.); #319518=ORIENTED_EDGE('',*,*,#226754,.T.); #319519=ORIENTED_EDGE('',*,*,#226756,.F.); #319520=ORIENTED_EDGE('',*,*,#226757,.F.); #319521=ORIENTED_EDGE('',*,*,#226758,.T.); #319522=ORIENTED_EDGE('',*,*,#226757,.T.); #319523=ORIENTED_EDGE('',*,*,#226759,.F.); #319524=ORIENTED_EDGE('',*,*,#226760,.F.); #319525=ORIENTED_EDGE('',*,*,#226761,.T.); #319526=ORIENTED_EDGE('',*,*,#226760,.T.); #319527=ORIENTED_EDGE('',*,*,#226762,.F.); #319528=ORIENTED_EDGE('',*,*,#226752,.F.); #319529=ORIENTED_EDGE('',*,*,#226762,.T.); #319530=ORIENTED_EDGE('',*,*,#226759,.T.); #319531=ORIENTED_EDGE('',*,*,#226756,.T.); #319532=ORIENTED_EDGE('',*,*,#226753,.T.); #319533=ORIENTED_EDGE('',*,*,#226761,.F.); #319534=ORIENTED_EDGE('',*,*,#226751,.F.); #319535=ORIENTED_EDGE('',*,*,#226755,.F.); #319536=ORIENTED_EDGE('',*,*,#226758,.F.); #319537=ORIENTED_EDGE('',*,*,#226763,.F.); #319538=ORIENTED_EDGE('',*,*,#226764,.T.); #319539=ORIENTED_EDGE('',*,*,#226765,.T.); #319540=ORIENTED_EDGE('',*,*,#226764,.F.); #319541=ORIENTED_EDGE('',*,*,#226765,.F.); #319542=ORIENTED_EDGE('',*,*,#226766,.T.); #319543=ORIENTED_EDGE('',*,*,#226767,.T.); #319544=ORIENTED_EDGE('',*,*,#226768,.T.); #319545=ORIENTED_EDGE('',*,*,#226769,.T.); #319546=ORIENTED_EDGE('',*,*,#226770,.T.); #319547=ORIENTED_EDGE('',*,*,#226767,.F.); #319548=ORIENTED_EDGE('',*,*,#226771,.T.); #319549=ORIENTED_EDGE('',*,*,#226772,.F.); #319550=ORIENTED_EDGE('',*,*,#226773,.T.); #319551=ORIENTED_EDGE('',*,*,#226769,.F.); #319552=ORIENTED_EDGE('',*,*,#226774,.T.); #319553=ORIENTED_EDGE('',*,*,#226775,.T.); #319554=ORIENTED_EDGE('',*,*,#226776,.T.); #319555=ORIENTED_EDGE('',*,*,#226775,.F.); #319556=ORIENTED_EDGE('',*,*,#226777,.T.); #319557=ORIENTED_EDGE('',*,*,#226772,.T.); #319558=ORIENTED_EDGE('',*,*,#226774,.F.); #319559=ORIENTED_EDGE('',*,*,#226778,.T.); #319560=ORIENTED_EDGE('',*,*,#226779,.F.); #319561=ORIENTED_EDGE('',*,*,#226780,.F.); #319562=ORIENTED_EDGE('',*,*,#226768,.F.); #319563=ORIENTED_EDGE('',*,*,#226781,.T.); #319564=ORIENTED_EDGE('',*,*,#226782,.F.); #319565=ORIENTED_EDGE('',*,*,#226778,.F.); #319566=ORIENTED_EDGE('',*,*,#226766,.F.); #319567=ORIENTED_EDGE('',*,*,#226773,.F.); #319568=ORIENTED_EDGE('',*,*,#226776,.F.); #319569=ORIENTED_EDGE('',*,*,#226771,.F.); #319570=ORIENTED_EDGE('',*,*,#226763,.T.); #319571=ORIENTED_EDGE('',*,*,#226777,.F.); #319572=ORIENTED_EDGE('',*,*,#226780,.T.); #319573=ORIENTED_EDGE('',*,*,#226783,.F.); #319574=ORIENTED_EDGE('',*,*,#226784,.F.); #319575=ORIENTED_EDGE('',*,*,#226770,.F.); #319576=ORIENTED_EDGE('',*,*,#226784,.T.); #319577=ORIENTED_EDGE('',*,*,#226785,.F.); #319578=ORIENTED_EDGE('',*,*,#226781,.F.); #319579=ORIENTED_EDGE('',*,*,#226782,.T.); #319580=ORIENTED_EDGE('',*,*,#226785,.T.); #319581=ORIENTED_EDGE('',*,*,#226783,.T.); #319582=ORIENTED_EDGE('',*,*,#226779,.T.); #319583=ORIENTED_EDGE('',*,*,#226786,.T.); #319584=ORIENTED_EDGE('',*,*,#226787,.T.); #319585=ORIENTED_EDGE('',*,*,#226788,.F.); #319586=ORIENTED_EDGE('',*,*,#226789,.F.); #319587=ORIENTED_EDGE('',*,*,#226790,.T.); #319588=ORIENTED_EDGE('',*,*,#226789,.T.); #319589=ORIENTED_EDGE('',*,*,#226791,.F.); #319590=ORIENTED_EDGE('',*,*,#226792,.F.); #319591=ORIENTED_EDGE('',*,*,#226793,.T.); #319592=ORIENTED_EDGE('',*,*,#226792,.T.); #319593=ORIENTED_EDGE('',*,*,#226794,.F.); #319594=ORIENTED_EDGE('',*,*,#226795,.F.); #319595=ORIENTED_EDGE('',*,*,#226796,.T.); #319596=ORIENTED_EDGE('',*,*,#226795,.T.); #319597=ORIENTED_EDGE('',*,*,#226797,.F.); #319598=ORIENTED_EDGE('',*,*,#226787,.F.); #319599=ORIENTED_EDGE('',*,*,#226797,.T.); #319600=ORIENTED_EDGE('',*,*,#226794,.T.); #319601=ORIENTED_EDGE('',*,*,#226791,.T.); #319602=ORIENTED_EDGE('',*,*,#226788,.T.); #319603=ORIENTED_EDGE('',*,*,#226796,.F.); #319604=ORIENTED_EDGE('',*,*,#226786,.F.); #319605=ORIENTED_EDGE('',*,*,#226790,.F.); #319606=ORIENTED_EDGE('',*,*,#226793,.F.); #319607=ORIENTED_EDGE('',*,*,#226798,.F.); #319608=ORIENTED_EDGE('',*,*,#226799,.T.); #319609=ORIENTED_EDGE('',*,*,#226800,.F.); #319610=ORIENTED_EDGE('',*,*,#226801,.F.); #319611=ORIENTED_EDGE('',*,*,#226802,.T.); #319612=ORIENTED_EDGE('',*,*,#226801,.T.); #319613=ORIENTED_EDGE('',*,*,#226803,.F.); #319614=ORIENTED_EDGE('',*,*,#226804,.F.); #319615=ORIENTED_EDGE('',*,*,#226805,.T.); #319616=ORIENTED_EDGE('',*,*,#226804,.T.); #319617=ORIENTED_EDGE('',*,*,#226806,.F.); #319618=ORIENTED_EDGE('',*,*,#226807,.F.); #319619=ORIENTED_EDGE('',*,*,#226808,.T.); #319620=ORIENTED_EDGE('',*,*,#226807,.T.); #319621=ORIENTED_EDGE('',*,*,#226809,.F.); #319622=ORIENTED_EDGE('',*,*,#226810,.F.); #319623=ORIENTED_EDGE('',*,*,#226811,.T.); #319624=ORIENTED_EDGE('',*,*,#226810,.T.); #319625=ORIENTED_EDGE('',*,*,#226812,.F.); #319626=ORIENTED_EDGE('',*,*,#226813,.F.); #319627=ORIENTED_EDGE('',*,*,#226814,.T.); #319628=ORIENTED_EDGE('',*,*,#226813,.T.); #319629=ORIENTED_EDGE('',*,*,#226815,.F.); #319630=ORIENTED_EDGE('',*,*,#226799,.F.); #319631=ORIENTED_EDGE('',*,*,#226815,.T.); #319632=ORIENTED_EDGE('',*,*,#226812,.T.); #319633=ORIENTED_EDGE('',*,*,#226809,.T.); #319634=ORIENTED_EDGE('',*,*,#226806,.T.); #319635=ORIENTED_EDGE('',*,*,#226803,.T.); #319636=ORIENTED_EDGE('',*,*,#226800,.T.); #319637=ORIENTED_EDGE('',*,*,#226814,.F.); #319638=ORIENTED_EDGE('',*,*,#226798,.T.); #319639=ORIENTED_EDGE('',*,*,#226802,.F.); #319640=ORIENTED_EDGE('',*,*,#226805,.F.); #319641=ORIENTED_EDGE('',*,*,#226808,.F.); #319642=ORIENTED_EDGE('',*,*,#226811,.F.); #319643=ORIENTED_EDGE('',*,*,#226816,.T.); #319644=ORIENTED_EDGE('',*,*,#226817,.T.); #319645=ORIENTED_EDGE('',*,*,#226818,.T.); #319646=ORIENTED_EDGE('',*,*,#226819,.F.); #319647=ORIENTED_EDGE('',*,*,#226820,.F.); #319648=ORIENTED_EDGE('',*,*,#226821,.T.); #319649=ORIENTED_EDGE('',*,*,#226822,.T.); #319650=ORIENTED_EDGE('',*,*,#226817,.F.); #319651=ORIENTED_EDGE('',*,*,#226823,.F.); #319652=ORIENTED_EDGE('',*,*,#226824,.T.); #319653=ORIENTED_EDGE('',*,*,#226825,.T.); #319654=ORIENTED_EDGE('',*,*,#226821,.F.); #319655=ORIENTED_EDGE('',*,*,#226826,.F.); #319656=ORIENTED_EDGE('',*,*,#226827,.T.); #319657=ORIENTED_EDGE('',*,*,#226828,.T.); #319658=ORIENTED_EDGE('',*,*,#226824,.F.); #319659=ORIENTED_EDGE('',*,*,#226829,.F.); #319660=ORIENTED_EDGE('',*,*,#226830,.T.); #319661=ORIENTED_EDGE('',*,*,#226831,.T.); #319662=ORIENTED_EDGE('',*,*,#226827,.F.); #319663=ORIENTED_EDGE('',*,*,#226832,.F.); #319664=ORIENTED_EDGE('',*,*,#226819,.T.); #319665=ORIENTED_EDGE('',*,*,#226833,.T.); #319666=ORIENTED_EDGE('',*,*,#226830,.F.); #319667=ORIENTED_EDGE('',*,*,#226833,.F.); #319668=ORIENTED_EDGE('',*,*,#226818,.F.); #319669=ORIENTED_EDGE('',*,*,#226822,.F.); #319670=ORIENTED_EDGE('',*,*,#226825,.F.); #319671=ORIENTED_EDGE('',*,*,#226828,.F.); #319672=ORIENTED_EDGE('',*,*,#226831,.F.); #319673=ORIENTED_EDGE('',*,*,#226832,.T.); #319674=ORIENTED_EDGE('',*,*,#226829,.T.); #319675=ORIENTED_EDGE('',*,*,#226826,.T.); #319676=ORIENTED_EDGE('',*,*,#226823,.T.); #319677=ORIENTED_EDGE('',*,*,#226820,.T.); #319678=ORIENTED_EDGE('',*,*,#226816,.F.); #319679=ORIENTED_EDGE('',*,*,#226834,.F.); #319680=ORIENTED_EDGE('',*,*,#226835,.T.); #319681=ORIENTED_EDGE('',*,*,#226836,.F.); #319682=ORIENTED_EDGE('',*,*,#226837,.F.); #319683=ORIENTED_EDGE('',*,*,#226838,.T.); #319684=ORIENTED_EDGE('',*,*,#226837,.T.); #319685=ORIENTED_EDGE('',*,*,#226839,.F.); #319686=ORIENTED_EDGE('',*,*,#226840,.F.); #319687=ORIENTED_EDGE('',*,*,#226841,.T.); #319688=ORIENTED_EDGE('',*,*,#226840,.T.); #319689=ORIENTED_EDGE('',*,*,#226842,.F.); #319690=ORIENTED_EDGE('',*,*,#226843,.F.); #319691=ORIENTED_EDGE('',*,*,#226844,.T.); #319692=ORIENTED_EDGE('',*,*,#226843,.T.); #319693=ORIENTED_EDGE('',*,*,#226845,.F.); #319694=ORIENTED_EDGE('',*,*,#226846,.F.); #319695=ORIENTED_EDGE('',*,*,#226847,.T.); #319696=ORIENTED_EDGE('',*,*,#226846,.T.); #319697=ORIENTED_EDGE('',*,*,#226848,.F.); #319698=ORIENTED_EDGE('',*,*,#226849,.F.); #319699=ORIENTED_EDGE('',*,*,#226850,.T.); #319700=ORIENTED_EDGE('',*,*,#226849,.T.); #319701=ORIENTED_EDGE('',*,*,#226851,.F.); #319702=ORIENTED_EDGE('',*,*,#226835,.F.); #319703=ORIENTED_EDGE('',*,*,#226851,.T.); #319704=ORIENTED_EDGE('',*,*,#226848,.T.); #319705=ORIENTED_EDGE('',*,*,#226845,.T.); #319706=ORIENTED_EDGE('',*,*,#226842,.T.); #319707=ORIENTED_EDGE('',*,*,#226839,.T.); #319708=ORIENTED_EDGE('',*,*,#226836,.T.); #319709=ORIENTED_EDGE('',*,*,#226850,.F.); #319710=ORIENTED_EDGE('',*,*,#226834,.T.); #319711=ORIENTED_EDGE('',*,*,#226838,.F.); #319712=ORIENTED_EDGE('',*,*,#226841,.F.); #319713=ORIENTED_EDGE('',*,*,#226844,.F.); #319714=ORIENTED_EDGE('',*,*,#226847,.F.); #319715=ORIENTED_EDGE('',*,*,#226852,.F.); #319716=ORIENTED_EDGE('',*,*,#226853,.T.); #319717=ORIENTED_EDGE('',*,*,#226854,.F.); #319718=ORIENTED_EDGE('',*,*,#226855,.F.); #319719=ORIENTED_EDGE('',*,*,#226856,.T.); #319720=ORIENTED_EDGE('',*,*,#226855,.T.); #319721=ORIENTED_EDGE('',*,*,#226857,.F.); #319722=ORIENTED_EDGE('',*,*,#226858,.F.); #319723=ORIENTED_EDGE('',*,*,#226859,.T.); #319724=ORIENTED_EDGE('',*,*,#226858,.T.); #319725=ORIENTED_EDGE('',*,*,#226860,.F.); #319726=ORIENTED_EDGE('',*,*,#226861,.F.); #319727=ORIENTED_EDGE('',*,*,#226862,.T.); #319728=ORIENTED_EDGE('',*,*,#226861,.T.); #319729=ORIENTED_EDGE('',*,*,#226863,.F.); #319730=ORIENTED_EDGE('',*,*,#226864,.F.); #319731=ORIENTED_EDGE('',*,*,#226865,.T.); #319732=ORIENTED_EDGE('',*,*,#226864,.T.); #319733=ORIENTED_EDGE('',*,*,#226866,.F.); #319734=ORIENTED_EDGE('',*,*,#226867,.F.); #319735=ORIENTED_EDGE('',*,*,#226868,.T.); #319736=ORIENTED_EDGE('',*,*,#226867,.T.); #319737=ORIENTED_EDGE('',*,*,#226869,.F.); #319738=ORIENTED_EDGE('',*,*,#226853,.F.); #319739=ORIENTED_EDGE('',*,*,#226869,.T.); #319740=ORIENTED_EDGE('',*,*,#226866,.T.); #319741=ORIENTED_EDGE('',*,*,#226863,.T.); #319742=ORIENTED_EDGE('',*,*,#226860,.T.); #319743=ORIENTED_EDGE('',*,*,#226857,.T.); #319744=ORIENTED_EDGE('',*,*,#226854,.T.); #319745=ORIENTED_EDGE('',*,*,#226868,.F.); #319746=ORIENTED_EDGE('',*,*,#226852,.T.); #319747=ORIENTED_EDGE('',*,*,#226856,.F.); #319748=ORIENTED_EDGE('',*,*,#226859,.F.); #319749=ORIENTED_EDGE('',*,*,#226862,.F.); #319750=ORIENTED_EDGE('',*,*,#226865,.F.); #319751=ORIENTED_EDGE('',*,*,#226870,.F.); #319752=ORIENTED_EDGE('',*,*,#226871,.T.); #319753=ORIENTED_EDGE('',*,*,#226872,.F.); #319754=ORIENTED_EDGE('',*,*,#226873,.F.); #319755=ORIENTED_EDGE('',*,*,#226874,.T.); #319756=ORIENTED_EDGE('',*,*,#226873,.T.); #319757=ORIENTED_EDGE('',*,*,#226875,.F.); #319758=ORIENTED_EDGE('',*,*,#226876,.F.); #319759=ORIENTED_EDGE('',*,*,#226877,.T.); #319760=ORIENTED_EDGE('',*,*,#226876,.T.); #319761=ORIENTED_EDGE('',*,*,#226878,.F.); #319762=ORIENTED_EDGE('',*,*,#226879,.F.); #319763=ORIENTED_EDGE('',*,*,#226880,.T.); #319764=ORIENTED_EDGE('',*,*,#226879,.T.); #319765=ORIENTED_EDGE('',*,*,#226881,.F.); #319766=ORIENTED_EDGE('',*,*,#226882,.F.); #319767=ORIENTED_EDGE('',*,*,#226883,.T.); #319768=ORIENTED_EDGE('',*,*,#226882,.T.); #319769=ORIENTED_EDGE('',*,*,#226884,.F.); #319770=ORIENTED_EDGE('',*,*,#226885,.F.); #319771=ORIENTED_EDGE('',*,*,#226886,.T.); #319772=ORIENTED_EDGE('',*,*,#226885,.T.); #319773=ORIENTED_EDGE('',*,*,#226887,.F.); #319774=ORIENTED_EDGE('',*,*,#226871,.F.); #319775=ORIENTED_EDGE('',*,*,#226887,.T.); #319776=ORIENTED_EDGE('',*,*,#226884,.T.); #319777=ORIENTED_EDGE('',*,*,#226881,.T.); #319778=ORIENTED_EDGE('',*,*,#226878,.T.); #319779=ORIENTED_EDGE('',*,*,#226875,.T.); #319780=ORIENTED_EDGE('',*,*,#226872,.T.); #319781=ORIENTED_EDGE('',*,*,#226886,.F.); #319782=ORIENTED_EDGE('',*,*,#226870,.T.); #319783=ORIENTED_EDGE('',*,*,#226874,.F.); #319784=ORIENTED_EDGE('',*,*,#226877,.F.); #319785=ORIENTED_EDGE('',*,*,#226880,.F.); #319786=ORIENTED_EDGE('',*,*,#226883,.F.); #319787=ORIENTED_EDGE('',*,*,#226888,.T.); #319788=ORIENTED_EDGE('',*,*,#226889,.T.); #319789=ORIENTED_EDGE('',*,*,#226890,.T.); #319790=ORIENTED_EDGE('',*,*,#226891,.F.); #319791=ORIENTED_EDGE('',*,*,#226892,.F.); #319792=ORIENTED_EDGE('',*,*,#226893,.T.); #319793=ORIENTED_EDGE('',*,*,#226894,.T.); #319794=ORIENTED_EDGE('',*,*,#226889,.F.); #319795=ORIENTED_EDGE('',*,*,#226895,.F.); #319796=ORIENTED_EDGE('',*,*,#226896,.T.); #319797=ORIENTED_EDGE('',*,*,#226897,.T.); #319798=ORIENTED_EDGE('',*,*,#226893,.F.); #319799=ORIENTED_EDGE('',*,*,#226898,.F.); #319800=ORIENTED_EDGE('',*,*,#226899,.T.); #319801=ORIENTED_EDGE('',*,*,#226900,.T.); #319802=ORIENTED_EDGE('',*,*,#226896,.F.); #319803=ORIENTED_EDGE('',*,*,#226901,.F.); #319804=ORIENTED_EDGE('',*,*,#226902,.T.); #319805=ORIENTED_EDGE('',*,*,#226903,.T.); #319806=ORIENTED_EDGE('',*,*,#226899,.F.); #319807=ORIENTED_EDGE('',*,*,#226904,.F.); #319808=ORIENTED_EDGE('',*,*,#226891,.T.); #319809=ORIENTED_EDGE('',*,*,#226905,.T.); #319810=ORIENTED_EDGE('',*,*,#226902,.F.); #319811=ORIENTED_EDGE('',*,*,#226905,.F.); #319812=ORIENTED_EDGE('',*,*,#226890,.F.); #319813=ORIENTED_EDGE('',*,*,#226894,.F.); #319814=ORIENTED_EDGE('',*,*,#226897,.F.); #319815=ORIENTED_EDGE('',*,*,#226900,.F.); #319816=ORIENTED_EDGE('',*,*,#226903,.F.); #319817=ORIENTED_EDGE('',*,*,#226904,.T.); #319818=ORIENTED_EDGE('',*,*,#226901,.T.); #319819=ORIENTED_EDGE('',*,*,#226898,.T.); #319820=ORIENTED_EDGE('',*,*,#226895,.T.); #319821=ORIENTED_EDGE('',*,*,#226892,.T.); #319822=ORIENTED_EDGE('',*,*,#226888,.F.); #319823=ORIENTED_EDGE('',*,*,#226906,.T.); #319824=ORIENTED_EDGE('',*,*,#226907,.T.); #319825=ORIENTED_EDGE('',*,*,#226908,.T.); #319826=ORIENTED_EDGE('',*,*,#226909,.F.); #319827=ORIENTED_EDGE('',*,*,#226910,.F.); #319828=ORIENTED_EDGE('',*,*,#226911,.T.); #319829=ORIENTED_EDGE('',*,*,#226912,.T.); #319830=ORIENTED_EDGE('',*,*,#226907,.F.); #319831=ORIENTED_EDGE('',*,*,#226913,.F.); #319832=ORIENTED_EDGE('',*,*,#226914,.T.); #319833=ORIENTED_EDGE('',*,*,#226915,.T.); #319834=ORIENTED_EDGE('',*,*,#226911,.F.); #319835=ORIENTED_EDGE('',*,*,#226916,.F.); #319836=ORIENTED_EDGE('',*,*,#226917,.T.); #319837=ORIENTED_EDGE('',*,*,#226918,.T.); #319838=ORIENTED_EDGE('',*,*,#226914,.F.); #319839=ORIENTED_EDGE('',*,*,#226919,.F.); #319840=ORIENTED_EDGE('',*,*,#226920,.T.); #319841=ORIENTED_EDGE('',*,*,#226921,.T.); #319842=ORIENTED_EDGE('',*,*,#226917,.F.); #319843=ORIENTED_EDGE('',*,*,#226922,.F.); #319844=ORIENTED_EDGE('',*,*,#226909,.T.); #319845=ORIENTED_EDGE('',*,*,#226923,.T.); #319846=ORIENTED_EDGE('',*,*,#226920,.F.); #319847=ORIENTED_EDGE('',*,*,#226923,.F.); #319848=ORIENTED_EDGE('',*,*,#226908,.F.); #319849=ORIENTED_EDGE('',*,*,#226912,.F.); #319850=ORIENTED_EDGE('',*,*,#226915,.F.); #319851=ORIENTED_EDGE('',*,*,#226918,.F.); #319852=ORIENTED_EDGE('',*,*,#226921,.F.); #319853=ORIENTED_EDGE('',*,*,#226922,.T.); #319854=ORIENTED_EDGE('',*,*,#226919,.T.); #319855=ORIENTED_EDGE('',*,*,#226916,.T.); #319856=ORIENTED_EDGE('',*,*,#226913,.T.); #319857=ORIENTED_EDGE('',*,*,#226910,.T.); #319858=ORIENTED_EDGE('',*,*,#226906,.F.); #319859=ORIENTED_EDGE('',*,*,#226924,.T.); #319860=ORIENTED_EDGE('',*,*,#226925,.T.); #319861=ORIENTED_EDGE('',*,*,#226926,.T.); #319862=ORIENTED_EDGE('',*,*,#226927,.F.); #319863=ORIENTED_EDGE('',*,*,#226928,.F.); #319864=ORIENTED_EDGE('',*,*,#226929,.T.); #319865=ORIENTED_EDGE('',*,*,#226930,.T.); #319866=ORIENTED_EDGE('',*,*,#226925,.F.); #319867=ORIENTED_EDGE('',*,*,#226931,.F.); #319868=ORIENTED_EDGE('',*,*,#226932,.T.); #319869=ORIENTED_EDGE('',*,*,#226933,.T.); #319870=ORIENTED_EDGE('',*,*,#226929,.F.); #319871=ORIENTED_EDGE('',*,*,#226934,.F.); #319872=ORIENTED_EDGE('',*,*,#226935,.T.); #319873=ORIENTED_EDGE('',*,*,#226936,.T.); #319874=ORIENTED_EDGE('',*,*,#226932,.F.); #319875=ORIENTED_EDGE('',*,*,#226937,.F.); #319876=ORIENTED_EDGE('',*,*,#226938,.T.); #319877=ORIENTED_EDGE('',*,*,#226939,.T.); #319878=ORIENTED_EDGE('',*,*,#226935,.F.); #319879=ORIENTED_EDGE('',*,*,#226940,.F.); #319880=ORIENTED_EDGE('',*,*,#226927,.T.); #319881=ORIENTED_EDGE('',*,*,#226941,.T.); #319882=ORIENTED_EDGE('',*,*,#226938,.F.); #319883=ORIENTED_EDGE('',*,*,#226941,.F.); #319884=ORIENTED_EDGE('',*,*,#226926,.F.); #319885=ORIENTED_EDGE('',*,*,#226930,.F.); #319886=ORIENTED_EDGE('',*,*,#226933,.F.); #319887=ORIENTED_EDGE('',*,*,#226936,.F.); #319888=ORIENTED_EDGE('',*,*,#226939,.F.); #319889=ORIENTED_EDGE('',*,*,#226940,.T.); #319890=ORIENTED_EDGE('',*,*,#226937,.T.); #319891=ORIENTED_EDGE('',*,*,#226934,.T.); #319892=ORIENTED_EDGE('',*,*,#226931,.T.); #319893=ORIENTED_EDGE('',*,*,#226928,.T.); #319894=ORIENTED_EDGE('',*,*,#226924,.F.); #319895=ORIENTED_EDGE('',*,*,#226942,.F.); #319896=ORIENTED_EDGE('',*,*,#226943,.T.); #319897=ORIENTED_EDGE('',*,*,#226944,.T.); #319898=ORIENTED_EDGE('',*,*,#226945,.F.); #319899=ORIENTED_EDGE('',*,*,#226946,.F.); #319900=ORIENTED_EDGE('',*,*,#226947,.T.); #319901=ORIENTED_EDGE('',*,*,#226948,.T.); #319902=ORIENTED_EDGE('',*,*,#226943,.F.); #319903=ORIENTED_EDGE('',*,*,#226949,.F.); #319904=ORIENTED_EDGE('',*,*,#226950,.T.); #319905=ORIENTED_EDGE('',*,*,#226951,.T.); #319906=ORIENTED_EDGE('',*,*,#226947,.F.); #319907=ORIENTED_EDGE('',*,*,#226952,.F.); #319908=ORIENTED_EDGE('',*,*,#226945,.T.); #319909=ORIENTED_EDGE('',*,*,#226953,.T.); #319910=ORIENTED_EDGE('',*,*,#226950,.F.); #319911=ORIENTED_EDGE('',*,*,#226953,.F.); #319912=ORIENTED_EDGE('',*,*,#226944,.F.); #319913=ORIENTED_EDGE('',*,*,#226948,.F.); #319914=ORIENTED_EDGE('',*,*,#226951,.F.); #319915=ORIENTED_EDGE('',*,*,#226952,.T.); #319916=ORIENTED_EDGE('',*,*,#226949,.T.); #319917=ORIENTED_EDGE('',*,*,#226946,.T.); #319918=ORIENTED_EDGE('',*,*,#226942,.T.); #319919=ORIENTED_EDGE('',*,*,#226954,.T.); #319920=ORIENTED_EDGE('',*,*,#226955,.T.); #319921=ORIENTED_EDGE('',*,*,#226956,.F.); #319922=ORIENTED_EDGE('',*,*,#226957,.F.); #319923=ORIENTED_EDGE('',*,*,#226958,.T.); #319924=ORIENTED_EDGE('',*,*,#226957,.T.); #319925=ORIENTED_EDGE('',*,*,#226959,.F.); #319926=ORIENTED_EDGE('',*,*,#226960,.F.); #319927=ORIENTED_EDGE('',*,*,#226961,.T.); #319928=ORIENTED_EDGE('',*,*,#226960,.T.); #319929=ORIENTED_EDGE('',*,*,#226962,.F.); #319930=ORIENTED_EDGE('',*,*,#226963,.F.); #319931=ORIENTED_EDGE('',*,*,#226964,.T.); #319932=ORIENTED_EDGE('',*,*,#226963,.T.); #319933=ORIENTED_EDGE('',*,*,#226965,.F.); #319934=ORIENTED_EDGE('',*,*,#226955,.F.); #319935=ORIENTED_EDGE('',*,*,#226965,.T.); #319936=ORIENTED_EDGE('',*,*,#226962,.T.); #319937=ORIENTED_EDGE('',*,*,#226959,.T.); #319938=ORIENTED_EDGE('',*,*,#226956,.T.); #319939=ORIENTED_EDGE('',*,*,#226964,.F.); #319940=ORIENTED_EDGE('',*,*,#226954,.F.); #319941=ORIENTED_EDGE('',*,*,#226958,.F.); #319942=ORIENTED_EDGE('',*,*,#226961,.F.); #319943=ORIENTED_EDGE('',*,*,#226966,.T.); #319944=ORIENTED_EDGE('',*,*,#226967,.T.); #319945=ORIENTED_EDGE('',*,*,#226968,.F.); #319946=ORIENTED_EDGE('',*,*,#226969,.F.); #319947=ORIENTED_EDGE('',*,*,#226970,.T.); #319948=ORIENTED_EDGE('',*,*,#226969,.T.); #319949=ORIENTED_EDGE('',*,*,#226971,.F.); #319950=ORIENTED_EDGE('',*,*,#226972,.F.); #319951=ORIENTED_EDGE('',*,*,#226973,.T.); #319952=ORIENTED_EDGE('',*,*,#226972,.T.); #319953=ORIENTED_EDGE('',*,*,#226974,.F.); #319954=ORIENTED_EDGE('',*,*,#226975,.F.); #319955=ORIENTED_EDGE('',*,*,#226976,.T.); #319956=ORIENTED_EDGE('',*,*,#226975,.T.); #319957=ORIENTED_EDGE('',*,*,#226977,.F.); #319958=ORIENTED_EDGE('',*,*,#226967,.F.); #319959=ORIENTED_EDGE('',*,*,#226977,.T.); #319960=ORIENTED_EDGE('',*,*,#226974,.T.); #319961=ORIENTED_EDGE('',*,*,#226971,.T.); #319962=ORIENTED_EDGE('',*,*,#226968,.T.); #319963=ORIENTED_EDGE('',*,*,#226976,.F.); #319964=ORIENTED_EDGE('',*,*,#226966,.F.); #319965=ORIENTED_EDGE('',*,*,#226970,.F.); #319966=ORIENTED_EDGE('',*,*,#226973,.F.); #319967=ORIENTED_EDGE('',*,*,#226978,.T.); #319968=ORIENTED_EDGE('',*,*,#226979,.T.); #319969=ORIENTED_EDGE('',*,*,#226980,.F.); #319970=ORIENTED_EDGE('',*,*,#226981,.F.); #319971=ORIENTED_EDGE('',*,*,#226982,.T.); #319972=ORIENTED_EDGE('',*,*,#226981,.T.); #319973=ORIENTED_EDGE('',*,*,#226983,.F.); #319974=ORIENTED_EDGE('',*,*,#226984,.F.); #319975=ORIENTED_EDGE('',*,*,#226985,.T.); #319976=ORIENTED_EDGE('',*,*,#226984,.T.); #319977=ORIENTED_EDGE('',*,*,#226986,.F.); #319978=ORIENTED_EDGE('',*,*,#226987,.F.); #319979=ORIENTED_EDGE('',*,*,#226988,.T.); #319980=ORIENTED_EDGE('',*,*,#226987,.T.); #319981=ORIENTED_EDGE('',*,*,#226989,.F.); #319982=ORIENTED_EDGE('',*,*,#226979,.F.); #319983=ORIENTED_EDGE('',*,*,#226989,.T.); #319984=ORIENTED_EDGE('',*,*,#226986,.T.); #319985=ORIENTED_EDGE('',*,*,#226983,.T.); #319986=ORIENTED_EDGE('',*,*,#226980,.T.); #319987=ORIENTED_EDGE('',*,*,#226988,.F.); #319988=ORIENTED_EDGE('',*,*,#226978,.F.); #319989=ORIENTED_EDGE('',*,*,#226982,.F.); #319990=ORIENTED_EDGE('',*,*,#226985,.F.); #319991=ORIENTED_EDGE('',*,*,#226990,.F.); #319992=ORIENTED_EDGE('',*,*,#226991,.T.); #319993=ORIENTED_EDGE('',*,*,#226992,.T.); #319994=ORIENTED_EDGE('',*,*,#226993,.F.); #319995=ORIENTED_EDGE('',*,*,#226994,.F.); #319996=ORIENTED_EDGE('',*,*,#226995,.T.); #319997=ORIENTED_EDGE('',*,*,#226996,.T.); #319998=ORIENTED_EDGE('',*,*,#226991,.F.); #319999=ORIENTED_EDGE('',*,*,#226997,.F.); #320000=ORIENTED_EDGE('',*,*,#226998,.T.); #320001=ORIENTED_EDGE('',*,*,#226999,.T.); #320002=ORIENTED_EDGE('',*,*,#226995,.F.); #320003=ORIENTED_EDGE('',*,*,#227000,.F.); #320004=ORIENTED_EDGE('',*,*,#226993,.T.); #320005=ORIENTED_EDGE('',*,*,#227001,.T.); #320006=ORIENTED_EDGE('',*,*,#226998,.F.); #320007=ORIENTED_EDGE('',*,*,#227001,.F.); #320008=ORIENTED_EDGE('',*,*,#226992,.F.); #320009=ORIENTED_EDGE('',*,*,#226996,.F.); #320010=ORIENTED_EDGE('',*,*,#226999,.F.); #320011=ORIENTED_EDGE('',*,*,#227000,.T.); #320012=ORIENTED_EDGE('',*,*,#226997,.T.); #320013=ORIENTED_EDGE('',*,*,#226994,.T.); #320014=ORIENTED_EDGE('',*,*,#226990,.T.); #320015=ORIENTED_EDGE('',*,*,#227002,.F.); #320016=ORIENTED_EDGE('',*,*,#227003,.T.); #320017=ORIENTED_EDGE('',*,*,#227004,.T.); #320018=ORIENTED_EDGE('',*,*,#227005,.F.); #320019=ORIENTED_EDGE('',*,*,#227006,.F.); #320020=ORIENTED_EDGE('',*,*,#227007,.T.); #320021=ORIENTED_EDGE('',*,*,#227008,.T.); #320022=ORIENTED_EDGE('',*,*,#227003,.F.); #320023=ORIENTED_EDGE('',*,*,#227009,.F.); #320024=ORIENTED_EDGE('',*,*,#227010,.T.); #320025=ORIENTED_EDGE('',*,*,#227011,.T.); #320026=ORIENTED_EDGE('',*,*,#227007,.F.); #320027=ORIENTED_EDGE('',*,*,#227012,.F.); #320028=ORIENTED_EDGE('',*,*,#227005,.T.); #320029=ORIENTED_EDGE('',*,*,#227013,.T.); #320030=ORIENTED_EDGE('',*,*,#227010,.F.); #320031=ORIENTED_EDGE('',*,*,#227013,.F.); #320032=ORIENTED_EDGE('',*,*,#227004,.F.); #320033=ORIENTED_EDGE('',*,*,#227008,.F.); #320034=ORIENTED_EDGE('',*,*,#227011,.F.); #320035=ORIENTED_EDGE('',*,*,#227012,.T.); #320036=ORIENTED_EDGE('',*,*,#227009,.T.); #320037=ORIENTED_EDGE('',*,*,#227006,.T.); #320038=ORIENTED_EDGE('',*,*,#227002,.T.); #320039=ORIENTED_EDGE('',*,*,#227014,.F.); #320040=ORIENTED_EDGE('',*,*,#227015,.T.); #320041=ORIENTED_EDGE('',*,*,#227016,.T.); #320042=ORIENTED_EDGE('',*,*,#227017,.F.); #320043=ORIENTED_EDGE('',*,*,#227018,.F.); #320044=ORIENTED_EDGE('',*,*,#227019,.T.); #320045=ORIENTED_EDGE('',*,*,#227020,.T.); #320046=ORIENTED_EDGE('',*,*,#227015,.F.); #320047=ORIENTED_EDGE('',*,*,#227021,.F.); #320048=ORIENTED_EDGE('',*,*,#227022,.T.); #320049=ORIENTED_EDGE('',*,*,#227023,.T.); #320050=ORIENTED_EDGE('',*,*,#227019,.F.); #320051=ORIENTED_EDGE('',*,*,#227024,.F.); #320052=ORIENTED_EDGE('',*,*,#227017,.T.); #320053=ORIENTED_EDGE('',*,*,#227025,.T.); #320054=ORIENTED_EDGE('',*,*,#227022,.F.); #320055=ORIENTED_EDGE('',*,*,#227025,.F.); #320056=ORIENTED_EDGE('',*,*,#227016,.F.); #320057=ORIENTED_EDGE('',*,*,#227020,.F.); #320058=ORIENTED_EDGE('',*,*,#227023,.F.); #320059=ORIENTED_EDGE('',*,*,#227024,.T.); #320060=ORIENTED_EDGE('',*,*,#227021,.T.); #320061=ORIENTED_EDGE('',*,*,#227018,.T.); #320062=ORIENTED_EDGE('',*,*,#227014,.T.); #320063=ORIENTED_EDGE('',*,*,#227026,.T.); #320064=ORIENTED_EDGE('',*,*,#227027,.T.); #320065=ORIENTED_EDGE('',*,*,#227028,.F.); #320066=ORIENTED_EDGE('',*,*,#227029,.F.); #320067=ORIENTED_EDGE('',*,*,#227030,.T.); #320068=ORIENTED_EDGE('',*,*,#227029,.T.); #320069=ORIENTED_EDGE('',*,*,#227031,.F.); #320070=ORIENTED_EDGE('',*,*,#227032,.F.); #320071=ORIENTED_EDGE('',*,*,#227033,.T.); #320072=ORIENTED_EDGE('',*,*,#227032,.T.); #320073=ORIENTED_EDGE('',*,*,#227034,.F.); #320074=ORIENTED_EDGE('',*,*,#227035,.F.); #320075=ORIENTED_EDGE('',*,*,#227036,.T.); #320076=ORIENTED_EDGE('',*,*,#227035,.T.); #320077=ORIENTED_EDGE('',*,*,#227037,.F.); #320078=ORIENTED_EDGE('',*,*,#227027,.F.); #320079=ORIENTED_EDGE('',*,*,#227037,.T.); #320080=ORIENTED_EDGE('',*,*,#227034,.T.); #320081=ORIENTED_EDGE('',*,*,#227031,.T.); #320082=ORIENTED_EDGE('',*,*,#227028,.T.); #320083=ORIENTED_EDGE('',*,*,#227036,.F.); #320084=ORIENTED_EDGE('',*,*,#227026,.F.); #320085=ORIENTED_EDGE('',*,*,#227030,.F.); #320086=ORIENTED_EDGE('',*,*,#227033,.F.); #320087=ORIENTED_EDGE('',*,*,#227038,.T.); #320088=ORIENTED_EDGE('',*,*,#227039,.T.); #320089=ORIENTED_EDGE('',*,*,#227040,.T.); #320090=ORIENTED_EDGE('',*,*,#227041,.F.); #320091=ORIENTED_EDGE('',*,*,#227042,.T.); #320092=ORIENTED_EDGE('',*,*,#227043,.T.); #320093=ORIENTED_EDGE('',*,*,#227044,.T.); #320094=ORIENTED_EDGE('',*,*,#227039,.F.); #320095=ORIENTED_EDGE('',*,*,#227045,.T.); #320096=ORIENTED_EDGE('',*,*,#227046,.T.); #320097=ORIENTED_EDGE('',*,*,#227047,.T.); #320098=ORIENTED_EDGE('',*,*,#227043,.F.); #320099=ORIENTED_EDGE('',*,*,#227048,.T.); #320100=ORIENTED_EDGE('',*,*,#227046,.F.); #320101=ORIENTED_EDGE('',*,*,#227049,.T.); #320102=ORIENTED_EDGE('',*,*,#227041,.T.); #320103=ORIENTED_EDGE('',*,*,#227048,.F.); #320104=ORIENTED_EDGE('',*,*,#227050,.F.); #320105=ORIENTED_EDGE('',*,*,#227051,.T.); #320106=ORIENTED_EDGE('',*,*,#227052,.T.); #320107=ORIENTED_EDGE('',*,*,#227040,.F.); #320108=ORIENTED_EDGE('',*,*,#227053,.F.); #320109=ORIENTED_EDGE('',*,*,#227054,.T.); #320110=ORIENTED_EDGE('',*,*,#227050,.T.); #320111=ORIENTED_EDGE('',*,*,#227038,.F.); #320112=ORIENTED_EDGE('',*,*,#227049,.F.); #320113=ORIENTED_EDGE('',*,*,#227045,.F.); #320114=ORIENTED_EDGE('',*,*,#227042,.F.); #320115=ORIENTED_EDGE('',*,*,#227044,.F.); #320116=ORIENTED_EDGE('',*,*,#227055,.F.); #320117=ORIENTED_EDGE('',*,*,#227056,.T.); #320118=ORIENTED_EDGE('',*,*,#227053,.T.); #320119=ORIENTED_EDGE('',*,*,#227047,.F.); #320120=ORIENTED_EDGE('',*,*,#227052,.F.); #320121=ORIENTED_EDGE('',*,*,#227057,.T.); #320122=ORIENTED_EDGE('',*,*,#227055,.T.); #320123=ORIENTED_EDGE('',*,*,#227057,.F.); #320124=ORIENTED_EDGE('',*,*,#227051,.F.); #320125=ORIENTED_EDGE('',*,*,#227054,.F.); #320126=ORIENTED_EDGE('',*,*,#227056,.F.); #320127=ORIENTED_EDGE('',*,*,#227058,.F.); #320128=ORIENTED_EDGE('',*,*,#227059,.T.); #320129=ORIENTED_EDGE('',*,*,#227060,.F.); #320130=ORIENTED_EDGE('',*,*,#227061,.F.); #320131=ORIENTED_EDGE('',*,*,#227062,.T.); #320132=ORIENTED_EDGE('',*,*,#227061,.T.); #320133=ORIENTED_EDGE('',*,*,#227063,.F.); #320134=ORIENTED_EDGE('',*,*,#227064,.F.); #320135=ORIENTED_EDGE('',*,*,#227065,.T.); #320136=ORIENTED_EDGE('',*,*,#227064,.T.); #320137=ORIENTED_EDGE('',*,*,#227066,.F.); #320138=ORIENTED_EDGE('',*,*,#227067,.F.); #320139=ORIENTED_EDGE('',*,*,#227068,.T.); #320140=ORIENTED_EDGE('',*,*,#227067,.T.); #320141=ORIENTED_EDGE('',*,*,#227069,.F.); #320142=ORIENTED_EDGE('',*,*,#227059,.F.); #320143=ORIENTED_EDGE('',*,*,#227069,.T.); #320144=ORIENTED_EDGE('',*,*,#227066,.T.); #320145=ORIENTED_EDGE('',*,*,#227063,.T.); #320146=ORIENTED_EDGE('',*,*,#227060,.T.); #320147=ORIENTED_EDGE('',*,*,#227068,.F.); #320148=ORIENTED_EDGE('',*,*,#227058,.T.); #320149=ORIENTED_EDGE('',*,*,#227062,.F.); #320150=ORIENTED_EDGE('',*,*,#227065,.F.); #320151=ORIENTED_EDGE('',*,*,#227070,.T.); #320152=ORIENTED_EDGE('',*,*,#227071,.T.); #320153=ORIENTED_EDGE('',*,*,#227072,.F.); #320154=ORIENTED_EDGE('',*,*,#227073,.F.); #320155=ORIENTED_EDGE('',*,*,#227074,.T.); #320156=ORIENTED_EDGE('',*,*,#227073,.T.); #320157=ORIENTED_EDGE('',*,*,#227075,.F.); #320158=ORIENTED_EDGE('',*,*,#227076,.F.); #320159=ORIENTED_EDGE('',*,*,#227077,.T.); #320160=ORIENTED_EDGE('',*,*,#227076,.T.); #320161=ORIENTED_EDGE('',*,*,#227078,.F.); #320162=ORIENTED_EDGE('',*,*,#227079,.F.); #320163=ORIENTED_EDGE('',*,*,#227080,.T.); #320164=ORIENTED_EDGE('',*,*,#227079,.T.); #320165=ORIENTED_EDGE('',*,*,#227081,.F.); #320166=ORIENTED_EDGE('',*,*,#227071,.F.); #320167=ORIENTED_EDGE('',*,*,#227081,.T.); #320168=ORIENTED_EDGE('',*,*,#227078,.T.); #320169=ORIENTED_EDGE('',*,*,#227075,.T.); #320170=ORIENTED_EDGE('',*,*,#227072,.T.); #320171=ORIENTED_EDGE('',*,*,#227080,.F.); #320172=ORIENTED_EDGE('',*,*,#227070,.F.); #320173=ORIENTED_EDGE('',*,*,#227074,.F.); #320174=ORIENTED_EDGE('',*,*,#227077,.F.); #320175=ORIENTED_EDGE('',*,*,#227082,.F.); #320176=ORIENTED_EDGE('',*,*,#227083,.T.); #320177=ORIENTED_EDGE('',*,*,#227084,.F.); #320178=ORIENTED_EDGE('',*,*,#227085,.F.); #320179=ORIENTED_EDGE('',*,*,#227086,.F.); #320180=ORIENTED_EDGE('',*,*,#227085,.T.); #320181=ORIENTED_EDGE('',*,*,#227087,.F.); #320182=ORIENTED_EDGE('',*,*,#227088,.F.); #320183=ORIENTED_EDGE('',*,*,#227089,.F.); #320184=ORIENTED_EDGE('',*,*,#227088,.T.); #320185=ORIENTED_EDGE('',*,*,#227090,.F.); #320186=ORIENTED_EDGE('',*,*,#227091,.F.); #320187=ORIENTED_EDGE('',*,*,#227092,.F.); #320188=ORIENTED_EDGE('',*,*,#227091,.T.); #320189=ORIENTED_EDGE('',*,*,#227093,.F.); #320190=ORIENTED_EDGE('',*,*,#227083,.F.); #320191=ORIENTED_EDGE('',*,*,#227093,.T.); #320192=ORIENTED_EDGE('',*,*,#227090,.T.); #320193=ORIENTED_EDGE('',*,*,#227087,.T.); #320194=ORIENTED_EDGE('',*,*,#227084,.T.); #320195=ORIENTED_EDGE('',*,*,#227092,.T.); #320196=ORIENTED_EDGE('',*,*,#227082,.T.); #320197=ORIENTED_EDGE('',*,*,#227086,.T.); #320198=ORIENTED_EDGE('',*,*,#227089,.T.); #320199=ORIENTED_EDGE('',*,*,#227094,.F.); #320200=ORIENTED_EDGE('',*,*,#227095,.T.); #320201=ORIENTED_EDGE('',*,*,#227096,.F.); #320202=ORIENTED_EDGE('',*,*,#227097,.F.); #320203=ORIENTED_EDGE('',*,*,#227098,.F.); #320204=ORIENTED_EDGE('',*,*,#227097,.T.); #320205=ORIENTED_EDGE('',*,*,#227099,.F.); #320206=ORIENTED_EDGE('',*,*,#227100,.F.); #320207=ORIENTED_EDGE('',*,*,#227101,.F.); #320208=ORIENTED_EDGE('',*,*,#227100,.T.); #320209=ORIENTED_EDGE('',*,*,#227102,.F.); #320210=ORIENTED_EDGE('',*,*,#227103,.F.); #320211=ORIENTED_EDGE('',*,*,#227104,.T.); #320212=ORIENTED_EDGE('',*,*,#227103,.T.); #320213=ORIENTED_EDGE('',*,*,#227105,.F.); #320214=ORIENTED_EDGE('',*,*,#227095,.F.); #320215=ORIENTED_EDGE('',*,*,#227105,.T.); #320216=ORIENTED_EDGE('',*,*,#227102,.T.); #320217=ORIENTED_EDGE('',*,*,#227099,.T.); #320218=ORIENTED_EDGE('',*,*,#227096,.T.); #320219=ORIENTED_EDGE('',*,*,#227104,.F.); #320220=ORIENTED_EDGE('',*,*,#227094,.T.); #320221=ORIENTED_EDGE('',*,*,#227098,.T.); #320222=ORIENTED_EDGE('',*,*,#227101,.T.); #320223=ORIENTED_EDGE('',*,*,#227106,.T.); #320224=ORIENTED_EDGE('',*,*,#227107,.T.); #320225=ORIENTED_EDGE('',*,*,#227108,.F.); #320226=ORIENTED_EDGE('',*,*,#227107,.F.); #320227=ORIENTED_EDGE('',*,*,#227109,.T.); #320228=ORIENTED_EDGE('',*,*,#227110,.T.); #320229=ORIENTED_EDGE('',*,*,#227111,.F.); #320230=ORIENTED_EDGE('',*,*,#227110,.F.); #320231=ORIENTED_EDGE('',*,*,#227111,.T.); #320232=ORIENTED_EDGE('',*,*,#227106,.F.); #320233=ORIENTED_EDGE('',*,*,#227109,.F.); #320234=ORIENTED_EDGE('',*,*,#227108,.T.); #320235=ORIENTED_EDGE('',*,*,#227112,.T.); #320236=ORIENTED_EDGE('',*,*,#227113,.T.); #320237=ORIENTED_EDGE('',*,*,#227114,.F.); #320238=ORIENTED_EDGE('',*,*,#227115,.F.); #320239=ORIENTED_EDGE('',*,*,#227116,.T.); #320240=ORIENTED_EDGE('',*,*,#227117,.T.); #320241=ORIENTED_EDGE('',*,*,#227118,.T.); #320242=ORIENTED_EDGE('',*,*,#227112,.F.); #320243=ORIENTED_EDGE('',*,*,#227119,.T.); #320244=ORIENTED_EDGE('',*,*,#227120,.F.); #320245=ORIENTED_EDGE('',*,*,#227121,.T.); #320246=ORIENTED_EDGE('',*,*,#227122,.F.); #320247=ORIENTED_EDGE('',*,*,#227123,.T.); #320248=ORIENTED_EDGE('',*,*,#227124,.T.); #320249=ORIENTED_EDGE('',*,*,#227125,.T.); #320250=ORIENTED_EDGE('',*,*,#227126,.T.); #320251=ORIENTED_EDGE('',*,*,#227127,.T.); #320252=ORIENTED_EDGE('',*,*,#227128,.T.); #320253=ORIENTED_EDGE('',*,*,#227129,.T.); #320254=ORIENTED_EDGE('',*,*,#227124,.F.); #320255=ORIENTED_EDGE('',*,*,#227130,.T.); #320256=ORIENTED_EDGE('',*,*,#227131,.F.); #320257=ORIENTED_EDGE('',*,*,#227132,.T.); #320258=ORIENTED_EDGE('',*,*,#227133,.F.); #320259=ORIENTED_EDGE('',*,*,#227134,.F.); #320260=ORIENTED_EDGE('',*,*,#227135,.T.); #320261=ORIENTED_EDGE('',*,*,#227127,.F.); #320262=ORIENTED_EDGE('',*,*,#227136,.F.); #320263=ORIENTED_EDGE('',*,*,#227121,.F.); #320264=ORIENTED_EDGE('',*,*,#227137,.F.); #320265=ORIENTED_EDGE('',*,*,#227119,.F.); #320266=ORIENTED_EDGE('',*,*,#227116,.F.); #320267=ORIENTED_EDGE('',*,*,#227138,.F.); #320268=ORIENTED_EDGE('',*,*,#227132,.F.); #320269=ORIENTED_EDGE('',*,*,#227139,.F.); #320270=ORIENTED_EDGE('',*,*,#227130,.F.); #320271=ORIENTED_EDGE('',*,*,#227135,.F.); #320272=ORIENTED_EDGE('',*,*,#227140,.F.); #320273=ORIENTED_EDGE('',*,*,#227113,.F.); #320274=ORIENTED_EDGE('',*,*,#227118,.F.); #320275=ORIENTED_EDGE('',*,*,#227141,.F.); #320276=ORIENTED_EDGE('',*,*,#227123,.F.); #320277=ORIENTED_EDGE('',*,*,#227142,.F.); #320278=ORIENTED_EDGE('',*,*,#227125,.F.); #320279=ORIENTED_EDGE('',*,*,#227129,.F.); #320280=ORIENTED_EDGE('',*,*,#227143,.F.); #320281=ORIENTED_EDGE('',*,*,#227144,.T.); #320282=ORIENTED_EDGE('',*,*,#227145,.F.); #320283=ORIENTED_EDGE('',*,*,#227146,.F.); #320284=ORIENTED_EDGE('',*,*,#227147,.F.); #320285=ORIENTED_EDGE('',*,*,#227148,.F.); #320286=ORIENTED_EDGE('',*,*,#227114,.T.); #320287=ORIENTED_EDGE('',*,*,#227140,.T.); #320288=ORIENTED_EDGE('',*,*,#227134,.T.); #320289=ORIENTED_EDGE('',*,*,#227115,.T.); #320290=ORIENTED_EDGE('',*,*,#227148,.T.); #320291=ORIENTED_EDGE('',*,*,#227149,.F.); #320292=ORIENTED_EDGE('',*,*,#227150,.F.); #320293=ORIENTED_EDGE('',*,*,#227151,.F.); #320294=ORIENTED_EDGE('',*,*,#227144,.F.); #320295=ORIENTED_EDGE('',*,*,#227133,.T.); #320296=ORIENTED_EDGE('',*,*,#227138,.T.); #320297=ORIENTED_EDGE('',*,*,#227131,.T.); #320298=ORIENTED_EDGE('',*,*,#227143,.T.); #320299=ORIENTED_EDGE('',*,*,#227128,.F.); #320300=ORIENTED_EDGE('',*,*,#227139,.T.); #320301=ORIENTED_EDGE('',*,*,#227120,.T.); #320302=ORIENTED_EDGE('',*,*,#227141,.T.); #320303=ORIENTED_EDGE('',*,*,#227117,.F.); #320304=ORIENTED_EDGE('',*,*,#227137,.T.); #320305=ORIENTED_EDGE('',*,*,#227152,.F.); #320306=ORIENTED_EDGE('',*,*,#227153,.F.); #320307=ORIENTED_EDGE('',*,*,#227154,.F.); #320308=ORIENTED_EDGE('',*,*,#227155,.F.); #320309=ORIENTED_EDGE('',*,*,#227156,.F.); #320310=ORIENTED_EDGE('',*,*,#227157,.F.); #320311=ORIENTED_EDGE('',*,*,#227122,.T.); #320312=ORIENTED_EDGE('',*,*,#227136,.T.); #320313=ORIENTED_EDGE('',*,*,#227126,.F.); #320314=ORIENTED_EDGE('',*,*,#227142,.T.); #320315=ORIENTED_EDGE('',*,*,#227158,.F.); #320316=ORIENTED_EDGE('',*,*,#227145,.T.); #320317=ORIENTED_EDGE('',*,*,#227159,.T.); #320318=ORIENTED_EDGE('',*,*,#227152,.T.); #320319=ORIENTED_EDGE('',*,*,#227160,.F.); #320320=ORIENTED_EDGE('',*,*,#227146,.T.); #320321=ORIENTED_EDGE('',*,*,#227158,.T.); #320322=ORIENTED_EDGE('',*,*,#227157,.T.); #320323=ORIENTED_EDGE('',*,*,#227161,.F.); #320324=ORIENTED_EDGE('',*,*,#227147,.T.); #320325=ORIENTED_EDGE('',*,*,#227160,.T.); #320326=ORIENTED_EDGE('',*,*,#227156,.T.); #320327=ORIENTED_EDGE('',*,*,#227162,.F.); #320328=ORIENTED_EDGE('',*,*,#227149,.T.); #320329=ORIENTED_EDGE('',*,*,#227161,.T.); #320330=ORIENTED_EDGE('',*,*,#227155,.T.); #320331=ORIENTED_EDGE('',*,*,#227163,.F.); #320332=ORIENTED_EDGE('',*,*,#227150,.T.); #320333=ORIENTED_EDGE('',*,*,#227162,.T.); #320334=ORIENTED_EDGE('',*,*,#227154,.T.); #320335=ORIENTED_EDGE('',*,*,#227159,.F.); #320336=ORIENTED_EDGE('',*,*,#227151,.T.); #320337=ORIENTED_EDGE('',*,*,#227163,.T.); #320338=ORIENTED_EDGE('',*,*,#227153,.T.); #320339=ORIENTED_EDGE('',*,*,#227164,.F.); #320340=ORIENTED_EDGE('',*,*,#227165,.T.); #320341=ORIENTED_EDGE('',*,*,#227166,.F.); #320342=ORIENTED_EDGE('',*,*,#227167,.T.); #320343=ORIENTED_EDGE('',*,*,#227168,.F.); #320344=ORIENTED_EDGE('',*,*,#227169,.T.); #320345=ORIENTED_EDGE('',*,*,#227164,.T.); #320346=ORIENTED_EDGE('',*,*,#227170,.T.); #320347=ORIENTED_EDGE('',*,*,#227171,.F.); #320348=ORIENTED_EDGE('',*,*,#227172,.T.); #320349=ORIENTED_EDGE('',*,*,#227168,.T.); #320350=ORIENTED_EDGE('',*,*,#227173,.T.); #320351=ORIENTED_EDGE('',*,*,#227174,.F.); #320352=ORIENTED_EDGE('',*,*,#227175,.T.); #320353=ORIENTED_EDGE('',*,*,#227171,.T.); #320354=ORIENTED_EDGE('',*,*,#227176,.T.); #320355=ORIENTED_EDGE('',*,*,#227177,.F.); #320356=ORIENTED_EDGE('',*,*,#227178,.T.); #320357=ORIENTED_EDGE('',*,*,#227174,.T.); #320358=ORIENTED_EDGE('',*,*,#227179,.T.); #320359=ORIENTED_EDGE('',*,*,#227180,.F.); #320360=ORIENTED_EDGE('',*,*,#227181,.T.); #320361=ORIENTED_EDGE('',*,*,#227177,.T.); #320362=ORIENTED_EDGE('',*,*,#227182,.T.); #320363=ORIENTED_EDGE('',*,*,#227183,.F.); #320364=ORIENTED_EDGE('',*,*,#227184,.T.); #320365=ORIENTED_EDGE('',*,*,#227180,.T.); #320366=ORIENTED_EDGE('',*,*,#227185,.T.); #320367=ORIENTED_EDGE('',*,*,#227186,.F.); #320368=ORIENTED_EDGE('',*,*,#227187,.T.); #320369=ORIENTED_EDGE('',*,*,#227188,.F.); #320370=ORIENTED_EDGE('',*,*,#227189,.F.); #320371=ORIENTED_EDGE('',*,*,#227190,.T.); #320372=ORIENTED_EDGE('',*,*,#227186,.T.); #320373=ORIENTED_EDGE('',*,*,#227191,.F.); #320374=ORIENTED_EDGE('',*,*,#227192,.F.); #320375=ORIENTED_EDGE('',*,*,#227193,.F.); #320376=ORIENTED_EDGE('',*,*,#227194,.T.); #320377=ORIENTED_EDGE('',*,*,#227189,.T.); #320378=ORIENTED_EDGE('',*,*,#227195,.F.); #320379=ORIENTED_EDGE('',*,*,#227196,.F.); #320380=ORIENTED_EDGE('',*,*,#227197,.T.); #320381=ORIENTED_EDGE('',*,*,#227193,.T.); #320382=ORIENTED_EDGE('',*,*,#227198,.F.); #320383=ORIENTED_EDGE('',*,*,#227199,.F.); #320384=ORIENTED_EDGE('',*,*,#227200,.T.); #320385=ORIENTED_EDGE('',*,*,#227196,.T.); #320386=ORIENTED_EDGE('',*,*,#227201,.F.); #320387=ORIENTED_EDGE('',*,*,#227202,.F.); #320388=ORIENTED_EDGE('',*,*,#227203,.T.); #320389=ORIENTED_EDGE('',*,*,#227199,.T.); #320390=ORIENTED_EDGE('',*,*,#227204,.F.); #320391=ORIENTED_EDGE('',*,*,#227205,.F.); #320392=ORIENTED_EDGE('',*,*,#227206,.T.); #320393=ORIENTED_EDGE('',*,*,#227202,.T.); #320394=ORIENTED_EDGE('',*,*,#227207,.F.); #320395=ORIENTED_EDGE('',*,*,#227208,.T.); #320396=ORIENTED_EDGE('',*,*,#227209,.F.); #320397=ORIENTED_EDGE('',*,*,#227210,.F.); #320398=ORIENTED_EDGE('',*,*,#227211,.T.); #320399=ORIENTED_EDGE('',*,*,#227212,.F.); #320400=ORIENTED_EDGE('',*,*,#227213,.F.); #320401=ORIENTED_EDGE('',*,*,#227214,.T.); #320402=ORIENTED_EDGE('',*,*,#227215,.T.); #320403=ORIENTED_EDGE('',*,*,#227216,.T.); #320404=ORIENTED_EDGE('',*,*,#227217,.T.); #320405=ORIENTED_EDGE('',*,*,#227218,.T.); #320406=ORIENTED_EDGE('',*,*,#227219,.F.); #320407=ORIENTED_EDGE('',*,*,#227220,.T.); #320408=ORIENTED_EDGE('',*,*,#227221,.F.); #320409=ORIENTED_EDGE('',*,*,#227222,.F.); #320410=ORIENTED_EDGE('',*,*,#227223,.T.); #320411=ORIENTED_EDGE('',*,*,#227224,.T.); #320412=ORIENTED_EDGE('',*,*,#227225,.F.); #320413=ORIENTED_EDGE('',*,*,#227226,.F.); #320414=ORIENTED_EDGE('',*,*,#227227,.T.); #320415=ORIENTED_EDGE('',*,*,#227228,.T.); #320416=ORIENTED_EDGE('',*,*,#227229,.T.); #320417=ORIENTED_EDGE('',*,*,#227230,.T.); #320418=ORIENTED_EDGE('',*,*,#227231,.F.); #320419=ORIENTED_EDGE('',*,*,#227232,.T.); #320420=ORIENTED_EDGE('',*,*,#227233,.F.); #320421=ORIENTED_EDGE('',*,*,#227234,.F.); #320422=ORIENTED_EDGE('',*,*,#227235,.F.); #320423=ORIENTED_EDGE('',*,*,#227236,.T.); #320424=ORIENTED_EDGE('',*,*,#227235,.T.); #320425=ORIENTED_EDGE('',*,*,#227237,.F.); #320426=ORIENTED_EDGE('',*,*,#227238,.F.); #320427=ORIENTED_EDGE('',*,*,#227239,.T.); #320428=ORIENTED_EDGE('',*,*,#227240,.T.); #320429=ORIENTED_EDGE('',*,*,#227241,.F.); #320430=ORIENTED_EDGE('',*,*,#227242,.F.); #320431=ORIENTED_EDGE('',*,*,#227243,.T.); #320432=ORIENTED_EDGE('',*,*,#227242,.T.); #320433=ORIENTED_EDGE('',*,*,#227244,.F.); #320434=ORIENTED_EDGE('',*,*,#227245,.F.); #320435=ORIENTED_EDGE('',*,*,#227246,.F.); #320436=ORIENTED_EDGE('',*,*,#227244,.T.); #320437=ORIENTED_EDGE('',*,*,#227241,.T.); #320438=ORIENTED_EDGE('',*,*,#227247,.T.); #320439=ORIENTED_EDGE('',*,*,#227237,.T.); #320440=ORIENTED_EDGE('',*,*,#227234,.T.); #320441=ORIENTED_EDGE('',*,*,#227245,.T.); #320442=ORIENTED_EDGE('',*,*,#227246,.T.); #320443=ORIENTED_EDGE('',*,*,#227233,.T.); #320444=ORIENTED_EDGE('',*,*,#227248,.T.); #320445=ORIENTED_EDGE('',*,*,#227249,.T.); #320446=ORIENTED_EDGE('',*,*,#227250,.T.); #320447=ORIENTED_EDGE('',*,*,#227251,.T.); #320448=ORIENTED_EDGE('',*,*,#227252,.T.); #320449=ORIENTED_EDGE('',*,*,#227253,.T.); #320450=ORIENTED_EDGE('',*,*,#227254,.T.); #320451=ORIENTED_EDGE('',*,*,#227255,.T.); #320452=ORIENTED_EDGE('',*,*,#227256,.T.); #320453=ORIENTED_EDGE('',*,*,#227257,.T.); #320454=ORIENTED_EDGE('',*,*,#227258,.T.); #320455=ORIENTED_EDGE('',*,*,#227254,.F.); #320456=ORIENTED_EDGE('',*,*,#227259,.F.); #320457=ORIENTED_EDGE('',*,*,#227260,.F.); #320458=ORIENTED_EDGE('',*,*,#227261,.T.); #320459=ORIENTED_EDGE('',*,*,#227260,.T.); #320460=ORIENTED_EDGE('',*,*,#227262,.F.); #320461=ORIENTED_EDGE('',*,*,#227263,.F.); #320462=ORIENTED_EDGE('',*,*,#227264,.T.); #320463=ORIENTED_EDGE('',*,*,#227265,.T.); #320464=ORIENTED_EDGE('',*,*,#227266,.F.); #320465=ORIENTED_EDGE('',*,*,#227267,.F.); #320466=ORIENTED_EDGE('',*,*,#227268,.T.); #320467=ORIENTED_EDGE('',*,*,#227267,.T.); #320468=ORIENTED_EDGE('',*,*,#227269,.F.); #320469=ORIENTED_EDGE('',*,*,#227252,.F.); #320470=ORIENTED_EDGE('',*,*,#227253,.F.); #320471=ORIENTED_EDGE('',*,*,#227269,.T.); #320472=ORIENTED_EDGE('',*,*,#227266,.T.); #320473=ORIENTED_EDGE('',*,*,#227270,.T.); #320474=ORIENTED_EDGE('',*,*,#227262,.T.); #320475=ORIENTED_EDGE('',*,*,#227259,.T.); #320476=ORIENTED_EDGE('',*,*,#227271,.F.); #320477=ORIENTED_EDGE('',*,*,#227272,.T.); #320478=ORIENTED_EDGE('',*,*,#227273,.T.); #320479=ORIENTED_EDGE('',*,*,#227274,.F.); #320480=ORIENTED_EDGE('',*,*,#227275,.F.); #320481=ORIENTED_EDGE('',*,*,#227276,.T.); #320482=ORIENTED_EDGE('',*,*,#227277,.T.); #320483=ORIENTED_EDGE('',*,*,#227272,.F.); #320484=ORIENTED_EDGE('',*,*,#227278,.F.); #320485=ORIENTED_EDGE('',*,*,#227279,.T.); #320486=ORIENTED_EDGE('',*,*,#227280,.T.); #320487=ORIENTED_EDGE('',*,*,#227281,.F.); #320488=ORIENTED_EDGE('',*,*,#227282,.F.); #320489=ORIENTED_EDGE('',*,*,#227283,.T.); #320490=ORIENTED_EDGE('',*,*,#227284,.T.); #320491=ORIENTED_EDGE('',*,*,#227279,.F.); #320492=ORIENTED_EDGE('',*,*,#227285,.F.); #320493=ORIENTED_EDGE('',*,*,#227286,.T.); #320494=ORIENTED_EDGE('',*,*,#227287,.T.); #320495=ORIENTED_EDGE('',*,*,#227288,.F.); #320496=ORIENTED_EDGE('',*,*,#227289,.F.); #320497=ORIENTED_EDGE('',*,*,#227290,.T.); #320498=ORIENTED_EDGE('',*,*,#227291,.T.); #320499=ORIENTED_EDGE('',*,*,#227286,.F.); #320500=ORIENTED_EDGE('',*,*,#227248,.F.); #320501=ORIENTED_EDGE('',*,*,#227232,.F.); #320502=ORIENTED_EDGE('',*,*,#227292,.T.); #320503=ORIENTED_EDGE('',*,*,#227293,.F.); #320504=ORIENTED_EDGE('',*,*,#227257,.F.); #320505=ORIENTED_EDGE('',*,*,#227294,.T.); #320506=ORIENTED_EDGE('',*,*,#227295,.T.); #320507=ORIENTED_EDGE('',*,*,#227243,.F.); #320508=ORIENTED_EDGE('',*,*,#227296,.F.); #320509=ORIENTED_EDGE('',*,*,#227297,.T.); #320510=ORIENTED_EDGE('',*,*,#227298,.T.); #320511=ORIENTED_EDGE('',*,*,#227299,.F.); #320512=ORIENTED_EDGE('',*,*,#227300,.F.); #320513=ORIENTED_EDGE('',*,*,#227301,.T.); #320514=ORIENTED_EDGE('',*,*,#227302,.T.); #320515=ORIENTED_EDGE('',*,*,#227297,.F.); #320516=ORIENTED_EDGE('',*,*,#227303,.F.); #320517=ORIENTED_EDGE('',*,*,#227304,.T.); #320518=ORIENTED_EDGE('',*,*,#227305,.T.); #320519=ORIENTED_EDGE('',*,*,#227306,.F.); #320520=ORIENTED_EDGE('',*,*,#227307,.F.); #320521=ORIENTED_EDGE('',*,*,#227308,.T.); #320522=ORIENTED_EDGE('',*,*,#227309,.T.); #320523=ORIENTED_EDGE('',*,*,#227304,.F.); #320524=ORIENTED_EDGE('',*,*,#227310,.F.); #320525=ORIENTED_EDGE('',*,*,#227311,.T.); #320526=ORIENTED_EDGE('',*,*,#227312,.T.); #320527=ORIENTED_EDGE('',*,*,#227313,.F.); #320528=ORIENTED_EDGE('',*,*,#227314,.F.); #320529=ORIENTED_EDGE('',*,*,#227315,.T.); #320530=ORIENTED_EDGE('',*,*,#227316,.T.); #320531=ORIENTED_EDGE('',*,*,#227311,.F.); #320532=ORIENTED_EDGE('',*,*,#227317,.F.); #320533=ORIENTED_EDGE('',*,*,#227318,.T.); #320534=ORIENTED_EDGE('',*,*,#227319,.T.); #320535=ORIENTED_EDGE('',*,*,#227320,.F.); #320536=ORIENTED_EDGE('',*,*,#227321,.F.); #320537=ORIENTED_EDGE('',*,*,#227322,.T.); #320538=ORIENTED_EDGE('',*,*,#227323,.T.); #320539=ORIENTED_EDGE('',*,*,#227318,.F.); #320540=ORIENTED_EDGE('',*,*,#227319,.F.); #320541=ORIENTED_EDGE('',*,*,#227324,.F.); #320542=ORIENTED_EDGE('',*,*,#227239,.F.); #320543=ORIENTED_EDGE('',*,*,#227295,.F.); #320544=ORIENTED_EDGE('',*,*,#227325,.F.); #320545=ORIENTED_EDGE('',*,*,#227324,.T.); #320546=ORIENTED_EDGE('',*,*,#227326,.T.); #320547=ORIENTED_EDGE('',*,*,#227327,.T.); #320548=ORIENTED_EDGE('',*,*,#227328,.T.); #320549=ORIENTED_EDGE('',*,*,#227329,.T.); #320550=ORIENTED_EDGE('',*,*,#227330,.T.); #320551=ORIENTED_EDGE('',*,*,#227331,.T.); #320552=ORIENTED_EDGE('',*,*,#227332,.T.); #320553=ORIENTED_EDGE('',*,*,#227238,.T.); #320554=ORIENTED_EDGE('',*,*,#227247,.F.); #320555=ORIENTED_EDGE('',*,*,#227240,.F.); #320556=ORIENTED_EDGE('',*,*,#227312,.F.); #320557=ORIENTED_EDGE('',*,*,#227326,.F.); #320558=ORIENTED_EDGE('',*,*,#227323,.F.); #320559=ORIENTED_EDGE('',*,*,#227333,.F.); #320560=ORIENTED_EDGE('',*,*,#227305,.F.); #320561=ORIENTED_EDGE('',*,*,#227327,.F.); #320562=ORIENTED_EDGE('',*,*,#227316,.F.); #320563=ORIENTED_EDGE('',*,*,#227334,.F.); #320564=ORIENTED_EDGE('',*,*,#227298,.F.); #320565=ORIENTED_EDGE('',*,*,#227328,.F.); #320566=ORIENTED_EDGE('',*,*,#227309,.F.); #320567=ORIENTED_EDGE('',*,*,#227335,.F.); #320568=ORIENTED_EDGE('',*,*,#227273,.F.); #320569=ORIENTED_EDGE('',*,*,#227329,.F.); #320570=ORIENTED_EDGE('',*,*,#227302,.F.); #320571=ORIENTED_EDGE('',*,*,#227336,.F.); #320572=ORIENTED_EDGE('',*,*,#227280,.F.); #320573=ORIENTED_EDGE('',*,*,#227330,.F.); #320574=ORIENTED_EDGE('',*,*,#227277,.F.); #320575=ORIENTED_EDGE('',*,*,#227337,.F.); #320576=ORIENTED_EDGE('',*,*,#227287,.F.); #320577=ORIENTED_EDGE('',*,*,#227331,.F.); #320578=ORIENTED_EDGE('',*,*,#227284,.F.); #320579=ORIENTED_EDGE('',*,*,#227338,.F.); #320580=ORIENTED_EDGE('',*,*,#227292,.F.); #320581=ORIENTED_EDGE('',*,*,#227236,.F.); #320582=ORIENTED_EDGE('',*,*,#227332,.F.); #320583=ORIENTED_EDGE('',*,*,#227291,.F.); #320584=ORIENTED_EDGE('',*,*,#227339,.F.); #320585=ORIENTED_EDGE('',*,*,#227314,.T.); #320586=ORIENTED_EDGE('',*,*,#227310,.T.); #320587=ORIENTED_EDGE('',*,*,#227340,.T.); #320588=ORIENTED_EDGE('',*,*,#227307,.T.); #320589=ORIENTED_EDGE('',*,*,#227303,.T.); #320590=ORIENTED_EDGE('',*,*,#227341,.T.); #320591=ORIENTED_EDGE('',*,*,#227317,.T.); #320592=ORIENTED_EDGE('',*,*,#227342,.T.); #320593=ORIENTED_EDGE('',*,*,#227321,.T.); #320594=ORIENTED_EDGE('',*,*,#227300,.T.); #320595=ORIENTED_EDGE('',*,*,#227296,.T.); #320596=ORIENTED_EDGE('',*,*,#227343,.T.); #320597=ORIENTED_EDGE('',*,*,#227275,.T.); #320598=ORIENTED_EDGE('',*,*,#227271,.T.); #320599=ORIENTED_EDGE('',*,*,#227344,.T.); #320600=ORIENTED_EDGE('',*,*,#227285,.T.); #320601=ORIENTED_EDGE('',*,*,#227345,.T.); #320602=ORIENTED_EDGE('',*,*,#227289,.T.); #320603=ORIENTED_EDGE('',*,*,#227346,.F.); #320604=ORIENTED_EDGE('',*,*,#227347,.T.); #320605=ORIENTED_EDGE('',*,*,#227348,.T.); #320606=ORIENTED_EDGE('',*,*,#227349,.F.); #320607=ORIENTED_EDGE('',*,*,#227350,.F.); #320608=ORIENTED_EDGE('',*,*,#227351,.T.); #320609=ORIENTED_EDGE('',*,*,#227352,.T.); #320610=ORIENTED_EDGE('',*,*,#227347,.F.); #320611=ORIENTED_EDGE('',*,*,#227353,.F.); #320612=ORIENTED_EDGE('',*,*,#227354,.T.); #320613=ORIENTED_EDGE('',*,*,#227355,.T.); #320614=ORIENTED_EDGE('',*,*,#227356,.F.); #320615=ORIENTED_EDGE('',*,*,#227357,.F.); #320616=ORIENTED_EDGE('',*,*,#227358,.T.); #320617=ORIENTED_EDGE('',*,*,#227359,.T.); #320618=ORIENTED_EDGE('',*,*,#227354,.F.); #320619=ORIENTED_EDGE('',*,*,#227360,.F.); #320620=ORIENTED_EDGE('',*,*,#227361,.T.); #320621=ORIENTED_EDGE('',*,*,#227362,.T.); #320622=ORIENTED_EDGE('',*,*,#227363,.F.); #320623=ORIENTED_EDGE('',*,*,#227364,.F.); #320624=ORIENTED_EDGE('',*,*,#227365,.T.); #320625=ORIENTED_EDGE('',*,*,#227366,.T.); #320626=ORIENTED_EDGE('',*,*,#227361,.F.); #320627=ORIENTED_EDGE('',*,*,#227367,.F.); #320628=ORIENTED_EDGE('',*,*,#227368,.T.); #320629=ORIENTED_EDGE('',*,*,#227369,.T.); #320630=ORIENTED_EDGE('',*,*,#227370,.F.); #320631=ORIENTED_EDGE('',*,*,#227371,.F.); #320632=ORIENTED_EDGE('',*,*,#227372,.T.); #320633=ORIENTED_EDGE('',*,*,#227373,.T.); #320634=ORIENTED_EDGE('',*,*,#227368,.F.); #320635=ORIENTED_EDGE('',*,*,#227374,.F.); #320636=ORIENTED_EDGE('',*,*,#227261,.F.); #320637=ORIENTED_EDGE('',*,*,#227375,.F.); #320638=ORIENTED_EDGE('',*,*,#227373,.F.); #320639=ORIENTED_EDGE('',*,*,#227376,.F.); #320640=ORIENTED_EDGE('',*,*,#227369,.F.); #320641=ORIENTED_EDGE('',*,*,#227377,.F.); #320642=ORIENTED_EDGE('',*,*,#227366,.F.); #320643=ORIENTED_EDGE('',*,*,#227378,.F.); #320644=ORIENTED_EDGE('',*,*,#227362,.F.); #320645=ORIENTED_EDGE('',*,*,#227379,.F.); #320646=ORIENTED_EDGE('',*,*,#227359,.F.); #320647=ORIENTED_EDGE('',*,*,#227380,.F.); #320648=ORIENTED_EDGE('',*,*,#227381,.F.); #320649=ORIENTED_EDGE('',*,*,#227382,.F.); #320650=ORIENTED_EDGE('',*,*,#227348,.F.); #320651=ORIENTED_EDGE('',*,*,#227383,.F.); #320652=ORIENTED_EDGE('',*,*,#227384,.F.); #320653=ORIENTED_EDGE('',*,*,#227385,.F.); #320654=ORIENTED_EDGE('',*,*,#227386,.F.); #320655=ORIENTED_EDGE('',*,*,#227387,.F.); #320656=ORIENTED_EDGE('',*,*,#227388,.F.); #320657=ORIENTED_EDGE('',*,*,#227389,.F.); #320658=ORIENTED_EDGE('',*,*,#227390,.F.); #320659=ORIENTED_EDGE('',*,*,#227391,.F.); #320660=ORIENTED_EDGE('',*,*,#227355,.F.); #320661=ORIENTED_EDGE('',*,*,#227392,.F.); #320662=ORIENTED_EDGE('',*,*,#227352,.F.); #320663=ORIENTED_EDGE('',*,*,#227393,.F.); #320664=ORIENTED_EDGE('',*,*,#227394,.T.); #320665=ORIENTED_EDGE('',*,*,#227389,.T.); #320666=ORIENTED_EDGE('',*,*,#227385,.T.); #320667=ORIENTED_EDGE('',*,*,#227383,.T.); #320668=ORIENTED_EDGE('',*,*,#227392,.T.); #320669=ORIENTED_EDGE('',*,*,#227379,.T.); #320670=ORIENTED_EDGE('',*,*,#227377,.T.); #320671=ORIENTED_EDGE('',*,*,#227375,.T.); #320672=ORIENTED_EDGE('',*,*,#227263,.T.); #320673=ORIENTED_EDGE('',*,*,#227270,.F.); #320674=ORIENTED_EDGE('',*,*,#227265,.F.); #320675=ORIENTED_EDGE('',*,*,#227395,.F.); #320676=ORIENTED_EDGE('',*,*,#227394,.F.); #320677=ORIENTED_EDGE('',*,*,#227264,.F.); #320678=ORIENTED_EDGE('',*,*,#227396,.F.); #320679=ORIENTED_EDGE('',*,*,#227397,.F.); #320680=ORIENTED_EDGE('',*,*,#227255,.F.); #320681=ORIENTED_EDGE('',*,*,#227258,.F.); #320682=ORIENTED_EDGE('',*,*,#227374,.T.); #320683=ORIENTED_EDGE('',*,*,#227398,.F.); #320684=ORIENTED_EDGE('',*,*,#227251,.F.); #320685=ORIENTED_EDGE('',*,*,#227399,.T.); #320686=ORIENTED_EDGE('',*,*,#227396,.T.); #320687=ORIENTED_EDGE('',*,*,#227268,.F.); #320688=ORIENTED_EDGE('',*,*,#227400,.F.); #320689=ORIENTED_EDGE('',*,*,#227401,.T.); #320690=ORIENTED_EDGE('',*,*,#227390,.T.); #320691=ORIENTED_EDGE('',*,*,#227402,.F.); #320692=ORIENTED_EDGE('',*,*,#227403,.F.); #320693=ORIENTED_EDGE('',*,*,#227402,.T.); #320694=ORIENTED_EDGE('',*,*,#227395,.T.); #320695=ORIENTED_EDGE('',*,*,#227404,.F.); #320696=ORIENTED_EDGE('',*,*,#227405,.F.); #320697=ORIENTED_EDGE('',*,*,#227406,.T.); #320698=ORIENTED_EDGE('',*,*,#227386,.T.); #320699=ORIENTED_EDGE('',*,*,#227407,.F.); #320700=ORIENTED_EDGE('',*,*,#227408,.F.); #320701=ORIENTED_EDGE('',*,*,#227407,.T.); #320702=ORIENTED_EDGE('',*,*,#227388,.T.); #320703=ORIENTED_EDGE('',*,*,#227409,.F.); #320704=ORIENTED_EDGE('',*,*,#227410,.F.); #320705=ORIENTED_EDGE('',*,*,#227411,.T.); #320706=ORIENTED_EDGE('',*,*,#227381,.T.); #320707=ORIENTED_EDGE('',*,*,#227412,.F.); #320708=ORIENTED_EDGE('',*,*,#227413,.F.); #320709=ORIENTED_EDGE('',*,*,#227412,.T.); #320710=ORIENTED_EDGE('',*,*,#227384,.T.); #320711=ORIENTED_EDGE('',*,*,#227414,.F.); #320712=ORIENTED_EDGE('',*,*,#227410,.T.); #320713=ORIENTED_EDGE('',*,*,#227413,.T.); #320714=ORIENTED_EDGE('',*,*,#227415,.T.); #320715=ORIENTED_EDGE('',*,*,#227405,.T.); #320716=ORIENTED_EDGE('',*,*,#227408,.T.); #320717=ORIENTED_EDGE('',*,*,#227416,.T.); #320718=ORIENTED_EDGE('',*,*,#227400,.T.); #320719=ORIENTED_EDGE('',*,*,#227403,.T.); #320720=ORIENTED_EDGE('',*,*,#227417,.T.); #320721=ORIENTED_EDGE('',*,*,#227278,.T.); #320722=ORIENTED_EDGE('',*,*,#227418,.T.); #320723=ORIENTED_EDGE('',*,*,#227282,.T.); #320724=ORIENTED_EDGE('',*,*,#227367,.T.); #320725=ORIENTED_EDGE('',*,*,#227419,.T.); #320726=ORIENTED_EDGE('',*,*,#227371,.T.); #320727=ORIENTED_EDGE('',*,*,#227360,.T.); #320728=ORIENTED_EDGE('',*,*,#227420,.T.); #320729=ORIENTED_EDGE('',*,*,#227364,.T.); #320730=ORIENTED_EDGE('',*,*,#227353,.T.); #320731=ORIENTED_EDGE('',*,*,#227421,.T.); #320732=ORIENTED_EDGE('',*,*,#227357,.T.); #320733=ORIENTED_EDGE('',*,*,#227350,.T.); #320734=ORIENTED_EDGE('',*,*,#227346,.T.); #320735=ORIENTED_EDGE('',*,*,#227422,.T.); #320736=ORIENTED_EDGE('',*,*,#227423,.F.); #320737=ORIENTED_EDGE('',*,*,#227424,.T.); #320738=ORIENTED_EDGE('',*,*,#227249,.F.); #320739=ORIENTED_EDGE('',*,*,#227293,.T.); #320740=ORIENTED_EDGE('',*,*,#227339,.T.); #320741=ORIENTED_EDGE('',*,*,#227290,.F.); #320742=ORIENTED_EDGE('',*,*,#227345,.F.); #320743=ORIENTED_EDGE('',*,*,#227288,.T.); #320744=ORIENTED_EDGE('',*,*,#227338,.T.); #320745=ORIENTED_EDGE('',*,*,#227283,.F.); #320746=ORIENTED_EDGE('',*,*,#227418,.F.); #320747=ORIENTED_EDGE('',*,*,#227281,.T.); #320748=ORIENTED_EDGE('',*,*,#227337,.T.); #320749=ORIENTED_EDGE('',*,*,#227276,.F.); #320750=ORIENTED_EDGE('',*,*,#227344,.F.); #320751=ORIENTED_EDGE('',*,*,#227274,.T.); #320752=ORIENTED_EDGE('',*,*,#227336,.T.); #320753=ORIENTED_EDGE('',*,*,#227301,.F.); #320754=ORIENTED_EDGE('',*,*,#227343,.F.); #320755=ORIENTED_EDGE('',*,*,#227299,.T.); #320756=ORIENTED_EDGE('',*,*,#227335,.T.); #320757=ORIENTED_EDGE('',*,*,#227308,.F.); #320758=ORIENTED_EDGE('',*,*,#227341,.F.); #320759=ORIENTED_EDGE('',*,*,#227306,.T.); #320760=ORIENTED_EDGE('',*,*,#227334,.T.); #320761=ORIENTED_EDGE('',*,*,#227315,.F.); #320762=ORIENTED_EDGE('',*,*,#227340,.F.); #320763=ORIENTED_EDGE('',*,*,#227313,.T.); #320764=ORIENTED_EDGE('',*,*,#227333,.T.); #320765=ORIENTED_EDGE('',*,*,#227322,.F.); #320766=ORIENTED_EDGE('',*,*,#227342,.F.); #320767=ORIENTED_EDGE('',*,*,#227320,.T.); #320768=ORIENTED_EDGE('',*,*,#227325,.T.); #320769=ORIENTED_EDGE('',*,*,#227294,.F.); #320770=ORIENTED_EDGE('',*,*,#227256,.F.); #320771=ORIENTED_EDGE('',*,*,#227398,.T.); #320772=ORIENTED_EDGE('',*,*,#227376,.T.); #320773=ORIENTED_EDGE('',*,*,#227372,.F.); #320774=ORIENTED_EDGE('',*,*,#227419,.F.); #320775=ORIENTED_EDGE('',*,*,#227370,.T.); #320776=ORIENTED_EDGE('',*,*,#227378,.T.); #320777=ORIENTED_EDGE('',*,*,#227365,.F.); #320778=ORIENTED_EDGE('',*,*,#227420,.F.); #320779=ORIENTED_EDGE('',*,*,#227363,.T.); #320780=ORIENTED_EDGE('',*,*,#227380,.T.); #320781=ORIENTED_EDGE('',*,*,#227358,.F.); #320782=ORIENTED_EDGE('',*,*,#227421,.F.); #320783=ORIENTED_EDGE('',*,*,#227356,.T.); #320784=ORIENTED_EDGE('',*,*,#227393,.T.); #320785=ORIENTED_EDGE('',*,*,#227351,.F.); #320786=ORIENTED_EDGE('',*,*,#227422,.F.); #320787=ORIENTED_EDGE('',*,*,#227349,.T.); #320788=ORIENTED_EDGE('',*,*,#227382,.T.); #320789=ORIENTED_EDGE('',*,*,#227411,.F.); #320790=ORIENTED_EDGE('',*,*,#227415,.F.); #320791=ORIENTED_EDGE('',*,*,#227414,.T.); #320792=ORIENTED_EDGE('',*,*,#227387,.T.); #320793=ORIENTED_EDGE('',*,*,#227406,.F.); #320794=ORIENTED_EDGE('',*,*,#227416,.F.); #320795=ORIENTED_EDGE('',*,*,#227409,.T.); #320796=ORIENTED_EDGE('',*,*,#227391,.T.); #320797=ORIENTED_EDGE('',*,*,#227401,.F.); #320798=ORIENTED_EDGE('',*,*,#227417,.F.); #320799=ORIENTED_EDGE('',*,*,#227404,.T.); #320800=ORIENTED_EDGE('',*,*,#227397,.T.); #320801=ORIENTED_EDGE('',*,*,#227399,.F.); #320802=ORIENTED_EDGE('',*,*,#227250,.F.); #320803=ORIENTED_EDGE('',*,*,#227424,.F.); #320804=ORIENTED_EDGE('',*,*,#227425,.F.); #320805=ORIENTED_EDGE('',*,*,#227426,.F.); #320806=ORIENTED_EDGE('',*,*,#227427,.T.); #320807=ORIENTED_EDGE('',*,*,#227428,.T.); #320808=ORIENTED_EDGE('',*,*,#227429,.F.); #320809=ORIENTED_EDGE('',*,*,#227430,.T.); #320810=ORIENTED_EDGE('',*,*,#227431,.F.); #320811=ORIENTED_EDGE('',*,*,#227432,.T.); #320812=ORIENTED_EDGE('',*,*,#227431,.T.); #320813=ORIENTED_EDGE('',*,*,#227433,.T.); #320814=ORIENTED_EDGE('',*,*,#227434,.F.); #320815=ORIENTED_EDGE('',*,*,#227435,.F.); #320816=ORIENTED_EDGE('',*,*,#227436,.T.); #320817=ORIENTED_EDGE('',*,*,#227427,.F.); #320818=ORIENTED_EDGE('',*,*,#227437,.F.); #320819=ORIENTED_EDGE('',*,*,#227438,.T.); #320820=ORIENTED_EDGE('',*,*,#227439,.T.); #320821=ORIENTED_EDGE('',*,*,#227440,.F.); #320822=ORIENTED_EDGE('',*,*,#227441,.T.); #320823=ORIENTED_EDGE('',*,*,#227442,.T.); #320824=ORIENTED_EDGE('',*,*,#227443,.F.); #320825=ORIENTED_EDGE('',*,*,#227444,.T.); #320826=ORIENTED_EDGE('',*,*,#227445,.F.); #320827=ORIENTED_EDGE('',*,*,#227446,.T.); #320828=ORIENTED_EDGE('',*,*,#227447,.T.); #320829=ORIENTED_EDGE('',*,*,#227448,.F.); #320830=ORIENTED_EDGE('',*,*,#227449,.T.); #320831=ORIENTED_EDGE('',*,*,#227434,.T.); #320832=ORIENTED_EDGE('',*,*,#227450,.F.); #320833=ORIENTED_EDGE('',*,*,#227451,.F.); #320834=ORIENTED_EDGE('',*,*,#227439,.F.); #320835=ORIENTED_EDGE('',*,*,#227433,.F.); #320836=ORIENTED_EDGE('',*,*,#227430,.F.); #320837=ORIENTED_EDGE('',*,*,#227452,.F.); #320838=ORIENTED_EDGE('',*,*,#227428,.F.); #320839=ORIENTED_EDGE('',*,*,#227436,.F.); #320840=ORIENTED_EDGE('',*,*,#227453,.F.); #320841=ORIENTED_EDGE('',*,*,#227442,.F.); #320842=ORIENTED_EDGE('',*,*,#227454,.T.); #320843=ORIENTED_EDGE('',*,*,#227455,.F.); #320844=ORIENTED_EDGE('',*,*,#227456,.F.); #320845=ORIENTED_EDGE('',*,*,#227447,.F.); #320846=ORIENTED_EDGE('',*,*,#227457,.F.); #320847=ORIENTED_EDGE('',*,*,#227458,.F.); #320848=ORIENTED_EDGE('',*,*,#227459,.F.); #320849=ORIENTED_EDGE('',*,*,#227460,.F.); #320850=ORIENTED_EDGE('',*,*,#227457,.T.); #320851=ORIENTED_EDGE('',*,*,#227446,.F.); #320852=ORIENTED_EDGE('',*,*,#227461,.T.); #320853=ORIENTED_EDGE('',*,*,#227462,.T.); #320854=ORIENTED_EDGE('',*,*,#227448,.T.); #320855=ORIENTED_EDGE('',*,*,#227456,.T.); #320856=ORIENTED_EDGE('',*,*,#227463,.F.); #320857=ORIENTED_EDGE('',*,*,#227464,.F.); #320858=ORIENTED_EDGE('',*,*,#227454,.F.); #320859=ORIENTED_EDGE('',*,*,#227441,.F.); #320860=ORIENTED_EDGE('',*,*,#227440,.T.); #320861=ORIENTED_EDGE('',*,*,#227451,.T.); #320862=ORIENTED_EDGE('',*,*,#227465,.T.); #320863=ORIENTED_EDGE('',*,*,#227463,.T.); #320864=ORIENTED_EDGE('',*,*,#227443,.T.); #320865=ORIENTED_EDGE('',*,*,#227453,.T.); #320866=ORIENTED_EDGE('',*,*,#227466,.T.); #320867=ORIENTED_EDGE('',*,*,#227467,.T.); #320868=ORIENTED_EDGE('',*,*,#227468,.F.); #320869=ORIENTED_EDGE('',*,*,#227444,.F.); #320870=ORIENTED_EDGE('',*,*,#227467,.F.); #320871=ORIENTED_EDGE('',*,*,#227469,.F.); #320872=ORIENTED_EDGE('',*,*,#227470,.F.); #320873=ORIENTED_EDGE('',*,*,#227461,.F.); #320874=ORIENTED_EDGE('',*,*,#227458,.T.); #320875=ORIENTED_EDGE('',*,*,#227460,.T.); #320876=ORIENTED_EDGE('',*,*,#227471,.F.); #320877=ORIENTED_EDGE('',*,*,#227469,.T.); #320878=ORIENTED_EDGE('',*,*,#227466,.F.); #320879=ORIENTED_EDGE('',*,*,#227455,.T.); #320880=ORIENTED_EDGE('',*,*,#227464,.T.); #320881=ORIENTED_EDGE('',*,*,#227465,.F.); #320882=ORIENTED_EDGE('',*,*,#227450,.T.); #320883=ORIENTED_EDGE('',*,*,#227438,.F.); #320884=ORIENTED_EDGE('',*,*,#227471,.T.); #320885=ORIENTED_EDGE('',*,*,#227459,.T.); #320886=ORIENTED_EDGE('',*,*,#227445,.T.); #320887=ORIENTED_EDGE('',*,*,#227468,.T.); #320888=ORIENTED_EDGE('',*,*,#227449,.F.); #320889=ORIENTED_EDGE('',*,*,#227462,.F.); #320890=ORIENTED_EDGE('',*,*,#227470,.T.); #320891=ORIENTED_EDGE('',*,*,#227437,.T.); #320892=ORIENTED_EDGE('',*,*,#227426,.T.); #320893=ORIENTED_EDGE('',*,*,#227472,.F.); #320894=ORIENTED_EDGE('',*,*,#227432,.F.); #320895=ORIENTED_EDGE('',*,*,#227435,.T.); #320896=ORIENTED_EDGE('',*,*,#227473,.F.); #320897=ORIENTED_EDGE('',*,*,#227474,.F.); #320898=ORIENTED_EDGE('',*,*,#227475,.F.); #320899=ORIENTED_EDGE('',*,*,#227476,.T.); #320900=ORIENTED_EDGE('',*,*,#227477,.T.); #320901=ORIENTED_EDGE('',*,*,#227478,.F.); #320902=ORIENTED_EDGE('',*,*,#227479,.T.); #320903=ORIENTED_EDGE('',*,*,#227480,.F.); #320904=ORIENTED_EDGE('',*,*,#227481,.T.); #320905=ORIENTED_EDGE('',*,*,#227482,.T.); #320906=ORIENTED_EDGE('',*,*,#227483,.F.); #320907=ORIENTED_EDGE('',*,*,#227484,.T.); #320908=ORIENTED_EDGE('',*,*,#227485,.F.); #320909=ORIENTED_EDGE('',*,*,#227486,.T.); #320910=ORIENTED_EDGE('',*,*,#227487,.F.); #320911=ORIENTED_EDGE('',*,*,#227474,.T.); #320912=ORIENTED_EDGE('',*,*,#227488,.F.); #320913=ORIENTED_EDGE('',*,*,#227489,.T.); #320914=ORIENTED_EDGE('',*,*,#227490,.F.); #320915=ORIENTED_EDGE('',*,*,#227491,.T.); #320916=ORIENTED_EDGE('',*,*,#227492,.T.); #320917=ORIENTED_EDGE('',*,*,#227493,.F.); #320918=ORIENTED_EDGE('',*,*,#227494,.T.); #320919=ORIENTED_EDGE('',*,*,#227495,.F.); #320920=ORIENTED_EDGE('',*,*,#227496,.T.); #320921=ORIENTED_EDGE('',*,*,#227497,.T.); #320922=ORIENTED_EDGE('',*,*,#227498,.F.); #320923=ORIENTED_EDGE('',*,*,#227486,.F.); #320924=ORIENTED_EDGE('',*,*,#227478,.T.); #320925=ORIENTED_EDGE('',*,*,#227499,.T.); #320926=ORIENTED_EDGE('',*,*,#227495,.T.); #320927=ORIENTED_EDGE('',*,*,#227500,.T.); #320928=ORIENTED_EDGE('',*,*,#227500,.F.); #320929=ORIENTED_EDGE('',*,*,#227494,.F.); #320930=ORIENTED_EDGE('',*,*,#227501,.F.); #320931=ORIENTED_EDGE('',*,*,#227479,.F.); #320932=ORIENTED_EDGE('',*,*,#227493,.T.); #320933=ORIENTED_EDGE('',*,*,#227502,.T.); #320934=ORIENTED_EDGE('',*,*,#227480,.T.); #320935=ORIENTED_EDGE('',*,*,#227501,.T.); #320936=ORIENTED_EDGE('',*,*,#227490,.T.); #320937=ORIENTED_EDGE('',*,*,#227503,.T.); #320938=ORIENTED_EDGE('',*,*,#227483,.T.); #320939=ORIENTED_EDGE('',*,*,#227504,.T.); #320940=ORIENTED_EDGE('',*,*,#227504,.F.); #320941=ORIENTED_EDGE('',*,*,#227482,.F.); #320942=ORIENTED_EDGE('',*,*,#227505,.F.); #320943=ORIENTED_EDGE('',*,*,#227491,.F.); #320944=ORIENTED_EDGE('',*,*,#227475,.T.); #320945=ORIENTED_EDGE('',*,*,#227487,.T.); #320946=ORIENTED_EDGE('',*,*,#227498,.T.); #320947=ORIENTED_EDGE('',*,*,#227506,.T.); #320948=ORIENTED_EDGE('',*,*,#227499,.F.); #320949=ORIENTED_EDGE('',*,*,#227477,.F.); #320950=ORIENTED_EDGE('',*,*,#227507,.T.); #320951=ORIENTED_EDGE('',*,*,#227496,.F.); #320952=ORIENTED_EDGE('',*,*,#227506,.F.); #320953=ORIENTED_EDGE('',*,*,#227497,.F.); #320954=ORIENTED_EDGE('',*,*,#227507,.F.); #320955=ORIENTED_EDGE('',*,*,#227476,.F.); #320956=ORIENTED_EDGE('',*,*,#227502,.F.); #320957=ORIENTED_EDGE('',*,*,#227492,.F.); #320958=ORIENTED_EDGE('',*,*,#227505,.T.); #320959=ORIENTED_EDGE('',*,*,#227481,.F.); #320960=ORIENTED_EDGE('',*,*,#227508,.F.); #320961=ORIENTED_EDGE('',*,*,#227484,.F.); #320962=ORIENTED_EDGE('',*,*,#227503,.F.); #320963=ORIENTED_EDGE('',*,*,#227489,.F.); #320964=ORIENTED_EDGE('',*,*,#227509,.F.); #320965=ORIENTED_EDGE('',*,*,#227510,.T.); #320966=ORIENTED_EDGE('',*,*,#227511,.T.); #320967=ORIENTED_EDGE('',*,*,#227512,.F.); #320968=ORIENTED_EDGE('',*,*,#227513,.T.); #320969=ORIENTED_EDGE('',*,*,#227510,.F.); #320970=ORIENTED_EDGE('',*,*,#227514,.F.); #320971=ORIENTED_EDGE('',*,*,#227515,.T.); #320972=ORIENTED_EDGE('',*,*,#227516,.T.); #320973=ORIENTED_EDGE('',*,*,#227517,.T.); #320974=ORIENTED_EDGE('',*,*,#227518,.F.); #320975=ORIENTED_EDGE('',*,*,#227519,.F.); #320976=ORIENTED_EDGE('',*,*,#227520,.T.); #320977=ORIENTED_EDGE('',*,*,#227516,.F.); #320978=ORIENTED_EDGE('',*,*,#227521,.T.); #320979=ORIENTED_EDGE('',*,*,#227522,.F.); #320980=ORIENTED_EDGE('',*,*,#227523,.T.); #320981=ORIENTED_EDGE('',*,*,#227524,.F.); #320982=ORIENTED_EDGE('',*,*,#227525,.T.); #320983=ORIENTED_EDGE('',*,*,#227526,.T.); #320984=ORIENTED_EDGE('',*,*,#227527,.F.); #320985=ORIENTED_EDGE('',*,*,#227528,.T.); #320986=ORIENTED_EDGE('',*,*,#227529,.F.); #320987=ORIENTED_EDGE('',*,*,#227530,.T.); #320988=ORIENTED_EDGE('',*,*,#227531,.T.); #320989=ORIENTED_EDGE('',*,*,#227532,.F.); #320990=ORIENTED_EDGE('',*,*,#227533,.T.); #320991=ORIENTED_EDGE('',*,*,#227518,.T.); #320992=ORIENTED_EDGE('',*,*,#227534,.F.); #320993=ORIENTED_EDGE('',*,*,#227535,.F.); #320994=ORIENTED_EDGE('',*,*,#227523,.F.); #320995=ORIENTED_EDGE('',*,*,#227517,.F.); #320996=ORIENTED_EDGE('',*,*,#227520,.F.); #320997=ORIENTED_EDGE('',*,*,#227536,.F.); #320998=ORIENTED_EDGE('',*,*,#227511,.F.); #320999=ORIENTED_EDGE('',*,*,#227513,.F.); #321000=ORIENTED_EDGE('',*,*,#227537,.T.); #321001=ORIENTED_EDGE('',*,*,#227538,.T.); #321002=ORIENTED_EDGE('',*,*,#227529,.T.); #321003=ORIENTED_EDGE('',*,*,#227539,.T.); #321004=ORIENTED_EDGE('',*,*,#227540,.F.); #321005=ORIENTED_EDGE('',*,*,#227541,.F.); #321006=ORIENTED_EDGE('',*,*,#227542,.T.); #321007=ORIENTED_EDGE('',*,*,#227543,.T.); #321008=ORIENTED_EDGE('',*,*,#227537,.F.); #321009=ORIENTED_EDGE('',*,*,#227544,.T.); #321010=ORIENTED_EDGE('',*,*,#227545,.F.); #321011=ORIENTED_EDGE('',*,*,#227546,.T.); #321012=ORIENTED_EDGE('',*,*,#227547,.T.); #321013=ORIENTED_EDGE('',*,*,#227548,.F.); #321014=ORIENTED_EDGE('',*,*,#227534,.T.); #321015=ORIENTED_EDGE('',*,*,#227515,.F.); #321016=ORIENTED_EDGE('',*,*,#227539,.F.); #321017=ORIENTED_EDGE('',*,*,#227528,.F.); #321018=ORIENTED_EDGE('',*,*,#227549,.F.); #321019=ORIENTED_EDGE('',*,*,#227544,.F.); #321020=ORIENTED_EDGE('',*,*,#227527,.T.); #321021=ORIENTED_EDGE('',*,*,#227550,.T.); #321022=ORIENTED_EDGE('',*,*,#227545,.T.); #321023=ORIENTED_EDGE('',*,*,#227549,.T.); #321024=ORIENTED_EDGE('',*,*,#227524,.T.); #321025=ORIENTED_EDGE('',*,*,#227535,.T.); #321026=ORIENTED_EDGE('',*,*,#227548,.T.); #321027=ORIENTED_EDGE('',*,*,#227551,.T.); #321028=ORIENTED_EDGE('',*,*,#227551,.F.); #321029=ORIENTED_EDGE('',*,*,#227547,.F.); #321030=ORIENTED_EDGE('',*,*,#227552,.F.); #321031=ORIENTED_EDGE('',*,*,#227525,.F.); #321032=ORIENTED_EDGE('',*,*,#227541,.T.); #321033=ORIENTED_EDGE('',*,*,#227553,.T.); #321034=ORIENTED_EDGE('',*,*,#227532,.T.); #321035=ORIENTED_EDGE('',*,*,#227554,.T.); #321036=ORIENTED_EDGE('',*,*,#227533,.F.); #321037=ORIENTED_EDGE('',*,*,#227553,.F.); #321038=ORIENTED_EDGE('',*,*,#227540,.T.); #321039=ORIENTED_EDGE('',*,*,#227514,.T.); #321040=ORIENTED_EDGE('',*,*,#227509,.T.); #321041=ORIENTED_EDGE('',*,*,#227555,.F.); #321042=ORIENTED_EDGE('',*,*,#227521,.F.); #321043=ORIENTED_EDGE('',*,*,#227519,.T.); #321044=ORIENTED_EDGE('',*,*,#227538,.F.); #321045=ORIENTED_EDGE('',*,*,#227543,.F.); #321046=ORIENTED_EDGE('',*,*,#227556,.T.); #321047=ORIENTED_EDGE('',*,*,#227530,.F.); #321048=ORIENTED_EDGE('',*,*,#227554,.F.); #321049=ORIENTED_EDGE('',*,*,#227531,.F.); #321050=ORIENTED_EDGE('',*,*,#227556,.F.); #321051=ORIENTED_EDGE('',*,*,#227542,.F.); #321052=ORIENTED_EDGE('',*,*,#227550,.F.); #321053=ORIENTED_EDGE('',*,*,#227526,.F.); #321054=ORIENTED_EDGE('',*,*,#227552,.T.); #321055=ORIENTED_EDGE('',*,*,#227546,.F.); #321056=ORIENTED_EDGE('',*,*,#227557,.F.); #321057=ORIENTED_EDGE('',*,*,#227558,.F.); #321058=ORIENTED_EDGE('',*,*,#227559,.T.); #321059=ORIENTED_EDGE('',*,*,#227560,.T.); #321060=ORIENTED_EDGE('',*,*,#227561,.F.); #321061=ORIENTED_EDGE('',*,*,#227562,.T.); #321062=ORIENTED_EDGE('',*,*,#227563,.F.); #321063=ORIENTED_EDGE('',*,*,#227564,.T.); #321064=ORIENTED_EDGE('',*,*,#227563,.T.); #321065=ORIENTED_EDGE('',*,*,#227565,.T.); #321066=ORIENTED_EDGE('',*,*,#227566,.F.); #321067=ORIENTED_EDGE('',*,*,#227567,.F.); #321068=ORIENTED_EDGE('',*,*,#227568,.T.); #321069=ORIENTED_EDGE('',*,*,#227559,.F.); #321070=ORIENTED_EDGE('',*,*,#227569,.F.); #321071=ORIENTED_EDGE('',*,*,#227570,.T.); #321072=ORIENTED_EDGE('',*,*,#227571,.T.); #321073=ORIENTED_EDGE('',*,*,#227572,.F.); #321074=ORIENTED_EDGE('',*,*,#227573,.T.); #321075=ORIENTED_EDGE('',*,*,#227574,.T.); #321076=ORIENTED_EDGE('',*,*,#227575,.F.); #321077=ORIENTED_EDGE('',*,*,#227576,.T.); #321078=ORIENTED_EDGE('',*,*,#227577,.F.); #321079=ORIENTED_EDGE('',*,*,#227578,.T.); #321080=ORIENTED_EDGE('',*,*,#227579,.T.); #321081=ORIENTED_EDGE('',*,*,#227580,.F.); #321082=ORIENTED_EDGE('',*,*,#227581,.T.); #321083=ORIENTED_EDGE('',*,*,#227566,.T.); #321084=ORIENTED_EDGE('',*,*,#227582,.F.); #321085=ORIENTED_EDGE('',*,*,#227583,.F.); #321086=ORIENTED_EDGE('',*,*,#227571,.F.); #321087=ORIENTED_EDGE('',*,*,#227565,.F.); #321088=ORIENTED_EDGE('',*,*,#227562,.F.); #321089=ORIENTED_EDGE('',*,*,#227584,.F.); #321090=ORIENTED_EDGE('',*,*,#227560,.F.); #321091=ORIENTED_EDGE('',*,*,#227568,.F.); #321092=ORIENTED_EDGE('',*,*,#227585,.F.); #321093=ORIENTED_EDGE('',*,*,#227574,.F.); #321094=ORIENTED_EDGE('',*,*,#227586,.T.); #321095=ORIENTED_EDGE('',*,*,#227587,.F.); #321096=ORIENTED_EDGE('',*,*,#227588,.F.); #321097=ORIENTED_EDGE('',*,*,#227579,.F.); #321098=ORIENTED_EDGE('',*,*,#227589,.F.); #321099=ORIENTED_EDGE('',*,*,#227590,.F.); #321100=ORIENTED_EDGE('',*,*,#227591,.F.); #321101=ORIENTED_EDGE('',*,*,#227592,.F.); #321102=ORIENTED_EDGE('',*,*,#227589,.T.); #321103=ORIENTED_EDGE('',*,*,#227578,.F.); #321104=ORIENTED_EDGE('',*,*,#227593,.T.); #321105=ORIENTED_EDGE('',*,*,#227594,.T.); #321106=ORIENTED_EDGE('',*,*,#227580,.T.); #321107=ORIENTED_EDGE('',*,*,#227588,.T.); #321108=ORIENTED_EDGE('',*,*,#227595,.F.); #321109=ORIENTED_EDGE('',*,*,#227596,.F.); #321110=ORIENTED_EDGE('',*,*,#227586,.F.); #321111=ORIENTED_EDGE('',*,*,#227573,.F.); #321112=ORIENTED_EDGE('',*,*,#227572,.T.); #321113=ORIENTED_EDGE('',*,*,#227583,.T.); #321114=ORIENTED_EDGE('',*,*,#227597,.T.); #321115=ORIENTED_EDGE('',*,*,#227595,.T.); #321116=ORIENTED_EDGE('',*,*,#227575,.T.); #321117=ORIENTED_EDGE('',*,*,#227585,.T.); #321118=ORIENTED_EDGE('',*,*,#227598,.T.); #321119=ORIENTED_EDGE('',*,*,#227599,.T.); #321120=ORIENTED_EDGE('',*,*,#227600,.F.); #321121=ORIENTED_EDGE('',*,*,#227576,.F.); #321122=ORIENTED_EDGE('',*,*,#227599,.F.); #321123=ORIENTED_EDGE('',*,*,#227601,.F.); #321124=ORIENTED_EDGE('',*,*,#227602,.F.); #321125=ORIENTED_EDGE('',*,*,#227593,.F.); #321126=ORIENTED_EDGE('',*,*,#227590,.T.); #321127=ORIENTED_EDGE('',*,*,#227592,.T.); #321128=ORIENTED_EDGE('',*,*,#227603,.F.); #321129=ORIENTED_EDGE('',*,*,#227601,.T.); #321130=ORIENTED_EDGE('',*,*,#227598,.F.); #321131=ORIENTED_EDGE('',*,*,#227587,.T.); #321132=ORIENTED_EDGE('',*,*,#227596,.T.); #321133=ORIENTED_EDGE('',*,*,#227597,.F.); #321134=ORIENTED_EDGE('',*,*,#227582,.T.); #321135=ORIENTED_EDGE('',*,*,#227570,.F.); #321136=ORIENTED_EDGE('',*,*,#227603,.T.); #321137=ORIENTED_EDGE('',*,*,#227591,.T.); #321138=ORIENTED_EDGE('',*,*,#227577,.T.); #321139=ORIENTED_EDGE('',*,*,#227600,.T.); #321140=ORIENTED_EDGE('',*,*,#227581,.F.); #321141=ORIENTED_EDGE('',*,*,#227594,.F.); #321142=ORIENTED_EDGE('',*,*,#227602,.T.); #321143=ORIENTED_EDGE('',*,*,#227569,.T.); #321144=ORIENTED_EDGE('',*,*,#227558,.T.); #321145=ORIENTED_EDGE('',*,*,#227604,.F.); #321146=ORIENTED_EDGE('',*,*,#227564,.F.); #321147=ORIENTED_EDGE('',*,*,#227567,.T.); #321148=ORIENTED_EDGE('',*,*,#227605,.F.); #321149=ORIENTED_EDGE('',*,*,#227606,.F.); #321150=ORIENTED_EDGE('',*,*,#227607,.F.); #321151=ORIENTED_EDGE('',*,*,#227608,.T.); #321152=ORIENTED_EDGE('',*,*,#227609,.T.); #321153=ORIENTED_EDGE('',*,*,#227610,.F.); #321154=ORIENTED_EDGE('',*,*,#227611,.T.); #321155=ORIENTED_EDGE('',*,*,#227612,.F.); #321156=ORIENTED_EDGE('',*,*,#227613,.T.); #321157=ORIENTED_EDGE('',*,*,#227614,.T.); #321158=ORIENTED_EDGE('',*,*,#227615,.F.); #321159=ORIENTED_EDGE('',*,*,#227616,.T.); #321160=ORIENTED_EDGE('',*,*,#227617,.F.); #321161=ORIENTED_EDGE('',*,*,#227618,.T.); #321162=ORIENTED_EDGE('',*,*,#227619,.F.); #321163=ORIENTED_EDGE('',*,*,#227606,.T.); #321164=ORIENTED_EDGE('',*,*,#227620,.F.); #321165=ORIENTED_EDGE('',*,*,#227621,.T.); #321166=ORIENTED_EDGE('',*,*,#227622,.F.); #321167=ORIENTED_EDGE('',*,*,#227623,.T.); #321168=ORIENTED_EDGE('',*,*,#227624,.T.); #321169=ORIENTED_EDGE('',*,*,#227625,.F.); #321170=ORIENTED_EDGE('',*,*,#227626,.T.); #321171=ORIENTED_EDGE('',*,*,#227627,.F.); #321172=ORIENTED_EDGE('',*,*,#227628,.T.); #321173=ORIENTED_EDGE('',*,*,#227629,.T.); #321174=ORIENTED_EDGE('',*,*,#227630,.F.); #321175=ORIENTED_EDGE('',*,*,#227618,.F.); #321176=ORIENTED_EDGE('',*,*,#227631,.F.); #321177=ORIENTED_EDGE('',*,*,#227616,.F.); #321178=ORIENTED_EDGE('',*,*,#227632,.F.); #321179=ORIENTED_EDGE('',*,*,#227621,.F.); #321180=ORIENTED_EDGE('',*,*,#227633,.F.); #321181=ORIENTED_EDGE('',*,*,#227624,.F.); #321182=ORIENTED_EDGE('',*,*,#227634,.T.); #321183=ORIENTED_EDGE('',*,*,#227613,.F.); #321184=ORIENTED_EDGE('',*,*,#227635,.F.); #321185=ORIENTED_EDGE('',*,*,#227629,.F.); #321186=ORIENTED_EDGE('',*,*,#227636,.F.); #321187=ORIENTED_EDGE('',*,*,#227608,.F.); #321188=ORIENTED_EDGE('',*,*,#227637,.F.); #321189=ORIENTED_EDGE('',*,*,#227609,.F.); #321190=ORIENTED_EDGE('',*,*,#227636,.T.); #321191=ORIENTED_EDGE('',*,*,#227628,.F.); #321192=ORIENTED_EDGE('',*,*,#227607,.T.); #321193=ORIENTED_EDGE('',*,*,#227619,.T.); #321194=ORIENTED_EDGE('',*,*,#227630,.T.); #321195=ORIENTED_EDGE('',*,*,#227635,.T.); #321196=ORIENTED_EDGE('',*,*,#227638,.F.); #321197=ORIENTED_EDGE('',*,*,#227614,.F.); #321198=ORIENTED_EDGE('',*,*,#227634,.F.); #321199=ORIENTED_EDGE('',*,*,#227623,.F.); #321200=ORIENTED_EDGE('',*,*,#227622,.T.); #321201=ORIENTED_EDGE('',*,*,#227632,.T.); #321202=ORIENTED_EDGE('',*,*,#227615,.T.); #321203=ORIENTED_EDGE('',*,*,#227638,.T.); #321204=ORIENTED_EDGE('',*,*,#227625,.T.); #321205=ORIENTED_EDGE('',*,*,#227633,.T.); #321206=ORIENTED_EDGE('',*,*,#227612,.T.); #321207=ORIENTED_EDGE('',*,*,#227639,.T.); #321208=ORIENTED_EDGE('',*,*,#227640,.F.); #321209=ORIENTED_EDGE('',*,*,#227626,.F.); #321210=ORIENTED_EDGE('',*,*,#227639,.F.); #321211=ORIENTED_EDGE('',*,*,#227611,.F.); #321212=ORIENTED_EDGE('',*,*,#227610,.T.); #321213=ORIENTED_EDGE('',*,*,#227637,.T.); #321214=ORIENTED_EDGE('',*,*,#227627,.T.); #321215=ORIENTED_EDGE('',*,*,#227640,.T.); #321216=ORIENTED_EDGE('',*,*,#227641,.T.); #321217=ORIENTED_EDGE('',*,*,#227642,.T.); #321218=ORIENTED_EDGE('',*,*,#227643,.F.); #321219=ORIENTED_EDGE('',*,*,#227644,.F.); #321220=ORIENTED_EDGE('',*,*,#227645,.F.); #321221=ORIENTED_EDGE('',*,*,#227646,.T.); #321222=ORIENTED_EDGE('',*,*,#227647,.T.); #321223=ORIENTED_EDGE('',*,*,#227648,.F.); #321224=ORIENTED_EDGE('',*,*,#227649,.F.); #321225=ORIENTED_EDGE('',*,*,#227650,.T.); #321226=ORIENTED_EDGE('',*,*,#227651,.T.); #321227=ORIENTED_EDGE('',*,*,#227652,.F.); #321228=ORIENTED_EDGE('',*,*,#227653,.F.); #321229=ORIENTED_EDGE('',*,*,#227654,.T.); #321230=ORIENTED_EDGE('',*,*,#227655,.T.); #321231=ORIENTED_EDGE('',*,*,#227656,.F.); #321232=ORIENTED_EDGE('',*,*,#227657,.T.); #321233=ORIENTED_EDGE('',*,*,#227658,.T.); #321234=ORIENTED_EDGE('',*,*,#227659,.F.); #321235=ORIENTED_EDGE('',*,*,#227660,.F.); #321236=ORIENTED_EDGE('',*,*,#227661,.T.); #321237=ORIENTED_EDGE('',*,*,#227662,.F.); #321238=ORIENTED_EDGE('',*,*,#227663,.T.); #321239=ORIENTED_EDGE('',*,*,#227664,.F.); #321240=ORIENTED_EDGE('',*,*,#227665,.T.); #321241=ORIENTED_EDGE('',*,*,#227666,.F.); #321242=ORIENTED_EDGE('',*,*,#227667,.F.); #321243=ORIENTED_EDGE('',*,*,#227668,.T.); #321244=ORIENTED_EDGE('',*,*,#227669,.F.); #321245=ORIENTED_EDGE('',*,*,#227670,.T.); #321246=ORIENTED_EDGE('',*,*,#227671,.F.); #321247=ORIENTED_EDGE('',*,*,#227672,.F.); #321248=ORIENTED_EDGE('',*,*,#227673,.F.); #321249=ORIENTED_EDGE('',*,*,#227674,.T.); #321250=ORIENTED_EDGE('',*,*,#227675,.F.); #321251=ORIENTED_EDGE('',*,*,#227676,.T.); #321252=ORIENTED_EDGE('',*,*,#227677,.F.); #321253=ORIENTED_EDGE('',*,*,#227678,.F.); #321254=ORIENTED_EDGE('',*,*,#227679,.T.); #321255=ORIENTED_EDGE('',*,*,#227680,.T.); #321256=ORIENTED_EDGE('',*,*,#227681,.F.); #321257=ORIENTED_EDGE('',*,*,#227682,.F.); #321258=ORIENTED_EDGE('',*,*,#227683,.T.); #321259=ORIENTED_EDGE('',*,*,#227684,.T.); #321260=ORIENTED_EDGE('',*,*,#227473,.T.); #321261=ORIENTED_EDGE('',*,*,#227508,.T.); #321262=ORIENTED_EDGE('',*,*,#227488,.T.); #321263=ORIENTED_EDGE('',*,*,#227485,.T.); #321264=ORIENTED_EDGE('',*,*,#227605,.T.); #321265=ORIENTED_EDGE('',*,*,#227631,.T.); #321266=ORIENTED_EDGE('',*,*,#227620,.T.); #321267=ORIENTED_EDGE('',*,*,#227617,.T.); #321268=ORIENTED_EDGE('',*,*,#227685,.F.); #321269=ORIENTED_EDGE('',*,*,#227686,.F.); #321270=ORIENTED_EDGE('',*,*,#227185,.F.); #321271=ORIENTED_EDGE('',*,*,#227182,.F.); #321272=ORIENTED_EDGE('',*,*,#227179,.F.); #321273=ORIENTED_EDGE('',*,*,#227176,.F.); #321274=ORIENTED_EDGE('',*,*,#227173,.F.); #321275=ORIENTED_EDGE('',*,*,#227170,.F.); #321276=ORIENTED_EDGE('',*,*,#227167,.F.); #321277=ORIENTED_EDGE('',*,*,#227687,.F.); #321278=ORIENTED_EDGE('',*,*,#227688,.T.); #321279=ORIENTED_EDGE('',*,*,#227207,.T.); #321280=ORIENTED_EDGE('',*,*,#227204,.T.); #321281=ORIENTED_EDGE('',*,*,#227201,.T.); #321282=ORIENTED_EDGE('',*,*,#227198,.T.); #321283=ORIENTED_EDGE('',*,*,#227195,.T.); #321284=ORIENTED_EDGE('',*,*,#227192,.T.); #321285=ORIENTED_EDGE('',*,*,#227205,.T.); #321286=ORIENTED_EDGE('',*,*,#227688,.F.); #321287=ORIENTED_EDGE('',*,*,#227689,.F.); #321288=ORIENTED_EDGE('',*,*,#227690,.T.); #321289=ORIENTED_EDGE('',*,*,#227691,.F.); #321290=ORIENTED_EDGE('',*,*,#227187,.F.); #321291=ORIENTED_EDGE('',*,*,#227190,.F.); #321292=ORIENTED_EDGE('',*,*,#227194,.F.); #321293=ORIENTED_EDGE('',*,*,#227197,.F.); #321294=ORIENTED_EDGE('',*,*,#227200,.F.); #321295=ORIENTED_EDGE('',*,*,#227203,.F.); #321296=ORIENTED_EDGE('',*,*,#227206,.F.); #321297=ORIENTED_EDGE('',*,*,#227690,.F.); #321298=ORIENTED_EDGE('',*,*,#227692,.F.); #321299=ORIENTED_EDGE('',*,*,#227165,.F.); #321300=ORIENTED_EDGE('',*,*,#227169,.F.); #321301=ORIENTED_EDGE('',*,*,#227172,.F.); #321302=ORIENTED_EDGE('',*,*,#227175,.F.); #321303=ORIENTED_EDGE('',*,*,#227178,.F.); #321304=ORIENTED_EDGE('',*,*,#227181,.F.); #321305=ORIENTED_EDGE('',*,*,#227184,.F.); #321306=ORIENTED_EDGE('',*,*,#227693,.F.); #321307=ORIENTED_EDGE('',*,*,#227183,.T.); #321308=ORIENTED_EDGE('',*,*,#227686,.T.); #321309=ORIENTED_EDGE('',*,*,#227694,.T.); #321310=ORIENTED_EDGE('',*,*,#227693,.T.); #321311=ORIENTED_EDGE('',*,*,#227695,.F.); #321312=ORIENTED_EDGE('',*,*,#227696,.F.); #321313=ORIENTED_EDGE('',*,*,#227697,.T.); #321314=ORIENTED_EDGE('',*,*,#227698,.F.); #321315=ORIENTED_EDGE('',*,*,#227699,.F.); #321316=ORIENTED_EDGE('',*,*,#227700,.F.); #321317=ORIENTED_EDGE('',*,*,#227701,.F.); #321318=ORIENTED_EDGE('',*,*,#227702,.F.); #321319=ORIENTED_EDGE('',*,*,#227703,.F.); #321320=ORIENTED_EDGE('',*,*,#227697,.F.); #321321=ORIENTED_EDGE('',*,*,#227704,.F.); #321322=ORIENTED_EDGE('',*,*,#227700,.T.); #321323=ORIENTED_EDGE('',*,*,#227705,.T.); #321324=ORIENTED_EDGE('',*,*,#227706,.T.); #321325=ORIENTED_EDGE('',*,*,#227699,.T.); #321326=ORIENTED_EDGE('',*,*,#227707,.F.); #321327=ORIENTED_EDGE('',*,*,#227708,.F.); #321328=ORIENTED_EDGE('',*,*,#227705,.F.); #321329=ORIENTED_EDGE('',*,*,#227709,.F.); #321330=ORIENTED_EDGE('',*,*,#227706,.F.); #321331=ORIENTED_EDGE('',*,*,#227708,.T.); #321332=ORIENTED_EDGE('',*,*,#227710,.F.); #321333=ORIENTED_EDGE('',*,*,#227702,.T.); #321334=ORIENTED_EDGE('',*,*,#227711,.F.); #321335=ORIENTED_EDGE('',*,*,#227710,.T.); #321336=ORIENTED_EDGE('',*,*,#227707,.T.); #321337=ORIENTED_EDGE('',*,*,#227698,.T.); #321338=ORIENTED_EDGE('',*,*,#227703,.T.); #321339=ORIENTED_EDGE('',*,*,#227695,.T.); #321340=ORIENTED_EDGE('',*,*,#227704,.T.); #321341=ORIENTED_EDGE('',*,*,#227709,.T.); #321342=ORIENTED_EDGE('',*,*,#227712,.F.); #321343=ORIENTED_EDGE('',*,*,#227713,.F.); #321344=ORIENTED_EDGE('',*,*,#227714,.F.); #321345=ORIENTED_EDGE('',*,*,#227715,.F.); #321346=ORIENTED_EDGE('',*,*,#227716,.F.); #321347=ORIENTED_EDGE('',*,*,#227717,.F.); #321348=ORIENTED_EDGE('',*,*,#227718,.F.); #321349=ORIENTED_EDGE('',*,*,#227719,.T.); #321350=ORIENTED_EDGE('',*,*,#227720,.F.); #321351=ORIENTED_EDGE('',*,*,#227691,.T.); #321352=ORIENTED_EDGE('',*,*,#227694,.F.); #321353=ORIENTED_EDGE('',*,*,#227685,.T.); #321354=ORIENTED_EDGE('',*,*,#227191,.T.); #321355=ORIENTED_EDGE('',*,*,#227188,.T.); #321356=ORIENTED_EDGE('',*,*,#227423,.T.); #321357=ORIENTED_EDGE('',*,*,#227721,.T.); #321358=ORIENTED_EDGE('',*,*,#227722,.T.); #321359=ORIENTED_EDGE('',*,*,#227723,.T.); #321360=ORIENTED_EDGE('',*,*,#227724,.T.); #321361=ORIENTED_EDGE('',*,*,#227725,.T.); #321362=ORIENTED_EDGE('',*,*,#227726,.T.); #321363=ORIENTED_EDGE('',*,*,#227727,.T.); #321364=ORIENTED_EDGE('',*,*,#227722,.F.); #321365=ORIENTED_EDGE('',*,*,#227728,.T.); #321366=ORIENTED_EDGE('',*,*,#227729,.T.); #321367=ORIENTED_EDGE('',*,*,#227730,.T.); #321368=ORIENTED_EDGE('',*,*,#227731,.T.); #321369=ORIENTED_EDGE('',*,*,#227732,.T.); #321370=ORIENTED_EDGE('',*,*,#227733,.T.); #321371=ORIENTED_EDGE('',*,*,#227734,.T.); #321372=ORIENTED_EDGE('',*,*,#227735,.F.); #321373=ORIENTED_EDGE('',*,*,#227736,.T.); #321374=ORIENTED_EDGE('',*,*,#227735,.T.); #321375=ORIENTED_EDGE('',*,*,#227737,.T.); #321376=ORIENTED_EDGE('',*,*,#227738,.T.); #321377=ORIENTED_EDGE('',*,*,#227739,.T.); #321378=ORIENTED_EDGE('',*,*,#227740,.T.); #321379=ORIENTED_EDGE('',*,*,#227741,.F.); #321380=ORIENTED_EDGE('',*,*,#227742,.F.); #321381=ORIENTED_EDGE('',*,*,#227743,.T.); #321382=ORIENTED_EDGE('',*,*,#227744,.F.); #321383=ORIENTED_EDGE('',*,*,#227745,.F.); #321384=ORIENTED_EDGE('',*,*,#227741,.T.); #321385=ORIENTED_EDGE('',*,*,#227746,.T.); #321386=ORIENTED_EDGE('',*,*,#227747,.F.); #321387=ORIENTED_EDGE('',*,*,#227744,.T.); #321388=ORIENTED_EDGE('',*,*,#227748,.T.); #321389=ORIENTED_EDGE('',*,*,#227749,.F.); #321390=ORIENTED_EDGE('',*,*,#227714,.T.); #321391=ORIENTED_EDGE('',*,*,#227750,.T.); #321392=ORIENTED_EDGE('',*,*,#227751,.T.); #321393=ORIENTED_EDGE('',*,*,#227752,.T.); #321394=ORIENTED_EDGE('',*,*,#227753,.T.); #321395=ORIENTED_EDGE('',*,*,#227754,.T.); #321396=ORIENTED_EDGE('',*,*,#227755,.T.); #321397=ORIENTED_EDGE('',*,*,#227753,.F.); #321398=ORIENTED_EDGE('',*,*,#227756,.T.); #321399=ORIENTED_EDGE('',*,*,#227757,.T.); #321400=ORIENTED_EDGE('',*,*,#227758,.T.); #321401=ORIENTED_EDGE('',*,*,#227759,.F.); #321402=ORIENTED_EDGE('',*,*,#227750,.F.); #321403=ORIENTED_EDGE('',*,*,#227713,.T.); #321404=ORIENTED_EDGE('',*,*,#227760,.T.); #321405=ORIENTED_EDGE('',*,*,#227761,.F.); #321406=ORIENTED_EDGE('',*,*,#227751,.F.); #321407=ORIENTED_EDGE('',*,*,#227759,.T.); #321408=ORIENTED_EDGE('',*,*,#227762,.T.); #321409=ORIENTED_EDGE('',*,*,#227756,.F.); #321410=ORIENTED_EDGE('',*,*,#227752,.F.); #321411=ORIENTED_EDGE('',*,*,#227761,.T.); #321412=ORIENTED_EDGE('',*,*,#227763,.T.); #321413=ORIENTED_EDGE('',*,*,#227764,.T.); #321414=ORIENTED_EDGE('',*,*,#227765,.F.); #321415=ORIENTED_EDGE('',*,*,#227766,.F.); #321416=ORIENTED_EDGE('',*,*,#227767,.T.); #321417=ORIENTED_EDGE('',*,*,#227768,.F.); #321418=ORIENTED_EDGE('',*,*,#227769,.F.); #321419=ORIENTED_EDGE('',*,*,#227765,.T.); #321420=ORIENTED_EDGE('',*,*,#227770,.T.); #321421=ORIENTED_EDGE('',*,*,#227771,.F.); #321422=ORIENTED_EDGE('',*,*,#227768,.T.); #321423=ORIENTED_EDGE('',*,*,#227772,.T.); #321424=ORIENTED_EDGE('',*,*,#227773,.T.); #321425=ORIENTED_EDGE('',*,*,#227774,.F.); #321426=ORIENTED_EDGE('',*,*,#227775,.F.); #321427=ORIENTED_EDGE('',*,*,#227776,.T.); #321428=ORIENTED_EDGE('',*,*,#227777,.T.); #321429=ORIENTED_EDGE('',*,*,#227719,.F.); #321430=ORIENTED_EDGE('',*,*,#227778,.F.); #321431=ORIENTED_EDGE('',*,*,#227774,.T.); #321432=ORIENTED_EDGE('',*,*,#227779,.T.); #321433=ORIENTED_EDGE('',*,*,#227780,.T.); #321434=ORIENTED_EDGE('',*,*,#227781,.F.); #321435=ORIENTED_EDGE('',*,*,#227782,.F.); #321436=ORIENTED_EDGE('',*,*,#227783,.T.); #321437=ORIENTED_EDGE('',*,*,#227776,.F.); #321438=ORIENTED_EDGE('',*,*,#227784,.F.); #321439=ORIENTED_EDGE('',*,*,#227781,.T.); #321440=ORIENTED_EDGE('',*,*,#227696,.T.); #321441=ORIENTED_EDGE('',*,*,#227720,.T.); #321442=ORIENTED_EDGE('',*,*,#227777,.F.); #321443=ORIENTED_EDGE('',*,*,#227773,.F.); #321444=ORIENTED_EDGE('',*,*,#227783,.F.); #321445=ORIENTED_EDGE('',*,*,#227780,.F.); #321446=ORIENTED_EDGE('',*,*,#227785,.T.); #321447=ORIENTED_EDGE('',*,*,#227748,.F.); #321448=ORIENTED_EDGE('',*,*,#227743,.F.); #321449=ORIENTED_EDGE('',*,*,#227740,.F.); #321450=ORIENTED_EDGE('',*,*,#227786,.T.); #321451=ORIENTED_EDGE('',*,*,#227772,.F.); #321452=ORIENTED_EDGE('',*,*,#227767,.F.); #321453=ORIENTED_EDGE('',*,*,#227764,.F.); #321454=ORIENTED_EDGE('',*,*,#227787,.T.); #321455=ORIENTED_EDGE('',*,*,#227757,.F.); #321456=ORIENTED_EDGE('',*,*,#227762,.F.); #321457=ORIENTED_EDGE('',*,*,#227760,.F.); #321458=ORIENTED_EDGE('',*,*,#227758,.F.); #321459=ORIENTED_EDGE('',*,*,#227712,.T.); #321460=ORIENTED_EDGE('',*,*,#227711,.T.); #321461=ORIENTED_EDGE('',*,*,#227701,.T.); #321462=ORIENTED_EDGE('',*,*,#227166,.T.); #321463=ORIENTED_EDGE('',*,*,#227692,.T.); #321464=ORIENTED_EDGE('',*,*,#227689,.T.); #321465=ORIENTED_EDGE('',*,*,#227687,.T.); #321466=ORIENTED_EDGE('',*,*,#227788,.F.); #321467=ORIENTED_EDGE('',*,*,#227789,.T.); #321468=ORIENTED_EDGE('',*,*,#227790,.T.); #321469=ORIENTED_EDGE('',*,*,#227791,.F.); #321470=ORIENTED_EDGE('',*,*,#227792,.T.); #321471=ORIENTED_EDGE('',*,*,#227793,.F.); #321472=ORIENTED_EDGE('',*,*,#227730,.F.); #321473=ORIENTED_EDGE('',*,*,#227794,.F.); #321474=ORIENTED_EDGE('',*,*,#227795,.F.); #321475=ORIENTED_EDGE('',*,*,#227796,.F.); #321476=ORIENTED_EDGE('',*,*,#227797,.F.); #321477=ORIENTED_EDGE('',*,*,#227798,.F.); #321478=ORIENTED_EDGE('',*,*,#227799,.F.); #321479=ORIENTED_EDGE('',*,*,#227800,.F.); #321480=ORIENTED_EDGE('',*,*,#227801,.F.); #321481=ORIENTED_EDGE('',*,*,#227737,.F.); #321482=ORIENTED_EDGE('',*,*,#227734,.F.); #321483=ORIENTED_EDGE('',*,*,#227802,.F.); #321484=ORIENTED_EDGE('',*,*,#227803,.F.); #321485=ORIENTED_EDGE('',*,*,#227804,.T.); #321486=ORIENTED_EDGE('',*,*,#227805,.T.); #321487=ORIENTED_EDGE('',*,*,#227800,.T.); #321488=ORIENTED_EDGE('',*,*,#227806,.F.); #321489=ORIENTED_EDGE('',*,*,#227807,.T.); #321490=ORIENTED_EDGE('',*,*,#227806,.T.); #321491=ORIENTED_EDGE('',*,*,#227799,.T.); #321492=ORIENTED_EDGE('',*,*,#227808,.F.); #321493=ORIENTED_EDGE('',*,*,#227790,.F.); #321494=ORIENTED_EDGE('',*,*,#227809,.F.); #321495=ORIENTED_EDGE('',*,*,#227810,.F.); #321496=ORIENTED_EDGE('',*,*,#227811,.T.); #321497=ORIENTED_EDGE('',*,*,#227812,.F.); #321498=ORIENTED_EDGE('',*,*,#227813,.T.); #321499=ORIENTED_EDGE('',*,*,#227738,.F.); #321500=ORIENTED_EDGE('',*,*,#227801,.T.); #321501=ORIENTED_EDGE('',*,*,#227805,.F.); #321502=ORIENTED_EDGE('',*,*,#227814,.T.); #321503=ORIENTED_EDGE('',*,*,#227815,.F.); #321504=ORIENTED_EDGE('',*,*,#227816,.T.); #321505=ORIENTED_EDGE('',*,*,#227817,.T.); #321506=ORIENTED_EDGE('',*,*,#227815,.T.); #321507=ORIENTED_EDGE('',*,*,#227818,.T.); #321508=ORIENTED_EDGE('',*,*,#227726,.F.); #321509=ORIENTED_EDGE('',*,*,#227819,.F.); #321510=ORIENTED_EDGE('',*,*,#227820,.T.); #321511=ORIENTED_EDGE('',*,*,#227724,.F.); #321512=ORIENTED_EDGE('',*,*,#227821,.T.); #321513=ORIENTED_EDGE('',*,*,#227822,.T.); #321514=ORIENTED_EDGE('',*,*,#227803,.T.); #321515=ORIENTED_EDGE('',*,*,#227823,.F.); #321516=ORIENTED_EDGE('',*,*,#227824,.T.); #321517=ORIENTED_EDGE('',*,*,#227825,.F.); #321518=ORIENTED_EDGE('',*,*,#227826,.T.); #321519=ORIENTED_EDGE('',*,*,#227827,.T.); #321520=ORIENTED_EDGE('',*,*,#227823,.T.); #321521=ORIENTED_EDGE('',*,*,#227802,.T.); #321522=ORIENTED_EDGE('',*,*,#227733,.F.); #321523=ORIENTED_EDGE('',*,*,#227828,.T.); #321524=ORIENTED_EDGE('',*,*,#227829,.F.); #321525=ORIENTED_EDGE('',*,*,#227830,.T.); #321526=ORIENTED_EDGE('',*,*,#227831,.F.); #321527=ORIENTED_EDGE('',*,*,#227832,.T.); #321528=ORIENTED_EDGE('',*,*,#227831,.T.); #321529=ORIENTED_EDGE('',*,*,#227833,.T.); #321530=ORIENTED_EDGE('',*,*,#227834,.F.); #321531=ORIENTED_EDGE('',*,*,#227835,.T.); #321532=ORIENTED_EDGE('',*,*,#227834,.T.); #321533=ORIENTED_EDGE('',*,*,#227836,.T.); #321534=ORIENTED_EDGE('',*,*,#227837,.F.); #321535=ORIENTED_EDGE('',*,*,#227838,.T.); #321536=ORIENTED_EDGE('',*,*,#227837,.T.); #321537=ORIENTED_EDGE('',*,*,#227839,.T.); #321538=ORIENTED_EDGE('',*,*,#227840,.F.); #321539=ORIENTED_EDGE('',*,*,#227841,.T.); #321540=ORIENTED_EDGE('',*,*,#227840,.T.); #321541=ORIENTED_EDGE('',*,*,#227842,.T.); #321542=ORIENTED_EDGE('',*,*,#227843,.T.); #321543=ORIENTED_EDGE('',*,*,#227844,.T.); #321544=ORIENTED_EDGE('',*,*,#227843,.F.); #321545=ORIENTED_EDGE('',*,*,#227845,.T.); #321546=ORIENTED_EDGE('',*,*,#227846,.F.); #321547=ORIENTED_EDGE('',*,*,#227847,.T.); #321548=ORIENTED_EDGE('',*,*,#227846,.T.); #321549=ORIENTED_EDGE('',*,*,#227809,.T.); #321550=ORIENTED_EDGE('',*,*,#227789,.F.); #321551=ORIENTED_EDGE('',*,*,#227848,.F.); #321552=ORIENTED_EDGE('',*,*,#227849,.T.); #321553=ORIENTED_EDGE('',*,*,#227850,.F.); #321554=ORIENTED_EDGE('',*,*,#227791,.T.); #321555=ORIENTED_EDGE('',*,*,#227808,.T.); #321556=ORIENTED_EDGE('',*,*,#227851,.T.); #321557=ORIENTED_EDGE('',*,*,#227852,.T.); #321558=ORIENTED_EDGE('',*,*,#227851,.F.); #321559=ORIENTED_EDGE('',*,*,#227798,.T.); #321560=ORIENTED_EDGE('',*,*,#227853,.T.); #321561=ORIENTED_EDGE('',*,*,#227854,.T.); #321562=ORIENTED_EDGE('',*,*,#227853,.F.); #321563=ORIENTED_EDGE('',*,*,#227797,.T.); #321564=ORIENTED_EDGE('',*,*,#227855,.T.); #321565=ORIENTED_EDGE('',*,*,#227856,.T.); #321566=ORIENTED_EDGE('',*,*,#227855,.F.); #321567=ORIENTED_EDGE('',*,*,#227796,.T.); #321568=ORIENTED_EDGE('',*,*,#227857,.F.); #321569=ORIENTED_EDGE('',*,*,#227858,.T.); #321570=ORIENTED_EDGE('',*,*,#227857,.T.); #321571=ORIENTED_EDGE('',*,*,#227795,.T.); #321572=ORIENTED_EDGE('',*,*,#227859,.F.); #321573=ORIENTED_EDGE('',*,*,#227860,.T.); #321574=ORIENTED_EDGE('',*,*,#227859,.T.); #321575=ORIENTED_EDGE('',*,*,#227794,.T.); #321576=ORIENTED_EDGE('',*,*,#227729,.F.); #321577=ORIENTED_EDGE('',*,*,#227861,.T.); #321578=ORIENTED_EDGE('',*,*,#227821,.F.); #321579=ORIENTED_EDGE('',*,*,#227723,.F.); #321580=ORIENTED_EDGE('',*,*,#227727,.F.); #321581=ORIENTED_EDGE('',*,*,#227818,.F.); #321582=ORIENTED_EDGE('',*,*,#227814,.F.); #321583=ORIENTED_EDGE('',*,*,#227804,.F.); #321584=ORIENTED_EDGE('',*,*,#227807,.F.); #321585=ORIENTED_EDGE('',*,*,#227845,.F.); #321586=ORIENTED_EDGE('',*,*,#227842,.F.); #321587=ORIENTED_EDGE('',*,*,#227839,.F.); #321588=ORIENTED_EDGE('',*,*,#227836,.F.); #321589=ORIENTED_EDGE('',*,*,#227833,.F.); #321590=ORIENTED_EDGE('',*,*,#227830,.F.); #321591=ORIENTED_EDGE('',*,*,#227862,.F.); #321592=ORIENTED_EDGE('',*,*,#227861,.F.); #321593=ORIENTED_EDGE('',*,*,#227863,.F.); #321594=ORIENTED_EDGE('',*,*,#227792,.F.); #321595=ORIENTED_EDGE('',*,*,#227822,.F.); #321596=ORIENTED_EDGE('',*,*,#227864,.F.); #321597=ORIENTED_EDGE('',*,*,#227865,.T.); #321598=ORIENTED_EDGE('',*,*,#227766,.T.); #321599=ORIENTED_EDGE('',*,*,#227769,.T.); #321600=ORIENTED_EDGE('',*,*,#227771,.T.); #321601=ORIENTED_EDGE('',*,*,#227866,.T.); #321602=ORIENTED_EDGE('',*,*,#227671,.T.); #321603=ORIENTED_EDGE('',*,*,#227867,.T.); #321604=ORIENTED_EDGE('',*,*,#227812,.T.); #321605=ORIENTED_EDGE('',*,*,#227868,.T.); #321606=ORIENTED_EDGE('',*,*,#227869,.F.); #321607=ORIENTED_EDGE('',*,*,#227870,.F.); #321608=ORIENTED_EDGE('',*,*,#227746,.F.); #321609=ORIENTED_EDGE('',*,*,#227785,.F.); #321610=ORIENTED_EDGE('',*,*,#227779,.F.); #321611=ORIENTED_EDGE('',*,*,#227871,.F.); #321612=ORIENTED_EDGE('',*,*,#227872,.F.); #321613=ORIENTED_EDGE('',*,*,#227873,.F.); #321614=ORIENTED_EDGE('',*,*,#227849,.F.); #321615=ORIENTED_EDGE('',*,*,#227852,.F.); #321616=ORIENTED_EDGE('',*,*,#227854,.F.); #321617=ORIENTED_EDGE('',*,*,#227856,.F.); #321618=ORIENTED_EDGE('',*,*,#227858,.F.); #321619=ORIENTED_EDGE('',*,*,#227860,.F.); #321620=ORIENTED_EDGE('',*,*,#227728,.F.); #321621=ORIENTED_EDGE('',*,*,#227874,.F.); #321622=ORIENTED_EDGE('',*,*,#227875,.F.); #321623=ORIENTED_EDGE('',*,*,#227871,.T.); #321624=ORIENTED_EDGE('',*,*,#227782,.T.); #321625=ORIENTED_EDGE('',*,*,#227784,.T.); #321626=ORIENTED_EDGE('',*,*,#227775,.T.); #321627=ORIENTED_EDGE('',*,*,#227778,.T.); #321628=ORIENTED_EDGE('',*,*,#227718,.T.); #321629=ORIENTED_EDGE('',*,*,#227875,.T.); #321630=ORIENTED_EDGE('',*,*,#227717,.T.); #321631=ORIENTED_EDGE('',*,*,#227876,.T.); #321632=ORIENTED_EDGE('',*,*,#227872,.T.); #321633=ORIENTED_EDGE('',*,*,#227877,.F.); #321634=ORIENTED_EDGE('',*,*,#227878,.T.); #321635=ORIENTED_EDGE('',*,*,#227742,.T.); #321636=ORIENTED_EDGE('',*,*,#227745,.T.); #321637=ORIENTED_EDGE('',*,*,#227747,.T.); #321638=ORIENTED_EDGE('',*,*,#227870,.T.); #321639=ORIENTED_EDGE('',*,*,#227877,.T.); #321640=ORIENTED_EDGE('',*,*,#227869,.T.); #321641=ORIENTED_EDGE('',*,*,#227879,.T.); #321642=ORIENTED_EDGE('',*,*,#227880,.T.); #321643=ORIENTED_EDGE('',*,*,#227825,.T.); #321644=ORIENTED_EDGE('',*,*,#227881,.T.); #321645=ORIENTED_EDGE('',*,*,#227882,.F.); #321646=ORIENTED_EDGE('',*,*,#227883,.T.); #321647=ORIENTED_EDGE('',*,*,#227884,.F.); #321648=ORIENTED_EDGE('',*,*,#227672,.T.); #321649=ORIENTED_EDGE('',*,*,#227868,.F.); #321650=ORIENTED_EDGE('',*,*,#227811,.F.); #321651=ORIENTED_EDGE('',*,*,#227429,.T.); #321652=ORIENTED_EDGE('',*,*,#227472,.T.); #321653=ORIENTED_EDGE('',*,*,#227425,.T.); #321654=ORIENTED_EDGE('',*,*,#227452,.T.); #321655=ORIENTED_EDGE('',*,*,#227522,.T.); #321656=ORIENTED_EDGE('',*,*,#227555,.T.); #321657=ORIENTED_EDGE('',*,*,#227512,.T.); #321658=ORIENTED_EDGE('',*,*,#227536,.T.); #321659=ORIENTED_EDGE('',*,*,#227561,.T.); #321660=ORIENTED_EDGE('',*,*,#227604,.T.); #321661=ORIENTED_EDGE('',*,*,#227557,.T.); #321662=ORIENTED_EDGE('',*,*,#227584,.T.); #321663=ORIENTED_EDGE('',*,*,#227673,.T.); #321664=ORIENTED_EDGE('',*,*,#227884,.T.); #321665=ORIENTED_EDGE('',*,*,#227810,.T.); #321666=ORIENTED_EDGE('',*,*,#227885,.T.); #321667=ORIENTED_EDGE('',*,*,#227886,.F.); #321668=ORIENTED_EDGE('',*,*,#227887,.F.); #321669=ORIENTED_EDGE('',*,*,#227828,.F.); #321670=ORIENTED_EDGE('',*,*,#227832,.F.); #321671=ORIENTED_EDGE('',*,*,#227835,.F.); #321672=ORIENTED_EDGE('',*,*,#227838,.F.); #321673=ORIENTED_EDGE('',*,*,#227841,.F.); #321674=ORIENTED_EDGE('',*,*,#227844,.F.); #321675=ORIENTED_EDGE('',*,*,#227847,.F.); #321676=ORIENTED_EDGE('',*,*,#227888,.F.); #321677=ORIENTED_EDGE('',*,*,#227889,.F.); #321678=ORIENTED_EDGE('',*,*,#227754,.F.); #321679=ORIENTED_EDGE('',*,*,#227755,.F.); #321680=ORIENTED_EDGE('',*,*,#227787,.F.); #321681=ORIENTED_EDGE('',*,*,#227763,.F.); #321682=ORIENTED_EDGE('',*,*,#227865,.F.); #321683=ORIENTED_EDGE('',*,*,#227890,.F.); #321684=ORIENTED_EDGE('',*,*,#227888,.T.); #321685=ORIENTED_EDGE('',*,*,#227848,.T.); #321686=ORIENTED_EDGE('',*,*,#227788,.T.); #321687=ORIENTED_EDGE('',*,*,#227850,.T.); #321688=ORIENTED_EDGE('',*,*,#227873,.T.); #321689=ORIENTED_EDGE('',*,*,#227876,.F.); #321690=ORIENTED_EDGE('',*,*,#227716,.T.); #321691=ORIENTED_EDGE('',*,*,#227890,.T.); #321692=ORIENTED_EDGE('',*,*,#227715,.T.); #321693=ORIENTED_EDGE('',*,*,#227749,.T.); #321694=ORIENTED_EDGE('',*,*,#227889,.T.); #321695=ORIENTED_EDGE('',*,*,#227891,.F.); #321696=ORIENTED_EDGE('',*,*,#227866,.F.); #321697=ORIENTED_EDGE('',*,*,#227770,.F.); #321698=ORIENTED_EDGE('',*,*,#227786,.F.); #321699=ORIENTED_EDGE('',*,*,#227739,.F.); #321700=ORIENTED_EDGE('',*,*,#227878,.F.); #321701=ORIENTED_EDGE('',*,*,#227880,.F.); #321702=ORIENTED_EDGE('',*,*,#227892,.F.); #321703=ORIENTED_EDGE('',*,*,#227893,.F.); #321704=ORIENTED_EDGE('',*,*,#227892,.T.); #321705=ORIENTED_EDGE('',*,*,#227879,.F.); #321706=ORIENTED_EDGE('',*,*,#227874,.T.); #321707=ORIENTED_EDGE('',*,*,#227731,.F.); #321708=ORIENTED_EDGE('',*,*,#227793,.T.); #321709=ORIENTED_EDGE('',*,*,#227863,.T.); #321710=ORIENTED_EDGE('',*,*,#227862,.T.); #321711=ORIENTED_EDGE('',*,*,#227829,.T.); #321712=ORIENTED_EDGE('',*,*,#227887,.T.); #321713=ORIENTED_EDGE('',*,*,#227893,.T.); #321714=ORIENTED_EDGE('',*,*,#227886,.T.); #321715=ORIENTED_EDGE('',*,*,#227864,.T.); #321716=ORIENTED_EDGE('',*,*,#227891,.T.); #321717=ORIENTED_EDGE('',*,*,#227894,.T.); #321718=ORIENTED_EDGE('',*,*,#227895,.T.); #321719=ORIENTED_EDGE('',*,*,#227896,.T.); #321720=ORIENTED_EDGE('',*,*,#227897,.F.); #321721=ORIENTED_EDGE('',*,*,#227898,.F.); #321722=ORIENTED_EDGE('',*,*,#227899,.F.); #321723=ORIENTED_EDGE('',*,*,#227900,.F.); #321724=ORIENTED_EDGE('',*,*,#227901,.T.); #321725=ORIENTED_EDGE('',*,*,#227819,.T.); #321726=ORIENTED_EDGE('',*,*,#227902,.T.); #321727=ORIENTED_EDGE('',*,*,#227903,.T.); #321728=ORIENTED_EDGE('',*,*,#227904,.T.); #321729=ORIENTED_EDGE('',*,*,#227905,.T.); #321730=ORIENTED_EDGE('',*,*,#227906,.T.); #321731=ORIENTED_EDGE('',*,*,#227907,.T.); #321732=ORIENTED_EDGE('',*,*,#227216,.F.); #321733=ORIENTED_EDGE('',*,*,#227900,.T.); #321734=ORIENTED_EDGE('',*,*,#227209,.T.); #321735=ORIENTED_EDGE('',*,*,#227908,.F.); #321736=ORIENTED_EDGE('',*,*,#227909,.T.); #321737=ORIENTED_EDGE('',*,*,#227910,.F.); #321738=ORIENTED_EDGE('',*,*,#227911,.F.); #321739=ORIENTED_EDGE('',*,*,#227912,.T.); #321740=ORIENTED_EDGE('',*,*,#227913,.F.); #321741=ORIENTED_EDGE('',*,*,#227914,.T.); #321742=ORIENTED_EDGE('',*,*,#227915,.F.); #321743=ORIENTED_EDGE('',*,*,#227916,.T.); #321744=ORIENTED_EDGE('',*,*,#227917,.T.); #321745=ORIENTED_EDGE('',*,*,#227918,.F.); #321746=ORIENTED_EDGE('',*,*,#227220,.F.); #321747=ORIENTED_EDGE('',*,*,#227919,.F.); #321748=ORIENTED_EDGE('',*,*,#227920,.T.); #321749=ORIENTED_EDGE('',*,*,#227921,.F.); #321750=ORIENTED_EDGE('',*,*,#227922,.T.); #321751=ORIENTED_EDGE('',*,*,#227923,.F.); #321752=ORIENTED_EDGE('',*,*,#227924,.T.); #321753=ORIENTED_EDGE('',*,*,#227925,.F.); #321754=ORIENTED_EDGE('',*,*,#227926,.T.); #321755=ORIENTED_EDGE('',*,*,#227927,.F.); #321756=ORIENTED_EDGE('',*,*,#227928,.T.); #321757=ORIENTED_EDGE('',*,*,#227929,.T.); #321758=ORIENTED_EDGE('',*,*,#227930,.F.); #321759=ORIENTED_EDGE('',*,*,#227931,.T.); #321760=ORIENTED_EDGE('',*,*,#227217,.F.); #321761=ORIENTED_EDGE('',*,*,#227907,.F.); #321762=ORIENTED_EDGE('',*,*,#227208,.F.); #321763=ORIENTED_EDGE('',*,*,#227932,.F.); #321764=ORIENTED_EDGE('',*,*,#227933,.F.); #321765=ORIENTED_EDGE('',*,*,#227934,.T.); #321766=ORIENTED_EDGE('',*,*,#227935,.F.); #321767=ORIENTED_EDGE('',*,*,#227936,.F.); #321768=ORIENTED_EDGE('',*,*,#227937,.F.); #321769=ORIENTED_EDGE('',*,*,#227938,.T.); #321770=ORIENTED_EDGE('',*,*,#227214,.F.); #321771=ORIENTED_EDGE('',*,*,#227939,.F.); #321772=ORIENTED_EDGE('',*,*,#227224,.F.); #321773=ORIENTED_EDGE('',*,*,#227940,.F.); #321774=ORIENTED_EDGE('',*,*,#227941,.T.); #321775=ORIENTED_EDGE('',*,*,#227942,.T.); #321776=ORIENTED_EDGE('',*,*,#227943,.F.); #321777=ORIENTED_EDGE('',*,*,#227944,.T.); #321778=ORIENTED_EDGE('',*,*,#227945,.F.); #321779=ORIENTED_EDGE('',*,*,#227946,.T.); #321780=ORIENTED_EDGE('',*,*,#227947,.F.); #321781=ORIENTED_EDGE('',*,*,#227948,.T.); #321782=ORIENTED_EDGE('',*,*,#227949,.T.); #321783=ORIENTED_EDGE('',*,*,#227950,.T.); #321784=ORIENTED_EDGE('',*,*,#227229,.F.); #321785=ORIENTED_EDGE('',*,*,#227675,.T.); #321786=ORIENTED_EDGE('',*,*,#227221,.T.); #321787=ORIENTED_EDGE('',*,*,#227918,.T.); #321788=ORIENTED_EDGE('',*,*,#227951,.T.); #321789=ORIENTED_EDGE('',*,*,#227951,.F.); #321790=ORIENTED_EDGE('',*,*,#227917,.F.); #321791=ORIENTED_EDGE('',*,*,#227952,.F.); #321792=ORIENTED_EDGE('',*,*,#227676,.F.); #321793=ORIENTED_EDGE('',*,*,#227882,.T.); #321794=ORIENTED_EDGE('',*,*,#227225,.T.); #321795=ORIENTED_EDGE('',*,*,#227939,.T.); #321796=ORIENTED_EDGE('',*,*,#227213,.T.); #321797=ORIENTED_EDGE('',*,*,#227210,.T.); #321798=ORIENTED_EDGE('',*,*,#227902,.F.); #321799=ORIENTED_EDGE('',*,*,#227826,.F.); #321800=ORIENTED_EDGE('',*,*,#227883,.F.); #321801=ORIENTED_EDGE('',*,*,#227212,.T.); #321802=ORIENTED_EDGE('',*,*,#227655,.F.); #321803=ORIENTED_EDGE('',*,*,#227908,.T.); #321804=ORIENTED_EDGE('',*,*,#227228,.F.); #321805=ORIENTED_EDGE('',*,*,#227669,.T.); #321806=ORIENTED_EDGE('',*,*,#227953,.T.); #321807=ORIENTED_EDGE('',*,*,#227226,.T.); #321808=ORIENTED_EDGE('',*,*,#227881,.F.); #321809=ORIENTED_EDGE('',*,*,#227824,.F.); #321810=ORIENTED_EDGE('',*,*,#227827,.F.); #321811=ORIENTED_EDGE('',*,*,#227732,.F.); #321812=ORIENTED_EDGE('',*,*,#227736,.F.); #321813=ORIENTED_EDGE('',*,*,#227813,.F.); #321814=ORIENTED_EDGE('',*,*,#227867,.F.); #321815=ORIENTED_EDGE('',*,*,#227670,.F.); #321816=ORIENTED_EDGE('',*,*,#227231,.T.); #321817=ORIENTED_EDGE('',*,*,#227895,.F.); #321818=ORIENTED_EDGE('',*,*,#227954,.F.); #321819=ORIENTED_EDGE('',*,*,#227668,.F.); #321820=ORIENTED_EDGE('',*,*,#227215,.F.); #321821=ORIENTED_EDGE('',*,*,#227938,.F.); #321822=ORIENTED_EDGE('',*,*,#227955,.F.); #321823=ORIENTED_EDGE('',*,*,#227648,.T.); #321824=ORIENTED_EDGE('',*,*,#227953,.F.); #321825=ORIENTED_EDGE('',*,*,#227684,.F.); #321826=ORIENTED_EDGE('',*,*,#227956,.F.); #321827=ORIENTED_EDGE('',*,*,#227909,.F.); #321828=ORIENTED_EDGE('',*,*,#227956,.T.); #321829=ORIENTED_EDGE('',*,*,#227683,.F.); #321830=ORIENTED_EDGE('',*,*,#227957,.F.); #321831=ORIENTED_EDGE('',*,*,#227910,.T.); #321832=ORIENTED_EDGE('',*,*,#227952,.T.); #321833=ORIENTED_EDGE('',*,*,#227916,.F.); #321834=ORIENTED_EDGE('',*,*,#227958,.F.); #321835=ORIENTED_EDGE('',*,*,#227677,.T.); #321836=ORIENTED_EDGE('',*,*,#227654,.F.); #321837=ORIENTED_EDGE('',*,*,#227959,.F.); #321838=ORIENTED_EDGE('',*,*,#227932,.T.); #321839=ORIENTED_EDGE('',*,*,#227211,.F.); #321840=ORIENTED_EDGE('',*,*,#227959,.T.); #321841=ORIENTED_EDGE('',*,*,#227653,.T.); #321842=ORIENTED_EDGE('',*,*,#227960,.T.); #321843=ORIENTED_EDGE('',*,*,#227933,.T.); #321844=ORIENTED_EDGE('',*,*,#227960,.F.); #321845=ORIENTED_EDGE('',*,*,#227652,.T.); #321846=ORIENTED_EDGE('',*,*,#227961,.F.); #321847=ORIENTED_EDGE('',*,*,#227934,.F.); #321848=ORIENTED_EDGE('',*,*,#227962,.F.); #321849=ORIENTED_EDGE('',*,*,#227963,.T.); #321850=ORIENTED_EDGE('',*,*,#227964,.T.); #321851=ORIENTED_EDGE('',*,*,#227965,.F.); #321852=ORIENTED_EDGE('',*,*,#227966,.F.); #321853=ORIENTED_EDGE('',*,*,#227967,.F.); #321854=ORIENTED_EDGE('',*,*,#227968,.F.); #321855=ORIENTED_EDGE('',*,*,#227969,.T.); #321856=ORIENTED_EDGE('',*,*,#227970,.T.); #321857=ORIENTED_EDGE('',*,*,#227935,.T.); #321858=ORIENTED_EDGE('',*,*,#227971,.T.); #321859=ORIENTED_EDGE('',*,*,#227972,.T.); #321860=ORIENTED_EDGE('',*,*,#227651,.F.); #321861=ORIENTED_EDGE('',*,*,#227973,.F.); #321862=ORIENTED_EDGE('',*,*,#227974,.T.); #321863=ORIENTED_EDGE('',*,*,#227975,.F.); #321864=ORIENTED_EDGE('',*,*,#227970,.F.); #321865=ORIENTED_EDGE('',*,*,#227968,.T.); #321866=ORIENTED_EDGE('',*,*,#227973,.T.); #321867=ORIENTED_EDGE('',*,*,#227976,.F.); #321868=ORIENTED_EDGE('',*,*,#227964,.F.); #321869=ORIENTED_EDGE('',*,*,#227971,.F.); #321870=ORIENTED_EDGE('',*,*,#227961,.T.); #321871=ORIENTED_EDGE('',*,*,#227975,.T.); #321872=ORIENTED_EDGE('',*,*,#227977,.F.); #321873=ORIENTED_EDGE('',*,*,#227936,.T.); #321874=ORIENTED_EDGE('',*,*,#227976,.T.); #321875=ORIENTED_EDGE('',*,*,#227650,.F.); #321876=ORIENTED_EDGE('',*,*,#227978,.F.); #321877=ORIENTED_EDGE('',*,*,#227979,.T.); #321878=ORIENTED_EDGE('',*,*,#227980,.F.); #321879=ORIENTED_EDGE('',*,*,#227969,.F.); #321880=ORIENTED_EDGE('',*,*,#227972,.F.); #321881=ORIENTED_EDGE('',*,*,#227963,.F.); #321882=ORIENTED_EDGE('',*,*,#227981,.T.); #321883=ORIENTED_EDGE('',*,*,#227966,.T.); #321884=ORIENTED_EDGE('',*,*,#227980,.T.); #321885=ORIENTED_EDGE('',*,*,#227982,.T.); #321886=ORIENTED_EDGE('',*,*,#227983,.F.); #321887=ORIENTED_EDGE('',*,*,#227965,.T.); #321888=ORIENTED_EDGE('',*,*,#227974,.F.); #321889=ORIENTED_EDGE('',*,*,#227967,.T.); #321890=ORIENTED_EDGE('',*,*,#227981,.F.); #321891=ORIENTED_EDGE('',*,*,#227984,.T.); #321892=ORIENTED_EDGE('',*,*,#227985,.F.); #321893=ORIENTED_EDGE('',*,*,#227984,.F.); #321894=ORIENTED_EDGE('',*,*,#227982,.F.); #321895=ORIENTED_EDGE('',*,*,#227979,.F.); #321896=ORIENTED_EDGE('',*,*,#227978,.T.); #321897=ORIENTED_EDGE('',*,*,#227962,.T.); #321898=ORIENTED_EDGE('',*,*,#227983,.T.); #321899=ORIENTED_EDGE('',*,*,#227985,.T.); #321900=ORIENTED_EDGE('',*,*,#227957,.T.); #321901=ORIENTED_EDGE('',*,*,#227682,.T.); #321902=ORIENTED_EDGE('',*,*,#227986,.T.); #321903=ORIENTED_EDGE('',*,*,#227911,.T.); #321904=ORIENTED_EDGE('',*,*,#227987,.F.); #321905=ORIENTED_EDGE('',*,*,#227914,.F.); #321906=ORIENTED_EDGE('',*,*,#227988,.T.); #321907=ORIENTED_EDGE('',*,*,#227679,.F.); #321908=ORIENTED_EDGE('',*,*,#227989,.F.); #321909=ORIENTED_EDGE('',*,*,#227990,.F.); #321910=ORIENTED_EDGE('',*,*,#227991,.T.); #321911=ORIENTED_EDGE('',*,*,#227992,.T.); #321912=ORIENTED_EDGE('',*,*,#227993,.F.); #321913=ORIENTED_EDGE('',*,*,#227994,.T.); #321914=ORIENTED_EDGE('',*,*,#227995,.F.); #321915=ORIENTED_EDGE('',*,*,#227996,.F.); #321916=ORIENTED_EDGE('',*,*,#227997,.T.); #321917=ORIENTED_EDGE('',*,*,#227913,.T.); #321918=ORIENTED_EDGE('',*,*,#227998,.T.); #321919=ORIENTED_EDGE('',*,*,#227999,.T.); #321920=ORIENTED_EDGE('',*,*,#227680,.F.); #321921=ORIENTED_EDGE('',*,*,#228000,.F.); #321922=ORIENTED_EDGE('',*,*,#228001,.T.); #321923=ORIENTED_EDGE('',*,*,#228002,.F.); #321924=ORIENTED_EDGE('',*,*,#227997,.F.); #321925=ORIENTED_EDGE('',*,*,#227995,.T.); #321926=ORIENTED_EDGE('',*,*,#228000,.T.); #321927=ORIENTED_EDGE('',*,*,#227988,.F.); #321928=ORIENTED_EDGE('',*,*,#227991,.F.); #321929=ORIENTED_EDGE('',*,*,#227998,.F.); #321930=ORIENTED_EDGE('',*,*,#228003,.T.); #321931=ORIENTED_EDGE('',*,*,#228002,.T.); #321932=ORIENTED_EDGE('',*,*,#227986,.F.); #321933=ORIENTED_EDGE('',*,*,#227681,.T.); #321934=ORIENTED_EDGE('',*,*,#228003,.F.); #321935=ORIENTED_EDGE('',*,*,#227912,.F.); #321936=ORIENTED_EDGE('',*,*,#228004,.F.); #321937=ORIENTED_EDGE('',*,*,#228005,.T.); #321938=ORIENTED_EDGE('',*,*,#228006,.F.); #321939=ORIENTED_EDGE('',*,*,#227996,.T.); #321940=ORIENTED_EDGE('',*,*,#227999,.F.); #321941=ORIENTED_EDGE('',*,*,#227990,.T.); #321942=ORIENTED_EDGE('',*,*,#228007,.T.); #321943=ORIENTED_EDGE('',*,*,#227993,.T.); #321944=ORIENTED_EDGE('',*,*,#228006,.T.); #321945=ORIENTED_EDGE('',*,*,#228008,.T.); #321946=ORIENTED_EDGE('',*,*,#228009,.F.); #321947=ORIENTED_EDGE('',*,*,#227992,.F.); #321948=ORIENTED_EDGE('',*,*,#228001,.F.); #321949=ORIENTED_EDGE('',*,*,#227994,.F.); #321950=ORIENTED_EDGE('',*,*,#228007,.F.); #321951=ORIENTED_EDGE('',*,*,#228010,.T.); #321952=ORIENTED_EDGE('',*,*,#228011,.F.); #321953=ORIENTED_EDGE('',*,*,#228010,.F.); #321954=ORIENTED_EDGE('',*,*,#228008,.F.); #321955=ORIENTED_EDGE('',*,*,#228005,.F.); #321956=ORIENTED_EDGE('',*,*,#228004,.T.); #321957=ORIENTED_EDGE('',*,*,#227989,.T.); #321958=ORIENTED_EDGE('',*,*,#228009,.T.); #321959=ORIENTED_EDGE('',*,*,#228011,.T.); #321960=ORIENTED_EDGE('',*,*,#227987,.T.); #321961=ORIENTED_EDGE('',*,*,#227678,.T.); #321962=ORIENTED_EDGE('',*,*,#227958,.T.); #321963=ORIENTED_EDGE('',*,*,#227915,.T.); #321964=ORIENTED_EDGE('',*,*,#227955,.T.); #321965=ORIENTED_EDGE('',*,*,#227937,.T.); #321966=ORIENTED_EDGE('',*,*,#227977,.T.); #321967=ORIENTED_EDGE('',*,*,#227649,.T.); #321968=ORIENTED_EDGE('',*,*,#227230,.F.); #321969=ORIENTED_EDGE('',*,*,#227950,.F.); #321970=ORIENTED_EDGE('',*,*,#228012,.F.); #321971=ORIENTED_EDGE('',*,*,#227896,.F.); #321972=ORIENTED_EDGE('',*,*,#227219,.T.); #321973=ORIENTED_EDGE('',*,*,#227647,.F.); #321974=ORIENTED_EDGE('',*,*,#227903,.F.); #321975=ORIENTED_EDGE('',*,*,#227657,.F.); #321976=ORIENTED_EDGE('',*,*,#227222,.T.); #321977=ORIENTED_EDGE('',*,*,#227674,.F.); #321978=ORIENTED_EDGE('',*,*,#227885,.F.); #321979=ORIENTED_EDGE('',*,*,#227816,.F.); #321980=ORIENTED_EDGE('',*,*,#227817,.F.); #321981=ORIENTED_EDGE('',*,*,#227725,.F.); #321982=ORIENTED_EDGE('',*,*,#227721,.F.); #321983=ORIENTED_EDGE('',*,*,#227820,.F.); #321984=ORIENTED_EDGE('',*,*,#227901,.F.); #321985=ORIENTED_EDGE('',*,*,#227218,.F.); #321986=ORIENTED_EDGE('',*,*,#227931,.F.); #321987=ORIENTED_EDGE('',*,*,#228013,.F.); #321988=ORIENTED_EDGE('',*,*,#227641,.F.); #321989=ORIENTED_EDGE('',*,*,#227659,.T.); #321990=ORIENTED_EDGE('',*,*,#228014,.T.); #321991=ORIENTED_EDGE('',*,*,#227923,.T.); #321992=ORIENTED_EDGE('',*,*,#228015,.T.); #321993=ORIENTED_EDGE('',*,*,#228014,.F.); #321994=ORIENTED_EDGE('',*,*,#227658,.F.); #321995=ORIENTED_EDGE('',*,*,#227906,.F.); #321996=ORIENTED_EDGE('',*,*,#228016,.F.); #321997=ORIENTED_EDGE('',*,*,#228017,.F.); #321998=ORIENTED_EDGE('',*,*,#228018,.F.); #321999=ORIENTED_EDGE('',*,*,#228019,.F.); #322000=ORIENTED_EDGE('',*,*,#228020,.F.); #322001=ORIENTED_EDGE('',*,*,#227924,.F.); #322002=ORIENTED_EDGE('',*,*,#228021,.T.); #322003=ORIENTED_EDGE('',*,*,#228022,.T.); #322004=ORIENTED_EDGE('',*,*,#228023,.T.); #322005=ORIENTED_EDGE('',*,*,#228018,.T.); #322006=ORIENTED_EDGE('',*,*,#228022,.F.); #322007=ORIENTED_EDGE('',*,*,#228024,.F.); #322008=ORIENTED_EDGE('',*,*,#228025,.T.); #322009=ORIENTED_EDGE('',*,*,#228026,.F.); #322010=ORIENTED_EDGE('',*,*,#228021,.F.); #322011=ORIENTED_EDGE('',*,*,#228017,.T.); #322012=ORIENTED_EDGE('',*,*,#228027,.F.); #322013=ORIENTED_EDGE('',*,*,#228028,.T.); #322014=ORIENTED_EDGE('',*,*,#228029,.F.); #322015=ORIENTED_EDGE('',*,*,#228030,.T.); #322016=ORIENTED_EDGE('',*,*,#228031,.T.); #322017=ORIENTED_EDGE('',*,*,#228024,.T.); #322018=ORIENTED_EDGE('',*,*,#227927,.T.); #322019=ORIENTED_EDGE('',*,*,#228032,.T.); #322020=ORIENTED_EDGE('',*,*,#227645,.T.); #322021=ORIENTED_EDGE('',*,*,#228033,.T.); #322022=ORIENTED_EDGE('',*,*,#228032,.F.); #322023=ORIENTED_EDGE('',*,*,#227926,.F.); #322024=ORIENTED_EDGE('',*,*,#228034,.F.); #322025=ORIENTED_EDGE('',*,*,#228035,.F.); #322026=ORIENTED_EDGE('',*,*,#228036,.F.); #322027=ORIENTED_EDGE('',*,*,#228028,.F.); #322028=ORIENTED_EDGE('',*,*,#228037,.F.); #322029=ORIENTED_EDGE('',*,*,#227904,.F.); #322030=ORIENTED_EDGE('',*,*,#227646,.F.); #322031=ORIENTED_EDGE('',*,*,#228038,.T.); #322032=ORIENTED_EDGE('',*,*,#228039,.T.); #322033=ORIENTED_EDGE('',*,*,#228029,.T.); #322034=ORIENTED_EDGE('',*,*,#228036,.T.); #322035=ORIENTED_EDGE('',*,*,#228023,.F.); #322036=ORIENTED_EDGE('',*,*,#228026,.T.); #322037=ORIENTED_EDGE('',*,*,#228040,.T.); #322038=ORIENTED_EDGE('',*,*,#228041,.T.); #322039=ORIENTED_EDGE('',*,*,#228038,.F.); #322040=ORIENTED_EDGE('',*,*,#228035,.T.); #322041=ORIENTED_EDGE('',*,*,#228042,.F.); #322042=ORIENTED_EDGE('',*,*,#228019,.T.); #322043=ORIENTED_EDGE('',*,*,#228043,.T.); #322044=ORIENTED_EDGE('',*,*,#228044,.T.); #322045=ORIENTED_EDGE('',*,*,#228045,.T.); #322046=ORIENTED_EDGE('',*,*,#228046,.T.); #322047=ORIENTED_EDGE('',*,*,#228043,.F.); #322048=ORIENTED_EDGE('',*,*,#228047,.T.); #322049=ORIENTED_EDGE('',*,*,#228048,.F.); #322050=ORIENTED_EDGE('',*,*,#228049,.T.); #322051=ORIENTED_EDGE('',*,*,#228050,.F.); #322052=ORIENTED_EDGE('',*,*,#228051,.T.); #322053=ORIENTED_EDGE('',*,*,#228052,.T.); #322054=ORIENTED_EDGE('',*,*,#228053,.T.); #322055=ORIENTED_EDGE('',*,*,#228046,.F.); #322056=ORIENTED_EDGE('',*,*,#228054,.F.); #322057=ORIENTED_EDGE('',*,*,#228055,.F.); #322058=ORIENTED_EDGE('',*,*,#228056,.F.); #322059=ORIENTED_EDGE('',*,*,#227894,.F.); #322060=ORIENTED_EDGE('',*,*,#228057,.F.); #322061=ORIENTED_EDGE('',*,*,#227946,.F.); #322062=ORIENTED_EDGE('',*,*,#228058,.F.); #322063=ORIENTED_EDGE('',*,*,#228047,.F.); #322064=ORIENTED_EDGE('',*,*,#227947,.T.); #322065=ORIENTED_EDGE('',*,*,#228057,.T.); #322066=ORIENTED_EDGE('',*,*,#227899,.T.); #322067=ORIENTED_EDGE('',*,*,#228059,.T.); #322068=ORIENTED_EDGE('',*,*,#228060,.T.); #322069=ORIENTED_EDGE('',*,*,#228061,.T.); #322070=ORIENTED_EDGE('',*,*,#228050,.T.); #322071=ORIENTED_EDGE('',*,*,#228062,.T.); #322072=ORIENTED_EDGE('',*,*,#228045,.F.); #322073=ORIENTED_EDGE('',*,*,#228063,.T.); #322074=ORIENTED_EDGE('',*,*,#228064,.T.); #322075=ORIENTED_EDGE('',*,*,#228065,.T.); #322076=ORIENTED_EDGE('',*,*,#228060,.F.); #322077=ORIENTED_EDGE('',*,*,#228066,.T.); #322078=ORIENTED_EDGE('',*,*,#228067,.F.); #322079=ORIENTED_EDGE('',*,*,#228054,.T.); #322080=ORIENTED_EDGE('',*,*,#228062,.F.); #322081=ORIENTED_EDGE('',*,*,#228049,.F.); #322082=ORIENTED_EDGE('',*,*,#228068,.F.); #322083=ORIENTED_EDGE('',*,*,#227944,.F.); #322084=ORIENTED_EDGE('',*,*,#228069,.F.); #322085=ORIENTED_EDGE('',*,*,#227663,.F.); #322086=ORIENTED_EDGE('',*,*,#228070,.F.); #322087=ORIENTED_EDGE('',*,*,#228071,.F.); #322088=ORIENTED_EDGE('',*,*,#228066,.F.); #322089=ORIENTED_EDGE('',*,*,#227664,.T.); #322090=ORIENTED_EDGE('',*,*,#228069,.T.); #322091=ORIENTED_EDGE('',*,*,#227943,.T.); #322092=ORIENTED_EDGE('',*,*,#228072,.T.); #322093=ORIENTED_EDGE('',*,*,#228068,.T.); #322094=ORIENTED_EDGE('',*,*,#228048,.T.); #322095=ORIENTED_EDGE('',*,*,#228058,.T.); #322096=ORIENTED_EDGE('',*,*,#227945,.T.); #322097=ORIENTED_EDGE('',*,*,#227954,.T.); #322098=ORIENTED_EDGE('',*,*,#228056,.T.); #322099=ORIENTED_EDGE('',*,*,#228073,.T.); #322100=ORIENTED_EDGE('',*,*,#228070,.T.); #322101=ORIENTED_EDGE('',*,*,#228071,.T.); #322102=ORIENTED_EDGE('',*,*,#228073,.F.); #322103=ORIENTED_EDGE('',*,*,#228055,.T.); #322104=ORIENTED_EDGE('',*,*,#228067,.T.); #322105=ORIENTED_EDGE('',*,*,#228044,.F.); #322106=ORIENTED_EDGE('',*,*,#228053,.F.); #322107=ORIENTED_EDGE('',*,*,#228074,.T.); #322108=ORIENTED_EDGE('',*,*,#228063,.F.); #322109=ORIENTED_EDGE('',*,*,#228059,.F.); #322110=ORIENTED_EDGE('',*,*,#227898,.T.); #322111=ORIENTED_EDGE('',*,*,#228075,.T.); #322112=ORIENTED_EDGE('',*,*,#227948,.F.); #322113=ORIENTED_EDGE('',*,*,#228072,.F.); #322114=ORIENTED_EDGE('',*,*,#227942,.F.); #322115=ORIENTED_EDGE('',*,*,#228076,.F.); #322116=ORIENTED_EDGE('',*,*,#227665,.F.); #322117=ORIENTED_EDGE('',*,*,#228037,.T.); #322118=ORIENTED_EDGE('',*,*,#228027,.T.); #322119=ORIENTED_EDGE('',*,*,#228016,.T.); #322120=ORIENTED_EDGE('',*,*,#227905,.F.); #322121=ORIENTED_EDGE('',*,*,#228039,.F.); #322122=ORIENTED_EDGE('',*,*,#228041,.F.); #322123=ORIENTED_EDGE('',*,*,#228077,.T.); #322124=ORIENTED_EDGE('',*,*,#228030,.F.); #322125=ORIENTED_EDGE('',*,*,#228034,.T.); #322126=ORIENTED_EDGE('',*,*,#227925,.T.); #322127=ORIENTED_EDGE('',*,*,#228020,.T.); #322128=ORIENTED_EDGE('',*,*,#228042,.T.); #322129=ORIENTED_EDGE('',*,*,#228015,.F.); #322130=ORIENTED_EDGE('',*,*,#227922,.F.); #322131=ORIENTED_EDGE('',*,*,#228078,.F.); #322132=ORIENTED_EDGE('',*,*,#227660,.T.); #322133=ORIENTED_EDGE('',*,*,#228033,.F.); #322134=ORIENTED_EDGE('',*,*,#227644,.T.); #322135=ORIENTED_EDGE('',*,*,#228079,.T.); #322136=ORIENTED_EDGE('',*,*,#227928,.F.); #322137=ORIENTED_EDGE('',*,*,#228061,.F.); #322138=ORIENTED_EDGE('',*,*,#228065,.F.); #322139=ORIENTED_EDGE('',*,*,#228080,.T.); #322140=ORIENTED_EDGE('',*,*,#228051,.F.); #322141=ORIENTED_EDGE('',*,*,#227667,.T.); #322142=ORIENTED_EDGE('',*,*,#228081,.T.); #322143=ORIENTED_EDGE('',*,*,#227940,.T.); #322144=ORIENTED_EDGE('',*,*,#227227,.F.); #322145=ORIENTED_EDGE('',*,*,#227656,.T.); #322146=ORIENTED_EDGE('',*,*,#228082,.T.); #322147=ORIENTED_EDGE('',*,*,#227919,.T.); #322148=ORIENTED_EDGE('',*,*,#227223,.F.); #322149=ORIENTED_EDGE('',*,*,#227930,.T.); #322150=ORIENTED_EDGE('',*,*,#228083,.F.); #322151=ORIENTED_EDGE('',*,*,#227642,.F.); #322152=ORIENTED_EDGE('',*,*,#228013,.T.); #322153=ORIENTED_EDGE('',*,*,#228079,.F.); #322154=ORIENTED_EDGE('',*,*,#227643,.T.); #322155=ORIENTED_EDGE('',*,*,#228083,.T.); #322156=ORIENTED_EDGE('',*,*,#227929,.F.); #322157=ORIENTED_EDGE('',*,*,#227921,.T.); #322158=ORIENTED_EDGE('',*,*,#228084,.F.); #322159=ORIENTED_EDGE('',*,*,#227661,.F.); #322160=ORIENTED_EDGE('',*,*,#228078,.T.); #322161=ORIENTED_EDGE('',*,*,#228082,.F.); #322162=ORIENTED_EDGE('',*,*,#227662,.T.); #322163=ORIENTED_EDGE('',*,*,#228084,.T.); #322164=ORIENTED_EDGE('',*,*,#227920,.F.); #322165=ORIENTED_EDGE('',*,*,#227941,.F.); #322166=ORIENTED_EDGE('',*,*,#228081,.F.); #322167=ORIENTED_EDGE('',*,*,#227666,.T.); #322168=ORIENTED_EDGE('',*,*,#228076,.T.); #322169=ORIENTED_EDGE('',*,*,#227949,.F.); #322170=ORIENTED_EDGE('',*,*,#228075,.F.); #322171=ORIENTED_EDGE('',*,*,#227897,.T.); #322172=ORIENTED_EDGE('',*,*,#228012,.T.); #322173=ORIENTED_EDGE('',*,*,#228085,.F.); #322174=ORIENTED_EDGE('',*,*,#228086,.F.); #322175=ORIENTED_EDGE('',*,*,#228087,.F.); #322176=ORIENTED_EDGE('',*,*,#228088,.F.); #322177=ORIENTED_EDGE('',*,*,#228089,.F.); #322178=ORIENTED_EDGE('',*,*,#228090,.F.); #322179=ORIENTED_EDGE('',*,*,#228091,.F.); #322180=ORIENTED_EDGE('',*,*,#228092,.F.); #322181=ORIENTED_EDGE('',*,*,#228093,.F.); #322182=ORIENTED_EDGE('',*,*,#228094,.T.); #322183=ORIENTED_EDGE('',*,*,#228095,.F.); #322184=ORIENTED_EDGE('',*,*,#228096,.F.); #322185=ORIENTED_EDGE('',*,*,#228097,.F.); #322186=ORIENTED_EDGE('',*,*,#228098,.F.); #322187=ORIENTED_EDGE('',*,*,#228099,.F.); #322188=ORIENTED_EDGE('',*,*,#228100,.T.); #322189=ORIENTED_EDGE('',*,*,#228101,.F.); #322190=ORIENTED_EDGE('',*,*,#228102,.T.); #322191=ORIENTED_EDGE('',*,*,#228040,.F.); #322192=ORIENTED_EDGE('',*,*,#228103,.T.); #322193=ORIENTED_EDGE('',*,*,#228031,.F.); #322194=ORIENTED_EDGE('',*,*,#228104,.F.); #322195=ORIENTED_EDGE('',*,*,#228105,.T.); #322196=ORIENTED_EDGE('',*,*,#228106,.F.); #322197=ORIENTED_EDGE('',*,*,#228107,.F.); #322198=ORIENTED_EDGE('',*,*,#228091,.T.); #322199=ORIENTED_EDGE('',*,*,#228108,.F.); #322200=ORIENTED_EDGE('',*,*,#228087,.T.); #322201=ORIENTED_EDGE('',*,*,#228109,.F.); #322202=ORIENTED_EDGE('',*,*,#228110,.T.); #322203=ORIENTED_EDGE('',*,*,#228094,.F.); #322204=ORIENTED_EDGE('',*,*,#228111,.T.); #322205=ORIENTED_EDGE('',*,*,#228100,.F.); #322206=ORIENTED_EDGE('',*,*,#228110,.F.); #322207=ORIENTED_EDGE('',*,*,#228112,.F.); #322208=ORIENTED_EDGE('',*,*,#228113,.T.); #322209=ORIENTED_EDGE('',*,*,#228114,.F.); #322210=ORIENTED_EDGE('',*,*,#228111,.F.); #322211=ORIENTED_EDGE('',*,*,#228113,.F.); #322212=ORIENTED_EDGE('',*,*,#228115,.F.); #322213=ORIENTED_EDGE('',*,*,#228116,.T.); #322214=ORIENTED_EDGE('',*,*,#228117,.F.); #322215=ORIENTED_EDGE('',*,*,#228116,.F.); #322216=ORIENTED_EDGE('',*,*,#228118,.T.); #322217=ORIENTED_EDGE('',*,*,#228101,.T.); #322218=ORIENTED_EDGE('',*,*,#228119,.T.); #322219=ORIENTED_EDGE('',*,*,#228108,.T.); #322220=ORIENTED_EDGE('',*,*,#228090,.T.); #322221=ORIENTED_EDGE('',*,*,#228120,.F.); #322222=ORIENTED_EDGE('',*,*,#228088,.T.); #322223=ORIENTED_EDGE('',*,*,#228121,.F.); #322224=ORIENTED_EDGE('',*,*,#228092,.T.); #322225=ORIENTED_EDGE('',*,*,#228107,.T.); #322226=ORIENTED_EDGE('',*,*,#228099,.T.); #322227=ORIENTED_EDGE('',*,*,#228109,.T.); #322228=ORIENTED_EDGE('',*,*,#228086,.T.); #322229=ORIENTED_EDGE('',*,*,#228122,.F.); #322230=ORIENTED_EDGE('',*,*,#228095,.T.); #322231=ORIENTED_EDGE('',*,*,#228120,.T.); #322232=ORIENTED_EDGE('',*,*,#228089,.T.); #322233=ORIENTED_EDGE('',*,*,#228121,.T.); #322234=ORIENTED_EDGE('',*,*,#228123,.F.); #322235=ORIENTED_EDGE('',*,*,#228122,.T.); #322236=ORIENTED_EDGE('',*,*,#228085,.T.); #322237=ORIENTED_EDGE('',*,*,#228098,.T.); #322238=ORIENTED_EDGE('',*,*,#228124,.F.); #322239=ORIENTED_EDGE('',*,*,#228096,.T.); #322240=ORIENTED_EDGE('',*,*,#228123,.T.); #322241=ORIENTED_EDGE('',*,*,#228125,.F.); #322242=ORIENTED_EDGE('',*,*,#228126,.T.); #322243=ORIENTED_EDGE('',*,*,#228124,.T.); #322244=ORIENTED_EDGE('',*,*,#228127,.T.); #322245=ORIENTED_EDGE('',*,*,#228128,.F.); #322246=ORIENTED_EDGE('',*,*,#228129,.T.); #322247=ORIENTED_EDGE('',*,*,#228125,.T.); #322248=ORIENTED_EDGE('',*,*,#228130,.T.); #322249=ORIENTED_EDGE('',*,*,#228131,.F.); #322250=ORIENTED_EDGE('',*,*,#228128,.T.); #322251=ORIENTED_EDGE('',*,*,#228132,.F.); #322252=ORIENTED_EDGE('',*,*,#228105,.F.); #322253=ORIENTED_EDGE('',*,*,#228114,.T.); #322254=ORIENTED_EDGE('',*,*,#228133,.T.); #322255=ORIENTED_EDGE('',*,*,#228127,.F.); #322256=ORIENTED_EDGE('',*,*,#228097,.T.); #322257=ORIENTED_EDGE('',*,*,#228134,.F.); #322258=ORIENTED_EDGE('',*,*,#228130,.F.); #322259=ORIENTED_EDGE('',*,*,#228133,.F.); #322260=ORIENTED_EDGE('',*,*,#228117,.T.); #322261=ORIENTED_EDGE('',*,*,#228119,.F.); #322262=ORIENTED_EDGE('',*,*,#228135,.T.); #322263=ORIENTED_EDGE('',*,*,#228132,.T.); #322264=ORIENTED_EDGE('',*,*,#228134,.T.); #322265=ORIENTED_EDGE('',*,*,#228135,.F.); #322266=ORIENTED_EDGE('',*,*,#228103,.F.); #322267=ORIENTED_EDGE('',*,*,#228025,.F.); #322268=ORIENTED_EDGE('',*,*,#228106,.T.); #322269=ORIENTED_EDGE('',*,*,#228126,.F.); #322270=ORIENTED_EDGE('',*,*,#228136,.T.); #322271=ORIENTED_EDGE('',*,*,#228112,.T.); #322272=ORIENTED_EDGE('',*,*,#228093,.T.); #322273=ORIENTED_EDGE('',*,*,#228137,.F.); #322274=ORIENTED_EDGE('',*,*,#228115,.T.); #322275=ORIENTED_EDGE('',*,*,#228136,.F.); #322276=ORIENTED_EDGE('',*,*,#228129,.F.); #322277=ORIENTED_EDGE('',*,*,#228131,.T.); #322278=ORIENTED_EDGE('',*,*,#228138,.T.); #322279=ORIENTED_EDGE('',*,*,#228118,.F.); #322280=ORIENTED_EDGE('',*,*,#228137,.T.); #322281=ORIENTED_EDGE('',*,*,#228138,.F.); #322282=ORIENTED_EDGE('',*,*,#228104,.T.); #322283=ORIENTED_EDGE('',*,*,#228077,.F.); #322284=ORIENTED_EDGE('',*,*,#228102,.F.); #322285=ORIENTED_EDGE('',*,*,#228139,.F.); #322286=ORIENTED_EDGE('',*,*,#228140,.F.); #322287=ORIENTED_EDGE('',*,*,#228141,.F.); #322288=ORIENTED_EDGE('',*,*,#228142,.F.); #322289=ORIENTED_EDGE('',*,*,#228143,.F.); #322290=ORIENTED_EDGE('',*,*,#228144,.F.); #322291=ORIENTED_EDGE('',*,*,#228145,.F.); #322292=ORIENTED_EDGE('',*,*,#228146,.F.); #322293=ORIENTED_EDGE('',*,*,#228147,.F.); #322294=ORIENTED_EDGE('',*,*,#228148,.T.); #322295=ORIENTED_EDGE('',*,*,#228149,.F.); #322296=ORIENTED_EDGE('',*,*,#228150,.F.); #322297=ORIENTED_EDGE('',*,*,#228151,.F.); #322298=ORIENTED_EDGE('',*,*,#228152,.F.); #322299=ORIENTED_EDGE('',*,*,#228153,.F.); #322300=ORIENTED_EDGE('',*,*,#228154,.T.); #322301=ORIENTED_EDGE('',*,*,#228155,.F.); #322302=ORIENTED_EDGE('',*,*,#228156,.T.); #322303=ORIENTED_EDGE('',*,*,#228157,.F.); #322304=ORIENTED_EDGE('',*,*,#228052,.F.); #322305=ORIENTED_EDGE('',*,*,#228158,.T.); #322306=ORIENTED_EDGE('',*,*,#228159,.F.); #322307=ORIENTED_EDGE('',*,*,#228160,.T.); #322308=ORIENTED_EDGE('',*,*,#228064,.F.); #322309=ORIENTED_EDGE('',*,*,#228161,.T.); #322310=ORIENTED_EDGE('',*,*,#228143,.T.); #322311=ORIENTED_EDGE('',*,*,#228162,.T.); #322312=ORIENTED_EDGE('',*,*,#228163,.F.); #322313=ORIENTED_EDGE('',*,*,#228164,.T.); #322314=ORIENTED_EDGE('',*,*,#228139,.T.); #322315=ORIENTED_EDGE('',*,*,#228165,.F.); #322316=ORIENTED_EDGE('',*,*,#228150,.T.); #322317=ORIENTED_EDGE('',*,*,#228163,.T.); #322318=ORIENTED_EDGE('',*,*,#228152,.T.); #322319=ORIENTED_EDGE('',*,*,#228166,.F.); #322320=ORIENTED_EDGE('',*,*,#228167,.T.); #322321=ORIENTED_EDGE('',*,*,#228165,.T.); #322322=ORIENTED_EDGE('',*,*,#228168,.T.); #322323=ORIENTED_EDGE('',*,*,#228169,.F.); #322324=ORIENTED_EDGE('',*,*,#228170,.T.); #322325=ORIENTED_EDGE('',*,*,#228171,.F.); #322326=ORIENTED_EDGE('',*,*,#228156,.F.); #322327=ORIENTED_EDGE('',*,*,#228172,.T.); #322328=ORIENTED_EDGE('',*,*,#228144,.T.); #322329=ORIENTED_EDGE('',*,*,#228161,.F.); #322330=ORIENTED_EDGE('',*,*,#228142,.T.); #322331=ORIENTED_EDGE('',*,*,#228162,.F.); #322332=ORIENTED_EDGE('',*,*,#228146,.T.); #322333=ORIENTED_EDGE('',*,*,#228173,.T.); #322334=ORIENTED_EDGE('',*,*,#228153,.T.); #322335=ORIENTED_EDGE('',*,*,#228174,.T.); #322336=ORIENTED_EDGE('',*,*,#228140,.T.); #322337=ORIENTED_EDGE('',*,*,#228164,.F.); #322338=ORIENTED_EDGE('',*,*,#228149,.T.); #322339=ORIENTED_EDGE('',*,*,#228173,.F.); #322340=ORIENTED_EDGE('',*,*,#228145,.T.); #322341=ORIENTED_EDGE('',*,*,#228172,.F.); #322342=ORIENTED_EDGE('',*,*,#228141,.T.); #322343=ORIENTED_EDGE('',*,*,#228174,.F.); #322344=ORIENTED_EDGE('',*,*,#228175,.T.); #322345=ORIENTED_EDGE('',*,*,#228175,.F.); #322346=ORIENTED_EDGE('',*,*,#228148,.F.); #322347=ORIENTED_EDGE('',*,*,#228176,.T.); #322348=ORIENTED_EDGE('',*,*,#228154,.F.); #322349=ORIENTED_EDGE('',*,*,#228177,.F.); #322350=ORIENTED_EDGE('',*,*,#228178,.T.); #322351=ORIENTED_EDGE('',*,*,#228179,.F.); #322352=ORIENTED_EDGE('',*,*,#228176,.F.); #322353=ORIENTED_EDGE('',*,*,#228180,.F.); #322354=ORIENTED_EDGE('',*,*,#228181,.T.); #322355=ORIENTED_EDGE('',*,*,#228159,.T.); #322356=ORIENTED_EDGE('',*,*,#228182,.T.); #322357=ORIENTED_EDGE('',*,*,#228179,.T.); #322358=ORIENTED_EDGE('',*,*,#228183,.T.); #322359=ORIENTED_EDGE('',*,*,#228168,.F.); #322360=ORIENTED_EDGE('',*,*,#228151,.T.); #322361=ORIENTED_EDGE('',*,*,#228184,.F.); #322362=ORIENTED_EDGE('',*,*,#228185,.F.); #322363=ORIENTED_EDGE('',*,*,#228183,.F.); #322364=ORIENTED_EDGE('',*,*,#228186,.T.); #322365=ORIENTED_EDGE('',*,*,#228182,.F.); #322366=ORIENTED_EDGE('',*,*,#228187,.T.); #322367=ORIENTED_EDGE('',*,*,#228171,.T.); #322368=ORIENTED_EDGE('',*,*,#228184,.T.); #322369=ORIENTED_EDGE('',*,*,#228187,.F.); #322370=ORIENTED_EDGE('',*,*,#228158,.F.); #322371=ORIENTED_EDGE('',*,*,#228074,.F.); #322372=ORIENTED_EDGE('',*,*,#228157,.T.); #322373=ORIENTED_EDGE('',*,*,#228167,.F.); #322374=ORIENTED_EDGE('',*,*,#228188,.T.); #322375=ORIENTED_EDGE('',*,*,#228177,.T.); #322376=ORIENTED_EDGE('',*,*,#228147,.T.); #322377=ORIENTED_EDGE('',*,*,#228189,.F.); #322378=ORIENTED_EDGE('',*,*,#228155,.T.); #322379=ORIENTED_EDGE('',*,*,#228080,.F.); #322380=ORIENTED_EDGE('',*,*,#228160,.F.); #322381=ORIENTED_EDGE('',*,*,#228169,.T.); #322382=ORIENTED_EDGE('',*,*,#228189,.T.); #322383=ORIENTED_EDGE('',*,*,#228181,.F.); #322384=ORIENTED_EDGE('',*,*,#228190,.T.); #322385=ORIENTED_EDGE('',*,*,#228191,.F.); #322386=ORIENTED_EDGE('',*,*,#228180,.T.); #322387=ORIENTED_EDGE('',*,*,#228186,.F.); #322388=ORIENTED_EDGE('',*,*,#228178,.F.); #322389=ORIENTED_EDGE('',*,*,#228190,.F.); #322390=ORIENTED_EDGE('',*,*,#228191,.T.); #322391=ORIENTED_EDGE('',*,*,#228188,.F.); #322392=ORIENTED_EDGE('',*,*,#228192,.F.); #322393=ORIENTED_EDGE('',*,*,#228170,.F.); #322394=ORIENTED_EDGE('',*,*,#228192,.T.); #322395=ORIENTED_EDGE('',*,*,#228166,.T.); #322396=ORIENTED_EDGE('',*,*,#228185,.T.); #322397=ORIENTED_EDGE('',*,*,#228193,.F.); #322398=ORIENTED_EDGE('',*,*,#228194,.T.); #322399=ORIENTED_EDGE('',*,*,#228195,.T.); #322400=ORIENTED_EDGE('',*,*,#228194,.F.); #322401=ORIENTED_EDGE('',*,*,#228195,.F.); #322402=ORIENTED_EDGE('',*,*,#228196,.T.); #322403=ORIENTED_EDGE('',*,*,#228197,.T.); #322404=ORIENTED_EDGE('',*,*,#228198,.T.); #322405=ORIENTED_EDGE('',*,*,#228199,.T.); #322406=ORIENTED_EDGE('',*,*,#228200,.T.); #322407=ORIENTED_EDGE('',*,*,#228197,.F.); #322408=ORIENTED_EDGE('',*,*,#228201,.T.); #322409=ORIENTED_EDGE('',*,*,#228202,.F.); #322410=ORIENTED_EDGE('',*,*,#228203,.T.); #322411=ORIENTED_EDGE('',*,*,#228199,.F.); #322412=ORIENTED_EDGE('',*,*,#228204,.T.); #322413=ORIENTED_EDGE('',*,*,#228205,.T.); #322414=ORIENTED_EDGE('',*,*,#228206,.T.); #322415=ORIENTED_EDGE('',*,*,#228205,.F.); #322416=ORIENTED_EDGE('',*,*,#228207,.T.); #322417=ORIENTED_EDGE('',*,*,#228202,.T.); #322418=ORIENTED_EDGE('',*,*,#228204,.F.); #322419=ORIENTED_EDGE('',*,*,#228208,.T.); #322420=ORIENTED_EDGE('',*,*,#228209,.F.); #322421=ORIENTED_EDGE('',*,*,#228210,.F.); #322422=ORIENTED_EDGE('',*,*,#228198,.F.); #322423=ORIENTED_EDGE('',*,*,#228211,.T.); #322424=ORIENTED_EDGE('',*,*,#228212,.F.); #322425=ORIENTED_EDGE('',*,*,#228208,.F.); #322426=ORIENTED_EDGE('',*,*,#228196,.F.); #322427=ORIENTED_EDGE('',*,*,#228203,.F.); #322428=ORIENTED_EDGE('',*,*,#228206,.F.); #322429=ORIENTED_EDGE('',*,*,#228201,.F.); #322430=ORIENTED_EDGE('',*,*,#228193,.T.); #322431=ORIENTED_EDGE('',*,*,#228207,.F.); #322432=ORIENTED_EDGE('',*,*,#228210,.T.); #322433=ORIENTED_EDGE('',*,*,#228213,.F.); #322434=ORIENTED_EDGE('',*,*,#228214,.F.); #322435=ORIENTED_EDGE('',*,*,#228200,.F.); #322436=ORIENTED_EDGE('',*,*,#228214,.T.); #322437=ORIENTED_EDGE('',*,*,#228215,.F.); #322438=ORIENTED_EDGE('',*,*,#228211,.F.); #322439=ORIENTED_EDGE('',*,*,#228212,.T.); #322440=ORIENTED_EDGE('',*,*,#228215,.T.); #322441=ORIENTED_EDGE('',*,*,#228213,.T.); #322442=ORIENTED_EDGE('',*,*,#228209,.T.); #322443=ORIENTED_EDGE('',*,*,#228216,.F.); #322444=ORIENTED_EDGE('',*,*,#228217,.T.); #322445=ORIENTED_EDGE('',*,*,#228218,.T.); #322446=ORIENTED_EDGE('',*,*,#228219,.F.); #322447=ORIENTED_EDGE('',*,*,#228216,.T.); #322448=ORIENTED_EDGE('',*,*,#228220,.T.); #322449=ORIENTED_EDGE('',*,*,#228221,.T.); #322450=ORIENTED_EDGE('',*,*,#228222,.T.); #322451=ORIENTED_EDGE('',*,*,#228223,.T.); #322452=ORIENTED_EDGE('',*,*,#228224,.T.); #322453=ORIENTED_EDGE('',*,*,#228225,.F.); #322454=ORIENTED_EDGE('',*,*,#228226,.F.); #322455=ORIENTED_EDGE('',*,*,#228227,.T.); #322456=ORIENTED_EDGE('',*,*,#228226,.T.); #322457=ORIENTED_EDGE('',*,*,#228228,.F.); #322458=ORIENTED_EDGE('',*,*,#228218,.F.); #322459=ORIENTED_EDGE('',*,*,#228221,.F.); #322460=ORIENTED_EDGE('',*,*,#228229,.F.); #322461=ORIENTED_EDGE('',*,*,#228230,.F.); #322462=ORIENTED_EDGE('',*,*,#228231,.T.); #322463=ORIENTED_EDGE('',*,*,#228232,.T.); #322464=ORIENTED_EDGE('',*,*,#228230,.T.); #322465=ORIENTED_EDGE('',*,*,#228233,.F.); #322466=ORIENTED_EDGE('',*,*,#228224,.F.); #322467=ORIENTED_EDGE('',*,*,#228220,.F.); #322468=ORIENTED_EDGE('',*,*,#228219,.T.); #322469=ORIENTED_EDGE('',*,*,#228228,.T.); #322470=ORIENTED_EDGE('',*,*,#228225,.T.); #322471=ORIENTED_EDGE('',*,*,#228233,.T.); #322472=ORIENTED_EDGE('',*,*,#228229,.T.); #322473=ORIENTED_EDGE('',*,*,#228222,.F.); #322474=ORIENTED_EDGE('',*,*,#228231,.F.); #322475=ORIENTED_EDGE('',*,*,#228232,.F.); #322476=ORIENTED_EDGE('',*,*,#228223,.F.); #322477=ORIENTED_EDGE('',*,*,#228227,.F.); #322478=ORIENTED_EDGE('',*,*,#228217,.F.); #322479=ORIENTED_EDGE('',*,*,#228234,.T.); #322480=ORIENTED_EDGE('',*,*,#228235,.T.); #322481=ORIENTED_EDGE('',*,*,#228236,.F.); #322482=ORIENTED_EDGE('',*,*,#228237,.F.); #322483=ORIENTED_EDGE('',*,*,#228234,.F.); #322484=ORIENTED_EDGE('',*,*,#228238,.F.); #322485=ORIENTED_EDGE('',*,*,#228239,.F.); #322486=ORIENTED_EDGE('',*,*,#228240,.F.); #322487=ORIENTED_EDGE('',*,*,#228241,.F.); #322488=ORIENTED_EDGE('',*,*,#228242,.T.); #322489=ORIENTED_EDGE('',*,*,#228243,.T.); #322490=ORIENTED_EDGE('',*,*,#228244,.F.); #322491=ORIENTED_EDGE('',*,*,#228245,.F.); #322492=ORIENTED_EDGE('',*,*,#228236,.T.); #322493=ORIENTED_EDGE('',*,*,#228246,.T.); #322494=ORIENTED_EDGE('',*,*,#228242,.F.); #322495=ORIENTED_EDGE('',*,*,#228239,.T.); #322496=ORIENTED_EDGE('',*,*,#228247,.F.); #322497=ORIENTED_EDGE('',*,*,#228248,.T.); #322498=ORIENTED_EDGE('',*,*,#228249,.T.); #322499=ORIENTED_EDGE('',*,*,#228250,.F.); #322500=ORIENTED_EDGE('',*,*,#228244,.T.); #322501=ORIENTED_EDGE('',*,*,#228251,.T.); #322502=ORIENTED_EDGE('',*,*,#228248,.F.); #322503=ORIENTED_EDGE('',*,*,#228240,.T.); #322504=ORIENTED_EDGE('',*,*,#228249,.F.); #322505=ORIENTED_EDGE('',*,*,#228251,.F.); #322506=ORIENTED_EDGE('',*,*,#228243,.F.); #322507=ORIENTED_EDGE('',*,*,#228246,.F.); #322508=ORIENTED_EDGE('',*,*,#228235,.F.); #322509=ORIENTED_EDGE('',*,*,#228238,.T.); #322510=ORIENTED_EDGE('',*,*,#228237,.T.); #322511=ORIENTED_EDGE('',*,*,#228245,.T.); #322512=ORIENTED_EDGE('',*,*,#228241,.T.); #322513=ORIENTED_EDGE('',*,*,#228250,.T.); #322514=ORIENTED_EDGE('',*,*,#228247,.T.); #322515=ORIENTED_EDGE('',*,*,#228252,.F.); #322516=ORIENTED_EDGE('',*,*,#228253,.T.); #322517=ORIENTED_EDGE('',*,*,#228254,.T.); #322518=ORIENTED_EDGE('',*,*,#228255,.F.); #322519=ORIENTED_EDGE('',*,*,#228252,.T.); #322520=ORIENTED_EDGE('',*,*,#228256,.T.); #322521=ORIENTED_EDGE('',*,*,#228257,.T.); #322522=ORIENTED_EDGE('',*,*,#228258,.T.); #322523=ORIENTED_EDGE('',*,*,#228259,.T.); #322524=ORIENTED_EDGE('',*,*,#228260,.T.); #322525=ORIENTED_EDGE('',*,*,#228261,.F.); #322526=ORIENTED_EDGE('',*,*,#228262,.F.); #322527=ORIENTED_EDGE('',*,*,#228263,.T.); #322528=ORIENTED_EDGE('',*,*,#228262,.T.); #322529=ORIENTED_EDGE('',*,*,#228264,.F.); #322530=ORIENTED_EDGE('',*,*,#228254,.F.); #322531=ORIENTED_EDGE('',*,*,#228257,.F.); #322532=ORIENTED_EDGE('',*,*,#228265,.F.); #322533=ORIENTED_EDGE('',*,*,#228266,.F.); #322534=ORIENTED_EDGE('',*,*,#228267,.T.); #322535=ORIENTED_EDGE('',*,*,#228268,.T.); #322536=ORIENTED_EDGE('',*,*,#228266,.T.); #322537=ORIENTED_EDGE('',*,*,#228269,.F.); #322538=ORIENTED_EDGE('',*,*,#228260,.F.); #322539=ORIENTED_EDGE('',*,*,#228256,.F.); #322540=ORIENTED_EDGE('',*,*,#228255,.T.); #322541=ORIENTED_EDGE('',*,*,#228264,.T.); #322542=ORIENTED_EDGE('',*,*,#228261,.T.); #322543=ORIENTED_EDGE('',*,*,#228269,.T.); #322544=ORIENTED_EDGE('',*,*,#228265,.T.); #322545=ORIENTED_EDGE('',*,*,#228258,.F.); #322546=ORIENTED_EDGE('',*,*,#228267,.F.); #322547=ORIENTED_EDGE('',*,*,#228268,.F.); #322548=ORIENTED_EDGE('',*,*,#228259,.F.); #322549=ORIENTED_EDGE('',*,*,#228263,.F.); #322550=ORIENTED_EDGE('',*,*,#228253,.F.); #322551=ORIENTED_EDGE('',*,*,#228270,.F.); #322552=ORIENTED_EDGE('',*,*,#228271,.T.); #322553=ORIENTED_EDGE('',*,*,#228272,.T.); #322554=ORIENTED_EDGE('',*,*,#228273,.F.); #322555=ORIENTED_EDGE('',*,*,#228270,.T.); #322556=ORIENTED_EDGE('',*,*,#228274,.T.); #322557=ORIENTED_EDGE('',*,*,#228275,.T.); #322558=ORIENTED_EDGE('',*,*,#228276,.T.); #322559=ORIENTED_EDGE('',*,*,#228277,.T.); #322560=ORIENTED_EDGE('',*,*,#228278,.T.); #322561=ORIENTED_EDGE('',*,*,#228279,.F.); #322562=ORIENTED_EDGE('',*,*,#228280,.F.); #322563=ORIENTED_EDGE('',*,*,#228281,.T.); #322564=ORIENTED_EDGE('',*,*,#228280,.T.); #322565=ORIENTED_EDGE('',*,*,#228282,.F.); #322566=ORIENTED_EDGE('',*,*,#228272,.F.); #322567=ORIENTED_EDGE('',*,*,#228275,.F.); #322568=ORIENTED_EDGE('',*,*,#228283,.F.); #322569=ORIENTED_EDGE('',*,*,#228284,.F.); #322570=ORIENTED_EDGE('',*,*,#228285,.T.); #322571=ORIENTED_EDGE('',*,*,#228286,.T.); #322572=ORIENTED_EDGE('',*,*,#228284,.T.); #322573=ORIENTED_EDGE('',*,*,#228287,.F.); #322574=ORIENTED_EDGE('',*,*,#228278,.F.); #322575=ORIENTED_EDGE('',*,*,#228274,.F.); #322576=ORIENTED_EDGE('',*,*,#228273,.T.); #322577=ORIENTED_EDGE('',*,*,#228282,.T.); #322578=ORIENTED_EDGE('',*,*,#228279,.T.); #322579=ORIENTED_EDGE('',*,*,#228287,.T.); #322580=ORIENTED_EDGE('',*,*,#228283,.T.); #322581=ORIENTED_EDGE('',*,*,#228276,.F.); #322582=ORIENTED_EDGE('',*,*,#228285,.F.); #322583=ORIENTED_EDGE('',*,*,#228286,.F.); #322584=ORIENTED_EDGE('',*,*,#228277,.F.); #322585=ORIENTED_EDGE('',*,*,#228281,.F.); #322586=ORIENTED_EDGE('',*,*,#228271,.F.); #322587=ORIENTED_EDGE('',*,*,#228288,.F.); #322588=ORIENTED_EDGE('',*,*,#228289,.T.); #322589=ORIENTED_EDGE('',*,*,#228290,.T.); #322590=ORIENTED_EDGE('',*,*,#228291,.F.); #322591=ORIENTED_EDGE('',*,*,#228288,.T.); #322592=ORIENTED_EDGE('',*,*,#228292,.T.); #322593=ORIENTED_EDGE('',*,*,#228293,.T.); #322594=ORIENTED_EDGE('',*,*,#228294,.T.); #322595=ORIENTED_EDGE('',*,*,#228295,.T.); #322596=ORIENTED_EDGE('',*,*,#228296,.T.); #322597=ORIENTED_EDGE('',*,*,#228297,.F.); #322598=ORIENTED_EDGE('',*,*,#228298,.F.); #322599=ORIENTED_EDGE('',*,*,#228299,.T.); #322600=ORIENTED_EDGE('',*,*,#228298,.T.); #322601=ORIENTED_EDGE('',*,*,#228300,.F.); #322602=ORIENTED_EDGE('',*,*,#228290,.F.); #322603=ORIENTED_EDGE('',*,*,#228293,.F.); #322604=ORIENTED_EDGE('',*,*,#228301,.F.); #322605=ORIENTED_EDGE('',*,*,#228302,.F.); #322606=ORIENTED_EDGE('',*,*,#228303,.T.); #322607=ORIENTED_EDGE('',*,*,#228304,.T.); #322608=ORIENTED_EDGE('',*,*,#228302,.T.); #322609=ORIENTED_EDGE('',*,*,#228305,.F.); #322610=ORIENTED_EDGE('',*,*,#228296,.F.); #322611=ORIENTED_EDGE('',*,*,#228292,.F.); #322612=ORIENTED_EDGE('',*,*,#228291,.T.); #322613=ORIENTED_EDGE('',*,*,#228300,.T.); #322614=ORIENTED_EDGE('',*,*,#228297,.T.); #322615=ORIENTED_EDGE('',*,*,#228305,.T.); #322616=ORIENTED_EDGE('',*,*,#228301,.T.); #322617=ORIENTED_EDGE('',*,*,#228294,.F.); #322618=ORIENTED_EDGE('',*,*,#228303,.F.); #322619=ORIENTED_EDGE('',*,*,#228304,.F.); #322620=ORIENTED_EDGE('',*,*,#228295,.F.); #322621=ORIENTED_EDGE('',*,*,#228299,.F.); #322622=ORIENTED_EDGE('',*,*,#228289,.F.); #322623=ORIENTED_EDGE('',*,*,#228306,.F.); #322624=ORIENTED_EDGE('',*,*,#228307,.T.); #322625=ORIENTED_EDGE('',*,*,#228308,.T.); #322626=ORIENTED_EDGE('',*,*,#228309,.F.); #322627=ORIENTED_EDGE('',*,*,#228306,.T.); #322628=ORIENTED_EDGE('',*,*,#228310,.T.); #322629=ORIENTED_EDGE('',*,*,#228311,.T.); #322630=ORIENTED_EDGE('',*,*,#228312,.T.); #322631=ORIENTED_EDGE('',*,*,#228313,.T.); #322632=ORIENTED_EDGE('',*,*,#228314,.T.); #322633=ORIENTED_EDGE('',*,*,#228315,.F.); #322634=ORIENTED_EDGE('',*,*,#228316,.F.); #322635=ORIENTED_EDGE('',*,*,#228317,.T.); #322636=ORIENTED_EDGE('',*,*,#228316,.T.); #322637=ORIENTED_EDGE('',*,*,#228318,.F.); #322638=ORIENTED_EDGE('',*,*,#228308,.F.); #322639=ORIENTED_EDGE('',*,*,#228311,.F.); #322640=ORIENTED_EDGE('',*,*,#228319,.F.); #322641=ORIENTED_EDGE('',*,*,#228320,.F.); #322642=ORIENTED_EDGE('',*,*,#228321,.T.); #322643=ORIENTED_EDGE('',*,*,#228322,.T.); #322644=ORIENTED_EDGE('',*,*,#228320,.T.); #322645=ORIENTED_EDGE('',*,*,#228323,.F.); #322646=ORIENTED_EDGE('',*,*,#228314,.F.); #322647=ORIENTED_EDGE('',*,*,#228310,.F.); #322648=ORIENTED_EDGE('',*,*,#228309,.T.); #322649=ORIENTED_EDGE('',*,*,#228318,.T.); #322650=ORIENTED_EDGE('',*,*,#228315,.T.); #322651=ORIENTED_EDGE('',*,*,#228323,.T.); #322652=ORIENTED_EDGE('',*,*,#228319,.T.); #322653=ORIENTED_EDGE('',*,*,#228312,.F.); #322654=ORIENTED_EDGE('',*,*,#228321,.F.); #322655=ORIENTED_EDGE('',*,*,#228322,.F.); #322656=ORIENTED_EDGE('',*,*,#228313,.F.); #322657=ORIENTED_EDGE('',*,*,#228317,.F.); #322658=ORIENTED_EDGE('',*,*,#228307,.F.); #322659=ORIENTED_EDGE('',*,*,#228324,.F.); #322660=ORIENTED_EDGE('',*,*,#228325,.T.); #322661=ORIENTED_EDGE('',*,*,#228326,.T.); #322662=ORIENTED_EDGE('',*,*,#228327,.F.); #322663=ORIENTED_EDGE('',*,*,#228324,.T.); #322664=ORIENTED_EDGE('',*,*,#228328,.T.); #322665=ORIENTED_EDGE('',*,*,#228329,.T.); #322666=ORIENTED_EDGE('',*,*,#228330,.T.); #322667=ORIENTED_EDGE('',*,*,#228331,.T.); #322668=ORIENTED_EDGE('',*,*,#228332,.T.); #322669=ORIENTED_EDGE('',*,*,#228333,.F.); #322670=ORIENTED_EDGE('',*,*,#228334,.F.); #322671=ORIENTED_EDGE('',*,*,#228335,.T.); #322672=ORIENTED_EDGE('',*,*,#228334,.T.); #322673=ORIENTED_EDGE('',*,*,#228336,.F.); #322674=ORIENTED_EDGE('',*,*,#228326,.F.); #322675=ORIENTED_EDGE('',*,*,#228329,.F.); #322676=ORIENTED_EDGE('',*,*,#228337,.F.); #322677=ORIENTED_EDGE('',*,*,#228338,.F.); #322678=ORIENTED_EDGE('',*,*,#228339,.T.); #322679=ORIENTED_EDGE('',*,*,#228340,.T.); #322680=ORIENTED_EDGE('',*,*,#228338,.T.); #322681=ORIENTED_EDGE('',*,*,#228341,.F.); #322682=ORIENTED_EDGE('',*,*,#228332,.F.); #322683=ORIENTED_EDGE('',*,*,#228328,.F.); #322684=ORIENTED_EDGE('',*,*,#228327,.T.); #322685=ORIENTED_EDGE('',*,*,#228336,.T.); #322686=ORIENTED_EDGE('',*,*,#228333,.T.); #322687=ORIENTED_EDGE('',*,*,#228341,.T.); #322688=ORIENTED_EDGE('',*,*,#228337,.T.); #322689=ORIENTED_EDGE('',*,*,#228330,.F.); #322690=ORIENTED_EDGE('',*,*,#228339,.F.); #322691=ORIENTED_EDGE('',*,*,#228340,.F.); #322692=ORIENTED_EDGE('',*,*,#228331,.F.); #322693=ORIENTED_EDGE('',*,*,#228335,.F.); #322694=ORIENTED_EDGE('',*,*,#228325,.F.); #322695=ORIENTED_EDGE('',*,*,#228342,.F.); #322696=ORIENTED_EDGE('',*,*,#228343,.T.); #322697=ORIENTED_EDGE('',*,*,#228344,.T.); #322698=ORIENTED_EDGE('',*,*,#228345,.F.); #322699=ORIENTED_EDGE('',*,*,#228342,.T.); #322700=ORIENTED_EDGE('',*,*,#228346,.T.); #322701=ORIENTED_EDGE('',*,*,#228347,.T.); #322702=ORIENTED_EDGE('',*,*,#228348,.T.); #322703=ORIENTED_EDGE('',*,*,#228349,.T.); #322704=ORIENTED_EDGE('',*,*,#228350,.T.); #322705=ORIENTED_EDGE('',*,*,#228351,.F.); #322706=ORIENTED_EDGE('',*,*,#228352,.F.); #322707=ORIENTED_EDGE('',*,*,#228353,.T.); #322708=ORIENTED_EDGE('',*,*,#228352,.T.); #322709=ORIENTED_EDGE('',*,*,#228354,.F.); #322710=ORIENTED_EDGE('',*,*,#228344,.F.); #322711=ORIENTED_EDGE('',*,*,#228347,.F.); #322712=ORIENTED_EDGE('',*,*,#228355,.F.); #322713=ORIENTED_EDGE('',*,*,#228356,.F.); #322714=ORIENTED_EDGE('',*,*,#228357,.T.); #322715=ORIENTED_EDGE('',*,*,#228358,.T.); #322716=ORIENTED_EDGE('',*,*,#228356,.T.); #322717=ORIENTED_EDGE('',*,*,#228359,.F.); #322718=ORIENTED_EDGE('',*,*,#228350,.F.); #322719=ORIENTED_EDGE('',*,*,#228346,.F.); #322720=ORIENTED_EDGE('',*,*,#228345,.T.); #322721=ORIENTED_EDGE('',*,*,#228354,.T.); #322722=ORIENTED_EDGE('',*,*,#228351,.T.); #322723=ORIENTED_EDGE('',*,*,#228359,.T.); #322724=ORIENTED_EDGE('',*,*,#228355,.T.); #322725=ORIENTED_EDGE('',*,*,#228348,.F.); #322726=ORIENTED_EDGE('',*,*,#228357,.F.); #322727=ORIENTED_EDGE('',*,*,#228358,.F.); #322728=ORIENTED_EDGE('',*,*,#228349,.F.); #322729=ORIENTED_EDGE('',*,*,#228353,.F.); #322730=ORIENTED_EDGE('',*,*,#228343,.F.); #322731=ORIENTED_EDGE('',*,*,#228360,.F.); #322732=ORIENTED_EDGE('',*,*,#228361,.T.); #322733=ORIENTED_EDGE('',*,*,#228362,.T.); #322734=ORIENTED_EDGE('',*,*,#228363,.F.); #322735=ORIENTED_EDGE('',*,*,#228360,.T.); #322736=ORIENTED_EDGE('',*,*,#228364,.T.); #322737=ORIENTED_EDGE('',*,*,#228365,.T.); #322738=ORIENTED_EDGE('',*,*,#228366,.T.); #322739=ORIENTED_EDGE('',*,*,#228367,.T.); #322740=ORIENTED_EDGE('',*,*,#228368,.T.); #322741=ORIENTED_EDGE('',*,*,#228369,.F.); #322742=ORIENTED_EDGE('',*,*,#228370,.F.); #322743=ORIENTED_EDGE('',*,*,#228371,.T.); #322744=ORIENTED_EDGE('',*,*,#228370,.T.); #322745=ORIENTED_EDGE('',*,*,#228372,.F.); #322746=ORIENTED_EDGE('',*,*,#228362,.F.); #322747=ORIENTED_EDGE('',*,*,#228365,.F.); #322748=ORIENTED_EDGE('',*,*,#228373,.F.); #322749=ORIENTED_EDGE('',*,*,#228374,.F.); #322750=ORIENTED_EDGE('',*,*,#228375,.T.); #322751=ORIENTED_EDGE('',*,*,#228376,.T.); #322752=ORIENTED_EDGE('',*,*,#228374,.T.); #322753=ORIENTED_EDGE('',*,*,#228377,.F.); #322754=ORIENTED_EDGE('',*,*,#228368,.F.); #322755=ORIENTED_EDGE('',*,*,#228364,.F.); #322756=ORIENTED_EDGE('',*,*,#228363,.T.); #322757=ORIENTED_EDGE('',*,*,#228372,.T.); #322758=ORIENTED_EDGE('',*,*,#228369,.T.); #322759=ORIENTED_EDGE('',*,*,#228377,.T.); #322760=ORIENTED_EDGE('',*,*,#228373,.T.); #322761=ORIENTED_EDGE('',*,*,#228366,.F.); #322762=ORIENTED_EDGE('',*,*,#228375,.F.); #322763=ORIENTED_EDGE('',*,*,#228376,.F.); #322764=ORIENTED_EDGE('',*,*,#228367,.F.); #322765=ORIENTED_EDGE('',*,*,#228371,.F.); #322766=ORIENTED_EDGE('',*,*,#228361,.F.); #322767=ORIENTED_EDGE('',*,*,#228378,.F.); #322768=ORIENTED_EDGE('',*,*,#228379,.T.); #322769=ORIENTED_EDGE('',*,*,#228380,.T.); #322770=ORIENTED_EDGE('',*,*,#228381,.F.); #322771=ORIENTED_EDGE('',*,*,#228378,.T.); #322772=ORIENTED_EDGE('',*,*,#228382,.T.); #322773=ORIENTED_EDGE('',*,*,#228383,.T.); #322774=ORIENTED_EDGE('',*,*,#228384,.T.); #322775=ORIENTED_EDGE('',*,*,#228385,.T.); #322776=ORIENTED_EDGE('',*,*,#228386,.T.); #322777=ORIENTED_EDGE('',*,*,#228387,.F.); #322778=ORIENTED_EDGE('',*,*,#228388,.F.); #322779=ORIENTED_EDGE('',*,*,#228389,.T.); #322780=ORIENTED_EDGE('',*,*,#228388,.T.); #322781=ORIENTED_EDGE('',*,*,#228390,.F.); #322782=ORIENTED_EDGE('',*,*,#228380,.F.); #322783=ORIENTED_EDGE('',*,*,#228383,.F.); #322784=ORIENTED_EDGE('',*,*,#228391,.F.); #322785=ORIENTED_EDGE('',*,*,#228392,.F.); #322786=ORIENTED_EDGE('',*,*,#228393,.T.); #322787=ORIENTED_EDGE('',*,*,#228394,.T.); #322788=ORIENTED_EDGE('',*,*,#228392,.T.); #322789=ORIENTED_EDGE('',*,*,#228395,.F.); #322790=ORIENTED_EDGE('',*,*,#228386,.F.); #322791=ORIENTED_EDGE('',*,*,#228382,.F.); #322792=ORIENTED_EDGE('',*,*,#228381,.T.); #322793=ORIENTED_EDGE('',*,*,#228390,.T.); #322794=ORIENTED_EDGE('',*,*,#228387,.T.); #322795=ORIENTED_EDGE('',*,*,#228395,.T.); #322796=ORIENTED_EDGE('',*,*,#228391,.T.); #322797=ORIENTED_EDGE('',*,*,#228384,.F.); #322798=ORIENTED_EDGE('',*,*,#228393,.F.); #322799=ORIENTED_EDGE('',*,*,#228394,.F.); #322800=ORIENTED_EDGE('',*,*,#228385,.F.); #322801=ORIENTED_EDGE('',*,*,#228389,.F.); #322802=ORIENTED_EDGE('',*,*,#228379,.F.); #322803=ORIENTED_EDGE('',*,*,#228396,.F.); #322804=ORIENTED_EDGE('',*,*,#228397,.T.); #322805=ORIENTED_EDGE('',*,*,#228398,.T.); #322806=ORIENTED_EDGE('',*,*,#228399,.F.); #322807=ORIENTED_EDGE('',*,*,#228396,.T.); #322808=ORIENTED_EDGE('',*,*,#228400,.T.); #322809=ORIENTED_EDGE('',*,*,#228401,.T.); #322810=ORIENTED_EDGE('',*,*,#228402,.T.); #322811=ORIENTED_EDGE('',*,*,#228403,.T.); #322812=ORIENTED_EDGE('',*,*,#228404,.T.); #322813=ORIENTED_EDGE('',*,*,#228405,.F.); #322814=ORIENTED_EDGE('',*,*,#228406,.F.); #322815=ORIENTED_EDGE('',*,*,#228407,.T.); #322816=ORIENTED_EDGE('',*,*,#228406,.T.); #322817=ORIENTED_EDGE('',*,*,#228408,.F.); #322818=ORIENTED_EDGE('',*,*,#228398,.F.); #322819=ORIENTED_EDGE('',*,*,#228401,.F.); #322820=ORIENTED_EDGE('',*,*,#228409,.F.); #322821=ORIENTED_EDGE('',*,*,#228410,.F.); #322822=ORIENTED_EDGE('',*,*,#228411,.T.); #322823=ORIENTED_EDGE('',*,*,#228412,.T.); #322824=ORIENTED_EDGE('',*,*,#228410,.T.); #322825=ORIENTED_EDGE('',*,*,#228413,.F.); #322826=ORIENTED_EDGE('',*,*,#228404,.F.); #322827=ORIENTED_EDGE('',*,*,#228400,.F.); #322828=ORIENTED_EDGE('',*,*,#228399,.T.); #322829=ORIENTED_EDGE('',*,*,#228408,.T.); #322830=ORIENTED_EDGE('',*,*,#228405,.T.); #322831=ORIENTED_EDGE('',*,*,#228413,.T.); #322832=ORIENTED_EDGE('',*,*,#228409,.T.); #322833=ORIENTED_EDGE('',*,*,#228402,.F.); #322834=ORIENTED_EDGE('',*,*,#228411,.F.); #322835=ORIENTED_EDGE('',*,*,#228412,.F.); #322836=ORIENTED_EDGE('',*,*,#228403,.F.); #322837=ORIENTED_EDGE('',*,*,#228407,.F.); #322838=ORIENTED_EDGE('',*,*,#228397,.F.); #322839=ORIENTED_EDGE('',*,*,#228414,.F.); #322840=ORIENTED_EDGE('',*,*,#228415,.T.); #322841=ORIENTED_EDGE('',*,*,#228416,.T.); #322842=ORIENTED_EDGE('',*,*,#228417,.F.); #322843=ORIENTED_EDGE('',*,*,#228414,.T.); #322844=ORIENTED_EDGE('',*,*,#228418,.T.); #322845=ORIENTED_EDGE('',*,*,#228419,.T.); #322846=ORIENTED_EDGE('',*,*,#228420,.T.); #322847=ORIENTED_EDGE('',*,*,#228421,.T.); #322848=ORIENTED_EDGE('',*,*,#228422,.T.); #322849=ORIENTED_EDGE('',*,*,#228423,.F.); #322850=ORIENTED_EDGE('',*,*,#228424,.F.); #322851=ORIENTED_EDGE('',*,*,#228425,.T.); #322852=ORIENTED_EDGE('',*,*,#228424,.T.); #322853=ORIENTED_EDGE('',*,*,#228426,.F.); #322854=ORIENTED_EDGE('',*,*,#228416,.F.); #322855=ORIENTED_EDGE('',*,*,#228419,.F.); #322856=ORIENTED_EDGE('',*,*,#228427,.F.); #322857=ORIENTED_EDGE('',*,*,#228428,.F.); #322858=ORIENTED_EDGE('',*,*,#228429,.T.); #322859=ORIENTED_EDGE('',*,*,#228430,.T.); #322860=ORIENTED_EDGE('',*,*,#228428,.T.); #322861=ORIENTED_EDGE('',*,*,#228431,.F.); #322862=ORIENTED_EDGE('',*,*,#228422,.F.); #322863=ORIENTED_EDGE('',*,*,#228418,.F.); #322864=ORIENTED_EDGE('',*,*,#228417,.T.); #322865=ORIENTED_EDGE('',*,*,#228426,.T.); #322866=ORIENTED_EDGE('',*,*,#228423,.T.); #322867=ORIENTED_EDGE('',*,*,#228431,.T.); #322868=ORIENTED_EDGE('',*,*,#228427,.T.); #322869=ORIENTED_EDGE('',*,*,#228420,.F.); #322870=ORIENTED_EDGE('',*,*,#228429,.F.); #322871=ORIENTED_EDGE('',*,*,#228430,.F.); #322872=ORIENTED_EDGE('',*,*,#228421,.F.); #322873=ORIENTED_EDGE('',*,*,#228425,.F.); #322874=ORIENTED_EDGE('',*,*,#228415,.F.); #322875=ORIENTED_EDGE('',*,*,#228432,.F.); #322876=ORIENTED_EDGE('',*,*,#228433,.T.); #322877=ORIENTED_EDGE('',*,*,#228434,.T.); #322878=ORIENTED_EDGE('',*,*,#228435,.F.); #322879=ORIENTED_EDGE('',*,*,#228432,.T.); #322880=ORIENTED_EDGE('',*,*,#228436,.T.); #322881=ORIENTED_EDGE('',*,*,#228437,.T.); #322882=ORIENTED_EDGE('',*,*,#228438,.T.); #322883=ORIENTED_EDGE('',*,*,#228439,.T.); #322884=ORIENTED_EDGE('',*,*,#228440,.T.); #322885=ORIENTED_EDGE('',*,*,#228441,.F.); #322886=ORIENTED_EDGE('',*,*,#228442,.F.); #322887=ORIENTED_EDGE('',*,*,#228443,.T.); #322888=ORIENTED_EDGE('',*,*,#228442,.T.); #322889=ORIENTED_EDGE('',*,*,#228444,.F.); #322890=ORIENTED_EDGE('',*,*,#228434,.F.); #322891=ORIENTED_EDGE('',*,*,#228437,.F.); #322892=ORIENTED_EDGE('',*,*,#228445,.F.); #322893=ORIENTED_EDGE('',*,*,#228446,.F.); #322894=ORIENTED_EDGE('',*,*,#228447,.T.); #322895=ORIENTED_EDGE('',*,*,#228448,.T.); #322896=ORIENTED_EDGE('',*,*,#228446,.T.); #322897=ORIENTED_EDGE('',*,*,#228449,.F.); #322898=ORIENTED_EDGE('',*,*,#228440,.F.); #322899=ORIENTED_EDGE('',*,*,#228436,.F.); #322900=ORIENTED_EDGE('',*,*,#228435,.T.); #322901=ORIENTED_EDGE('',*,*,#228444,.T.); #322902=ORIENTED_EDGE('',*,*,#228441,.T.); #322903=ORIENTED_EDGE('',*,*,#228449,.T.); #322904=ORIENTED_EDGE('',*,*,#228445,.T.); #322905=ORIENTED_EDGE('',*,*,#228438,.F.); #322906=ORIENTED_EDGE('',*,*,#228447,.F.); #322907=ORIENTED_EDGE('',*,*,#228448,.F.); #322908=ORIENTED_EDGE('',*,*,#228439,.F.); #322909=ORIENTED_EDGE('',*,*,#228443,.F.); #322910=ORIENTED_EDGE('',*,*,#228433,.F.); #322911=ORIENTED_EDGE('',*,*,#228450,.T.); #322912=ORIENTED_EDGE('',*,*,#228451,.T.); #322913=ORIENTED_EDGE('',*,*,#228452,.F.); #322914=ORIENTED_EDGE('',*,*,#228453,.F.); #322915=ORIENTED_EDGE('',*,*,#228450,.F.); #322916=ORIENTED_EDGE('',*,*,#228454,.F.); #322917=ORIENTED_EDGE('',*,*,#228455,.F.); #322918=ORIENTED_EDGE('',*,*,#228456,.F.); #322919=ORIENTED_EDGE('',*,*,#228457,.F.); #322920=ORIENTED_EDGE('',*,*,#228458,.T.); #322921=ORIENTED_EDGE('',*,*,#228459,.T.); #322922=ORIENTED_EDGE('',*,*,#228460,.F.); #322923=ORIENTED_EDGE('',*,*,#228461,.F.); #322924=ORIENTED_EDGE('',*,*,#228452,.T.); #322925=ORIENTED_EDGE('',*,*,#228462,.T.); #322926=ORIENTED_EDGE('',*,*,#228458,.F.); #322927=ORIENTED_EDGE('',*,*,#228455,.T.); #322928=ORIENTED_EDGE('',*,*,#228463,.F.); #322929=ORIENTED_EDGE('',*,*,#228464,.T.); #322930=ORIENTED_EDGE('',*,*,#228465,.T.); #322931=ORIENTED_EDGE('',*,*,#228466,.F.); #322932=ORIENTED_EDGE('',*,*,#228460,.T.); #322933=ORIENTED_EDGE('',*,*,#228467,.T.); #322934=ORIENTED_EDGE('',*,*,#228464,.F.); #322935=ORIENTED_EDGE('',*,*,#228456,.T.); #322936=ORIENTED_EDGE('',*,*,#228465,.F.); #322937=ORIENTED_EDGE('',*,*,#228467,.F.); #322938=ORIENTED_EDGE('',*,*,#228459,.F.); #322939=ORIENTED_EDGE('',*,*,#228462,.F.); #322940=ORIENTED_EDGE('',*,*,#228451,.F.); #322941=ORIENTED_EDGE('',*,*,#228454,.T.); #322942=ORIENTED_EDGE('',*,*,#228453,.T.); #322943=ORIENTED_EDGE('',*,*,#228461,.T.); #322944=ORIENTED_EDGE('',*,*,#228457,.T.); #322945=ORIENTED_EDGE('',*,*,#228466,.T.); #322946=ORIENTED_EDGE('',*,*,#228463,.T.); #322947=ORIENTED_EDGE('',*,*,#228468,.T.); #322948=ORIENTED_EDGE('',*,*,#228469,.T.); #322949=ORIENTED_EDGE('',*,*,#228470,.F.); #322950=ORIENTED_EDGE('',*,*,#228471,.F.); #322951=ORIENTED_EDGE('',*,*,#228468,.F.); #322952=ORIENTED_EDGE('',*,*,#228472,.F.); #322953=ORIENTED_EDGE('',*,*,#228473,.F.); #322954=ORIENTED_EDGE('',*,*,#228474,.F.); #322955=ORIENTED_EDGE('',*,*,#228475,.F.); #322956=ORIENTED_EDGE('',*,*,#228476,.T.); #322957=ORIENTED_EDGE('',*,*,#228477,.T.); #322958=ORIENTED_EDGE('',*,*,#228478,.F.); #322959=ORIENTED_EDGE('',*,*,#228479,.F.); #322960=ORIENTED_EDGE('',*,*,#228470,.T.); #322961=ORIENTED_EDGE('',*,*,#228480,.T.); #322962=ORIENTED_EDGE('',*,*,#228476,.F.); #322963=ORIENTED_EDGE('',*,*,#228473,.T.); #322964=ORIENTED_EDGE('',*,*,#228481,.F.); #322965=ORIENTED_EDGE('',*,*,#228482,.T.); #322966=ORIENTED_EDGE('',*,*,#228483,.T.); #322967=ORIENTED_EDGE('',*,*,#228484,.F.); #322968=ORIENTED_EDGE('',*,*,#228478,.T.); #322969=ORIENTED_EDGE('',*,*,#228485,.T.); #322970=ORIENTED_EDGE('',*,*,#228482,.F.); #322971=ORIENTED_EDGE('',*,*,#228474,.T.); #322972=ORIENTED_EDGE('',*,*,#228483,.F.); #322973=ORIENTED_EDGE('',*,*,#228485,.F.); #322974=ORIENTED_EDGE('',*,*,#228477,.F.); #322975=ORIENTED_EDGE('',*,*,#228480,.F.); #322976=ORIENTED_EDGE('',*,*,#228469,.F.); #322977=ORIENTED_EDGE('',*,*,#228472,.T.); #322978=ORIENTED_EDGE('',*,*,#228471,.T.); #322979=ORIENTED_EDGE('',*,*,#228479,.T.); #322980=ORIENTED_EDGE('',*,*,#228475,.T.); #322981=ORIENTED_EDGE('',*,*,#228484,.T.); #322982=ORIENTED_EDGE('',*,*,#228481,.T.); #322983=ORIENTED_EDGE('',*,*,#228486,.T.); #322984=ORIENTED_EDGE('',*,*,#228487,.T.); #322985=ORIENTED_EDGE('',*,*,#228488,.F.); #322986=ORIENTED_EDGE('',*,*,#228489,.F.); #322987=ORIENTED_EDGE('',*,*,#228486,.F.); #322988=ORIENTED_EDGE('',*,*,#228490,.F.); #322989=ORIENTED_EDGE('',*,*,#228491,.F.); #322990=ORIENTED_EDGE('',*,*,#228492,.F.); #322991=ORIENTED_EDGE('',*,*,#228493,.F.); #322992=ORIENTED_EDGE('',*,*,#228494,.T.); #322993=ORIENTED_EDGE('',*,*,#228495,.T.); #322994=ORIENTED_EDGE('',*,*,#228496,.F.); #322995=ORIENTED_EDGE('',*,*,#228497,.F.); #322996=ORIENTED_EDGE('',*,*,#228488,.T.); #322997=ORIENTED_EDGE('',*,*,#228498,.T.); #322998=ORIENTED_EDGE('',*,*,#228494,.F.); #322999=ORIENTED_EDGE('',*,*,#228491,.T.); #323000=ORIENTED_EDGE('',*,*,#228499,.F.); #323001=ORIENTED_EDGE('',*,*,#228500,.T.); #323002=ORIENTED_EDGE('',*,*,#228501,.T.); #323003=ORIENTED_EDGE('',*,*,#228502,.F.); #323004=ORIENTED_EDGE('',*,*,#228496,.T.); #323005=ORIENTED_EDGE('',*,*,#228503,.T.); #323006=ORIENTED_EDGE('',*,*,#228500,.F.); #323007=ORIENTED_EDGE('',*,*,#228492,.T.); #323008=ORIENTED_EDGE('',*,*,#228501,.F.); #323009=ORIENTED_EDGE('',*,*,#228503,.F.); #323010=ORIENTED_EDGE('',*,*,#228495,.F.); #323011=ORIENTED_EDGE('',*,*,#228498,.F.); #323012=ORIENTED_EDGE('',*,*,#228487,.F.); #323013=ORIENTED_EDGE('',*,*,#228490,.T.); #323014=ORIENTED_EDGE('',*,*,#228489,.T.); #323015=ORIENTED_EDGE('',*,*,#228497,.T.); #323016=ORIENTED_EDGE('',*,*,#228493,.T.); #323017=ORIENTED_EDGE('',*,*,#228502,.T.); #323018=ORIENTED_EDGE('',*,*,#228499,.T.); #323019=ORIENTED_EDGE('',*,*,#228504,.T.); #323020=ORIENTED_EDGE('',*,*,#228505,.T.); #323021=ORIENTED_EDGE('',*,*,#228506,.F.); #323022=ORIENTED_EDGE('',*,*,#228507,.F.); #323023=ORIENTED_EDGE('',*,*,#228504,.F.); #323024=ORIENTED_EDGE('',*,*,#228508,.F.); #323025=ORIENTED_EDGE('',*,*,#228509,.F.); #323026=ORIENTED_EDGE('',*,*,#228510,.F.); #323027=ORIENTED_EDGE('',*,*,#228511,.F.); #323028=ORIENTED_EDGE('',*,*,#228512,.T.); #323029=ORIENTED_EDGE('',*,*,#228513,.T.); #323030=ORIENTED_EDGE('',*,*,#228514,.F.); #323031=ORIENTED_EDGE('',*,*,#228515,.F.); #323032=ORIENTED_EDGE('',*,*,#228506,.T.); #323033=ORIENTED_EDGE('',*,*,#228516,.T.); #323034=ORIENTED_EDGE('',*,*,#228512,.F.); #323035=ORIENTED_EDGE('',*,*,#228509,.T.); #323036=ORIENTED_EDGE('',*,*,#228517,.F.); #323037=ORIENTED_EDGE('',*,*,#228518,.T.); #323038=ORIENTED_EDGE('',*,*,#228519,.T.); #323039=ORIENTED_EDGE('',*,*,#228520,.F.); #323040=ORIENTED_EDGE('',*,*,#228514,.T.); #323041=ORIENTED_EDGE('',*,*,#228521,.T.); #323042=ORIENTED_EDGE('',*,*,#228518,.F.); #323043=ORIENTED_EDGE('',*,*,#228510,.T.); #323044=ORIENTED_EDGE('',*,*,#228519,.F.); #323045=ORIENTED_EDGE('',*,*,#228521,.F.); #323046=ORIENTED_EDGE('',*,*,#228513,.F.); #323047=ORIENTED_EDGE('',*,*,#228516,.F.); #323048=ORIENTED_EDGE('',*,*,#228505,.F.); #323049=ORIENTED_EDGE('',*,*,#228508,.T.); #323050=ORIENTED_EDGE('',*,*,#228507,.T.); #323051=ORIENTED_EDGE('',*,*,#228515,.T.); #323052=ORIENTED_EDGE('',*,*,#228511,.T.); #323053=ORIENTED_EDGE('',*,*,#228520,.T.); #323054=ORIENTED_EDGE('',*,*,#228517,.T.); #323055=ORIENTED_EDGE('',*,*,#228522,.T.); #323056=ORIENTED_EDGE('',*,*,#228523,.T.); #323057=ORIENTED_EDGE('',*,*,#228524,.F.); #323058=ORIENTED_EDGE('',*,*,#228525,.F.); #323059=ORIENTED_EDGE('',*,*,#228522,.F.); #323060=ORIENTED_EDGE('',*,*,#228526,.F.); #323061=ORIENTED_EDGE('',*,*,#228527,.F.); #323062=ORIENTED_EDGE('',*,*,#228528,.F.); #323063=ORIENTED_EDGE('',*,*,#228529,.F.); #323064=ORIENTED_EDGE('',*,*,#228530,.T.); #323065=ORIENTED_EDGE('',*,*,#228531,.T.); #323066=ORIENTED_EDGE('',*,*,#228532,.F.); #323067=ORIENTED_EDGE('',*,*,#228533,.F.); #323068=ORIENTED_EDGE('',*,*,#228524,.T.); #323069=ORIENTED_EDGE('',*,*,#228534,.T.); #323070=ORIENTED_EDGE('',*,*,#228530,.F.); #323071=ORIENTED_EDGE('',*,*,#228527,.T.); #323072=ORIENTED_EDGE('',*,*,#228535,.F.); #323073=ORIENTED_EDGE('',*,*,#228536,.T.); #323074=ORIENTED_EDGE('',*,*,#228537,.T.); #323075=ORIENTED_EDGE('',*,*,#228538,.F.); #323076=ORIENTED_EDGE('',*,*,#228532,.T.); #323077=ORIENTED_EDGE('',*,*,#228539,.T.); #323078=ORIENTED_EDGE('',*,*,#228536,.F.); #323079=ORIENTED_EDGE('',*,*,#228528,.T.); #323080=ORIENTED_EDGE('',*,*,#228537,.F.); #323081=ORIENTED_EDGE('',*,*,#228539,.F.); #323082=ORIENTED_EDGE('',*,*,#228531,.F.); #323083=ORIENTED_EDGE('',*,*,#228534,.F.); #323084=ORIENTED_EDGE('',*,*,#228523,.F.); #323085=ORIENTED_EDGE('',*,*,#228526,.T.); #323086=ORIENTED_EDGE('',*,*,#228525,.T.); #323087=ORIENTED_EDGE('',*,*,#228533,.T.); #323088=ORIENTED_EDGE('',*,*,#228529,.T.); #323089=ORIENTED_EDGE('',*,*,#228538,.T.); #323090=ORIENTED_EDGE('',*,*,#228535,.T.); #323091=ORIENTED_EDGE('',*,*,#228540,.T.); #323092=ORIENTED_EDGE('',*,*,#228541,.T.); #323093=ORIENTED_EDGE('',*,*,#228542,.F.); #323094=ORIENTED_EDGE('',*,*,#228543,.F.); #323095=ORIENTED_EDGE('',*,*,#228540,.F.); #323096=ORIENTED_EDGE('',*,*,#228544,.F.); #323097=ORIENTED_EDGE('',*,*,#228545,.F.); #323098=ORIENTED_EDGE('',*,*,#228546,.F.); #323099=ORIENTED_EDGE('',*,*,#228547,.F.); #323100=ORIENTED_EDGE('',*,*,#228548,.T.); #323101=ORIENTED_EDGE('',*,*,#228549,.T.); #323102=ORIENTED_EDGE('',*,*,#228550,.F.); #323103=ORIENTED_EDGE('',*,*,#228551,.F.); #323104=ORIENTED_EDGE('',*,*,#228542,.T.); #323105=ORIENTED_EDGE('',*,*,#228552,.T.); #323106=ORIENTED_EDGE('',*,*,#228548,.F.); #323107=ORIENTED_EDGE('',*,*,#228545,.T.); #323108=ORIENTED_EDGE('',*,*,#228553,.F.); #323109=ORIENTED_EDGE('',*,*,#228554,.T.); #323110=ORIENTED_EDGE('',*,*,#228555,.T.); #323111=ORIENTED_EDGE('',*,*,#228556,.F.); #323112=ORIENTED_EDGE('',*,*,#228550,.T.); #323113=ORIENTED_EDGE('',*,*,#228557,.T.); #323114=ORIENTED_EDGE('',*,*,#228554,.F.); #323115=ORIENTED_EDGE('',*,*,#228546,.T.); #323116=ORIENTED_EDGE('',*,*,#228555,.F.); #323117=ORIENTED_EDGE('',*,*,#228557,.F.); #323118=ORIENTED_EDGE('',*,*,#228549,.F.); #323119=ORIENTED_EDGE('',*,*,#228552,.F.); #323120=ORIENTED_EDGE('',*,*,#228541,.F.); #323121=ORIENTED_EDGE('',*,*,#228544,.T.); #323122=ORIENTED_EDGE('',*,*,#228543,.T.); #323123=ORIENTED_EDGE('',*,*,#228551,.T.); #323124=ORIENTED_EDGE('',*,*,#228547,.T.); #323125=ORIENTED_EDGE('',*,*,#228556,.T.); #323126=ORIENTED_EDGE('',*,*,#228553,.T.); #323127=ORIENTED_EDGE('',*,*,#228558,.T.); #323128=ORIENTED_EDGE('',*,*,#228559,.T.); #323129=ORIENTED_EDGE('',*,*,#228560,.F.); #323130=ORIENTED_EDGE('',*,*,#228561,.F.); #323131=ORIENTED_EDGE('',*,*,#228558,.F.); #323132=ORIENTED_EDGE('',*,*,#228562,.F.); #323133=ORIENTED_EDGE('',*,*,#228563,.F.); #323134=ORIENTED_EDGE('',*,*,#228564,.F.); #323135=ORIENTED_EDGE('',*,*,#228565,.F.); #323136=ORIENTED_EDGE('',*,*,#228566,.T.); #323137=ORIENTED_EDGE('',*,*,#228567,.T.); #323138=ORIENTED_EDGE('',*,*,#228568,.F.); #323139=ORIENTED_EDGE('',*,*,#228569,.F.); #323140=ORIENTED_EDGE('',*,*,#228560,.T.); #323141=ORIENTED_EDGE('',*,*,#228570,.T.); #323142=ORIENTED_EDGE('',*,*,#228566,.F.); #323143=ORIENTED_EDGE('',*,*,#228563,.T.); #323144=ORIENTED_EDGE('',*,*,#228571,.F.); #323145=ORIENTED_EDGE('',*,*,#228572,.T.); #323146=ORIENTED_EDGE('',*,*,#228573,.T.); #323147=ORIENTED_EDGE('',*,*,#228574,.F.); #323148=ORIENTED_EDGE('',*,*,#228568,.T.); #323149=ORIENTED_EDGE('',*,*,#228575,.T.); #323150=ORIENTED_EDGE('',*,*,#228572,.F.); #323151=ORIENTED_EDGE('',*,*,#228564,.T.); #323152=ORIENTED_EDGE('',*,*,#228573,.F.); #323153=ORIENTED_EDGE('',*,*,#228575,.F.); #323154=ORIENTED_EDGE('',*,*,#228567,.F.); #323155=ORIENTED_EDGE('',*,*,#228570,.F.); #323156=ORIENTED_EDGE('',*,*,#228559,.F.); #323157=ORIENTED_EDGE('',*,*,#228562,.T.); #323158=ORIENTED_EDGE('',*,*,#228561,.T.); #323159=ORIENTED_EDGE('',*,*,#228569,.T.); #323160=ORIENTED_EDGE('',*,*,#228565,.T.); #323161=ORIENTED_EDGE('',*,*,#228574,.T.); #323162=ORIENTED_EDGE('',*,*,#228571,.T.); #323163=ORIENTED_EDGE('',*,*,#228576,.T.); #323164=ORIENTED_EDGE('',*,*,#228577,.T.); #323165=ORIENTED_EDGE('',*,*,#228578,.F.); #323166=ORIENTED_EDGE('',*,*,#228579,.F.); #323167=ORIENTED_EDGE('',*,*,#228576,.F.); #323168=ORIENTED_EDGE('',*,*,#228580,.F.); #323169=ORIENTED_EDGE('',*,*,#228581,.F.); #323170=ORIENTED_EDGE('',*,*,#228582,.F.); #323171=ORIENTED_EDGE('',*,*,#228583,.F.); #323172=ORIENTED_EDGE('',*,*,#228584,.T.); #323173=ORIENTED_EDGE('',*,*,#228585,.T.); #323174=ORIENTED_EDGE('',*,*,#228586,.F.); #323175=ORIENTED_EDGE('',*,*,#228587,.F.); #323176=ORIENTED_EDGE('',*,*,#228578,.T.); #323177=ORIENTED_EDGE('',*,*,#228588,.T.); #323178=ORIENTED_EDGE('',*,*,#228584,.F.); #323179=ORIENTED_EDGE('',*,*,#228581,.T.); #323180=ORIENTED_EDGE('',*,*,#228589,.F.); #323181=ORIENTED_EDGE('',*,*,#228590,.T.); #323182=ORIENTED_EDGE('',*,*,#228591,.T.); #323183=ORIENTED_EDGE('',*,*,#228592,.F.); #323184=ORIENTED_EDGE('',*,*,#228586,.T.); #323185=ORIENTED_EDGE('',*,*,#228593,.T.); #323186=ORIENTED_EDGE('',*,*,#228590,.F.); #323187=ORIENTED_EDGE('',*,*,#228582,.T.); #323188=ORIENTED_EDGE('',*,*,#228591,.F.); #323189=ORIENTED_EDGE('',*,*,#228593,.F.); #323190=ORIENTED_EDGE('',*,*,#228585,.F.); #323191=ORIENTED_EDGE('',*,*,#228588,.F.); #323192=ORIENTED_EDGE('',*,*,#228577,.F.); #323193=ORIENTED_EDGE('',*,*,#228580,.T.); #323194=ORIENTED_EDGE('',*,*,#228579,.T.); #323195=ORIENTED_EDGE('',*,*,#228587,.T.); #323196=ORIENTED_EDGE('',*,*,#228583,.T.); #323197=ORIENTED_EDGE('',*,*,#228592,.T.); #323198=ORIENTED_EDGE('',*,*,#228589,.T.); #323199=ORIENTED_EDGE('',*,*,#228594,.T.); #323200=ORIENTED_EDGE('',*,*,#228595,.T.); #323201=ORIENTED_EDGE('',*,*,#228596,.F.); #323202=ORIENTED_EDGE('',*,*,#228597,.F.); #323203=ORIENTED_EDGE('',*,*,#228594,.F.); #323204=ORIENTED_EDGE('',*,*,#228598,.F.); #323205=ORIENTED_EDGE('',*,*,#228599,.F.); #323206=ORIENTED_EDGE('',*,*,#228600,.F.); #323207=ORIENTED_EDGE('',*,*,#228601,.F.); #323208=ORIENTED_EDGE('',*,*,#228602,.T.); #323209=ORIENTED_EDGE('',*,*,#228603,.T.); #323210=ORIENTED_EDGE('',*,*,#228604,.F.); #323211=ORIENTED_EDGE('',*,*,#228605,.F.); #323212=ORIENTED_EDGE('',*,*,#228596,.T.); #323213=ORIENTED_EDGE('',*,*,#228606,.T.); #323214=ORIENTED_EDGE('',*,*,#228602,.F.); #323215=ORIENTED_EDGE('',*,*,#228599,.T.); #323216=ORIENTED_EDGE('',*,*,#228607,.F.); #323217=ORIENTED_EDGE('',*,*,#228608,.T.); #323218=ORIENTED_EDGE('',*,*,#228609,.T.); #323219=ORIENTED_EDGE('',*,*,#228610,.F.); #323220=ORIENTED_EDGE('',*,*,#228604,.T.); #323221=ORIENTED_EDGE('',*,*,#228611,.T.); #323222=ORIENTED_EDGE('',*,*,#228608,.F.); #323223=ORIENTED_EDGE('',*,*,#228600,.T.); #323224=ORIENTED_EDGE('',*,*,#228609,.F.); #323225=ORIENTED_EDGE('',*,*,#228611,.F.); #323226=ORIENTED_EDGE('',*,*,#228603,.F.); #323227=ORIENTED_EDGE('',*,*,#228606,.F.); #323228=ORIENTED_EDGE('',*,*,#228595,.F.); #323229=ORIENTED_EDGE('',*,*,#228598,.T.); #323230=ORIENTED_EDGE('',*,*,#228597,.T.); #323231=ORIENTED_EDGE('',*,*,#228605,.T.); #323232=ORIENTED_EDGE('',*,*,#228601,.T.); #323233=ORIENTED_EDGE('',*,*,#228610,.T.); #323234=ORIENTED_EDGE('',*,*,#228607,.T.); #323235=ORIENTED_EDGE('',*,*,#228612,.T.); #323236=ORIENTED_EDGE('',*,*,#228613,.T.); #323237=ORIENTED_EDGE('',*,*,#228614,.F.); #323238=ORIENTED_EDGE('',*,*,#228615,.F.); #323239=ORIENTED_EDGE('',*,*,#228612,.F.); #323240=ORIENTED_EDGE('',*,*,#228616,.F.); #323241=ORIENTED_EDGE('',*,*,#228617,.F.); #323242=ORIENTED_EDGE('',*,*,#228618,.F.); #323243=ORIENTED_EDGE('',*,*,#228619,.F.); #323244=ORIENTED_EDGE('',*,*,#228620,.T.); #323245=ORIENTED_EDGE('',*,*,#228621,.T.); #323246=ORIENTED_EDGE('',*,*,#228622,.F.); #323247=ORIENTED_EDGE('',*,*,#228623,.F.); #323248=ORIENTED_EDGE('',*,*,#228614,.T.); #323249=ORIENTED_EDGE('',*,*,#228624,.T.); #323250=ORIENTED_EDGE('',*,*,#228620,.F.); #323251=ORIENTED_EDGE('',*,*,#228617,.T.); #323252=ORIENTED_EDGE('',*,*,#228625,.F.); #323253=ORIENTED_EDGE('',*,*,#228626,.T.); #323254=ORIENTED_EDGE('',*,*,#228627,.T.); #323255=ORIENTED_EDGE('',*,*,#228628,.F.); #323256=ORIENTED_EDGE('',*,*,#228622,.T.); #323257=ORIENTED_EDGE('',*,*,#228629,.T.); #323258=ORIENTED_EDGE('',*,*,#228626,.F.); #323259=ORIENTED_EDGE('',*,*,#228618,.T.); #323260=ORIENTED_EDGE('',*,*,#228627,.F.); #323261=ORIENTED_EDGE('',*,*,#228629,.F.); #323262=ORIENTED_EDGE('',*,*,#228621,.F.); #323263=ORIENTED_EDGE('',*,*,#228624,.F.); #323264=ORIENTED_EDGE('',*,*,#228613,.F.); #323265=ORIENTED_EDGE('',*,*,#228616,.T.); #323266=ORIENTED_EDGE('',*,*,#228615,.T.); #323267=ORIENTED_EDGE('',*,*,#228623,.T.); #323268=ORIENTED_EDGE('',*,*,#228619,.T.); #323269=ORIENTED_EDGE('',*,*,#228628,.T.); #323270=ORIENTED_EDGE('',*,*,#228625,.T.); #323271=ORIENTED_EDGE('',*,*,#228630,.T.); #323272=ORIENTED_EDGE('',*,*,#228631,.T.); #323273=ORIENTED_EDGE('',*,*,#228632,.F.); #323274=ORIENTED_EDGE('',*,*,#228633,.F.); #323275=ORIENTED_EDGE('',*,*,#228630,.F.); #323276=ORIENTED_EDGE('',*,*,#228634,.F.); #323277=ORIENTED_EDGE('',*,*,#228635,.F.); #323278=ORIENTED_EDGE('',*,*,#228636,.F.); #323279=ORIENTED_EDGE('',*,*,#228637,.F.); #323280=ORIENTED_EDGE('',*,*,#228638,.T.); #323281=ORIENTED_EDGE('',*,*,#228639,.T.); #323282=ORIENTED_EDGE('',*,*,#228640,.F.); #323283=ORIENTED_EDGE('',*,*,#228641,.F.); #323284=ORIENTED_EDGE('',*,*,#228632,.T.); #323285=ORIENTED_EDGE('',*,*,#228642,.T.); #323286=ORIENTED_EDGE('',*,*,#228638,.F.); #323287=ORIENTED_EDGE('',*,*,#228635,.T.); #323288=ORIENTED_EDGE('',*,*,#228643,.F.); #323289=ORIENTED_EDGE('',*,*,#228644,.T.); #323290=ORIENTED_EDGE('',*,*,#228645,.T.); #323291=ORIENTED_EDGE('',*,*,#228646,.F.); #323292=ORIENTED_EDGE('',*,*,#228640,.T.); #323293=ORIENTED_EDGE('',*,*,#228647,.T.); #323294=ORIENTED_EDGE('',*,*,#228644,.F.); #323295=ORIENTED_EDGE('',*,*,#228636,.T.); #323296=ORIENTED_EDGE('',*,*,#228645,.F.); #323297=ORIENTED_EDGE('',*,*,#228647,.F.); #323298=ORIENTED_EDGE('',*,*,#228639,.F.); #323299=ORIENTED_EDGE('',*,*,#228642,.F.); #323300=ORIENTED_EDGE('',*,*,#228631,.F.); #323301=ORIENTED_EDGE('',*,*,#228634,.T.); #323302=ORIENTED_EDGE('',*,*,#228633,.T.); #323303=ORIENTED_EDGE('',*,*,#228641,.T.); #323304=ORIENTED_EDGE('',*,*,#228637,.T.); #323305=ORIENTED_EDGE('',*,*,#228646,.T.); #323306=ORIENTED_EDGE('',*,*,#228643,.T.); #323307=ORIENTED_EDGE('',*,*,#228648,.F.); #323308=ORIENTED_EDGE('',*,*,#228649,.F.); #323309=ORIENTED_EDGE('',*,*,#228650,.T.); #323310=ORIENTED_EDGE('',*,*,#228651,.F.); #323311=ORIENTED_EDGE('',*,*,#228652,.T.); #323312=ORIENTED_EDGE('',*,*,#228653,.T.); #323313=ORIENTED_EDGE('',*,*,#228648,.T.); #323314=ORIENTED_EDGE('',*,*,#228654,.T.); #323315=ORIENTED_EDGE('',*,*,#228652,.F.); #323316=ORIENTED_EDGE('',*,*,#228655,.F.); #323317=ORIENTED_EDGE('',*,*,#228656,.F.); #323318=ORIENTED_EDGE('',*,*,#228657,.F.); #323319=ORIENTED_EDGE('',*,*,#228658,.T.); #323320=ORIENTED_EDGE('',*,*,#228656,.T.); #323321=ORIENTED_EDGE('',*,*,#228659,.F.); #323322=ORIENTED_EDGE('',*,*,#228660,.F.); #323323=ORIENTED_EDGE('',*,*,#228661,.T.); #323324=ORIENTED_EDGE('',*,*,#228660,.T.); #323325=ORIENTED_EDGE('',*,*,#228662,.F.); #323326=ORIENTED_EDGE('',*,*,#228663,.F.); #323327=ORIENTED_EDGE('',*,*,#228664,.T.); #323328=ORIENTED_EDGE('',*,*,#228663,.T.); #323329=ORIENTED_EDGE('',*,*,#228665,.F.); #323330=ORIENTED_EDGE('',*,*,#228650,.F.); #323331=ORIENTED_EDGE('',*,*,#228654,.F.); #323332=ORIENTED_EDGE('',*,*,#228651,.T.); #323333=ORIENTED_EDGE('',*,*,#228665,.T.); #323334=ORIENTED_EDGE('',*,*,#228662,.T.); #323335=ORIENTED_EDGE('',*,*,#228659,.T.); #323336=ORIENTED_EDGE('',*,*,#228655,.T.); #323337=ORIENTED_EDGE('',*,*,#228653,.F.); #323338=ORIENTED_EDGE('',*,*,#228657,.T.); #323339=ORIENTED_EDGE('',*,*,#228658,.F.); #323340=ORIENTED_EDGE('',*,*,#228661,.F.); #323341=ORIENTED_EDGE('',*,*,#228664,.F.); #323342=ORIENTED_EDGE('',*,*,#228649,.T.); #323343=ORIENTED_EDGE('',*,*,#228666,.T.); #323344=ORIENTED_EDGE('',*,*,#228667,.T.); #323345=ORIENTED_EDGE('',*,*,#228668,.F.); #323346=ORIENTED_EDGE('',*,*,#228669,.T.); #323347=ORIENTED_EDGE('',*,*,#228670,.F.); #323348=ORIENTED_EDGE('',*,*,#228671,.F.); #323349=ORIENTED_EDGE('',*,*,#228666,.F.); #323350=ORIENTED_EDGE('',*,*,#228672,.F.); #323351=ORIENTED_EDGE('',*,*,#228670,.T.); #323352=ORIENTED_EDGE('',*,*,#228673,.T.); #323353=ORIENTED_EDGE('',*,*,#228674,.T.); #323354=ORIENTED_EDGE('',*,*,#228675,.T.); #323355=ORIENTED_EDGE('',*,*,#228676,.F.); #323356=ORIENTED_EDGE('',*,*,#228677,.T.); #323357=ORIENTED_EDGE('',*,*,#228678,.T.); #323358=ORIENTED_EDGE('',*,*,#228674,.F.); #323359=ORIENTED_EDGE('',*,*,#228679,.F.); #323360=ORIENTED_EDGE('',*,*,#228680,.T.); #323361=ORIENTED_EDGE('',*,*,#228681,.T.); #323362=ORIENTED_EDGE('',*,*,#228677,.F.); #323363=ORIENTED_EDGE('',*,*,#228682,.F.); #323364=ORIENTED_EDGE('',*,*,#228668,.T.); #323365=ORIENTED_EDGE('',*,*,#228683,.T.); #323366=ORIENTED_EDGE('',*,*,#228680,.F.); #323367=ORIENTED_EDGE('',*,*,#228671,.T.); #323368=ORIENTED_EDGE('',*,*,#228675,.F.); #323369=ORIENTED_EDGE('',*,*,#228678,.F.); #323370=ORIENTED_EDGE('',*,*,#228681,.F.); #323371=ORIENTED_EDGE('',*,*,#228683,.F.); #323372=ORIENTED_EDGE('',*,*,#228667,.F.); #323373=ORIENTED_EDGE('',*,*,#228672,.T.); #323374=ORIENTED_EDGE('',*,*,#228669,.F.); #323375=ORIENTED_EDGE('',*,*,#228682,.T.); #323376=ORIENTED_EDGE('',*,*,#228679,.T.); #323377=ORIENTED_EDGE('',*,*,#228676,.T.); #323378=ORIENTED_EDGE('',*,*,#228673,.F.); #323379=ORIENTED_EDGE('',*,*,#228684,.F.); #323380=ORIENTED_EDGE('',*,*,#228685,.F.); #323381=ORIENTED_EDGE('',*,*,#228686,.T.); #323382=ORIENTED_EDGE('',*,*,#228687,.F.); #323383=ORIENTED_EDGE('',*,*,#228688,.T.); #323384=ORIENTED_EDGE('',*,*,#228689,.T.); #323385=ORIENTED_EDGE('',*,*,#228684,.T.); #323386=ORIENTED_EDGE('',*,*,#228690,.T.); #323387=ORIENTED_EDGE('',*,*,#228688,.F.); #323388=ORIENTED_EDGE('',*,*,#228691,.F.); #323389=ORIENTED_EDGE('',*,*,#228692,.F.); #323390=ORIENTED_EDGE('',*,*,#228693,.F.); #323391=ORIENTED_EDGE('',*,*,#228694,.T.); #323392=ORIENTED_EDGE('',*,*,#228692,.T.); #323393=ORIENTED_EDGE('',*,*,#228695,.F.); #323394=ORIENTED_EDGE('',*,*,#228696,.F.); #323395=ORIENTED_EDGE('',*,*,#228697,.T.); #323396=ORIENTED_EDGE('',*,*,#228696,.T.); #323397=ORIENTED_EDGE('',*,*,#228698,.F.); #323398=ORIENTED_EDGE('',*,*,#228699,.F.); #323399=ORIENTED_EDGE('',*,*,#228700,.T.); #323400=ORIENTED_EDGE('',*,*,#228699,.T.); #323401=ORIENTED_EDGE('',*,*,#228701,.F.); #323402=ORIENTED_EDGE('',*,*,#228686,.F.); #323403=ORIENTED_EDGE('',*,*,#228690,.F.); #323404=ORIENTED_EDGE('',*,*,#228687,.T.); #323405=ORIENTED_EDGE('',*,*,#228701,.T.); #323406=ORIENTED_EDGE('',*,*,#228698,.T.); #323407=ORIENTED_EDGE('',*,*,#228695,.T.); #323408=ORIENTED_EDGE('',*,*,#228691,.T.); #323409=ORIENTED_EDGE('',*,*,#228689,.F.); #323410=ORIENTED_EDGE('',*,*,#228693,.T.); #323411=ORIENTED_EDGE('',*,*,#228694,.F.); #323412=ORIENTED_EDGE('',*,*,#228697,.F.); #323413=ORIENTED_EDGE('',*,*,#228700,.F.); #323414=ORIENTED_EDGE('',*,*,#228685,.T.); #323415=ORIENTED_EDGE('',*,*,#228702,.F.); #323416=ORIENTED_EDGE('',*,*,#228703,.F.); #323417=ORIENTED_EDGE('',*,*,#228704,.T.); #323418=ORIENTED_EDGE('',*,*,#228705,.F.); #323419=ORIENTED_EDGE('',*,*,#228706,.T.); #323420=ORIENTED_EDGE('',*,*,#228707,.T.); #323421=ORIENTED_EDGE('',*,*,#228702,.T.); #323422=ORIENTED_EDGE('',*,*,#228708,.T.); #323423=ORIENTED_EDGE('',*,*,#228706,.F.); #323424=ORIENTED_EDGE('',*,*,#228709,.F.); #323425=ORIENTED_EDGE('',*,*,#228710,.F.); #323426=ORIENTED_EDGE('',*,*,#228711,.F.); #323427=ORIENTED_EDGE('',*,*,#228712,.T.); #323428=ORIENTED_EDGE('',*,*,#228710,.T.); #323429=ORIENTED_EDGE('',*,*,#228713,.F.); #323430=ORIENTED_EDGE('',*,*,#228714,.F.); #323431=ORIENTED_EDGE('',*,*,#228715,.T.); #323432=ORIENTED_EDGE('',*,*,#228714,.T.); #323433=ORIENTED_EDGE('',*,*,#228716,.F.); #323434=ORIENTED_EDGE('',*,*,#228717,.F.); #323435=ORIENTED_EDGE('',*,*,#228718,.T.); #323436=ORIENTED_EDGE('',*,*,#228717,.T.); #323437=ORIENTED_EDGE('',*,*,#228719,.F.); #323438=ORIENTED_EDGE('',*,*,#228704,.F.); #323439=ORIENTED_EDGE('',*,*,#228708,.F.); #323440=ORIENTED_EDGE('',*,*,#228705,.T.); #323441=ORIENTED_EDGE('',*,*,#228719,.T.); #323442=ORIENTED_EDGE('',*,*,#228716,.T.); #323443=ORIENTED_EDGE('',*,*,#228713,.T.); #323444=ORIENTED_EDGE('',*,*,#228709,.T.); #323445=ORIENTED_EDGE('',*,*,#228707,.F.); #323446=ORIENTED_EDGE('',*,*,#228711,.T.); #323447=ORIENTED_EDGE('',*,*,#228712,.F.); #323448=ORIENTED_EDGE('',*,*,#228715,.F.); #323449=ORIENTED_EDGE('',*,*,#228718,.F.); #323450=ORIENTED_EDGE('',*,*,#228703,.T.); #323451=ORIENTED_EDGE('',*,*,#228720,.F.); #323452=ORIENTED_EDGE('',*,*,#228721,.F.); #323453=ORIENTED_EDGE('',*,*,#228722,.T.); #323454=ORIENTED_EDGE('',*,*,#228723,.F.); #323455=ORIENTED_EDGE('',*,*,#228724,.T.); #323456=ORIENTED_EDGE('',*,*,#228725,.T.); #323457=ORIENTED_EDGE('',*,*,#228720,.T.); #323458=ORIENTED_EDGE('',*,*,#228726,.T.); #323459=ORIENTED_EDGE('',*,*,#228724,.F.); #323460=ORIENTED_EDGE('',*,*,#228727,.F.); #323461=ORIENTED_EDGE('',*,*,#228728,.F.); #323462=ORIENTED_EDGE('',*,*,#228729,.F.); #323463=ORIENTED_EDGE('',*,*,#228730,.T.); #323464=ORIENTED_EDGE('',*,*,#228728,.T.); #323465=ORIENTED_EDGE('',*,*,#228731,.F.); #323466=ORIENTED_EDGE('',*,*,#228732,.F.); #323467=ORIENTED_EDGE('',*,*,#228733,.T.); #323468=ORIENTED_EDGE('',*,*,#228732,.T.); #323469=ORIENTED_EDGE('',*,*,#228734,.F.); #323470=ORIENTED_EDGE('',*,*,#228735,.F.); #323471=ORIENTED_EDGE('',*,*,#228736,.T.); #323472=ORIENTED_EDGE('',*,*,#228735,.T.); #323473=ORIENTED_EDGE('',*,*,#228737,.F.); #323474=ORIENTED_EDGE('',*,*,#228722,.F.); #323475=ORIENTED_EDGE('',*,*,#228726,.F.); #323476=ORIENTED_EDGE('',*,*,#228723,.T.); #323477=ORIENTED_EDGE('',*,*,#228737,.T.); #323478=ORIENTED_EDGE('',*,*,#228734,.T.); #323479=ORIENTED_EDGE('',*,*,#228731,.T.); #323480=ORIENTED_EDGE('',*,*,#228727,.T.); #323481=ORIENTED_EDGE('',*,*,#228725,.F.); #323482=ORIENTED_EDGE('',*,*,#228729,.T.); #323483=ORIENTED_EDGE('',*,*,#228730,.F.); #323484=ORIENTED_EDGE('',*,*,#228733,.F.); #323485=ORIENTED_EDGE('',*,*,#228736,.F.); #323486=ORIENTED_EDGE('',*,*,#228721,.T.); #323487=ORIENTED_EDGE('',*,*,#228738,.F.); #323488=ORIENTED_EDGE('',*,*,#228739,.F.); #323489=ORIENTED_EDGE('',*,*,#228740,.T.); #323490=ORIENTED_EDGE('',*,*,#228741,.F.); #323491=ORIENTED_EDGE('',*,*,#228742,.T.); #323492=ORIENTED_EDGE('',*,*,#228743,.T.); #323493=ORIENTED_EDGE('',*,*,#228738,.T.); #323494=ORIENTED_EDGE('',*,*,#228744,.T.); #323495=ORIENTED_EDGE('',*,*,#228742,.F.); #323496=ORIENTED_EDGE('',*,*,#228745,.F.); #323497=ORIENTED_EDGE('',*,*,#228746,.F.); #323498=ORIENTED_EDGE('',*,*,#228747,.F.); #323499=ORIENTED_EDGE('',*,*,#228748,.T.); #323500=ORIENTED_EDGE('',*,*,#228746,.T.); #323501=ORIENTED_EDGE('',*,*,#228749,.F.); #323502=ORIENTED_EDGE('',*,*,#228750,.F.); #323503=ORIENTED_EDGE('',*,*,#228751,.T.); #323504=ORIENTED_EDGE('',*,*,#228750,.T.); #323505=ORIENTED_EDGE('',*,*,#228752,.F.); #323506=ORIENTED_EDGE('',*,*,#228753,.F.); #323507=ORIENTED_EDGE('',*,*,#228754,.T.); #323508=ORIENTED_EDGE('',*,*,#228753,.T.); #323509=ORIENTED_EDGE('',*,*,#228755,.F.); #323510=ORIENTED_EDGE('',*,*,#228740,.F.); #323511=ORIENTED_EDGE('',*,*,#228744,.F.); #323512=ORIENTED_EDGE('',*,*,#228741,.T.); #323513=ORIENTED_EDGE('',*,*,#228755,.T.); #323514=ORIENTED_EDGE('',*,*,#228752,.T.); #323515=ORIENTED_EDGE('',*,*,#228749,.T.); #323516=ORIENTED_EDGE('',*,*,#228745,.T.); #323517=ORIENTED_EDGE('',*,*,#228743,.F.); #323518=ORIENTED_EDGE('',*,*,#228747,.T.); #323519=ORIENTED_EDGE('',*,*,#228748,.F.); #323520=ORIENTED_EDGE('',*,*,#228751,.F.); #323521=ORIENTED_EDGE('',*,*,#228754,.F.); #323522=ORIENTED_EDGE('',*,*,#228739,.T.); #323523=ORIENTED_EDGE('',*,*,#228756,.F.); #323524=ORIENTED_EDGE('',*,*,#228757,.F.); #323525=ORIENTED_EDGE('',*,*,#228758,.T.); #323526=ORIENTED_EDGE('',*,*,#228759,.F.); #323527=ORIENTED_EDGE('',*,*,#228760,.T.); #323528=ORIENTED_EDGE('',*,*,#228761,.T.); #323529=ORIENTED_EDGE('',*,*,#228756,.T.); #323530=ORIENTED_EDGE('',*,*,#228762,.T.); #323531=ORIENTED_EDGE('',*,*,#228760,.F.); #323532=ORIENTED_EDGE('',*,*,#228763,.F.); #323533=ORIENTED_EDGE('',*,*,#228764,.F.); #323534=ORIENTED_EDGE('',*,*,#228765,.F.); #323535=ORIENTED_EDGE('',*,*,#228766,.T.); #323536=ORIENTED_EDGE('',*,*,#228764,.T.); #323537=ORIENTED_EDGE('',*,*,#228767,.F.); #323538=ORIENTED_EDGE('',*,*,#228768,.F.); #323539=ORIENTED_EDGE('',*,*,#228769,.T.); #323540=ORIENTED_EDGE('',*,*,#228768,.T.); #323541=ORIENTED_EDGE('',*,*,#228770,.F.); #323542=ORIENTED_EDGE('',*,*,#228771,.F.); #323543=ORIENTED_EDGE('',*,*,#228772,.T.); #323544=ORIENTED_EDGE('',*,*,#228771,.T.); #323545=ORIENTED_EDGE('',*,*,#228773,.F.); #323546=ORIENTED_EDGE('',*,*,#228758,.F.); #323547=ORIENTED_EDGE('',*,*,#228762,.F.); #323548=ORIENTED_EDGE('',*,*,#228759,.T.); #323549=ORIENTED_EDGE('',*,*,#228773,.T.); #323550=ORIENTED_EDGE('',*,*,#228770,.T.); #323551=ORIENTED_EDGE('',*,*,#228767,.T.); #323552=ORIENTED_EDGE('',*,*,#228763,.T.); #323553=ORIENTED_EDGE('',*,*,#228761,.F.); #323554=ORIENTED_EDGE('',*,*,#228765,.T.); #323555=ORIENTED_EDGE('',*,*,#228766,.F.); #323556=ORIENTED_EDGE('',*,*,#228769,.F.); #323557=ORIENTED_EDGE('',*,*,#228772,.F.); #323558=ORIENTED_EDGE('',*,*,#228757,.T.); #323559=ORIENTED_EDGE('',*,*,#228774,.F.); #323560=ORIENTED_EDGE('',*,*,#228775,.F.); #323561=ORIENTED_EDGE('',*,*,#228776,.T.); #323562=ORIENTED_EDGE('',*,*,#228777,.F.); #323563=ORIENTED_EDGE('',*,*,#228778,.T.); #323564=ORIENTED_EDGE('',*,*,#228779,.T.); #323565=ORIENTED_EDGE('',*,*,#228774,.T.); #323566=ORIENTED_EDGE('',*,*,#228780,.T.); #323567=ORIENTED_EDGE('',*,*,#228778,.F.); #323568=ORIENTED_EDGE('',*,*,#228781,.F.); #323569=ORIENTED_EDGE('',*,*,#228782,.F.); #323570=ORIENTED_EDGE('',*,*,#228783,.F.); #323571=ORIENTED_EDGE('',*,*,#228784,.T.); #323572=ORIENTED_EDGE('',*,*,#228782,.T.); #323573=ORIENTED_EDGE('',*,*,#228785,.F.); #323574=ORIENTED_EDGE('',*,*,#228786,.F.); #323575=ORIENTED_EDGE('',*,*,#228787,.T.); #323576=ORIENTED_EDGE('',*,*,#228786,.T.); #323577=ORIENTED_EDGE('',*,*,#228788,.F.); #323578=ORIENTED_EDGE('',*,*,#228789,.F.); #323579=ORIENTED_EDGE('',*,*,#228790,.T.); #323580=ORIENTED_EDGE('',*,*,#228789,.T.); #323581=ORIENTED_EDGE('',*,*,#228791,.F.); #323582=ORIENTED_EDGE('',*,*,#228776,.F.); #323583=ORIENTED_EDGE('',*,*,#228780,.F.); #323584=ORIENTED_EDGE('',*,*,#228777,.T.); #323585=ORIENTED_EDGE('',*,*,#228791,.T.); #323586=ORIENTED_EDGE('',*,*,#228788,.T.); #323587=ORIENTED_EDGE('',*,*,#228785,.T.); #323588=ORIENTED_EDGE('',*,*,#228781,.T.); #323589=ORIENTED_EDGE('',*,*,#228779,.F.); #323590=ORIENTED_EDGE('',*,*,#228783,.T.); #323591=ORIENTED_EDGE('',*,*,#228784,.F.); #323592=ORIENTED_EDGE('',*,*,#228787,.F.); #323593=ORIENTED_EDGE('',*,*,#228790,.F.); #323594=ORIENTED_EDGE('',*,*,#228775,.T.); #323595=ORIENTED_EDGE('',*,*,#228792,.F.); #323596=ORIENTED_EDGE('',*,*,#228793,.F.); #323597=ORIENTED_EDGE('',*,*,#228794,.T.); #323598=ORIENTED_EDGE('',*,*,#228795,.F.); #323599=ORIENTED_EDGE('',*,*,#228796,.T.); #323600=ORIENTED_EDGE('',*,*,#228797,.T.); #323601=ORIENTED_EDGE('',*,*,#228792,.T.); #323602=ORIENTED_EDGE('',*,*,#228798,.T.); #323603=ORIENTED_EDGE('',*,*,#228796,.F.); #323604=ORIENTED_EDGE('',*,*,#228799,.F.); #323605=ORIENTED_EDGE('',*,*,#228800,.F.); #323606=ORIENTED_EDGE('',*,*,#228801,.F.); #323607=ORIENTED_EDGE('',*,*,#228802,.T.); #323608=ORIENTED_EDGE('',*,*,#228800,.T.); #323609=ORIENTED_EDGE('',*,*,#228803,.F.); #323610=ORIENTED_EDGE('',*,*,#228804,.F.); #323611=ORIENTED_EDGE('',*,*,#228805,.T.); #323612=ORIENTED_EDGE('',*,*,#228804,.T.); #323613=ORIENTED_EDGE('',*,*,#228806,.F.); #323614=ORIENTED_EDGE('',*,*,#228807,.F.); #323615=ORIENTED_EDGE('',*,*,#228808,.T.); #323616=ORIENTED_EDGE('',*,*,#228807,.T.); #323617=ORIENTED_EDGE('',*,*,#228809,.F.); #323618=ORIENTED_EDGE('',*,*,#228794,.F.); #323619=ORIENTED_EDGE('',*,*,#228798,.F.); #323620=ORIENTED_EDGE('',*,*,#228795,.T.); #323621=ORIENTED_EDGE('',*,*,#228809,.T.); #323622=ORIENTED_EDGE('',*,*,#228806,.T.); #323623=ORIENTED_EDGE('',*,*,#228803,.T.); #323624=ORIENTED_EDGE('',*,*,#228799,.T.); #323625=ORIENTED_EDGE('',*,*,#228797,.F.); #323626=ORIENTED_EDGE('',*,*,#228801,.T.); #323627=ORIENTED_EDGE('',*,*,#228802,.F.); #323628=ORIENTED_EDGE('',*,*,#228805,.F.); #323629=ORIENTED_EDGE('',*,*,#228808,.F.); #323630=ORIENTED_EDGE('',*,*,#228793,.T.); #323631=ORIENTED_EDGE('',*,*,#228810,.F.); #323632=ORIENTED_EDGE('',*,*,#228811,.F.); #323633=ORIENTED_EDGE('',*,*,#228812,.T.); #323634=ORIENTED_EDGE('',*,*,#228813,.F.); #323635=ORIENTED_EDGE('',*,*,#228814,.T.); #323636=ORIENTED_EDGE('',*,*,#228815,.T.); #323637=ORIENTED_EDGE('',*,*,#228810,.T.); #323638=ORIENTED_EDGE('',*,*,#228816,.T.); #323639=ORIENTED_EDGE('',*,*,#228814,.F.); #323640=ORIENTED_EDGE('',*,*,#228817,.F.); #323641=ORIENTED_EDGE('',*,*,#228818,.F.); #323642=ORIENTED_EDGE('',*,*,#228819,.F.); #323643=ORIENTED_EDGE('',*,*,#228820,.T.); #323644=ORIENTED_EDGE('',*,*,#228818,.T.); #323645=ORIENTED_EDGE('',*,*,#228821,.F.); #323646=ORIENTED_EDGE('',*,*,#228822,.F.); #323647=ORIENTED_EDGE('',*,*,#228823,.T.); #323648=ORIENTED_EDGE('',*,*,#228822,.T.); #323649=ORIENTED_EDGE('',*,*,#228824,.F.); #323650=ORIENTED_EDGE('',*,*,#228825,.F.); #323651=ORIENTED_EDGE('',*,*,#228826,.T.); #323652=ORIENTED_EDGE('',*,*,#228825,.T.); #323653=ORIENTED_EDGE('',*,*,#228827,.F.); #323654=ORIENTED_EDGE('',*,*,#228812,.F.); #323655=ORIENTED_EDGE('',*,*,#228816,.F.); #323656=ORIENTED_EDGE('',*,*,#228813,.T.); #323657=ORIENTED_EDGE('',*,*,#228827,.T.); #323658=ORIENTED_EDGE('',*,*,#228824,.T.); #323659=ORIENTED_EDGE('',*,*,#228821,.T.); #323660=ORIENTED_EDGE('',*,*,#228817,.T.); #323661=ORIENTED_EDGE('',*,*,#228815,.F.); #323662=ORIENTED_EDGE('',*,*,#228819,.T.); #323663=ORIENTED_EDGE('',*,*,#228820,.F.); #323664=ORIENTED_EDGE('',*,*,#228823,.F.); #323665=ORIENTED_EDGE('',*,*,#228826,.F.); #323666=ORIENTED_EDGE('',*,*,#228811,.T.); #323667=ORIENTED_EDGE('',*,*,#228828,.F.); #323668=ORIENTED_EDGE('',*,*,#228829,.F.); #323669=ORIENTED_EDGE('',*,*,#228830,.T.); #323670=ORIENTED_EDGE('',*,*,#228831,.F.); #323671=ORIENTED_EDGE('',*,*,#228832,.T.); #323672=ORIENTED_EDGE('',*,*,#228833,.T.); #323673=ORIENTED_EDGE('',*,*,#228828,.T.); #323674=ORIENTED_EDGE('',*,*,#228834,.T.); #323675=ORIENTED_EDGE('',*,*,#228832,.F.); #323676=ORIENTED_EDGE('',*,*,#228835,.F.); #323677=ORIENTED_EDGE('',*,*,#228836,.F.); #323678=ORIENTED_EDGE('',*,*,#228837,.F.); #323679=ORIENTED_EDGE('',*,*,#228838,.T.); #323680=ORIENTED_EDGE('',*,*,#228836,.T.); #323681=ORIENTED_EDGE('',*,*,#228839,.F.); #323682=ORIENTED_EDGE('',*,*,#228840,.F.); #323683=ORIENTED_EDGE('',*,*,#228841,.T.); #323684=ORIENTED_EDGE('',*,*,#228840,.T.); #323685=ORIENTED_EDGE('',*,*,#228842,.F.); #323686=ORIENTED_EDGE('',*,*,#228843,.F.); #323687=ORIENTED_EDGE('',*,*,#228844,.T.); #323688=ORIENTED_EDGE('',*,*,#228843,.T.); #323689=ORIENTED_EDGE('',*,*,#228845,.F.); #323690=ORIENTED_EDGE('',*,*,#228830,.F.); #323691=ORIENTED_EDGE('',*,*,#228834,.F.); #323692=ORIENTED_EDGE('',*,*,#228831,.T.); #323693=ORIENTED_EDGE('',*,*,#228845,.T.); #323694=ORIENTED_EDGE('',*,*,#228842,.T.); #323695=ORIENTED_EDGE('',*,*,#228839,.T.); #323696=ORIENTED_EDGE('',*,*,#228835,.T.); #323697=ORIENTED_EDGE('',*,*,#228833,.F.); #323698=ORIENTED_EDGE('',*,*,#228837,.T.); #323699=ORIENTED_EDGE('',*,*,#228838,.F.); #323700=ORIENTED_EDGE('',*,*,#228841,.F.); #323701=ORIENTED_EDGE('',*,*,#228844,.F.); #323702=ORIENTED_EDGE('',*,*,#228829,.T.); #323703=ORIENTED_EDGE('',*,*,#228846,.F.); #323704=ORIENTED_EDGE('',*,*,#228847,.F.); #323705=ORIENTED_EDGE('',*,*,#228848,.T.); #323706=ORIENTED_EDGE('',*,*,#228849,.F.); #323707=ORIENTED_EDGE('',*,*,#228850,.T.); #323708=ORIENTED_EDGE('',*,*,#228851,.T.); #323709=ORIENTED_EDGE('',*,*,#228846,.T.); #323710=ORIENTED_EDGE('',*,*,#228852,.T.); #323711=ORIENTED_EDGE('',*,*,#228850,.F.); #323712=ORIENTED_EDGE('',*,*,#228853,.F.); #323713=ORIENTED_EDGE('',*,*,#228854,.F.); #323714=ORIENTED_EDGE('',*,*,#228855,.F.); #323715=ORIENTED_EDGE('',*,*,#228856,.T.); #323716=ORIENTED_EDGE('',*,*,#228854,.T.); #323717=ORIENTED_EDGE('',*,*,#228857,.F.); #323718=ORIENTED_EDGE('',*,*,#228858,.F.); #323719=ORIENTED_EDGE('',*,*,#228859,.T.); #323720=ORIENTED_EDGE('',*,*,#228858,.T.); #323721=ORIENTED_EDGE('',*,*,#228860,.F.); #323722=ORIENTED_EDGE('',*,*,#228861,.F.); #323723=ORIENTED_EDGE('',*,*,#228862,.T.); #323724=ORIENTED_EDGE('',*,*,#228861,.T.); #323725=ORIENTED_EDGE('',*,*,#228863,.F.); #323726=ORIENTED_EDGE('',*,*,#228848,.F.); #323727=ORIENTED_EDGE('',*,*,#228852,.F.); #323728=ORIENTED_EDGE('',*,*,#228849,.T.); #323729=ORIENTED_EDGE('',*,*,#228863,.T.); #323730=ORIENTED_EDGE('',*,*,#228860,.T.); #323731=ORIENTED_EDGE('',*,*,#228857,.T.); #323732=ORIENTED_EDGE('',*,*,#228853,.T.); #323733=ORIENTED_EDGE('',*,*,#228851,.F.); #323734=ORIENTED_EDGE('',*,*,#228855,.T.); #323735=ORIENTED_EDGE('',*,*,#228856,.F.); #323736=ORIENTED_EDGE('',*,*,#228859,.F.); #323737=ORIENTED_EDGE('',*,*,#228862,.F.); #323738=ORIENTED_EDGE('',*,*,#228847,.T.); #323739=ORIENTED_EDGE('',*,*,#228864,.F.); #323740=ORIENTED_EDGE('',*,*,#228865,.F.); #323741=ORIENTED_EDGE('',*,*,#228866,.T.); #323742=ORIENTED_EDGE('',*,*,#228867,.F.); #323743=ORIENTED_EDGE('',*,*,#228868,.T.); #323744=ORIENTED_EDGE('',*,*,#228869,.T.); #323745=ORIENTED_EDGE('',*,*,#228864,.T.); #323746=ORIENTED_EDGE('',*,*,#228870,.T.); #323747=ORIENTED_EDGE('',*,*,#228868,.F.); #323748=ORIENTED_EDGE('',*,*,#228871,.F.); #323749=ORIENTED_EDGE('',*,*,#228872,.F.); #323750=ORIENTED_EDGE('',*,*,#228873,.F.); #323751=ORIENTED_EDGE('',*,*,#228874,.T.); #323752=ORIENTED_EDGE('',*,*,#228872,.T.); #323753=ORIENTED_EDGE('',*,*,#228875,.F.); #323754=ORIENTED_EDGE('',*,*,#228876,.F.); #323755=ORIENTED_EDGE('',*,*,#228877,.T.); #323756=ORIENTED_EDGE('',*,*,#228876,.T.); #323757=ORIENTED_EDGE('',*,*,#228878,.F.); #323758=ORIENTED_EDGE('',*,*,#228879,.F.); #323759=ORIENTED_EDGE('',*,*,#228880,.T.); #323760=ORIENTED_EDGE('',*,*,#228879,.T.); #323761=ORIENTED_EDGE('',*,*,#228881,.F.); #323762=ORIENTED_EDGE('',*,*,#228866,.F.); #323763=ORIENTED_EDGE('',*,*,#228870,.F.); #323764=ORIENTED_EDGE('',*,*,#228867,.T.); #323765=ORIENTED_EDGE('',*,*,#228881,.T.); #323766=ORIENTED_EDGE('',*,*,#228878,.T.); #323767=ORIENTED_EDGE('',*,*,#228875,.T.); #323768=ORIENTED_EDGE('',*,*,#228871,.T.); #323769=ORIENTED_EDGE('',*,*,#228869,.F.); #323770=ORIENTED_EDGE('',*,*,#228873,.T.); #323771=ORIENTED_EDGE('',*,*,#228874,.F.); #323772=ORIENTED_EDGE('',*,*,#228877,.F.); #323773=ORIENTED_EDGE('',*,*,#228880,.F.); #323774=ORIENTED_EDGE('',*,*,#228865,.T.); #323775=ORIENTED_EDGE('',*,*,#228882,.T.); #323776=ORIENTED_EDGE('',*,*,#228883,.T.); #323777=ORIENTED_EDGE('',*,*,#228884,.F.); #323778=ORIENTED_EDGE('',*,*,#228885,.T.); #323779=ORIENTED_EDGE('',*,*,#228886,.F.); #323780=ORIENTED_EDGE('',*,*,#228887,.F.); #323781=ORIENTED_EDGE('',*,*,#228882,.F.); #323782=ORIENTED_EDGE('',*,*,#228888,.F.); #323783=ORIENTED_EDGE('',*,*,#228886,.T.); #323784=ORIENTED_EDGE('',*,*,#228889,.T.); #323785=ORIENTED_EDGE('',*,*,#228890,.T.); #323786=ORIENTED_EDGE('',*,*,#228891,.T.); #323787=ORIENTED_EDGE('',*,*,#228892,.F.); #323788=ORIENTED_EDGE('',*,*,#228893,.T.); #323789=ORIENTED_EDGE('',*,*,#228894,.T.); #323790=ORIENTED_EDGE('',*,*,#228890,.F.); #323791=ORIENTED_EDGE('',*,*,#228895,.F.); #323792=ORIENTED_EDGE('',*,*,#228896,.T.); #323793=ORIENTED_EDGE('',*,*,#228897,.T.); #323794=ORIENTED_EDGE('',*,*,#228893,.F.); #323795=ORIENTED_EDGE('',*,*,#228898,.F.); #323796=ORIENTED_EDGE('',*,*,#228884,.T.); #323797=ORIENTED_EDGE('',*,*,#228899,.T.); #323798=ORIENTED_EDGE('',*,*,#228896,.F.); #323799=ORIENTED_EDGE('',*,*,#228887,.T.); #323800=ORIENTED_EDGE('',*,*,#228891,.F.); #323801=ORIENTED_EDGE('',*,*,#228894,.F.); #323802=ORIENTED_EDGE('',*,*,#228897,.F.); #323803=ORIENTED_EDGE('',*,*,#228899,.F.); #323804=ORIENTED_EDGE('',*,*,#228883,.F.); #323805=ORIENTED_EDGE('',*,*,#228888,.T.); #323806=ORIENTED_EDGE('',*,*,#228885,.F.); #323807=ORIENTED_EDGE('',*,*,#228898,.T.); #323808=ORIENTED_EDGE('',*,*,#228895,.T.); #323809=ORIENTED_EDGE('',*,*,#228892,.T.); #323810=ORIENTED_EDGE('',*,*,#228889,.F.); #323811=ORIENTED_EDGE('',*,*,#228900,.T.); #323812=ORIENTED_EDGE('',*,*,#228901,.T.); #323813=ORIENTED_EDGE('',*,*,#228902,.F.); #323814=ORIENTED_EDGE('',*,*,#228903,.T.); #323815=ORIENTED_EDGE('',*,*,#228904,.F.); #323816=ORIENTED_EDGE('',*,*,#228905,.F.); #323817=ORIENTED_EDGE('',*,*,#228900,.F.); #323818=ORIENTED_EDGE('',*,*,#228906,.F.); #323819=ORIENTED_EDGE('',*,*,#228904,.T.); #323820=ORIENTED_EDGE('',*,*,#228907,.T.); #323821=ORIENTED_EDGE('',*,*,#228908,.T.); #323822=ORIENTED_EDGE('',*,*,#228909,.T.); #323823=ORIENTED_EDGE('',*,*,#228910,.F.); #323824=ORIENTED_EDGE('',*,*,#228911,.T.); #323825=ORIENTED_EDGE('',*,*,#228912,.T.); #323826=ORIENTED_EDGE('',*,*,#228908,.F.); #323827=ORIENTED_EDGE('',*,*,#228913,.F.); #323828=ORIENTED_EDGE('',*,*,#228914,.T.); #323829=ORIENTED_EDGE('',*,*,#228915,.T.); #323830=ORIENTED_EDGE('',*,*,#228911,.F.); #323831=ORIENTED_EDGE('',*,*,#228916,.F.); #323832=ORIENTED_EDGE('',*,*,#228902,.T.); #323833=ORIENTED_EDGE('',*,*,#228917,.T.); #323834=ORIENTED_EDGE('',*,*,#228914,.F.); #323835=ORIENTED_EDGE('',*,*,#228905,.T.); #323836=ORIENTED_EDGE('',*,*,#228909,.F.); #323837=ORIENTED_EDGE('',*,*,#228912,.F.); #323838=ORIENTED_EDGE('',*,*,#228915,.F.); #323839=ORIENTED_EDGE('',*,*,#228917,.F.); #323840=ORIENTED_EDGE('',*,*,#228901,.F.); #323841=ORIENTED_EDGE('',*,*,#228906,.T.); #323842=ORIENTED_EDGE('',*,*,#228903,.F.); #323843=ORIENTED_EDGE('',*,*,#228916,.T.); #323844=ORIENTED_EDGE('',*,*,#228913,.T.); #323845=ORIENTED_EDGE('',*,*,#228910,.T.); #323846=ORIENTED_EDGE('',*,*,#228907,.F.); #323847=ORIENTED_EDGE('',*,*,#228918,.T.); #323848=ORIENTED_EDGE('',*,*,#228919,.T.); #323849=ORIENTED_EDGE('',*,*,#228920,.F.); #323850=ORIENTED_EDGE('',*,*,#228921,.T.); #323851=ORIENTED_EDGE('',*,*,#228922,.F.); #323852=ORIENTED_EDGE('',*,*,#228923,.F.); #323853=ORIENTED_EDGE('',*,*,#228918,.F.); #323854=ORIENTED_EDGE('',*,*,#228924,.F.); #323855=ORIENTED_EDGE('',*,*,#228922,.T.); #323856=ORIENTED_EDGE('',*,*,#228925,.T.); #323857=ORIENTED_EDGE('',*,*,#228926,.T.); #323858=ORIENTED_EDGE('',*,*,#228927,.T.); #323859=ORIENTED_EDGE('',*,*,#228928,.F.); #323860=ORIENTED_EDGE('',*,*,#228929,.T.); #323861=ORIENTED_EDGE('',*,*,#228930,.T.); #323862=ORIENTED_EDGE('',*,*,#228926,.F.); #323863=ORIENTED_EDGE('',*,*,#228931,.F.); #323864=ORIENTED_EDGE('',*,*,#228932,.T.); #323865=ORIENTED_EDGE('',*,*,#228933,.T.); #323866=ORIENTED_EDGE('',*,*,#228929,.F.); #323867=ORIENTED_EDGE('',*,*,#228934,.F.); #323868=ORIENTED_EDGE('',*,*,#228920,.T.); #323869=ORIENTED_EDGE('',*,*,#228935,.T.); #323870=ORIENTED_EDGE('',*,*,#228932,.F.); #323871=ORIENTED_EDGE('',*,*,#228923,.T.); #323872=ORIENTED_EDGE('',*,*,#228927,.F.); #323873=ORIENTED_EDGE('',*,*,#228930,.F.); #323874=ORIENTED_EDGE('',*,*,#228933,.F.); #323875=ORIENTED_EDGE('',*,*,#228935,.F.); #323876=ORIENTED_EDGE('',*,*,#228919,.F.); #323877=ORIENTED_EDGE('',*,*,#228924,.T.); #323878=ORIENTED_EDGE('',*,*,#228921,.F.); #323879=ORIENTED_EDGE('',*,*,#228934,.T.); #323880=ORIENTED_EDGE('',*,*,#228931,.T.); #323881=ORIENTED_EDGE('',*,*,#228928,.T.); #323882=ORIENTED_EDGE('',*,*,#228925,.F.); #323883=ORIENTED_EDGE('',*,*,#228936,.T.); #323884=ORIENTED_EDGE('',*,*,#228937,.T.); #323885=ORIENTED_EDGE('',*,*,#228938,.F.); #323886=ORIENTED_EDGE('',*,*,#228939,.T.); #323887=ORIENTED_EDGE('',*,*,#228940,.F.); #323888=ORIENTED_EDGE('',*,*,#228941,.F.); #323889=ORIENTED_EDGE('',*,*,#228936,.F.); #323890=ORIENTED_EDGE('',*,*,#228942,.F.); #323891=ORIENTED_EDGE('',*,*,#228940,.T.); #323892=ORIENTED_EDGE('',*,*,#228943,.T.); #323893=ORIENTED_EDGE('',*,*,#228944,.T.); #323894=ORIENTED_EDGE('',*,*,#228945,.T.); #323895=ORIENTED_EDGE('',*,*,#228946,.F.); #323896=ORIENTED_EDGE('',*,*,#228947,.T.); #323897=ORIENTED_EDGE('',*,*,#228948,.T.); #323898=ORIENTED_EDGE('',*,*,#228944,.F.); #323899=ORIENTED_EDGE('',*,*,#228949,.F.); #323900=ORIENTED_EDGE('',*,*,#228950,.T.); #323901=ORIENTED_EDGE('',*,*,#228951,.T.); #323902=ORIENTED_EDGE('',*,*,#228947,.F.); #323903=ORIENTED_EDGE('',*,*,#228952,.F.); #323904=ORIENTED_EDGE('',*,*,#228938,.T.); #323905=ORIENTED_EDGE('',*,*,#228953,.T.); #323906=ORIENTED_EDGE('',*,*,#228950,.F.); #323907=ORIENTED_EDGE('',*,*,#228941,.T.); #323908=ORIENTED_EDGE('',*,*,#228945,.F.); #323909=ORIENTED_EDGE('',*,*,#228948,.F.); #323910=ORIENTED_EDGE('',*,*,#228951,.F.); #323911=ORIENTED_EDGE('',*,*,#228953,.F.); #323912=ORIENTED_EDGE('',*,*,#228937,.F.); #323913=ORIENTED_EDGE('',*,*,#228942,.T.); #323914=ORIENTED_EDGE('',*,*,#228939,.F.); #323915=ORIENTED_EDGE('',*,*,#228952,.T.); #323916=ORIENTED_EDGE('',*,*,#228949,.T.); #323917=ORIENTED_EDGE('',*,*,#228946,.T.); #323918=ORIENTED_EDGE('',*,*,#228943,.F.); #323919=ORIENTED_EDGE('',*,*,#228954,.T.); #323920=ORIENTED_EDGE('',*,*,#228955,.T.); #323921=ORIENTED_EDGE('',*,*,#228956,.F.); #323922=ORIENTED_EDGE('',*,*,#228957,.T.); #323923=ORIENTED_EDGE('',*,*,#228958,.F.); #323924=ORIENTED_EDGE('',*,*,#228959,.F.); #323925=ORIENTED_EDGE('',*,*,#228954,.F.); #323926=ORIENTED_EDGE('',*,*,#228960,.F.); #323927=ORIENTED_EDGE('',*,*,#228958,.T.); #323928=ORIENTED_EDGE('',*,*,#228961,.T.); #323929=ORIENTED_EDGE('',*,*,#228962,.T.); #323930=ORIENTED_EDGE('',*,*,#228963,.T.); #323931=ORIENTED_EDGE('',*,*,#228964,.F.); #323932=ORIENTED_EDGE('',*,*,#228965,.T.); #323933=ORIENTED_EDGE('',*,*,#228966,.T.); #323934=ORIENTED_EDGE('',*,*,#228962,.F.); #323935=ORIENTED_EDGE('',*,*,#228967,.F.); #323936=ORIENTED_EDGE('',*,*,#228968,.T.); #323937=ORIENTED_EDGE('',*,*,#228969,.T.); #323938=ORIENTED_EDGE('',*,*,#228965,.F.); #323939=ORIENTED_EDGE('',*,*,#228970,.F.); #323940=ORIENTED_EDGE('',*,*,#228956,.T.); #323941=ORIENTED_EDGE('',*,*,#228971,.T.); #323942=ORIENTED_EDGE('',*,*,#228968,.F.); #323943=ORIENTED_EDGE('',*,*,#228959,.T.); #323944=ORIENTED_EDGE('',*,*,#228963,.F.); #323945=ORIENTED_EDGE('',*,*,#228966,.F.); #323946=ORIENTED_EDGE('',*,*,#228969,.F.); #323947=ORIENTED_EDGE('',*,*,#228971,.F.); #323948=ORIENTED_EDGE('',*,*,#228955,.F.); #323949=ORIENTED_EDGE('',*,*,#228960,.T.); #323950=ORIENTED_EDGE('',*,*,#228957,.F.); #323951=ORIENTED_EDGE('',*,*,#228970,.T.); #323952=ORIENTED_EDGE('',*,*,#228967,.T.); #323953=ORIENTED_EDGE('',*,*,#228964,.T.); #323954=ORIENTED_EDGE('',*,*,#228961,.F.); #323955=ORIENTED_EDGE('',*,*,#228972,.T.); #323956=ORIENTED_EDGE('',*,*,#228973,.T.); #323957=ORIENTED_EDGE('',*,*,#228974,.F.); #323958=ORIENTED_EDGE('',*,*,#228975,.T.); #323959=ORIENTED_EDGE('',*,*,#228976,.F.); #323960=ORIENTED_EDGE('',*,*,#228977,.F.); #323961=ORIENTED_EDGE('',*,*,#228972,.F.); #323962=ORIENTED_EDGE('',*,*,#228978,.F.); #323963=ORIENTED_EDGE('',*,*,#228976,.T.); #323964=ORIENTED_EDGE('',*,*,#228979,.T.); #323965=ORIENTED_EDGE('',*,*,#228980,.T.); #323966=ORIENTED_EDGE('',*,*,#228981,.T.); #323967=ORIENTED_EDGE('',*,*,#228982,.F.); #323968=ORIENTED_EDGE('',*,*,#228983,.T.); #323969=ORIENTED_EDGE('',*,*,#228984,.T.); #323970=ORIENTED_EDGE('',*,*,#228980,.F.); #323971=ORIENTED_EDGE('',*,*,#228985,.F.); #323972=ORIENTED_EDGE('',*,*,#228986,.T.); #323973=ORIENTED_EDGE('',*,*,#228987,.T.); #323974=ORIENTED_EDGE('',*,*,#228983,.F.); #323975=ORIENTED_EDGE('',*,*,#228988,.F.); #323976=ORIENTED_EDGE('',*,*,#228974,.T.); #323977=ORIENTED_EDGE('',*,*,#228989,.T.); #323978=ORIENTED_EDGE('',*,*,#228986,.F.); #323979=ORIENTED_EDGE('',*,*,#228977,.T.); #323980=ORIENTED_EDGE('',*,*,#228981,.F.); #323981=ORIENTED_EDGE('',*,*,#228984,.F.); #323982=ORIENTED_EDGE('',*,*,#228987,.F.); #323983=ORIENTED_EDGE('',*,*,#228989,.F.); #323984=ORIENTED_EDGE('',*,*,#228973,.F.); #323985=ORIENTED_EDGE('',*,*,#228978,.T.); #323986=ORIENTED_EDGE('',*,*,#228975,.F.); #323987=ORIENTED_EDGE('',*,*,#228988,.T.); #323988=ORIENTED_EDGE('',*,*,#228985,.T.); #323989=ORIENTED_EDGE('',*,*,#228982,.T.); #323990=ORIENTED_EDGE('',*,*,#228979,.F.); #323991=ORIENTED_EDGE('',*,*,#228990,.T.); #323992=ORIENTED_EDGE('',*,*,#228991,.T.); #323993=ORIENTED_EDGE('',*,*,#228992,.F.); #323994=ORIENTED_EDGE('',*,*,#228993,.T.); #323995=ORIENTED_EDGE('',*,*,#228994,.F.); #323996=ORIENTED_EDGE('',*,*,#228995,.F.); #323997=ORIENTED_EDGE('',*,*,#228990,.F.); #323998=ORIENTED_EDGE('',*,*,#228996,.F.); #323999=ORIENTED_EDGE('',*,*,#228994,.T.); #324000=ORIENTED_EDGE('',*,*,#228997,.T.); #324001=ORIENTED_EDGE('',*,*,#228998,.T.); #324002=ORIENTED_EDGE('',*,*,#228999,.T.); #324003=ORIENTED_EDGE('',*,*,#229000,.F.); #324004=ORIENTED_EDGE('',*,*,#229001,.T.); #324005=ORIENTED_EDGE('',*,*,#229002,.T.); #324006=ORIENTED_EDGE('',*,*,#228998,.F.); #324007=ORIENTED_EDGE('',*,*,#229003,.F.); #324008=ORIENTED_EDGE('',*,*,#229004,.T.); #324009=ORIENTED_EDGE('',*,*,#229005,.T.); #324010=ORIENTED_EDGE('',*,*,#229001,.F.); #324011=ORIENTED_EDGE('',*,*,#229006,.F.); #324012=ORIENTED_EDGE('',*,*,#228992,.T.); #324013=ORIENTED_EDGE('',*,*,#229007,.T.); #324014=ORIENTED_EDGE('',*,*,#229004,.F.); #324015=ORIENTED_EDGE('',*,*,#228995,.T.); #324016=ORIENTED_EDGE('',*,*,#228999,.F.); #324017=ORIENTED_EDGE('',*,*,#229002,.F.); #324018=ORIENTED_EDGE('',*,*,#229005,.F.); #324019=ORIENTED_EDGE('',*,*,#229007,.F.); #324020=ORIENTED_EDGE('',*,*,#228991,.F.); #324021=ORIENTED_EDGE('',*,*,#228996,.T.); #324022=ORIENTED_EDGE('',*,*,#228993,.F.); #324023=ORIENTED_EDGE('',*,*,#229006,.T.); #324024=ORIENTED_EDGE('',*,*,#229003,.T.); #324025=ORIENTED_EDGE('',*,*,#229000,.T.); #324026=ORIENTED_EDGE('',*,*,#228997,.F.); #324027=ORIENTED_EDGE('',*,*,#229008,.T.); #324028=ORIENTED_EDGE('',*,*,#229009,.T.); #324029=ORIENTED_EDGE('',*,*,#229010,.F.); #324030=ORIENTED_EDGE('',*,*,#229011,.T.); #324031=ORIENTED_EDGE('',*,*,#229012,.F.); #324032=ORIENTED_EDGE('',*,*,#229013,.F.); #324033=ORIENTED_EDGE('',*,*,#229008,.F.); #324034=ORIENTED_EDGE('',*,*,#229014,.F.); #324035=ORIENTED_EDGE('',*,*,#229012,.T.); #324036=ORIENTED_EDGE('',*,*,#229015,.T.); #324037=ORIENTED_EDGE('',*,*,#229016,.T.); #324038=ORIENTED_EDGE('',*,*,#229017,.T.); #324039=ORIENTED_EDGE('',*,*,#229018,.F.); #324040=ORIENTED_EDGE('',*,*,#229019,.T.); #324041=ORIENTED_EDGE('',*,*,#229020,.T.); #324042=ORIENTED_EDGE('',*,*,#229016,.F.); #324043=ORIENTED_EDGE('',*,*,#229021,.F.); #324044=ORIENTED_EDGE('',*,*,#229022,.T.); #324045=ORIENTED_EDGE('',*,*,#229023,.T.); #324046=ORIENTED_EDGE('',*,*,#229019,.F.); #324047=ORIENTED_EDGE('',*,*,#229024,.F.); #324048=ORIENTED_EDGE('',*,*,#229010,.T.); #324049=ORIENTED_EDGE('',*,*,#229025,.T.); #324050=ORIENTED_EDGE('',*,*,#229022,.F.); #324051=ORIENTED_EDGE('',*,*,#229013,.T.); #324052=ORIENTED_EDGE('',*,*,#229017,.F.); #324053=ORIENTED_EDGE('',*,*,#229020,.F.); #324054=ORIENTED_EDGE('',*,*,#229023,.F.); #324055=ORIENTED_EDGE('',*,*,#229025,.F.); #324056=ORIENTED_EDGE('',*,*,#229009,.F.); #324057=ORIENTED_EDGE('',*,*,#229014,.T.); #324058=ORIENTED_EDGE('',*,*,#229011,.F.); #324059=ORIENTED_EDGE('',*,*,#229024,.T.); #324060=ORIENTED_EDGE('',*,*,#229021,.T.); #324061=ORIENTED_EDGE('',*,*,#229018,.T.); #324062=ORIENTED_EDGE('',*,*,#229015,.F.); #324063=ORIENTED_EDGE('',*,*,#229026,.T.); #324064=ORIENTED_EDGE('',*,*,#229027,.T.); #324065=ORIENTED_EDGE('',*,*,#229028,.F.); #324066=ORIENTED_EDGE('',*,*,#229029,.T.); #324067=ORIENTED_EDGE('',*,*,#229030,.F.); #324068=ORIENTED_EDGE('',*,*,#229031,.F.); #324069=ORIENTED_EDGE('',*,*,#229026,.F.); #324070=ORIENTED_EDGE('',*,*,#229032,.F.); #324071=ORIENTED_EDGE('',*,*,#229030,.T.); #324072=ORIENTED_EDGE('',*,*,#229033,.T.); #324073=ORIENTED_EDGE('',*,*,#229034,.T.); #324074=ORIENTED_EDGE('',*,*,#229035,.T.); #324075=ORIENTED_EDGE('',*,*,#229036,.F.); #324076=ORIENTED_EDGE('',*,*,#229037,.T.); #324077=ORIENTED_EDGE('',*,*,#229038,.T.); #324078=ORIENTED_EDGE('',*,*,#229034,.F.); #324079=ORIENTED_EDGE('',*,*,#229039,.F.); #324080=ORIENTED_EDGE('',*,*,#229040,.T.); #324081=ORIENTED_EDGE('',*,*,#229041,.T.); #324082=ORIENTED_EDGE('',*,*,#229037,.F.); #324083=ORIENTED_EDGE('',*,*,#229042,.F.); #324084=ORIENTED_EDGE('',*,*,#229028,.T.); #324085=ORIENTED_EDGE('',*,*,#229043,.T.); #324086=ORIENTED_EDGE('',*,*,#229040,.F.); #324087=ORIENTED_EDGE('',*,*,#229031,.T.); #324088=ORIENTED_EDGE('',*,*,#229035,.F.); #324089=ORIENTED_EDGE('',*,*,#229038,.F.); #324090=ORIENTED_EDGE('',*,*,#229041,.F.); #324091=ORIENTED_EDGE('',*,*,#229043,.F.); #324092=ORIENTED_EDGE('',*,*,#229027,.F.); #324093=ORIENTED_EDGE('',*,*,#229032,.T.); #324094=ORIENTED_EDGE('',*,*,#229029,.F.); #324095=ORIENTED_EDGE('',*,*,#229042,.T.); #324096=ORIENTED_EDGE('',*,*,#229039,.T.); #324097=ORIENTED_EDGE('',*,*,#229036,.T.); #324098=ORIENTED_EDGE('',*,*,#229033,.F.); #324099=ORIENTED_EDGE('',*,*,#229044,.T.); #324100=ORIENTED_EDGE('',*,*,#229045,.T.); #324101=ORIENTED_EDGE('',*,*,#229046,.F.); #324102=ORIENTED_EDGE('',*,*,#229047,.T.); #324103=ORIENTED_EDGE('',*,*,#229048,.F.); #324104=ORIENTED_EDGE('',*,*,#229049,.F.); #324105=ORIENTED_EDGE('',*,*,#229044,.F.); #324106=ORIENTED_EDGE('',*,*,#229050,.F.); #324107=ORIENTED_EDGE('',*,*,#229048,.T.); #324108=ORIENTED_EDGE('',*,*,#229051,.T.); #324109=ORIENTED_EDGE('',*,*,#229052,.T.); #324110=ORIENTED_EDGE('',*,*,#229053,.T.); #324111=ORIENTED_EDGE('',*,*,#229054,.F.); #324112=ORIENTED_EDGE('',*,*,#229055,.T.); #324113=ORIENTED_EDGE('',*,*,#229056,.T.); #324114=ORIENTED_EDGE('',*,*,#229052,.F.); #324115=ORIENTED_EDGE('',*,*,#229057,.F.); #324116=ORIENTED_EDGE('',*,*,#229058,.T.); #324117=ORIENTED_EDGE('',*,*,#229059,.T.); #324118=ORIENTED_EDGE('',*,*,#229055,.F.); #324119=ORIENTED_EDGE('',*,*,#229060,.F.); #324120=ORIENTED_EDGE('',*,*,#229046,.T.); #324121=ORIENTED_EDGE('',*,*,#229061,.T.); #324122=ORIENTED_EDGE('',*,*,#229058,.F.); #324123=ORIENTED_EDGE('',*,*,#229049,.T.); #324124=ORIENTED_EDGE('',*,*,#229053,.F.); #324125=ORIENTED_EDGE('',*,*,#229056,.F.); #324126=ORIENTED_EDGE('',*,*,#229059,.F.); #324127=ORIENTED_EDGE('',*,*,#229061,.F.); #324128=ORIENTED_EDGE('',*,*,#229045,.F.); #324129=ORIENTED_EDGE('',*,*,#229050,.T.); #324130=ORIENTED_EDGE('',*,*,#229047,.F.); #324131=ORIENTED_EDGE('',*,*,#229060,.T.); #324132=ORIENTED_EDGE('',*,*,#229057,.T.); #324133=ORIENTED_EDGE('',*,*,#229054,.T.); #324134=ORIENTED_EDGE('',*,*,#229051,.F.); #324135=ORIENTED_EDGE('',*,*,#229062,.T.); #324136=ORIENTED_EDGE('',*,*,#229063,.T.); #324137=ORIENTED_EDGE('',*,*,#229064,.F.); #324138=ORIENTED_EDGE('',*,*,#229065,.T.); #324139=ORIENTED_EDGE('',*,*,#229066,.F.); #324140=ORIENTED_EDGE('',*,*,#229067,.F.); #324141=ORIENTED_EDGE('',*,*,#229062,.F.); #324142=ORIENTED_EDGE('',*,*,#229068,.F.); #324143=ORIENTED_EDGE('',*,*,#229066,.T.); #324144=ORIENTED_EDGE('',*,*,#229069,.T.); #324145=ORIENTED_EDGE('',*,*,#229070,.T.); #324146=ORIENTED_EDGE('',*,*,#229071,.T.); #324147=ORIENTED_EDGE('',*,*,#229072,.F.); #324148=ORIENTED_EDGE('',*,*,#229073,.T.); #324149=ORIENTED_EDGE('',*,*,#229074,.T.); #324150=ORIENTED_EDGE('',*,*,#229070,.F.); #324151=ORIENTED_EDGE('',*,*,#229075,.F.); #324152=ORIENTED_EDGE('',*,*,#229076,.T.); #324153=ORIENTED_EDGE('',*,*,#229077,.T.); #324154=ORIENTED_EDGE('',*,*,#229073,.F.); #324155=ORIENTED_EDGE('',*,*,#229078,.F.); #324156=ORIENTED_EDGE('',*,*,#229064,.T.); #324157=ORIENTED_EDGE('',*,*,#229079,.T.); #324158=ORIENTED_EDGE('',*,*,#229076,.F.); #324159=ORIENTED_EDGE('',*,*,#229067,.T.); #324160=ORIENTED_EDGE('',*,*,#229071,.F.); #324161=ORIENTED_EDGE('',*,*,#229074,.F.); #324162=ORIENTED_EDGE('',*,*,#229077,.F.); #324163=ORIENTED_EDGE('',*,*,#229079,.F.); #324164=ORIENTED_EDGE('',*,*,#229063,.F.); #324165=ORIENTED_EDGE('',*,*,#229068,.T.); #324166=ORIENTED_EDGE('',*,*,#229065,.F.); #324167=ORIENTED_EDGE('',*,*,#229078,.T.); #324168=ORIENTED_EDGE('',*,*,#229075,.T.); #324169=ORIENTED_EDGE('',*,*,#229072,.T.); #324170=ORIENTED_EDGE('',*,*,#229069,.F.); #324171=ORIENTED_EDGE('',*,*,#229080,.T.); #324172=ORIENTED_EDGE('',*,*,#229081,.T.); #324173=ORIENTED_EDGE('',*,*,#229082,.F.); #324174=ORIENTED_EDGE('',*,*,#229083,.F.); #324175=ORIENTED_EDGE('',*,*,#229084,.T.); #324176=ORIENTED_EDGE('',*,*,#229083,.T.); #324177=ORIENTED_EDGE('',*,*,#229085,.F.); #324178=ORIENTED_EDGE('',*,*,#229086,.F.); #324179=ORIENTED_EDGE('',*,*,#229087,.T.); #324180=ORIENTED_EDGE('',*,*,#229086,.T.); #324181=ORIENTED_EDGE('',*,*,#229088,.F.); #324182=ORIENTED_EDGE('',*,*,#229089,.F.); #324183=ORIENTED_EDGE('',*,*,#229090,.T.); #324184=ORIENTED_EDGE('',*,*,#229089,.T.); #324185=ORIENTED_EDGE('',*,*,#229091,.F.); #324186=ORIENTED_EDGE('',*,*,#229081,.F.); #324187=ORIENTED_EDGE('',*,*,#229091,.T.); #324188=ORIENTED_EDGE('',*,*,#229088,.T.); #324189=ORIENTED_EDGE('',*,*,#229085,.T.); #324190=ORIENTED_EDGE('',*,*,#229082,.T.); #324191=ORIENTED_EDGE('',*,*,#229090,.F.); #324192=ORIENTED_EDGE('',*,*,#229080,.F.); #324193=ORIENTED_EDGE('',*,*,#229084,.F.); #324194=ORIENTED_EDGE('',*,*,#229087,.F.); #324195=ORIENTED_EDGE('',*,*,#229092,.F.); #324196=ORIENTED_EDGE('',*,*,#229093,.F.); #324197=ORIENTED_EDGE('',*,*,#229094,.F.); #324198=ORIENTED_EDGE('',*,*,#229095,.F.); #324199=ORIENTED_EDGE('',*,*,#229096,.F.); #324200=ORIENTED_EDGE('',*,*,#229097,.F.); #324201=ORIENTED_EDGE('',*,*,#229098,.F.); #324202=ORIENTED_EDGE('',*,*,#229099,.F.); #324203=ORIENTED_EDGE('',*,*,#229100,.F.); #324204=ORIENTED_EDGE('',*,*,#229101,.F.); #324205=ORIENTED_EDGE('',*,*,#229102,.F.); #324206=ORIENTED_EDGE('',*,*,#229103,.F.); #324207=ORIENTED_EDGE('',*,*,#229104,.F.); #324208=ORIENTED_EDGE('',*,*,#229105,.F.); #324209=ORIENTED_EDGE('',*,*,#229106,.F.); #324210=ORIENTED_EDGE('',*,*,#229105,.T.); #324211=ORIENTED_EDGE('',*,*,#229107,.T.); #324212=ORIENTED_EDGE('',*,*,#229100,.T.); #324213=ORIENTED_EDGE('',*,*,#229108,.T.); #324214=ORIENTED_EDGE('',*,*,#229109,.F.); #324215=ORIENTED_EDGE('',*,*,#229110,.F.); #324216=ORIENTED_EDGE('',*,*,#229111,.F.); #324217=ORIENTED_EDGE('',*,*,#229112,.F.); #324218=ORIENTED_EDGE('',*,*,#229113,.F.); #324219=ORIENTED_EDGE('',*,*,#229114,.F.); #324220=ORIENTED_EDGE('',*,*,#229099,.T.); #324221=ORIENTED_EDGE('',*,*,#229115,.T.); #324222=ORIENTED_EDGE('',*,*,#229113,.T.); #324223=ORIENTED_EDGE('',*,*,#229116,.T.); #324224=ORIENTED_EDGE('',*,*,#229102,.T.); #324225=ORIENTED_EDGE('',*,*,#229117,.T.); #324226=ORIENTED_EDGE('',*,*,#229114,.T.); #324227=ORIENTED_EDGE('',*,*,#229118,.T.); #324228=ORIENTED_EDGE('',*,*,#229119,.F.); #324229=ORIENTED_EDGE('',*,*,#229120,.F.); #324230=ORIENTED_EDGE('',*,*,#229121,.F.); #324231=ORIENTED_EDGE('',*,*,#229106,.T.); #324232=ORIENTED_EDGE('',*,*,#229122,.T.); #324233=ORIENTED_EDGE('',*,*,#229120,.T.); #324234=ORIENTED_EDGE('',*,*,#229123,.T.); #324235=ORIENTED_EDGE('',*,*,#229112,.T.); #324236=ORIENTED_EDGE('',*,*,#229124,.T.); #324237=ORIENTED_EDGE('',*,*,#229121,.T.); #324238=ORIENTED_EDGE('',*,*,#229125,.T.); #324239=ORIENTED_EDGE('',*,*,#229110,.T.); #324240=ORIENTED_EDGE('',*,*,#229126,.T.); #324241=ORIENTED_EDGE('',*,*,#229119,.T.); #324242=ORIENTED_EDGE('',*,*,#229127,.T.); #324243=ORIENTED_EDGE('',*,*,#229101,.T.); #324244=ORIENTED_EDGE('',*,*,#229128,.T.); #324245=ORIENTED_EDGE('',*,*,#229111,.T.); #324246=ORIENTED_EDGE('',*,*,#229129,.T.); #324247=ORIENTED_EDGE('',*,*,#229109,.T.); #324248=ORIENTED_EDGE('',*,*,#229130,.T.); #324249=ORIENTED_EDGE('',*,*,#229097,.T.); #324250=ORIENTED_EDGE('',*,*,#229131,.T.); #324251=ORIENTED_EDGE('',*,*,#229096,.T.); #324252=ORIENTED_EDGE('',*,*,#229132,.T.); #324253=ORIENTED_EDGE('',*,*,#229104,.T.); #324254=ORIENTED_EDGE('',*,*,#229133,.T.); #324255=ORIENTED_EDGE('',*,*,#229092,.T.); #324256=ORIENTED_EDGE('',*,*,#229134,.T.); #324257=ORIENTED_EDGE('',*,*,#229103,.T.); #324258=ORIENTED_EDGE('',*,*,#229135,.T.); #324259=ORIENTED_EDGE('',*,*,#229093,.T.); #324260=ORIENTED_EDGE('',*,*,#229136,.T.); #324261=ORIENTED_EDGE('',*,*,#229098,.T.); #324262=ORIENTED_EDGE('',*,*,#229137,.T.); #324263=ORIENTED_EDGE('',*,*,#229095,.T.); #324264=ORIENTED_EDGE('',*,*,#229138,.T.); #324265=ORIENTED_EDGE('',*,*,#229094,.T.); #324266=ORIENTED_EDGE('',*,*,#229139,.T.); #324267=ORIENTED_EDGE('',*,*,#229107,.F.); #324268=ORIENTED_EDGE('',*,*,#229132,.F.); #324269=ORIENTED_EDGE('',*,*,#229139,.F.); #324270=ORIENTED_EDGE('',*,*,#229137,.F.); #324271=ORIENTED_EDGE('',*,*,#229108,.F.); #324272=ORIENTED_EDGE('',*,*,#229116,.F.); #324273=ORIENTED_EDGE('',*,*,#229125,.F.); #324274=ORIENTED_EDGE('',*,*,#229122,.F.); #324275=ORIENTED_EDGE('',*,*,#229115,.F.); #324276=ORIENTED_EDGE('',*,*,#229136,.F.); #324277=ORIENTED_EDGE('',*,*,#229135,.F.); #324278=ORIENTED_EDGE('',*,*,#229118,.F.); #324279=ORIENTED_EDGE('',*,*,#229117,.F.); #324280=ORIENTED_EDGE('',*,*,#229129,.F.); #324281=ORIENTED_EDGE('',*,*,#229127,.F.); #324282=ORIENTED_EDGE('',*,*,#229124,.F.); #324283=ORIENTED_EDGE('',*,*,#229123,.F.); #324284=ORIENTED_EDGE('',*,*,#229126,.F.); #324285=ORIENTED_EDGE('',*,*,#229131,.F.); #324286=ORIENTED_EDGE('',*,*,#229133,.F.); #324287=ORIENTED_EDGE('',*,*,#229128,.F.); #324288=ORIENTED_EDGE('',*,*,#229134,.F.); #324289=ORIENTED_EDGE('',*,*,#229138,.F.); #324290=ORIENTED_EDGE('',*,*,#229130,.F.); #324291=ORIENTED_EDGE('',*,*,#229140,.F.); #324292=ORIENTED_EDGE('',*,*,#229141,.F.); #324293=ORIENTED_EDGE('',*,*,#229142,.F.); #324294=ORIENTED_EDGE('',*,*,#229143,.F.); #324295=ORIENTED_EDGE('',*,*,#229144,.F.); #324296=ORIENTED_EDGE('',*,*,#229145,.F.); #324297=ORIENTED_EDGE('',*,*,#229146,.F.); #324298=ORIENTED_EDGE('',*,*,#229147,.F.); #324299=ORIENTED_EDGE('',*,*,#229148,.F.); #324300=ORIENTED_EDGE('',*,*,#229149,.F.); #324301=ORIENTED_EDGE('',*,*,#229150,.F.); #324302=ORIENTED_EDGE('',*,*,#229151,.F.); #324303=ORIENTED_EDGE('',*,*,#229152,.F.); #324304=ORIENTED_EDGE('',*,*,#229153,.F.); #324305=ORIENTED_EDGE('',*,*,#229154,.F.); #324306=ORIENTED_EDGE('',*,*,#229153,.T.); #324307=ORIENTED_EDGE('',*,*,#229155,.T.); #324308=ORIENTED_EDGE('',*,*,#229148,.T.); #324309=ORIENTED_EDGE('',*,*,#229156,.T.); #324310=ORIENTED_EDGE('',*,*,#229157,.F.); #324311=ORIENTED_EDGE('',*,*,#229158,.F.); #324312=ORIENTED_EDGE('',*,*,#229159,.F.); #324313=ORIENTED_EDGE('',*,*,#229160,.F.); #324314=ORIENTED_EDGE('',*,*,#229161,.F.); #324315=ORIENTED_EDGE('',*,*,#229162,.F.); #324316=ORIENTED_EDGE('',*,*,#229147,.T.); #324317=ORIENTED_EDGE('',*,*,#229163,.T.); #324318=ORIENTED_EDGE('',*,*,#229161,.T.); #324319=ORIENTED_EDGE('',*,*,#229164,.T.); #324320=ORIENTED_EDGE('',*,*,#229150,.T.); #324321=ORIENTED_EDGE('',*,*,#229165,.T.); #324322=ORIENTED_EDGE('',*,*,#229162,.T.); #324323=ORIENTED_EDGE('',*,*,#229166,.T.); #324324=ORIENTED_EDGE('',*,*,#229167,.F.); #324325=ORIENTED_EDGE('',*,*,#229168,.F.); #324326=ORIENTED_EDGE('',*,*,#229169,.F.); #324327=ORIENTED_EDGE('',*,*,#229168,.T.); #324328=ORIENTED_EDGE('',*,*,#229170,.T.); #324329=ORIENTED_EDGE('',*,*,#229154,.T.); #324330=ORIENTED_EDGE('',*,*,#229171,.T.); #324331=ORIENTED_EDGE('',*,*,#229160,.T.); #324332=ORIENTED_EDGE('',*,*,#229172,.T.); #324333=ORIENTED_EDGE('',*,*,#229169,.T.); #324334=ORIENTED_EDGE('',*,*,#229173,.T.); #324335=ORIENTED_EDGE('',*,*,#229158,.T.); #324336=ORIENTED_EDGE('',*,*,#229174,.T.); #324337=ORIENTED_EDGE('',*,*,#229167,.T.); #324338=ORIENTED_EDGE('',*,*,#229175,.T.); #324339=ORIENTED_EDGE('',*,*,#229149,.T.); #324340=ORIENTED_EDGE('',*,*,#229176,.T.); #324341=ORIENTED_EDGE('',*,*,#229159,.T.); #324342=ORIENTED_EDGE('',*,*,#229177,.T.); #324343=ORIENTED_EDGE('',*,*,#229157,.T.); #324344=ORIENTED_EDGE('',*,*,#229178,.T.); #324345=ORIENTED_EDGE('',*,*,#229145,.T.); #324346=ORIENTED_EDGE('',*,*,#229179,.T.); #324347=ORIENTED_EDGE('',*,*,#229144,.T.); #324348=ORIENTED_EDGE('',*,*,#229180,.T.); #324349=ORIENTED_EDGE('',*,*,#229152,.T.); #324350=ORIENTED_EDGE('',*,*,#229181,.T.); #324351=ORIENTED_EDGE('',*,*,#229140,.T.); #324352=ORIENTED_EDGE('',*,*,#229182,.T.); #324353=ORIENTED_EDGE('',*,*,#229151,.T.); #324354=ORIENTED_EDGE('',*,*,#229183,.T.); #324355=ORIENTED_EDGE('',*,*,#229141,.T.); #324356=ORIENTED_EDGE('',*,*,#229184,.T.); #324357=ORIENTED_EDGE('',*,*,#229146,.T.); #324358=ORIENTED_EDGE('',*,*,#229185,.T.); #324359=ORIENTED_EDGE('',*,*,#229143,.T.); #324360=ORIENTED_EDGE('',*,*,#229186,.T.); #324361=ORIENTED_EDGE('',*,*,#229142,.T.); #324362=ORIENTED_EDGE('',*,*,#229187,.T.); #324363=ORIENTED_EDGE('',*,*,#229155,.F.); #324364=ORIENTED_EDGE('',*,*,#229180,.F.); #324365=ORIENTED_EDGE('',*,*,#229187,.F.); #324366=ORIENTED_EDGE('',*,*,#229185,.F.); #324367=ORIENTED_EDGE('',*,*,#229156,.F.); #324368=ORIENTED_EDGE('',*,*,#229164,.F.); #324369=ORIENTED_EDGE('',*,*,#229173,.F.); #324370=ORIENTED_EDGE('',*,*,#229171,.F.); #324371=ORIENTED_EDGE('',*,*,#229163,.F.); #324372=ORIENTED_EDGE('',*,*,#229184,.F.); #324373=ORIENTED_EDGE('',*,*,#229183,.F.); #324374=ORIENTED_EDGE('',*,*,#229166,.F.); #324375=ORIENTED_EDGE('',*,*,#229165,.F.); #324376=ORIENTED_EDGE('',*,*,#229177,.F.); #324377=ORIENTED_EDGE('',*,*,#229175,.F.); #324378=ORIENTED_EDGE('',*,*,#229172,.F.); #324379=ORIENTED_EDGE('',*,*,#229170,.F.); #324380=ORIENTED_EDGE('',*,*,#229174,.F.); #324381=ORIENTED_EDGE('',*,*,#229179,.F.); #324382=ORIENTED_EDGE('',*,*,#229181,.F.); #324383=ORIENTED_EDGE('',*,*,#229176,.F.); #324384=ORIENTED_EDGE('',*,*,#229182,.F.); #324385=ORIENTED_EDGE('',*,*,#229186,.F.); #324386=ORIENTED_EDGE('',*,*,#229178,.F.); #324387=ORIENTED_EDGE('',*,*,#229188,.F.); #324388=ORIENTED_EDGE('',*,*,#229189,.T.); #324389=ORIENTED_EDGE('',*,*,#229190,.F.); #324390=ORIENTED_EDGE('',*,*,#229191,.F.); #324391=ORIENTED_EDGE('',*,*,#229192,.T.); #324392=ORIENTED_EDGE('',*,*,#229191,.T.); #324393=ORIENTED_EDGE('',*,*,#229193,.F.); #324394=ORIENTED_EDGE('',*,*,#229194,.F.); #324395=ORIENTED_EDGE('',*,*,#229195,.T.); #324396=ORIENTED_EDGE('',*,*,#229194,.T.); #324397=ORIENTED_EDGE('',*,*,#229196,.F.); #324398=ORIENTED_EDGE('',*,*,#229197,.F.); #324399=ORIENTED_EDGE('',*,*,#229198,.T.); #324400=ORIENTED_EDGE('',*,*,#229197,.T.); #324401=ORIENTED_EDGE('',*,*,#229199,.F.); #324402=ORIENTED_EDGE('',*,*,#229189,.F.); #324403=ORIENTED_EDGE('',*,*,#229199,.T.); #324404=ORIENTED_EDGE('',*,*,#229196,.T.); #324405=ORIENTED_EDGE('',*,*,#229193,.T.); #324406=ORIENTED_EDGE('',*,*,#229190,.T.); #324407=ORIENTED_EDGE('',*,*,#229198,.F.); #324408=ORIENTED_EDGE('',*,*,#229188,.T.); #324409=ORIENTED_EDGE('',*,*,#229192,.F.); #324410=ORIENTED_EDGE('',*,*,#229195,.F.); #324411=ORIENTED_EDGE('',*,*,#229200,.T.); #324412=ORIENTED_EDGE('',*,*,#229201,.T.); #324413=ORIENTED_EDGE('',*,*,#229202,.T.); #324414=ORIENTED_EDGE('',*,*,#229203,.F.); #324415=ORIENTED_EDGE('',*,*,#229204,.T.); #324416=ORIENTED_EDGE('',*,*,#229205,.T.); #324417=ORIENTED_EDGE('',*,*,#229206,.T.); #324418=ORIENTED_EDGE('',*,*,#229201,.F.); #324419=ORIENTED_EDGE('',*,*,#229207,.T.); #324420=ORIENTED_EDGE('',*,*,#229208,.T.); #324421=ORIENTED_EDGE('',*,*,#229209,.T.); #324422=ORIENTED_EDGE('',*,*,#229205,.F.); #324423=ORIENTED_EDGE('',*,*,#229210,.T.); #324424=ORIENTED_EDGE('',*,*,#229208,.F.); #324425=ORIENTED_EDGE('',*,*,#229211,.T.); #324426=ORIENTED_EDGE('',*,*,#229203,.T.); #324427=ORIENTED_EDGE('',*,*,#229210,.F.); #324428=ORIENTED_EDGE('',*,*,#229212,.F.); #324429=ORIENTED_EDGE('',*,*,#229213,.T.); #324430=ORIENTED_EDGE('',*,*,#229214,.T.); #324431=ORIENTED_EDGE('',*,*,#229202,.F.); #324432=ORIENTED_EDGE('',*,*,#229215,.F.); #324433=ORIENTED_EDGE('',*,*,#229216,.T.); #324434=ORIENTED_EDGE('',*,*,#229212,.T.); #324435=ORIENTED_EDGE('',*,*,#229200,.F.); #324436=ORIENTED_EDGE('',*,*,#229211,.F.); #324437=ORIENTED_EDGE('',*,*,#229207,.F.); #324438=ORIENTED_EDGE('',*,*,#229204,.F.); #324439=ORIENTED_EDGE('',*,*,#229206,.F.); #324440=ORIENTED_EDGE('',*,*,#229217,.F.); #324441=ORIENTED_EDGE('',*,*,#229218,.T.); #324442=ORIENTED_EDGE('',*,*,#229215,.T.); #324443=ORIENTED_EDGE('',*,*,#229209,.F.); #324444=ORIENTED_EDGE('',*,*,#229214,.F.); #324445=ORIENTED_EDGE('',*,*,#229219,.T.); #324446=ORIENTED_EDGE('',*,*,#229217,.T.); #324447=ORIENTED_EDGE('',*,*,#229219,.F.); #324448=ORIENTED_EDGE('',*,*,#229213,.F.); #324449=ORIENTED_EDGE('',*,*,#229216,.F.); #324450=ORIENTED_EDGE('',*,*,#229218,.F.); #324451=ORIENTED_EDGE('',*,*,#229220,.F.); #324452=ORIENTED_EDGE('',*,*,#229221,.T.); #324453=ORIENTED_EDGE('',*,*,#229222,.F.); #324454=ORIENTED_EDGE('',*,*,#229223,.F.); #324455=ORIENTED_EDGE('',*,*,#229224,.T.); #324456=ORIENTED_EDGE('',*,*,#229223,.T.); #324457=ORIENTED_EDGE('',*,*,#229225,.F.); #324458=ORIENTED_EDGE('',*,*,#229226,.F.); #324459=ORIENTED_EDGE('',*,*,#229227,.T.); #324460=ORIENTED_EDGE('',*,*,#229226,.T.); #324461=ORIENTED_EDGE('',*,*,#229228,.F.); #324462=ORIENTED_EDGE('',*,*,#229229,.F.); #324463=ORIENTED_EDGE('',*,*,#229230,.T.); #324464=ORIENTED_EDGE('',*,*,#229229,.T.); #324465=ORIENTED_EDGE('',*,*,#229231,.F.); #324466=ORIENTED_EDGE('',*,*,#229221,.F.); #324467=ORIENTED_EDGE('',*,*,#229231,.T.); #324468=ORIENTED_EDGE('',*,*,#229228,.T.); #324469=ORIENTED_EDGE('',*,*,#229225,.T.); #324470=ORIENTED_EDGE('',*,*,#229222,.T.); #324471=ORIENTED_EDGE('',*,*,#229230,.F.); #324472=ORIENTED_EDGE('',*,*,#229220,.T.); #324473=ORIENTED_EDGE('',*,*,#229224,.F.); #324474=ORIENTED_EDGE('',*,*,#229227,.F.); #324475=ORIENTED_EDGE('',*,*,#229232,.T.); #324476=ORIENTED_EDGE('',*,*,#229233,.T.); #324477=ORIENTED_EDGE('',*,*,#229234,.F.); #324478=ORIENTED_EDGE('',*,*,#229235,.F.); #324479=ORIENTED_EDGE('',*,*,#229236,.T.); #324480=ORIENTED_EDGE('',*,*,#229235,.T.); #324481=ORIENTED_EDGE('',*,*,#229237,.F.); #324482=ORIENTED_EDGE('',*,*,#229238,.F.); #324483=ORIENTED_EDGE('',*,*,#229239,.T.); #324484=ORIENTED_EDGE('',*,*,#229238,.T.); #324485=ORIENTED_EDGE('',*,*,#229240,.F.); #324486=ORIENTED_EDGE('',*,*,#229241,.F.); #324487=ORIENTED_EDGE('',*,*,#229242,.T.); #324488=ORIENTED_EDGE('',*,*,#229241,.T.); #324489=ORIENTED_EDGE('',*,*,#229243,.F.); #324490=ORIENTED_EDGE('',*,*,#229233,.F.); #324491=ORIENTED_EDGE('',*,*,#229243,.T.); #324492=ORIENTED_EDGE('',*,*,#229240,.T.); #324493=ORIENTED_EDGE('',*,*,#229237,.T.); #324494=ORIENTED_EDGE('',*,*,#229234,.T.); #324495=ORIENTED_EDGE('',*,*,#229242,.F.); #324496=ORIENTED_EDGE('',*,*,#229232,.F.); #324497=ORIENTED_EDGE('',*,*,#229236,.F.); #324498=ORIENTED_EDGE('',*,*,#229239,.F.); #324499=ORIENTED_EDGE('',*,*,#229244,.F.); #324500=ORIENTED_EDGE('',*,*,#229245,.T.); #324501=ORIENTED_EDGE('',*,*,#229246,.F.); #324502=ORIENTED_EDGE('',*,*,#229247,.F.); #324503=ORIENTED_EDGE('',*,*,#229248,.F.); #324504=ORIENTED_EDGE('',*,*,#229247,.T.); #324505=ORIENTED_EDGE('',*,*,#229249,.F.); #324506=ORIENTED_EDGE('',*,*,#229250,.F.); #324507=ORIENTED_EDGE('',*,*,#229251,.F.); #324508=ORIENTED_EDGE('',*,*,#229250,.T.); #324509=ORIENTED_EDGE('',*,*,#229252,.F.); #324510=ORIENTED_EDGE('',*,*,#229253,.F.); #324511=ORIENTED_EDGE('',*,*,#229254,.F.); #324512=ORIENTED_EDGE('',*,*,#229253,.T.); #324513=ORIENTED_EDGE('',*,*,#229255,.F.); #324514=ORIENTED_EDGE('',*,*,#229245,.F.); #324515=ORIENTED_EDGE('',*,*,#229255,.T.); #324516=ORIENTED_EDGE('',*,*,#229252,.T.); #324517=ORIENTED_EDGE('',*,*,#229249,.T.); #324518=ORIENTED_EDGE('',*,*,#229246,.T.); #324519=ORIENTED_EDGE('',*,*,#229254,.T.); #324520=ORIENTED_EDGE('',*,*,#229244,.T.); #324521=ORIENTED_EDGE('',*,*,#229248,.T.); #324522=ORIENTED_EDGE('',*,*,#229251,.T.); #324523=ORIENTED_EDGE('',*,*,#229256,.F.); #324524=ORIENTED_EDGE('',*,*,#229257,.T.); #324525=ORIENTED_EDGE('',*,*,#229258,.F.); #324526=ORIENTED_EDGE('',*,*,#229259,.F.); #324527=ORIENTED_EDGE('',*,*,#229260,.F.); #324528=ORIENTED_EDGE('',*,*,#229259,.T.); #324529=ORIENTED_EDGE('',*,*,#229261,.F.); #324530=ORIENTED_EDGE('',*,*,#229262,.F.); #324531=ORIENTED_EDGE('',*,*,#229263,.F.); #324532=ORIENTED_EDGE('',*,*,#229262,.T.); #324533=ORIENTED_EDGE('',*,*,#229264,.F.); #324534=ORIENTED_EDGE('',*,*,#229265,.F.); #324535=ORIENTED_EDGE('',*,*,#229266,.T.); #324536=ORIENTED_EDGE('',*,*,#229265,.T.); #324537=ORIENTED_EDGE('',*,*,#229267,.F.); #324538=ORIENTED_EDGE('',*,*,#229257,.F.); #324539=ORIENTED_EDGE('',*,*,#229267,.T.); #324540=ORIENTED_EDGE('',*,*,#229264,.T.); #324541=ORIENTED_EDGE('',*,*,#229261,.T.); #324542=ORIENTED_EDGE('',*,*,#229258,.T.); #324543=ORIENTED_EDGE('',*,*,#229266,.F.); #324544=ORIENTED_EDGE('',*,*,#229256,.T.); #324545=ORIENTED_EDGE('',*,*,#229260,.T.); #324546=ORIENTED_EDGE('',*,*,#229263,.T.); #324547=ORIENTED_EDGE('',*,*,#229268,.F.); #324548=ORIENTED_EDGE('',*,*,#229269,.T.); #324549=ORIENTED_EDGE('',*,*,#229270,.F.); #324550=ORIENTED_EDGE('',*,*,#229271,.F.); #324551=ORIENTED_EDGE('',*,*,#229272,.T.); #324552=ORIENTED_EDGE('',*,*,#229271,.T.); #324553=ORIENTED_EDGE('',*,*,#229273,.F.); #324554=ORIENTED_EDGE('',*,*,#229274,.F.); #324555=ORIENTED_EDGE('',*,*,#229275,.T.); #324556=ORIENTED_EDGE('',*,*,#229274,.T.); #324557=ORIENTED_EDGE('',*,*,#229276,.F.); #324558=ORIENTED_EDGE('',*,*,#229277,.F.); #324559=ORIENTED_EDGE('',*,*,#229278,.T.); #324560=ORIENTED_EDGE('',*,*,#229277,.T.); #324561=ORIENTED_EDGE('',*,*,#229279,.F.); #324562=ORIENTED_EDGE('',*,*,#229269,.F.); #324563=ORIENTED_EDGE('',*,*,#229279,.T.); #324564=ORIENTED_EDGE('',*,*,#229276,.T.); #324565=ORIENTED_EDGE('',*,*,#229273,.T.); #324566=ORIENTED_EDGE('',*,*,#229270,.T.); #324567=ORIENTED_EDGE('',*,*,#229278,.F.); #324568=ORIENTED_EDGE('',*,*,#229268,.T.); #324569=ORIENTED_EDGE('',*,*,#229272,.F.); #324570=ORIENTED_EDGE('',*,*,#229275,.F.); #324571=ORIENTED_EDGE('',*,*,#229280,.F.); #324572=ORIENTED_EDGE('',*,*,#229281,.T.); #324573=ORIENTED_EDGE('',*,*,#229282,.T.); #324574=ORIENTED_EDGE('',*,*,#229281,.F.); #324575=ORIENTED_EDGE('',*,*,#229282,.F.); #324576=ORIENTED_EDGE('',*,*,#229283,.T.); #324577=ORIENTED_EDGE('',*,*,#229284,.T.); #324578=ORIENTED_EDGE('',*,*,#229285,.T.); #324579=ORIENTED_EDGE('',*,*,#229286,.F.); #324580=ORIENTED_EDGE('',*,*,#229287,.T.); #324581=ORIENTED_EDGE('',*,*,#229288,.T.); #324582=ORIENTED_EDGE('',*,*,#229289,.T.); #324583=ORIENTED_EDGE('',*,*,#229284,.F.); #324584=ORIENTED_EDGE('',*,*,#229290,.T.); #324585=ORIENTED_EDGE('',*,*,#229291,.T.); #324586=ORIENTED_EDGE('',*,*,#229292,.T.); #324587=ORIENTED_EDGE('',*,*,#229288,.F.); #324588=ORIENTED_EDGE('',*,*,#229293,.T.); #324589=ORIENTED_EDGE('',*,*,#229291,.F.); #324590=ORIENTED_EDGE('',*,*,#229294,.T.); #324591=ORIENTED_EDGE('',*,*,#229286,.T.); #324592=ORIENTED_EDGE('',*,*,#229293,.F.); #324593=ORIENTED_EDGE('',*,*,#229295,.F.); #324594=ORIENTED_EDGE('',*,*,#229296,.F.); #324595=ORIENTED_EDGE('',*,*,#229297,.T.); #324596=ORIENTED_EDGE('',*,*,#229285,.F.); #324597=ORIENTED_EDGE('',*,*,#229298,.F.); #324598=ORIENTED_EDGE('',*,*,#229299,.F.); #324599=ORIENTED_EDGE('',*,*,#229295,.T.); #324600=ORIENTED_EDGE('',*,*,#229283,.F.); #324601=ORIENTED_EDGE('',*,*,#229294,.F.); #324602=ORIENTED_EDGE('',*,*,#229290,.F.); #324603=ORIENTED_EDGE('',*,*,#229287,.F.); #324604=ORIENTED_EDGE('',*,*,#229280,.T.); #324605=ORIENTED_EDGE('',*,*,#229300,.T.); #324606=ORIENTED_EDGE('',*,*,#229301,.T.); #324607=ORIENTED_EDGE('',*,*,#229302,.T.); #324608=ORIENTED_EDGE('',*,*,#229303,.T.); #324609=ORIENTED_EDGE('',*,*,#229304,.T.); #324610=ORIENTED_EDGE('',*,*,#229301,.F.); #324611=ORIENTED_EDGE('',*,*,#229296,.T.); #324612=ORIENTED_EDGE('',*,*,#229305,.F.); #324613=ORIENTED_EDGE('',*,*,#229306,.T.); #324614=ORIENTED_EDGE('',*,*,#229303,.F.); #324615=ORIENTED_EDGE('',*,*,#229307,.T.); #324616=ORIENTED_EDGE('',*,*,#229308,.T.); #324617=ORIENTED_EDGE('',*,*,#229299,.T.); #324618=ORIENTED_EDGE('',*,*,#229308,.F.); #324619=ORIENTED_EDGE('',*,*,#229309,.T.); #324620=ORIENTED_EDGE('',*,*,#229305,.T.); #324621=ORIENTED_EDGE('',*,*,#229292,.F.); #324622=ORIENTED_EDGE('',*,*,#229297,.F.); #324623=ORIENTED_EDGE('',*,*,#229300,.F.); #324624=ORIENTED_EDGE('',*,*,#229310,.T.); #324625=ORIENTED_EDGE('',*,*,#229302,.F.); #324626=ORIENTED_EDGE('',*,*,#229304,.F.); #324627=ORIENTED_EDGE('',*,*,#229309,.F.); #324628=ORIENTED_EDGE('',*,*,#229307,.F.); #324629=ORIENTED_EDGE('',*,*,#229289,.F.); #324630=ORIENTED_EDGE('',*,*,#229310,.F.); #324631=ORIENTED_EDGE('',*,*,#229306,.F.); #324632=ORIENTED_EDGE('',*,*,#229298,.T.); #324633=ORIENTED_EDGE('',*,*,#229311,.T.); #324634=ORIENTED_EDGE('',*,*,#229312,.T.); #324635=ORIENTED_EDGE('',*,*,#229313,.T.); #324636=ORIENTED_EDGE('',*,*,#229314,.T.); #324637=ORIENTED_EDGE('',*,*,#229315,.T.); #324638=ORIENTED_EDGE('',*,*,#229316,.T.); #324639=ORIENTED_EDGE('',*,*,#229317,.T.); #324640=ORIENTED_EDGE('',*,*,#229318,.T.); #324641=ORIENTED_EDGE('',*,*,#229319,.T.); #324642=ORIENTED_EDGE('',*,*,#229320,.T.); #324643=ORIENTED_EDGE('',*,*,#229321,.T.); #324644=ORIENTED_EDGE('',*,*,#229322,.T.); #324645=ORIENTED_EDGE('',*,*,#229323,.F.); #324646=ORIENTED_EDGE('',*,*,#229324,.F.); #324647=ORIENTED_EDGE('',*,*,#229325,.F.); #324648=ORIENTED_EDGE('',*,*,#229312,.F.); #324649=ORIENTED_EDGE('',*,*,#229325,.T.); #324650=ORIENTED_EDGE('',*,*,#229326,.F.); #324651=ORIENTED_EDGE('',*,*,#229327,.F.); #324652=ORIENTED_EDGE('',*,*,#229313,.F.); #324653=ORIENTED_EDGE('',*,*,#229327,.T.); #324654=ORIENTED_EDGE('',*,*,#229328,.F.); #324655=ORIENTED_EDGE('',*,*,#229329,.F.); #324656=ORIENTED_EDGE('',*,*,#229314,.F.); #324657=ORIENTED_EDGE('',*,*,#229329,.T.); #324658=ORIENTED_EDGE('',*,*,#229330,.F.); #324659=ORIENTED_EDGE('',*,*,#229331,.F.); #324660=ORIENTED_EDGE('',*,*,#229315,.F.); #324661=ORIENTED_EDGE('',*,*,#229331,.T.); #324662=ORIENTED_EDGE('',*,*,#229332,.F.); #324663=ORIENTED_EDGE('',*,*,#229333,.F.); #324664=ORIENTED_EDGE('',*,*,#229316,.F.); #324665=ORIENTED_EDGE('',*,*,#229333,.T.); #324666=ORIENTED_EDGE('',*,*,#229334,.F.); #324667=ORIENTED_EDGE('',*,*,#229335,.F.); #324668=ORIENTED_EDGE('',*,*,#229317,.F.); #324669=ORIENTED_EDGE('',*,*,#229335,.T.); #324670=ORIENTED_EDGE('',*,*,#229336,.F.); #324671=ORIENTED_EDGE('',*,*,#229337,.F.); #324672=ORIENTED_EDGE('',*,*,#229318,.F.); #324673=ORIENTED_EDGE('',*,*,#229337,.T.); #324674=ORIENTED_EDGE('',*,*,#229338,.F.); #324675=ORIENTED_EDGE('',*,*,#229339,.F.); #324676=ORIENTED_EDGE('',*,*,#229319,.F.); #324677=ORIENTED_EDGE('',*,*,#229339,.T.); #324678=ORIENTED_EDGE('',*,*,#229340,.F.); #324679=ORIENTED_EDGE('',*,*,#229341,.F.); #324680=ORIENTED_EDGE('',*,*,#229320,.F.); #324681=ORIENTED_EDGE('',*,*,#229341,.T.); #324682=ORIENTED_EDGE('',*,*,#229342,.F.); #324683=ORIENTED_EDGE('',*,*,#229343,.F.); #324684=ORIENTED_EDGE('',*,*,#229321,.F.); #324685=ORIENTED_EDGE('',*,*,#229343,.T.); #324686=ORIENTED_EDGE('',*,*,#229344,.F.); #324687=ORIENTED_EDGE('',*,*,#229345,.F.); #324688=ORIENTED_EDGE('',*,*,#229322,.F.); #324689=ORIENTED_EDGE('',*,*,#229345,.T.); #324690=ORIENTED_EDGE('',*,*,#229346,.F.); #324691=ORIENTED_EDGE('',*,*,#229323,.T.); #324692=ORIENTED_EDGE('',*,*,#229311,.F.); #324693=ORIENTED_EDGE('',*,*,#229346,.T.); #324694=ORIENTED_EDGE('',*,*,#229344,.T.); #324695=ORIENTED_EDGE('',*,*,#229342,.T.); #324696=ORIENTED_EDGE('',*,*,#229340,.T.); #324697=ORIENTED_EDGE('',*,*,#229338,.T.); #324698=ORIENTED_EDGE('',*,*,#229336,.T.); #324699=ORIENTED_EDGE('',*,*,#229334,.T.); #324700=ORIENTED_EDGE('',*,*,#229332,.T.); #324701=ORIENTED_EDGE('',*,*,#229330,.T.); #324702=ORIENTED_EDGE('',*,*,#229328,.T.); #324703=ORIENTED_EDGE('',*,*,#229326,.T.); #324704=ORIENTED_EDGE('',*,*,#229324,.T.); #324705=ORIENTED_EDGE('',*,*,#229347,.F.); #324706=ORIENTED_EDGE('',*,*,#229348,.F.); #324707=ORIENTED_EDGE('',*,*,#229349,.F.); #324708=ORIENTED_EDGE('',*,*,#229350,.F.); #324709=ORIENTED_EDGE('',*,*,#229351,.F.); #324710=ORIENTED_EDGE('',*,*,#229352,.F.); #324711=ORIENTED_EDGE('',*,*,#229353,.F.); #324712=ORIENTED_EDGE('',*,*,#229354,.F.); #324713=ORIENTED_EDGE('',*,*,#229355,.F.); #324714=ORIENTED_EDGE('',*,*,#229356,.F.); #324715=ORIENTED_EDGE('',*,*,#229357,.F.); #324716=ORIENTED_EDGE('',*,*,#229358,.F.); #324717=ORIENTED_EDGE('',*,*,#229359,.T.); #324718=ORIENTED_EDGE('',*,*,#229358,.T.); #324719=ORIENTED_EDGE('',*,*,#229360,.T.); #324720=ORIENTED_EDGE('',*,*,#229361,.T.); #324721=ORIENTED_EDGE('',*,*,#229360,.F.); #324722=ORIENTED_EDGE('',*,*,#229357,.T.); #324723=ORIENTED_EDGE('',*,*,#229362,.T.); #324724=ORIENTED_EDGE('',*,*,#229363,.T.); #324725=ORIENTED_EDGE('',*,*,#229362,.F.); #324726=ORIENTED_EDGE('',*,*,#229356,.T.); #324727=ORIENTED_EDGE('',*,*,#229364,.T.); #324728=ORIENTED_EDGE('',*,*,#229365,.T.); #324729=ORIENTED_EDGE('',*,*,#229364,.F.); #324730=ORIENTED_EDGE('',*,*,#229355,.T.); #324731=ORIENTED_EDGE('',*,*,#229366,.T.); #324732=ORIENTED_EDGE('',*,*,#229367,.T.); #324733=ORIENTED_EDGE('',*,*,#229366,.F.); #324734=ORIENTED_EDGE('',*,*,#229354,.T.); #324735=ORIENTED_EDGE('',*,*,#229368,.T.); #324736=ORIENTED_EDGE('',*,*,#229369,.T.); #324737=ORIENTED_EDGE('',*,*,#229368,.F.); #324738=ORIENTED_EDGE('',*,*,#229353,.T.); #324739=ORIENTED_EDGE('',*,*,#229370,.T.); #324740=ORIENTED_EDGE('',*,*,#229371,.T.); #324741=ORIENTED_EDGE('',*,*,#229370,.F.); #324742=ORIENTED_EDGE('',*,*,#229352,.T.); #324743=ORIENTED_EDGE('',*,*,#229372,.T.); #324744=ORIENTED_EDGE('',*,*,#229373,.T.); #324745=ORIENTED_EDGE('',*,*,#229372,.F.); #324746=ORIENTED_EDGE('',*,*,#229351,.T.); #324747=ORIENTED_EDGE('',*,*,#229374,.T.); #324748=ORIENTED_EDGE('',*,*,#229375,.T.); #324749=ORIENTED_EDGE('',*,*,#229374,.F.); #324750=ORIENTED_EDGE('',*,*,#229350,.T.); #324751=ORIENTED_EDGE('',*,*,#229376,.T.); #324752=ORIENTED_EDGE('',*,*,#229377,.T.); #324753=ORIENTED_EDGE('',*,*,#229376,.F.); #324754=ORIENTED_EDGE('',*,*,#229349,.T.); #324755=ORIENTED_EDGE('',*,*,#229378,.T.); #324756=ORIENTED_EDGE('',*,*,#229379,.T.); #324757=ORIENTED_EDGE('',*,*,#229378,.F.); #324758=ORIENTED_EDGE('',*,*,#229348,.T.); #324759=ORIENTED_EDGE('',*,*,#229380,.T.); #324760=ORIENTED_EDGE('',*,*,#229381,.T.); #324761=ORIENTED_EDGE('',*,*,#229380,.F.); #324762=ORIENTED_EDGE('',*,*,#229347,.T.); #324763=ORIENTED_EDGE('',*,*,#229359,.F.); #324764=ORIENTED_EDGE('',*,*,#229382,.T.); #324765=ORIENTED_EDGE('',*,*,#229382,.F.); #324766=ORIENTED_EDGE('',*,*,#229361,.F.); #324767=ORIENTED_EDGE('',*,*,#229363,.F.); #324768=ORIENTED_EDGE('',*,*,#229365,.F.); #324769=ORIENTED_EDGE('',*,*,#229367,.F.); #324770=ORIENTED_EDGE('',*,*,#229369,.F.); #324771=ORIENTED_EDGE('',*,*,#229371,.F.); #324772=ORIENTED_EDGE('',*,*,#229373,.F.); #324773=ORIENTED_EDGE('',*,*,#229375,.F.); #324774=ORIENTED_EDGE('',*,*,#229377,.F.); #324775=ORIENTED_EDGE('',*,*,#229379,.F.); #324776=ORIENTED_EDGE('',*,*,#229381,.F.); #324777=ORIENTED_EDGE('',*,*,#229383,.T.); #324778=ORIENTED_EDGE('',*,*,#229384,.T.); #324779=ORIENTED_EDGE('',*,*,#229385,.T.); #324780=ORIENTED_EDGE('',*,*,#229386,.T.); #324781=ORIENTED_EDGE('',*,*,#229387,.T.); #324782=ORIENTED_EDGE('',*,*,#229388,.T.); #324783=ORIENTED_EDGE('',*,*,#229389,.T.); #324784=ORIENTED_EDGE('',*,*,#229390,.T.); #324785=ORIENTED_EDGE('',*,*,#229391,.T.); #324786=ORIENTED_EDGE('',*,*,#229392,.T.); #324787=ORIENTED_EDGE('',*,*,#229393,.T.); #324788=ORIENTED_EDGE('',*,*,#229394,.T.); #324789=ORIENTED_EDGE('',*,*,#229395,.F.); #324790=ORIENTED_EDGE('',*,*,#229396,.F.); #324791=ORIENTED_EDGE('',*,*,#229397,.F.); #324792=ORIENTED_EDGE('',*,*,#229384,.F.); #324793=ORIENTED_EDGE('',*,*,#229397,.T.); #324794=ORIENTED_EDGE('',*,*,#229398,.F.); #324795=ORIENTED_EDGE('',*,*,#229399,.F.); #324796=ORIENTED_EDGE('',*,*,#229385,.F.); #324797=ORIENTED_EDGE('',*,*,#229399,.T.); #324798=ORIENTED_EDGE('',*,*,#229400,.F.); #324799=ORIENTED_EDGE('',*,*,#229401,.F.); #324800=ORIENTED_EDGE('',*,*,#229386,.F.); #324801=ORIENTED_EDGE('',*,*,#229401,.T.); #324802=ORIENTED_EDGE('',*,*,#229402,.F.); #324803=ORIENTED_EDGE('',*,*,#229403,.F.); #324804=ORIENTED_EDGE('',*,*,#229387,.F.); #324805=ORIENTED_EDGE('',*,*,#229403,.T.); #324806=ORIENTED_EDGE('',*,*,#229404,.F.); #324807=ORIENTED_EDGE('',*,*,#229405,.F.); #324808=ORIENTED_EDGE('',*,*,#229388,.F.); #324809=ORIENTED_EDGE('',*,*,#229405,.T.); #324810=ORIENTED_EDGE('',*,*,#229406,.F.); #324811=ORIENTED_EDGE('',*,*,#229407,.F.); #324812=ORIENTED_EDGE('',*,*,#229389,.F.); #324813=ORIENTED_EDGE('',*,*,#229407,.T.); #324814=ORIENTED_EDGE('',*,*,#229408,.F.); #324815=ORIENTED_EDGE('',*,*,#229409,.F.); #324816=ORIENTED_EDGE('',*,*,#229390,.F.); #324817=ORIENTED_EDGE('',*,*,#229409,.T.); #324818=ORIENTED_EDGE('',*,*,#229410,.F.); #324819=ORIENTED_EDGE('',*,*,#229411,.F.); #324820=ORIENTED_EDGE('',*,*,#229391,.F.); #324821=ORIENTED_EDGE('',*,*,#229411,.T.); #324822=ORIENTED_EDGE('',*,*,#229412,.F.); #324823=ORIENTED_EDGE('',*,*,#229413,.F.); #324824=ORIENTED_EDGE('',*,*,#229392,.F.); #324825=ORIENTED_EDGE('',*,*,#229413,.T.); #324826=ORIENTED_EDGE('',*,*,#229414,.F.); #324827=ORIENTED_EDGE('',*,*,#229415,.F.); #324828=ORIENTED_EDGE('',*,*,#229393,.F.); #324829=ORIENTED_EDGE('',*,*,#229415,.T.); #324830=ORIENTED_EDGE('',*,*,#229416,.F.); #324831=ORIENTED_EDGE('',*,*,#229417,.F.); #324832=ORIENTED_EDGE('',*,*,#229394,.F.); #324833=ORIENTED_EDGE('',*,*,#229417,.T.); #324834=ORIENTED_EDGE('',*,*,#229418,.F.); #324835=ORIENTED_EDGE('',*,*,#229395,.T.); #324836=ORIENTED_EDGE('',*,*,#229383,.F.); #324837=ORIENTED_EDGE('',*,*,#229418,.T.); #324838=ORIENTED_EDGE('',*,*,#229416,.T.); #324839=ORIENTED_EDGE('',*,*,#229414,.T.); #324840=ORIENTED_EDGE('',*,*,#229412,.T.); #324841=ORIENTED_EDGE('',*,*,#229410,.T.); #324842=ORIENTED_EDGE('',*,*,#229408,.T.); #324843=ORIENTED_EDGE('',*,*,#229406,.T.); #324844=ORIENTED_EDGE('',*,*,#229404,.T.); #324845=ORIENTED_EDGE('',*,*,#229402,.T.); #324846=ORIENTED_EDGE('',*,*,#229400,.T.); #324847=ORIENTED_EDGE('',*,*,#229398,.T.); #324848=ORIENTED_EDGE('',*,*,#229396,.T.); #324849=ORIENTED_EDGE('',*,*,#229419,.T.); #324850=ORIENTED_EDGE('',*,*,#229420,.T.); #324851=ORIENTED_EDGE('',*,*,#229421,.T.); #324852=ORIENTED_EDGE('',*,*,#229422,.T.); #324853=ORIENTED_EDGE('',*,*,#229423,.T.); #324854=ORIENTED_EDGE('',*,*,#229424,.T.); #324855=ORIENTED_EDGE('',*,*,#229425,.T.); #324856=ORIENTED_EDGE('',*,*,#229426,.T.); #324857=ORIENTED_EDGE('',*,*,#229427,.T.); #324858=ORIENTED_EDGE('',*,*,#229428,.T.); #324859=ORIENTED_EDGE('',*,*,#229429,.T.); #324860=ORIENTED_EDGE('',*,*,#229430,.T.); #324861=ORIENTED_EDGE('',*,*,#229431,.F.); #324862=ORIENTED_EDGE('',*,*,#229432,.F.); #324863=ORIENTED_EDGE('',*,*,#229433,.F.); #324864=ORIENTED_EDGE('',*,*,#229420,.F.); #324865=ORIENTED_EDGE('',*,*,#229433,.T.); #324866=ORIENTED_EDGE('',*,*,#229434,.F.); #324867=ORIENTED_EDGE('',*,*,#229435,.F.); #324868=ORIENTED_EDGE('',*,*,#229421,.F.); #324869=ORIENTED_EDGE('',*,*,#229435,.T.); #324870=ORIENTED_EDGE('',*,*,#229436,.F.); #324871=ORIENTED_EDGE('',*,*,#229437,.F.); #324872=ORIENTED_EDGE('',*,*,#229422,.F.); #324873=ORIENTED_EDGE('',*,*,#229437,.T.); #324874=ORIENTED_EDGE('',*,*,#229438,.F.); #324875=ORIENTED_EDGE('',*,*,#229439,.F.); #324876=ORIENTED_EDGE('',*,*,#229423,.F.); #324877=ORIENTED_EDGE('',*,*,#229439,.T.); #324878=ORIENTED_EDGE('',*,*,#229440,.F.); #324879=ORIENTED_EDGE('',*,*,#229441,.F.); #324880=ORIENTED_EDGE('',*,*,#229424,.F.); #324881=ORIENTED_EDGE('',*,*,#229441,.T.); #324882=ORIENTED_EDGE('',*,*,#229442,.F.); #324883=ORIENTED_EDGE('',*,*,#229443,.F.); #324884=ORIENTED_EDGE('',*,*,#229425,.F.); #324885=ORIENTED_EDGE('',*,*,#229443,.T.); #324886=ORIENTED_EDGE('',*,*,#229444,.F.); #324887=ORIENTED_EDGE('',*,*,#229445,.F.); #324888=ORIENTED_EDGE('',*,*,#229426,.F.); #324889=ORIENTED_EDGE('',*,*,#229445,.T.); #324890=ORIENTED_EDGE('',*,*,#229446,.F.); #324891=ORIENTED_EDGE('',*,*,#229447,.F.); #324892=ORIENTED_EDGE('',*,*,#229427,.F.); #324893=ORIENTED_EDGE('',*,*,#229447,.T.); #324894=ORIENTED_EDGE('',*,*,#229448,.F.); #324895=ORIENTED_EDGE('',*,*,#229449,.F.); #324896=ORIENTED_EDGE('',*,*,#229428,.F.); #324897=ORIENTED_EDGE('',*,*,#229449,.T.); #324898=ORIENTED_EDGE('',*,*,#229450,.F.); #324899=ORIENTED_EDGE('',*,*,#229451,.F.); #324900=ORIENTED_EDGE('',*,*,#229429,.F.); #324901=ORIENTED_EDGE('',*,*,#229451,.T.); #324902=ORIENTED_EDGE('',*,*,#229452,.F.); #324903=ORIENTED_EDGE('',*,*,#229453,.F.); #324904=ORIENTED_EDGE('',*,*,#229430,.F.); #324905=ORIENTED_EDGE('',*,*,#229453,.T.); #324906=ORIENTED_EDGE('',*,*,#229454,.F.); #324907=ORIENTED_EDGE('',*,*,#229431,.T.); #324908=ORIENTED_EDGE('',*,*,#229419,.F.); #324909=ORIENTED_EDGE('',*,*,#229454,.T.); #324910=ORIENTED_EDGE('',*,*,#229452,.T.); #324911=ORIENTED_EDGE('',*,*,#229450,.T.); #324912=ORIENTED_EDGE('',*,*,#229448,.T.); #324913=ORIENTED_EDGE('',*,*,#229446,.T.); #324914=ORIENTED_EDGE('',*,*,#229444,.T.); #324915=ORIENTED_EDGE('',*,*,#229442,.T.); #324916=ORIENTED_EDGE('',*,*,#229440,.T.); #324917=ORIENTED_EDGE('',*,*,#229438,.T.); #324918=ORIENTED_EDGE('',*,*,#229436,.T.); #324919=ORIENTED_EDGE('',*,*,#229434,.T.); #324920=ORIENTED_EDGE('',*,*,#229432,.T.); #324921=ORIENTED_EDGE('',*,*,#229455,.T.); #324922=ORIENTED_EDGE('',*,*,#229456,.T.); #324923=ORIENTED_EDGE('',*,*,#229457,.T.); #324924=ORIENTED_EDGE('',*,*,#229458,.T.); #324925=ORIENTED_EDGE('',*,*,#229459,.T.); #324926=ORIENTED_EDGE('',*,*,#229460,.T.); #324927=ORIENTED_EDGE('',*,*,#229461,.T.); #324928=ORIENTED_EDGE('',*,*,#229462,.T.); #324929=ORIENTED_EDGE('',*,*,#229463,.T.); #324930=ORIENTED_EDGE('',*,*,#229464,.T.); #324931=ORIENTED_EDGE('',*,*,#229465,.T.); #324932=ORIENTED_EDGE('',*,*,#229466,.T.); #324933=ORIENTED_EDGE('',*,*,#229467,.F.); #324934=ORIENTED_EDGE('',*,*,#229468,.F.); #324935=ORIENTED_EDGE('',*,*,#229469,.F.); #324936=ORIENTED_EDGE('',*,*,#229456,.F.); #324937=ORIENTED_EDGE('',*,*,#229469,.T.); #324938=ORIENTED_EDGE('',*,*,#229470,.F.); #324939=ORIENTED_EDGE('',*,*,#229471,.F.); #324940=ORIENTED_EDGE('',*,*,#229457,.F.); #324941=ORIENTED_EDGE('',*,*,#229471,.T.); #324942=ORIENTED_EDGE('',*,*,#229472,.F.); #324943=ORIENTED_EDGE('',*,*,#229473,.F.); #324944=ORIENTED_EDGE('',*,*,#229458,.F.); #324945=ORIENTED_EDGE('',*,*,#229473,.T.); #324946=ORIENTED_EDGE('',*,*,#229474,.F.); #324947=ORIENTED_EDGE('',*,*,#229475,.F.); #324948=ORIENTED_EDGE('',*,*,#229459,.F.); #324949=ORIENTED_EDGE('',*,*,#229475,.T.); #324950=ORIENTED_EDGE('',*,*,#229476,.F.); #324951=ORIENTED_EDGE('',*,*,#229477,.F.); #324952=ORIENTED_EDGE('',*,*,#229460,.F.); #324953=ORIENTED_EDGE('',*,*,#229477,.T.); #324954=ORIENTED_EDGE('',*,*,#229478,.F.); #324955=ORIENTED_EDGE('',*,*,#229479,.F.); #324956=ORIENTED_EDGE('',*,*,#229461,.F.); #324957=ORIENTED_EDGE('',*,*,#229479,.T.); #324958=ORIENTED_EDGE('',*,*,#229480,.F.); #324959=ORIENTED_EDGE('',*,*,#229481,.F.); #324960=ORIENTED_EDGE('',*,*,#229462,.F.); #324961=ORIENTED_EDGE('',*,*,#229481,.T.); #324962=ORIENTED_EDGE('',*,*,#229482,.F.); #324963=ORIENTED_EDGE('',*,*,#229483,.F.); #324964=ORIENTED_EDGE('',*,*,#229463,.F.); #324965=ORIENTED_EDGE('',*,*,#229483,.T.); #324966=ORIENTED_EDGE('',*,*,#229484,.F.); #324967=ORIENTED_EDGE('',*,*,#229485,.F.); #324968=ORIENTED_EDGE('',*,*,#229464,.F.); #324969=ORIENTED_EDGE('',*,*,#229485,.T.); #324970=ORIENTED_EDGE('',*,*,#229486,.F.); #324971=ORIENTED_EDGE('',*,*,#229487,.F.); #324972=ORIENTED_EDGE('',*,*,#229465,.F.); #324973=ORIENTED_EDGE('',*,*,#229487,.T.); #324974=ORIENTED_EDGE('',*,*,#229488,.F.); #324975=ORIENTED_EDGE('',*,*,#229489,.F.); #324976=ORIENTED_EDGE('',*,*,#229466,.F.); #324977=ORIENTED_EDGE('',*,*,#229489,.T.); #324978=ORIENTED_EDGE('',*,*,#229490,.F.); #324979=ORIENTED_EDGE('',*,*,#229467,.T.); #324980=ORIENTED_EDGE('',*,*,#229455,.F.); #324981=ORIENTED_EDGE('',*,*,#229490,.T.); #324982=ORIENTED_EDGE('',*,*,#229488,.T.); #324983=ORIENTED_EDGE('',*,*,#229486,.T.); #324984=ORIENTED_EDGE('',*,*,#229484,.T.); #324985=ORIENTED_EDGE('',*,*,#229482,.T.); #324986=ORIENTED_EDGE('',*,*,#229480,.T.); #324987=ORIENTED_EDGE('',*,*,#229478,.T.); #324988=ORIENTED_EDGE('',*,*,#229476,.T.); #324989=ORIENTED_EDGE('',*,*,#229474,.T.); #324990=ORIENTED_EDGE('',*,*,#229472,.T.); #324991=ORIENTED_EDGE('',*,*,#229470,.T.); #324992=ORIENTED_EDGE('',*,*,#229468,.T.); #324993=ORIENTED_EDGE('',*,*,#229491,.F.); #324994=ORIENTED_EDGE('',*,*,#229492,.F.); #324995=ORIENTED_EDGE('',*,*,#229493,.F.); #324996=ORIENTED_EDGE('',*,*,#229494,.F.); #324997=ORIENTED_EDGE('',*,*,#229495,.F.); #324998=ORIENTED_EDGE('',*,*,#229496,.F.); #324999=ORIENTED_EDGE('',*,*,#229497,.F.); #325000=ORIENTED_EDGE('',*,*,#229498,.F.); #325001=ORIENTED_EDGE('',*,*,#229499,.F.); #325002=ORIENTED_EDGE('',*,*,#229500,.F.); #325003=ORIENTED_EDGE('',*,*,#229501,.F.); #325004=ORIENTED_EDGE('',*,*,#229502,.F.); #325005=ORIENTED_EDGE('',*,*,#229503,.T.); #325006=ORIENTED_EDGE('',*,*,#229502,.T.); #325007=ORIENTED_EDGE('',*,*,#229504,.T.); #325008=ORIENTED_EDGE('',*,*,#229505,.T.); #325009=ORIENTED_EDGE('',*,*,#229504,.F.); #325010=ORIENTED_EDGE('',*,*,#229501,.T.); #325011=ORIENTED_EDGE('',*,*,#229506,.T.); #325012=ORIENTED_EDGE('',*,*,#229507,.T.); #325013=ORIENTED_EDGE('',*,*,#229506,.F.); #325014=ORIENTED_EDGE('',*,*,#229500,.T.); #325015=ORIENTED_EDGE('',*,*,#229508,.T.); #325016=ORIENTED_EDGE('',*,*,#229509,.T.); #325017=ORIENTED_EDGE('',*,*,#229508,.F.); #325018=ORIENTED_EDGE('',*,*,#229499,.T.); #325019=ORIENTED_EDGE('',*,*,#229510,.T.); #325020=ORIENTED_EDGE('',*,*,#229511,.T.); #325021=ORIENTED_EDGE('',*,*,#229510,.F.); #325022=ORIENTED_EDGE('',*,*,#229498,.T.); #325023=ORIENTED_EDGE('',*,*,#229512,.T.); #325024=ORIENTED_EDGE('',*,*,#229513,.T.); #325025=ORIENTED_EDGE('',*,*,#229512,.F.); #325026=ORIENTED_EDGE('',*,*,#229497,.T.); #325027=ORIENTED_EDGE('',*,*,#229514,.T.); #325028=ORIENTED_EDGE('',*,*,#229515,.T.); #325029=ORIENTED_EDGE('',*,*,#229514,.F.); #325030=ORIENTED_EDGE('',*,*,#229496,.T.); #325031=ORIENTED_EDGE('',*,*,#229516,.T.); #325032=ORIENTED_EDGE('',*,*,#229517,.T.); #325033=ORIENTED_EDGE('',*,*,#229516,.F.); #325034=ORIENTED_EDGE('',*,*,#229495,.T.); #325035=ORIENTED_EDGE('',*,*,#229518,.T.); #325036=ORIENTED_EDGE('',*,*,#229519,.T.); #325037=ORIENTED_EDGE('',*,*,#229518,.F.); #325038=ORIENTED_EDGE('',*,*,#229494,.T.); #325039=ORIENTED_EDGE('',*,*,#229520,.T.); #325040=ORIENTED_EDGE('',*,*,#229521,.T.); #325041=ORIENTED_EDGE('',*,*,#229520,.F.); #325042=ORIENTED_EDGE('',*,*,#229493,.T.); #325043=ORIENTED_EDGE('',*,*,#229522,.T.); #325044=ORIENTED_EDGE('',*,*,#229523,.T.); #325045=ORIENTED_EDGE('',*,*,#229522,.F.); #325046=ORIENTED_EDGE('',*,*,#229492,.T.); #325047=ORIENTED_EDGE('',*,*,#229524,.T.); #325048=ORIENTED_EDGE('',*,*,#229525,.T.); #325049=ORIENTED_EDGE('',*,*,#229524,.F.); #325050=ORIENTED_EDGE('',*,*,#229491,.T.); #325051=ORIENTED_EDGE('',*,*,#229503,.F.); #325052=ORIENTED_EDGE('',*,*,#229526,.T.); #325053=ORIENTED_EDGE('',*,*,#229526,.F.); #325054=ORIENTED_EDGE('',*,*,#229505,.F.); #325055=ORIENTED_EDGE('',*,*,#229507,.F.); #325056=ORIENTED_EDGE('',*,*,#229509,.F.); #325057=ORIENTED_EDGE('',*,*,#229511,.F.); #325058=ORIENTED_EDGE('',*,*,#229513,.F.); #325059=ORIENTED_EDGE('',*,*,#229515,.F.); #325060=ORIENTED_EDGE('',*,*,#229517,.F.); #325061=ORIENTED_EDGE('',*,*,#229519,.F.); #325062=ORIENTED_EDGE('',*,*,#229521,.F.); #325063=ORIENTED_EDGE('',*,*,#229523,.F.); #325064=ORIENTED_EDGE('',*,*,#229525,.F.); #325065=ORIENTED_EDGE('',*,*,#229527,.F.); #325066=ORIENTED_EDGE('',*,*,#229528,.F.); #325067=ORIENTED_EDGE('',*,*,#229529,.F.); #325068=ORIENTED_EDGE('',*,*,#229530,.F.); #325069=ORIENTED_EDGE('',*,*,#229531,.F.); #325070=ORIENTED_EDGE('',*,*,#229532,.F.); #325071=ORIENTED_EDGE('',*,*,#229533,.F.); #325072=ORIENTED_EDGE('',*,*,#229534,.F.); #325073=ORIENTED_EDGE('',*,*,#229535,.F.); #325074=ORIENTED_EDGE('',*,*,#229536,.F.); #325075=ORIENTED_EDGE('',*,*,#229537,.F.); #325076=ORIENTED_EDGE('',*,*,#229538,.F.); #325077=ORIENTED_EDGE('',*,*,#229539,.T.); #325078=ORIENTED_EDGE('',*,*,#229538,.T.); #325079=ORIENTED_EDGE('',*,*,#229540,.T.); #325080=ORIENTED_EDGE('',*,*,#229541,.T.); #325081=ORIENTED_EDGE('',*,*,#229540,.F.); #325082=ORIENTED_EDGE('',*,*,#229537,.T.); #325083=ORIENTED_EDGE('',*,*,#229542,.T.); #325084=ORIENTED_EDGE('',*,*,#229543,.T.); #325085=ORIENTED_EDGE('',*,*,#229542,.F.); #325086=ORIENTED_EDGE('',*,*,#229536,.T.); #325087=ORIENTED_EDGE('',*,*,#229544,.T.); #325088=ORIENTED_EDGE('',*,*,#229545,.T.); #325089=ORIENTED_EDGE('',*,*,#229544,.F.); #325090=ORIENTED_EDGE('',*,*,#229535,.T.); #325091=ORIENTED_EDGE('',*,*,#229546,.T.); #325092=ORIENTED_EDGE('',*,*,#229547,.T.); #325093=ORIENTED_EDGE('',*,*,#229546,.F.); #325094=ORIENTED_EDGE('',*,*,#229534,.T.); #325095=ORIENTED_EDGE('',*,*,#229548,.T.); #325096=ORIENTED_EDGE('',*,*,#229549,.T.); #325097=ORIENTED_EDGE('',*,*,#229548,.F.); #325098=ORIENTED_EDGE('',*,*,#229533,.T.); #325099=ORIENTED_EDGE('',*,*,#229550,.T.); #325100=ORIENTED_EDGE('',*,*,#229551,.T.); #325101=ORIENTED_EDGE('',*,*,#229550,.F.); #325102=ORIENTED_EDGE('',*,*,#229532,.T.); #325103=ORIENTED_EDGE('',*,*,#229552,.T.); #325104=ORIENTED_EDGE('',*,*,#229553,.T.); #325105=ORIENTED_EDGE('',*,*,#229552,.F.); #325106=ORIENTED_EDGE('',*,*,#229531,.T.); #325107=ORIENTED_EDGE('',*,*,#229554,.T.); #325108=ORIENTED_EDGE('',*,*,#229555,.T.); #325109=ORIENTED_EDGE('',*,*,#229554,.F.); #325110=ORIENTED_EDGE('',*,*,#229530,.T.); #325111=ORIENTED_EDGE('',*,*,#229556,.T.); #325112=ORIENTED_EDGE('',*,*,#229557,.T.); #325113=ORIENTED_EDGE('',*,*,#229556,.F.); #325114=ORIENTED_EDGE('',*,*,#229529,.T.); #325115=ORIENTED_EDGE('',*,*,#229558,.T.); #325116=ORIENTED_EDGE('',*,*,#229559,.T.); #325117=ORIENTED_EDGE('',*,*,#229558,.F.); #325118=ORIENTED_EDGE('',*,*,#229528,.T.); #325119=ORIENTED_EDGE('',*,*,#229560,.T.); #325120=ORIENTED_EDGE('',*,*,#229561,.T.); #325121=ORIENTED_EDGE('',*,*,#229560,.F.); #325122=ORIENTED_EDGE('',*,*,#229527,.T.); #325123=ORIENTED_EDGE('',*,*,#229539,.F.); #325124=ORIENTED_EDGE('',*,*,#229562,.T.); #325125=ORIENTED_EDGE('',*,*,#229562,.F.); #325126=ORIENTED_EDGE('',*,*,#229541,.F.); #325127=ORIENTED_EDGE('',*,*,#229543,.F.); #325128=ORIENTED_EDGE('',*,*,#229545,.F.); #325129=ORIENTED_EDGE('',*,*,#229547,.F.); #325130=ORIENTED_EDGE('',*,*,#229549,.F.); #325131=ORIENTED_EDGE('',*,*,#229551,.F.); #325132=ORIENTED_EDGE('',*,*,#229553,.F.); #325133=ORIENTED_EDGE('',*,*,#229555,.F.); #325134=ORIENTED_EDGE('',*,*,#229557,.F.); #325135=ORIENTED_EDGE('',*,*,#229559,.F.); #325136=ORIENTED_EDGE('',*,*,#229561,.F.); #325137=ORIENTED_EDGE('',*,*,#229563,.F.); #325138=ORIENTED_EDGE('',*,*,#229564,.F.); #325139=ORIENTED_EDGE('',*,*,#229565,.F.); #325140=ORIENTED_EDGE('',*,*,#229566,.F.); #325141=ORIENTED_EDGE('',*,*,#229567,.F.); #325142=ORIENTED_EDGE('',*,*,#229568,.F.); #325143=ORIENTED_EDGE('',*,*,#229569,.F.); #325144=ORIENTED_EDGE('',*,*,#229570,.F.); #325145=ORIENTED_EDGE('',*,*,#229571,.F.); #325146=ORIENTED_EDGE('',*,*,#229572,.F.); #325147=ORIENTED_EDGE('',*,*,#229573,.F.); #325148=ORIENTED_EDGE('',*,*,#229574,.F.); #325149=ORIENTED_EDGE('',*,*,#229575,.T.); #325150=ORIENTED_EDGE('',*,*,#229574,.T.); #325151=ORIENTED_EDGE('',*,*,#229576,.T.); #325152=ORIENTED_EDGE('',*,*,#229577,.T.); #325153=ORIENTED_EDGE('',*,*,#229576,.F.); #325154=ORIENTED_EDGE('',*,*,#229573,.T.); #325155=ORIENTED_EDGE('',*,*,#229578,.T.); #325156=ORIENTED_EDGE('',*,*,#229579,.T.); #325157=ORIENTED_EDGE('',*,*,#229578,.F.); #325158=ORIENTED_EDGE('',*,*,#229572,.T.); #325159=ORIENTED_EDGE('',*,*,#229580,.T.); #325160=ORIENTED_EDGE('',*,*,#229581,.T.); #325161=ORIENTED_EDGE('',*,*,#229580,.F.); #325162=ORIENTED_EDGE('',*,*,#229571,.T.); #325163=ORIENTED_EDGE('',*,*,#229582,.T.); #325164=ORIENTED_EDGE('',*,*,#229583,.T.); #325165=ORIENTED_EDGE('',*,*,#229582,.F.); #325166=ORIENTED_EDGE('',*,*,#229570,.T.); #325167=ORIENTED_EDGE('',*,*,#229584,.T.); #325168=ORIENTED_EDGE('',*,*,#229585,.T.); #325169=ORIENTED_EDGE('',*,*,#229584,.F.); #325170=ORIENTED_EDGE('',*,*,#229569,.T.); #325171=ORIENTED_EDGE('',*,*,#229586,.T.); #325172=ORIENTED_EDGE('',*,*,#229587,.T.); #325173=ORIENTED_EDGE('',*,*,#229586,.F.); #325174=ORIENTED_EDGE('',*,*,#229568,.T.); #325175=ORIENTED_EDGE('',*,*,#229588,.T.); #325176=ORIENTED_EDGE('',*,*,#229589,.T.); #325177=ORIENTED_EDGE('',*,*,#229588,.F.); #325178=ORIENTED_EDGE('',*,*,#229567,.T.); #325179=ORIENTED_EDGE('',*,*,#229590,.T.); #325180=ORIENTED_EDGE('',*,*,#229591,.T.); #325181=ORIENTED_EDGE('',*,*,#229590,.F.); #325182=ORIENTED_EDGE('',*,*,#229566,.T.); #325183=ORIENTED_EDGE('',*,*,#229592,.T.); #325184=ORIENTED_EDGE('',*,*,#229593,.T.); #325185=ORIENTED_EDGE('',*,*,#229592,.F.); #325186=ORIENTED_EDGE('',*,*,#229565,.T.); #325187=ORIENTED_EDGE('',*,*,#229594,.T.); #325188=ORIENTED_EDGE('',*,*,#229595,.T.); #325189=ORIENTED_EDGE('',*,*,#229594,.F.); #325190=ORIENTED_EDGE('',*,*,#229564,.T.); #325191=ORIENTED_EDGE('',*,*,#229596,.T.); #325192=ORIENTED_EDGE('',*,*,#229597,.T.); #325193=ORIENTED_EDGE('',*,*,#229596,.F.); #325194=ORIENTED_EDGE('',*,*,#229563,.T.); #325195=ORIENTED_EDGE('',*,*,#229575,.F.); #325196=ORIENTED_EDGE('',*,*,#229598,.T.); #325197=ORIENTED_EDGE('',*,*,#229598,.F.); #325198=ORIENTED_EDGE('',*,*,#229577,.F.); #325199=ORIENTED_EDGE('',*,*,#229579,.F.); #325200=ORIENTED_EDGE('',*,*,#229581,.F.); #325201=ORIENTED_EDGE('',*,*,#229583,.F.); #325202=ORIENTED_EDGE('',*,*,#229585,.F.); #325203=ORIENTED_EDGE('',*,*,#229587,.F.); #325204=ORIENTED_EDGE('',*,*,#229589,.F.); #325205=ORIENTED_EDGE('',*,*,#229591,.F.); #325206=ORIENTED_EDGE('',*,*,#229593,.F.); #325207=ORIENTED_EDGE('',*,*,#229595,.F.); #325208=ORIENTED_EDGE('',*,*,#229597,.F.); #325209=ORIENTED_EDGE('',*,*,#229599,.F.); #325210=ORIENTED_EDGE('',*,*,#229600,.T.); #325211=ORIENTED_EDGE('',*,*,#229601,.T.); #325212=ORIENTED_EDGE('',*,*,#229600,.F.); #325213=ORIENTED_EDGE('',*,*,#229601,.F.); #325214=ORIENTED_EDGE('',*,*,#229602,.T.); #325215=ORIENTED_EDGE('',*,*,#229603,.T.); #325216=ORIENTED_EDGE('',*,*,#229604,.T.); #325217=ORIENTED_EDGE('',*,*,#229605,.F.); #325218=ORIENTED_EDGE('',*,*,#229606,.T.); #325219=ORIENTED_EDGE('',*,*,#229607,.T.); #325220=ORIENTED_EDGE('',*,*,#229608,.T.); #325221=ORIENTED_EDGE('',*,*,#229603,.F.); #325222=ORIENTED_EDGE('',*,*,#229609,.T.); #325223=ORIENTED_EDGE('',*,*,#229610,.T.); #325224=ORIENTED_EDGE('',*,*,#229611,.T.); #325225=ORIENTED_EDGE('',*,*,#229607,.F.); #325226=ORIENTED_EDGE('',*,*,#229612,.T.); #325227=ORIENTED_EDGE('',*,*,#229610,.F.); #325228=ORIENTED_EDGE('',*,*,#229613,.T.); #325229=ORIENTED_EDGE('',*,*,#229605,.T.); #325230=ORIENTED_EDGE('',*,*,#229612,.F.); #325231=ORIENTED_EDGE('',*,*,#229614,.F.); #325232=ORIENTED_EDGE('',*,*,#229615,.F.); #325233=ORIENTED_EDGE('',*,*,#229616,.T.); #325234=ORIENTED_EDGE('',*,*,#229604,.F.); #325235=ORIENTED_EDGE('',*,*,#229617,.F.); #325236=ORIENTED_EDGE('',*,*,#229618,.F.); #325237=ORIENTED_EDGE('',*,*,#229614,.T.); #325238=ORIENTED_EDGE('',*,*,#229602,.F.); #325239=ORIENTED_EDGE('',*,*,#229613,.F.); #325240=ORIENTED_EDGE('',*,*,#229609,.F.); #325241=ORIENTED_EDGE('',*,*,#229606,.F.); #325242=ORIENTED_EDGE('',*,*,#229599,.T.); #325243=ORIENTED_EDGE('',*,*,#229619,.T.); #325244=ORIENTED_EDGE('',*,*,#229620,.T.); #325245=ORIENTED_EDGE('',*,*,#229621,.T.); #325246=ORIENTED_EDGE('',*,*,#229622,.T.); #325247=ORIENTED_EDGE('',*,*,#229623,.T.); #325248=ORIENTED_EDGE('',*,*,#229620,.F.); #325249=ORIENTED_EDGE('',*,*,#229615,.T.); #325250=ORIENTED_EDGE('',*,*,#229624,.F.); #325251=ORIENTED_EDGE('',*,*,#229625,.T.); #325252=ORIENTED_EDGE('',*,*,#229622,.F.); #325253=ORIENTED_EDGE('',*,*,#229626,.T.); #325254=ORIENTED_EDGE('',*,*,#229627,.T.); #325255=ORIENTED_EDGE('',*,*,#229618,.T.); #325256=ORIENTED_EDGE('',*,*,#229627,.F.); #325257=ORIENTED_EDGE('',*,*,#229628,.T.); #325258=ORIENTED_EDGE('',*,*,#229624,.T.); #325259=ORIENTED_EDGE('',*,*,#229611,.F.); #325260=ORIENTED_EDGE('',*,*,#229616,.F.); #325261=ORIENTED_EDGE('',*,*,#229619,.F.); #325262=ORIENTED_EDGE('',*,*,#229629,.T.); #325263=ORIENTED_EDGE('',*,*,#229621,.F.); #325264=ORIENTED_EDGE('',*,*,#229623,.F.); #325265=ORIENTED_EDGE('',*,*,#229628,.F.); #325266=ORIENTED_EDGE('',*,*,#229626,.F.); #325267=ORIENTED_EDGE('',*,*,#229608,.F.); #325268=ORIENTED_EDGE('',*,*,#229629,.F.); #325269=ORIENTED_EDGE('',*,*,#229625,.F.); #325270=ORIENTED_EDGE('',*,*,#229617,.T.); #325271=ORIENTED_EDGE('',*,*,#229630,.T.); #325272=ORIENTED_EDGE('',*,*,#229631,.T.); #325273=ORIENTED_EDGE('',*,*,#229632,.T.); #325274=ORIENTED_EDGE('',*,*,#229633,.T.); #325275=ORIENTED_EDGE('',*,*,#229634,.T.); #325276=ORIENTED_EDGE('',*,*,#229635,.T.); #325277=ORIENTED_EDGE('',*,*,#229636,.T.); #325278=ORIENTED_EDGE('',*,*,#229637,.T.); #325279=ORIENTED_EDGE('',*,*,#229638,.T.); #325280=ORIENTED_EDGE('',*,*,#229639,.T.); #325281=ORIENTED_EDGE('',*,*,#229640,.T.); #325282=ORIENTED_EDGE('',*,*,#229641,.T.); #325283=ORIENTED_EDGE('',*,*,#229642,.F.); #325284=ORIENTED_EDGE('',*,*,#229643,.F.); #325285=ORIENTED_EDGE('',*,*,#229644,.T.); #325286=ORIENTED_EDGE('',*,*,#229631,.F.); #325287=ORIENTED_EDGE('',*,*,#229644,.F.); #325288=ORIENTED_EDGE('',*,*,#229645,.F.); #325289=ORIENTED_EDGE('',*,*,#229646,.T.); #325290=ORIENTED_EDGE('',*,*,#229632,.F.); #325291=ORIENTED_EDGE('',*,*,#229646,.F.); #325292=ORIENTED_EDGE('',*,*,#229647,.F.); #325293=ORIENTED_EDGE('',*,*,#229648,.T.); #325294=ORIENTED_EDGE('',*,*,#229633,.F.); #325295=ORIENTED_EDGE('',*,*,#229648,.F.); #325296=ORIENTED_EDGE('',*,*,#229649,.F.); #325297=ORIENTED_EDGE('',*,*,#229650,.T.); #325298=ORIENTED_EDGE('',*,*,#229634,.F.); #325299=ORIENTED_EDGE('',*,*,#229650,.F.); #325300=ORIENTED_EDGE('',*,*,#229651,.F.); #325301=ORIENTED_EDGE('',*,*,#229652,.T.); #325302=ORIENTED_EDGE('',*,*,#229635,.F.); #325303=ORIENTED_EDGE('',*,*,#229652,.F.); #325304=ORIENTED_EDGE('',*,*,#229653,.F.); #325305=ORIENTED_EDGE('',*,*,#229654,.T.); #325306=ORIENTED_EDGE('',*,*,#229636,.F.); #325307=ORIENTED_EDGE('',*,*,#229654,.F.); #325308=ORIENTED_EDGE('',*,*,#229655,.F.); #325309=ORIENTED_EDGE('',*,*,#229656,.T.); #325310=ORIENTED_EDGE('',*,*,#229637,.F.); #325311=ORIENTED_EDGE('',*,*,#229656,.F.); #325312=ORIENTED_EDGE('',*,*,#229657,.F.); #325313=ORIENTED_EDGE('',*,*,#229658,.T.); #325314=ORIENTED_EDGE('',*,*,#229638,.F.); #325315=ORIENTED_EDGE('',*,*,#229658,.F.); #325316=ORIENTED_EDGE('',*,*,#229659,.F.); #325317=ORIENTED_EDGE('',*,*,#229660,.T.); #325318=ORIENTED_EDGE('',*,*,#229639,.F.); #325319=ORIENTED_EDGE('',*,*,#229660,.F.); #325320=ORIENTED_EDGE('',*,*,#229661,.F.); #325321=ORIENTED_EDGE('',*,*,#229662,.T.); #325322=ORIENTED_EDGE('',*,*,#229640,.F.); #325323=ORIENTED_EDGE('',*,*,#229662,.F.); #325324=ORIENTED_EDGE('',*,*,#229663,.F.); #325325=ORIENTED_EDGE('',*,*,#229664,.F.); #325326=ORIENTED_EDGE('',*,*,#229641,.F.); #325327=ORIENTED_EDGE('',*,*,#229664,.T.); #325328=ORIENTED_EDGE('',*,*,#229665,.F.); #325329=ORIENTED_EDGE('',*,*,#229642,.T.); #325330=ORIENTED_EDGE('',*,*,#229630,.F.); #325331=ORIENTED_EDGE('',*,*,#229665,.T.); #325332=ORIENTED_EDGE('',*,*,#229663,.T.); #325333=ORIENTED_EDGE('',*,*,#229661,.T.); #325334=ORIENTED_EDGE('',*,*,#229659,.T.); #325335=ORIENTED_EDGE('',*,*,#229657,.T.); #325336=ORIENTED_EDGE('',*,*,#229655,.T.); #325337=ORIENTED_EDGE('',*,*,#229653,.T.); #325338=ORIENTED_EDGE('',*,*,#229651,.T.); #325339=ORIENTED_EDGE('',*,*,#229649,.T.); #325340=ORIENTED_EDGE('',*,*,#229647,.T.); #325341=ORIENTED_EDGE('',*,*,#229645,.T.); #325342=ORIENTED_EDGE('',*,*,#229643,.T.); #325343=ORIENTED_EDGE('',*,*,#229666,.T.); #325344=ORIENTED_EDGE('',*,*,#229667,.T.); #325345=ORIENTED_EDGE('',*,*,#229668,.T.); #325346=ORIENTED_EDGE('',*,*,#229669,.T.); #325347=ORIENTED_EDGE('',*,*,#229670,.T.); #325348=ORIENTED_EDGE('',*,*,#229671,.T.); #325349=ORIENTED_EDGE('',*,*,#229672,.T.); #325350=ORIENTED_EDGE('',*,*,#229673,.T.); #325351=ORIENTED_EDGE('',*,*,#229674,.T.); #325352=ORIENTED_EDGE('',*,*,#229675,.T.); #325353=ORIENTED_EDGE('',*,*,#229676,.T.); #325354=ORIENTED_EDGE('',*,*,#229677,.T.); #325355=ORIENTED_EDGE('',*,*,#229678,.F.); #325356=ORIENTED_EDGE('',*,*,#229679,.F.); #325357=ORIENTED_EDGE('',*,*,#229680,.T.); #325358=ORIENTED_EDGE('',*,*,#229667,.F.); #325359=ORIENTED_EDGE('',*,*,#229680,.F.); #325360=ORIENTED_EDGE('',*,*,#229681,.F.); #325361=ORIENTED_EDGE('',*,*,#229682,.T.); #325362=ORIENTED_EDGE('',*,*,#229668,.F.); #325363=ORIENTED_EDGE('',*,*,#229682,.F.); #325364=ORIENTED_EDGE('',*,*,#229683,.F.); #325365=ORIENTED_EDGE('',*,*,#229684,.T.); #325366=ORIENTED_EDGE('',*,*,#229669,.F.); #325367=ORIENTED_EDGE('',*,*,#229684,.F.); #325368=ORIENTED_EDGE('',*,*,#229685,.F.); #325369=ORIENTED_EDGE('',*,*,#229686,.T.); #325370=ORIENTED_EDGE('',*,*,#229670,.F.); #325371=ORIENTED_EDGE('',*,*,#229686,.F.); #325372=ORIENTED_EDGE('',*,*,#229687,.F.); #325373=ORIENTED_EDGE('',*,*,#229688,.T.); #325374=ORIENTED_EDGE('',*,*,#229671,.F.); #325375=ORIENTED_EDGE('',*,*,#229688,.F.); #325376=ORIENTED_EDGE('',*,*,#229689,.F.); #325377=ORIENTED_EDGE('',*,*,#229690,.T.); #325378=ORIENTED_EDGE('',*,*,#229672,.F.); #325379=ORIENTED_EDGE('',*,*,#229690,.F.); #325380=ORIENTED_EDGE('',*,*,#229691,.F.); #325381=ORIENTED_EDGE('',*,*,#229692,.T.); #325382=ORIENTED_EDGE('',*,*,#229673,.F.); #325383=ORIENTED_EDGE('',*,*,#229692,.F.); #325384=ORIENTED_EDGE('',*,*,#229693,.F.); #325385=ORIENTED_EDGE('',*,*,#229694,.T.); #325386=ORIENTED_EDGE('',*,*,#229674,.F.); #325387=ORIENTED_EDGE('',*,*,#229694,.F.); #325388=ORIENTED_EDGE('',*,*,#229695,.F.); #325389=ORIENTED_EDGE('',*,*,#229696,.T.); #325390=ORIENTED_EDGE('',*,*,#229675,.F.); #325391=ORIENTED_EDGE('',*,*,#229696,.F.); #325392=ORIENTED_EDGE('',*,*,#229697,.F.); #325393=ORIENTED_EDGE('',*,*,#229698,.T.); #325394=ORIENTED_EDGE('',*,*,#229676,.F.); #325395=ORIENTED_EDGE('',*,*,#229698,.F.); #325396=ORIENTED_EDGE('',*,*,#229699,.F.); #325397=ORIENTED_EDGE('',*,*,#229700,.F.); #325398=ORIENTED_EDGE('',*,*,#229677,.F.); #325399=ORIENTED_EDGE('',*,*,#229700,.T.); #325400=ORIENTED_EDGE('',*,*,#229701,.F.); #325401=ORIENTED_EDGE('',*,*,#229678,.T.); #325402=ORIENTED_EDGE('',*,*,#229666,.F.); #325403=ORIENTED_EDGE('',*,*,#229701,.T.); #325404=ORIENTED_EDGE('',*,*,#229699,.T.); #325405=ORIENTED_EDGE('',*,*,#229697,.T.); #325406=ORIENTED_EDGE('',*,*,#229695,.T.); #325407=ORIENTED_EDGE('',*,*,#229693,.T.); #325408=ORIENTED_EDGE('',*,*,#229691,.T.); #325409=ORIENTED_EDGE('',*,*,#229689,.T.); #325410=ORIENTED_EDGE('',*,*,#229687,.T.); #325411=ORIENTED_EDGE('',*,*,#229685,.T.); #325412=ORIENTED_EDGE('',*,*,#229683,.T.); #325413=ORIENTED_EDGE('',*,*,#229681,.T.); #325414=ORIENTED_EDGE('',*,*,#229679,.T.); #325415=ORIENTED_EDGE('',*,*,#229702,.T.); #325416=ORIENTED_EDGE('',*,*,#229703,.T.); #325417=ORIENTED_EDGE('',*,*,#229704,.T.); #325418=ORIENTED_EDGE('',*,*,#229705,.T.); #325419=ORIENTED_EDGE('',*,*,#229706,.T.); #325420=ORIENTED_EDGE('',*,*,#229707,.T.); #325421=ORIENTED_EDGE('',*,*,#229708,.T.); #325422=ORIENTED_EDGE('',*,*,#229709,.T.); #325423=ORIENTED_EDGE('',*,*,#229710,.T.); #325424=ORIENTED_EDGE('',*,*,#229711,.T.); #325425=ORIENTED_EDGE('',*,*,#229712,.T.); #325426=ORIENTED_EDGE('',*,*,#229713,.T.); #325427=ORIENTED_EDGE('',*,*,#229714,.F.); #325428=ORIENTED_EDGE('',*,*,#229715,.F.); #325429=ORIENTED_EDGE('',*,*,#229716,.T.); #325430=ORIENTED_EDGE('',*,*,#229703,.F.); #325431=ORIENTED_EDGE('',*,*,#229716,.F.); #325432=ORIENTED_EDGE('',*,*,#229717,.F.); #325433=ORIENTED_EDGE('',*,*,#229718,.T.); #325434=ORIENTED_EDGE('',*,*,#229704,.F.); #325435=ORIENTED_EDGE('',*,*,#229718,.F.); #325436=ORIENTED_EDGE('',*,*,#229719,.F.); #325437=ORIENTED_EDGE('',*,*,#229720,.T.); #325438=ORIENTED_EDGE('',*,*,#229705,.F.); #325439=ORIENTED_EDGE('',*,*,#229720,.F.); #325440=ORIENTED_EDGE('',*,*,#229721,.F.); #325441=ORIENTED_EDGE('',*,*,#229722,.T.); #325442=ORIENTED_EDGE('',*,*,#229706,.F.); #325443=ORIENTED_EDGE('',*,*,#229722,.F.); #325444=ORIENTED_EDGE('',*,*,#229723,.F.); #325445=ORIENTED_EDGE('',*,*,#229724,.T.); #325446=ORIENTED_EDGE('',*,*,#229707,.F.); #325447=ORIENTED_EDGE('',*,*,#229724,.F.); #325448=ORIENTED_EDGE('',*,*,#229725,.F.); #325449=ORIENTED_EDGE('',*,*,#229726,.T.); #325450=ORIENTED_EDGE('',*,*,#229708,.F.); #325451=ORIENTED_EDGE('',*,*,#229726,.F.); #325452=ORIENTED_EDGE('',*,*,#229727,.F.); #325453=ORIENTED_EDGE('',*,*,#229728,.T.); #325454=ORIENTED_EDGE('',*,*,#229709,.F.); #325455=ORIENTED_EDGE('',*,*,#229728,.F.); #325456=ORIENTED_EDGE('',*,*,#229729,.F.); #325457=ORIENTED_EDGE('',*,*,#229730,.T.); #325458=ORIENTED_EDGE('',*,*,#229710,.F.); #325459=ORIENTED_EDGE('',*,*,#229730,.F.); #325460=ORIENTED_EDGE('',*,*,#229731,.F.); #325461=ORIENTED_EDGE('',*,*,#229732,.T.); #325462=ORIENTED_EDGE('',*,*,#229711,.F.); #325463=ORIENTED_EDGE('',*,*,#229732,.F.); #325464=ORIENTED_EDGE('',*,*,#229733,.F.); #325465=ORIENTED_EDGE('',*,*,#229734,.T.); #325466=ORIENTED_EDGE('',*,*,#229712,.F.); #325467=ORIENTED_EDGE('',*,*,#229734,.F.); #325468=ORIENTED_EDGE('',*,*,#229735,.F.); #325469=ORIENTED_EDGE('',*,*,#229736,.F.); #325470=ORIENTED_EDGE('',*,*,#229713,.F.); #325471=ORIENTED_EDGE('',*,*,#229736,.T.); #325472=ORIENTED_EDGE('',*,*,#229737,.F.); #325473=ORIENTED_EDGE('',*,*,#229714,.T.); #325474=ORIENTED_EDGE('',*,*,#229702,.F.); #325475=ORIENTED_EDGE('',*,*,#229737,.T.); #325476=ORIENTED_EDGE('',*,*,#229735,.T.); #325477=ORIENTED_EDGE('',*,*,#229733,.T.); #325478=ORIENTED_EDGE('',*,*,#229731,.T.); #325479=ORIENTED_EDGE('',*,*,#229729,.T.); #325480=ORIENTED_EDGE('',*,*,#229727,.T.); #325481=ORIENTED_EDGE('',*,*,#229725,.T.); #325482=ORIENTED_EDGE('',*,*,#229723,.T.); #325483=ORIENTED_EDGE('',*,*,#229721,.T.); #325484=ORIENTED_EDGE('',*,*,#229719,.T.); #325485=ORIENTED_EDGE('',*,*,#229717,.T.); #325486=ORIENTED_EDGE('',*,*,#229715,.T.); #325487=ORIENTED_EDGE('',*,*,#229738,.T.); #325488=ORIENTED_EDGE('',*,*,#229739,.T.); #325489=ORIENTED_EDGE('',*,*,#229740,.T.); #325490=ORIENTED_EDGE('',*,*,#229741,.T.); #325491=ORIENTED_EDGE('',*,*,#229742,.T.); #325492=ORIENTED_EDGE('',*,*,#229743,.T.); #325493=ORIENTED_EDGE('',*,*,#229744,.T.); #325494=ORIENTED_EDGE('',*,*,#229745,.T.); #325495=ORIENTED_EDGE('',*,*,#229746,.T.); #325496=ORIENTED_EDGE('',*,*,#229747,.T.); #325497=ORIENTED_EDGE('',*,*,#229748,.T.); #325498=ORIENTED_EDGE('',*,*,#229749,.T.); #325499=ORIENTED_EDGE('',*,*,#229750,.F.); #325500=ORIENTED_EDGE('',*,*,#229751,.F.); #325501=ORIENTED_EDGE('',*,*,#229752,.T.); #325502=ORIENTED_EDGE('',*,*,#229739,.F.); #325503=ORIENTED_EDGE('',*,*,#229752,.F.); #325504=ORIENTED_EDGE('',*,*,#229753,.F.); #325505=ORIENTED_EDGE('',*,*,#229754,.T.); #325506=ORIENTED_EDGE('',*,*,#229740,.F.); #325507=ORIENTED_EDGE('',*,*,#229754,.F.); #325508=ORIENTED_EDGE('',*,*,#229755,.F.); #325509=ORIENTED_EDGE('',*,*,#229756,.T.); #325510=ORIENTED_EDGE('',*,*,#229741,.F.); #325511=ORIENTED_EDGE('',*,*,#229756,.F.); #325512=ORIENTED_EDGE('',*,*,#229757,.F.); #325513=ORIENTED_EDGE('',*,*,#229758,.T.); #325514=ORIENTED_EDGE('',*,*,#229742,.F.); #325515=ORIENTED_EDGE('',*,*,#229758,.F.); #325516=ORIENTED_EDGE('',*,*,#229759,.F.); #325517=ORIENTED_EDGE('',*,*,#229760,.T.); #325518=ORIENTED_EDGE('',*,*,#229743,.F.); #325519=ORIENTED_EDGE('',*,*,#229760,.F.); #325520=ORIENTED_EDGE('',*,*,#229761,.F.); #325521=ORIENTED_EDGE('',*,*,#229762,.T.); #325522=ORIENTED_EDGE('',*,*,#229744,.F.); #325523=ORIENTED_EDGE('',*,*,#229762,.F.); #325524=ORIENTED_EDGE('',*,*,#229763,.F.); #325525=ORIENTED_EDGE('',*,*,#229764,.T.); #325526=ORIENTED_EDGE('',*,*,#229745,.F.); #325527=ORIENTED_EDGE('',*,*,#229764,.F.); #325528=ORIENTED_EDGE('',*,*,#229765,.F.); #325529=ORIENTED_EDGE('',*,*,#229766,.T.); #325530=ORIENTED_EDGE('',*,*,#229746,.F.); #325531=ORIENTED_EDGE('',*,*,#229766,.F.); #325532=ORIENTED_EDGE('',*,*,#229767,.F.); #325533=ORIENTED_EDGE('',*,*,#229768,.T.); #325534=ORIENTED_EDGE('',*,*,#229747,.F.); #325535=ORIENTED_EDGE('',*,*,#229768,.F.); #325536=ORIENTED_EDGE('',*,*,#229769,.F.); #325537=ORIENTED_EDGE('',*,*,#229770,.T.); #325538=ORIENTED_EDGE('',*,*,#229748,.F.); #325539=ORIENTED_EDGE('',*,*,#229770,.F.); #325540=ORIENTED_EDGE('',*,*,#229771,.F.); #325541=ORIENTED_EDGE('',*,*,#229772,.F.); #325542=ORIENTED_EDGE('',*,*,#229749,.F.); #325543=ORIENTED_EDGE('',*,*,#229772,.T.); #325544=ORIENTED_EDGE('',*,*,#229773,.F.); #325545=ORIENTED_EDGE('',*,*,#229750,.T.); #325546=ORIENTED_EDGE('',*,*,#229738,.F.); #325547=ORIENTED_EDGE('',*,*,#229773,.T.); #325548=ORIENTED_EDGE('',*,*,#229771,.T.); #325549=ORIENTED_EDGE('',*,*,#229769,.T.); #325550=ORIENTED_EDGE('',*,*,#229767,.T.); #325551=ORIENTED_EDGE('',*,*,#229765,.T.); #325552=ORIENTED_EDGE('',*,*,#229763,.T.); #325553=ORIENTED_EDGE('',*,*,#229761,.T.); #325554=ORIENTED_EDGE('',*,*,#229759,.T.); #325555=ORIENTED_EDGE('',*,*,#229757,.T.); #325556=ORIENTED_EDGE('',*,*,#229755,.T.); #325557=ORIENTED_EDGE('',*,*,#229753,.T.); #325558=ORIENTED_EDGE('',*,*,#229751,.T.); #325559=ORIENTED_EDGE('',*,*,#229774,.T.); #325560=ORIENTED_EDGE('',*,*,#229775,.T.); #325561=ORIENTED_EDGE('',*,*,#229776,.T.); #325562=ORIENTED_EDGE('',*,*,#229777,.T.); #325563=ORIENTED_EDGE('',*,*,#229778,.T.); #325564=ORIENTED_EDGE('',*,*,#229779,.T.); #325565=ORIENTED_EDGE('',*,*,#229780,.T.); #325566=ORIENTED_EDGE('',*,*,#229781,.T.); #325567=ORIENTED_EDGE('',*,*,#229782,.T.); #325568=ORIENTED_EDGE('',*,*,#229783,.T.); #325569=ORIENTED_EDGE('',*,*,#229784,.T.); #325570=ORIENTED_EDGE('',*,*,#229785,.T.); #325571=ORIENTED_EDGE('',*,*,#229786,.F.); #325572=ORIENTED_EDGE('',*,*,#229787,.F.); #325573=ORIENTED_EDGE('',*,*,#229788,.T.); #325574=ORIENTED_EDGE('',*,*,#229775,.F.); #325575=ORIENTED_EDGE('',*,*,#229788,.F.); #325576=ORIENTED_EDGE('',*,*,#229789,.F.); #325577=ORIENTED_EDGE('',*,*,#229790,.T.); #325578=ORIENTED_EDGE('',*,*,#229776,.F.); #325579=ORIENTED_EDGE('',*,*,#229790,.F.); #325580=ORIENTED_EDGE('',*,*,#229791,.F.); #325581=ORIENTED_EDGE('',*,*,#229792,.T.); #325582=ORIENTED_EDGE('',*,*,#229777,.F.); #325583=ORIENTED_EDGE('',*,*,#229792,.F.); #325584=ORIENTED_EDGE('',*,*,#229793,.F.); #325585=ORIENTED_EDGE('',*,*,#229794,.T.); #325586=ORIENTED_EDGE('',*,*,#229778,.F.); #325587=ORIENTED_EDGE('',*,*,#229794,.F.); #325588=ORIENTED_EDGE('',*,*,#229795,.F.); #325589=ORIENTED_EDGE('',*,*,#229796,.T.); #325590=ORIENTED_EDGE('',*,*,#229779,.F.); #325591=ORIENTED_EDGE('',*,*,#229796,.F.); #325592=ORIENTED_EDGE('',*,*,#229797,.F.); #325593=ORIENTED_EDGE('',*,*,#229798,.T.); #325594=ORIENTED_EDGE('',*,*,#229780,.F.); #325595=ORIENTED_EDGE('',*,*,#229798,.F.); #325596=ORIENTED_EDGE('',*,*,#229799,.F.); #325597=ORIENTED_EDGE('',*,*,#229800,.T.); #325598=ORIENTED_EDGE('',*,*,#229781,.F.); #325599=ORIENTED_EDGE('',*,*,#229800,.F.); #325600=ORIENTED_EDGE('',*,*,#229801,.F.); #325601=ORIENTED_EDGE('',*,*,#229802,.T.); #325602=ORIENTED_EDGE('',*,*,#229782,.F.); #325603=ORIENTED_EDGE('',*,*,#229802,.F.); #325604=ORIENTED_EDGE('',*,*,#229803,.F.); #325605=ORIENTED_EDGE('',*,*,#229804,.T.); #325606=ORIENTED_EDGE('',*,*,#229783,.F.); #325607=ORIENTED_EDGE('',*,*,#229804,.F.); #325608=ORIENTED_EDGE('',*,*,#229805,.F.); #325609=ORIENTED_EDGE('',*,*,#229806,.T.); #325610=ORIENTED_EDGE('',*,*,#229784,.F.); #325611=ORIENTED_EDGE('',*,*,#229806,.F.); #325612=ORIENTED_EDGE('',*,*,#229807,.F.); #325613=ORIENTED_EDGE('',*,*,#229808,.F.); #325614=ORIENTED_EDGE('',*,*,#229785,.F.); #325615=ORIENTED_EDGE('',*,*,#229808,.T.); #325616=ORIENTED_EDGE('',*,*,#229809,.F.); #325617=ORIENTED_EDGE('',*,*,#229786,.T.); #325618=ORIENTED_EDGE('',*,*,#229774,.F.); #325619=ORIENTED_EDGE('',*,*,#229809,.T.); #325620=ORIENTED_EDGE('',*,*,#229807,.T.); #325621=ORIENTED_EDGE('',*,*,#229805,.T.); #325622=ORIENTED_EDGE('',*,*,#229803,.T.); #325623=ORIENTED_EDGE('',*,*,#229801,.T.); #325624=ORIENTED_EDGE('',*,*,#229799,.T.); #325625=ORIENTED_EDGE('',*,*,#229797,.T.); #325626=ORIENTED_EDGE('',*,*,#229795,.T.); #325627=ORIENTED_EDGE('',*,*,#229793,.T.); #325628=ORIENTED_EDGE('',*,*,#229791,.T.); #325629=ORIENTED_EDGE('',*,*,#229789,.T.); #325630=ORIENTED_EDGE('',*,*,#229787,.T.); #325631=ORIENTED_EDGE('',*,*,#229810,.F.); #325632=ORIENTED_EDGE('',*,*,#229811,.F.); #325633=ORIENTED_EDGE('',*,*,#229812,.F.); #325634=ORIENTED_EDGE('',*,*,#229813,.F.); #325635=ORIENTED_EDGE('',*,*,#229814,.F.); #325636=ORIENTED_EDGE('',*,*,#229815,.F.); #325637=ORIENTED_EDGE('',*,*,#229816,.F.); #325638=ORIENTED_EDGE('',*,*,#229817,.F.); #325639=ORIENTED_EDGE('',*,*,#229818,.F.); #325640=ORIENTED_EDGE('',*,*,#229819,.F.); #325641=ORIENTED_EDGE('',*,*,#229820,.F.); #325642=ORIENTED_EDGE('',*,*,#229821,.F.); #325643=ORIENTED_EDGE('',*,*,#229822,.F.); #325644=ORIENTED_EDGE('',*,*,#229823,.F.); #325645=ORIENTED_EDGE('',*,*,#229824,.F.); #325646=ORIENTED_EDGE('',*,*,#229823,.T.); #325647=ORIENTED_EDGE('',*,*,#229825,.T.); #325648=ORIENTED_EDGE('',*,*,#229818,.T.); #325649=ORIENTED_EDGE('',*,*,#229826,.T.); #325650=ORIENTED_EDGE('',*,*,#229827,.F.); #325651=ORIENTED_EDGE('',*,*,#229828,.F.); #325652=ORIENTED_EDGE('',*,*,#229829,.F.); #325653=ORIENTED_EDGE('',*,*,#229830,.F.); #325654=ORIENTED_EDGE('',*,*,#229831,.F.); #325655=ORIENTED_EDGE('',*,*,#229832,.F.); #325656=ORIENTED_EDGE('',*,*,#229817,.T.); #325657=ORIENTED_EDGE('',*,*,#229833,.T.); #325658=ORIENTED_EDGE('',*,*,#229831,.T.); #325659=ORIENTED_EDGE('',*,*,#229834,.T.); #325660=ORIENTED_EDGE('',*,*,#229820,.T.); #325661=ORIENTED_EDGE('',*,*,#229835,.T.); #325662=ORIENTED_EDGE('',*,*,#229832,.T.); #325663=ORIENTED_EDGE('',*,*,#229836,.T.); #325664=ORIENTED_EDGE('',*,*,#229837,.F.); #325665=ORIENTED_EDGE('',*,*,#229838,.F.); #325666=ORIENTED_EDGE('',*,*,#229839,.F.); #325667=ORIENTED_EDGE('',*,*,#229824,.T.); #325668=ORIENTED_EDGE('',*,*,#229840,.T.); #325669=ORIENTED_EDGE('',*,*,#229838,.T.); #325670=ORIENTED_EDGE('',*,*,#229841,.T.); #325671=ORIENTED_EDGE('',*,*,#229830,.T.); #325672=ORIENTED_EDGE('',*,*,#229842,.T.); #325673=ORIENTED_EDGE('',*,*,#229839,.T.); #325674=ORIENTED_EDGE('',*,*,#229843,.T.); #325675=ORIENTED_EDGE('',*,*,#229828,.T.); #325676=ORIENTED_EDGE('',*,*,#229844,.T.); #325677=ORIENTED_EDGE('',*,*,#229837,.T.); #325678=ORIENTED_EDGE('',*,*,#229845,.T.); #325679=ORIENTED_EDGE('',*,*,#229819,.T.); #325680=ORIENTED_EDGE('',*,*,#229846,.T.); #325681=ORIENTED_EDGE('',*,*,#229829,.T.); #325682=ORIENTED_EDGE('',*,*,#229847,.T.); #325683=ORIENTED_EDGE('',*,*,#229827,.T.); #325684=ORIENTED_EDGE('',*,*,#229848,.T.); #325685=ORIENTED_EDGE('',*,*,#229815,.T.); #325686=ORIENTED_EDGE('',*,*,#229849,.T.); #325687=ORIENTED_EDGE('',*,*,#229814,.T.); #325688=ORIENTED_EDGE('',*,*,#229850,.T.); #325689=ORIENTED_EDGE('',*,*,#229822,.T.); #325690=ORIENTED_EDGE('',*,*,#229851,.T.); #325691=ORIENTED_EDGE('',*,*,#229810,.T.); #325692=ORIENTED_EDGE('',*,*,#229852,.T.); #325693=ORIENTED_EDGE('',*,*,#229821,.T.); #325694=ORIENTED_EDGE('',*,*,#229853,.T.); #325695=ORIENTED_EDGE('',*,*,#229811,.T.); #325696=ORIENTED_EDGE('',*,*,#229854,.T.); #325697=ORIENTED_EDGE('',*,*,#229816,.T.); #325698=ORIENTED_EDGE('',*,*,#229855,.T.); #325699=ORIENTED_EDGE('',*,*,#229813,.T.); #325700=ORIENTED_EDGE('',*,*,#229856,.T.); #325701=ORIENTED_EDGE('',*,*,#229812,.T.); #325702=ORIENTED_EDGE('',*,*,#229857,.T.); #325703=ORIENTED_EDGE('',*,*,#229825,.F.); #325704=ORIENTED_EDGE('',*,*,#229850,.F.); #325705=ORIENTED_EDGE('',*,*,#229857,.F.); #325706=ORIENTED_EDGE('',*,*,#229855,.F.); #325707=ORIENTED_EDGE('',*,*,#229826,.F.); #325708=ORIENTED_EDGE('',*,*,#229834,.F.); #325709=ORIENTED_EDGE('',*,*,#229843,.F.); #325710=ORIENTED_EDGE('',*,*,#229840,.F.); #325711=ORIENTED_EDGE('',*,*,#229833,.F.); #325712=ORIENTED_EDGE('',*,*,#229854,.F.); #325713=ORIENTED_EDGE('',*,*,#229853,.F.); #325714=ORIENTED_EDGE('',*,*,#229836,.F.); #325715=ORIENTED_EDGE('',*,*,#229835,.F.); #325716=ORIENTED_EDGE('',*,*,#229847,.F.); #325717=ORIENTED_EDGE('',*,*,#229845,.F.); #325718=ORIENTED_EDGE('',*,*,#229842,.F.); #325719=ORIENTED_EDGE('',*,*,#229841,.F.); #325720=ORIENTED_EDGE('',*,*,#229844,.F.); #325721=ORIENTED_EDGE('',*,*,#229849,.F.); #325722=ORIENTED_EDGE('',*,*,#229851,.F.); #325723=ORIENTED_EDGE('',*,*,#229846,.F.); #325724=ORIENTED_EDGE('',*,*,#229852,.F.); #325725=ORIENTED_EDGE('',*,*,#229856,.F.); #325726=ORIENTED_EDGE('',*,*,#229848,.F.); #325727=ORIENTED_EDGE('',*,*,#229858,.F.); #325728=ORIENTED_EDGE('',*,*,#229859,.F.); #325729=ORIENTED_EDGE('',*,*,#229860,.F.); #325730=ORIENTED_EDGE('',*,*,#229861,.F.); #325731=ORIENTED_EDGE('',*,*,#229862,.F.); #325732=ORIENTED_EDGE('',*,*,#229863,.F.); #325733=ORIENTED_EDGE('',*,*,#229864,.F.); #325734=ORIENTED_EDGE('',*,*,#229865,.F.); #325735=ORIENTED_EDGE('',*,*,#229866,.F.); #325736=ORIENTED_EDGE('',*,*,#229867,.F.); #325737=ORIENTED_EDGE('',*,*,#229868,.F.); #325738=ORIENTED_EDGE('',*,*,#229869,.F.); #325739=ORIENTED_EDGE('',*,*,#229870,.F.); #325740=ORIENTED_EDGE('',*,*,#229871,.F.); #325741=ORIENTED_EDGE('',*,*,#229872,.F.); #325742=ORIENTED_EDGE('',*,*,#229871,.T.); #325743=ORIENTED_EDGE('',*,*,#229873,.T.); #325744=ORIENTED_EDGE('',*,*,#229866,.T.); #325745=ORIENTED_EDGE('',*,*,#229874,.T.); #325746=ORIENTED_EDGE('',*,*,#229875,.F.); #325747=ORIENTED_EDGE('',*,*,#229876,.F.); #325748=ORIENTED_EDGE('',*,*,#229877,.F.); #325749=ORIENTED_EDGE('',*,*,#229878,.F.); #325750=ORIENTED_EDGE('',*,*,#229879,.F.); #325751=ORIENTED_EDGE('',*,*,#229880,.F.); #325752=ORIENTED_EDGE('',*,*,#229865,.T.); #325753=ORIENTED_EDGE('',*,*,#229881,.T.); #325754=ORIENTED_EDGE('',*,*,#229879,.T.); #325755=ORIENTED_EDGE('',*,*,#229882,.T.); #325756=ORIENTED_EDGE('',*,*,#229868,.T.); #325757=ORIENTED_EDGE('',*,*,#229883,.T.); #325758=ORIENTED_EDGE('',*,*,#229880,.T.); #325759=ORIENTED_EDGE('',*,*,#229884,.T.); #325760=ORIENTED_EDGE('',*,*,#229885,.F.); #325761=ORIENTED_EDGE('',*,*,#229886,.F.); #325762=ORIENTED_EDGE('',*,*,#229887,.F.); #325763=ORIENTED_EDGE('',*,*,#229886,.T.); #325764=ORIENTED_EDGE('',*,*,#229888,.T.); #325765=ORIENTED_EDGE('',*,*,#229872,.T.); #325766=ORIENTED_EDGE('',*,*,#229889,.T.); #325767=ORIENTED_EDGE('',*,*,#229878,.T.); #325768=ORIENTED_EDGE('',*,*,#229890,.T.); #325769=ORIENTED_EDGE('',*,*,#229887,.T.); #325770=ORIENTED_EDGE('',*,*,#229891,.T.); #325771=ORIENTED_EDGE('',*,*,#229876,.T.); #325772=ORIENTED_EDGE('',*,*,#229892,.T.); #325773=ORIENTED_EDGE('',*,*,#229885,.T.); #325774=ORIENTED_EDGE('',*,*,#229893,.T.); #325775=ORIENTED_EDGE('',*,*,#229867,.T.); #325776=ORIENTED_EDGE('',*,*,#229894,.T.); #325777=ORIENTED_EDGE('',*,*,#229877,.T.); #325778=ORIENTED_EDGE('',*,*,#229895,.T.); #325779=ORIENTED_EDGE('',*,*,#229875,.T.); #325780=ORIENTED_EDGE('',*,*,#229896,.T.); #325781=ORIENTED_EDGE('',*,*,#229863,.T.); #325782=ORIENTED_EDGE('',*,*,#229897,.T.); #325783=ORIENTED_EDGE('',*,*,#229862,.T.); #325784=ORIENTED_EDGE('',*,*,#229898,.T.); #325785=ORIENTED_EDGE('',*,*,#229870,.T.); #325786=ORIENTED_EDGE('',*,*,#229899,.T.); #325787=ORIENTED_EDGE('',*,*,#229858,.T.); #325788=ORIENTED_EDGE('',*,*,#229900,.T.); #325789=ORIENTED_EDGE('',*,*,#229869,.T.); #325790=ORIENTED_EDGE('',*,*,#229901,.T.); #325791=ORIENTED_EDGE('',*,*,#229859,.T.); #325792=ORIENTED_EDGE('',*,*,#229902,.T.); #325793=ORIENTED_EDGE('',*,*,#229864,.T.); #325794=ORIENTED_EDGE('',*,*,#229903,.T.); #325795=ORIENTED_EDGE('',*,*,#229861,.T.); #325796=ORIENTED_EDGE('',*,*,#229904,.T.); #325797=ORIENTED_EDGE('',*,*,#229860,.T.); #325798=ORIENTED_EDGE('',*,*,#229905,.T.); #325799=ORIENTED_EDGE('',*,*,#229873,.F.); #325800=ORIENTED_EDGE('',*,*,#229898,.F.); #325801=ORIENTED_EDGE('',*,*,#229905,.F.); #325802=ORIENTED_EDGE('',*,*,#229903,.F.); #325803=ORIENTED_EDGE('',*,*,#229874,.F.); #325804=ORIENTED_EDGE('',*,*,#229882,.F.); #325805=ORIENTED_EDGE('',*,*,#229891,.F.); #325806=ORIENTED_EDGE('',*,*,#229889,.F.); #325807=ORIENTED_EDGE('',*,*,#229881,.F.); #325808=ORIENTED_EDGE('',*,*,#229902,.F.); #325809=ORIENTED_EDGE('',*,*,#229901,.F.); #325810=ORIENTED_EDGE('',*,*,#229884,.F.); #325811=ORIENTED_EDGE('',*,*,#229883,.F.); #325812=ORIENTED_EDGE('',*,*,#229895,.F.); #325813=ORIENTED_EDGE('',*,*,#229893,.F.); #325814=ORIENTED_EDGE('',*,*,#229890,.F.); #325815=ORIENTED_EDGE('',*,*,#229888,.F.); #325816=ORIENTED_EDGE('',*,*,#229892,.F.); #325817=ORIENTED_EDGE('',*,*,#229897,.F.); #325818=ORIENTED_EDGE('',*,*,#229899,.F.); #325819=ORIENTED_EDGE('',*,*,#229894,.F.); #325820=ORIENTED_EDGE('',*,*,#229900,.F.); #325821=ORIENTED_EDGE('',*,*,#229904,.F.); #325822=ORIENTED_EDGE('',*,*,#229896,.F.); #325823=ORIENTED_EDGE('',*,*,#229906,.F.); #325824=ORIENTED_EDGE('',*,*,#229907,.T.); #325825=ORIENTED_EDGE('',*,*,#229908,.F.); #325826=ORIENTED_EDGE('',*,*,#229909,.F.); #325827=ORIENTED_EDGE('',*,*,#229910,.T.); #325828=ORIENTED_EDGE('',*,*,#229909,.T.); #325829=ORIENTED_EDGE('',*,*,#229911,.F.); #325830=ORIENTED_EDGE('',*,*,#229912,.F.); #325831=ORIENTED_EDGE('',*,*,#229913,.T.); #325832=ORIENTED_EDGE('',*,*,#229912,.T.); #325833=ORIENTED_EDGE('',*,*,#229914,.F.); #325834=ORIENTED_EDGE('',*,*,#229915,.F.); #325835=ORIENTED_EDGE('',*,*,#229916,.T.); #325836=ORIENTED_EDGE('',*,*,#229915,.T.); #325837=ORIENTED_EDGE('',*,*,#229917,.F.); #325838=ORIENTED_EDGE('',*,*,#229907,.F.); #325839=ORIENTED_EDGE('',*,*,#229917,.T.); #325840=ORIENTED_EDGE('',*,*,#229914,.T.); #325841=ORIENTED_EDGE('',*,*,#229911,.T.); #325842=ORIENTED_EDGE('',*,*,#229908,.T.); #325843=ORIENTED_EDGE('',*,*,#229916,.F.); #325844=ORIENTED_EDGE('',*,*,#229906,.T.); #325845=ORIENTED_EDGE('',*,*,#229910,.F.); #325846=ORIENTED_EDGE('',*,*,#229913,.F.); #325847=ORIENTED_EDGE('',*,*,#229918,.F.); #325848=ORIENTED_EDGE('',*,*,#229919,.T.); #325849=ORIENTED_EDGE('',*,*,#229920,.F.); #325850=ORIENTED_EDGE('',*,*,#229919,.F.); #325851=ORIENTED_EDGE('',*,*,#229921,.F.); #325852=ORIENTED_EDGE('',*,*,#229922,.T.); #325853=ORIENTED_EDGE('',*,*,#229923,.F.); #325854=ORIENTED_EDGE('',*,*,#229922,.F.); #325855=ORIENTED_EDGE('',*,*,#229924,.T.); #325856=ORIENTED_EDGE('',*,*,#229925,.T.); #325857=ORIENTED_EDGE('',*,*,#229926,.F.); #325858=ORIENTED_EDGE('',*,*,#229927,.F.); #325859=ORIENTED_EDGE('',*,*,#229928,.T.); #325860=ORIENTED_EDGE('',*,*,#229927,.T.); #325861=ORIENTED_EDGE('',*,*,#229929,.F.); #325862=ORIENTED_EDGE('',*,*,#229930,.F.); #325863=ORIENTED_EDGE('',*,*,#229931,.T.); #325864=ORIENTED_EDGE('',*,*,#229930,.T.); #325865=ORIENTED_EDGE('',*,*,#229932,.F.); #325866=ORIENTED_EDGE('',*,*,#229933,.F.); #325867=ORIENTED_EDGE('',*,*,#229934,.T.); #325868=ORIENTED_EDGE('',*,*,#229933,.T.); #325869=ORIENTED_EDGE('',*,*,#229935,.F.); #325870=ORIENTED_EDGE('',*,*,#229936,.F.); #325871=ORIENTED_EDGE('',*,*,#229937,.T.); #325872=ORIENTED_EDGE('',*,*,#229936,.T.); #325873=ORIENTED_EDGE('',*,*,#229938,.F.); #325874=ORIENTED_EDGE('',*,*,#229939,.F.); #325875=ORIENTED_EDGE('',*,*,#229940,.T.); #325876=ORIENTED_EDGE('',*,*,#229939,.T.); #325877=ORIENTED_EDGE('',*,*,#229941,.F.); #325878=ORIENTED_EDGE('',*,*,#229942,.F.); #325879=ORIENTED_EDGE('',*,*,#229943,.T.); #325880=ORIENTED_EDGE('',*,*,#229942,.T.); #325881=ORIENTED_EDGE('',*,*,#229944,.F.); #325882=ORIENTED_EDGE('',*,*,#229945,.F.); #325883=ORIENTED_EDGE('',*,*,#229946,.T.); #325884=ORIENTED_EDGE('',*,*,#229945,.T.); #325885=ORIENTED_EDGE('',*,*,#229947,.F.); #325886=ORIENTED_EDGE('',*,*,#229948,.F.); #325887=ORIENTED_EDGE('',*,*,#229949,.T.); #325888=ORIENTED_EDGE('',*,*,#229948,.T.); #325889=ORIENTED_EDGE('',*,*,#229950,.F.); #325890=ORIENTED_EDGE('',*,*,#229951,.F.); #325891=ORIENTED_EDGE('',*,*,#229952,.T.); #325892=ORIENTED_EDGE('',*,*,#229951,.T.); #325893=ORIENTED_EDGE('',*,*,#229953,.F.); #325894=ORIENTED_EDGE('',*,*,#229954,.F.); #325895=ORIENTED_EDGE('',*,*,#229955,.T.); #325896=ORIENTED_EDGE('',*,*,#229954,.T.); #325897=ORIENTED_EDGE('',*,*,#229956,.F.); #325898=ORIENTED_EDGE('',*,*,#229957,.F.); #325899=ORIENTED_EDGE('',*,*,#229958,.T.); #325900=ORIENTED_EDGE('',*,*,#229957,.T.); #325901=ORIENTED_EDGE('',*,*,#229959,.F.); #325902=ORIENTED_EDGE('',*,*,#229925,.F.); #325903=ORIENTED_EDGE('',*,*,#229959,.T.); #325904=ORIENTED_EDGE('',*,*,#229956,.T.); #325905=ORIENTED_EDGE('',*,*,#229953,.T.); #325906=ORIENTED_EDGE('',*,*,#229950,.T.); #325907=ORIENTED_EDGE('',*,*,#229947,.T.); #325908=ORIENTED_EDGE('',*,*,#229944,.T.); #325909=ORIENTED_EDGE('',*,*,#229941,.T.); #325910=ORIENTED_EDGE('',*,*,#229938,.T.); #325911=ORIENTED_EDGE('',*,*,#229935,.T.); #325912=ORIENTED_EDGE('',*,*,#229932,.T.); #325913=ORIENTED_EDGE('',*,*,#229929,.T.); #325914=ORIENTED_EDGE('',*,*,#229926,.T.); #325915=ORIENTED_EDGE('',*,*,#229918,.T.); #325916=ORIENTED_EDGE('',*,*,#229921,.T.); #325917=ORIENTED_EDGE('',*,*,#229958,.F.); #325918=ORIENTED_EDGE('',*,*,#229924,.F.); #325919=ORIENTED_EDGE('',*,*,#229928,.F.); #325920=ORIENTED_EDGE('',*,*,#229931,.F.); #325921=ORIENTED_EDGE('',*,*,#229934,.F.); #325922=ORIENTED_EDGE('',*,*,#229937,.F.); #325923=ORIENTED_EDGE('',*,*,#229940,.F.); #325924=ORIENTED_EDGE('',*,*,#229943,.F.); #325925=ORIENTED_EDGE('',*,*,#229946,.F.); #325926=ORIENTED_EDGE('',*,*,#229949,.F.); #325927=ORIENTED_EDGE('',*,*,#229952,.F.); #325928=ORIENTED_EDGE('',*,*,#229955,.F.); #325929=ORIENTED_EDGE('',*,*,#229920,.T.); #325930=ORIENTED_EDGE('',*,*,#229923,.T.); #325931=ORIENTED_EDGE('',*,*,#229960,.F.); #325932=ORIENTED_EDGE('',*,*,#229961,.T.); #325933=ORIENTED_EDGE('',*,*,#229962,.F.); #325934=ORIENTED_EDGE('',*,*,#229961,.F.); #325935=ORIENTED_EDGE('',*,*,#229963,.F.); #325936=ORIENTED_EDGE('',*,*,#229964,.T.); #325937=ORIENTED_EDGE('',*,*,#229965,.F.); #325938=ORIENTED_EDGE('',*,*,#229964,.F.); #325939=ORIENTED_EDGE('',*,*,#229966,.T.); #325940=ORIENTED_EDGE('',*,*,#229967,.T.); #325941=ORIENTED_EDGE('',*,*,#229968,.F.); #325942=ORIENTED_EDGE('',*,*,#229969,.F.); #325943=ORIENTED_EDGE('',*,*,#229970,.T.); #325944=ORIENTED_EDGE('',*,*,#229969,.T.); #325945=ORIENTED_EDGE('',*,*,#229971,.F.); #325946=ORIENTED_EDGE('',*,*,#229972,.F.); #325947=ORIENTED_EDGE('',*,*,#229973,.T.); #325948=ORIENTED_EDGE('',*,*,#229972,.T.); #325949=ORIENTED_EDGE('',*,*,#229974,.F.); #325950=ORIENTED_EDGE('',*,*,#229975,.F.); #325951=ORIENTED_EDGE('',*,*,#229976,.T.); #325952=ORIENTED_EDGE('',*,*,#229975,.T.); #325953=ORIENTED_EDGE('',*,*,#229977,.F.); #325954=ORIENTED_EDGE('',*,*,#229978,.F.); #325955=ORIENTED_EDGE('',*,*,#229979,.T.); #325956=ORIENTED_EDGE('',*,*,#229978,.T.); #325957=ORIENTED_EDGE('',*,*,#229980,.F.); #325958=ORIENTED_EDGE('',*,*,#229981,.F.); #325959=ORIENTED_EDGE('',*,*,#229982,.T.); #325960=ORIENTED_EDGE('',*,*,#229981,.T.); #325961=ORIENTED_EDGE('',*,*,#229983,.F.); #325962=ORIENTED_EDGE('',*,*,#229984,.F.); #325963=ORIENTED_EDGE('',*,*,#229985,.T.); #325964=ORIENTED_EDGE('',*,*,#229984,.T.); #325965=ORIENTED_EDGE('',*,*,#229986,.F.); #325966=ORIENTED_EDGE('',*,*,#229987,.F.); #325967=ORIENTED_EDGE('',*,*,#229988,.T.); #325968=ORIENTED_EDGE('',*,*,#229987,.T.); #325969=ORIENTED_EDGE('',*,*,#229989,.F.); #325970=ORIENTED_EDGE('',*,*,#229990,.F.); #325971=ORIENTED_EDGE('',*,*,#229991,.T.); #325972=ORIENTED_EDGE('',*,*,#229990,.T.); #325973=ORIENTED_EDGE('',*,*,#229992,.F.); #325974=ORIENTED_EDGE('',*,*,#229993,.F.); #325975=ORIENTED_EDGE('',*,*,#229994,.T.); #325976=ORIENTED_EDGE('',*,*,#229993,.T.); #325977=ORIENTED_EDGE('',*,*,#229995,.F.); #325978=ORIENTED_EDGE('',*,*,#229996,.F.); #325979=ORIENTED_EDGE('',*,*,#229997,.T.); #325980=ORIENTED_EDGE('',*,*,#229996,.T.); #325981=ORIENTED_EDGE('',*,*,#229998,.F.); #325982=ORIENTED_EDGE('',*,*,#229999,.F.); #325983=ORIENTED_EDGE('',*,*,#230000,.T.); #325984=ORIENTED_EDGE('',*,*,#229999,.T.); #325985=ORIENTED_EDGE('',*,*,#230001,.F.); #325986=ORIENTED_EDGE('',*,*,#229967,.F.); #325987=ORIENTED_EDGE('',*,*,#230001,.T.); #325988=ORIENTED_EDGE('',*,*,#229998,.T.); #325989=ORIENTED_EDGE('',*,*,#229995,.T.); #325990=ORIENTED_EDGE('',*,*,#229992,.T.); #325991=ORIENTED_EDGE('',*,*,#229989,.T.); #325992=ORIENTED_EDGE('',*,*,#229986,.T.); #325993=ORIENTED_EDGE('',*,*,#229983,.T.); #325994=ORIENTED_EDGE('',*,*,#229980,.T.); #325995=ORIENTED_EDGE('',*,*,#229977,.T.); #325996=ORIENTED_EDGE('',*,*,#229974,.T.); #325997=ORIENTED_EDGE('',*,*,#229971,.T.); #325998=ORIENTED_EDGE('',*,*,#229968,.T.); #325999=ORIENTED_EDGE('',*,*,#229962,.T.); #326000=ORIENTED_EDGE('',*,*,#229965,.T.); #326001=ORIENTED_EDGE('',*,*,#230000,.F.); #326002=ORIENTED_EDGE('',*,*,#229966,.F.); #326003=ORIENTED_EDGE('',*,*,#229970,.F.); #326004=ORIENTED_EDGE('',*,*,#229973,.F.); #326005=ORIENTED_EDGE('',*,*,#229976,.F.); #326006=ORIENTED_EDGE('',*,*,#229979,.F.); #326007=ORIENTED_EDGE('',*,*,#229982,.F.); #326008=ORIENTED_EDGE('',*,*,#229985,.F.); #326009=ORIENTED_EDGE('',*,*,#229988,.F.); #326010=ORIENTED_EDGE('',*,*,#229991,.F.); #326011=ORIENTED_EDGE('',*,*,#229994,.F.); #326012=ORIENTED_EDGE('',*,*,#229997,.F.); #326013=ORIENTED_EDGE('',*,*,#229960,.T.); #326014=ORIENTED_EDGE('',*,*,#229963,.T.); #326015=ORIENTED_EDGE('',*,*,#230002,.F.); #326016=ORIENTED_EDGE('',*,*,#230003,.T.); #326017=ORIENTED_EDGE('',*,*,#230004,.F.); #326018=ORIENTED_EDGE('',*,*,#230003,.F.); #326019=ORIENTED_EDGE('',*,*,#230005,.F.); #326020=ORIENTED_EDGE('',*,*,#230006,.T.); #326021=ORIENTED_EDGE('',*,*,#230007,.F.); #326022=ORIENTED_EDGE('',*,*,#230006,.F.); #326023=ORIENTED_EDGE('',*,*,#230008,.F.); #326024=ORIENTED_EDGE('',*,*,#230009,.T.); #326025=ORIENTED_EDGE('',*,*,#230010,.F.); #326026=ORIENTED_EDGE('',*,*,#230011,.F.); #326027=ORIENTED_EDGE('',*,*,#230012,.F.); #326028=ORIENTED_EDGE('',*,*,#230011,.T.); #326029=ORIENTED_EDGE('',*,*,#230013,.F.); #326030=ORIENTED_EDGE('',*,*,#230014,.F.); #326031=ORIENTED_EDGE('',*,*,#230015,.F.); #326032=ORIENTED_EDGE('',*,*,#230014,.T.); #326033=ORIENTED_EDGE('',*,*,#230016,.F.); #326034=ORIENTED_EDGE('',*,*,#230017,.F.); #326035=ORIENTED_EDGE('',*,*,#230018,.F.); #326036=ORIENTED_EDGE('',*,*,#230017,.T.); #326037=ORIENTED_EDGE('',*,*,#230019,.F.); #326038=ORIENTED_EDGE('',*,*,#230020,.F.); #326039=ORIENTED_EDGE('',*,*,#230021,.F.); #326040=ORIENTED_EDGE('',*,*,#230020,.T.); #326041=ORIENTED_EDGE('',*,*,#230022,.F.); #326042=ORIENTED_EDGE('',*,*,#230023,.F.); #326043=ORIENTED_EDGE('',*,*,#230024,.F.); #326044=ORIENTED_EDGE('',*,*,#230023,.T.); #326045=ORIENTED_EDGE('',*,*,#230025,.F.); #326046=ORIENTED_EDGE('',*,*,#230026,.F.); #326047=ORIENTED_EDGE('',*,*,#230027,.F.); #326048=ORIENTED_EDGE('',*,*,#230026,.T.); #326049=ORIENTED_EDGE('',*,*,#230028,.F.); #326050=ORIENTED_EDGE('',*,*,#230029,.F.); #326051=ORIENTED_EDGE('',*,*,#230030,.F.); #326052=ORIENTED_EDGE('',*,*,#230029,.T.); #326053=ORIENTED_EDGE('',*,*,#230031,.F.); #326054=ORIENTED_EDGE('',*,*,#230032,.F.); #326055=ORIENTED_EDGE('',*,*,#230033,.F.); #326056=ORIENTED_EDGE('',*,*,#230032,.T.); #326057=ORIENTED_EDGE('',*,*,#230034,.F.); #326058=ORIENTED_EDGE('',*,*,#230035,.F.); #326059=ORIENTED_EDGE('',*,*,#230036,.F.); #326060=ORIENTED_EDGE('',*,*,#230035,.T.); #326061=ORIENTED_EDGE('',*,*,#230037,.F.); #326062=ORIENTED_EDGE('',*,*,#230038,.F.); #326063=ORIENTED_EDGE('',*,*,#230039,.F.); #326064=ORIENTED_EDGE('',*,*,#230038,.T.); #326065=ORIENTED_EDGE('',*,*,#230040,.F.); #326066=ORIENTED_EDGE('',*,*,#230041,.F.); #326067=ORIENTED_EDGE('',*,*,#230042,.F.); #326068=ORIENTED_EDGE('',*,*,#230041,.T.); #326069=ORIENTED_EDGE('',*,*,#230043,.F.); #326070=ORIENTED_EDGE('',*,*,#230009,.F.); #326071=ORIENTED_EDGE('',*,*,#230042,.T.); #326072=ORIENTED_EDGE('',*,*,#230008,.T.); #326073=ORIENTED_EDGE('',*,*,#230012,.T.); #326074=ORIENTED_EDGE('',*,*,#230015,.T.); #326075=ORIENTED_EDGE('',*,*,#230018,.T.); #326076=ORIENTED_EDGE('',*,*,#230021,.T.); #326077=ORIENTED_EDGE('',*,*,#230024,.T.); #326078=ORIENTED_EDGE('',*,*,#230027,.T.); #326079=ORIENTED_EDGE('',*,*,#230030,.T.); #326080=ORIENTED_EDGE('',*,*,#230033,.T.); #326081=ORIENTED_EDGE('',*,*,#230036,.T.); #326082=ORIENTED_EDGE('',*,*,#230039,.T.); #326083=ORIENTED_EDGE('',*,*,#230002,.T.); #326084=ORIENTED_EDGE('',*,*,#230005,.T.); #326085=ORIENTED_EDGE('',*,*,#230043,.T.); #326086=ORIENTED_EDGE('',*,*,#230040,.T.); #326087=ORIENTED_EDGE('',*,*,#230037,.T.); #326088=ORIENTED_EDGE('',*,*,#230034,.T.); #326089=ORIENTED_EDGE('',*,*,#230031,.T.); #326090=ORIENTED_EDGE('',*,*,#230028,.T.); #326091=ORIENTED_EDGE('',*,*,#230025,.T.); #326092=ORIENTED_EDGE('',*,*,#230022,.T.); #326093=ORIENTED_EDGE('',*,*,#230019,.T.); #326094=ORIENTED_EDGE('',*,*,#230016,.T.); #326095=ORIENTED_EDGE('',*,*,#230013,.T.); #326096=ORIENTED_EDGE('',*,*,#230010,.T.); #326097=ORIENTED_EDGE('',*,*,#230004,.T.); #326098=ORIENTED_EDGE('',*,*,#230007,.T.); #326099=ORIENTED_EDGE('',*,*,#230044,.F.); #326100=ORIENTED_EDGE('',*,*,#230045,.F.); #326101=ORIENTED_EDGE('',*,*,#230046,.F.); #326102=ORIENTED_EDGE('',*,*,#230047,.F.); #326103=ORIENTED_EDGE('',*,*,#230045,.T.); #326104=ORIENTED_EDGE('',*,*,#230048,.F.); #326105=ORIENTED_EDGE('',*,*,#230049,.F.); #326106=ORIENTED_EDGE('',*,*,#230050,.T.); #326107=ORIENTED_EDGE('',*,*,#230044,.T.); #326108=ORIENTED_EDGE('',*,*,#230051,.F.); #326109=ORIENTED_EDGE('',*,*,#230052,.F.); #326110=ORIENTED_EDGE('',*,*,#230048,.T.); #326111=ORIENTED_EDGE('',*,*,#230047,.T.); #326112=ORIENTED_EDGE('',*,*,#230053,.F.); #326113=ORIENTED_EDGE('',*,*,#230054,.F.); #326114=ORIENTED_EDGE('',*,*,#230051,.T.); #326115=ORIENTED_EDGE('',*,*,#230046,.T.); #326116=ORIENTED_EDGE('',*,*,#230050,.F.); #326117=ORIENTED_EDGE('',*,*,#230055,.F.); #326118=ORIENTED_EDGE('',*,*,#230053,.T.); #326119=ORIENTED_EDGE('',*,*,#230052,.T.); #326120=ORIENTED_EDGE('',*,*,#230054,.T.); #326121=ORIENTED_EDGE('',*,*,#230055,.T.); #326122=ORIENTED_EDGE('',*,*,#230049,.T.); #326123=ORIENTED_EDGE('',*,*,#230056,.T.); #326124=ORIENTED_EDGE('',*,*,#230057,.T.); #326125=ORIENTED_EDGE('',*,*,#230058,.T.); #326126=ORIENTED_EDGE('',*,*,#230057,.F.); #326127=ORIENTED_EDGE('',*,*,#230059,.T.); #326128=ORIENTED_EDGE('',*,*,#230060,.F.); #326129=ORIENTED_EDGE('',*,*,#230061,.F.); #326130=ORIENTED_EDGE('',*,*,#230062,.F.); #326131=ORIENTED_EDGE('',*,*,#230058,.F.); #326132=ORIENTED_EDGE('',*,*,#230063,.T.); #326133=ORIENTED_EDGE('',*,*,#230064,.T.); #326134=ORIENTED_EDGE('',*,*,#230056,.F.); #326135=ORIENTED_EDGE('',*,*,#230064,.F.); #326136=ORIENTED_EDGE('',*,*,#230065,.T.); #326137=ORIENTED_EDGE('',*,*,#230062,.T.); #326138=ORIENTED_EDGE('',*,*,#230066,.F.); #326139=ORIENTED_EDGE('',*,*,#230067,.T.); #326140=ORIENTED_EDGE('',*,*,#230068,.F.); #326141=ORIENTED_EDGE('',*,*,#230061,.T.); #326142=ORIENTED_EDGE('',*,*,#230069,.F.); #326143=ORIENTED_EDGE('',*,*,#230070,.T.); #326144=ORIENTED_EDGE('',*,*,#230066,.T.); #326145=ORIENTED_EDGE('',*,*,#230060,.T.); #326146=ORIENTED_EDGE('',*,*,#230071,.F.); #326147=ORIENTED_EDGE('',*,*,#230072,.F.); #326148=ORIENTED_EDGE('',*,*,#230069,.T.); #326149=ORIENTED_EDGE('',*,*,#230068,.T.); #326150=ORIENTED_EDGE('',*,*,#230073,.F.); #326151=ORIENTED_EDGE('',*,*,#230071,.T.); #326152=ORIENTED_EDGE('',*,*,#230059,.F.); #326153=ORIENTED_EDGE('',*,*,#230074,.T.); #326154=ORIENTED_EDGE('',*,*,#230075,.T.); #326155=ORIENTED_EDGE('',*,*,#230076,.T.); #326156=ORIENTED_EDGE('',*,*,#230075,.F.); #326157=ORIENTED_EDGE('',*,*,#230074,.F.); #326158=ORIENTED_EDGE('',*,*,#230077,.F.); #326159=ORIENTED_EDGE('',*,*,#230076,.F.); #326160=ORIENTED_EDGE('',*,*,#230078,.T.); #326161=ORIENTED_EDGE('',*,*,#230079,.F.); #326162=ORIENTED_EDGE('',*,*,#230078,.F.); #326163=ORIENTED_EDGE('',*,*,#230080,.F.); #326164=ORIENTED_EDGE('',*,*,#230081,.F.); #326165=ORIENTED_EDGE('',*,*,#230082,.F.); #326166=ORIENTED_EDGE('',*,*,#230083,.F.); #326167=ORIENTED_EDGE('',*,*,#230084,.F.); #326168=ORIENTED_EDGE('',*,*,#230085,.F.); #326169=ORIENTED_EDGE('',*,*,#230086,.T.); #326170=ORIENTED_EDGE('',*,*,#230087,.F.); #326171=ORIENTED_EDGE('',*,*,#230088,.F.); #326172=ORIENTED_EDGE('',*,*,#230089,.F.); #326173=ORIENTED_EDGE('',*,*,#230090,.F.); #326174=ORIENTED_EDGE('',*,*,#230091,.F.); #326175=ORIENTED_EDGE('',*,*,#230077,.T.); #326176=ORIENTED_EDGE('',*,*,#230092,.T.); #326177=ORIENTED_EDGE('',*,*,#230093,.T.); #326178=ORIENTED_EDGE('',*,*,#230092,.F.); #326179=ORIENTED_EDGE('',*,*,#230093,.F.); #326180=ORIENTED_EDGE('',*,*,#230094,.T.); #326181=ORIENTED_EDGE('',*,*,#230095,.F.); #326182=ORIENTED_EDGE('',*,*,#230094,.F.); #326183=ORIENTED_EDGE('',*,*,#230095,.T.); #326184=ORIENTED_EDGE('',*,*,#230096,.T.); #326185=ORIENTED_EDGE('',*,*,#230065,.F.); #326186=ORIENTED_EDGE('',*,*,#230063,.F.); #326187=ORIENTED_EDGE('',*,*,#230096,.F.); #326188=ORIENTED_EDGE('',*,*,#230097,.F.); #326189=ORIENTED_EDGE('',*,*,#230083,.T.); #326190=ORIENTED_EDGE('',*,*,#230098,.T.); #326191=ORIENTED_EDGE('',*,*,#230099,.T.); #326192=ORIENTED_EDGE('',*,*,#230100,.F.); #326193=ORIENTED_EDGE('',*,*,#230090,.T.); #326194=ORIENTED_EDGE('',*,*,#230101,.T.); #326195=ORIENTED_EDGE('',*,*,#230102,.T.); #326196=ORIENTED_EDGE('',*,*,#230103,.F.); #326197=ORIENTED_EDGE('',*,*,#230104,.T.); #326198=ORIENTED_EDGE('',*,*,#230105,.T.); #326199=ORIENTED_EDGE('',*,*,#230084,.T.); #326200=ORIENTED_EDGE('',*,*,#230106,.F.); #326201=ORIENTED_EDGE('',*,*,#230107,.T.); #326202=ORIENTED_EDGE('',*,*,#230108,.T.); #326203=ORIENTED_EDGE('',*,*,#230081,.T.); #326204=ORIENTED_EDGE('',*,*,#230109,.F.); #326205=ORIENTED_EDGE('',*,*,#230110,.T.); #326206=ORIENTED_EDGE('',*,*,#230111,.T.); #326207=ORIENTED_EDGE('',*,*,#230088,.T.); #326208=ORIENTED_EDGE('',*,*,#230112,.F.); #326209=ORIENTED_EDGE('',*,*,#230086,.F.); #326210=ORIENTED_EDGE('',*,*,#230113,.T.); #326211=ORIENTED_EDGE('',*,*,#230114,.T.); #326212=ORIENTED_EDGE('',*,*,#230115,.T.); #326213=ORIENTED_EDGE('',*,*,#230109,.T.); #326214=ORIENTED_EDGE('',*,*,#230091,.T.); #326215=ORIENTED_EDGE('',*,*,#230100,.T.); #326216=ORIENTED_EDGE('',*,*,#230111,.F.); #326217=ORIENTED_EDGE('',*,*,#230116,.T.); #326218=ORIENTED_EDGE('',*,*,#230101,.F.); #326219=ORIENTED_EDGE('',*,*,#230089,.T.); #326220=ORIENTED_EDGE('',*,*,#230115,.F.); #326221=ORIENTED_EDGE('',*,*,#230102,.F.); #326222=ORIENTED_EDGE('',*,*,#230116,.F.); #326223=ORIENTED_EDGE('',*,*,#230110,.F.); #326224=ORIENTED_EDGE('',*,*,#230117,.T.); #326225=ORIENTED_EDGE('',*,*,#230106,.T.); #326226=ORIENTED_EDGE('',*,*,#230080,.T.); #326227=ORIENTED_EDGE('',*,*,#230097,.T.); #326228=ORIENTED_EDGE('',*,*,#230108,.F.); #326229=ORIENTED_EDGE('',*,*,#230118,.T.); #326230=ORIENTED_EDGE('',*,*,#230098,.F.); #326231=ORIENTED_EDGE('',*,*,#230082,.T.); #326232=ORIENTED_EDGE('',*,*,#230117,.F.); #326233=ORIENTED_EDGE('',*,*,#230099,.F.); #326234=ORIENTED_EDGE('',*,*,#230118,.F.); #326235=ORIENTED_EDGE('',*,*,#230107,.F.); #326236=ORIENTED_EDGE('',*,*,#230119,.T.); #326237=ORIENTED_EDGE('',*,*,#230103,.T.); #326238=ORIENTED_EDGE('',*,*,#230087,.T.); #326239=ORIENTED_EDGE('',*,*,#230112,.T.); #326240=ORIENTED_EDGE('',*,*,#230105,.F.); #326241=ORIENTED_EDGE('',*,*,#230120,.T.); #326242=ORIENTED_EDGE('',*,*,#230113,.F.); #326243=ORIENTED_EDGE('',*,*,#230085,.T.); #326244=ORIENTED_EDGE('',*,*,#230119,.F.); #326245=ORIENTED_EDGE('',*,*,#230114,.F.); #326246=ORIENTED_EDGE('',*,*,#230120,.F.); #326247=ORIENTED_EDGE('',*,*,#230104,.F.); #326248=ORIENTED_EDGE('',*,*,#230121,.T.); #326249=ORIENTED_EDGE('',*,*,#230122,.T.); #326250=ORIENTED_EDGE('',*,*,#230079,.T.); #326251=ORIENTED_EDGE('',*,*,#230122,.F.); #326252=ORIENTED_EDGE('',*,*,#230073,.T.); #326253=ORIENTED_EDGE('',*,*,#230067,.F.); #326254=ORIENTED_EDGE('',*,*,#230070,.F.); #326255=ORIENTED_EDGE('',*,*,#230072,.T.); #326256=ORIENTED_EDGE('',*,*,#230121,.F.); #326257=ORIENTED_EDGE('',*,*,#230123,.T.); #326258=ORIENTED_EDGE('',*,*,#230124,.T.); #326259=ORIENTED_EDGE('',*,*,#230125,.T.); #326260=ORIENTED_EDGE('',*,*,#230126,.F.); #326261=ORIENTED_EDGE('',*,*,#230127,.T.); #326262=ORIENTED_EDGE('',*,*,#230128,.F.); #326263=ORIENTED_EDGE('',*,*,#230124,.F.); #326264=ORIENTED_EDGE('',*,*,#230129,.T.); #326265=ORIENTED_EDGE('',*,*,#230130,.T.); #326266=ORIENTED_EDGE('',*,*,#230131,.F.); #326267=ORIENTED_EDGE('',*,*,#230132,.T.); #326268=ORIENTED_EDGE('',*,*,#230130,.F.); #326269=ORIENTED_EDGE('',*,*,#230133,.T.); #326270=ORIENTED_EDGE('',*,*,#230134,.T.); #326271=ORIENTED_EDGE('',*,*,#230135,.T.); #326272=ORIENTED_EDGE('',*,*,#230136,.F.); #326273=ORIENTED_EDGE('',*,*,#230134,.F.); #326274=ORIENTED_EDGE('',*,*,#230137,.T.); #326275=ORIENTED_EDGE('',*,*,#230126,.T.); #326276=ORIENTED_EDGE('',*,*,#230138,.F.); #326277=ORIENTED_EDGE('',*,*,#230131,.T.); #326278=ORIENTED_EDGE('',*,*,#230132,.F.); #326279=ORIENTED_EDGE('',*,*,#230136,.T.); #326280=ORIENTED_EDGE('',*,*,#230139,.F.); #326281=ORIENTED_EDGE('',*,*,#230140,.F.); #326282=ORIENTED_EDGE('',*,*,#230141,.T.); #326283=ORIENTED_EDGE('',*,*,#230135,.F.); #326284=ORIENTED_EDGE('',*,*,#230142,.F.); #326285=ORIENTED_EDGE('',*,*,#230143,.F.); #326286=ORIENTED_EDGE('',*,*,#230139,.T.); #326287=ORIENTED_EDGE('',*,*,#230123,.F.); #326288=ORIENTED_EDGE('',*,*,#230137,.F.); #326289=ORIENTED_EDGE('',*,*,#230133,.F.); #326290=ORIENTED_EDGE('',*,*,#230129,.F.); #326291=ORIENTED_EDGE('',*,*,#230127,.F.); #326292=ORIENTED_EDGE('',*,*,#230141,.F.); #326293=ORIENTED_EDGE('',*,*,#230144,.F.); #326294=ORIENTED_EDGE('',*,*,#230145,.T.); #326295=ORIENTED_EDGE('',*,*,#230128,.T.); #326296=ORIENTED_EDGE('',*,*,#230145,.F.); #326297=ORIENTED_EDGE('',*,*,#230146,.F.); #326298=ORIENTED_EDGE('',*,*,#230142,.T.); #326299=ORIENTED_EDGE('',*,*,#230138,.T.); #326300=ORIENTED_EDGE('',*,*,#230125,.F.); #326301=ORIENTED_EDGE('',*,*,#230147,.F.); #326302=ORIENTED_EDGE('',*,*,#230148,.T.); #326303=ORIENTED_EDGE('',*,*,#230149,.F.); #326304=ORIENTED_EDGE('',*,*,#230150,.F.); #326305=ORIENTED_EDGE('',*,*,#230151,.F.); #326306=ORIENTED_EDGE('',*,*,#230152,.F.); #326307=ORIENTED_EDGE('',*,*,#230153,.F.); #326308=ORIENTED_EDGE('',*,*,#230154,.F.); #326309=ORIENTED_EDGE('',*,*,#230155,.T.); #326310=ORIENTED_EDGE('',*,*,#230156,.F.); #326311=ORIENTED_EDGE('',*,*,#230157,.F.); #326312=ORIENTED_EDGE('',*,*,#230158,.F.); #326313=ORIENTED_EDGE('',*,*,#230159,.F.); #326314=ORIENTED_EDGE('',*,*,#230160,.F.); #326315=ORIENTED_EDGE('',*,*,#230161,.T.); #326316=ORIENTED_EDGE('',*,*,#230162,.F.); #326317=ORIENTED_EDGE('',*,*,#230163,.T.); #326318=ORIENTED_EDGE('',*,*,#230164,.T.); #326319=ORIENTED_EDGE('',*,*,#230165,.F.); #326320=ORIENTED_EDGE('',*,*,#230166,.T.); #326321=ORIENTED_EDGE('',*,*,#230167,.F.); #326322=ORIENTED_EDGE('',*,*,#230168,.F.); #326323=ORIENTED_EDGE('',*,*,#230169,.T.); #326324=ORIENTED_EDGE('',*,*,#230170,.T.); #326325=ORIENTED_EDGE('',*,*,#230165,.T.); #326326=ORIENTED_EDGE('',*,*,#230171,.F.); #326327=ORIENTED_EDGE('',*,*,#230172,.F.); #326328=ORIENTED_EDGE('',*,*,#230173,.T.); #326329=ORIENTED_EDGE('',*,*,#230174,.T.); #326330=ORIENTED_EDGE('',*,*,#230175,.F.); #326331=ORIENTED_EDGE('',*,*,#230176,.F.); #326332=ORIENTED_EDGE('',*,*,#230170,.F.); #326333=ORIENTED_EDGE('',*,*,#230177,.F.); #326334=ORIENTED_EDGE('',*,*,#230166,.F.); #326335=ORIENTED_EDGE('',*,*,#230173,.F.); #326336=ORIENTED_EDGE('',*,*,#230178,.F.); #326337=ORIENTED_EDGE('',*,*,#230179,.T.); #326338=ORIENTED_EDGE('',*,*,#230164,.F.); #326339=ORIENTED_EDGE('',*,*,#230180,.F.); #326340=ORIENTED_EDGE('',*,*,#230148,.F.); #326341=ORIENTED_EDGE('',*,*,#230162,.T.); #326342=ORIENTED_EDGE('',*,*,#230179,.F.); #326343=ORIENTED_EDGE('',*,*,#230181,.F.); #326344=ORIENTED_EDGE('',*,*,#230182,.T.); #326345=ORIENTED_EDGE('',*,*,#230147,.T.); #326346=ORIENTED_EDGE('',*,*,#230183,.T.); #326347=ORIENTED_EDGE('',*,*,#230184,.F.); #326348=ORIENTED_EDGE('',*,*,#230174,.F.); #326349=ORIENTED_EDGE('',*,*,#230185,.T.); #326350=ORIENTED_EDGE('',*,*,#230186,.T.); #326351=ORIENTED_EDGE('',*,*,#230154,.T.); #326352=ORIENTED_EDGE('',*,*,#230187,.T.); #326353=ORIENTED_EDGE('',*,*,#230188,.T.); #326354=ORIENTED_EDGE('',*,*,#230156,.T.); #326355=ORIENTED_EDGE('',*,*,#230189,.F.); #326356=ORIENTED_EDGE('',*,*,#230190,.F.); #326357=ORIENTED_EDGE('',*,*,#230191,.T.); #326358=ORIENTED_EDGE('',*,*,#230150,.T.); #326359=ORIENTED_EDGE('',*,*,#230192,.T.); #326360=ORIENTED_EDGE('',*,*,#230193,.F.); #326361=ORIENTED_EDGE('',*,*,#230194,.F.); #326362=ORIENTED_EDGE('',*,*,#230195,.T.); #326363=ORIENTED_EDGE('',*,*,#230196,.F.); #326364=ORIENTED_EDGE('',*,*,#230183,.F.); #326365=ORIENTED_EDGE('',*,*,#230149,.T.); #326366=ORIENTED_EDGE('',*,*,#230180,.T.); #326367=ORIENTED_EDGE('',*,*,#230163,.F.); #326368=ORIENTED_EDGE('',*,*,#230182,.F.); #326369=ORIENTED_EDGE('',*,*,#230197,.F.); #326370=ORIENTED_EDGE('',*,*,#230198,.T.); #326371=ORIENTED_EDGE('',*,*,#230161,.F.); #326372=ORIENTED_EDGE('',*,*,#230199,.T.); #326373=ORIENTED_EDGE('',*,*,#230200,.F.); #326374=ORIENTED_EDGE('',*,*,#230187,.F.); #326375=ORIENTED_EDGE('',*,*,#230153,.T.); #326376=ORIENTED_EDGE('',*,*,#230159,.T.); #326377=ORIENTED_EDGE('',*,*,#230198,.F.); #326378=ORIENTED_EDGE('',*,*,#230201,.F.); #326379=ORIENTED_EDGE('',*,*,#230202,.F.); #326380=ORIENTED_EDGE('',*,*,#230168,.T.); #326381=ORIENTED_EDGE('',*,*,#230176,.T.); #326382=ORIENTED_EDGE('',*,*,#230203,.F.); #326383=ORIENTED_EDGE('',*,*,#230204,.F.); #326384=ORIENTED_EDGE('',*,*,#230204,.T.); #326385=ORIENTED_EDGE('',*,*,#230205,.F.); #326386=ORIENTED_EDGE('',*,*,#230192,.F.); #326387=ORIENTED_EDGE('',*,*,#230155,.F.); #326388=ORIENTED_EDGE('',*,*,#230186,.F.); #326389=ORIENTED_EDGE('',*,*,#230206,.F.); #326390=ORIENTED_EDGE('',*,*,#230207,.F.); #326391=ORIENTED_EDGE('',*,*,#230157,.T.); #326392=ORIENTED_EDGE('',*,*,#230191,.F.); #326393=ORIENTED_EDGE('',*,*,#230208,.F.); #326394=ORIENTED_EDGE('',*,*,#230171,.T.); #326395=ORIENTED_EDGE('',*,*,#230167,.T.); #326396=ORIENTED_EDGE('',*,*,#230177,.T.); #326397=ORIENTED_EDGE('',*,*,#230169,.F.); #326398=ORIENTED_EDGE('',*,*,#230152,.T.); #326399=ORIENTED_EDGE('',*,*,#230209,.T.); #326400=ORIENTED_EDGE('',*,*,#230210,.F.); #326401=ORIENTED_EDGE('',*,*,#230195,.F.); #326402=ORIENTED_EDGE('',*,*,#230151,.T.); #326403=ORIENTED_EDGE('',*,*,#230194,.T.); #326404=ORIENTED_EDGE('',*,*,#230211,.F.); #326405=ORIENTED_EDGE('',*,*,#230209,.F.); #326406=ORIENTED_EDGE('',*,*,#230212,.T.); #326407=ORIENTED_EDGE('',*,*,#230213,.F.); #326408=ORIENTED_EDGE('',*,*,#230214,.T.); #326409=ORIENTED_EDGE('',*,*,#230215,.F.); #326410=ORIENTED_EDGE('',*,*,#230201,.T.); #326411=ORIENTED_EDGE('',*,*,#230197,.T.); #326412=ORIENTED_EDGE('',*,*,#230181,.T.); #326413=ORIENTED_EDGE('',*,*,#230178,.T.); #326414=ORIENTED_EDGE('',*,*,#230172,.T.); #326415=ORIENTED_EDGE('',*,*,#230208,.T.); #326416=ORIENTED_EDGE('',*,*,#230190,.T.); #326417=ORIENTED_EDGE('',*,*,#230216,.F.); #326418=ORIENTED_EDGE('',*,*,#230217,.T.); #326419=ORIENTED_EDGE('',*,*,#230218,.T.); #326420=ORIENTED_EDGE('',*,*,#230219,.T.); #326421=ORIENTED_EDGE('',*,*,#230220,.F.); #326422=ORIENTED_EDGE('',*,*,#230214,.F.); #326423=ORIENTED_EDGE('',*,*,#230221,.F.); #326424=ORIENTED_EDGE('',*,*,#230222,.T.); #326425=ORIENTED_EDGE('',*,*,#230223,.F.); #326426=ORIENTED_EDGE('',*,*,#230224,.T.); #326427=ORIENTED_EDGE('',*,*,#230225,.F.); #326428=ORIENTED_EDGE('',*,*,#230226,.T.); #326429=ORIENTED_EDGE('',*,*,#230227,.F.); #326430=ORIENTED_EDGE('',*,*,#230228,.T.); #326431=ORIENTED_EDGE('',*,*,#230229,.F.); #326432=ORIENTED_EDGE('',*,*,#230230,.T.); #326433=ORIENTED_EDGE('',*,*,#230231,.F.); #326434=ORIENTED_EDGE('',*,*,#230189,.T.); #326435=ORIENTED_EDGE('',*,*,#230158,.T.); #326436=ORIENTED_EDGE('',*,*,#230207,.T.); #326437=ORIENTED_EDGE('',*,*,#230232,.T.); #326438=ORIENTED_EDGE('',*,*,#230233,.T.); #326439=ORIENTED_EDGE('',*,*,#230216,.T.); #326440=ORIENTED_EDGE('',*,*,#230218,.F.); #326441=ORIENTED_EDGE('',*,*,#230234,.T.); #326442=ORIENTED_EDGE('',*,*,#230235,.F.); #326443=ORIENTED_EDGE('',*,*,#230236,.F.); #326444=ORIENTED_EDGE('',*,*,#230219,.F.); #326445=ORIENTED_EDGE('',*,*,#230236,.T.); #326446=ORIENTED_EDGE('',*,*,#230237,.F.); #326447=ORIENTED_EDGE('',*,*,#230238,.F.); #326448=ORIENTED_EDGE('',*,*,#230239,.F.); #326449=ORIENTED_EDGE('',*,*,#230240,.F.); #326450=ORIENTED_EDGE('',*,*,#230241,.F.); #326451=ORIENTED_EDGE('',*,*,#230242,.T.); #326452=ORIENTED_EDGE('',*,*,#230238,.T.); #326453=ORIENTED_EDGE('',*,*,#230217,.F.); #326454=ORIENTED_EDGE('',*,*,#230233,.F.); #326455=ORIENTED_EDGE('',*,*,#230241,.T.); #326456=ORIENTED_EDGE('',*,*,#230243,.F.); #326457=ORIENTED_EDGE('',*,*,#230234,.F.); #326458=ORIENTED_EDGE('',*,*,#230237,.T.); #326459=ORIENTED_EDGE('',*,*,#230235,.T.); #326460=ORIENTED_EDGE('',*,*,#230243,.T.); #326461=ORIENTED_EDGE('',*,*,#230240,.T.); #326462=ORIENTED_EDGE('',*,*,#230244,.T.); #326463=ORIENTED_EDGE('',*,*,#230245,.T.); #326464=ORIENTED_EDGE('',*,*,#230246,.F.); #326465=ORIENTED_EDGE('',*,*,#230247,.T.); #326466=ORIENTED_EDGE('',*,*,#230245,.F.); #326467=ORIENTED_EDGE('',*,*,#230247,.F.); #326468=ORIENTED_EDGE('',*,*,#230248,.T.); #326469=ORIENTED_EDGE('',*,*,#230246,.T.); #326470=ORIENTED_EDGE('',*,*,#230249,.T.); #326471=ORIENTED_EDGE('',*,*,#230250,.F.); #326472=ORIENTED_EDGE('',*,*,#230251,.F.); #326473=ORIENTED_EDGE('',*,*,#230227,.T.); #326474=ORIENTED_EDGE('',*,*,#230252,.T.); #326475=ORIENTED_EDGE('',*,*,#230221,.T.); #326476=ORIENTED_EDGE('',*,*,#230213,.T.); #326477=ORIENTED_EDGE('',*,*,#230253,.F.); #326478=ORIENTED_EDGE('',*,*,#230254,.F.); #326479=ORIENTED_EDGE('',*,*,#230255,.F.); #326480=ORIENTED_EDGE('',*,*,#230244,.F.); #326481=ORIENTED_EDGE('',*,*,#230256,.T.); #326482=ORIENTED_EDGE('',*,*,#230254,.T.); #326483=ORIENTED_EDGE('',*,*,#230257,.F.); #326484=ORIENTED_EDGE('',*,*,#230250,.T.); #326485=ORIENTED_EDGE('',*,*,#230258,.F.); #326486=ORIENTED_EDGE('',*,*,#230255,.T.); #326487=ORIENTED_EDGE('',*,*,#230256,.F.); #326488=ORIENTED_EDGE('',*,*,#230257,.T.); #326489=ORIENTED_EDGE('',*,*,#230259,.F.); #326490=ORIENTED_EDGE('',*,*,#230258,.T.); #326491=ORIENTED_EDGE('',*,*,#230260,.T.); #326492=ORIENTED_EDGE('',*,*,#230223,.T.); #326493=ORIENTED_EDGE('',*,*,#230261,.T.); #326494=ORIENTED_EDGE('',*,*,#230225,.T.); #326495=ORIENTED_EDGE('',*,*,#230262,.T.); #326496=ORIENTED_EDGE('',*,*,#230261,.F.); #326497=ORIENTED_EDGE('',*,*,#230222,.F.); #326498=ORIENTED_EDGE('',*,*,#230252,.F.); #326499=ORIENTED_EDGE('',*,*,#230260,.F.); #326500=ORIENTED_EDGE('',*,*,#230249,.F.); #326501=ORIENTED_EDGE('',*,*,#230226,.F.); #326502=ORIENTED_EDGE('',*,*,#230229,.T.); #326503=ORIENTED_EDGE('',*,*,#230263,.T.); #326504=ORIENTED_EDGE('',*,*,#230231,.T.); #326505=ORIENTED_EDGE('',*,*,#230264,.T.); #326506=ORIENTED_EDGE('',*,*,#230263,.F.); #326507=ORIENTED_EDGE('',*,*,#230228,.F.); #326508=ORIENTED_EDGE('',*,*,#230251,.T.); #326509=ORIENTED_EDGE('',*,*,#230259,.T.); #326510=ORIENTED_EDGE('',*,*,#230253,.T.); #326511=ORIENTED_EDGE('',*,*,#230212,.F.); #326512=ORIENTED_EDGE('',*,*,#230264,.F.); #326513=ORIENTED_EDGE('',*,*,#230230,.F.); #326514=ORIENTED_EDGE('',*,*,#230262,.F.); #326515=ORIENTED_EDGE('',*,*,#230224,.F.); #326516=ORIENTED_EDGE('',*,*,#230202,.T.); #326517=ORIENTED_EDGE('',*,*,#230215,.T.); #326518=ORIENTED_EDGE('',*,*,#230220,.T.); #326519=ORIENTED_EDGE('',*,*,#230239,.T.); #326520=ORIENTED_EDGE('',*,*,#230265,.T.); #326521=ORIENTED_EDGE('',*,*,#230199,.F.); #326522=ORIENTED_EDGE('',*,*,#230160,.T.); #326523=ORIENTED_EDGE('',*,*,#230188,.F.); #326524=ORIENTED_EDGE('',*,*,#230200,.T.); #326525=ORIENTED_EDGE('',*,*,#230265,.F.); #326526=ORIENTED_EDGE('',*,*,#230242,.F.); #326527=ORIENTED_EDGE('',*,*,#230232,.F.); #326528=ORIENTED_EDGE('',*,*,#230206,.T.); #326529=ORIENTED_EDGE('',*,*,#230248,.F.); #326530=ORIENTED_EDGE('',*,*,#230266,.T.); #326531=ORIENTED_EDGE('',*,*,#230185,.F.); #326532=ORIENTED_EDGE('',*,*,#230266,.F.); #326533=ORIENTED_EDGE('',*,*,#230143,.T.); #326534=ORIENTED_EDGE('',*,*,#230146,.T.); #326535=ORIENTED_EDGE('',*,*,#230144,.T.); #326536=ORIENTED_EDGE('',*,*,#230140,.T.); #326537=ORIENTED_EDGE('',*,*,#230196,.T.); #326538=ORIENTED_EDGE('',*,*,#230210,.T.); #326539=ORIENTED_EDGE('',*,*,#230211,.T.); #326540=ORIENTED_EDGE('',*,*,#230193,.T.); #326541=ORIENTED_EDGE('',*,*,#230205,.T.); #326542=ORIENTED_EDGE('',*,*,#230203,.T.); #326543=ORIENTED_EDGE('',*,*,#230175,.T.); #326544=ORIENTED_EDGE('',*,*,#230184,.T.); #326545=ORIENTED_EDGE('',*,*,#230267,.T.); #326546=ORIENTED_EDGE('',*,*,#230268,.T.); #326547=ORIENTED_EDGE('',*,*,#230269,.T.); #326548=ORIENTED_EDGE('',*,*,#230270,.T.); #326549=ORIENTED_EDGE('',*,*,#230268,.F.); #326550=ORIENTED_EDGE('',*,*,#230271,.F.); #326551=ORIENTED_EDGE('',*,*,#230272,.F.); #326552=ORIENTED_EDGE('',*,*,#230273,.F.); #326553=ORIENTED_EDGE('',*,*,#230274,.T.); #326554=ORIENTED_EDGE('',*,*,#230275,.T.); #326555=ORIENTED_EDGE('',*,*,#230276,.T.); #326556=ORIENTED_EDGE('',*,*,#230277,.T.); #326557=ORIENTED_EDGE('',*,*,#230275,.F.); #326558=ORIENTED_EDGE('',*,*,#230278,.F.); #326559=ORIENTED_EDGE('',*,*,#230279,.F.); #326560=ORIENTED_EDGE('',*,*,#230280,.F.); #326561=ORIENTED_EDGE('',*,*,#230281,.T.); #326562=ORIENTED_EDGE('',*,*,#230282,.T.); #326563=ORIENTED_EDGE('',*,*,#230283,.T.); #326564=ORIENTED_EDGE('',*,*,#230272,.T.); #326565=ORIENTED_EDGE('',*,*,#230270,.F.); #326566=ORIENTED_EDGE('',*,*,#230284,.F.); #326567=ORIENTED_EDGE('',*,*,#230282,.F.); #326568=ORIENTED_EDGE('',*,*,#230285,.F.); #326569=ORIENTED_EDGE('',*,*,#230286,.T.); #326570=ORIENTED_EDGE('',*,*,#230287,.T.); #326571=ORIENTED_EDGE('',*,*,#230288,.T.); #326572=ORIENTED_EDGE('',*,*,#230279,.T.); #326573=ORIENTED_EDGE('',*,*,#230277,.F.); #326574=ORIENTED_EDGE('',*,*,#230289,.F.); #326575=ORIENTED_EDGE('',*,*,#230287,.F.); #326576=ORIENTED_EDGE('',*,*,#230290,.F.); #326577=ORIENTED_EDGE('',*,*,#230291,.T.); #326578=ORIENTED_EDGE('',*,*,#230292,.T.); #326579=ORIENTED_EDGE('',*,*,#230293,.T.); #326580=ORIENTED_EDGE('',*,*,#230292,.F.); #326581=ORIENTED_EDGE('',*,*,#230294,.T.); #326582=ORIENTED_EDGE('',*,*,#230295,.T.); #326583=ORIENTED_EDGE('',*,*,#230296,.T.); #326584=ORIENTED_EDGE('',*,*,#230297,.T.); #326585=ORIENTED_EDGE('',*,*,#230290,.T.); #326586=ORIENTED_EDGE('',*,*,#230286,.F.); #326587=ORIENTED_EDGE('',*,*,#230278,.T.); #326588=ORIENTED_EDGE('',*,*,#230274,.F.); #326589=ORIENTED_EDGE('',*,*,#230285,.T.); #326590=ORIENTED_EDGE('',*,*,#230281,.F.); #326591=ORIENTED_EDGE('',*,*,#230271,.T.); #326592=ORIENTED_EDGE('',*,*,#230267,.F.); #326593=ORIENTED_EDGE('',*,*,#230298,.F.); #326594=ORIENTED_EDGE('',*,*,#230299,.F.); #326595=ORIENTED_EDGE('',*,*,#230300,.F.); #326596=ORIENTED_EDGE('',*,*,#230301,.F.); #326597=ORIENTED_EDGE('',*,*,#230302,.F.); #326598=ORIENTED_EDGE('',*,*,#230291,.F.); #326599=ORIENTED_EDGE('',*,*,#230293,.F.); #326600=ORIENTED_EDGE('',*,*,#230303,.T.); #326601=ORIENTED_EDGE('',*,*,#230304,.T.); #326602=ORIENTED_EDGE('',*,*,#230303,.F.); #326603=ORIENTED_EDGE('',*,*,#230298,.T.); #326604=ORIENTED_EDGE('',*,*,#230305,.T.); #326605=ORIENTED_EDGE('',*,*,#230306,.T.); #326606=ORIENTED_EDGE('',*,*,#230305,.F.); #326607=ORIENTED_EDGE('',*,*,#230306,.F.); #326608=ORIENTED_EDGE('',*,*,#230307,.T.); #326609=ORIENTED_EDGE('',*,*,#230308,.T.); #326610=ORIENTED_EDGE('',*,*,#230307,.F.); #326611=ORIENTED_EDGE('',*,*,#230309,.T.); #326612=ORIENTED_EDGE('',*,*,#230310,.T.); #326613=ORIENTED_EDGE('',*,*,#230311,.T.); #326614=ORIENTED_EDGE('',*,*,#230310,.F.); #326615=ORIENTED_EDGE('',*,*,#230312,.T.); #326616=ORIENTED_EDGE('',*,*,#230313,.T.); #326617=ORIENTED_EDGE('',*,*,#230289,.T.); #326618=ORIENTED_EDGE('',*,*,#230276,.F.); #326619=ORIENTED_EDGE('',*,*,#230280,.T.); #326620=ORIENTED_EDGE('',*,*,#230288,.F.); #326621=ORIENTED_EDGE('',*,*,#230284,.T.); #326622=ORIENTED_EDGE('',*,*,#230269,.F.); #326623=ORIENTED_EDGE('',*,*,#230273,.T.); #326624=ORIENTED_EDGE('',*,*,#230283,.F.); #326625=ORIENTED_EDGE('',*,*,#230314,.F.); #326626=ORIENTED_EDGE('',*,*,#230315,.F.); #326627=ORIENTED_EDGE('',*,*,#230316,.F.); #326628=ORIENTED_EDGE('',*,*,#230317,.F.); #326629=ORIENTED_EDGE('',*,*,#230318,.F.); #326630=ORIENTED_EDGE('',*,*,#230309,.F.); #326631=ORIENTED_EDGE('',*,*,#230311,.F.); #326632=ORIENTED_EDGE('',*,*,#230319,.T.); #326633=ORIENTED_EDGE('',*,*,#230320,.T.); #326634=ORIENTED_EDGE('',*,*,#230319,.F.); #326635=ORIENTED_EDGE('',*,*,#230314,.T.); #326636=ORIENTED_EDGE('',*,*,#230321,.T.); #326637=ORIENTED_EDGE('',*,*,#230322,.T.); #326638=ORIENTED_EDGE('',*,*,#230321,.F.); #326639=ORIENTED_EDGE('',*,*,#230322,.F.); #326640=ORIENTED_EDGE('',*,*,#230323,.T.); #326641=ORIENTED_EDGE('',*,*,#230324,.T.); #326642=ORIENTED_EDGE('',*,*,#230323,.F.); #326643=ORIENTED_EDGE('',*,*,#230325,.T.); #326644=ORIENTED_EDGE('',*,*,#230326,.T.); #326645=ORIENTED_EDGE('',*,*,#230317,.T.); #326646=ORIENTED_EDGE('',*,*,#230327,.T.); #326647=ORIENTED_EDGE('',*,*,#230318,.T.); #326648=ORIENTED_EDGE('',*,*,#230326,.F.); #326649=ORIENTED_EDGE('',*,*,#230328,.T.); #326650=ORIENTED_EDGE('',*,*,#230327,.F.); #326651=ORIENTED_EDGE('',*,*,#230325,.F.); #326652=ORIENTED_EDGE('',*,*,#230329,.T.); #326653=ORIENTED_EDGE('',*,*,#230330,.F.); #326654=ORIENTED_EDGE('',*,*,#230331,.F.); #326655=ORIENTED_EDGE('',*,*,#230301,.T.); #326656=ORIENTED_EDGE('',*,*,#230332,.T.); #326657=ORIENTED_EDGE('',*,*,#230333,.T.); #326658=ORIENTED_EDGE('',*,*,#230334,.F.); #326659=ORIENTED_EDGE('',*,*,#230330,.T.); #326660=ORIENTED_EDGE('',*,*,#230332,.F.); #326661=ORIENTED_EDGE('',*,*,#230302,.T.); #326662=ORIENTED_EDGE('',*,*,#230334,.T.); #326663=ORIENTED_EDGE('',*,*,#230328,.F.); #326664=ORIENTED_EDGE('',*,*,#230331,.T.); #326665=ORIENTED_EDGE('',*,*,#230333,.F.); #326666=ORIENTED_EDGE('',*,*,#230329,.F.); #326667=ORIENTED_EDGE('',*,*,#230299,.T.); #326668=ORIENTED_EDGE('',*,*,#230335,.T.); #326669=ORIENTED_EDGE('',*,*,#230336,.T.); #326670=ORIENTED_EDGE('',*,*,#230337,.F.); #326671=ORIENTED_EDGE('',*,*,#230338,.T.); #326672=ORIENTED_EDGE('',*,*,#230335,.F.); #326673=ORIENTED_EDGE('',*,*,#230300,.T.); #326674=ORIENTED_EDGE('',*,*,#230337,.T.); #326675=ORIENTED_EDGE('',*,*,#230336,.F.); #326676=ORIENTED_EDGE('',*,*,#230339,.F.); #326677=ORIENTED_EDGE('',*,*,#230340,.F.); #326678=ORIENTED_EDGE('',*,*,#230341,.T.); #326679=ORIENTED_EDGE('',*,*,#230342,.T.); #326680=ORIENTED_EDGE('',*,*,#230343,.T.); #326681=ORIENTED_EDGE('',*,*,#230315,.T.); #326682=ORIENTED_EDGE('',*,*,#230344,.T.); #326683=ORIENTED_EDGE('',*,*,#230316,.T.); #326684=ORIENTED_EDGE('',*,*,#230343,.F.); #326685=ORIENTED_EDGE('',*,*,#230340,.T.); #326686=ORIENTED_EDGE('',*,*,#230344,.F.); #326687=ORIENTED_EDGE('',*,*,#230338,.F.); #326688=ORIENTED_EDGE('',*,*,#230341,.F.); #326689=ORIENTED_EDGE('',*,*,#230342,.F.); #326690=ORIENTED_EDGE('',*,*,#230339,.T.); #326691=ORIENTED_EDGE('',*,*,#230308,.F.); #326692=ORIENTED_EDGE('',*,*,#230324,.F.); #326693=ORIENTED_EDGE('',*,*,#230304,.F.); #326694=ORIENTED_EDGE('',*,*,#230320,.F.); #326695=ORIENTED_EDGE('',*,*,#230345,.T.); #326696=ORIENTED_EDGE('',*,*,#230346,.T.); #326697=ORIENTED_EDGE('',*,*,#230347,.T.); #326698=ORIENTED_EDGE('',*,*,#230348,.T.); #326699=ORIENTED_EDGE('',*,*,#230349,.T.); #326700=ORIENTED_EDGE('',*,*,#230350,.T.); #326701=ORIENTED_EDGE('',*,*,#230351,.T.); #326702=ORIENTED_EDGE('',*,*,#230352,.T.); #326703=ORIENTED_EDGE('',*,*,#230312,.F.); #326704=ORIENTED_EDGE('',*,*,#230353,.T.); #326705=ORIENTED_EDGE('',*,*,#230348,.F.); #326706=ORIENTED_EDGE('',*,*,#230354,.F.); #326707=ORIENTED_EDGE('',*,*,#230355,.F.); #326708=ORIENTED_EDGE('',*,*,#230354,.T.); #326709=ORIENTED_EDGE('',*,*,#230347,.F.); #326710=ORIENTED_EDGE('',*,*,#230356,.F.); #326711=ORIENTED_EDGE('',*,*,#230357,.F.); #326712=ORIENTED_EDGE('',*,*,#230356,.T.); #326713=ORIENTED_EDGE('',*,*,#230346,.F.); #326714=ORIENTED_EDGE('',*,*,#230358,.F.); #326715=ORIENTED_EDGE('',*,*,#230359,.F.); #326716=ORIENTED_EDGE('',*,*,#230358,.T.); #326717=ORIENTED_EDGE('',*,*,#230345,.F.); #326718=ORIENTED_EDGE('',*,*,#230353,.F.); #326719=ORIENTED_EDGE('',*,*,#230360,.F.); #326720=ORIENTED_EDGE('',*,*,#230361,.T.); #326721=ORIENTED_EDGE('',*,*,#230352,.F.); #326722=ORIENTED_EDGE('',*,*,#230362,.F.); #326723=ORIENTED_EDGE('',*,*,#230313,.F.); #326724=ORIENTED_EDGE('',*,*,#230362,.T.); #326725=ORIENTED_EDGE('',*,*,#230351,.F.); #326726=ORIENTED_EDGE('',*,*,#230363,.F.); #326727=ORIENTED_EDGE('',*,*,#230364,.F.); #326728=ORIENTED_EDGE('',*,*,#230363,.T.); #326729=ORIENTED_EDGE('',*,*,#230350,.F.); #326730=ORIENTED_EDGE('',*,*,#230365,.F.); #326731=ORIENTED_EDGE('',*,*,#230366,.F.); #326732=ORIENTED_EDGE('',*,*,#230365,.T.); #326733=ORIENTED_EDGE('',*,*,#230349,.F.); #326734=ORIENTED_EDGE('',*,*,#230361,.F.); #326735=ORIENTED_EDGE('',*,*,#230367,.T.); #326736=ORIENTED_EDGE('',*,*,#230368,.T.); #326737=ORIENTED_EDGE('',*,*,#230369,.T.); #326738=ORIENTED_EDGE('',*,*,#230370,.T.); #326739=ORIENTED_EDGE('',*,*,#230355,.T.); #326740=ORIENTED_EDGE('',*,*,#230357,.T.); #326741=ORIENTED_EDGE('',*,*,#230359,.T.); #326742=ORIENTED_EDGE('',*,*,#230364,.T.); #326743=ORIENTED_EDGE('',*,*,#230366,.T.); #326744=ORIENTED_EDGE('',*,*,#230360,.T.); #326745=ORIENTED_EDGE('',*,*,#230368,.F.); #326746=ORIENTED_EDGE('',*,*,#230371,.T.); #326747=ORIENTED_EDGE('',*,*,#230297,.F.); #326748=ORIENTED_EDGE('',*,*,#230372,.F.); #326749=ORIENTED_EDGE('',*,*,#230369,.F.); #326750=ORIENTED_EDGE('',*,*,#230372,.T.); #326751=ORIENTED_EDGE('',*,*,#230296,.F.); #326752=ORIENTED_EDGE('',*,*,#230373,.F.); #326753=ORIENTED_EDGE('',*,*,#230370,.F.); #326754=ORIENTED_EDGE('',*,*,#230373,.T.); #326755=ORIENTED_EDGE('',*,*,#230295,.F.); #326756=ORIENTED_EDGE('',*,*,#230374,.F.); #326757=ORIENTED_EDGE('',*,*,#230367,.F.); #326758=ORIENTED_EDGE('',*,*,#230374,.T.); #326759=ORIENTED_EDGE('',*,*,#230294,.F.); #326760=ORIENTED_EDGE('',*,*,#230371,.F.); #326761=ORIENTED_EDGE('',*,*,#230375,.F.); #326762=ORIENTED_EDGE('',*,*,#230376,.F.); #326763=ORIENTED_EDGE('',*,*,#230377,.F.); #326764=ORIENTED_EDGE('',*,*,#230378,.F.); #326765=ORIENTED_EDGE('',*,*,#230379,.T.); #326766=ORIENTED_EDGE('',*,*,#230376,.T.); #326767=ORIENTED_EDGE('',*,*,#230380,.T.); #326768=ORIENTED_EDGE('',*,*,#230381,.F.); #326769=ORIENTED_EDGE('',*,*,#230380,.F.); #326770=ORIENTED_EDGE('',*,*,#230382,.F.); #326771=ORIENTED_EDGE('',*,*,#230383,.F.); #326772=ORIENTED_EDGE('',*,*,#230384,.F.); #326773=ORIENTED_EDGE('',*,*,#230385,.F.); #326774=ORIENTED_EDGE('',*,*,#230386,.F.); #326775=ORIENTED_EDGE('',*,*,#230387,.F.); #326776=ORIENTED_EDGE('',*,*,#230388,.T.); #326777=ORIENTED_EDGE('',*,*,#230385,.T.); #326778=ORIENTED_EDGE('',*,*,#230389,.T.); #326779=ORIENTED_EDGE('',*,*,#230390,.F.); #326780=ORIENTED_EDGE('',*,*,#230389,.F.); #326781=ORIENTED_EDGE('',*,*,#230391,.F.); #326782=ORIENTED_EDGE('',*,*,#230392,.F.); #326783=ORIENTED_EDGE('',*,*,#230393,.F.); #326784=ORIENTED_EDGE('',*,*,#230394,.F.); #326785=ORIENTED_EDGE('',*,*,#230395,.F.); #326786=ORIENTED_EDGE('',*,*,#230396,.F.); #326787=ORIENTED_EDGE('',*,*,#230397,.F.); #326788=ORIENTED_EDGE('',*,*,#230398,.F.); #326789=ORIENTED_EDGE('',*,*,#230399,.F.); #326790=ORIENTED_EDGE('',*,*,#230400,.T.); #326791=ORIENTED_EDGE('',*,*,#230398,.T.); #326792=ORIENTED_EDGE('',*,*,#230401,.T.); #326793=ORIENTED_EDGE('',*,*,#230402,.F.); #326794=ORIENTED_EDGE('',*,*,#230401,.F.); #326795=ORIENTED_EDGE('',*,*,#230403,.F.); #326796=ORIENTED_EDGE('',*,*,#230404,.F.); #326797=ORIENTED_EDGE('',*,*,#230405,.F.); #326798=ORIENTED_EDGE('',*,*,#230406,.T.); #326799=ORIENTED_EDGE('',*,*,#230407,.T.); #326800=ORIENTED_EDGE('',*,*,#230393,.T.); #326801=ORIENTED_EDGE('',*,*,#230408,.F.); #326802=ORIENTED_EDGE('',*,*,#230409,.F.); #326803=ORIENTED_EDGE('',*,*,#230410,.F.); #326804=ORIENTED_EDGE('',*,*,#230407,.F.); #326805=ORIENTED_EDGE('',*,*,#230378,.T.); #326806=ORIENTED_EDGE('',*,*,#230411,.F.); #326807=ORIENTED_EDGE('',*,*,#230412,.F.); #326808=ORIENTED_EDGE('',*,*,#230413,.F.); #326809=ORIENTED_EDGE('',*,*,#230405,.T.); #326810=ORIENTED_EDGE('',*,*,#230414,.F.); #326811=ORIENTED_EDGE('',*,*,#230415,.F.); #326812=ORIENTED_EDGE('',*,*,#230416,.F.); #326813=ORIENTED_EDGE('',*,*,#230417,.F.); #326814=ORIENTED_EDGE('',*,*,#230418,.T.); #326815=ORIENTED_EDGE('',*,*,#230411,.T.); #326816=ORIENTED_EDGE('',*,*,#230381,.T.); #326817=ORIENTED_EDGE('',*,*,#230383,.T.); #326818=ORIENTED_EDGE('',*,*,#230386,.T.); #326819=ORIENTED_EDGE('',*,*,#230388,.F.); #326820=ORIENTED_EDGE('',*,*,#230419,.T.); #326821=ORIENTED_EDGE('',*,*,#230420,.F.); #326822=ORIENTED_EDGE('',*,*,#230421,.F.); #326823=ORIENTED_EDGE('',*,*,#230422,.T.); #326824=ORIENTED_EDGE('',*,*,#230412,.T.); #326825=ORIENTED_EDGE('',*,*,#230418,.F.); #326826=ORIENTED_EDGE('',*,*,#230423,.F.); #326827=ORIENTED_EDGE('',*,*,#230424,.T.); #326828=ORIENTED_EDGE('',*,*,#230425,.T.); #326829=ORIENTED_EDGE('',*,*,#230390,.T.); #326830=ORIENTED_EDGE('',*,*,#230392,.T.); #326831=ORIENTED_EDGE('',*,*,#230377,.T.); #326832=ORIENTED_EDGE('',*,*,#230379,.F.); #326833=ORIENTED_EDGE('',*,*,#230413,.T.); #326834=ORIENTED_EDGE('',*,*,#230422,.F.); #326835=ORIENTED_EDGE('',*,*,#230426,.F.); #326836=ORIENTED_EDGE('',*,*,#230420,.T.); #326837=ORIENTED_EDGE('',*,*,#230427,.T.); #326838=ORIENTED_EDGE('',*,*,#230424,.F.); #326839=ORIENTED_EDGE('',*,*,#230387,.T.); #326840=ORIENTED_EDGE('',*,*,#230425,.F.); #326841=ORIENTED_EDGE('',*,*,#230427,.F.); #326842=ORIENTED_EDGE('',*,*,#230419,.F.); #326843=ORIENTED_EDGE('',*,*,#230428,.F.); #326844=ORIENTED_EDGE('',*,*,#230429,.T.); #326845=ORIENTED_EDGE('',*,*,#230430,.T.); #326846=ORIENTED_EDGE('',*,*,#230431,.F.); #326847=ORIENTED_EDGE('',*,*,#230399,.T.); #326848=ORIENTED_EDGE('',*,*,#230432,.F.); #326849=ORIENTED_EDGE('',*,*,#230430,.F.); #326850=ORIENTED_EDGE('',*,*,#230433,.F.); #326851=ORIENTED_EDGE('',*,*,#230434,.F.); #326852=ORIENTED_EDGE('',*,*,#230435,.T.); #326853=ORIENTED_EDGE('',*,*,#230414,.T.); #326854=ORIENTED_EDGE('',*,*,#230408,.T.); #326855=ORIENTED_EDGE('',*,*,#230395,.T.); #326856=ORIENTED_EDGE('',*,*,#230396,.T.); #326857=ORIENTED_EDGE('',*,*,#230400,.F.); #326858=ORIENTED_EDGE('',*,*,#230433,.T.); #326859=ORIENTED_EDGE('',*,*,#230429,.F.); #326860=ORIENTED_EDGE('',*,*,#230436,.F.); #326861=ORIENTED_EDGE('',*,*,#230437,.T.); #326862=ORIENTED_EDGE('',*,*,#230415,.T.); #326863=ORIENTED_EDGE('',*,*,#230435,.F.); #326864=ORIENTED_EDGE('',*,*,#230438,.F.); #326865=ORIENTED_EDGE('',*,*,#230431,.T.); #326866=ORIENTED_EDGE('',*,*,#230432,.T.); #326867=ORIENTED_EDGE('',*,*,#230402,.T.); #326868=ORIENTED_EDGE('',*,*,#230404,.T.); #326869=ORIENTED_EDGE('',*,*,#230409,.T.); #326870=ORIENTED_EDGE('',*,*,#230406,.F.); #326871=ORIENTED_EDGE('',*,*,#230416,.T.); #326872=ORIENTED_EDGE('',*,*,#230437,.F.); #326873=ORIENTED_EDGE('',*,*,#230423,.T.); #326874=ORIENTED_EDGE('',*,*,#230439,.F.); #326875=ORIENTED_EDGE('',*,*,#230440,.T.); #326876=ORIENTED_EDGE('',*,*,#230441,.F.); #326877=ORIENTED_EDGE('',*,*,#230438,.T.); #326878=ORIENTED_EDGE('',*,*,#230442,.F.); #326879=ORIENTED_EDGE('',*,*,#230443,.T.); #326880=ORIENTED_EDGE('',*,*,#230444,.F.); #326881=ORIENTED_EDGE('',*,*,#230434,.T.); #326882=ORIENTED_EDGE('',*,*,#230445,.F.); #326883=ORIENTED_EDGE('',*,*,#230446,.T.); #326884=ORIENTED_EDGE('',*,*,#230447,.F.); #326885=ORIENTED_EDGE('',*,*,#230448,.T.); #326886=ORIENTED_EDGE('',*,*,#230439,.T.); #326887=ORIENTED_EDGE('',*,*,#230421,.T.); #326888=ORIENTED_EDGE('',*,*,#230449,.T.); #326889=ORIENTED_EDGE('',*,*,#230450,.T.); #326890=ORIENTED_EDGE('',*,*,#230451,.T.); #326891=ORIENTED_EDGE('',*,*,#230417,.T.); #326892=ORIENTED_EDGE('',*,*,#230452,.F.); #326893=ORIENTED_EDGE('',*,*,#230453,.T.); #326894=ORIENTED_EDGE('',*,*,#230449,.F.); #326895=ORIENTED_EDGE('',*,*,#230454,.T.); #326896=ORIENTED_EDGE('',*,*,#230452,.T.); #326897=ORIENTED_EDGE('',*,*,#230426,.T.); #326898=ORIENTED_EDGE('',*,*,#230441,.T.); #326899=ORIENTED_EDGE('',*,*,#230455,.T.); #326900=ORIENTED_EDGE('',*,*,#230456,.T.); #326901=ORIENTED_EDGE('',*,*,#230457,.T.); #326902=ORIENTED_EDGE('',*,*,#230442,.T.); #326903=ORIENTED_EDGE('',*,*,#230436,.T.); #326904=ORIENTED_EDGE('',*,*,#230447,.T.); #326905=ORIENTED_EDGE('',*,*,#230458,.T.); #326906=ORIENTED_EDGE('',*,*,#230459,.T.); #326907=ORIENTED_EDGE('',*,*,#230460,.T.); #326908=ORIENTED_EDGE('',*,*,#230445,.T.); #326909=ORIENTED_EDGE('',*,*,#230428,.T.); #326910=ORIENTED_EDGE('',*,*,#230444,.T.); #326911=ORIENTED_EDGE('',*,*,#230461,.T.); #326912=ORIENTED_EDGE('',*,*,#230462,.T.); #326913=ORIENTED_EDGE('',*,*,#230463,.T.); #326914=ORIENTED_EDGE('',*,*,#230464,.T.); #326915=ORIENTED_EDGE('',*,*,#230465,.T.); #326916=ORIENTED_EDGE('',*,*,#230466,.T.); #326917=ORIENTED_EDGE('',*,*,#230456,.F.); #326918=ORIENTED_EDGE('',*,*,#230467,.F.); #326919=ORIENTED_EDGE('',*,*,#230464,.F.); #326920=ORIENTED_EDGE('',*,*,#230468,.T.); #326921=ORIENTED_EDGE('',*,*,#230469,.T.); #326922=ORIENTED_EDGE('',*,*,#230470,.T.); #326923=ORIENTED_EDGE('',*,*,#230471,.T.); #326924=ORIENTED_EDGE('',*,*,#230472,.T.); #326925=ORIENTED_EDGE('',*,*,#230466,.F.); #326926=ORIENTED_EDGE('',*,*,#230473,.T.); #326927=ORIENTED_EDGE('',*,*,#230470,.F.); #326928=ORIENTED_EDGE('',*,*,#230474,.T.); #326929=ORIENTED_EDGE('',*,*,#230475,.T.); #326930=ORIENTED_EDGE('',*,*,#230476,.T.); #326931=ORIENTED_EDGE('',*,*,#230477,.T.); #326932=ORIENTED_EDGE('',*,*,#230478,.T.); #326933=ORIENTED_EDGE('',*,*,#230479,.T.); #326934=ORIENTED_EDGE('',*,*,#230480,.T.); #326935=ORIENTED_EDGE('',*,*,#230459,.F.); #326936=ORIENTED_EDGE('',*,*,#230481,.F.); #326937=ORIENTED_EDGE('',*,*,#230478,.F.); #326938=ORIENTED_EDGE('',*,*,#230482,.T.); #326939=ORIENTED_EDGE('',*,*,#230483,.T.); #326940=ORIENTED_EDGE('',*,*,#230484,.T.); #326941=ORIENTED_EDGE('',*,*,#230485,.T.); #326942=ORIENTED_EDGE('',*,*,#230486,.T.); #326943=ORIENTED_EDGE('',*,*,#230480,.F.); #326944=ORIENTED_EDGE('',*,*,#230487,.T.); #326945=ORIENTED_EDGE('',*,*,#230484,.F.); #326946=ORIENTED_EDGE('',*,*,#230488,.T.); #326947=ORIENTED_EDGE('',*,*,#230489,.T.); #326948=ORIENTED_EDGE('',*,*,#230490,.T.); #326949=ORIENTED_EDGE('',*,*,#230491,.T.); #326950=ORIENTED_EDGE('',*,*,#230492,.F.); #326951=ORIENTED_EDGE('',*,*,#230493,.T.); #326952=ORIENTED_EDGE('',*,*,#230403,.T.); #326953=ORIENTED_EDGE('',*,*,#230397,.T.); #326954=ORIENTED_EDGE('',*,*,#230394,.T.); #326955=ORIENTED_EDGE('',*,*,#230410,.T.); #326956=ORIENTED_EDGE('',*,*,#230493,.F.); #326957=ORIENTED_EDGE('',*,*,#230494,.F.); #326958=ORIENTED_EDGE('',*,*,#230495,.T.); #326959=ORIENTED_EDGE('',*,*,#230391,.T.); #326960=ORIENTED_EDGE('',*,*,#230384,.T.); #326961=ORIENTED_EDGE('',*,*,#230382,.T.); #326962=ORIENTED_EDGE('',*,*,#230375,.T.); #326963=ORIENTED_EDGE('',*,*,#230495,.F.); #326964=ORIENTED_EDGE('',*,*,#230462,.F.); #326965=ORIENTED_EDGE('',*,*,#230496,.F.); #326966=ORIENTED_EDGE('',*,*,#230486,.F.); #326967=ORIENTED_EDGE('',*,*,#230497,.T.); #326968=ORIENTED_EDGE('',*,*,#230498,.F.); #326969=ORIENTED_EDGE('',*,*,#230488,.F.); #326970=ORIENTED_EDGE('',*,*,#230483,.F.); #326971=ORIENTED_EDGE('',*,*,#230496,.T.); #326972=ORIENTED_EDGE('',*,*,#230461,.F.); #326973=ORIENTED_EDGE('',*,*,#230443,.F.); #326974=ORIENTED_EDGE('',*,*,#230457,.F.); #326975=ORIENTED_EDGE('',*,*,#230482,.F.); #326976=ORIENTED_EDGE('',*,*,#230477,.F.); #326977=ORIENTED_EDGE('',*,*,#230491,.F.); #326978=ORIENTED_EDGE('',*,*,#230499,.F.); #326979=ORIENTED_EDGE('',*,*,#230500,.F.); #326980=ORIENTED_EDGE('',*,*,#230501,.F.); #326981=ORIENTED_EDGE('',*,*,#230502,.F.); #326982=ORIENTED_EDGE('',*,*,#230503,.F.); #326983=ORIENTED_EDGE('',*,*,#230504,.F.); #326984=ORIENTED_EDGE('',*,*,#230505,.F.); #326985=ORIENTED_EDGE('',*,*,#230506,.T.); #326986=ORIENTED_EDGE('',*,*,#230507,.F.); #326987=ORIENTED_EDGE('',*,*,#230508,.F.); #326988=ORIENTED_EDGE('',*,*,#230481,.T.); #326989=ORIENTED_EDGE('',*,*,#230458,.F.); #326990=ORIENTED_EDGE('',*,*,#230446,.F.); #326991=ORIENTED_EDGE('',*,*,#230460,.F.); #326992=ORIENTED_EDGE('',*,*,#230497,.F.); #326993=ORIENTED_EDGE('',*,*,#230485,.F.); #326994=ORIENTED_EDGE('',*,*,#230487,.F.); #326995=ORIENTED_EDGE('',*,*,#230479,.F.); #326996=ORIENTED_EDGE('',*,*,#230451,.F.); #326997=ORIENTED_EDGE('',*,*,#230509,.F.); #326998=ORIENTED_EDGE('',*,*,#230472,.F.); #326999=ORIENTED_EDGE('',*,*,#230510,.T.); #327000=ORIENTED_EDGE('',*,*,#230511,.F.); #327001=ORIENTED_EDGE('',*,*,#230512,.F.); #327002=ORIENTED_EDGE('',*,*,#230513,.F.); #327003=ORIENTED_EDGE('',*,*,#230514,.F.); #327004=ORIENTED_EDGE('',*,*,#230515,.F.); #327005=ORIENTED_EDGE('',*,*,#230516,.T.); #327006=ORIENTED_EDGE('',*,*,#230517,.F.); #327007=ORIENTED_EDGE('',*,*,#230518,.F.); #327008=ORIENTED_EDGE('',*,*,#230467,.T.); #327009=ORIENTED_EDGE('',*,*,#230455,.F.); #327010=ORIENTED_EDGE('',*,*,#230440,.F.); #327011=ORIENTED_EDGE('',*,*,#230448,.F.); #327012=ORIENTED_EDGE('',*,*,#230510,.F.); #327013=ORIENTED_EDGE('',*,*,#230471,.F.); #327014=ORIENTED_EDGE('',*,*,#230473,.F.); #327015=ORIENTED_EDGE('',*,*,#230465,.F.); #327016=ORIENTED_EDGE('',*,*,#230519,.F.); #327017=ORIENTED_EDGE('',*,*,#230500,.T.); #327018=ORIENTED_EDGE('',*,*,#230520,.F.); #327019=ORIENTED_EDGE('',*,*,#230501,.T.); #327020=ORIENTED_EDGE('',*,*,#230492,.T.); #327021=ORIENTED_EDGE('',*,*,#230521,.T.); #327022=ORIENTED_EDGE('',*,*,#230505,.T.); #327023=ORIENTED_EDGE('',*,*,#230522,.T.); #327024=ORIENTED_EDGE('',*,*,#230515,.T.); #327025=ORIENTED_EDGE('',*,*,#230523,.T.); #327026=ORIENTED_EDGE('',*,*,#230524,.F.); #327027=ORIENTED_EDGE('',*,*,#230474,.F.); #327028=ORIENTED_EDGE('',*,*,#230469,.F.); #327029=ORIENTED_EDGE('',*,*,#230509,.T.); #327030=ORIENTED_EDGE('',*,*,#230450,.F.); #327031=ORIENTED_EDGE('',*,*,#230453,.F.); #327032=ORIENTED_EDGE('',*,*,#230454,.F.); #327033=ORIENTED_EDGE('',*,*,#230468,.F.); #327034=ORIENTED_EDGE('',*,*,#230463,.F.); #327035=ORIENTED_EDGE('',*,*,#230476,.F.); #327036=ORIENTED_EDGE('',*,*,#230525,.F.); #327037=ORIENTED_EDGE('',*,*,#230526,.F.); #327038=ORIENTED_EDGE('',*,*,#230527,.F.); #327039=ORIENTED_EDGE('',*,*,#230526,.T.); #327040=ORIENTED_EDGE('',*,*,#230528,.F.); #327041=ORIENTED_EDGE('',*,*,#230511,.T.); #327042=ORIENTED_EDGE('',*,*,#230494,.T.); #327043=ORIENTED_EDGE('',*,*,#230508,.T.); #327044=ORIENTED_EDGE('',*,*,#230529,.F.); #327045=ORIENTED_EDGE('',*,*,#230512,.T.); #327046=ORIENTED_EDGE('',*,*,#230528,.T.); #327047=ORIENTED_EDGE('',*,*,#230525,.T.); #327048=ORIENTED_EDGE('',*,*,#230475,.F.); #327049=ORIENTED_EDGE('',*,*,#230524,.T.); #327050=ORIENTED_EDGE('',*,*,#230527,.T.); #327051=ORIENTED_EDGE('',*,*,#230518,.T.); #327052=ORIENTED_EDGE('',*,*,#230530,.F.); #327053=ORIENTED_EDGE('',*,*,#230502,.T.); #327054=ORIENTED_EDGE('',*,*,#230520,.T.); #327055=ORIENTED_EDGE('',*,*,#230499,.T.); #327056=ORIENTED_EDGE('',*,*,#230490,.F.); #327057=ORIENTED_EDGE('',*,*,#230531,.T.); #327058=ORIENTED_EDGE('',*,*,#230532,.T.); #327059=ORIENTED_EDGE('',*,*,#230533,.T.); #327060=ORIENTED_EDGE('',*,*,#230534,.T.); #327061=ORIENTED_EDGE('',*,*,#230531,.F.); #327062=ORIENTED_EDGE('',*,*,#230489,.F.); #327063=ORIENTED_EDGE('',*,*,#230498,.T.); #327064=ORIENTED_EDGE('',*,*,#230519,.T.); #327065=ORIENTED_EDGE('',*,*,#230517,.T.); #327066=ORIENTED_EDGE('',*,*,#230535,.T.); #327067=ORIENTED_EDGE('',*,*,#230503,.T.); #327068=ORIENTED_EDGE('',*,*,#230530,.T.); #327069=ORIENTED_EDGE('',*,*,#230507,.T.); #327070=ORIENTED_EDGE('',*,*,#230536,.T.); #327071=ORIENTED_EDGE('',*,*,#230513,.T.); #327072=ORIENTED_EDGE('',*,*,#230529,.T.); #327073=ORIENTED_EDGE('',*,*,#230521,.F.); #327074=ORIENTED_EDGE('',*,*,#230537,.T.); #327075=ORIENTED_EDGE('',*,*,#230538,.T.); #327076=ORIENTED_EDGE('',*,*,#230537,.F.); #327077=ORIENTED_EDGE('',*,*,#230536,.F.); #327078=ORIENTED_EDGE('',*,*,#230506,.F.); #327079=ORIENTED_EDGE('',*,*,#230523,.F.); #327080=ORIENTED_EDGE('',*,*,#230514,.T.); #327081=ORIENTED_EDGE('',*,*,#230535,.F.); #327082=ORIENTED_EDGE('',*,*,#230516,.F.); #327083=ORIENTED_EDGE('',*,*,#230522,.F.); #327084=ORIENTED_EDGE('',*,*,#230504,.T.); #327085=ORIENTED_EDGE('',*,*,#230539,.T.); #327086=ORIENTED_EDGE('',*,*,#230540,.F.); #327087=ORIENTED_EDGE('',*,*,#230541,.T.); #327088=ORIENTED_EDGE('',*,*,#230542,.F.); #327089=ORIENTED_EDGE('',*,*,#230543,.T.); #327090=ORIENTED_EDGE('',*,*,#230542,.T.); #327091=ORIENTED_EDGE('',*,*,#230544,.T.); #327092=ORIENTED_EDGE('',*,*,#230545,.T.); #327093=ORIENTED_EDGE('',*,*,#230546,.T.); #327094=ORIENTED_EDGE('',*,*,#230540,.T.); #327095=ORIENTED_EDGE('',*,*,#230547,.T.); #327096=ORIENTED_EDGE('',*,*,#230548,.T.); #327097=ORIENTED_EDGE('',*,*,#230549,.T.); #327098=ORIENTED_EDGE('',*,*,#230550,.T.); #327099=ORIENTED_EDGE('',*,*,#230551,.T.); #327100=ORIENTED_EDGE('',*,*,#230545,.F.); #327101=ORIENTED_EDGE('',*,*,#230552,.T.); #327102=ORIENTED_EDGE('',*,*,#230548,.F.); #327103=ORIENTED_EDGE('',*,*,#230546,.F.); #327104=ORIENTED_EDGE('',*,*,#230551,.F.); #327105=ORIENTED_EDGE('',*,*,#230533,.F.); #327106=ORIENTED_EDGE('',*,*,#230543,.F.); #327107=ORIENTED_EDGE('',*,*,#230547,.F.); #327108=ORIENTED_EDGE('',*,*,#230539,.F.); #327109=ORIENTED_EDGE('',*,*,#230532,.F.); #327110=ORIENTED_EDGE('',*,*,#230534,.F.); #327111=ORIENTED_EDGE('',*,*,#230550,.F.); #327112=ORIENTED_EDGE('',*,*,#230538,.F.); #327113=ORIENTED_EDGE('',*,*,#230549,.F.); #327114=ORIENTED_EDGE('',*,*,#230552,.F.); #327115=ORIENTED_EDGE('',*,*,#230544,.F.); #327116=ORIENTED_EDGE('',*,*,#230541,.F.); #327117=ORIENTED_EDGE('',*,*,#230553,.T.); #327118=ORIENTED_EDGE('',*,*,#230554,.T.); #327119=ORIENTED_EDGE('',*,*,#230555,.T.); #327120=ORIENTED_EDGE('',*,*,#230556,.T.); #327121=ORIENTED_EDGE('',*,*,#230554,.F.); #327122=ORIENTED_EDGE('',*,*,#230557,.F.); #327123=ORIENTED_EDGE('',*,*,#230558,.F.); #327124=ORIENTED_EDGE('',*,*,#230559,.F.); #327125=ORIENTED_EDGE('',*,*,#230560,.T.); #327126=ORIENTED_EDGE('',*,*,#230561,.T.); #327127=ORIENTED_EDGE('',*,*,#230562,.T.); #327128=ORIENTED_EDGE('',*,*,#230563,.T.); #327129=ORIENTED_EDGE('',*,*,#230561,.F.); #327130=ORIENTED_EDGE('',*,*,#230564,.F.); #327131=ORIENTED_EDGE('',*,*,#230565,.F.); #327132=ORIENTED_EDGE('',*,*,#230566,.F.); #327133=ORIENTED_EDGE('',*,*,#230567,.T.); #327134=ORIENTED_EDGE('',*,*,#230568,.T.); #327135=ORIENTED_EDGE('',*,*,#230569,.T.); #327136=ORIENTED_EDGE('',*,*,#230565,.T.); #327137=ORIENTED_EDGE('',*,*,#230563,.F.); #327138=ORIENTED_EDGE('',*,*,#230570,.F.); #327139=ORIENTED_EDGE('',*,*,#230568,.F.); #327140=ORIENTED_EDGE('',*,*,#230571,.F.); #327141=ORIENTED_EDGE('',*,*,#230572,.T.); #327142=ORIENTED_EDGE('',*,*,#230573,.T.); #327143=ORIENTED_EDGE('',*,*,#230574,.T.); #327144=ORIENTED_EDGE('',*,*,#230558,.T.); #327145=ORIENTED_EDGE('',*,*,#230556,.F.); #327146=ORIENTED_EDGE('',*,*,#230575,.F.); #327147=ORIENTED_EDGE('',*,*,#230573,.F.); #327148=ORIENTED_EDGE('',*,*,#230576,.F.); #327149=ORIENTED_EDGE('',*,*,#230577,.F.); #327150=ORIENTED_EDGE('',*,*,#230578,.T.); #327151=ORIENTED_EDGE('',*,*,#230579,.F.); #327152=ORIENTED_EDGE('',*,*,#230580,.F.); #327153=ORIENTED_EDGE('',*,*,#230581,.F.); #327154=ORIENTED_EDGE('',*,*,#230580,.T.); #327155=ORIENTED_EDGE('',*,*,#230582,.F.); #327156=ORIENTED_EDGE('',*,*,#230583,.F.); #327157=ORIENTED_EDGE('',*,*,#230584,.F.); #327158=ORIENTED_EDGE('',*,*,#230583,.T.); #327159=ORIENTED_EDGE('',*,*,#230585,.F.); #327160=ORIENTED_EDGE('',*,*,#230586,.F.); #327161=ORIENTED_EDGE('',*,*,#230587,.F.); #327162=ORIENTED_EDGE('',*,*,#230586,.T.); #327163=ORIENTED_EDGE('',*,*,#230588,.F.); #327164=ORIENTED_EDGE('',*,*,#230578,.F.); #327165=ORIENTED_EDGE('',*,*,#230589,.F.); #327166=ORIENTED_EDGE('',*,*,#230590,.T.); #327167=ORIENTED_EDGE('',*,*,#230591,.F.); #327168=ORIENTED_EDGE('',*,*,#230590,.F.); #327169=ORIENTED_EDGE('',*,*,#230592,.F.); #327170=ORIENTED_EDGE('',*,*,#230593,.T.); #327171=ORIENTED_EDGE('',*,*,#230594,.F.); #327172=ORIENTED_EDGE('',*,*,#230595,.F.); #327173=ORIENTED_EDGE('',*,*,#230596,.F.); #327174=ORIENTED_EDGE('',*,*,#230595,.T.); #327175=ORIENTED_EDGE('',*,*,#230597,.F.); #327176=ORIENTED_EDGE('',*,*,#230598,.F.); #327177=ORIENTED_EDGE('',*,*,#230599,.T.); #327178=ORIENTED_EDGE('',*,*,#230598,.T.); #327179=ORIENTED_EDGE('',*,*,#230600,.F.); #327180=ORIENTED_EDGE('',*,*,#230601,.F.); #327181=ORIENTED_EDGE('',*,*,#230602,.F.); #327182=ORIENTED_EDGE('',*,*,#230601,.T.); #327183=ORIENTED_EDGE('',*,*,#230603,.F.); #327184=ORIENTED_EDGE('',*,*,#230593,.F.); #327185=ORIENTED_EDGE('',*,*,#230602,.T.); #327186=ORIENTED_EDGE('',*,*,#230592,.T.); #327187=ORIENTED_EDGE('',*,*,#230596,.T.); #327188=ORIENTED_EDGE('',*,*,#230599,.F.); #327189=ORIENTED_EDGE('',*,*,#230559,.T.); #327190=ORIENTED_EDGE('',*,*,#230574,.F.); #327191=ORIENTED_EDGE('',*,*,#230575,.T.); #327192=ORIENTED_EDGE('',*,*,#230555,.F.); #327193=ORIENTED_EDGE('',*,*,#230566,.T.); #327194=ORIENTED_EDGE('',*,*,#230569,.F.); #327195=ORIENTED_EDGE('',*,*,#230570,.T.); #327196=ORIENTED_EDGE('',*,*,#230562,.F.); #327197=ORIENTED_EDGE('',*,*,#230591,.T.); #327198=ORIENTED_EDGE('',*,*,#230587,.T.); #327199=ORIENTED_EDGE('',*,*,#230577,.T.); #327200=ORIENTED_EDGE('',*,*,#230581,.T.); #327201=ORIENTED_EDGE('',*,*,#230584,.T.); #327202=ORIENTED_EDGE('',*,*,#230603,.T.); #327203=ORIENTED_EDGE('',*,*,#230600,.T.); #327204=ORIENTED_EDGE('',*,*,#230597,.T.); #327205=ORIENTED_EDGE('',*,*,#230594,.T.); #327206=ORIENTED_EDGE('',*,*,#230557,.T.); #327207=ORIENTED_EDGE('',*,*,#230553,.F.); #327208=ORIENTED_EDGE('',*,*,#230576,.T.); #327209=ORIENTED_EDGE('',*,*,#230572,.F.); #327210=ORIENTED_EDGE('',*,*,#230564,.T.); #327211=ORIENTED_EDGE('',*,*,#230560,.F.); #327212=ORIENTED_EDGE('',*,*,#230571,.T.); #327213=ORIENTED_EDGE('',*,*,#230567,.F.); #327214=ORIENTED_EDGE('',*,*,#230589,.T.); #327215=ORIENTED_EDGE('',*,*,#230588,.T.); #327216=ORIENTED_EDGE('',*,*,#230585,.T.); #327217=ORIENTED_EDGE('',*,*,#230582,.T.); #327218=ORIENTED_EDGE('',*,*,#230579,.T.); #327219=ORIENTED_EDGE('',*,*,#230604,.T.); #327220=ORIENTED_EDGE('',*,*,#230605,.T.); #327221=ORIENTED_EDGE('',*,*,#230606,.F.); #327222=ORIENTED_EDGE('',*,*,#230607,.F.); #327223=ORIENTED_EDGE('',*,*,#230608,.T.); #327224=ORIENTED_EDGE('',*,*,#230609,.T.); #327225=ORIENTED_EDGE('',*,*,#230607,.T.); #327226=ORIENTED_EDGE('',*,*,#230610,.F.); #327227=ORIENTED_EDGE('',*,*,#230611,.F.); #327228=ORIENTED_EDGE('',*,*,#230612,.T.); #327229=ORIENTED_EDGE('',*,*,#230611,.T.); #327230=ORIENTED_EDGE('',*,*,#230613,.F.); #327231=ORIENTED_EDGE('',*,*,#230614,.F.); #327232=ORIENTED_EDGE('',*,*,#230615,.T.); #327233=ORIENTED_EDGE('',*,*,#230614,.T.); #327234=ORIENTED_EDGE('',*,*,#230616,.F.); #327235=ORIENTED_EDGE('',*,*,#230617,.F.); #327236=ORIENTED_EDGE('',*,*,#230618,.T.); #327237=ORIENTED_EDGE('',*,*,#230617,.T.); #327238=ORIENTED_EDGE('',*,*,#230619,.F.); #327239=ORIENTED_EDGE('',*,*,#230620,.F.); #327240=ORIENTED_EDGE('',*,*,#230621,.T.); #327241=ORIENTED_EDGE('',*,*,#230620,.T.); #327242=ORIENTED_EDGE('',*,*,#230622,.F.); #327243=ORIENTED_EDGE('',*,*,#230623,.F.); #327244=ORIENTED_EDGE('',*,*,#230624,.T.); #327245=ORIENTED_EDGE('',*,*,#230623,.T.); #327246=ORIENTED_EDGE('',*,*,#230625,.F.); #327247=ORIENTED_EDGE('',*,*,#230626,.F.); #327248=ORIENTED_EDGE('',*,*,#230627,.T.); #327249=ORIENTED_EDGE('',*,*,#230626,.T.); #327250=ORIENTED_EDGE('',*,*,#230628,.F.); #327251=ORIENTED_EDGE('',*,*,#230605,.F.); #327252=ORIENTED_EDGE('',*,*,#230629,.T.); #327253=ORIENTED_EDGE('',*,*,#230630,.T.); #327254=ORIENTED_EDGE('',*,*,#230631,.T.); #327255=ORIENTED_EDGE('',*,*,#230632,.T.); #327256=ORIENTED_EDGE('',*,*,#230633,.T.); #327257=ORIENTED_EDGE('',*,*,#230634,.T.); #327258=ORIENTED_EDGE('',*,*,#230635,.T.); #327259=ORIENTED_EDGE('',*,*,#230636,.T.); #327260=ORIENTED_EDGE('',*,*,#230606,.T.); #327261=ORIENTED_EDGE('',*,*,#230628,.T.); #327262=ORIENTED_EDGE('',*,*,#230625,.T.); #327263=ORIENTED_EDGE('',*,*,#230622,.T.); #327264=ORIENTED_EDGE('',*,*,#230619,.T.); #327265=ORIENTED_EDGE('',*,*,#230616,.T.); #327266=ORIENTED_EDGE('',*,*,#230613,.T.); #327267=ORIENTED_EDGE('',*,*,#230610,.T.); #327268=ORIENTED_EDGE('',*,*,#230629,.F.); #327269=ORIENTED_EDGE('',*,*,#230637,.T.); #327270=ORIENTED_EDGE('',*,*,#230638,.T.); #327271=ORIENTED_EDGE('',*,*,#230639,.F.); #327272=ORIENTED_EDGE('',*,*,#230630,.F.); #327273=ORIENTED_EDGE('',*,*,#230639,.T.); #327274=ORIENTED_EDGE('',*,*,#230640,.T.); #327275=ORIENTED_EDGE('',*,*,#230641,.F.); #327276=ORIENTED_EDGE('',*,*,#230631,.F.); #327277=ORIENTED_EDGE('',*,*,#230641,.T.); #327278=ORIENTED_EDGE('',*,*,#230642,.T.); #327279=ORIENTED_EDGE('',*,*,#230643,.F.); #327280=ORIENTED_EDGE('',*,*,#230632,.F.); #327281=ORIENTED_EDGE('',*,*,#230643,.T.); #327282=ORIENTED_EDGE('',*,*,#230644,.T.); #327283=ORIENTED_EDGE('',*,*,#230645,.F.); #327284=ORIENTED_EDGE('',*,*,#230633,.F.); #327285=ORIENTED_EDGE('',*,*,#230645,.T.); #327286=ORIENTED_EDGE('',*,*,#230646,.T.); #327287=ORIENTED_EDGE('',*,*,#230647,.T.); #327288=ORIENTED_EDGE('',*,*,#230648,.F.); #327289=ORIENTED_EDGE('',*,*,#230634,.F.); #327290=ORIENTED_EDGE('',*,*,#230648,.T.); #327291=ORIENTED_EDGE('',*,*,#230649,.T.); #327292=ORIENTED_EDGE('',*,*,#230650,.F.); #327293=ORIENTED_EDGE('',*,*,#230635,.F.); #327294=ORIENTED_EDGE('',*,*,#230650,.T.); #327295=ORIENTED_EDGE('',*,*,#230651,.T.); #327296=ORIENTED_EDGE('',*,*,#230652,.F.); #327297=ORIENTED_EDGE('',*,*,#230636,.F.); #327298=ORIENTED_EDGE('',*,*,#230652,.T.); #327299=ORIENTED_EDGE('',*,*,#230653,.T.); #327300=ORIENTED_EDGE('',*,*,#230637,.F.); #327301=ORIENTED_EDGE('',*,*,#230654,.T.); #327302=ORIENTED_EDGE('',*,*,#230655,.T.); #327303=ORIENTED_EDGE('',*,*,#230656,.T.); #327304=ORIENTED_EDGE('',*,*,#230655,.F.); #327305=ORIENTED_EDGE('',*,*,#230657,.T.); #327306=ORIENTED_EDGE('',*,*,#230658,.T.); #327307=ORIENTED_EDGE('',*,*,#230654,.F.); #327308=ORIENTED_EDGE('',*,*,#230658,.F.); #327309=ORIENTED_EDGE('',*,*,#230656,.F.); #327310=ORIENTED_EDGE('',*,*,#230659,.F.); #327311=ORIENTED_EDGE('',*,*,#230646,.F.); #327312=ORIENTED_EDGE('',*,*,#230644,.F.); #327313=ORIENTED_EDGE('',*,*,#230642,.F.); #327314=ORIENTED_EDGE('',*,*,#230640,.F.); #327315=ORIENTED_EDGE('',*,*,#230638,.F.); #327316=ORIENTED_EDGE('',*,*,#230653,.F.); #327317=ORIENTED_EDGE('',*,*,#230651,.F.); #327318=ORIENTED_EDGE('',*,*,#230649,.F.); #327319=ORIENTED_EDGE('',*,*,#230647,.F.); #327320=ORIENTED_EDGE('',*,*,#230660,.T.); #327321=ORIENTED_EDGE('',*,*,#230661,.T.); #327322=ORIENTED_EDGE('',*,*,#230660,.F.); #327323=ORIENTED_EDGE('',*,*,#230661,.F.); #327324=ORIENTED_EDGE('',*,*,#230657,.F.); #327325=ORIENTED_EDGE('',*,*,#230608,.F.); #327326=ORIENTED_EDGE('',*,*,#230612,.F.); #327327=ORIENTED_EDGE('',*,*,#230615,.F.); #327328=ORIENTED_EDGE('',*,*,#230618,.F.); #327329=ORIENTED_EDGE('',*,*,#230621,.F.); #327330=ORIENTED_EDGE('',*,*,#230624,.F.); #327331=ORIENTED_EDGE('',*,*,#230627,.F.); #327332=ORIENTED_EDGE('',*,*,#230604,.F.); #327333=ORIENTED_EDGE('',*,*,#230609,.F.); #327334=ORIENTED_EDGE('',*,*,#230662,.T.); #327335=ORIENTED_EDGE('',*,*,#230659,.T.); #327336=ORIENTED_EDGE('',*,*,#230662,.F.); #327337=ORIENTED_EDGE('',*,*,#230663,.F.); #327338=ORIENTED_EDGE('',*,*,#230664,.T.); #327339=ORIENTED_EDGE('',*,*,#230665,.T.); #327340=ORIENTED_EDGE('',*,*,#230664,.F.); #327341=ORIENTED_EDGE('',*,*,#230665,.F.); #327342=ORIENTED_EDGE('',*,*,#230663,.T.); #327343=ORIENTED_EDGE('',*,*,#230666,.F.); #327344=ORIENTED_EDGE('',*,*,#230667,.T.); #327345=ORIENTED_EDGE('',*,*,#230668,.T.); #327346=ORIENTED_EDGE('',*,*,#230667,.F.); #327347=ORIENTED_EDGE('',*,*,#230668,.F.); #327348=ORIENTED_EDGE('',*,*,#230666,.T.); #327349=ORIENTED_EDGE('',*,*,#230669,.F.); #327350=ORIENTED_EDGE('',*,*,#230670,.T.); #327351=ORIENTED_EDGE('',*,*,#230671,.T.); #327352=ORIENTED_EDGE('',*,*,#230670,.F.); #327353=ORIENTED_EDGE('',*,*,#230671,.F.); #327354=ORIENTED_EDGE('',*,*,#230669,.T.); #327355=ORIENTED_EDGE('',*,*,#230672,.F.); #327356=ORIENTED_EDGE('',*,*,#230673,.T.); #327357=ORIENTED_EDGE('',*,*,#230674,.T.); #327358=ORIENTED_EDGE('',*,*,#230673,.F.); #327359=ORIENTED_EDGE('',*,*,#230674,.F.); #327360=ORIENTED_EDGE('',*,*,#230672,.T.); #327361=CYLINDRICAL_SURFACE('',#351362,15.); #327362=CYLINDRICAL_SURFACE('',#351364,26.); #327363=CYLINDRICAL_SURFACE('',#351444,5.); #327364=CYLINDRICAL_SURFACE('',#351446,5.); #327365=CYLINDRICAL_SURFACE('',#351448,5.); #327366=CYLINDRICAL_SURFACE('',#351450,5.); #327367=CYLINDRICAL_SURFACE('',#351452,0.6); #327368=CYLINDRICAL_SURFACE('',#351455,0.4999999999999); #327369=CYLINDRICAL_SURFACE('',#351458,25.); #327370=CYLINDRICAL_SURFACE('',#351464,26.); #327371=CYLINDRICAL_SURFACE('',#351468,25.); #327372=CYLINDRICAL_SURFACE('',#351472,26.); #327373=CYLINDRICAL_SURFACE('',#351476,25.); #327374=CYLINDRICAL_SURFACE('',#351539,26.5); #327375=CYLINDRICAL_SURFACE('',#351540,0.5); #327376=CYLINDRICAL_SURFACE('',#351541,0.6); #327377=CYLINDRICAL_SURFACE('',#351543,0.4999999999999); #327378=CYLINDRICAL_SURFACE('',#351546,0.6); #327379=CYLINDRICAL_SURFACE('',#351548,5.); #327380=CYLINDRICAL_SURFACE('',#351550,5.); #327381=CYLINDRICAL_SURFACE('',#351552,5.); #327382=CYLINDRICAL_SURFACE('',#351554,5.); #327383=CYLINDRICAL_SURFACE('',#351557,3.25); #327384=CYLINDRICAL_SURFACE('',#351561,3.25); #327385=CYLINDRICAL_SURFACE('',#351565,3.25); #327386=CYLINDRICAL_SURFACE('',#351569,3.25); #327387=CYLINDRICAL_SURFACE('',#351571,23.8); #327388=CYLINDRICAL_SURFACE('',#351588,15.); #327389=CYLINDRICAL_SURFACE('',#351680,2.964336607013); #327390=CYLINDRICAL_SURFACE('',#351681,3.25); #327391=CYLINDRICAL_SURFACE('',#351682,3.25); #327392=CYLINDRICAL_SURFACE('',#351684,3.25); #327393=CYLINDRICAL_SURFACE('',#351685,3.25); #327394=CYLINDRICAL_SURFACE('',#351702,2.25); #327395=CYLINDRICAL_SURFACE('',#351703,2.25); #327396=CYLINDRICAL_SURFACE('',#351704,2.25); #327397=CYLINDRICAL_SURFACE('',#351705,2.25); #327398=CYLINDRICAL_SURFACE('',#351706,0.75); #327399=CYLINDRICAL_SURFACE('',#351708,26.); #327400=CYLINDRICAL_SURFACE('',#351712,25.); #327401=CYLINDRICAL_SURFACE('',#351718,26.); #327402=CYLINDRICAL_SURFACE('',#351722,25.); #327403=CYLINDRICAL_SURFACE('',#351725,3.); #327404=CYLINDRICAL_SURFACE('',#351726,0.75); #327405=CYLINDRICAL_SURFACE('',#351729,26.); #327406=CYLINDRICAL_SURFACE('',#351734,25.); #327407=CYLINDRICAL_SURFACE('',#351738,26.); #327408=CYLINDRICAL_SURFACE('',#351742,25.); #327409=CYLINDRICAL_SURFACE('',#351752,25.); #327410=CYLINDRICAL_SURFACE('',#351757,26.); #327411=CYLINDRICAL_SURFACE('',#351761,25.); #327412=CYLINDRICAL_SURFACE('',#351764,26.); #327413=CYLINDRICAL_SURFACE('',#351768,25.); #327414=CYLINDRICAL_SURFACE('',#351769,0.75); #327415=CYLINDRICAL_SURFACE('',#351771,26.); #327416=CYLINDRICAL_SURFACE('',#351775,25.); #327417=CYLINDRICAL_SURFACE('',#351781,26.); #327418=CYLINDRICAL_SURFACE('',#351785,25.); #327419=CYLINDRICAL_SURFACE('',#351788,3.); #327420=CYLINDRICAL_SURFACE('',#351789,0.75); #327421=CYLINDRICAL_SURFACE('',#351790,25.); #327422=CYLINDRICAL_SURFACE('',#351793,25.); #327423=CYLINDRICAL_SURFACE('',#351796,25.); #327424=CYLINDRICAL_SURFACE('',#351799,25.); #327425=CYLINDRICAL_SURFACE('',#351800,25.); #327426=CYLINDRICAL_SURFACE('',#351804,25.); #327427=CYLINDRICAL_SURFACE('',#351809,26.); #327428=CYLINDRICAL_SURFACE('',#351814,26.); #327429=CYLINDRICAL_SURFACE('',#351818,25.); #327430=CYLINDRICAL_SURFACE('',#351821,0.75); #327431=CYLINDRICAL_SURFACE('',#351823,26.); #327432=CYLINDRICAL_SURFACE('',#351827,25.); #327433=CYLINDRICAL_SURFACE('',#351833,26.); #327434=CYLINDRICAL_SURFACE('',#351837,25.); #327435=CYLINDRICAL_SURFACE('',#351840,3.); #327436=CYLINDRICAL_SURFACE('',#351841,0.75); #327437=CYLINDRICAL_SURFACE('',#351844,26.); #327438=CYLINDRICAL_SURFACE('',#351849,25.); #327439=CYLINDRICAL_SURFACE('',#351853,26.); #327440=CYLINDRICAL_SURFACE('',#351857,25.); #327441=CYLINDRICAL_SURFACE('',#351867,25.); #327442=CYLINDRICAL_SURFACE('',#351872,26.); #327443=CYLINDRICAL_SURFACE('',#351876,25.); #327444=CYLINDRICAL_SURFACE('',#351879,26.); #327445=CYLINDRICAL_SURFACE('',#351883,25.); #327446=CYLINDRICAL_SURFACE('',#351884,0.75); #327447=CYLINDRICAL_SURFACE('',#351886,26.); #327448=CYLINDRICAL_SURFACE('',#351890,25.); #327449=CYLINDRICAL_SURFACE('',#351896,26.); #327450=CYLINDRICAL_SURFACE('',#351900,25.); #327451=CYLINDRICAL_SURFACE('',#351903,3.); #327452=CYLINDRICAL_SURFACE('',#351904,0.75); #327453=CYLINDRICAL_SURFACE('',#351907,26.); #327454=CYLINDRICAL_SURFACE('',#351912,25.); #327455=CYLINDRICAL_SURFACE('',#351916,26.); #327456=CYLINDRICAL_SURFACE('',#351920,25.); #327457=CYLINDRICAL_SURFACE('',#351925,25.); #327458=CYLINDRICAL_SURFACE('',#351928,25.); #327459=CYLINDRICAL_SURFACE('',#351931,25.); #327460=CYLINDRICAL_SURFACE('',#351934,25.); #327461=CYLINDRICAL_SURFACE('',#351937,0.5); #327462=CYLINDRICAL_SURFACE('',#351938,26.5); #327463=CYLINDRICAL_SURFACE('',#351939,0.6); #327464=CYLINDRICAL_SURFACE('',#351940,2.25); #327465=CYLINDRICAL_SURFACE('',#351941,2.25); #327466=CYLINDRICAL_SURFACE('',#351942,2.25); #327467=CYLINDRICAL_SURFACE('',#351943,2.25); #327468=CYLINDRICAL_SURFACE('',#351946,25.); #327469=CYLINDRICAL_SURFACE('',#351950,26.); #327470=CYLINDRICAL_SURFACE('',#351954,25.); #327471=CYLINDRICAL_SURFACE('',#351960,14.5); #327472=CYLINDRICAL_SURFACE('',#351969,14.5); #327473=CYLINDRICAL_SURFACE('',#351973,15.); #327474=CYLINDRICAL_SURFACE('',#351975,23.); #327475=CYLINDRICAL_SURFACE('',#351976,14.5); #327476=CYLINDRICAL_SURFACE('',#351979,14.5); #327477=CYLINDRICAL_SURFACE('',#351982,15.); #327478=CYLINDRICAL_SURFACE('',#351984,23.); #327479=CYLINDRICAL_SURFACE('',#351985,14.5); #327480=CYLINDRICAL_SURFACE('',#351988,23.); #327481=CYLINDRICAL_SURFACE('',#351989,15.); #327482=CYLINDRICAL_SURFACE('',#352000,15.); #327483=CYLINDRICAL_SURFACE('',#352002,23.); #327484=CYLINDRICAL_SURFACE('',#352003,15.); #327485=CYLINDRICAL_SURFACE('',#352004,15.); #327486=CYLINDRICAL_SURFACE('',#352005,15.); #327487=CYLINDRICAL_SURFACE('',#352006,15.); #327488=CYLINDRICAL_SURFACE('',#352007,15.); #327489=CYLINDRICAL_SURFACE('',#352009,23.); #327490=CYLINDRICAL_SURFACE('',#352010,15.); #327491=CYLINDRICAL_SURFACE('',#352042,12.75); #327492=CYLINDRICAL_SURFACE('',#352077,13.75); #327493=CYLINDRICAL_SURFACE('',#352079,13.75); #327494=CYLINDRICAL_SURFACE('',#352091,12.75); #327495=CYLINDRICAL_SURFACE('',#352093,13.75); #327496=CYLINDRICAL_SURFACE('',#352100,13.75); #327497=CYLINDRICAL_SURFACE('',#352109,12.75); #327498=CYLINDRICAL_SURFACE('',#352112,12.75); #327499=CYLINDRICAL_SURFACE('',#352115,13.75); #327500=CYLINDRICAL_SURFACE('',#352122,13.75); #327501=CYLINDRICAL_SURFACE('',#352127,12.75); #327502=CYLINDRICAL_SURFACE('',#352131,13.75); #327503=CYLINDRICAL_SURFACE('',#352137,13.75); #327504=CYLINDRICAL_SURFACE('',#352142,12.75); #327505=CYLINDRICAL_SURFACE('',#352146,13.75); #327506=CYLINDRICAL_SURFACE('',#352181,10.75); #327507=CYLINDRICAL_SURFACE('',#352190,10.75); #327508=CYLINDRICAL_SURFACE('',#352191,10.75); #327509=CYLINDRICAL_SURFACE('',#352219,13.75); #327510=CYLINDRICAL_SURFACE('',#352221,13.75); #327511=CYLINDRICAL_SURFACE('',#352223,14.7500000149012); #327512=CYLINDRICAL_SURFACE('',#352225,14.7500000149012); #327513=CYLINDRICAL_SURFACE('',#352226,13.75); #327514=CYLINDRICAL_SURFACE('',#352229,14.7500000149012); #327515=CYLINDRICAL_SURFACE('',#352242,13.75); #327516=CYLINDRICAL_SURFACE('',#352245,13.75); #327517=CYLINDRICAL_SURFACE('',#352248,13.75); #327518=CYLINDRICAL_SURFACE('',#352266,33.2842712474619); #327519=CYLINDRICAL_SURFACE('',#352317,29.2842712474619); #327520=CYLINDRICAL_SURFACE('',#352451,5.); #327521=CYLINDRICAL_SURFACE('',#352453,5.); #327522=CYLINDRICAL_SURFACE('',#352455,5.); #327523=CYLINDRICAL_SURFACE('',#352457,5.); #327524=CYLINDRICAL_SURFACE('',#352459,2.); #327525=CYLINDRICAL_SURFACE('',#352461,2.); #327526=CYLINDRICAL_SURFACE('',#352463,2.); #327527=CYLINDRICAL_SURFACE('',#352464,2.); #327528=CYLINDRICAL_SURFACE('',#352465,2.); #327529=CYLINDRICAL_SURFACE('',#352467,2.); #327530=CYLINDRICAL_SURFACE('',#352468,2.); #327531=CYLINDRICAL_SURFACE('',#352470,2.); #327532=CYLINDRICAL_SURFACE('',#352472,2.); #327533=CYLINDRICAL_SURFACE('',#352473,2.); #327534=CYLINDRICAL_SURFACE('',#352474,2.); #327535=CYLINDRICAL_SURFACE('',#352476,2.); #327536=CYLINDRICAL_SURFACE('',#352479,3.75); #327537=CYLINDRICAL_SURFACE('',#352485,31.2842712474619); #327538=CYLINDRICAL_SURFACE('',#352516,2.5); #327539=CYLINDRICAL_SURFACE('',#352518,2.5); #327540=CYLINDRICAL_SURFACE('',#352532,31.2842712474619); #327541=CYLINDRICAL_SURFACE('',#352536,2.3); #327542=CYLINDRICAL_SURFACE('',#352541,2.3); #327543=CYLINDRICAL_SURFACE('',#352546,2.3); #327544=CYLINDRICAL_SURFACE('',#352551,2.3); #327545=CYLINDRICAL_SURFACE('',#352556,1.7); #327546=CYLINDRICAL_SURFACE('',#352559,1.7); #327547=CYLINDRICAL_SURFACE('',#352562,1.7); #327548=CYLINDRICAL_SURFACE('',#352565,1.7); #327549=CYLINDRICAL_SURFACE('',#352573,2.5); #327550=CYLINDRICAL_SURFACE('',#352577,2.5); #327551=CYLINDRICAL_SURFACE('',#352578,35.2842712474619); #327552=CYLINDRICAL_SURFACE('',#352583,2.5); #327553=CYLINDRICAL_SURFACE('',#352587,2.5); #327554=CYLINDRICAL_SURFACE('',#352591,2.5); #327555=CYLINDRICAL_SURFACE('',#352595,2.5); #327556=CYLINDRICAL_SURFACE('',#352599,2.5); #327557=CYLINDRICAL_SURFACE('',#352603,2.5); #327558=CYLINDRICAL_SURFACE('',#352607,2.5); #327559=CYLINDRICAL_SURFACE('',#352611,2.5); #327560=CYLINDRICAL_SURFACE('',#352615,2.5); #327561=CYLINDRICAL_SURFACE('',#352619,2.5); #327562=CYLINDRICAL_SURFACE('',#352623,2.5); #327563=CYLINDRICAL_SURFACE('',#352627,2.5); #327564=CYLINDRICAL_SURFACE('',#352631,2.5); #327565=CYLINDRICAL_SURFACE('',#352635,2.5); #327566=CYLINDRICAL_SURFACE('',#352639,2.5); #327567=CYLINDRICAL_SURFACE('',#352643,2.5); #327568=CYLINDRICAL_SURFACE('',#352647,2.5); #327569=CYLINDRICAL_SURFACE('',#352651,2.5); #327570=CYLINDRICAL_SURFACE('',#352655,2.5); #327571=CYLINDRICAL_SURFACE('',#352659,2.5); #327572=CYLINDRICAL_SURFACE('',#352663,2.5); #327573=CYLINDRICAL_SURFACE('',#352667,2.5); #327574=CYLINDRICAL_SURFACE('',#352671,2.5); #327575=CYLINDRICAL_SURFACE('',#352675,2.5); #327576=CYLINDRICAL_SURFACE('',#352679,2.5); #327577=CYLINDRICAL_SURFACE('',#352683,2.5); #327578=CYLINDRICAL_SURFACE('',#352687,2.5); #327579=CYLINDRICAL_SURFACE('',#352691,2.5); #327580=CYLINDRICAL_SURFACE('',#352695,2.5); #327581=CYLINDRICAL_SURFACE('',#352699,2.5); #327582=CYLINDRICAL_SURFACE('',#352711,5.2); #327583=CYLINDRICAL_SURFACE('',#352713,5.2); #327584=CYLINDRICAL_SURFACE('',#352716,5.2); #327585=CYLINDRICAL_SURFACE('',#352719,5.2); #327586=CYLINDRICAL_SURFACE('',#352726,34.0342712474619); #327587=CYLINDRICAL_SURFACE('',#352736,1.7); #327588=CYLINDRICAL_SURFACE('',#352739,1.7); #327589=CYLINDRICAL_SURFACE('',#352742,1.7); #327590=CYLINDRICAL_SURFACE('',#352745,1.7); #327591=CYLINDRICAL_SURFACE('',#352748,3.); #327592=CYLINDRICAL_SURFACE('',#352751,3.); #327593=CYLINDRICAL_SURFACE('',#352754,3.); #327594=CYLINDRICAL_SURFACE('',#352757,3.); #327595=CYLINDRICAL_SURFACE('',#352760,25.8000000000002); #327596=CYLINDRICAL_SURFACE('',#352762,35.2842712474619); #327597=CYLINDRICAL_SURFACE('',#352765,29.5); #327598=CYLINDRICAL_SURFACE('',#352768,29.2342712474619); #327599=CYLINDRICAL_SURFACE('',#352832,33.2342712474619); #327600=CYLINDRICAL_SURFACE('',#352842,2.5); #327601=CYLINDRICAL_SURFACE('',#352864,31.); #327602=CYLINDRICAL_SURFACE('',#352872,31.25); #327603=CYLINDRICAL_SURFACE('',#352882,31.25); #327604=CYLINDRICAL_SURFACE('',#352891,32.); #327605=CYLINDRICAL_SURFACE('',#352897,30.5); #327606=CYLINDRICAL_SURFACE('',#352901,30.5); #327607=CYLINDRICAL_SURFACE('',#352905,31.25); #327608=CYLINDRICAL_SURFACE('',#352906,30.5); #327609=CYLINDRICAL_SURFACE('',#352910,31.); #327610=CYLINDRICAL_SURFACE('',#352912,31.); #327611=CYLINDRICAL_SURFACE('',#352918,31.); #327612=CYLINDRICAL_SURFACE('',#352924,31.); #327613=CYLINDRICAL_SURFACE('',#352925,32.); #327614=CYLINDRICAL_SURFACE('',#352926,31.); #327615=CYLINDRICAL_SURFACE('',#352928,31.); #327616=CYLINDRICAL_SURFACE('',#352930,32.); #327617=CYLINDRICAL_SURFACE('',#352931,31.); #327618=CYLINDRICAL_SURFACE('',#352933,31.); #327619=CYLINDRICAL_SURFACE('',#352935,31.); #327620=CYLINDRICAL_SURFACE('',#352937,31.); #327621=CYLINDRICAL_SURFACE('',#352940,31.); #327622=CYLINDRICAL_SURFACE('',#352943,29.5); #327623=CYLINDRICAL_SURFACE('',#352966,14.9500000149012); #327624=CYLINDRICAL_SURFACE('',#352969,14.9500000149012); #327625=CYLINDRICAL_SURFACE('',#352972,14.9500000149012); #327626=CYLINDRICAL_SURFACE('',#352985,0.325); #327627=CYLINDRICAL_SURFACE('',#352988,0.325); #327628=CYLINDRICAL_SURFACE('',#352991,0.600000000000001); #327629=CYLINDRICAL_SURFACE('',#352994,0.175000000000001); #327630=CYLINDRICAL_SURFACE('',#352997,0.175000000000001); #327631=CYLINDRICAL_SURFACE('',#353000,0.175000000000001); #327632=CYLINDRICAL_SURFACE('',#353003,0.175000000000001); #327633=CYLINDRICAL_SURFACE('',#353006,0.175000000000001); #327634=CYLINDRICAL_SURFACE('',#353009,0.175000000000001); #327635=CYLINDRICAL_SURFACE('',#353012,0.175000000000001); #327636=CYLINDRICAL_SURFACE('',#353015,0.175000000000001); #327637=CYLINDRICAL_SURFACE('',#353018,0.175000000000001); #327638=CYLINDRICAL_SURFACE('',#353021,0.175000000000001); #327639=CYLINDRICAL_SURFACE('',#353024,0.175000000000001); #327640=CYLINDRICAL_SURFACE('',#353027,0.175000000000001); #327641=CYLINDRICAL_SURFACE('',#353030,0.175000000000001); #327642=CYLINDRICAL_SURFACE('',#353033,0.175000000000001); #327643=CYLINDRICAL_SURFACE('',#353036,0.175000000000001); #327644=CYLINDRICAL_SURFACE('',#353039,0.5); #327645=CYLINDRICAL_SURFACE('',#353042,0.175000000000001); #327646=CYLINDRICAL_SURFACE('',#353045,0.175000000000001); #327647=CYLINDRICAL_SURFACE('',#353048,0.175000000000001); #327648=CYLINDRICAL_SURFACE('',#353051,0.175000000000001); #327649=CYLINDRICAL_SURFACE('',#353054,0.175000000000001); #327650=CYLINDRICAL_SURFACE('',#353057,0.175000000000001); #327651=CYLINDRICAL_SURFACE('',#353060,0.175000000000001); #327652=CYLINDRICAL_SURFACE('',#353063,0.175000000000001); #327653=CYLINDRICAL_SURFACE('',#353066,0.175000000000001); #327654=CYLINDRICAL_SURFACE('',#353069,0.175000000000001); #327655=CYLINDRICAL_SURFACE('',#353072,0.175000000000001); #327656=CYLINDRICAL_SURFACE('',#353075,0.175000000000001); #327657=CYLINDRICAL_SURFACE('',#353078,0.175000000000001); #327658=CYLINDRICAL_SURFACE('',#353081,0.175000000000001); #327659=CYLINDRICAL_SURFACE('',#353084,0.175000000000001); #327660=CYLINDRICAL_SURFACE('',#353087,0.550000000000002); #327661=CYLINDRICAL_SURFACE('',#353090,0.175000000000001); #327662=CYLINDRICAL_SURFACE('',#353093,0.175000000000001); #327663=CYLINDRICAL_SURFACE('',#353096,0.175000000000001); #327664=CYLINDRICAL_SURFACE('',#353099,0.175000000000001); #327665=CYLINDRICAL_SURFACE('',#353102,0.175000000000001); #327666=CYLINDRICAL_SURFACE('',#353105,0.175000000000001); #327667=CYLINDRICAL_SURFACE('',#353108,0.175000000000001); #327668=CYLINDRICAL_SURFACE('',#353111,0.175000000000001); #327669=CYLINDRICAL_SURFACE('',#353114,0.175000000000001); #327670=CYLINDRICAL_SURFACE('',#353117,0.175000000000001); #327671=CYLINDRICAL_SURFACE('',#353120,0.175000000000001); #327672=CYLINDRICAL_SURFACE('',#353123,0.175000000000001); #327673=CYLINDRICAL_SURFACE('',#353126,0.175000000000001); #327674=CYLINDRICAL_SURFACE('',#353129,0.175000000000001); #327675=CYLINDRICAL_SURFACE('',#353132,0.175000000000001); #327676=CYLINDRICAL_SURFACE('',#353135,0.5); #327677=CYLINDRICAL_SURFACE('',#353138,0.175000000000001); #327678=CYLINDRICAL_SURFACE('',#353141,0.175000000000001); #327679=CYLINDRICAL_SURFACE('',#353144,0.175000000000001); #327680=CYLINDRICAL_SURFACE('',#353147,0.175000000000001); #327681=CYLINDRICAL_SURFACE('',#353150,0.175000000000001); #327682=CYLINDRICAL_SURFACE('',#353153,0.175000000000001); #327683=CYLINDRICAL_SURFACE('',#353156,0.175000000000001); #327684=CYLINDRICAL_SURFACE('',#353159,0.175000000000001); #327685=CYLINDRICAL_SURFACE('',#353162,0.175000000000001); #327686=CYLINDRICAL_SURFACE('',#353165,0.175000000000001); #327687=CYLINDRICAL_SURFACE('',#353168,0.175000000000001); #327688=CYLINDRICAL_SURFACE('',#353171,0.175000000000001); #327689=CYLINDRICAL_SURFACE('',#353174,0.175000000000001); #327690=CYLINDRICAL_SURFACE('',#353177,0.175000000000001); #327691=CYLINDRICAL_SURFACE('',#353180,0.175000000000001); #327692=CYLINDRICAL_SURFACE('',#353183,0.600000000000001); #327693=CYLINDRICAL_SURFACE('',#353186,0.175000000000001); #327694=CYLINDRICAL_SURFACE('',#353189,0.175000000000001); #327695=CYLINDRICAL_SURFACE('',#353192,0.175000000000001); #327696=CYLINDRICAL_SURFACE('',#353195,0.175000000000001); #327697=CYLINDRICAL_SURFACE('',#353198,0.175000000000001); #327698=CYLINDRICAL_SURFACE('',#353201,0.175); #327699=CYLINDRICAL_SURFACE('',#353204,0.175000000000001); #327700=CYLINDRICAL_SURFACE('',#353207,0.175000000000001); #327701=CYLINDRICAL_SURFACE('',#353210,0.175000000000001); #327702=CYLINDRICAL_SURFACE('',#353213,0.175000000000001); #327703=CYLINDRICAL_SURFACE('',#353216,0.175000000000001); #327704=CYLINDRICAL_SURFACE('',#353219,0.175000000000001); #327705=CYLINDRICAL_SURFACE('',#353222,0.175000000000001); #327706=CYLINDRICAL_SURFACE('',#353225,0.175); #327707=CYLINDRICAL_SURFACE('',#353228,0.175000000000001); #327708=CYLINDRICAL_SURFACE('',#353231,0.175000000000001); #327709=CYLINDRICAL_SURFACE('',#353234,0.175000000000001); #327710=CYLINDRICAL_SURFACE('',#353237,0.175000000000001); #327711=CYLINDRICAL_SURFACE('',#353240,0.175000000000001); #327712=CYLINDRICAL_SURFACE('',#353243,0.175000000000001); #327713=CYLINDRICAL_SURFACE('',#353246,0.175000000000001); #327714=CYLINDRICAL_SURFACE('',#353249,0.175000000000001); #327715=CYLINDRICAL_SURFACE('',#353252,0.175000000000001); #327716=CYLINDRICAL_SURFACE('',#353255,0.175000000000001); #327717=CYLINDRICAL_SURFACE('',#353258,0.175000000000001); #327718=CYLINDRICAL_SURFACE('',#353261,0.175000000000001); #327719=CYLINDRICAL_SURFACE('',#353264,0.175000000000001); #327720=CYLINDRICAL_SURFACE('',#353267,0.175000000000001); #327721=CYLINDRICAL_SURFACE('',#353270,0.175000000000001); #327722=CYLINDRICAL_SURFACE('',#353273,0.175000000000001); #327723=CYLINDRICAL_SURFACE('',#353276,0.175000000000001); #327724=CYLINDRICAL_SURFACE('',#353279,0.499999999999998); #327725=CYLINDRICAL_SURFACE('',#353282,0.175000000000001); #327726=CYLINDRICAL_SURFACE('',#353285,0.175000000000001); #327727=CYLINDRICAL_SURFACE('',#353288,0.175000000000001); #327728=CYLINDRICAL_SURFACE('',#353291,0.175000000000001); #327729=CYLINDRICAL_SURFACE('',#353294,0.175000000000001); #327730=CYLINDRICAL_SURFACE('',#353297,0.175000000000001); #327731=CYLINDRICAL_SURFACE('',#353300,0.175000000000001); #327732=CYLINDRICAL_SURFACE('',#353303,0.175000000000001); #327733=CYLINDRICAL_SURFACE('',#353306,0.175000000000001); #327734=CYLINDRICAL_SURFACE('',#353309,0.175000000000001); #327735=CYLINDRICAL_SURFACE('',#353312,0.175000000000001); #327736=CYLINDRICAL_SURFACE('',#353315,0.175000000000001); #327737=CYLINDRICAL_SURFACE('',#353318,0.175000000000001); #327738=CYLINDRICAL_SURFACE('',#353321,0.175000000000001); #327739=CYLINDRICAL_SURFACE('',#353324,0.175000000000001); #327740=CYLINDRICAL_SURFACE('',#353327,0.499999999999998); #327741=CYLINDRICAL_SURFACE('',#353330,0.175000000000001); #327742=CYLINDRICAL_SURFACE('',#353333,0.175000000000001); #327743=CYLINDRICAL_SURFACE('',#353336,0.175000000000001); #327744=CYLINDRICAL_SURFACE('',#353339,0.175000000000001); #327745=CYLINDRICAL_SURFACE('',#353342,0.175000000000001); #327746=CYLINDRICAL_SURFACE('',#353345,0.175000000000001); #327747=CYLINDRICAL_SURFACE('',#353348,0.175000000000001); #327748=CYLINDRICAL_SURFACE('',#353351,0.175000000000001); #327749=CYLINDRICAL_SURFACE('',#353354,0.175000000000001); #327750=CYLINDRICAL_SURFACE('',#353357,0.175000000000001); #327751=CYLINDRICAL_SURFACE('',#353360,0.175000000000001); #327752=CYLINDRICAL_SURFACE('',#353363,0.175000000000001); #327753=CYLINDRICAL_SURFACE('',#353366,0.175000000000001); #327754=CYLINDRICAL_SURFACE('',#353369,0.175000000000001); #327755=CYLINDRICAL_SURFACE('',#353372,0.175000000000001); #327756=CYLINDRICAL_SURFACE('',#353375,0.550000000000002); #327757=CYLINDRICAL_SURFACE('',#353378,0.150000000000001); #327758=CYLINDRICAL_SURFACE('',#353381,0.175); #327759=CYLINDRICAL_SURFACE('',#353384,0.150000000000001); #327760=CYLINDRICAL_SURFACE('',#353387,0.175000000000001); #327761=CYLINDRICAL_SURFACE('',#353390,0.175000000000001); #327762=CYLINDRICAL_SURFACE('',#353393,0.175); #327763=CYLINDRICAL_SURFACE('',#353396,0.150000000000001); #327764=CYLINDRICAL_SURFACE('',#353399,0.175000000000001); #327765=CYLINDRICAL_SURFACE('',#353402,0.175000000000001); #327766=CYLINDRICAL_SURFACE('',#353405,0.175); #327767=CYLINDRICAL_SURFACE('',#353408,0.175000000000001); #327768=CYLINDRICAL_SURFACE('',#353411,0.175000000000001); #327769=CYLINDRICAL_SURFACE('',#353414,0.175000000000001); #327770=CYLINDRICAL_SURFACE('',#353417,0.175); #327771=CYLINDRICAL_SURFACE('',#353420,0.175000000000001); #327772=CYLINDRICAL_SURFACE('',#353423,0.175000000000001); #327773=CYLINDRICAL_SURFACE('',#353426,0.175000000000001); #327774=CYLINDRICAL_SURFACE('',#353429,0.175); #327775=CYLINDRICAL_SURFACE('',#353432,0.175000000000001); #327776=CYLINDRICAL_SURFACE('',#353435,0.175000000000001); #327777=CYLINDRICAL_SURFACE('',#353438,0.175000000000001); #327778=CYLINDRICAL_SURFACE('',#353441,0.175000000000001); #327779=CYLINDRICAL_SURFACE('',#353444,0.175000000000001); #327780=CYLINDRICAL_SURFACE('',#353447,0.175000000000001); #327781=CYLINDRICAL_SURFACE('',#353450,0.175000000000001); #327782=CYLINDRICAL_SURFACE('',#353453,0.175); #327783=CYLINDRICAL_SURFACE('',#353456,0.175000000000001); #327784=CYLINDRICAL_SURFACE('',#353459,0.175000000000001); #327785=CYLINDRICAL_SURFACE('',#353462,0.175000000000001); #327786=CYLINDRICAL_SURFACE('',#353465,0.175); #327787=CYLINDRICAL_SURFACE('',#353468,0.175000000000001); #327788=CYLINDRICAL_SURFACE('',#353471,0.499999999999998); #327789=CYLINDRICAL_SURFACE('',#353475,0.35); #327790=CYLINDRICAL_SURFACE('',#353479,0.35); #327791=CYLINDRICAL_SURFACE('',#353482,0.175000000000001); #327792=CYLINDRICAL_SURFACE('',#353486,0.6); #327793=CYLINDRICAL_SURFACE('',#353490,0.6); #327794=CYLINDRICAL_SURFACE('',#353493,0.175000000000001); #327795=CYLINDRICAL_SURFACE('',#353496,0.150000000000001); #327796=CYLINDRICAL_SURFACE('',#353499,0.175000000000001); #327797=CYLINDRICAL_SURFACE('',#353503,0.6); #327798=CYLINDRICAL_SURFACE('',#353507,0.6); #327799=CYLINDRICAL_SURFACE('',#353510,0.175000000000001); #327800=CYLINDRICAL_SURFACE('',#353513,0.150000000000001); #327801=CYLINDRICAL_SURFACE('',#353516,0.175000000000001); #327802=CYLINDRICAL_SURFACE('',#353519,0.150000000000001); #327803=CYLINDRICAL_SURFACE('',#353522,0.175000000000001); #327804=CYLINDRICAL_SURFACE('',#353525,0.150000000000001); #327805=CYLINDRICAL_SURFACE('',#353528,0.175000000000001); #327806=CYLINDRICAL_SURFACE('',#353531,0.150000000000001); #327807=CYLINDRICAL_SURFACE('',#353534,0.175000000000001); #327808=CYLINDRICAL_SURFACE('',#353537,0.175000000000001); #327809=CYLINDRICAL_SURFACE('',#353541,0.35); #327810=CYLINDRICAL_SURFACE('',#353545,0.35); #327811=CYLINDRICAL_SURFACE('',#353548,0.175000000000001); #327812=CYLINDRICAL_SURFACE('',#353552,0.35); #327813=CYLINDRICAL_SURFACE('',#353556,0.35); #327814=CYLINDRICAL_SURFACE('',#353559,0.175000000000001); #327815=CYLINDRICAL_SURFACE('',#353563,0.35); #327816=CYLINDRICAL_SURFACE('',#353567,0.35); #327817=CYLINDRICAL_SURFACE('',#353570,0.175000000000001); #327818=CYLINDRICAL_SURFACE('',#353573,0.175000000000001); #327819=CYLINDRICAL_SURFACE('',#353576,0.175000000000001); #327820=CYLINDRICAL_SURFACE('',#353579,0.175000000000001); #327821=CYLINDRICAL_SURFACE('',#353582,0.600000000000001); #327822=CYLINDRICAL_SURFACE('',#353585,0.175000000000001); #327823=CYLINDRICAL_SURFACE('',#353588,0.175000000000001); #327824=CYLINDRICAL_SURFACE('',#353591,0.175000000000001); #327825=CYLINDRICAL_SURFACE('',#353594,0.175000000000001); #327826=CYLINDRICAL_SURFACE('',#353597,0.175000000000001); #327827=CYLINDRICAL_SURFACE('',#353600,0.175000000000001); #327828=CYLINDRICAL_SURFACE('',#353603,0.175000000000001); #327829=CYLINDRICAL_SURFACE('',#353606,0.175000000000001); #327830=CYLINDRICAL_SURFACE('',#353609,0.175000000000001); #327831=CYLINDRICAL_SURFACE('',#353612,0.175000000000001); #327832=CYLINDRICAL_SURFACE('',#353615,0.175000000000001); #327833=CYLINDRICAL_SURFACE('',#353618,0.175000000000001); #327834=CYLINDRICAL_SURFACE('',#353621,0.175000000000001); #327835=CYLINDRICAL_SURFACE('',#353624,0.175000000000001); #327836=CYLINDRICAL_SURFACE('',#353627,0.175000000000001); #327837=CYLINDRICAL_SURFACE('',#353630,0.499999999999998); #327838=CYLINDRICAL_SURFACE('',#353633,0.175000000000001); #327839=CYLINDRICAL_SURFACE('',#353636,0.175000000000001); #327840=CYLINDRICAL_SURFACE('',#353639,0.175000000000001); #327841=CYLINDRICAL_SURFACE('',#353642,0.175000000000001); #327842=CYLINDRICAL_SURFACE('',#353645,0.175000000000001); #327843=CYLINDRICAL_SURFACE('',#353648,0.175000000000001); #327844=CYLINDRICAL_SURFACE('',#353651,0.175000000000001); #327845=CYLINDRICAL_SURFACE('',#353654,0.175000000000001); #327846=CYLINDRICAL_SURFACE('',#353657,0.175000000000001); #327847=CYLINDRICAL_SURFACE('',#353660,0.175000000000001); #327848=CYLINDRICAL_SURFACE('',#353663,0.175000000000001); #327849=CYLINDRICAL_SURFACE('',#353666,0.175000000000001); #327850=CYLINDRICAL_SURFACE('',#353669,0.175000000000001); #327851=CYLINDRICAL_SURFACE('',#353672,0.175000000000001); #327852=CYLINDRICAL_SURFACE('',#353675,0.175000000000001); #327853=CYLINDRICAL_SURFACE('',#353678,0.549999999999997); #327854=CYLINDRICAL_SURFACE('',#353681,0.175000000000001); #327855=CYLINDRICAL_SURFACE('',#353684,0.175000000000001); #327856=CYLINDRICAL_SURFACE('',#353687,0.175000000000001); #327857=CYLINDRICAL_SURFACE('',#353690,0.175000000000001); #327858=CYLINDRICAL_SURFACE('',#353693,0.175000000000001); #327859=CYLINDRICAL_SURFACE('',#353696,0.175000000000001); #327860=CYLINDRICAL_SURFACE('',#353699,0.175000000000001); #327861=CYLINDRICAL_SURFACE('',#353702,0.175000000000001); #327862=CYLINDRICAL_SURFACE('',#353705,0.175000000000001); #327863=CYLINDRICAL_SURFACE('',#353708,0.175000000000001); #327864=CYLINDRICAL_SURFACE('',#353711,0.175000000000001); #327865=CYLINDRICAL_SURFACE('',#353714,0.175000000000001); #327866=CYLINDRICAL_SURFACE('',#353717,0.175000000000001); #327867=CYLINDRICAL_SURFACE('',#353720,0.175000000000001); #327868=CYLINDRICAL_SURFACE('',#353723,0.175000000000001); #327869=CYLINDRICAL_SURFACE('',#353726,0.499999999999998); #327870=CYLINDRICAL_SURFACE('',#353729,0.175000000000001); #327871=CYLINDRICAL_SURFACE('',#353732,0.175000000000001); #327872=CYLINDRICAL_SURFACE('',#353735,0.175000000000001); #327873=CYLINDRICAL_SURFACE('',#353738,0.175000000000001); #327874=CYLINDRICAL_SURFACE('',#353741,0.175000000000001); #327875=CYLINDRICAL_SURFACE('',#353744,0.175000000000001); #327876=CYLINDRICAL_SURFACE('',#353747,0.175000000000001); #327877=CYLINDRICAL_SURFACE('',#353750,0.175000000000001); #327878=CYLINDRICAL_SURFACE('',#353753,0.175000000000001); #327879=CYLINDRICAL_SURFACE('',#353756,0.175000000000001); #327880=CYLINDRICAL_SURFACE('',#353759,0.175000000000001); #327881=CYLINDRICAL_SURFACE('',#353762,0.175000000000001); #327882=CYLINDRICAL_SURFACE('',#353765,0.175000000000001); #327883=CYLINDRICAL_SURFACE('',#353768,0.175000000000001); #327884=CYLINDRICAL_SURFACE('',#353771,0.175000000000001); #327885=CYLINDRICAL_SURFACE('',#353774,0.600000000000001); #327886=CYLINDRICAL_SURFACE('',#353777,0.175000000000001); #327887=CYLINDRICAL_SURFACE('',#353780,0.175000000000001); #327888=CYLINDRICAL_SURFACE('',#353783,0.175000000000001); #327889=CYLINDRICAL_SURFACE('',#353786,0.175000000000001); #327890=CYLINDRICAL_SURFACE('',#353789,0.175000000000001); #327891=CYLINDRICAL_SURFACE('',#353792,0.175000000000001); #327892=CYLINDRICAL_SURFACE('',#353795,0.175000000000001); #327893=CYLINDRICAL_SURFACE('',#353798,0.175000000000001); #327894=CYLINDRICAL_SURFACE('',#353801,0.175000000000001); #327895=CYLINDRICAL_SURFACE('',#353804,0.175000000000001); #327896=CYLINDRICAL_SURFACE('',#353807,0.175000000000001); #327897=CYLINDRICAL_SURFACE('',#353810,0.175000000000001); #327898=CYLINDRICAL_SURFACE('',#353813,0.175000000000001); #327899=CYLINDRICAL_SURFACE('',#353816,0.175000000000001); #327900=CYLINDRICAL_SURFACE('',#353819,0.175000000000001); #327901=CYLINDRICAL_SURFACE('',#353822,0.499999999999998); #327902=CYLINDRICAL_SURFACE('',#353825,0.175000000000001); #327903=CYLINDRICAL_SURFACE('',#353828,0.175000000000001); #327904=CYLINDRICAL_SURFACE('',#353831,0.175000000000001); #327905=CYLINDRICAL_SURFACE('',#353834,0.175000000000001); #327906=CYLINDRICAL_SURFACE('',#353837,0.175000000000001); #327907=CYLINDRICAL_SURFACE('',#353840,0.175000000000001); #327908=CYLINDRICAL_SURFACE('',#353843,0.175000000000001); #327909=CYLINDRICAL_SURFACE('',#353846,0.175000000000001); #327910=CYLINDRICAL_SURFACE('',#353849,0.175000000000001); #327911=CYLINDRICAL_SURFACE('',#353852,0.175000000000001); #327912=CYLINDRICAL_SURFACE('',#353855,0.175000000000001); #327913=CYLINDRICAL_SURFACE('',#353858,0.175000000000001); #327914=CYLINDRICAL_SURFACE('',#353861,0.175000000000001); #327915=CYLINDRICAL_SURFACE('',#353864,0.175000000000001); #327916=CYLINDRICAL_SURFACE('',#353867,0.175000000000001); #327917=CYLINDRICAL_SURFACE('',#353870,0.549999999999997); #327918=CYLINDRICAL_SURFACE('',#353873,0.175000000000001); #327919=CYLINDRICAL_SURFACE('',#353876,0.175000000000001); #327920=CYLINDRICAL_SURFACE('',#353879,0.175000000000001); #327921=CYLINDRICAL_SURFACE('',#353882,0.175000000000001); #327922=CYLINDRICAL_SURFACE('',#353885,0.175000000000001); #327923=CYLINDRICAL_SURFACE('',#353888,0.175000000000001); #327924=CYLINDRICAL_SURFACE('',#353891,0.175000000000001); #327925=CYLINDRICAL_SURFACE('',#353894,0.175000000000001); #327926=CYLINDRICAL_SURFACE('',#353897,0.175000000000001); #327927=CYLINDRICAL_SURFACE('',#353900,0.175000000000001); #327928=CYLINDRICAL_SURFACE('',#353903,0.175000000000001); #327929=CYLINDRICAL_SURFACE('',#353906,0.175000000000001); #327930=CYLINDRICAL_SURFACE('',#353909,0.175000000000001); #327931=CYLINDRICAL_SURFACE('',#353912,0.175000000000001); #327932=CYLINDRICAL_SURFACE('',#353915,0.175000000000001); #327933=CYLINDRICAL_SURFACE('',#353918,0.499999999999998); #327934=CYLINDRICAL_SURFACE('',#353921,0.175000000000001); #327935=CYLINDRICAL_SURFACE('',#353924,0.175000000000001); #327936=CYLINDRICAL_SURFACE('',#353927,0.175000000000001); #327937=CYLINDRICAL_SURFACE('',#353930,0.175000000000001); #327938=CYLINDRICAL_SURFACE('',#353933,0.175000000000001); #327939=CYLINDRICAL_SURFACE('',#353936,0.175000000000001); #327940=CYLINDRICAL_SURFACE('',#353939,0.175000000000001); #327941=CYLINDRICAL_SURFACE('',#353942,0.175000000000001); #327942=CYLINDRICAL_SURFACE('',#353945,0.175000000000001); #327943=CYLINDRICAL_SURFACE('',#353948,0.175000000000001); #327944=CYLINDRICAL_SURFACE('',#353951,0.175000000000001); #327945=CYLINDRICAL_SURFACE('',#353954,0.175000000000001); #327946=CYLINDRICAL_SURFACE('',#353957,0.175000000000001); #327947=CYLINDRICAL_SURFACE('',#353960,0.175000000000001); #327948=CYLINDRICAL_SURFACE('',#353963,0.175000000000001); #327949=CYLINDRICAL_SURFACE('',#353966,34.); #327950=CYLINDRICAL_SURFACE('',#353971,0.14); #327951=CYLINDRICAL_SURFACE('',#353974,0.175000000000001); #327952=CYLINDRICAL_SURFACE('',#353979,0.14); #327953=CYLINDRICAL_SURFACE('',#353982,0.175000000000001); #327954=CYLINDRICAL_SURFACE('',#353987,0.14); #327955=CYLINDRICAL_SURFACE('',#353990,0.175000000000001); #327956=CYLINDRICAL_SURFACE('',#353995,0.139999999999998); #327957=CYLINDRICAL_SURFACE('',#353998,0.175000000000001); #327958=CYLINDRICAL_SURFACE('',#354003,0.139999999999998); #327959=CYLINDRICAL_SURFACE('',#354006,0.175000000000001); #327960=CYLINDRICAL_SURFACE('',#354011,0.14); #327961=CYLINDRICAL_SURFACE('',#354014,0.175000000000001); #327962=CYLINDRICAL_SURFACE('',#354019,0.140000000000002); #327963=CYLINDRICAL_SURFACE('',#354022,0.175000000000001); #327964=CYLINDRICAL_SURFACE('',#354027,0.139999999999998); #327965=CYLINDRICAL_SURFACE('',#354030,0.175000000000001); #327966=CYLINDRICAL_SURFACE('',#354035,0.139999999999998); #327967=CYLINDRICAL_SURFACE('',#354038,0.175000000000001); #327968=CYLINDRICAL_SURFACE('',#354043,0.14); #327969=CYLINDRICAL_SURFACE('',#354046,0.175000000000001); #327970=CYLINDRICAL_SURFACE('',#354051,0.139999999999998); #327971=CYLINDRICAL_SURFACE('',#354054,0.175000000000001); #327972=CYLINDRICAL_SURFACE('',#354059,0.139999999999998); #327973=CYLINDRICAL_SURFACE('',#354062,0.175000000000001); #327974=CYLINDRICAL_SURFACE('',#354067,0.140000000000002); #327975=CYLINDRICAL_SURFACE('',#354070,0.175000000000001); #327976=CYLINDRICAL_SURFACE('',#354075,0.14); #327977=CYLINDRICAL_SURFACE('',#354078,0.175000000000001); #327978=CYLINDRICAL_SURFACE('',#354083,0.139999999999998); #327979=CYLINDRICAL_SURFACE('',#354086,0.175000000000001); #327980=CYLINDRICAL_SURFACE('',#354091,0.465); #327981=CYLINDRICAL_SURFACE('',#354094,0.499999999999998); #327982=CYLINDRICAL_SURFACE('',#354099,0.139999999999998); #327983=CYLINDRICAL_SURFACE('',#354102,0.175000000000001); #327984=CYLINDRICAL_SURFACE('',#354107,0.14); #327985=CYLINDRICAL_SURFACE('',#354110,0.175000000000001); #327986=CYLINDRICAL_SURFACE('',#354115,0.139999999999998); #327987=CYLINDRICAL_SURFACE('',#354118,0.175000000000001); #327988=CYLINDRICAL_SURFACE('',#354123,0.139999999999998); #327989=CYLINDRICAL_SURFACE('',#354126,0.175000000000001); #327990=CYLINDRICAL_SURFACE('',#354131,0.139999999999998); #327991=CYLINDRICAL_SURFACE('',#354134,0.175000000000001); #327992=CYLINDRICAL_SURFACE('',#354139,0.14); #327993=CYLINDRICAL_SURFACE('',#354142,0.175000000000001); #327994=CYLINDRICAL_SURFACE('',#354147,0.139999999999998); #327995=CYLINDRICAL_SURFACE('',#354150,0.175000000000001); #327996=CYLINDRICAL_SURFACE('',#354155,0.139999999999998); #327997=CYLINDRICAL_SURFACE('',#354158,0.175000000000001); #327998=CYLINDRICAL_SURFACE('',#354163,0.139999999999998); #327999=CYLINDRICAL_SURFACE('',#354166,0.175000000000001); #328000=CYLINDRICAL_SURFACE('',#354171,0.14); #328001=CYLINDRICAL_SURFACE('',#354174,0.175000000000001); #328002=CYLINDRICAL_SURFACE('',#354179,0.139999999999998); #328003=CYLINDRICAL_SURFACE('',#354182,0.175000000000001); #328004=CYLINDRICAL_SURFACE('',#354187,0.139999999999998); #328005=CYLINDRICAL_SURFACE('',#354190,0.175000000000001); #328006=CYLINDRICAL_SURFACE('',#354195,0.139999999999998); #328007=CYLINDRICAL_SURFACE('',#354198,0.175000000000001); #328008=CYLINDRICAL_SURFACE('',#354203,0.14); #328009=CYLINDRICAL_SURFACE('',#354206,0.175000000000001); #328010=CYLINDRICAL_SURFACE('',#354211,0.139999999999998); #328011=CYLINDRICAL_SURFACE('',#354214,0.175000000000001); #328012=CYLINDRICAL_SURFACE('',#354219,0.514999999999999); #328013=CYLINDRICAL_SURFACE('',#354222,0.549999999999997); #328014=CYLINDRICAL_SURFACE('',#354227,0.139999999999998); #328015=CYLINDRICAL_SURFACE('',#354230,0.175000000000001); #328016=CYLINDRICAL_SURFACE('',#354235,0.140000000000002); #328017=CYLINDRICAL_SURFACE('',#354238,0.175000000000001); #328018=CYLINDRICAL_SURFACE('',#354243,0.139999999999998); #328019=CYLINDRICAL_SURFACE('',#354246,0.175000000000001); #328020=CYLINDRICAL_SURFACE('',#354251,0.139999999999998); #328021=CYLINDRICAL_SURFACE('',#354254,0.175000000000001); #328022=CYLINDRICAL_SURFACE('',#354259,0.140000000000002); #328023=CYLINDRICAL_SURFACE('',#354262,0.175000000000001); #328024=CYLINDRICAL_SURFACE('',#354267,0.140000000000002); #328025=CYLINDRICAL_SURFACE('',#354270,0.175000000000001); #328026=CYLINDRICAL_SURFACE('',#354275,0.139999999999998); #328027=CYLINDRICAL_SURFACE('',#354278,0.175000000000001); #328028=CYLINDRICAL_SURFACE('',#354283,0.139999999999998); #328029=CYLINDRICAL_SURFACE('',#354286,0.175000000000001); #328030=CYLINDRICAL_SURFACE('',#354291,0.140000000000002); #328031=CYLINDRICAL_SURFACE('',#354294,0.175000000000001); #328032=CYLINDRICAL_SURFACE('',#354299,0.140000000000002); #328033=CYLINDRICAL_SURFACE('',#354302,0.175000000000001); #328034=CYLINDRICAL_SURFACE('',#354307,0.140000000000002); #328035=CYLINDRICAL_SURFACE('',#354310,0.175000000000001); #328036=CYLINDRICAL_SURFACE('',#354315,0.139999999999998); #328037=CYLINDRICAL_SURFACE('',#354318,0.175000000000001); #328038=CYLINDRICAL_SURFACE('',#354323,0.140000000000002); #328039=CYLINDRICAL_SURFACE('',#354326,0.175000000000001); #328040=CYLINDRICAL_SURFACE('',#354331,0.140000000000002); #328041=CYLINDRICAL_SURFACE('',#354334,0.175000000000001); #328042=CYLINDRICAL_SURFACE('',#354339,0.140000000000002); #328043=CYLINDRICAL_SURFACE('',#354342,0.175000000000001); #328044=CYLINDRICAL_SURFACE('',#354347,0.465); #328045=CYLINDRICAL_SURFACE('',#354350,0.499999999999998); #328046=CYLINDRICAL_SURFACE('',#354355,0.139999999999998); #328047=CYLINDRICAL_SURFACE('',#354358,0.175000000000001); #328048=CYLINDRICAL_SURFACE('',#354363,0.140000000000002); #328049=CYLINDRICAL_SURFACE('',#354366,0.175000000000001); #328050=CYLINDRICAL_SURFACE('',#354371,0.139999999999998); #328051=CYLINDRICAL_SURFACE('',#354374,0.175000000000001); #328052=CYLINDRICAL_SURFACE('',#354379,0.139999999999998); #328053=CYLINDRICAL_SURFACE('',#354382,0.175000000000001); #328054=CYLINDRICAL_SURFACE('',#354387,0.139999999999998); #328055=CYLINDRICAL_SURFACE('',#354390,0.175000000000001); #328056=CYLINDRICAL_SURFACE('',#354395,0.140000000000002); #328057=CYLINDRICAL_SURFACE('',#354398,0.175000000000001); #328058=CYLINDRICAL_SURFACE('',#354403,0.139999999999998); #328059=CYLINDRICAL_SURFACE('',#354406,0.175000000000001); #328060=CYLINDRICAL_SURFACE('',#354411,0.139999999999998); #328061=CYLINDRICAL_SURFACE('',#354414,0.175000000000001); #328062=CYLINDRICAL_SURFACE('',#354419,0.139999999999998); #328063=CYLINDRICAL_SURFACE('',#354422,0.175000000000001); #328064=CYLINDRICAL_SURFACE('',#354427,0.140000000000002); #328065=CYLINDRICAL_SURFACE('',#354430,0.175000000000001); #328066=CYLINDRICAL_SURFACE('',#354435,0.139999999999998); #328067=CYLINDRICAL_SURFACE('',#354438,0.175000000000001); #328068=CYLINDRICAL_SURFACE('',#354443,0.139999999999998); #328069=CYLINDRICAL_SURFACE('',#354446,0.175000000000001); #328070=CYLINDRICAL_SURFACE('',#354451,0.139999999999998); #328071=CYLINDRICAL_SURFACE('',#354454,0.175000000000001); #328072=CYLINDRICAL_SURFACE('',#354459,0.14); #328073=CYLINDRICAL_SURFACE('',#354462,0.175000000000001); #328074=CYLINDRICAL_SURFACE('',#354467,0.139999999999998); #328075=CYLINDRICAL_SURFACE('',#354470,0.175000000000001); #328076=CYLINDRICAL_SURFACE('',#354475,0.564999999999998); #328077=CYLINDRICAL_SURFACE('',#354478,0.600000000000001); #328078=CYLINDRICAL_SURFACE('',#354483,0.140000000000002); #328079=CYLINDRICAL_SURFACE('',#354486,0.175000000000001); #328080=CYLINDRICAL_SURFACE('',#354491,0.140000000000002); #328081=CYLINDRICAL_SURFACE('',#354494,0.175000000000001); #328082=CYLINDRICAL_SURFACE('',#354499,0.140000000000002); #328083=CYLINDRICAL_SURFACE('',#354502,0.175000000000001); #328084=CYLINDRICAL_SURFACE('',#354507,0.139999999999998); #328085=CYLINDRICAL_SURFACE('',#354510,0.175000000000001); #328086=CYLINDRICAL_SURFACE('',#354515,0.140000000000002); #328087=CYLINDRICAL_SURFACE('',#354518,0.175000000000001); #328088=CYLINDRICAL_SURFACE('',#354523,0.140000000000002); #328089=CYLINDRICAL_SURFACE('',#354526,0.175000000000001); #328090=CYLINDRICAL_SURFACE('',#354531,0.140000000000002); #328091=CYLINDRICAL_SURFACE('',#354534,0.175000000000001); #328092=CYLINDRICAL_SURFACE('',#354539,0.139999999999998); #328093=CYLINDRICAL_SURFACE('',#354542,0.175000000000001); #328094=CYLINDRICAL_SURFACE('',#354547,0.140000000000002); #328095=CYLINDRICAL_SURFACE('',#354550,0.175000000000001); #328096=CYLINDRICAL_SURFACE('',#354555,0.140000000000002); #328097=CYLINDRICAL_SURFACE('',#354558,0.175000000000001); #328098=CYLINDRICAL_SURFACE('',#354563,0.140000000000002); #328099=CYLINDRICAL_SURFACE('',#354566,0.175000000000001); #328100=CYLINDRICAL_SURFACE('',#354571,0.139999999999998); #328101=CYLINDRICAL_SURFACE('',#354574,0.175000000000001); #328102=CYLINDRICAL_SURFACE('',#354579,0.140000000000002); #328103=CYLINDRICAL_SURFACE('',#354582,0.175000000000001); #328104=CYLINDRICAL_SURFACE('',#354587,0.140000000000002); #328105=CYLINDRICAL_SURFACE('',#354590,0.175000000000001); #328106=CYLINDRICAL_SURFACE('',#354595,0.140000000000002); #328107=CYLINDRICAL_SURFACE('',#354598,0.175000000000001); #328108=CYLINDRICAL_SURFACE('',#354603,0.465); #328109=CYLINDRICAL_SURFACE('',#354606,0.499999999999998); #328110=CYLINDRICAL_SURFACE('',#354611,0.140000000000002); #328111=CYLINDRICAL_SURFACE('',#354614,0.175000000000001); #328112=CYLINDRICAL_SURFACE('',#354619,0.140000000000002); #328113=CYLINDRICAL_SURFACE('',#354622,0.175000000000001); #328114=CYLINDRICAL_SURFACE('',#354627,0.140000000000002); #328115=CYLINDRICAL_SURFACE('',#354630,0.175000000000001); #328116=CYLINDRICAL_SURFACE('',#354635,0.139999999999998); #328117=CYLINDRICAL_SURFACE('',#354638,0.175000000000001); #328118=CYLINDRICAL_SURFACE('',#354643,0.140000000000002); #328119=CYLINDRICAL_SURFACE('',#354646,0.175000000000001); #328120=CYLINDRICAL_SURFACE('',#354651,0.140000000000002); #328121=CYLINDRICAL_SURFACE('',#354654,0.175000000000001); #328122=CYLINDRICAL_SURFACE('',#354659,0.140000000000002); #328123=CYLINDRICAL_SURFACE('',#354662,0.175000000000001); #328124=CYLINDRICAL_SURFACE('',#354667,0.139999999999998); #328125=CYLINDRICAL_SURFACE('',#354670,0.175000000000001); #328126=CYLINDRICAL_SURFACE('',#354675,0.140000000000002); #328127=CYLINDRICAL_SURFACE('',#354678,0.175000000000001); #328128=CYLINDRICAL_SURFACE('',#354683,0.140000000000002); #328129=CYLINDRICAL_SURFACE('',#354686,0.175000000000001); #328130=CYLINDRICAL_SURFACE('',#354691,0.140000000000002); #328131=CYLINDRICAL_SURFACE('',#354694,0.175000000000001); #328132=CYLINDRICAL_SURFACE('',#354699,0.139999999999998); #328133=CYLINDRICAL_SURFACE('',#354702,0.175000000000001); #328134=CYLINDRICAL_SURFACE('',#354707,0.140000000000002); #328135=CYLINDRICAL_SURFACE('',#354710,0.175000000000001); #328136=CYLINDRICAL_SURFACE('',#354715,0.140000000000002); #328137=CYLINDRICAL_SURFACE('',#354718,0.175000000000001); #328138=CYLINDRICAL_SURFACE('',#354723,0.140000000000002); #328139=CYLINDRICAL_SURFACE('',#354726,0.175000000000001); #328140=CYLINDRICAL_SURFACE('',#354731,0.514999999999999); #328141=CYLINDRICAL_SURFACE('',#354734,0.549999999999997); #328142=CYLINDRICAL_SURFACE('',#354739,0.140000000000002); #328143=CYLINDRICAL_SURFACE('',#354742,0.175000000000001); #328144=CYLINDRICAL_SURFACE('',#354747,0.140000000000002); #328145=CYLINDRICAL_SURFACE('',#354750,0.175000000000001); #328146=CYLINDRICAL_SURFACE('',#354755,0.140000000000002); #328147=CYLINDRICAL_SURFACE('',#354758,0.175000000000001); #328148=CYLINDRICAL_SURFACE('',#354763,0.139999999999998); #328149=CYLINDRICAL_SURFACE('',#354766,0.175000000000001); #328150=CYLINDRICAL_SURFACE('',#354771,0.140000000000002); #328151=CYLINDRICAL_SURFACE('',#354774,0.175000000000001); #328152=CYLINDRICAL_SURFACE('',#354779,0.139999999999998); #328153=CYLINDRICAL_SURFACE('',#354782,0.175000000000001); #328154=CYLINDRICAL_SURFACE('',#354787,0.140000000000002); #328155=CYLINDRICAL_SURFACE('',#354790,0.175000000000001); #328156=CYLINDRICAL_SURFACE('',#354795,0.139999999999998); #328157=CYLINDRICAL_SURFACE('',#354798,0.175000000000001); #328158=CYLINDRICAL_SURFACE('',#354803,0.140000000000002); #328159=CYLINDRICAL_SURFACE('',#354806,0.175000000000001); #328160=CYLINDRICAL_SURFACE('',#354811,0.139999999999998); #328161=CYLINDRICAL_SURFACE('',#354814,0.175000000000001); #328162=CYLINDRICAL_SURFACE('',#354819,0.140000000000002); #328163=CYLINDRICAL_SURFACE('',#354822,0.175000000000001); #328164=CYLINDRICAL_SURFACE('',#354827,0.139999999999998); #328165=CYLINDRICAL_SURFACE('',#354830,0.175000000000001); #328166=CYLINDRICAL_SURFACE('',#354835,0.140000000000002); #328167=CYLINDRICAL_SURFACE('',#354838,0.175000000000001); #328168=CYLINDRICAL_SURFACE('',#354843,0.139999999999998); #328169=CYLINDRICAL_SURFACE('',#354846,0.175000000000001); #328170=CYLINDRICAL_SURFACE('',#354851,0.140000000000002); #328171=CYLINDRICAL_SURFACE('',#354854,0.175000000000001); #328172=CYLINDRICAL_SURFACE('',#354859,0.465); #328173=CYLINDRICAL_SURFACE('',#354862,0.499999999999998); #328174=CYLINDRICAL_SURFACE('',#354867,0.140000000000002); #328175=CYLINDRICAL_SURFACE('',#354870,0.175000000000001); #328176=CYLINDRICAL_SURFACE('',#354875,0.140000000000002); #328177=CYLINDRICAL_SURFACE('',#354878,0.175000000000001); #328178=CYLINDRICAL_SURFACE('',#354883,0.140000000000002); #328179=CYLINDRICAL_SURFACE('',#354886,0.175000000000001); #328180=CYLINDRICAL_SURFACE('',#354891,0.139999999999998); #328181=CYLINDRICAL_SURFACE('',#354894,0.175000000000001); #328182=CYLINDRICAL_SURFACE('',#354899,0.140000000000002); #328183=CYLINDRICAL_SURFACE('',#354902,0.175000000000001); #328184=CYLINDRICAL_SURFACE('',#354907,0.140000000000002); #328185=CYLINDRICAL_SURFACE('',#354910,0.175000000000001); #328186=CYLINDRICAL_SURFACE('',#354915,0.140000000000002); #328187=CYLINDRICAL_SURFACE('',#354918,0.175000000000001); #328188=CYLINDRICAL_SURFACE('',#354923,0.139999999999998); #328189=CYLINDRICAL_SURFACE('',#354926,0.175000000000001); #328190=CYLINDRICAL_SURFACE('',#354931,0.140000000000002); #328191=CYLINDRICAL_SURFACE('',#354934,0.175000000000001); #328192=CYLINDRICAL_SURFACE('',#354939,0.140000000000002); #328193=CYLINDRICAL_SURFACE('',#354942,0.175000000000001); #328194=CYLINDRICAL_SURFACE('',#354947,0.140000000000002); #328195=CYLINDRICAL_SURFACE('',#354950,0.175000000000001); #328196=CYLINDRICAL_SURFACE('',#354955,0.139999999999998); #328197=CYLINDRICAL_SURFACE('',#354958,0.175000000000001); #328198=CYLINDRICAL_SURFACE('',#354963,0.140000000000002); #328199=CYLINDRICAL_SURFACE('',#354966,0.175000000000001); #328200=CYLINDRICAL_SURFACE('',#354971,0.140000000000002); #328201=CYLINDRICAL_SURFACE('',#354974,0.175000000000001); #328202=CYLINDRICAL_SURFACE('',#354979,0.140000000000002); #328203=CYLINDRICAL_SURFACE('',#354982,0.175000000000001); #328204=CYLINDRICAL_SURFACE('',#354987,0.564999999999998); #328205=CYLINDRICAL_SURFACE('',#354990,0.600000000000001); #328206=CYLINDRICAL_SURFACE('',#354995,0.14); #328207=CYLINDRICAL_SURFACE('',#354998,0.175000000000001); #328208=CYLINDRICAL_SURFACE('',#355003,0.139999999999998); #328209=CYLINDRICAL_SURFACE('',#355006,0.175000000000001); #328210=CYLINDRICAL_SURFACE('',#355011,0.140000000000002); #328211=CYLINDRICAL_SURFACE('',#355014,0.175000000000001); #328212=CYLINDRICAL_SURFACE('',#355019,0.139999999999998); #328213=CYLINDRICAL_SURFACE('',#355022,0.175000000000001); #328214=CYLINDRICAL_SURFACE('',#355028,0.315); #328215=CYLINDRICAL_SURFACE('',#355032,0.315); #328216=CYLINDRICAL_SURFACE('',#355036,0.35); #328217=CYLINDRICAL_SURFACE('',#355040,0.35); #328218=CYLINDRICAL_SURFACE('',#355045,0.139999999999998); #328219=CYLINDRICAL_SURFACE('',#355048,0.175000000000001); #328220=CYLINDRICAL_SURFACE('',#355054,0.315); #328221=CYLINDRICAL_SURFACE('',#355058,0.315); #328222=CYLINDRICAL_SURFACE('',#355062,0.35); #328223=CYLINDRICAL_SURFACE('',#355066,0.35); #328224=CYLINDRICAL_SURFACE('',#355071,0.139999999999998); #328225=CYLINDRICAL_SURFACE('',#355074,0.175000000000001); #328226=CYLINDRICAL_SURFACE('',#355080,0.315); #328227=CYLINDRICAL_SURFACE('',#355084,0.315); #328228=CYLINDRICAL_SURFACE('',#355088,0.35); #328229=CYLINDRICAL_SURFACE('',#355092,0.35); #328230=CYLINDRICAL_SURFACE('',#355097,0.140000000000002); #328231=CYLINDRICAL_SURFACE('',#355100,0.175000000000001); #328232=CYLINDRICAL_SURFACE('',#355105,0.139999999999998); #328233=CYLINDRICAL_SURFACE('',#355108,0.175000000000001); #328234=CYLINDRICAL_SURFACE('',#355113,0.114999999999998); #328235=CYLINDRICAL_SURFACE('',#355116,0.150000000000001); #328236=CYLINDRICAL_SURFACE('',#355121,0.139999999999998); #328237=CYLINDRICAL_SURFACE('',#355124,0.175000000000001); #328238=CYLINDRICAL_SURFACE('',#355129,0.114999999999998); #328239=CYLINDRICAL_SURFACE('',#355132,0.150000000000001); #328240=CYLINDRICAL_SURFACE('',#355137,0.139999999999998); #328241=CYLINDRICAL_SURFACE('',#355140,0.175000000000001); #328242=CYLINDRICAL_SURFACE('',#355145,0.114999999999998); #328243=CYLINDRICAL_SURFACE('',#355148,0.150000000000001); #328244=CYLINDRICAL_SURFACE('',#355153,0.139999999999998); #328245=CYLINDRICAL_SURFACE('',#355156,0.175000000000001); #328246=CYLINDRICAL_SURFACE('',#355161,0.114999999999998); #328247=CYLINDRICAL_SURFACE('',#355164,0.150000000000001); #328248=CYLINDRICAL_SURFACE('',#355169,0.139999999999998); #328249=CYLINDRICAL_SURFACE('',#355172,0.175000000000001); #328250=CYLINDRICAL_SURFACE('',#355178,0.565); #328251=CYLINDRICAL_SURFACE('',#355182,0.565); #328252=CYLINDRICAL_SURFACE('',#355186,0.6); #328253=CYLINDRICAL_SURFACE('',#355190,0.6); #328254=CYLINDRICAL_SURFACE('',#355195,0.139999999999998); #328255=CYLINDRICAL_SURFACE('',#355198,0.175000000000001); #328256=CYLINDRICAL_SURFACE('',#355203,0.114999999999998); #328257=CYLINDRICAL_SURFACE('',#355206,0.150000000000001); #328258=CYLINDRICAL_SURFACE('',#355211,0.139999999999998); #328259=CYLINDRICAL_SURFACE('',#355214,0.175000000000001); #328260=CYLINDRICAL_SURFACE('',#355220,0.565); #328261=CYLINDRICAL_SURFACE('',#355224,0.565); #328262=CYLINDRICAL_SURFACE('',#355228,0.6); #328263=CYLINDRICAL_SURFACE('',#355232,0.6); #328264=CYLINDRICAL_SURFACE('',#355237,0.139999999999998); #328265=CYLINDRICAL_SURFACE('',#355240,0.175000000000001); #328266=CYLINDRICAL_SURFACE('',#355246,0.315); #328267=CYLINDRICAL_SURFACE('',#355250,0.315); #328268=CYLINDRICAL_SURFACE('',#355254,0.35); #328269=CYLINDRICAL_SURFACE('',#355258,0.35); #328270=CYLINDRICAL_SURFACE('',#355263,0.465); #328271=CYLINDRICAL_SURFACE('',#355266,0.499999999999998); #328272=CYLINDRICAL_SURFACE('',#355271,0.139999999999998); #328273=CYLINDRICAL_SURFACE('',#355274,0.175000000000001); #328274=CYLINDRICAL_SURFACE('',#355279,0.14); #328275=CYLINDRICAL_SURFACE('',#355282,0.175); #328276=CYLINDRICAL_SURFACE('',#355287,0.139999999999998); #328277=CYLINDRICAL_SURFACE('',#355290,0.175000000000001); #328278=CYLINDRICAL_SURFACE('',#355295,0.139999999999998); #328279=CYLINDRICAL_SURFACE('',#355298,0.175000000000001); #328280=CYLINDRICAL_SURFACE('',#355303,0.139999999999998); #328281=CYLINDRICAL_SURFACE('',#355306,0.175000000000001); #328282=CYLINDRICAL_SURFACE('',#355311,0.14); #328283=CYLINDRICAL_SURFACE('',#355314,0.175); #328284=CYLINDRICAL_SURFACE('',#355319,0.139999999999998); #328285=CYLINDRICAL_SURFACE('',#355322,0.175000000000001); #328286=CYLINDRICAL_SURFACE('',#355327,0.139999999999998); #328287=CYLINDRICAL_SURFACE('',#355330,0.175000000000001); #328288=CYLINDRICAL_SURFACE('',#355335,0.139999999999998); #328289=CYLINDRICAL_SURFACE('',#355338,0.175000000000001); #328290=CYLINDRICAL_SURFACE('',#355343,0.14); #328291=CYLINDRICAL_SURFACE('',#355346,0.175000000000001); #328292=CYLINDRICAL_SURFACE('',#355351,0.139999999999998); #328293=CYLINDRICAL_SURFACE('',#355354,0.175000000000001); #328294=CYLINDRICAL_SURFACE('',#355359,0.139999999999998); #328295=CYLINDRICAL_SURFACE('',#355362,0.175000000000001); #328296=CYLINDRICAL_SURFACE('',#355367,0.139999999999998); #328297=CYLINDRICAL_SURFACE('',#355370,0.175000000000001); #328298=CYLINDRICAL_SURFACE('',#355375,0.14); #328299=CYLINDRICAL_SURFACE('',#355378,0.175); #328300=CYLINDRICAL_SURFACE('',#355383,0.139999999999998); #328301=CYLINDRICAL_SURFACE('',#355386,0.175000000000001); #328302=CYLINDRICAL_SURFACE('',#355391,0.139999999999998); #328303=CYLINDRICAL_SURFACE('',#355394,0.175000000000001); #328304=CYLINDRICAL_SURFACE('',#355399,0.140000000000002); #328305=CYLINDRICAL_SURFACE('',#355402,0.175000000000001); #328306=CYLINDRICAL_SURFACE('',#355407,0.14); #328307=CYLINDRICAL_SURFACE('',#355410,0.175); #328308=CYLINDRICAL_SURFACE('',#355415,0.139999999999998); #328309=CYLINDRICAL_SURFACE('',#355418,0.175000000000001); #328310=CYLINDRICAL_SURFACE('',#355423,0.139999999999998); #328311=CYLINDRICAL_SURFACE('',#355426,0.175000000000001); #328312=CYLINDRICAL_SURFACE('',#355431,0.139999999999998); #328313=CYLINDRICAL_SURFACE('',#355434,0.175000000000001); #328314=CYLINDRICAL_SURFACE('',#355439,0.14); #328315=CYLINDRICAL_SURFACE('',#355442,0.175); #328316=CYLINDRICAL_SURFACE('',#355447,0.139999999999998); #328317=CYLINDRICAL_SURFACE('',#355450,0.175000000000001); #328318=CYLINDRICAL_SURFACE('',#355455,0.139999999999998); #328319=CYLINDRICAL_SURFACE('',#355458,0.175000000000001); #328320=CYLINDRICAL_SURFACE('',#355463,0.114999999999998); #328321=CYLINDRICAL_SURFACE('',#355466,0.150000000000001); #328322=CYLINDRICAL_SURFACE('',#355471,0.14); #328323=CYLINDRICAL_SURFACE('',#355474,0.175); #328324=CYLINDRICAL_SURFACE('',#355479,0.140000000000002); #328325=CYLINDRICAL_SURFACE('',#355482,0.175000000000001); #328326=CYLINDRICAL_SURFACE('',#355487,0.139999999999998); #328327=CYLINDRICAL_SURFACE('',#355490,0.175000000000001); #328328=CYLINDRICAL_SURFACE('',#355495,0.114999999999998); #328329=CYLINDRICAL_SURFACE('',#355498,0.150000000000001); #328330=CYLINDRICAL_SURFACE('',#355503,0.14); #328331=CYLINDRICAL_SURFACE('',#355506,0.175); #328332=CYLINDRICAL_SURFACE('',#355511,0.114999999999998); #328333=CYLINDRICAL_SURFACE('',#355514,0.150000000000001); #328334=CYLINDRICAL_SURFACE('',#355519,0.514999999999999); #328335=CYLINDRICAL_SURFACE('',#355522,0.550000000000002); #328336=CYLINDRICAL_SURFACE('',#355527,0.139999999999998); #328337=CYLINDRICAL_SURFACE('',#355530,0.175000000000001); #328338=CYLINDRICAL_SURFACE('',#355535,0.140000000000002); #328339=CYLINDRICAL_SURFACE('',#355538,0.175000000000001); #328340=CYLINDRICAL_SURFACE('',#355543,0.139999999999998); #328341=CYLINDRICAL_SURFACE('',#355546,0.175000000000001); #328342=CYLINDRICAL_SURFACE('',#355551,0.139999999999998); #328343=CYLINDRICAL_SURFACE('',#355554,0.175000000000001); #328344=CYLINDRICAL_SURFACE('',#355559,0.139999999999998); #328345=CYLINDRICAL_SURFACE('',#355562,0.175000000000001); #328346=CYLINDRICAL_SURFACE('',#355567,0.140000000000002); #328347=CYLINDRICAL_SURFACE('',#355570,0.175000000000001); #328348=CYLINDRICAL_SURFACE('',#355575,0.139999999999998); #328349=CYLINDRICAL_SURFACE('',#355578,0.175000000000001); #328350=CYLINDRICAL_SURFACE('',#355583,0.139999999999998); #328351=CYLINDRICAL_SURFACE('',#355586,0.175000000000001); #328352=CYLINDRICAL_SURFACE('',#355591,0.139999999999998); #328353=CYLINDRICAL_SURFACE('',#355594,0.175000000000001); #328354=CYLINDRICAL_SURFACE('',#355599,0.140000000000002); #328355=CYLINDRICAL_SURFACE('',#355602,0.175000000000001); #328356=CYLINDRICAL_SURFACE('',#355607,0.139999999999998); #328357=CYLINDRICAL_SURFACE('',#355610,0.175000000000001); #328358=CYLINDRICAL_SURFACE('',#355615,0.139999999999998); #328359=CYLINDRICAL_SURFACE('',#355618,0.175000000000001); #328360=CYLINDRICAL_SURFACE('',#355623,0.139999999999998); #328361=CYLINDRICAL_SURFACE('',#355626,0.175000000000001); #328362=CYLINDRICAL_SURFACE('',#355631,0.140000000000002); #328363=CYLINDRICAL_SURFACE('',#355634,0.175000000000001); #328364=CYLINDRICAL_SURFACE('',#355639,0.139999999999998); #328365=CYLINDRICAL_SURFACE('',#355642,0.175000000000001); #328366=CYLINDRICAL_SURFACE('',#355647,0.465); #328367=CYLINDRICAL_SURFACE('',#355650,0.499999999999998); #328368=CYLINDRICAL_SURFACE('',#355655,0.139999999999998); #328369=CYLINDRICAL_SURFACE('',#355658,0.175000000000001); #328370=CYLINDRICAL_SURFACE('',#355663,0.140000000000002); #328371=CYLINDRICAL_SURFACE('',#355666,0.175000000000001); #328372=CYLINDRICAL_SURFACE('',#355671,0.139999999999998); #328373=CYLINDRICAL_SURFACE('',#355674,0.175000000000001); #328374=CYLINDRICAL_SURFACE('',#355679,0.139999999999998); #328375=CYLINDRICAL_SURFACE('',#355682,0.175000000000001); #328376=CYLINDRICAL_SURFACE('',#355687,0.14); #328377=CYLINDRICAL_SURFACE('',#355690,0.175000000000001); #328378=CYLINDRICAL_SURFACE('',#355695,0.140000000000002); #328379=CYLINDRICAL_SURFACE('',#355698,0.175000000000001); #328380=CYLINDRICAL_SURFACE('',#355703,0.139999999999998); #328381=CYLINDRICAL_SURFACE('',#355706,0.175000000000001); #328382=CYLINDRICAL_SURFACE('',#355711,0.139999999999998); #328383=CYLINDRICAL_SURFACE('',#355714,0.175000000000001); #328384=CYLINDRICAL_SURFACE('',#355719,0.139999999999998); #328385=CYLINDRICAL_SURFACE('',#355722,0.175000000000001); #328386=CYLINDRICAL_SURFACE('',#355727,0.140000000000002); #328387=CYLINDRICAL_SURFACE('',#355730,0.175000000000001); #328388=CYLINDRICAL_SURFACE('',#355735,0.139999999999998); #328389=CYLINDRICAL_SURFACE('',#355738,0.175000000000001); #328390=CYLINDRICAL_SURFACE('',#355743,0.139999999999998); #328391=CYLINDRICAL_SURFACE('',#355746,0.175000000000001); #328392=CYLINDRICAL_SURFACE('',#355751,0.139999999999998); #328393=CYLINDRICAL_SURFACE('',#355754,0.175000000000001); #328394=CYLINDRICAL_SURFACE('',#355759,0.140000000000002); #328395=CYLINDRICAL_SURFACE('',#355762,0.175000000000001); #328396=CYLINDRICAL_SURFACE('',#355767,0.139999999999998); #328397=CYLINDRICAL_SURFACE('',#355770,0.175000000000001); #328398=CYLINDRICAL_SURFACE('',#355775,0.465); #328399=CYLINDRICAL_SURFACE('',#355778,0.499999999999998); #328400=CYLINDRICAL_SURFACE('',#355783,0.14); #328401=CYLINDRICAL_SURFACE('',#355786,0.175000000000001); #328402=CYLINDRICAL_SURFACE('',#355791,0.140000000000002); #328403=CYLINDRICAL_SURFACE('',#355794,0.175000000000001); #328404=CYLINDRICAL_SURFACE('',#355799,0.14); #328405=CYLINDRICAL_SURFACE('',#355802,0.175000000000001); #328406=CYLINDRICAL_SURFACE('',#355807,0.139999999999998); #328407=CYLINDRICAL_SURFACE('',#355810,0.175000000000001); #328408=CYLINDRICAL_SURFACE('',#355815,0.14); #328409=CYLINDRICAL_SURFACE('',#355818,0.175000000000001); #328410=CYLINDRICAL_SURFACE('',#355823,0.140000000000002); #328411=CYLINDRICAL_SURFACE('',#355826,0.175000000000001); #328412=CYLINDRICAL_SURFACE('',#355831,0.14); #328413=CYLINDRICAL_SURFACE('',#355834,0.175000000000001); #328414=CYLINDRICAL_SURFACE('',#355839,0.139999999999998); #328415=CYLINDRICAL_SURFACE('',#355842,0.175000000000001); #328416=CYLINDRICAL_SURFACE('',#355847,0.14); #328417=CYLINDRICAL_SURFACE('',#355850,0.175000000000001); #328418=CYLINDRICAL_SURFACE('',#355855,0.140000000000002); #328419=CYLINDRICAL_SURFACE('',#355858,0.175000000000001); #328420=CYLINDRICAL_SURFACE('',#355863,0.14); #328421=CYLINDRICAL_SURFACE('',#355866,0.175000000000001); #328422=CYLINDRICAL_SURFACE('',#355871,0.139999999999998); #328423=CYLINDRICAL_SURFACE('',#355874,0.175000000000001); #328424=CYLINDRICAL_SURFACE('',#355879,0.14); #328425=CYLINDRICAL_SURFACE('',#355882,0.175000000000001); #328426=CYLINDRICAL_SURFACE('',#355887,0.140000000000002); #328427=CYLINDRICAL_SURFACE('',#355890,0.175000000000001); #328428=CYLINDRICAL_SURFACE('',#355895,0.14); #328429=CYLINDRICAL_SURFACE('',#355898,0.175000000000001); #328430=CYLINDRICAL_SURFACE('',#355903,0.139999999999998); #328431=CYLINDRICAL_SURFACE('',#355906,0.175000000000001); #328432=CYLINDRICAL_SURFACE('',#355911,0.14); #328433=CYLINDRICAL_SURFACE('',#355914,0.175000000000001); #328434=CYLINDRICAL_SURFACE('',#355919,0.14); #328435=CYLINDRICAL_SURFACE('',#355922,0.175); #328436=CYLINDRICAL_SURFACE('',#355927,0.14); #328437=CYLINDRICAL_SURFACE('',#355930,0.175000000000001); #328438=CYLINDRICAL_SURFACE('',#355935,0.139999999999998); #328439=CYLINDRICAL_SURFACE('',#355938,0.175000000000001); #328440=CYLINDRICAL_SURFACE('',#355943,0.14); #328441=CYLINDRICAL_SURFACE('',#355946,0.175000000000001); #328442=CYLINDRICAL_SURFACE('',#355951,0.14); #328443=CYLINDRICAL_SURFACE('',#355954,0.175000000000001); #328444=CYLINDRICAL_SURFACE('',#355959,0.14); #328445=CYLINDRICAL_SURFACE('',#355962,0.175000000000001); #328446=CYLINDRICAL_SURFACE('',#355967,0.139999999999998); #328447=CYLINDRICAL_SURFACE('',#355970,0.175000000000001); #328448=CYLINDRICAL_SURFACE('',#355975,0.139999999999998); #328449=CYLINDRICAL_SURFACE('',#355978,0.175000000000001); #328450=CYLINDRICAL_SURFACE('',#355983,0.14); #328451=CYLINDRICAL_SURFACE('',#355986,0.175); #328452=CYLINDRICAL_SURFACE('',#355991,0.139999999999998); #328453=CYLINDRICAL_SURFACE('',#355994,0.175000000000001); #328454=CYLINDRICAL_SURFACE('',#355999,0.139999999999998); #328455=CYLINDRICAL_SURFACE('',#356002,0.175000000000001); #328456=CYLINDRICAL_SURFACE('',#356007,0.139999999999998); #328457=CYLINDRICAL_SURFACE('',#356010,0.175000000000001); #328458=CYLINDRICAL_SURFACE('',#356015,0.14); #328459=CYLINDRICAL_SURFACE('',#356018,0.175000000000001); #328460=CYLINDRICAL_SURFACE('',#356023,0.139999999999998); #328461=CYLINDRICAL_SURFACE('',#356026,0.175000000000001); #328462=CYLINDRICAL_SURFACE('',#356031,0.565000000000002); #328463=CYLINDRICAL_SURFACE('',#356034,0.600000000000001); #328464=CYLINDRICAL_SURFACE('',#356039,0.140000000000002); #328465=CYLINDRICAL_SURFACE('',#356042,0.175000000000001); #328466=CYLINDRICAL_SURFACE('',#356047,0.139999999999998); #328467=CYLINDRICAL_SURFACE('',#356050,0.175000000000001); #328468=CYLINDRICAL_SURFACE('',#356055,0.140000000000002); #328469=CYLINDRICAL_SURFACE('',#356058,0.175000000000001); #328470=CYLINDRICAL_SURFACE('',#356063,0.139999999999998); #328471=CYLINDRICAL_SURFACE('',#356066,0.175000000000001); #328472=CYLINDRICAL_SURFACE('',#356071,0.140000000000002); #328473=CYLINDRICAL_SURFACE('',#356074,0.175000000000001); #328474=CYLINDRICAL_SURFACE('',#356079,0.139999999999998); #328475=CYLINDRICAL_SURFACE('',#356082,0.175000000000001); #328476=CYLINDRICAL_SURFACE('',#356087,0.140000000000002); #328477=CYLINDRICAL_SURFACE('',#356090,0.175000000000001); #328478=CYLINDRICAL_SURFACE('',#356095,0.139999999999998); #328479=CYLINDRICAL_SURFACE('',#356098,0.175000000000001); #328480=CYLINDRICAL_SURFACE('',#356103,0.140000000000002); #328481=CYLINDRICAL_SURFACE('',#356106,0.175000000000001); #328482=CYLINDRICAL_SURFACE('',#356111,0.139999999999998); #328483=CYLINDRICAL_SURFACE('',#356114,0.175000000000001); #328484=CYLINDRICAL_SURFACE('',#356119,0.139999999999998); #328485=CYLINDRICAL_SURFACE('',#356122,0.175000000000001); #328486=CYLINDRICAL_SURFACE('',#356127,0.139999999999998); #328487=CYLINDRICAL_SURFACE('',#356130,0.175000000000001); #328488=CYLINDRICAL_SURFACE('',#356135,0.139999999999998); #328489=CYLINDRICAL_SURFACE('',#356138,0.175000000000001); #328490=CYLINDRICAL_SURFACE('',#356143,0.139999999999998); #328491=CYLINDRICAL_SURFACE('',#356146,0.175000000000001); #328492=CYLINDRICAL_SURFACE('',#356151,0.140000000000002); #328493=CYLINDRICAL_SURFACE('',#356154,0.175000000000001); #328494=CYLINDRICAL_SURFACE('',#356159,0.465); #328495=CYLINDRICAL_SURFACE('',#356162,0.5); #328496=CYLINDRICAL_SURFACE('',#356167,0.14); #328497=CYLINDRICAL_SURFACE('',#356170,0.175000000000001); #328498=CYLINDRICAL_SURFACE('',#356175,0.139999999999998); #328499=CYLINDRICAL_SURFACE('',#356178,0.175000000000001); #328500=CYLINDRICAL_SURFACE('',#356183,0.14); #328501=CYLINDRICAL_SURFACE('',#356186,0.175000000000001); #328502=CYLINDRICAL_SURFACE('',#356191,0.139999999999998); #328503=CYLINDRICAL_SURFACE('',#356194,0.175000000000001); #328504=CYLINDRICAL_SURFACE('',#356199,0.14); #328505=CYLINDRICAL_SURFACE('',#356202,0.175000000000001); #328506=CYLINDRICAL_SURFACE('',#356207,0.139999999999998); #328507=CYLINDRICAL_SURFACE('',#356210,0.175000000000001); #328508=CYLINDRICAL_SURFACE('',#356215,0.14); #328509=CYLINDRICAL_SURFACE('',#356218,0.175000000000001); #328510=CYLINDRICAL_SURFACE('',#356223,0.139999999999998); #328511=CYLINDRICAL_SURFACE('',#356226,0.175000000000001); #328512=CYLINDRICAL_SURFACE('',#356231,0.14); #328513=CYLINDRICAL_SURFACE('',#356234,0.175000000000001); #328514=CYLINDRICAL_SURFACE('',#356239,0.139999999999998); #328515=CYLINDRICAL_SURFACE('',#356242,0.175000000000001); #328516=CYLINDRICAL_SURFACE('',#356247,0.14); #328517=CYLINDRICAL_SURFACE('',#356250,0.175000000000001); #328518=CYLINDRICAL_SURFACE('',#356255,0.139999999999998); #328519=CYLINDRICAL_SURFACE('',#356258,0.175000000000001); #328520=CYLINDRICAL_SURFACE('',#356263,0.14); #328521=CYLINDRICAL_SURFACE('',#356266,0.175000000000001); #328522=CYLINDRICAL_SURFACE('',#356271,0.139999999999998); #328523=CYLINDRICAL_SURFACE('',#356274,0.175000000000001); #328524=CYLINDRICAL_SURFACE('',#356279,0.14); #328525=CYLINDRICAL_SURFACE('',#356282,0.175000000000001); #328526=CYLINDRICAL_SURFACE('',#356287,0.514999999999999); #328527=CYLINDRICAL_SURFACE('',#356290,0.550000000000002); #328528=CYLINDRICAL_SURFACE('',#356295,0.140000000000002); #328529=CYLINDRICAL_SURFACE('',#356298,0.175000000000001); #328530=CYLINDRICAL_SURFACE('',#356303,0.139999999999998); #328531=CYLINDRICAL_SURFACE('',#356306,0.175000000000001); #328532=CYLINDRICAL_SURFACE('',#356311,0.140000000000002); #328533=CYLINDRICAL_SURFACE('',#356314,0.175000000000001); #328534=CYLINDRICAL_SURFACE('',#356319,0.139999999999998); #328535=CYLINDRICAL_SURFACE('',#356322,0.175000000000001); #328536=CYLINDRICAL_SURFACE('',#356327,0.140000000000002); #328537=CYLINDRICAL_SURFACE('',#356330,0.175000000000001); #328538=CYLINDRICAL_SURFACE('',#356335,0.139999999999998); #328539=CYLINDRICAL_SURFACE('',#356338,0.175000000000001); #328540=CYLINDRICAL_SURFACE('',#356343,0.140000000000002); #328541=CYLINDRICAL_SURFACE('',#356346,0.175000000000001); #328542=CYLINDRICAL_SURFACE('',#356351,0.139999999999998); #328543=CYLINDRICAL_SURFACE('',#356354,0.175000000000001); #328544=CYLINDRICAL_SURFACE('',#356359,0.140000000000002); #328545=CYLINDRICAL_SURFACE('',#356362,0.175000000000001); #328546=CYLINDRICAL_SURFACE('',#356367,0.139999999999998); #328547=CYLINDRICAL_SURFACE('',#356370,0.175000000000001); #328548=CYLINDRICAL_SURFACE('',#356375,0.140000000000002); #328549=CYLINDRICAL_SURFACE('',#356378,0.175000000000001); #328550=CYLINDRICAL_SURFACE('',#356383,0.139999999999998); #328551=CYLINDRICAL_SURFACE('',#356386,0.175000000000001); #328552=CYLINDRICAL_SURFACE('',#356391,0.140000000000002); #328553=CYLINDRICAL_SURFACE('',#356394,0.175000000000001); #328554=CYLINDRICAL_SURFACE('',#356399,0.139999999999998); #328555=CYLINDRICAL_SURFACE('',#356402,0.175000000000001); #328556=CYLINDRICAL_SURFACE('',#356407,0.140000000000002); #328557=CYLINDRICAL_SURFACE('',#356410,0.175000000000001); #328558=CYLINDRICAL_SURFACE('',#356415,0.465); #328559=CYLINDRICAL_SURFACE('',#356418,0.5); #328560=CYLINDRICAL_SURFACE('',#356423,0.140000000000002); #328561=CYLINDRICAL_SURFACE('',#356426,0.175000000000001); #328562=CYLINDRICAL_SURFACE('',#356431,0.139999999999998); #328563=CYLINDRICAL_SURFACE('',#356434,0.175000000000001); #328564=CYLINDRICAL_SURFACE('',#356439,0.140000000000002); #328565=CYLINDRICAL_SURFACE('',#356442,0.175000000000001); #328566=CYLINDRICAL_SURFACE('',#356447,0.139999999999998); #328567=CYLINDRICAL_SURFACE('',#356450,0.175000000000001); #328568=CYLINDRICAL_SURFACE('',#356455,0.140000000000002); #328569=CYLINDRICAL_SURFACE('',#356458,0.175000000000001); #328570=CYLINDRICAL_SURFACE('',#356463,0.139999999999998); #328571=CYLINDRICAL_SURFACE('',#356466,0.175000000000001); #328572=CYLINDRICAL_SURFACE('',#356471,0.140000000000002); #328573=CYLINDRICAL_SURFACE('',#356474,0.175000000000001); #328574=CYLINDRICAL_SURFACE('',#356479,0.139999999999998); #328575=CYLINDRICAL_SURFACE('',#356482,0.175000000000001); #328576=CYLINDRICAL_SURFACE('',#356487,0.140000000000002); #328577=CYLINDRICAL_SURFACE('',#356490,0.175000000000001); #328578=CYLINDRICAL_SURFACE('',#356495,0.139999999999998); #328579=CYLINDRICAL_SURFACE('',#356498,0.175000000000001); #328580=CYLINDRICAL_SURFACE('',#356503,0.140000000000002); #328581=CYLINDRICAL_SURFACE('',#356506,0.175000000000001); #328582=CYLINDRICAL_SURFACE('',#356511,0.139999999999998); #328583=CYLINDRICAL_SURFACE('',#356514,0.175000000000001); #328584=CYLINDRICAL_SURFACE('',#356519,0.140000000000002); #328585=CYLINDRICAL_SURFACE('',#356522,0.175000000000001); #328586=CYLINDRICAL_SURFACE('',#356527,0.140000000000002); #328587=CYLINDRICAL_SURFACE('',#356530,0.175000000000001); #328588=CYLINDRICAL_SURFACE('',#356535,0.140000000000002); #328589=CYLINDRICAL_SURFACE('',#356538,0.175000000000001); #328590=CYLINDRICAL_SURFACE('',#356543,0.564999999999998); #328591=CYLINDRICAL_SURFACE('',#356546,0.600000000000001); #328592=CYLINDRICAL_SURFACE('',#356552,0.564999999999998); #328593=CYLINDRICAL_SURFACE('',#356556,0.900857088405025); #328594=CYLINDRICAL_SURFACE('',#356559,0.900856769723134); #328595=CYLINDRICAL_SURFACE('',#356624,0.565000000000002); #328596=CYLINDRICAL_SURFACE('',#356628,0.900857088405025); #328597=CYLINDRICAL_SURFACE('',#356631,0.900856769723134); #328598=CYLINDRICAL_SURFACE('',#356636,0.140000000000002); #328599=CYLINDRICAL_SURFACE('',#356639,0.140000000000002); #328600=CYLINDRICAL_SURFACE('',#356643,0.275850031150924); #328601=CYLINDRICAL_SURFACE('',#356646,0.275430734847663); #328602=CYLINDRICAL_SURFACE('',#356650,0.275430616157734); #328603=CYLINDRICAL_SURFACE('',#356653,0.275430435747982); #328604=CYLINDRICAL_SURFACE('',#356657,0.275430284536495); #328605=CYLINDRICAL_SURFACE('',#356662,0.514999999999999); #328606=CYLINDRICAL_SURFACE('',#356666,0.825995597015953); #328607=CYLINDRICAL_SURFACE('',#356669,0.825996336030616); #328608=CYLINDRICAL_SURFACE('',#356674,0.465); #328609=CYLINDRICAL_SURFACE('',#356678,0.750904962541172); #328610=CYLINDRICAL_SURFACE('',#356681,0.750905460124643); #328611=CYLINDRICAL_SURFACE('',#356686,0.139999999999998); #328612=CYLINDRICAL_SURFACE('',#356690,0.275430523299251); #328613=CYLINDRICAL_SURFACE('',#356694,0.127001515838141); #328614=CYLINDRICAL_SURFACE('',#356704,0.275430756167228); #328615=CYLINDRICAL_SURFACE('',#356707,0.275850020106942); #328616=CYLINDRICAL_SURFACE('',#356712,0.139999999999998); #328617=CYLINDRICAL_SURFACE('',#356716,0.275429677195186); #328618=CYLINDRICAL_SURFACE('',#356726,0.275429442438526); #328619=CYLINDRICAL_SURFACE('',#356739,0.127001515838136); #328620=CYLINDRICAL_SURFACE('',#356743,0.127001515838139); #328621=CYLINDRICAL_SURFACE('',#356753,0.127000308727438); #328622=CYLINDRICAL_SURFACE('',#356764,0.127000308727433); #328623=CYLINDRICAL_SURFACE('',#356774,0.127000308727435); #328624=CYLINDRICAL_SURFACE('',#356779,0.127000308727438); #328625=CYLINDRICAL_SURFACE('',#356789,0.127001515838141); #328626=CYLINDRICAL_SURFACE('',#356797,0.127000308727433); #328627=CYLINDRICAL_SURFACE('',#356801,0.127000308727433); #328628=CYLINDRICAL_SURFACE('',#356815,0.127000308727433); #328629=CYLINDRICAL_SURFACE('',#356819,0.127000308727433); #328630=CYLINDRICAL_SURFACE('',#356827,0.127000308727425); #328631=CYLINDRICAL_SURFACE('',#356837,0.127000308727441); #328632=CYLINDRICAL_SURFACE('',#356842,0.127000308727431); #328633=CYLINDRICAL_SURFACE('',#356852,0.127000308727431); #328634=CYLINDRICAL_SURFACE('',#356859,0.139999999999998); #328635=CYLINDRICAL_SURFACE('',#356863,0.275429726247122); #328636=CYLINDRICAL_SURFACE('',#356868,0.127000429438417); #328637=CYLINDRICAL_SURFACE('',#356873,0.127000308727438); #328638=CYLINDRICAL_SURFACE('',#356884,0.127000308727435); #328639=CYLINDRICAL_SURFACE('',#356889,0.127000429438417); #328640=CYLINDRICAL_SURFACE('',#356893,0.27542954841201); #328641=CYLINDRICAL_SURFACE('',#356898,0.139999999999998); #328642=CYLINDRICAL_SURFACE('',#356902,0.275429726247122); #328643=CYLINDRICAL_SURFACE('',#356907,0.127001515838136); #328644=CYLINDRICAL_SURFACE('',#356918,0.127000308727438); #328645=CYLINDRICAL_SURFACE('',#356922,0.275429548412009); #328646=CYLINDRICAL_SURFACE('',#356927,0.139999999999998); #328647=CYLINDRICAL_SURFACE('',#356931,0.275429677195186); #328648=CYLINDRICAL_SURFACE('',#356941,0.127000308727438); #328649=CYLINDRICAL_SURFACE('',#356945,0.275429442438526); #328650=CYLINDRICAL_SURFACE('',#356950,0.139999999999998); #328651=CYLINDRICAL_SURFACE('',#356954,0.275430525995305); #328652=CYLINDRICAL_SURFACE('',#356958,0.127000308727436); #328653=CYLINDRICAL_SURFACE('',#356970,0.275850020106943); #328654=CYLINDRICAL_SURFACE('',#356975,0.140000000000002); #328655=CYLINDRICAL_SURFACE('',#356978,0.140000000000002); #328656=CYLINDRICAL_SURFACE('',#356982,0.275850031150944); #328657=CYLINDRICAL_SURFACE('',#356985,0.275430734847662); #328658=CYLINDRICAL_SURFACE('',#356989,0.275446747951507); #328659=CYLINDRICAL_SURFACE('',#356992,0.275424633936642); #328660=CYLINDRICAL_SURFACE('',#356996,0.275430284536491); #328661=CYLINDRICAL_SURFACE('',#357001,0.139999999999998); #328662=CYLINDRICAL_SURFACE('',#357005,0.275429726247122); #328663=CYLINDRICAL_SURFACE('',#357010,0.127001515838133); #328664=CYLINDRICAL_SURFACE('',#357021,0.127000308727435); #328665=CYLINDRICAL_SURFACE('',#357025,0.275429548412009); #328666=CYLINDRICAL_SURFACE('',#357030,0.139999999999998); #328667=CYLINDRICAL_SURFACE('',#357034,0.275429726247122); #328668=CYLINDRICAL_SURFACE('',#357039,0.127000429438417); #328669=CYLINDRICAL_SURFACE('',#357044,0.127000308727435); #328670=CYLINDRICAL_SURFACE('',#357055,0.127000308727433); #328671=CYLINDRICAL_SURFACE('',#357060,0.127000429438417); #328672=CYLINDRICAL_SURFACE('',#357064,0.27542954841201); #328673=CYLINDRICAL_SURFACE('',#357073,0.127000308727439); #328674=CYLINDRICAL_SURFACE('',#357077,0.127000308727438); #328675=CYLINDRICAL_SURFACE('',#357083,0.127000308727438); #328676=CYLINDRICAL_SURFACE('',#357093,0.127000308727433); #328677=CYLINDRICAL_SURFACE('',#357097,0.127000308727435); #328678=CYLINDRICAL_SURFACE('',#357107,0.139999999999998); #328679=CYLINDRICAL_SURFACE('',#357111,0.275429677195186); #328680=CYLINDRICAL_SURFACE('',#357116,0.127000308727438); #328681=CYLINDRICAL_SURFACE('',#357120,0.127000308727438); #328682=CYLINDRICAL_SURFACE('',#357124,0.127000308727446); #328683=CYLINDRICAL_SURFACE('',#357134,0.127000308727441); #328684=CYLINDRICAL_SURFACE('',#357141,0.127000308727447); #328685=CYLINDRICAL_SURFACE('',#357145,0.275429442438526); #328686=CYLINDRICAL_SURFACE('',#357150,0.139999999999998); #328687=CYLINDRICAL_SURFACE('',#357154,0.27543052329925); #328688=CYLINDRICAL_SURFACE('',#357164,0.275430756167224); #328689=CYLINDRICAL_SURFACE('',#357167,0.275850020106942); #328690=CYLINDRICAL_SURFACE('',#357177,0.127000308727433); #328691=CYLINDRICAL_SURFACE('',#357183,0.127000308727444); #328692=CYLINDRICAL_SURFACE('',#357187,0.127000308727438); #328693=CYLINDRICAL_SURFACE('',#357191,0.127000308727436); #328694=CYLINDRICAL_SURFACE('',#357196,0.127000308727438); #328695=CYLINDRICAL_SURFACE('',#357206,0.127000308727443); #328696=CYLINDRICAL_SURFACE('',#357211,0.127000308727427); #328697=CYLINDRICAL_SURFACE('',#357218,0.127000308727438); #328698=CYLINDRICAL_SURFACE('',#357222,0.127001515838136); #328699=CYLINDRICAL_SURFACE('',#357236,0.127001515838136); #328700=CYLINDRICAL_SURFACE('',#357240,0.127000308727433); #328701=CYLINDRICAL_SURFACE('',#357247,0.127000308727433); #328702=CYLINDRICAL_SURFACE('',#357252,0.127000308727443); #328703=CYLINDRICAL_SURFACE('',#357262,0.127000308727438); #328704=CYLINDRICAL_SURFACE('',#357267,0.127000308727436); #328705=CYLINDRICAL_SURFACE('',#357271,0.127000308727438); #328706=CYLINDRICAL_SURFACE('',#357275,0.127000308727444); #328707=CYLINDRICAL_SURFACE('',#357281,0.127000308727433); #328708=CYLINDRICAL_SURFACE('',#357292,0.127000308727438); #328709=CYLINDRICAL_SURFACE('',#357297,0.127000308727438); #328710=CYLINDRICAL_SURFACE('',#357307,0.127000308727438); #328711=CYLINDRICAL_SURFACE('',#357316,0.139999999999998); #328712=CYLINDRICAL_SURFACE('',#357321,0.127028798213342); #328713=CYLINDRICAL_SURFACE('',#357327,0.126750626298977); #328714=CYLINDRICAL_SURFACE('',#357332,0.275429704872054); #328715=CYLINDRICAL_SURFACE('',#357335,0.275429777742635); #328716=CYLINDRICAL_SURFACE('',#357339,0.127000308727434); #328717=CYLINDRICAL_SURFACE('',#357344,0.127000308727438); #328718=CYLINDRICAL_SURFACE('',#357354,0.139999999999998); #328719=CYLINDRICAL_SURFACE('',#357358,0.275429677195186); #328720=CYLINDRICAL_SURFACE('',#357363,0.127000308727435); #328721=CYLINDRICAL_SURFACE('',#357374,0.127000308727435); #328722=CYLINDRICAL_SURFACE('',#357378,0.275429442438527); #328723=CYLINDRICAL_SURFACE('',#357383,0.139999999999998); #328724=CYLINDRICAL_SURFACE('',#357387,0.275850826114455); #328725=CYLINDRICAL_SURFACE('',#357390,0.275429566248993); #328726=CYLINDRICAL_SURFACE('',#357400,0.127000308727425); #328727=CYLINDRICAL_SURFACE('',#357404,0.275430756167224); #328728=CYLINDRICAL_SURFACE('',#357409,0.139999999999998); #328729=CYLINDRICAL_SURFACE('',#357417,0.127000308727433); #328730=CYLINDRICAL_SURFACE('',#357422,0.127000308727438); #328731=CYLINDRICAL_SURFACE('',#357426,0.275430616157731); #328732=CYLINDRICAL_SURFACE('',#357429,0.275430435747982); #328733=CYLINDRICAL_SURFACE('',#357434,0.127000308727436); #328734=CYLINDRICAL_SURFACE('',#357442,0.139999999999998); #328735=CYLINDRICAL_SURFACE('',#357446,0.275430523299249); #328736=CYLINDRICAL_SURFACE('',#357450,0.127001515838139); #328737=CYLINDRICAL_SURFACE('',#357460,0.275430756167228); #328738=CYLINDRICAL_SURFACE('',#357463,0.275850020106943); #328739=CYLINDRICAL_SURFACE('',#357468,0.139999999999998); #328740=CYLINDRICAL_SURFACE('',#357477,0.127000308727438); #328741=CYLINDRICAL_SURFACE('',#357482,0.275430616157734); #328742=CYLINDRICAL_SURFACE('',#357485,0.275430906036581); #328743=CYLINDRICAL_SURFACE('',#357489,0.127000308727438); #328744=CYLINDRICAL_SURFACE('',#357494,0.127000308727433); #328745=CYLINDRICAL_SURFACE('',#357501,0.139999999999998); #328746=CYLINDRICAL_SURFACE('',#357505,0.27543052329925); #328747=CYLINDRICAL_SURFACE('',#357515,0.275430756167225); #328748=CYLINDRICAL_SURFACE('',#357518,0.275850020106942); #328749=CYLINDRICAL_SURFACE('',#357523,0.140000000000002); #328750=CYLINDRICAL_SURFACE('',#357527,0.275850826114459); #328751=CYLINDRICAL_SURFACE('',#357530,0.275429566248995); #328752=CYLINDRICAL_SURFACE('',#357540,0.275430756167224); #328753=CYLINDRICAL_SURFACE('',#357545,0.139999999999998); #328754=CYLINDRICAL_SURFACE('',#357553,0.12700030872744); #328755=CYLINDRICAL_SURFACE('',#357561,0.275429728561975); #328756=CYLINDRICAL_SURFACE('',#357564,0.275429566248996); #328757=CYLINDRICAL_SURFACE('',#357568,0.127000308727436); #328758=CYLINDRICAL_SURFACE('',#357572,0.127000308727438); #328759=CYLINDRICAL_SURFACE('',#357576,0.127000308727438); #328760=CYLINDRICAL_SURFACE('',#357580,0.127000308727431); #328761=CYLINDRICAL_SURFACE('',#357587,0.139999999999998); #328762=CYLINDRICAL_SURFACE('',#357598,0.275429728561975); #328763=CYLINDRICAL_SURFACE('',#357601,0.275429566248998); #328764=CYLINDRICAL_SURFACE('',#357605,0.127000308727436); #328765=CYLINDRICAL_SURFACE('',#357609,0.127000308727438); #328766=CYLINDRICAL_SURFACE('',#357613,0.12700151583812); #328767=CYLINDRICAL_SURFACE('',#357619,0.140000000000002); #328768=CYLINDRICAL_SURFACE('',#357627,0.127000308727436); #328769=CYLINDRICAL_SURFACE('',#357631,0.127000308727436); #328770=CYLINDRICAL_SURFACE('',#357635,0.127000308727436); #328771=CYLINDRICAL_SURFACE('',#357639,0.127000308727447); #328772=CYLINDRICAL_SURFACE('',#357643,0.275429704872057); #328773=CYLINDRICAL_SURFACE('',#357646,0.275429777742636); #328774=CYLINDRICAL_SURFACE('',#357654,0.12700030872743); #328775=CYLINDRICAL_SURFACE('',#357665,0.127000308727437); #328776=CYLINDRICAL_SURFACE('',#357669,0.127000308727438); #328777=CYLINDRICAL_SURFACE('',#357681,0.127000308727433); #328778=CYLINDRICAL_SURFACE('',#357685,0.127000308727438); #328779=CYLINDRICAL_SURFACE('',#357695,0.139999999999998); #328780=CYLINDRICAL_SURFACE('',#357704,0.139999999999998); #328781=CYLINDRICAL_SURFACE('',#357708,0.275429677195186); #328782=CYLINDRICAL_SURFACE('',#357712,0.127000308727449); #328783=CYLINDRICAL_SURFACE('',#357719,0.127000308727436); #328784=CYLINDRICAL_SURFACE('',#357729,0.12700030872744); #328785=CYLINDRICAL_SURFACE('',#357733,0.127000308727438); #328786=CYLINDRICAL_SURFACE('',#357737,0.127000308727438); #328787=CYLINDRICAL_SURFACE('',#357742,0.275429442438526); #328788=CYLINDRICAL_SURFACE('',#357747,0.139999999999998); #328789=CYLINDRICAL_SURFACE('',#357757,0.127000308727438); #328790=CYLINDRICAL_SURFACE('',#357761,0.275429704872049); #328791=CYLINDRICAL_SURFACE('',#357764,0.27542977774263); #328792=CYLINDRICAL_SURFACE('',#357769,0.127000308727437); #328793=CYLINDRICAL_SURFACE('',#357775,0.140000000000002); #328794=CYLINDRICAL_SURFACE('',#357788,0.127000308727447); #328795=CYLINDRICAL_SURFACE('',#357792,0.127000308727447); #328796=CYLINDRICAL_SURFACE('',#357798,0.127001515838128); #328797=CYLINDRICAL_SURFACE('',#357802,0.127000308727427); #328798=CYLINDRICAL_SURFACE('',#357808,0.275429704872049); #328799=CYLINDRICAL_SURFACE('',#357811,0.27542977774263); #328800=CYLINDRICAL_SURFACE('',#357815,0.127000308727435); #328801=CYLINDRICAL_SURFACE('',#357819,0.127000308727427); #328802=CYLINDRICAL_SURFACE('',#357825,0.12700030872744); #328803=CYLINDRICAL_SURFACE('',#357829,0.127000308727436); #328804=CYLINDRICAL_SURFACE('',#357843,0.140000000000002); #328805=CYLINDRICAL_SURFACE('',#357851,0.127000308727433); #328806=CYLINDRICAL_SURFACE('',#357856,0.127000308727438); #328807=CYLINDRICAL_SURFACE('',#357861,0.275429728561973); #328808=CYLINDRICAL_SURFACE('',#357864,0.275429566248996); #328809=CYLINDRICAL_SURFACE('',#357868,0.127001515838138); #328810=CYLINDRICAL_SURFACE('',#357873,0.127001515838136); #328811=CYLINDRICAL_SURFACE('',#357881,0.139999999999998); #328812=CYLINDRICAL_SURFACE('',#357890,0.139999999999998); #328813=CYLINDRICAL_SURFACE('',#357899,0.139999999999998); #328814=CYLINDRICAL_SURFACE('',#357903,0.275430525995305); #328815=CYLINDRICAL_SURFACE('',#357907,0.127000308727435); #328816=CYLINDRICAL_SURFACE('',#357919,0.275850020106943); #328817=CYLINDRICAL_SURFACE('',#357924,0.465); #328818=CYLINDRICAL_SURFACE('',#357928,0.750904962541172); #328819=CYLINDRICAL_SURFACE('',#357931,0.750905460124644); #328820=CYLINDRICAL_SURFACE('',#357936,0.139999999999998); #328821=CYLINDRICAL_SURFACE('',#357939,0.465); #328822=CYLINDRICAL_SURFACE('',#357943,0.275429677195186); #328823=CYLINDRICAL_SURFACE('',#357947,0.750581792116793); #328824=CYLINDRICAL_SURFACE('',#357950,0.750581774250364); #328825=CYLINDRICAL_SURFACE('',#357954,0.275429442438529); #328826=CYLINDRICAL_SURFACE('',#357959,0.465); #328827=CYLINDRICAL_SURFACE('',#357963,0.750904962541172); #328828=CYLINDRICAL_SURFACE('',#357966,0.750905460124643); #328829=CYLINDRICAL_SURFACE('',#357971,0.564999999999998); #328830=CYLINDRICAL_SURFACE('',#357980,0.139999999999998); #328831=CYLINDRICAL_SURFACE('',#357995,0.127000308727433); #328832=CYLINDRICAL_SURFACE('',#357999,0.127000308727437); #328833=CYLINDRICAL_SURFACE('',#358005,0.127000308727438); #328834=CYLINDRICAL_SURFACE('',#358009,0.127000308727433); #328835=CYLINDRICAL_SURFACE('',#358013,0.275429704872049); #328836=CYLINDRICAL_SURFACE('',#358016,0.27542977774263); #328837=CYLINDRICAL_SURFACE('',#358022,0.127001515838136); #328838=CYLINDRICAL_SURFACE('',#358026,0.127001515838129); #328839=CYLINDRICAL_SURFACE('',#358032,0.127000308727433); #328840=CYLINDRICAL_SURFACE('',#358036,0.127000308727449); #328841=CYLINDRICAL_SURFACE('',#358048,0.139999999999998); #328842=CYLINDRICAL_SURFACE('',#358055,0.127000308727433); #328843=CYLINDRICAL_SURFACE('',#358059,0.127000308727438); #328844=CYLINDRICAL_SURFACE('',#358064,0.127001515838136); #328845=CYLINDRICAL_SURFACE('',#358068,0.275429728561973); #328846=CYLINDRICAL_SURFACE('',#358071,0.275429566248995); #328847=CYLINDRICAL_SURFACE('',#358076,0.127000308727438); #328848=CYLINDRICAL_SURFACE('',#358114,0.140000000000002); #328849=CYLINDRICAL_SURFACE('',#358121,0.127001515838122); #328850=CYLINDRICAL_SURFACE('',#358125,0.12700030872744); #328851=CYLINDRICAL_SURFACE('',#358129,0.127000308727447); #328852=CYLINDRICAL_SURFACE('',#358133,0.275429704872057); #328853=CYLINDRICAL_SURFACE('',#358136,0.275429777742636); #328854=CYLINDRICAL_SURFACE('',#358146,0.139999999999998); #328855=CYLINDRICAL_SURFACE('',#358150,0.275429677195186); #328856=CYLINDRICAL_SURFACE('',#358154,0.127000308727433); #328857=CYLINDRICAL_SURFACE('',#358158,0.127000308727444); #328858=CYLINDRICAL_SURFACE('',#358170,0.12700030872744); #328859=CYLINDRICAL_SURFACE('',#358174,0.127000308727438); #328860=CYLINDRICAL_SURFACE('',#358180,0.275429442438529); #328861=CYLINDRICAL_SURFACE('',#358185,0.139999999999998); #328862=CYLINDRICAL_SURFACE('',#358189,0.275850031150926); #328863=CYLINDRICAL_SURFACE('',#358192,0.27543073484766); #328864=CYLINDRICAL_SURFACE('',#358201,0.127000308727438); #328865=CYLINDRICAL_SURFACE('',#358205,0.275430620370238); #328866=CYLINDRICAL_SURFACE('',#358214,0.127001515838141); #328867=CYLINDRICAL_SURFACE('',#358218,0.127001515838136); #328868=CYLINDRICAL_SURFACE('',#358224,0.127000308727438); #328869=CYLINDRICAL_SURFACE('',#358228,0.127000308727438); #328870=CYLINDRICAL_SURFACE('',#358240,0.127000308727438); #328871=CYLINDRICAL_SURFACE('',#358244,0.127000308727438); #328872=CYLINDRICAL_SURFACE('',#358250,0.127000308727438); #328873=CYLINDRICAL_SURFACE('',#358254,0.127000308727438); #328874=CYLINDRICAL_SURFACE('',#358264,0.139999999999998); #328875=CYLINDRICAL_SURFACE('',#358268,0.275429677195185); #328876=CYLINDRICAL_SURFACE('',#358278,0.275429442438528); #328877=CYLINDRICAL_SURFACE('',#358283,0.139999999999998); #328878=CYLINDRICAL_SURFACE('',#358286,0.139999999999998); #328879=CYLINDRICAL_SURFACE('',#358291,0.275429728561973); #328880=CYLINDRICAL_SURFACE('',#358294,0.275429566248995); #328881=CYLINDRICAL_SURFACE('',#358299,0.275640885203079); #328882=CYLINDRICAL_SURFACE('',#358302,0.275640720012506); #328883=CYLINDRICAL_SURFACE('',#358315,0.127000308727432); #328884=CYLINDRICAL_SURFACE('',#358319,0.126758017197154); #328885=CYLINDRICAL_SURFACE('',#358328,0.127001515838137); #328886=CYLINDRICAL_SURFACE('',#358342,0.14); #328887=CYLINDRICAL_SURFACE('',#358345,0.14); #328888=CYLINDRICAL_SURFACE('',#358348,0.14); #328889=CYLINDRICAL_SURFACE('',#358351,0.14); #328890=CYLINDRICAL_SURFACE('',#358354,0.14); #328891=CYLINDRICAL_SURFACE('',#358357,0.14); #328892=CYLINDRICAL_SURFACE('',#358360,0.14); #328893=CYLINDRICAL_SURFACE('',#358363,0.14); #328894=CYLINDRICAL_SURFACE('',#358366,0.465); #328895=CYLINDRICAL_SURFACE('',#358369,0.514999999999999); #328896=CYLINDRICAL_SURFACE('',#358372,0.14); #328897=CYLINDRICAL_SURFACE('',#358379,0.127001515838136); #328898=CYLINDRICAL_SURFACE('',#358383,0.127001515838141); #328899=CYLINDRICAL_SURFACE('',#358504,0.127000308727438); #328900=CYLINDRICAL_SURFACE('',#358508,0.127000308727438); #328901=CYLINDRICAL_SURFACE('',#358518,0.465); #328902=CYLINDRICAL_SURFACE('',#358522,0.750904962541172); #328903=CYLINDRICAL_SURFACE('',#358525,0.750905460124644); #328904=CYLINDRICAL_SURFACE('',#358620,0.140000000000002); #328905=CYLINDRICAL_SURFACE('',#358623,0.140000000000002); #328906=CYLINDRICAL_SURFACE('',#358626,0.140000000000002); #328907=CYLINDRICAL_SURFACE('',#358802,0.140000000000002); #328908=CYLINDRICAL_SURFACE('',#358806,0.565); #328909=CYLINDRICAL_SURFACE('',#358810,0.565); #328910=CYLINDRICAL_SURFACE('',#358813,0.514999999999999); #328911=CYLINDRICAL_SURFACE('',#358816,0.140000000000002); #328912=CYLINDRICAL_SURFACE('',#358819,0.140000000000002); #328913=CYLINDRICAL_SURFACE('',#358822,0.140000000000002); #328914=CYLINDRICAL_SURFACE('',#358825,0.140000000000002); #328915=CYLINDRICAL_SURFACE('',#358828,0.140000000000002); #328916=CYLINDRICAL_SURFACE('',#358831,0.140000000000002); #328917=CYLINDRICAL_SURFACE('',#358834,0.140000000000002); #328918=CYLINDRICAL_SURFACE('',#358837,0.140000000000002); #328919=CYLINDRICAL_SURFACE('',#359114,0.139999999999998); #328920=CYLINDRICAL_SURFACE('',#359117,0.139999999999998); #328921=CYLINDRICAL_SURFACE('',#359120,0.139999999999998); #328922=CYLINDRICAL_SURFACE('',#359123,0.465); #328923=CYLINDRICAL_SURFACE('',#359126,0.139999999999998); #328924=CYLINDRICAL_SURFACE('',#359129,0.139999999999998); #328925=CYLINDRICAL_SURFACE('',#359132,0.139999999999998); #328926=CYLINDRICAL_SURFACE('',#359135,0.139999999999998); #328927=CYLINDRICAL_SURFACE('',#359138,0.139999999999998); #328928=CYLINDRICAL_SURFACE('',#359141,0.139999999999998); #328929=CYLINDRICAL_SURFACE('',#359144,0.14); #328930=CYLINDRICAL_SURFACE('',#359147,0.14); #328931=CYLINDRICAL_SURFACE('',#359150,0.14); #328932=CYLINDRICAL_SURFACE('',#359153,0.14); #328933=CYLINDRICAL_SURFACE('',#359156,0.140000000000002); #328934=CYLINDRICAL_SURFACE('',#359159,0.140000000000002); #328935=CYLINDRICAL_SURFACE('',#359162,0.140000000000002); #328936=CYLINDRICAL_SURFACE('',#359165,0.140000000000002); #328937=CYLINDRICAL_SURFACE('',#359168,0.140000000000002); #328938=CYLINDRICAL_SURFACE('',#359171,0.140000000000002); #328939=CYLINDRICAL_SURFACE('',#359174,0.140000000000002); #328940=CYLINDRICAL_SURFACE('',#359177,0.140000000000002); #328941=CYLINDRICAL_SURFACE('',#359180,0.140000000000002); #328942=CYLINDRICAL_SURFACE('',#359183,0.140000000000002); #328943=CYLINDRICAL_SURFACE('',#359186,0.140000000000002); #328944=CYLINDRICAL_SURFACE('',#359189,0.140000000000002); #328945=CYLINDRICAL_SURFACE('',#359192,0.14); #328946=CYLINDRICAL_SURFACE('',#359195,0.14); #328947=CYLINDRICAL_SURFACE('',#359198,0.14); #328948=CYLINDRICAL_SURFACE('',#359201,0.14); #328949=CYLINDRICAL_SURFACE('',#359204,0.14); #328950=CYLINDRICAL_SURFACE('',#359207,0.14); #328951=CYLINDRICAL_SURFACE('',#359210,0.14); #328952=CYLINDRICAL_SURFACE('',#359213,0.14); #328953=CYLINDRICAL_SURFACE('',#359216,0.465); #328954=CYLINDRICAL_SURFACE('',#359220,0.315); #328955=CYLINDRICAL_SURFACE('',#359224,0.315); #328956=CYLINDRICAL_SURFACE('',#359227,0.114999999999998); #328957=CYLINDRICAL_SURFACE('',#359230,0.114999999999998); #328958=CYLINDRICAL_SURFACE('',#359233,0.114999999999998); #328959=CYLINDRICAL_SURFACE('',#359236,0.114999999999998); #328960=CYLINDRICAL_SURFACE('',#359240,0.315); #328961=CYLINDRICAL_SURFACE('',#359244,0.315); #328962=CYLINDRICAL_SURFACE('',#359248,0.315); #328963=CYLINDRICAL_SURFACE('',#359252,0.315); #328964=CYLINDRICAL_SURFACE('',#359256,0.315); #328965=CYLINDRICAL_SURFACE('',#359260,0.315); #328966=CYLINDRICAL_SURFACE('',#359263,0.140000000000002); #328967=CYLINDRICAL_SURFACE('',#359266,0.140000000000002); #328968=CYLINDRICAL_SURFACE('',#359269,0.564999999999998); #328969=CYLINDRICAL_SURFACE('',#359272,0.14); #328970=CYLINDRICAL_SURFACE('',#359275,0.140000000000002); #328971=CYLINDRICAL_SURFACE('',#359278,0.140000000000002); #328972=CYLINDRICAL_SURFACE('',#359281,0.140000000000002); #328973=CYLINDRICAL_SURFACE('',#359284,0.140000000000002); #328974=CYLINDRICAL_SURFACE('',#359287,0.140000000000002); #328975=CYLINDRICAL_SURFACE('',#359290,0.140000000000002); #328976=CYLINDRICAL_SURFACE('',#359293,0.140000000000002); #328977=CYLINDRICAL_SURFACE('',#359296,0.14); #328978=CYLINDRICAL_SURFACE('',#359299,0.14); #328979=CYLINDRICAL_SURFACE('',#359302,0.14); #328980=CYLINDRICAL_SURFACE('',#359305,0.14); #328981=CYLINDRICAL_SURFACE('',#359308,0.14); #328982=CYLINDRICAL_SURFACE('',#359311,0.14); #328983=CYLINDRICAL_SURFACE('',#359314,0.14); #328984=CYLINDRICAL_SURFACE('',#359317,0.14); #328985=CYLINDRICAL_SURFACE('',#360469,0.139999999999998); #328986=CYLINDRICAL_SURFACE('',#360472,0.139999999999998); #328987=CYLINDRICAL_SURFACE('',#360475,0.139999999999998); #328988=CYLINDRICAL_SURFACE('',#360619,0.139999999999998); #328989=CYLINDRICAL_SURFACE('',#360622,0.139999999999998); #328990=CYLINDRICAL_SURFACE('',#360625,0.139999999999998); #328991=CYLINDRICAL_SURFACE('',#360628,0.139999999999998); #328992=CYLINDRICAL_SURFACE('',#360631,0.139999999999998); #328993=CYLINDRICAL_SURFACE('',#360634,0.139999999999998); #328994=CYLINDRICAL_SURFACE('',#360637,0.139999999999998); #328995=CYLINDRICAL_SURFACE('',#360640,0.139999999999998); #328996=CYLINDRICAL_SURFACE('',#360643,0.139999999999998); #328997=CYLINDRICAL_SURFACE('',#360646,0.139999999999998); #328998=CYLINDRICAL_SURFACE('',#360649,0.139999999999998); #328999=CYLINDRICAL_SURFACE('',#360652,0.139999999999998); #329000=CYLINDRICAL_SURFACE('',#360655,0.139999999999998); #329001=CYLINDRICAL_SURFACE('',#360658,0.139999999999998); #329002=CYLINDRICAL_SURFACE('',#360661,0.139999999999998); #329003=CYLINDRICAL_SURFACE('',#360664,0.139999999999998); #329004=CYLINDRICAL_SURFACE('',#360667,0.139999999999998); #329005=CYLINDRICAL_SURFACE('',#360670,0.139999999999998); #329006=CYLINDRICAL_SURFACE('',#360673,0.139999999999998); #329007=CYLINDRICAL_SURFACE('',#360676,0.139999999999998); #329008=CYLINDRICAL_SURFACE('',#360679,0.139999999999998); #329009=CYLINDRICAL_SURFACE('',#360682,0.139999999999998); #329010=CYLINDRICAL_SURFACE('',#360685,0.139999999999998); #329011=CYLINDRICAL_SURFACE('',#360688,0.139999999999998); #329012=CYLINDRICAL_SURFACE('',#360691,0.139999999999998); #329013=CYLINDRICAL_SURFACE('',#360694,0.139999999999998); #329014=CYLINDRICAL_SURFACE('',#360697,0.139999999999998); #329015=CYLINDRICAL_SURFACE('',#360700,0.139999999999998); #329016=CYLINDRICAL_SURFACE('',#360703,0.139999999999998); #329017=CYLINDRICAL_SURFACE('',#360706,0.139999999999998); #329018=CYLINDRICAL_SURFACE('',#360709,0.139999999999998); #329019=CYLINDRICAL_SURFACE('',#360712,0.139999999999998); #329020=CYLINDRICAL_SURFACE('',#360715,0.139999999999998); #329021=CYLINDRICAL_SURFACE('',#360718,0.139999999999998); #329022=CYLINDRICAL_SURFACE('',#360721,0.139999999999998); #329023=CYLINDRICAL_SURFACE('',#360724,0.139999999999998); #329024=CYLINDRICAL_SURFACE('',#360727,0.139999999999998); #329025=CYLINDRICAL_SURFACE('',#360730,0.139999999999998); #329026=CYLINDRICAL_SURFACE('',#360733,0.139999999999998); #329027=CYLINDRICAL_SURFACE('',#360736,0.139999999999998); #329028=CYLINDRICAL_SURFACE('',#360739,0.139999999999998); #329029=CYLINDRICAL_SURFACE('',#360742,0.139999999999998); #329030=CYLINDRICAL_SURFACE('',#360745,0.139999999999998); #329031=CYLINDRICAL_SURFACE('',#360748,0.139999999999998); #329032=CYLINDRICAL_SURFACE('',#360751,0.139999999999998); #329033=CYLINDRICAL_SURFACE('',#360754,0.139999999999998); #329034=CYLINDRICAL_SURFACE('',#360757,0.139999999999998); #329035=CYLINDRICAL_SURFACE('',#360760,0.139999999999998); #329036=CYLINDRICAL_SURFACE('',#360763,0.139999999999998); #329037=CYLINDRICAL_SURFACE('',#361567,0.514999999999999); #329038=CYLINDRICAL_SURFACE('',#361571,0.565); #329039=CYLINDRICAL_SURFACE('',#361575,0.565); #329040=CYLINDRICAL_SURFACE('',#361695,0.140000000000002); #329041=CYLINDRICAL_SURFACE('',#361698,0.140000000000002); #329042=CYLINDRICAL_SURFACE('',#361701,0.140000000000002); #329043=CYLINDRICAL_SURFACE('',#361704,0.140000000000002); #329044=CYLINDRICAL_SURFACE('',#361707,0.140000000000002); #329045=CYLINDRICAL_SURFACE('',#361710,0.140000000000002); #329046=CYLINDRICAL_SURFACE('',#361713,0.140000000000002); #329047=CYLINDRICAL_SURFACE('',#361716,0.140000000000002); #329048=CYLINDRICAL_SURFACE('',#361719,0.140000000000002); #329049=CYLINDRICAL_SURFACE('',#361722,0.140000000000002); #329050=CYLINDRICAL_SURFACE('',#361725,0.140000000000002); #329051=CYLINDRICAL_SURFACE('',#361728,0.140000000000002); #329052=CYLINDRICAL_SURFACE('',#361731,0.140000000000002); #329053=CYLINDRICAL_SURFACE('',#361734,0.140000000000002); #329054=CYLINDRICAL_SURFACE('',#361737,0.140000000000002); #329055=CYLINDRICAL_SURFACE('',#361783,0.139999999999998); #329056=CYLINDRICAL_SURFACE('',#361791,0.127000308727436); #329057=CYLINDRICAL_SURFACE('',#361796,0.127000308727438); #329058=CYLINDRICAL_SURFACE('',#361815,0.127000308727436); #329059=CYLINDRICAL_SURFACE('',#361819,0.127000308727436); #329060=CYLINDRICAL_SURFACE('',#361823,0.126951739765291); #329061=CYLINDRICAL_SURFACE('',#361829,0.127000308727438); #329062=CYLINDRICAL_SURFACE('',#361833,0.127000308727433); #329063=CYLINDRICAL_SURFACE('',#361838,0.127001515838136); #329064=CYLINDRICAL_SURFACE('',#361846,0.139999999999998); #329065=CYLINDRICAL_SURFACE('',#361849,0.139999999999998); #329066=CYLINDRICAL_SURFACE('',#361852,0.139999999999998); #329067=CYLINDRICAL_SURFACE('',#361855,0.139999999999998); #329068=CYLINDRICAL_SURFACE('',#361858,0.139999999999998); #329069=CYLINDRICAL_SURFACE('',#361861,0.139999999999998); #329070=CYLINDRICAL_SURFACE('',#361864,0.139999999999998); #329071=CYLINDRICAL_SURFACE('',#361867,0.139999999999998); #329072=CYLINDRICAL_SURFACE('',#361870,0.139999999999998); #329073=CYLINDRICAL_SURFACE('',#361873,0.139999999999998); #329074=CYLINDRICAL_SURFACE('',#361876,0.139999999999998); #329075=CYLINDRICAL_SURFACE('',#361879,0.139999999999998); #329076=CYLINDRICAL_SURFACE('',#361882,0.139999999999998); #329077=CYLINDRICAL_SURFACE('',#361885,0.139999999999998); #329078=CYLINDRICAL_SURFACE('',#361888,0.139999999999998); #329079=CYLINDRICAL_SURFACE('',#361942,0.139999999999998); #329080=CYLINDRICAL_SURFACE('',#361951,0.127001515838136); #329081=CYLINDRICAL_SURFACE('',#361956,0.127000308727433); #329082=CYLINDRICAL_SURFACE('',#361960,0.127000308727438); #329083=CYLINDRICAL_SURFACE('',#361966,0.127000308727447); #329084=CYLINDRICAL_SURFACE('',#361970,0.127000308727436); #329085=CYLINDRICAL_SURFACE('',#361974,0.127000308727436); #329086=CYLINDRICAL_SURFACE('',#361993,0.127000308727436); #329087=CYLINDRICAL_SURFACE('',#361998,0.127000308727438); #329088=CYLINDRICAL_SURFACE('',#362005,0.139999999999998); #329089=CYLINDRICAL_SURFACE('',#362008,0.14); #329090=CYLINDRICAL_SURFACE('',#362011,0.14); #329091=CYLINDRICAL_SURFACE('',#362014,0.14); #329092=CYLINDRICAL_SURFACE('',#362017,0.14); #329093=CYLINDRICAL_SURFACE('',#362020,0.14); #329094=CYLINDRICAL_SURFACE('',#362023,0.14); #329095=CYLINDRICAL_SURFACE('',#362026,0.14); #329096=CYLINDRICAL_SURFACE('',#362029,0.14); #329097=CYLINDRICAL_SURFACE('',#362032,0.14); #329098=CYLINDRICAL_SURFACE('',#362035,0.14); #329099=CYLINDRICAL_SURFACE('',#362038,0.14); #329100=CYLINDRICAL_SURFACE('',#362041,0.14); #329101=CYLINDRICAL_SURFACE('',#362044,0.139999999999998); #329102=CYLINDRICAL_SURFACE('',#362047,0.139999999999998); #329103=CYLINDRICAL_SURFACE('',#362050,0.139999999999998); #329104=CYLINDRICAL_SURFACE('',#362053,0.139999999999998); #329105=CYLINDRICAL_SURFACE('',#362056,0.139999999999998); #329106=CYLINDRICAL_SURFACE('',#362059,0.14); #329107=CYLINDRICAL_SURFACE('',#362062,0.139999999999998); #329108=CYLINDRICAL_SURFACE('',#362065,0.139999999999998); #329109=CYLINDRICAL_SURFACE('',#362068,0.139999999999998); #329110=CYLINDRICAL_SURFACE('',#362071,0.139999999999998); #329111=CYLINDRICAL_SURFACE('',#362074,0.139999999999998); #329112=CYLINDRICAL_SURFACE('',#362077,0.139999999999998); #329113=CYLINDRICAL_SURFACE('',#362080,0.139999999999998); #329114=CYLINDRICAL_SURFACE('',#362083,0.139999999999998); #329115=CYLINDRICAL_SURFACE('',#362086,0.139999999999998); #329116=CYLINDRICAL_SURFACE('',#362089,0.139999999999998); #329117=CYLINDRICAL_SURFACE('',#362092,0.14); #329118=CYLINDRICAL_SURFACE('',#362095,0.14); #329119=CYLINDRICAL_SURFACE('',#362099,0.275429677195184); #329120=CYLINDRICAL_SURFACE('',#362105,0.127000308727435); #329121=CYLINDRICAL_SURFACE('',#362109,0.127000308727438); #329122=CYLINDRICAL_SURFACE('',#362184,0.127000308727436); #329123=CYLINDRICAL_SURFACE('',#362188,0.127000308727427); #329124=CYLINDRICAL_SURFACE('',#362192,0.275429442438526); #329125=CYLINDRICAL_SURFACE('',#362197,0.139999999999998); #329126=CYLINDRICAL_SURFACE('',#362206,0.127000308727436); #329127=CYLINDRICAL_SURFACE('',#362211,0.127000308727438); #329128=CYLINDRICAL_SURFACE('',#362215,0.275429728561973); #329129=CYLINDRICAL_SURFACE('',#362218,0.275429566248994); #329130=CYLINDRICAL_SURFACE('',#362223,0.127000308727431); #329131=CYLINDRICAL_SURFACE('',#362228,0.127000308727438); #329132=CYLINDRICAL_SURFACE('',#362235,0.140000000000002); #329133=CYLINDRICAL_SURFACE('',#362238,0.140000000000002); #329134=CYLINDRICAL_SURFACE('',#362241,0.140000000000002); #329135=CYLINDRICAL_SURFACE('',#362244,0.140000000000002); #329136=CYLINDRICAL_SURFACE('',#362247,0.140000000000002); #329137=CYLINDRICAL_SURFACE('',#362250,0.139999999999998); #329138=CYLINDRICAL_SURFACE('',#362253,0.140000000000002); #329139=CYLINDRICAL_SURFACE('',#362256,0.140000000000002); #329140=CYLINDRICAL_SURFACE('',#362259,0.140000000000002); #329141=CYLINDRICAL_SURFACE('',#362262,0.140000000000002); #329142=CYLINDRICAL_SURFACE('',#362265,0.465); #329143=CYLINDRICAL_SURFACE('',#362292,0.275170203557187); #329144=CYLINDRICAL_SURFACE('',#362297,0.127000308727437); #329145=CYLINDRICAL_SURFACE('',#362308,0.127000308727438); #329146=CYLINDRICAL_SURFACE('',#362312,0.275004382214899); #329147=CYLINDRICAL_SURFACE('',#362330,0.12700030872744); #329148=CYLINDRICAL_SURFACE('',#362334,0.127000308727433); #329149=CYLINDRICAL_SURFACE('',#362406,0.140000000000002); #329150=CYLINDRICAL_SURFACE('',#362409,0.140000000000002); #329151=CYLINDRICAL_SURFACE('',#362412,0.140000000000002); #329152=CYLINDRICAL_SURFACE('',#362415,0.140000000000002); #329153=CYLINDRICAL_SURFACE('',#362418,0.140000000000002); #329154=CYLINDRICAL_SURFACE('',#362421,0.140000000000002); #329155=CYLINDRICAL_SURFACE('',#362424,0.140000000000002); #329156=CYLINDRICAL_SURFACE('',#362427,0.140000000000002); #329157=CYLINDRICAL_SURFACE('',#362430,0.140000000000002); #329158=CYLINDRICAL_SURFACE('',#362433,0.140000000000002); #329159=CYLINDRICAL_SURFACE('',#362436,0.140000000000002); #329160=CYLINDRICAL_SURFACE('',#362439,0.140000000000002); #329161=CYLINDRICAL_SURFACE('',#362442,0.140000000000002); #329162=CYLINDRICAL_SURFACE('',#362445,0.140000000000002); #329163=CYLINDRICAL_SURFACE('',#362448,0.140000000000002); #329164=CYLINDRICAL_SURFACE('',#362451,0.140000000000002); #329165=CYLINDRICAL_SURFACE('',#362454,0.140000000000002); #329166=CYLINDRICAL_SURFACE('',#362457,0.140000000000002); #329167=CYLINDRICAL_SURFACE('',#362460,0.140000000000002); #329168=CYLINDRICAL_SURFACE('',#362463,0.140000000000002); #329169=CYLINDRICAL_SURFACE('',#362466,0.140000000000002); #329170=CYLINDRICAL_SURFACE('',#362469,0.140000000000002); #329171=CYLINDRICAL_SURFACE('',#362472,0.140000000000002); #329172=CYLINDRICAL_SURFACE('',#362475,0.140000000000002); #329173=CYLINDRICAL_SURFACE('',#362478,0.140000000000002); #329174=CYLINDRICAL_SURFACE('',#362481,0.140000000000002); #329175=CYLINDRICAL_SURFACE('',#362484,0.140000000000002); #329176=CYLINDRICAL_SURFACE('',#362487,0.140000000000002); #329177=CYLINDRICAL_SURFACE('',#362490,0.140000000000002); #329178=CYLINDRICAL_SURFACE('',#362493,0.140000000000002); #329179=CYLINDRICAL_SURFACE('',#362496,0.140000000000002); #329180=CYLINDRICAL_SURFACE('',#362500,0.275429677195184); #329181=CYLINDRICAL_SURFACE('',#362504,0.127000308727425); #329182=CYLINDRICAL_SURFACE('',#362508,0.127001515838118); #329183=CYLINDRICAL_SURFACE('',#362578,0.127000308727436); #329184=CYLINDRICAL_SURFACE('',#362582,0.127000308727438); #329185=CYLINDRICAL_SURFACE('',#362588,0.275429442438526); #329186=CYLINDRICAL_SURFACE('',#362593,0.140000000000002); #329187=CYLINDRICAL_SURFACE('',#362601,0.127000308727438); #329188=CYLINDRICAL_SURFACE('',#362606,0.127000308727433); #329189=CYLINDRICAL_SURFACE('',#362611,0.275429728561973); #329190=CYLINDRICAL_SURFACE('',#362614,0.275429566248996); #329191=CYLINDRICAL_SURFACE('',#362618,0.12700030872744); #329192=CYLINDRICAL_SURFACE('',#362623,0.127000308727436); #329193=CYLINDRICAL_SURFACE('',#362631,0.139999999999998); #329194=CYLINDRICAL_SURFACE('',#362634,0.139999999999998); #329195=CYLINDRICAL_SURFACE('',#362637,0.139999999999998); #329196=CYLINDRICAL_SURFACE('',#362640,0.139999999999998); #329197=CYLINDRICAL_SURFACE('',#362643,0.114999999999998); #329198=CYLINDRICAL_SURFACE('',#362646,0.114999999999998); #329199=CYLINDRICAL_SURFACE('',#362649,0.114999999999998); #329200=CYLINDRICAL_SURFACE('',#362652,0.114999999999998); #329201=CYLINDRICAL_SURFACE('',#362655,0.139999999999998); #329202=CYLINDRICAL_SURFACE('',#362658,0.139999999999998); #329203=CYLINDRICAL_SURFACE('',#362661,0.139999999999998); #329204=CYLINDRICAL_SURFACE('',#362664,0.139999999999998); #329205=CYLINDRICAL_SURFACE('',#362667,0.139999999999998); #329206=CYLINDRICAL_SURFACE('',#362670,0.139999999999998); #329207=CYLINDRICAL_SURFACE('',#362673,0.139999999999998); #329208=CYLINDRICAL_SURFACE('',#362676,0.139999999999998); #329209=CYLINDRICAL_SURFACE('',#362902,0.2750043822149); #329210=CYLINDRICAL_SURFACE('',#362906,0.127000308727437); #329211=CYLINDRICAL_SURFACE('',#362917,0.127000308727438); #329212=CYLINDRICAL_SURFACE('',#362922,0.275180228450174); #329213=CYLINDRICAL_SURFACE('',#363147,0.564999999999998); #329214=CYLINDRICAL_SURFACE('',#363151,0.900857088405025); #329215=CYLINDRICAL_SURFACE('',#363154,0.900856769723134); #329216=CYLINDRICAL_SURFACE('',#363159,0.565000000000002); #329217=CYLINDRICAL_SURFACE('',#363163,0.900857088405025); #329218=CYLINDRICAL_SURFACE('',#363166,0.900856769723134); #329219=CYLINDRICAL_SURFACE('',#363171,0.465); #329220=CYLINDRICAL_SURFACE('',#363175,0.750904962541172); #329221=CYLINDRICAL_SURFACE('',#363178,0.750905460124643); #329222=CYLINDRICAL_SURFACE('',#363183,0.514999999999999); #329223=CYLINDRICAL_SURFACE('',#363187,0.825995597015953); #329224=CYLINDRICAL_SURFACE('',#363190,0.825996336030616); #329225=CYLINDRICAL_SURFACE('',#363195,0.514999999999999); #329226=CYLINDRICAL_SURFACE('',#363204,0.465); #329227=CYLINDRICAL_SURFACE('',#363208,0.750904962541172); #329228=CYLINDRICAL_SURFACE('',#363211,0.750905460124644); #329229=CYLINDRICAL_SURFACE('',#363216,0.465); #329230=CYLINDRICAL_SURFACE('',#363220,0.750904962541172); #329231=CYLINDRICAL_SURFACE('',#363223,0.750905460124644); #329232=CYLINDRICAL_SURFACE('',#363228,0.564999999999998); #329233=CYLINDRICAL_SURFACE('',#363232,0.900857088405025); #329234=CYLINDRICAL_SURFACE('',#363235,0.900856769723134); #329235=CYLINDRICAL_SURFACE('',#363240,0.465); #329236=CYLINDRICAL_SURFACE('',#363244,0.750904962541171); #329237=CYLINDRICAL_SURFACE('',#363247,0.750905460124643); #329238=CYLINDRICAL_SURFACE('',#363253,0.315); #329239=CYLINDRICAL_SURFACE('',#363257,0.315); #329240=CYLINDRICAL_SURFACE('',#363319,0.565); #329241=CYLINDRICAL_SURFACE('',#363323,0.565); #329242=CYLINDRICAL_SURFACE('',#363401,0.315); #329243=CYLINDRICAL_SURFACE('',#363405,0.315); #329244=CYLINDRICAL_SURFACE('',#363466,0.514999999999999); #329245=CYLINDRICAL_SURFACE('',#363476,0.315); #329246=CYLINDRICAL_SURFACE('',#363480,0.315); #329247=CYLINDRICAL_SURFACE('',#363542,0.565); #329248=CYLINDRICAL_SURFACE('',#363546,0.565); #329249=CYLINDRICAL_SURFACE('',#363623,0.465); #329250=CYLINDRICAL_SURFACE('',#363627,0.750904962541172); #329251=CYLINDRICAL_SURFACE('',#363630,0.750905460124644); #329252=CYLINDRICAL_SURFACE('',#363635,0.514999999999999); #329253=CYLINDRICAL_SURFACE('',#363639,0.825995597015952); #329254=CYLINDRICAL_SURFACE('',#363642,0.825996336030615); #329255=CYLINDRICAL_SURFACE('',#363648,0.315); #329256=CYLINDRICAL_SURFACE('',#363652,0.315); #329257=CYLINDRICAL_SURFACE('',#363713,0.465); #329258=CYLINDRICAL_SURFACE('',#363717,0.750904962541172); #329259=CYLINDRICAL_SURFACE('',#363720,0.750905460124644); #329260=CYLINDRICAL_SURFACE('',#363725,0.465); #329261=CYLINDRICAL_SURFACE('',#363729,0.750904962541172); #329262=CYLINDRICAL_SURFACE('',#363732,0.750905460124643); #329263=CYLINDRICAL_SURFACE('',#363737,0.465); #329264=CYLINDRICAL_SURFACE('',#363741,0.750904962541172); #329265=CYLINDRICAL_SURFACE('',#363744,0.750905460124643); #329266=CYLINDRICAL_SURFACE('',#363749,0.564999999999998); #329267=CYLINDRICAL_SURFACE('',#363758,0.465); #329268=CYLINDRICAL_SURFACE('',#363762,0.750904962541172); #329269=CYLINDRICAL_SURFACE('',#363765,0.750905460124643); #329270=CYLINDRICAL_SURFACE('',#363848,0.14); #329271=CYLINDRICAL_SURFACE('',#363851,0.14); #329272=CYLINDRICAL_SURFACE('',#363854,0.14); #329273=CYLINDRICAL_SURFACE('',#363857,0.14); #329274=CYLINDRICAL_SURFACE('',#363860,0.14); #329275=CYLINDRICAL_SURFACE('',#363863,0.14); #329276=CYLINDRICAL_SURFACE('',#364028,0.14); #329277=CYLINDRICAL_SURFACE('',#364031,0.14); #329278=CYLINDRICAL_SURFACE('',#364034,0.14); #329279=CYLINDRICAL_SURFACE('',#364037,0.14); #329280=CYLINDRICAL_SURFACE('',#364040,0.14); #329281=CYLINDRICAL_SURFACE('',#364043,0.14); #329282=CYLINDRICAL_SURFACE('',#364088,0.140000000000002); #329283=CYLINDRICAL_SURFACE('',#364091,0.140000000000002); #329284=CYLINDRICAL_SURFACE('',#364094,0.140000000000002); #329285=CYLINDRICAL_SURFACE('',#364097,0.140000000000002); #329286=CYLINDRICAL_SURFACE('',#364100,0.140000000000002); #329287=CYLINDRICAL_SURFACE('',#364103,0.140000000000002); #329288=CYLINDRICAL_SURFACE('',#364124,0.139999999999998); #329289=CYLINDRICAL_SURFACE('',#364127,0.139999999999998); #329290=CYLINDRICAL_SURFACE('',#364130,0.139999999999998); #329291=CYLINDRICAL_SURFACE('',#364133,0.139999999999998); #329292=CYLINDRICAL_SURFACE('',#364136,0.139999999999998); #329293=CYLINDRICAL_SURFACE('',#364139,0.139999999999998); #329294=CYLINDRICAL_SURFACE('',#364142,0.139999999999998); #329295=CYLINDRICAL_SURFACE('',#364145,0.139999999999998); #329296=CYLINDRICAL_SURFACE('',#364148,0.139999999999998); #329297=CYLINDRICAL_SURFACE('',#364151,0.139999999999998); #329298=CYLINDRICAL_SURFACE('',#364154,0.139999999999998); #329299=CYLINDRICAL_SURFACE('',#364157,0.139999999999998); #329300=CYLINDRICAL_SURFACE('',#364160,0.139999999999998); #329301=CYLINDRICAL_SURFACE('',#364163,0.139999999999998); #329302=CYLINDRICAL_SURFACE('',#364166,0.139999999999998); #329303=CYLINDRICAL_SURFACE('',#364169,0.139999999999998); #329304=CYLINDRICAL_SURFACE('',#364172,0.139999999999998); #329305=CYLINDRICAL_SURFACE('',#364175,0.139999999999998); #329306=CYLINDRICAL_SURFACE('',#364178,0.139999999999998); #329307=CYLINDRICAL_SURFACE('',#364181,0.139999999999998); #329308=CYLINDRICAL_SURFACE('',#364184,0.139999999999998); #329309=CYLINDRICAL_SURFACE('',#364187,0.139999999999998); #329310=CYLINDRICAL_SURFACE('',#364190,0.139999999999998); #329311=CYLINDRICAL_SURFACE('',#364193,0.139999999999998); #329312=CYLINDRICAL_SURFACE('',#364196,0.139999999999998); #329313=CYLINDRICAL_SURFACE('',#364199,0.139999999999998); #329314=CYLINDRICAL_SURFACE('',#364202,0.139999999999998); #329315=CYLINDRICAL_SURFACE('',#364205,0.139999999999998); #329316=CYLINDRICAL_SURFACE('',#364208,0.139999999999998); #329317=CYLINDRICAL_SURFACE('',#364211,0.139999999999998); #329318=CYLINDRICAL_SURFACE('',#364214,0.139999999999998); #329319=CYLINDRICAL_SURFACE('',#364217,0.139999999999998); #329320=CYLINDRICAL_SURFACE('',#364220,0.139999999999998); #329321=CYLINDRICAL_SURFACE('',#364223,0.139999999999998); #329322=CYLINDRICAL_SURFACE('',#364226,0.139999999999998); #329323=CYLINDRICAL_SURFACE('',#364229,0.139999999999998); #329324=CYLINDRICAL_SURFACE('',#364232,0.139999999999998); #329325=CYLINDRICAL_SURFACE('',#364235,0.139999999999998); #329326=CYLINDRICAL_SURFACE('',#364238,0.139999999999998); #329327=CYLINDRICAL_SURFACE('',#364241,0.139999999999998); #329328=CYLINDRICAL_SURFACE('',#364244,0.139999999999998); #329329=CYLINDRICAL_SURFACE('',#364247,0.139999999999998); #329330=CYLINDRICAL_SURFACE('',#364250,0.139999999999998); #329331=CYLINDRICAL_SURFACE('',#364253,0.139999999999998); #329332=CYLINDRICAL_SURFACE('',#364256,0.139999999999998); #329333=CYLINDRICAL_SURFACE('',#364259,0.139999999999998); #329334=CYLINDRICAL_SURFACE('',#364262,0.139999999999998); #329335=CYLINDRICAL_SURFACE('',#364265,0.139999999999998); #329336=CYLINDRICAL_SURFACE('',#364268,0.139999999999998); #329337=CYLINDRICAL_SURFACE('',#364385,0.139999999999998); #329338=CYLINDRICAL_SURFACE('',#364406,0.139999999999998); #329339=CYLINDRICAL_SURFACE('',#364481,0.14); #329340=CYLINDRICAL_SURFACE('',#364484,0.14); #329341=CYLINDRICAL_SURFACE('',#364487,0.14); #329342=CYLINDRICAL_SURFACE('',#364490,0.14); #329343=CYLINDRICAL_SURFACE('',#364493,0.14); #329344=CYLINDRICAL_SURFACE('',#364496,0.14); #329345=CYLINDRICAL_SURFACE('',#364499,0.14); #329346=CYLINDRICAL_SURFACE('',#364502,0.14); #329347=CYLINDRICAL_SURFACE('',#364505,0.14); #329348=CYLINDRICAL_SURFACE('',#364520,0.139999999999998); #329349=CYLINDRICAL_SURFACE('',#364559,0.139999999999998); #329350=CYLINDRICAL_SURFACE('',#364568,0.139999999999998); #329351=CYLINDRICAL_SURFACE('',#364643,0.140000000000002); #329352=CYLINDRICAL_SURFACE('',#364646,0.140000000000002); #329353=CYLINDRICAL_SURFACE('',#364649,0.140000000000002); #329354=CYLINDRICAL_SURFACE('',#364652,0.140000000000002); #329355=CYLINDRICAL_SURFACE('',#364655,0.140000000000002); #329356=CYLINDRICAL_SURFACE('',#364658,0.140000000000002); #329357=CYLINDRICAL_SURFACE('',#364685,0.140000000000002); #329358=CYLINDRICAL_SURFACE('',#364688,0.140000000000002); #329359=CYLINDRICAL_SURFACE('',#364691,0.140000000000002); #329360=CYLINDRICAL_SURFACE('',#364694,0.140000000000002); #329361=CYLINDRICAL_SURFACE('',#364697,0.140000000000002); #329362=CYLINDRICAL_SURFACE('',#364700,0.140000000000002); #329363=CYLINDRICAL_SURFACE('',#364840,0.564999999999998); #329364=CYLINDRICAL_SURFACE('',#364844,0.900857088405025); #329365=CYLINDRICAL_SURFACE('',#364847,0.900856769723134); #329366=CYLINDRICAL_SURFACE('',#364864,0.114999999999998); #329367=CYLINDRICAL_SURFACE('',#364867,0.114999999999998); #329368=CYLINDRICAL_SURFACE('',#364882,0.114999999999998); #329369=CYLINDRICAL_SURFACE('',#364885,0.114999999999998); #329370=CYLINDRICAL_SURFACE('',#364900,0.114999999999998); #329371=CYLINDRICAL_SURFACE('',#364903,0.114999999999998); #329372=CYLINDRICAL_SURFACE('',#364918,0.114999999999998); #329373=CYLINDRICAL_SURFACE('',#364921,0.114999999999998); #329374=CYLINDRICAL_SURFACE('',#364942,0.127000308727433); #329375=CYLINDRICAL_SURFACE('',#364950,0.126999843437875); #329376=CYLINDRICAL_SURFACE('',#364955,0.126998775938454); #329377=CYLINDRICAL_SURFACE('',#364965,0.126999785858201); #329378=CYLINDRICAL_SURFACE('',#364969,0.127000308727438); #329379=CYLINDRICAL_SURFACE('',#364980,0.127000308727436); #329380=CYLINDRICAL_SURFACE('',#364984,0.127000308727441); #329381=CYLINDRICAL_SURFACE('',#364990,0.127001478143445); #329382=CYLINDRICAL_SURFACE('',#364994,0.127000248685803); #329383=CYLINDRICAL_SURFACE('',#365002,0.127000023435621); #329384=CYLINDRICAL_SURFACE('',#365011,0.127000308727437); #329385=CYLINDRICAL_SURFACE('',#365018,0.139999999999998); #329386=CYLINDRICAL_SURFACE('',#365021,0.139999999999998); #329387=CYLINDRICAL_SURFACE('',#365025,0.275850826114455); #329388=CYLINDRICAL_SURFACE('',#365028,0.275429566248996); #329389=CYLINDRICAL_SURFACE('',#365032,0.275429704872049); #329390=CYLINDRICAL_SURFACE('',#365035,0.27542977774263); #329391=CYLINDRICAL_SURFACE('',#365039,0.275430756167224); #329392=CYLINDRICAL_SURFACE('',#365044,0.139999999999998); #329393=CYLINDRICAL_SURFACE('',#365048,0.275429726247122); #329394=CYLINDRICAL_SURFACE('',#365058,0.27542954841201); #329395=CYLINDRICAL_SURFACE('',#365063,0.139999999999998); #329396=CYLINDRICAL_SURFACE('',#365071,0.127000429438416); #329397=CYLINDRICAL_SURFACE('',#365075,0.127073045682421); #329398=CYLINDRICAL_SURFACE('',#365078,0.275429182716805); #329399=CYLINDRICAL_SURFACE('',#365081,0.275466854775623); #329400=CYLINDRICAL_SURFACE('',#365089,0.139999999999998); #329401=CYLINDRICAL_SURFACE('',#365092,0.140000000000002); #329402=CYLINDRICAL_SURFACE('',#365096,0.275850826114459); #329403=CYLINDRICAL_SURFACE('',#365099,0.275429566248996); #329404=CYLINDRICAL_SURFACE('',#365107,0.127000308727436); #329405=CYLINDRICAL_SURFACE('',#365112,0.127000308727436); #329406=CYLINDRICAL_SURFACE('',#365116,0.127000308727436); #329407=CYLINDRICAL_SURFACE('',#365122,0.127000308727441); #329408=CYLINDRICAL_SURFACE('',#365126,0.127000308727438); #329409=CYLINDRICAL_SURFACE('',#365130,0.275429728561968); #329410=CYLINDRICAL_SURFACE('',#365133,0.275429566248991); #329411=CYLINDRICAL_SURFACE('',#365139,0.127000308727436); #329412=CYLINDRICAL_SURFACE('',#365143,0.127000308727436); #329413=CYLINDRICAL_SURFACE('',#365149,0.12700030872744); #329414=CYLINDRICAL_SURFACE('',#365154,0.127000308727436); #329415=CYLINDRICAL_SURFACE('',#365158,0.127000308727441); #329416=CYLINDRICAL_SURFACE('',#365162,0.127000308727433); #329417=CYLINDRICAL_SURFACE('',#365166,0.127000308727438); #329418=CYLINDRICAL_SURFACE('',#365170,0.275430756167224); #329419=CYLINDRICAL_SURFACE('',#365175,0.140000000000002); #329420=CYLINDRICAL_SURFACE('',#365178,0.139999999999998); #329421=CYLINDRICAL_SURFACE('',#365182,0.275850826114452); #329422=CYLINDRICAL_SURFACE('',#365185,0.275429566248992); #329423=CYLINDRICAL_SURFACE('',#365190,0.275430616157734); #329424=CYLINDRICAL_SURFACE('',#365193,0.275430435747982); #329425=CYLINDRICAL_SURFACE('',#365197,0.127000308727439); #329426=CYLINDRICAL_SURFACE('',#365201,0.275430756167224); #329427=CYLINDRICAL_SURFACE('',#365206,0.139999999999998); #329428=CYLINDRICAL_SURFACE('',#365214,0.127000429438416); #329429=CYLINDRICAL_SURFACE('',#365218,0.127073045682421); #329430=CYLINDRICAL_SURFACE('',#365221,0.275008823028508); #329431=CYLINDRICAL_SURFACE('',#365224,0.127073045682415); #329432=CYLINDRICAL_SURFACE('',#365228,0.127000429438414); #329433=CYLINDRICAL_SURFACE('',#365239,0.275084370745809); #329434=CYLINDRICAL_SURFACE('',#365247,0.139999999999998); #329435=CYLINDRICAL_SURFACE('',#365251,0.275429773854103); #329436=CYLINDRICAL_SURFACE('',#365254,0.12691207986283); #329437=CYLINDRICAL_SURFACE('',#365258,0.127000429438417); #329438=CYLINDRICAL_SURFACE('',#365269,0.275006306563116); #329439=CYLINDRICAL_SURFACE('',#365280,0.127000429438417); #329440=CYLINDRICAL_SURFACE('',#365284,0.126926612717977); #329441=CYLINDRICAL_SURFACE('',#365287,0.275430611325914); #329442=CYLINDRICAL_SURFACE('',#365292,0.139999999999998); #329443=CYLINDRICAL_SURFACE('',#365295,0.139999999999998); #329444=CYLINDRICAL_SURFACE('',#365300,0.127000308727438); #329445=CYLINDRICAL_SURFACE('',#365305,0.27542972856197); #329446=CYLINDRICAL_SURFACE('',#365308,0.275429566248993); #329447=CYLINDRICAL_SURFACE('',#365312,0.127000308727433); #329448=CYLINDRICAL_SURFACE('',#365318,0.127000308727449); #329449=CYLINDRICAL_SURFACE('',#365326,0.127000308727451); #329450=CYLINDRICAL_SURFACE('',#365331,0.127000308727436); #329451=CYLINDRICAL_SURFACE('',#365335,0.12700030872744); #329452=CYLINDRICAL_SURFACE('',#365341,0.12700030872744); #329453=CYLINDRICAL_SURFACE('',#365345,0.127000308727449); #329454=CYLINDRICAL_SURFACE('',#365349,0.127000308727438); #329455=CYLINDRICAL_SURFACE('',#365354,0.275429728561968); #329456=CYLINDRICAL_SURFACE('',#365357,0.275429566248991); #329457=CYLINDRICAL_SURFACE('',#365361,0.127000308727436); #329458=CYLINDRICAL_SURFACE('',#365368,0.127000308727425); #329459=CYLINDRICAL_SURFACE('',#365372,0.127000308727425); #329460=CYLINDRICAL_SURFACE('',#365378,0.127000308727436); #329461=CYLINDRICAL_SURFACE('',#365383,0.127001515838133); #329462=CYLINDRICAL_SURFACE('',#365387,0.127001515838138); #329463=CYLINDRICAL_SURFACE('',#365391,0.127001515838138); #329464=CYLINDRICAL_SURFACE('',#365395,0.127001515838131); #329465=CYLINDRICAL_SURFACE('',#365400,0.126758017197157); #329466=CYLINDRICAL_SURFACE('',#365405,0.139999999999998); #329467=CYLINDRICAL_SURFACE('',#365408,0.139999999999998); #329468=CYLINDRICAL_SURFACE('',#365412,0.275850826114455); #329469=CYLINDRICAL_SURFACE('',#365415,0.275429566248996); #329470=CYLINDRICAL_SURFACE('',#365419,0.275429704872049); #329471=CYLINDRICAL_SURFACE('',#365422,0.27542977774263); #329472=CYLINDRICAL_SURFACE('',#365426,0.275430756167224); #329473=CYLINDRICAL_SURFACE('',#365431,0.139999999999998); #329474=CYLINDRICAL_SURFACE('',#365434,0.139999999999998); #329475=CYLINDRICAL_SURFACE('',#365438,0.275850826114455); #329476=CYLINDRICAL_SURFACE('',#365441,0.275429566248993); #329477=CYLINDRICAL_SURFACE('',#365445,0.275429704872047); #329478=CYLINDRICAL_SURFACE('',#365448,0.275429777742628); #329479=CYLINDRICAL_SURFACE('',#365452,0.275430756167224); #329480=CYLINDRICAL_SURFACE('',#365457,0.139999999999998); #329481=CYLINDRICAL_SURFACE('',#365460,0.139999999999998); #329482=CYLINDRICAL_SURFACE('',#365464,0.275850826114455); #329483=CYLINDRICAL_SURFACE('',#365467,0.275429566248995); #329484=CYLINDRICAL_SURFACE('',#365471,0.275429704872054); #329485=CYLINDRICAL_SURFACE('',#365474,0.275429777742634); #329486=CYLINDRICAL_SURFACE('',#365478,0.275430756167224); #329487=CYLINDRICAL_SURFACE('',#365483,0.139999999999998); #329488=CYLINDRICAL_SURFACE('',#365486,0.140000000000002); #329489=CYLINDRICAL_SURFACE('',#365490,0.275429677195186); #329490=CYLINDRICAL_SURFACE('',#365496,0.27542972856197); #329491=CYLINDRICAL_SURFACE('',#365499,0.275429566248992); #329492=CYLINDRICAL_SURFACE('',#365503,0.127000308727437); #329493=CYLINDRICAL_SURFACE('',#365507,0.127000308727438); #329494=CYLINDRICAL_SURFACE('',#365511,0.275429442438527); #329495=CYLINDRICAL_SURFACE('',#365516,0.139999999999998); #329496=CYLINDRICAL_SURFACE('',#365525,0.139999999999998); #329497=CYLINDRICAL_SURFACE('',#365534,0.140000000000002); #329498=CYLINDRICAL_SURFACE('',#365543,0.139999999999998); #329499=CYLINDRICAL_SURFACE('',#365547,0.275429677195181); #329500=CYLINDRICAL_SURFACE('',#365557,0.275429442438521); #329501=CYLINDRICAL_SURFACE('',#365562,0.139999999999998); #329502=CYLINDRICAL_SURFACE('',#365565,0.139999999999998); #329503=CYLINDRICAL_SURFACE('',#365570,0.12700030872745); #329504=CYLINDRICAL_SURFACE('',#365575,0.127000308727449); #329505=CYLINDRICAL_SURFACE('',#365580,0.27542972856197); #329506=CYLINDRICAL_SURFACE('',#365583,0.275429566248993); #329507=CYLINDRICAL_SURFACE('',#365587,0.127000308727438); #329508=CYLINDRICAL_SURFACE('',#365592,0.127000308727439); #329509=CYLINDRICAL_SURFACE('',#365601,0.127000308727438); #329510=CYLINDRICAL_SURFACE('',#365606,0.12700030872744); #329511=CYLINDRICAL_SURFACE('',#365610,0.12700030872744); #329512=CYLINDRICAL_SURFACE('',#365616,0.12700030872744); #329513=CYLINDRICAL_SURFACE('',#365620,0.127000308727436); #329514=CYLINDRICAL_SURFACE('',#365625,0.127000308727438); #329515=CYLINDRICAL_SURFACE('',#365629,0.275429728561968); #329516=CYLINDRICAL_SURFACE('',#365632,0.275429566248991); #329517=CYLINDRICAL_SURFACE('',#365637,0.127000308727438); #329518=CYLINDRICAL_SURFACE('',#365643,0.12700030872744); #329519=CYLINDRICAL_SURFACE('',#365647,0.12700030872744); #329520=CYLINDRICAL_SURFACE('',#365653,0.127000308727447); #329521=CYLINDRICAL_SURFACE('',#365658,0.127000308727451); #329522=CYLINDRICAL_SURFACE('',#365662,0.12700030872744); #329523=CYLINDRICAL_SURFACE('',#365666,0.127000308727438); #329524=CYLINDRICAL_SURFACE('',#365670,0.126758017197157); #329525=CYLINDRICAL_SURFACE('',#365675,0.140000000000002); #329526=CYLINDRICAL_SURFACE('',#365678,0.140000000000002); #329527=CYLINDRICAL_SURFACE('',#365682,0.275429677195186); #329528=CYLINDRICAL_SURFACE('',#365686,0.275429728561971); #329529=CYLINDRICAL_SURFACE('',#365689,0.275429566248994); #329530=CYLINDRICAL_SURFACE('',#365693,0.275429442438529); #329531=CYLINDRICAL_SURFACE('',#365698,0.139999999999998); #329532=CYLINDRICAL_SURFACE('',#365702,0.275429677195182); #329533=CYLINDRICAL_SURFACE('',#365712,0.275429442438522); #329534=CYLINDRICAL_SURFACE('',#365717,0.139999999999998); #329535=CYLINDRICAL_SURFACE('',#365721,0.275429677195182); #329536=CYLINDRICAL_SURFACE('',#365731,0.275429442438521); #329537=CYLINDRICAL_SURFACE('',#365736,0.139999999999998); #329538=CYLINDRICAL_SURFACE('',#365740,0.275430523299247); #329539=CYLINDRICAL_SURFACE('',#365744,0.127001515838137); #329540=CYLINDRICAL_SURFACE('',#365748,0.127001515838134); #329541=CYLINDRICAL_SURFACE('',#365760,0.275430756167224); #329542=CYLINDRICAL_SURFACE('',#365763,0.275850020106943); #329543=CYLINDRICAL_SURFACE('',#365768,0.465); #329544=CYLINDRICAL_SURFACE('',#365779,0.750581792116793); #329545=CYLINDRICAL_SURFACE('',#365782,0.750581774250364); #329546=CYLINDRICAL_SURFACE('',#365786,0.127000308727438); #329547=CYLINDRICAL_SURFACE('',#365790,0.127000308727433); #329548=CYLINDRICAL_SURFACE('',#365796,0.465); #329549=CYLINDRICAL_SURFACE('',#365800,0.750904962541172); #329550=CYLINDRICAL_SURFACE('',#365803,0.750905460124643); #329551=CYLINDRICAL_SURFACE('',#365808,0.139999999999998); #329552=CYLINDRICAL_SURFACE('',#365818,0.127000308727438); #329553=CYLINDRICAL_SURFACE('',#365823,0.275429728561968); #329554=CYLINDRICAL_SURFACE('',#365826,0.275429566248991); #329555=CYLINDRICAL_SURFACE('',#365830,0.127000308727444); #329556=CYLINDRICAL_SURFACE('',#365835,0.127000308727438); #329557=CYLINDRICAL_SURFACE('',#365839,0.127000308727435); #329558=CYLINDRICAL_SURFACE('',#365846,0.465); #329559=CYLINDRICAL_SURFACE('',#365853,0.127000308727438); #329560=CYLINDRICAL_SURFACE('',#365857,0.127000308727438); #329561=CYLINDRICAL_SURFACE('',#365861,0.750581792116793); #329562=CYLINDRICAL_SURFACE('',#365864,0.750581774250364); #329563=CYLINDRICAL_SURFACE('',#365879,0.127000308727435); #329564=CYLINDRICAL_SURFACE('',#365903,0.127000308727435); #329565=CYLINDRICAL_SURFACE('',#365910,0.564999999999998); #329566=CYLINDRICAL_SURFACE('',#365915,0.127000308727436); #329567=CYLINDRICAL_SURFACE('',#365919,0.127000308727438); #329568=CYLINDRICAL_SURFACE('',#365924,0.12700030872744); #329569=CYLINDRICAL_SURFACE('',#365935,0.127000308727444); #329570=CYLINDRICAL_SURFACE('',#365942,0.127000308727441); #329571=CYLINDRICAL_SURFACE('',#365961,0.126758017197157); #329572=CYLINDRICAL_SURFACE('',#365966,0.139999999999998); #329573=CYLINDRICAL_SURFACE('',#365969,0.139999999999998); #329574=CYLINDRICAL_SURFACE('',#365973,0.275429677195185); #329575=CYLINDRICAL_SURFACE('',#365977,0.27542972856197); #329576=CYLINDRICAL_SURFACE('',#365980,0.275429566248993); #329577=CYLINDRICAL_SURFACE('',#365984,0.275429442438528); #329578=CYLINDRICAL_SURFACE('',#365989,0.140000000000002); #329579=CYLINDRICAL_SURFACE('',#365998,0.139999999999998); #329580=CYLINDRICAL_SURFACE('',#366001,0.139999999999998); #329581=CYLINDRICAL_SURFACE('',#366005,0.275430523299248); #329582=CYLINDRICAL_SURFACE('',#366009,0.127000308727438); #329583=CYLINDRICAL_SURFACE('',#366013,0.127000308727433); #329584=CYLINDRICAL_SURFACE('',#366017,0.127000308727436); #329585=CYLINDRICAL_SURFACE('',#366021,0.127000308727438); #329586=CYLINDRICAL_SURFACE('',#366025,0.127000308727444); #329587=CYLINDRICAL_SURFACE('',#366029,0.275430209658209); #329588=CYLINDRICAL_SURFACE('',#366032,0.275430264558768); #329589=CYLINDRICAL_SURFACE('',#366041,0.275430756167224); #329590=CYLINDRICAL_SURFACE('',#366044,0.275850020106942); #329591=CYLINDRICAL_SURFACE('',#366049,0.139999999999998); #329592=CYLINDRICAL_SURFACE('',#366052,0.139999999999998); #329593=CYLINDRICAL_SURFACE('',#366056,0.275430525995305); #329594=CYLINDRICAL_SURFACE('',#366060,0.275430616157734); #329595=CYLINDRICAL_SURFACE('',#366063,0.27543090603658); #329596=CYLINDRICAL_SURFACE('',#366068,0.275850020106941); #329597=CYLINDRICAL_SURFACE('',#366073,0.139999999999998); #329598=CYLINDRICAL_SURFACE('',#366082,0.565000000000002); #329599=CYLINDRICAL_SURFACE('',#366085,0.140000000000002); #329600=CYLINDRICAL_SURFACE('',#366088,0.514999999999999); #329601=CYLINDRICAL_SURFACE('',#366091,0.465); #329602=CYLINDRICAL_SURFACE('',#366164,0.275008823028508); #329603=CYLINDRICAL_SURFACE('',#366170,0.825862156960858); #329604=CYLINDRICAL_SURFACE('',#366173,0.825862160595456); #329605=CYLINDRICAL_SURFACE('',#366177,0.127000308727435); #329606=CYLINDRICAL_SURFACE('',#366181,0.127000308727438); #329607=CYLINDRICAL_SURFACE('',#366185,0.275008823028508); #329608=CYLINDRICAL_SURFACE('',#366198,0.140000000000002); #329609=CYLINDRICAL_SURFACE('',#366203,0.126999756401237); #329610=CYLINDRICAL_SURFACE('',#366226,0.127000308727433); #329611=CYLINDRICAL_SURFACE('',#366232,0.127000308727438); #329612=CYLINDRICAL_SURFACE('',#366237,0.127000308727441); #329613=CYLINDRICAL_SURFACE('',#366241,0.275429704872047); #329614=CYLINDRICAL_SURFACE('',#366244,0.275429777742628); #329615=CYLINDRICAL_SURFACE('',#366249,0.127000308727438); #329616=CYLINDRICAL_SURFACE('',#366254,0.127000308727438); #329617=CYLINDRICAL_SURFACE('',#366258,0.127000308727433); #329618=CYLINDRICAL_SURFACE('',#366266,0.126735934237225); #329619=CYLINDRICAL_SURFACE('',#366271,0.14); #329620=CYLINDRICAL_SURFACE('',#366274,0.14); #329621=CYLINDRICAL_SURFACE('',#366277,0.14); #329622=CYLINDRICAL_SURFACE('',#366280,0.14); #329623=CYLINDRICAL_SURFACE('',#366283,0.14); #329624=CYLINDRICAL_SURFACE('',#366286,0.14); #329625=CYLINDRICAL_SURFACE('',#366289,0.14); #329626=CYLINDRICAL_SURFACE('',#366292,0.14); #329627=CYLINDRICAL_SURFACE('',#366295,0.465); #329628=CYLINDRICAL_SURFACE('',#366298,0.465); #329629=CYLINDRICAL_SURFACE('',#366301,0.514999999999999); #329630=CYLINDRICAL_SURFACE('',#366304,0.14); #329631=CYLINDRICAL_SURFACE('',#366639,0.140000000000002); #329632=CYLINDRICAL_SURFACE('',#366642,0.139999999999998); #329633=CYLINDRICAL_SURFACE('',#366645,0.139999999999998); #329634=CYLINDRICAL_SURFACE('',#366648,0.139999999999998); #329635=CYLINDRICAL_SURFACE('',#366651,0.139999999999998); #329636=CYLINDRICAL_SURFACE('',#366654,0.139999999999998); #329637=CYLINDRICAL_SURFACE('',#366657,0.465); #329638=CYLINDRICAL_SURFACE('',#366660,0.139999999999998); #329639=CYLINDRICAL_SURFACE('',#366663,0.139999999999998); #329640=CYLINDRICAL_SURFACE('',#366666,0.139999999999998); #329641=CYLINDRICAL_SURFACE('',#366669,0.139999999999998); #329642=CYLINDRICAL_SURFACE('',#366672,0.139999999999998); #329643=CYLINDRICAL_SURFACE('',#366675,0.139999999999998); #329644=CYLINDRICAL_SURFACE('',#366678,0.514999999999999); #329645=CYLINDRICAL_SURFACE('',#366681,0.139999999999998); #329646=CYLINDRICAL_SURFACE('',#366684,0.139999999999998); #329647=CYLINDRICAL_SURFACE('',#366687,0.139999999999998); #329648=CYLINDRICAL_SURFACE('',#366690,0.139999999999998); #329649=CYLINDRICAL_SURFACE('',#366693,0.139999999999998); #329650=CYLINDRICAL_SURFACE('',#366696,0.139999999999998); #329651=CYLINDRICAL_SURFACE('',#366699,0.139999999999998); #329652=CYLINDRICAL_SURFACE('',#366702,0.139999999999998); #329653=CYLINDRICAL_SURFACE('',#366705,0.14); #329654=CYLINDRICAL_SURFACE('',#366708,0.139999999999998); #329655=CYLINDRICAL_SURFACE('',#366711,0.14); #329656=CYLINDRICAL_SURFACE('',#366714,0.139999999999998); #329657=CYLINDRICAL_SURFACE('',#366717,0.14); #329658=CYLINDRICAL_SURFACE('',#366720,0.139999999999998); #329659=CYLINDRICAL_SURFACE('',#366723,0.14); #329660=CYLINDRICAL_SURFACE('',#366726,0.140000000000002); #329661=CYLINDRICAL_SURFACE('',#366729,0.139999999999998); #329662=CYLINDRICAL_SURFACE('',#366732,0.140000000000002); #329663=CYLINDRICAL_SURFACE('',#366735,0.139999999999998); #329664=CYLINDRICAL_SURFACE('',#366738,0.140000000000002); #329665=CYLINDRICAL_SURFACE('',#366741,0.139999999999998); #329666=CYLINDRICAL_SURFACE('',#366744,0.140000000000002); #329667=CYLINDRICAL_SURFACE('',#366747,0.140000000000002); #329668=CYLINDRICAL_SURFACE('',#366750,0.139999999999998); #329669=CYLINDRICAL_SURFACE('',#366753,0.140000000000002); #329670=CYLINDRICAL_SURFACE('',#366756,0.140000000000002); #329671=CYLINDRICAL_SURFACE('',#366759,0.139999999999998); #329672=CYLINDRICAL_SURFACE('',#366762,0.140000000000002); #329673=CYLINDRICAL_SURFACE('',#366765,0.140000000000002); #329674=CYLINDRICAL_SURFACE('',#366768,0.139999999999998); #329675=CYLINDRICAL_SURFACE('',#366771,0.140000000000002); #329676=CYLINDRICAL_SURFACE('',#366774,0.139999999999998); #329677=CYLINDRICAL_SURFACE('',#366777,0.140000000000002); #329678=CYLINDRICAL_SURFACE('',#366780,0.139999999999998); #329679=CYLINDRICAL_SURFACE('',#366783,0.140000000000002); #329680=CYLINDRICAL_SURFACE('',#366786,0.14); #329681=CYLINDRICAL_SURFACE('',#366789,0.139999999999998); #329682=CYLINDRICAL_SURFACE('',#366792,0.14); #329683=CYLINDRICAL_SURFACE('',#366795,0.139999999999998); #329684=CYLINDRICAL_SURFACE('',#366798,0.14); #329685=CYLINDRICAL_SURFACE('',#366801,0.139999999999998); #329686=CYLINDRICAL_SURFACE('',#366804,0.14); #329687=CYLINDRICAL_SURFACE('',#366807,0.14); #329688=CYLINDRICAL_SURFACE('',#366810,0.139999999999998); #329689=CYLINDRICAL_SURFACE('',#366813,0.14); #329690=CYLINDRICAL_SURFACE('',#366816,0.139999999999998); #329691=CYLINDRICAL_SURFACE('',#366819,0.14); #329692=CYLINDRICAL_SURFACE('',#366822,0.139999999999998); #329693=CYLINDRICAL_SURFACE('',#366825,0.14); #329694=CYLINDRICAL_SURFACE('',#366828,0.465); #329695=CYLINDRICAL_SURFACE('',#366832,0.315); #329696=CYLINDRICAL_SURFACE('',#366836,0.315); #329697=CYLINDRICAL_SURFACE('',#366840,0.565); #329698=CYLINDRICAL_SURFACE('',#366844,0.565); #329699=CYLINDRICAL_SURFACE('',#366847,0.139999999999998); #329700=CYLINDRICAL_SURFACE('',#366850,0.114999999999998); #329701=CYLINDRICAL_SURFACE('',#366854,0.565); #329702=CYLINDRICAL_SURFACE('',#366858,0.565); #329703=CYLINDRICAL_SURFACE('',#366861,0.139999999999998); #329704=CYLINDRICAL_SURFACE('',#366864,0.114999999999998); #329705=CYLINDRICAL_SURFACE('',#366867,0.139999999999998); #329706=CYLINDRICAL_SURFACE('',#366870,0.114999999999998); #329707=CYLINDRICAL_SURFACE('',#366873,0.114999999999998); #329708=CYLINDRICAL_SURFACE('',#366877,0.315); #329709=CYLINDRICAL_SURFACE('',#366881,0.315); #329710=CYLINDRICAL_SURFACE('',#366884,0.139999999999998); #329711=CYLINDRICAL_SURFACE('',#366888,0.315); #329712=CYLINDRICAL_SURFACE('',#366892,0.315); #329713=CYLINDRICAL_SURFACE('',#366896,0.315); #329714=CYLINDRICAL_SURFACE('',#366900,0.315); #329715=CYLINDRICAL_SURFACE('',#366903,0.139999999999998); #329716=CYLINDRICAL_SURFACE('',#366906,0.139999999999998); #329717=CYLINDRICAL_SURFACE('',#366909,0.140000000000002); #329718=CYLINDRICAL_SURFACE('',#366912,0.139999999999998); #329719=CYLINDRICAL_SURFACE('',#366915,0.140000000000002); #329720=CYLINDRICAL_SURFACE('',#366918,0.140000000000002); #329721=CYLINDRICAL_SURFACE('',#366921,0.139999999999998); #329722=CYLINDRICAL_SURFACE('',#366924,0.140000000000002); #329723=CYLINDRICAL_SURFACE('',#366927,0.139999999999998); #329724=CYLINDRICAL_SURFACE('',#366930,0.139999999999998); #329725=CYLINDRICAL_SURFACE('',#366933,0.139999999999998); #329726=CYLINDRICAL_SURFACE('',#366936,0.139999999999998); #329727=CYLINDRICAL_SURFACE('',#366939,0.139999999999998); #329728=CYLINDRICAL_SURFACE('',#366942,0.140000000000002); #329729=CYLINDRICAL_SURFACE('',#366945,0.514999999999999); #329730=CYLINDRICAL_SURFACE('',#366948,0.140000000000002); #329731=CYLINDRICAL_SURFACE('',#366951,0.139999999999998); #329732=CYLINDRICAL_SURFACE('',#366954,0.140000000000002); #329733=CYLINDRICAL_SURFACE('',#366957,0.140000000000002); #329734=CYLINDRICAL_SURFACE('',#366960,0.139999999999998); #329735=CYLINDRICAL_SURFACE('',#366963,0.140000000000002); #329736=CYLINDRICAL_SURFACE('',#366966,0.140000000000002); #329737=CYLINDRICAL_SURFACE('',#366969,0.139999999999998); #329738=CYLINDRICAL_SURFACE('',#366972,0.140000000000002); #329739=CYLINDRICAL_SURFACE('',#366975,0.140000000000002); #329740=CYLINDRICAL_SURFACE('',#366978,0.139999999999998); #329741=CYLINDRICAL_SURFACE('',#366981,0.140000000000002); #329742=CYLINDRICAL_SURFACE('',#366984,0.564999999999998); #329743=CYLINDRICAL_SURFACE('',#366987,0.14); #329744=CYLINDRICAL_SURFACE('',#366990,0.139999999999998); #329745=CYLINDRICAL_SURFACE('',#366993,0.140000000000002); #329746=CYLINDRICAL_SURFACE('',#366996,0.140000000000002); #329747=CYLINDRICAL_SURFACE('',#366999,0.139999999999998); #329748=CYLINDRICAL_SURFACE('',#367002,0.140000000000002); #329749=CYLINDRICAL_SURFACE('',#367005,0.140000000000002); #329750=CYLINDRICAL_SURFACE('',#367008,0.139999999999998); #329751=CYLINDRICAL_SURFACE('',#367011,0.140000000000002); #329752=CYLINDRICAL_SURFACE('',#367014,0.140000000000002); #329753=CYLINDRICAL_SURFACE('',#367017,0.139999999999998); #329754=CYLINDRICAL_SURFACE('',#367020,0.140000000000002); #329755=CYLINDRICAL_SURFACE('',#367023,0.14); #329756=CYLINDRICAL_SURFACE('',#367026,0.139999999999998); #329757=CYLINDRICAL_SURFACE('',#367029,0.14); #329758=CYLINDRICAL_SURFACE('',#367032,0.14); #329759=CYLINDRICAL_SURFACE('',#367035,0.139999999999998); #329760=CYLINDRICAL_SURFACE('',#367038,0.14); #329761=CYLINDRICAL_SURFACE('',#367041,0.14); #329762=CYLINDRICAL_SURFACE('',#367044,0.139999999999998); #329763=CYLINDRICAL_SURFACE('',#367047,0.14); #329764=CYLINDRICAL_SURFACE('',#367050,0.14); #329765=CYLINDRICAL_SURFACE('',#367053,0.139999999999998); #329766=CYLINDRICAL_SURFACE('',#367056,0.14); #329767=CYLINDRICAL_SURFACE('',#368903,0.140000000000002); #329768=CYLINDRICAL_SURFACE('',#368906,0.140000000000002); #329769=CYLINDRICAL_SURFACE('',#368909,0.140000000000002); #329770=CYLINDRICAL_SURFACE('',#368912,0.140000000000002); #329771=CYLINDRICAL_SURFACE('',#368915,0.140000000000002); #329772=CYLINDRICAL_SURFACE('',#368918,0.140000000000002); #329773=CYLINDRICAL_SURFACE('',#368921,0.140000000000002); #329774=CYLINDRICAL_SURFACE('',#368924,0.140000000000002); #329775=CYLINDRICAL_SURFACE('',#368927,0.140000000000002); #329776=CYLINDRICAL_SURFACE('',#368930,0.140000000000002); #329777=CYLINDRICAL_SURFACE('',#368933,0.140000000000002); #329778=CYLINDRICAL_SURFACE('',#368936,0.140000000000002); #329779=CYLINDRICAL_SURFACE('',#368939,0.140000000000002); #329780=CYLINDRICAL_SURFACE('',#368942,0.140000000000002); #329781=CYLINDRICAL_SURFACE('',#368945,0.139999999999998); #329782=CYLINDRICAL_SURFACE('',#368948,0.140000000000002); #329783=CYLINDRICAL_SURFACE('',#369027,0.139999999999998); #329784=CYLINDRICAL_SURFACE('',#369030,0.139999999999998); #329785=CYLINDRICAL_SURFACE('',#369033,0.139999999999998); #329786=CYLINDRICAL_SURFACE('',#369036,0.139999999999998); #329787=CYLINDRICAL_SURFACE('',#369039,0.139999999999998); #329788=CYLINDRICAL_SURFACE('',#369042,0.139999999999998); #329789=CYLINDRICAL_SURFACE('',#369045,0.139999999999998); #329790=CYLINDRICAL_SURFACE('',#369048,0.139999999999998); #329791=CYLINDRICAL_SURFACE('',#369051,0.139999999999998); #329792=CYLINDRICAL_SURFACE('',#369054,0.139999999999998); #329793=CYLINDRICAL_SURFACE('',#369057,0.139999999999998); #329794=CYLINDRICAL_SURFACE('',#369060,0.139999999999998); #329795=CYLINDRICAL_SURFACE('',#369063,0.139999999999998); #329796=CYLINDRICAL_SURFACE('',#369066,0.139999999999998); #329797=CYLINDRICAL_SURFACE('',#369069,0.139999999999998); #329798=CYLINDRICAL_SURFACE('',#369072,0.139999999999998); #329799=CYLINDRICAL_SURFACE('',#369147,0.14); #329800=CYLINDRICAL_SURFACE('',#369150,0.14); #329801=CYLINDRICAL_SURFACE('',#369153,0.14); #329802=CYLINDRICAL_SURFACE('',#369156,0.14); #329803=CYLINDRICAL_SURFACE('',#369159,0.14); #329804=CYLINDRICAL_SURFACE('',#369162,0.14); #329805=CYLINDRICAL_SURFACE('',#369165,0.14); #329806=CYLINDRICAL_SURFACE('',#369168,0.14); #329807=CYLINDRICAL_SURFACE('',#369171,0.14); #329808=CYLINDRICAL_SURFACE('',#369174,0.14); #329809=CYLINDRICAL_SURFACE('',#369177,0.14); #329810=CYLINDRICAL_SURFACE('',#369180,0.14); #329811=CYLINDRICAL_SURFACE('',#369183,0.139999999999998); #329812=CYLINDRICAL_SURFACE('',#369186,0.139999999999998); #329813=CYLINDRICAL_SURFACE('',#369189,0.139999999999998); #329814=CYLINDRICAL_SURFACE('',#369192,0.139999999999998); #329815=CYLINDRICAL_SURFACE('',#369195,0.139999999999998); #329816=CYLINDRICAL_SURFACE('',#369198,0.14); #329817=CYLINDRICAL_SURFACE('',#369201,0.139999999999998); #329818=CYLINDRICAL_SURFACE('',#369204,0.139999999999998); #329819=CYLINDRICAL_SURFACE('',#369207,0.139999999999998); #329820=CYLINDRICAL_SURFACE('',#369210,0.139999999999998); #329821=CYLINDRICAL_SURFACE('',#369213,0.139999999999998); #329822=CYLINDRICAL_SURFACE('',#369216,0.139999999999998); #329823=CYLINDRICAL_SURFACE('',#369219,0.139999999999998); #329824=CYLINDRICAL_SURFACE('',#369222,0.139999999999998); #329825=CYLINDRICAL_SURFACE('',#369225,0.139999999999998); #329826=CYLINDRICAL_SURFACE('',#369228,0.139999999999998); #329827=CYLINDRICAL_SURFACE('',#369231,0.14); #329828=CYLINDRICAL_SURFACE('',#369234,0.14); #329829=CYLINDRICAL_SURFACE('',#369284,0.139999999999998); #329830=CYLINDRICAL_SURFACE('',#369287,0.139999999999998); #329831=CYLINDRICAL_SURFACE('',#369291,0.275429677195186); #329832=CYLINDRICAL_SURFACE('',#369295,0.27542972856197); #329833=CYLINDRICAL_SURFACE('',#369298,0.275429566248992); #329834=CYLINDRICAL_SURFACE('',#369302,0.275429442438528); #329835=CYLINDRICAL_SURFACE('',#369307,0.140000000000002); #329836=CYLINDRICAL_SURFACE('',#369310,0.140000000000002); #329837=CYLINDRICAL_SURFACE('',#369313,0.140000000000002); #329838=CYLINDRICAL_SURFACE('',#369316,0.140000000000002); #329839=CYLINDRICAL_SURFACE('',#369319,0.140000000000002); #329840=CYLINDRICAL_SURFACE('',#369322,0.140000000000002); #329841=CYLINDRICAL_SURFACE('',#369325,0.140000000000002); #329842=CYLINDRICAL_SURFACE('',#369328,0.140000000000002); #329843=CYLINDRICAL_SURFACE('',#369331,0.140000000000002); #329844=CYLINDRICAL_SURFACE('',#369334,0.465); #329845=CYLINDRICAL_SURFACE('',#369363,0.139999999999998); #329846=CYLINDRICAL_SURFACE('',#369367,0.275850231559089); #329847=CYLINDRICAL_SURFACE('',#369370,0.275850020106942); #329848=CYLINDRICAL_SURFACE('',#369375,0.140000000000002); #329849=CYLINDRICAL_SURFACE('',#369378,0.140000000000002); #329850=CYLINDRICAL_SURFACE('',#369381,0.140000000000002); #329851=CYLINDRICAL_SURFACE('',#369384,0.140000000000002); #329852=CYLINDRICAL_SURFACE('',#369387,0.140000000000002); #329853=CYLINDRICAL_SURFACE('',#369390,0.140000000000002); #329854=CYLINDRICAL_SURFACE('',#369393,0.140000000000002); #329855=CYLINDRICAL_SURFACE('',#369396,0.140000000000002); #329856=CYLINDRICAL_SURFACE('',#369399,0.140000000000002); #329857=CYLINDRICAL_SURFACE('',#369402,0.140000000000002); #329858=CYLINDRICAL_SURFACE('',#369405,0.140000000000002); #329859=CYLINDRICAL_SURFACE('',#369408,0.140000000000002); #329860=CYLINDRICAL_SURFACE('',#369411,0.140000000000002); #329861=CYLINDRICAL_SURFACE('',#369414,0.140000000000002); #329862=CYLINDRICAL_SURFACE('',#369417,0.140000000000002); #329863=CYLINDRICAL_SURFACE('',#369420,0.140000000000002); #329864=CYLINDRICAL_SURFACE('',#369423,0.140000000000002); #329865=CYLINDRICAL_SURFACE('',#369426,0.140000000000002); #329866=CYLINDRICAL_SURFACE('',#369429,0.140000000000002); #329867=CYLINDRICAL_SURFACE('',#369432,0.140000000000002); #329868=CYLINDRICAL_SURFACE('',#369435,0.140000000000002); #329869=CYLINDRICAL_SURFACE('',#369438,0.140000000000002); #329870=CYLINDRICAL_SURFACE('',#369441,0.140000000000002); #329871=CYLINDRICAL_SURFACE('',#369444,0.140000000000002); #329872=CYLINDRICAL_SURFACE('',#369447,0.140000000000002); #329873=CYLINDRICAL_SURFACE('',#369450,0.140000000000002); #329874=CYLINDRICAL_SURFACE('',#369453,0.140000000000002); #329875=CYLINDRICAL_SURFACE('',#369456,0.140000000000002); #329876=CYLINDRICAL_SURFACE('',#369459,0.140000000000002); #329877=CYLINDRICAL_SURFACE('',#369462,0.140000000000002); #329878=CYLINDRICAL_SURFACE('',#369512,0.140000000000002); #329879=CYLINDRICAL_SURFACE('',#369515,0.140000000000002); #329880=CYLINDRICAL_SURFACE('',#369519,0.275429677195186); #329881=CYLINDRICAL_SURFACE('',#369523,0.27542972856197); #329882=CYLINDRICAL_SURFACE('',#369526,0.275429566248994); #329883=CYLINDRICAL_SURFACE('',#369530,0.275429442438528); #329884=CYLINDRICAL_SURFACE('',#369535,0.139999999999998); #329885=CYLINDRICAL_SURFACE('',#369539,0.27585023155909); #329886=CYLINDRICAL_SURFACE('',#369542,0.275850020106942); #329887=CYLINDRICAL_SURFACE('',#369547,0.139999999999998); #329888=CYLINDRICAL_SURFACE('',#369550,0.139999999999998); #329889=CYLINDRICAL_SURFACE('',#369553,0.139999999999998); #329890=CYLINDRICAL_SURFACE('',#369556,0.114999999999998); #329891=CYLINDRICAL_SURFACE('',#369559,0.114999999999998); #329892=CYLINDRICAL_SURFACE('',#369562,0.114999999999998); #329893=CYLINDRICAL_SURFACE('',#369565,0.114999999999998); #329894=CYLINDRICAL_SURFACE('',#369568,0.139999999999998); #329895=CYLINDRICAL_SURFACE('',#369571,0.139999999999998); #329896=CYLINDRICAL_SURFACE('',#369574,0.139999999999998); #329897=CYLINDRICAL_SURFACE('',#369577,0.139999999999998); #329898=CYLINDRICAL_SURFACE('',#369580,0.139999999999998); #329899=CYLINDRICAL_SURFACE('',#369583,0.139999999999998); #329900=CYLINDRICAL_SURFACE('',#369586,0.139999999999998); #329901=CYLINDRICAL_SURFACE('',#369589,0.139999999999998); #329902=CYLINDRICAL_SURFACE('',#369761,0.564999999999998); #329903=CYLINDRICAL_SURFACE('',#369765,0.900857088405025); #329904=CYLINDRICAL_SURFACE('',#369768,0.900856769723134); #329905=CYLINDRICAL_SURFACE('',#369773,0.565000000000002); #329906=CYLINDRICAL_SURFACE('',#369777,0.900857088405025); #329907=CYLINDRICAL_SURFACE('',#369780,0.900856769723134); #329908=CYLINDRICAL_SURFACE('',#369785,0.465); #329909=CYLINDRICAL_SURFACE('',#369789,0.750904962541172); #329910=CYLINDRICAL_SURFACE('',#369792,0.750905460124643); #329911=CYLINDRICAL_SURFACE('',#369797,0.514999999999999); #329912=CYLINDRICAL_SURFACE('',#369801,0.825995597015953); #329913=CYLINDRICAL_SURFACE('',#369804,0.825996336030616); #329914=CYLINDRICAL_SURFACE('',#369809,0.514999999999999); #329915=CYLINDRICAL_SURFACE('',#369818,0.465); #329916=CYLINDRICAL_SURFACE('',#369822,0.750904962541172); #329917=CYLINDRICAL_SURFACE('',#369825,0.750905460124644); #329918=CYLINDRICAL_SURFACE('',#369830,0.465); #329919=CYLINDRICAL_SURFACE('',#369834,0.750904962541172); #329920=CYLINDRICAL_SURFACE('',#369837,0.750905460124644); #329921=CYLINDRICAL_SURFACE('',#369842,0.564999999999998); #329922=CYLINDRICAL_SURFACE('',#369846,0.900857088405025); #329923=CYLINDRICAL_SURFACE('',#369849,0.900856769723134); #329924=CYLINDRICAL_SURFACE('',#369854,0.465); #329925=CYLINDRICAL_SURFACE('',#369858,0.750904962541171); #329926=CYLINDRICAL_SURFACE('',#369861,0.750905460124643); #329927=CYLINDRICAL_SURFACE('',#369867,0.315); #329928=CYLINDRICAL_SURFACE('',#369871,0.315); #329929=CYLINDRICAL_SURFACE('',#369933,0.565); #329930=CYLINDRICAL_SURFACE('',#369937,0.565); #329931=CYLINDRICAL_SURFACE('',#370015,0.315); #329932=CYLINDRICAL_SURFACE('',#370019,0.315); #329933=CYLINDRICAL_SURFACE('',#370080,0.514999999999999); #329934=CYLINDRICAL_SURFACE('',#370090,0.315); #329935=CYLINDRICAL_SURFACE('',#370094,0.315); #329936=CYLINDRICAL_SURFACE('',#370156,0.565); #329937=CYLINDRICAL_SURFACE('',#370160,0.565); #329938=CYLINDRICAL_SURFACE('',#370237,0.465); #329939=CYLINDRICAL_SURFACE('',#370241,0.750904962541172); #329940=CYLINDRICAL_SURFACE('',#370244,0.750905460124644); #329941=CYLINDRICAL_SURFACE('',#370249,0.514999999999999); #329942=CYLINDRICAL_SURFACE('',#370253,0.825995597015952); #329943=CYLINDRICAL_SURFACE('',#370256,0.825996336030615); #329944=CYLINDRICAL_SURFACE('',#370262,0.315); #329945=CYLINDRICAL_SURFACE('',#370266,0.315); #329946=CYLINDRICAL_SURFACE('',#370327,0.465); #329947=CYLINDRICAL_SURFACE('',#370331,0.750904962541172); #329948=CYLINDRICAL_SURFACE('',#370334,0.750905460124644); #329949=CYLINDRICAL_SURFACE('',#370339,0.465); #329950=CYLINDRICAL_SURFACE('',#370343,0.750904962541172); #329951=CYLINDRICAL_SURFACE('',#370346,0.750905460124643); #329952=CYLINDRICAL_SURFACE('',#370351,0.465); #329953=CYLINDRICAL_SURFACE('',#370355,0.750904962541172); #329954=CYLINDRICAL_SURFACE('',#370358,0.750905460124643); #329955=CYLINDRICAL_SURFACE('',#370363,0.564999999999998); #329956=CYLINDRICAL_SURFACE('',#370372,0.465); #329957=CYLINDRICAL_SURFACE('',#370376,0.750904962541172); #329958=CYLINDRICAL_SURFACE('',#370379,0.750905460124643); #329959=CYLINDRICAL_SURFACE('',#370522,0.114999999999998); #329960=CYLINDRICAL_SURFACE('',#370525,0.114999999999998); #329961=CYLINDRICAL_SURFACE('',#370540,0.114999999999998); #329962=CYLINDRICAL_SURFACE('',#370543,0.114999999999998); #329963=CYLINDRICAL_SURFACE('',#370648,0.139999999999998); #329964=CYLINDRICAL_SURFACE('',#370681,0.140000000000002); #329965=CYLINDRICAL_SURFACE('',#370684,0.140000000000002); #329966=CYLINDRICAL_SURFACE('',#370687,0.140000000000002); #329967=CYLINDRICAL_SURFACE('',#370690,0.140000000000002); #329968=CYLINDRICAL_SURFACE('',#370693,0.140000000000002); #329969=CYLINDRICAL_SURFACE('',#370696,0.140000000000002); #329970=CYLINDRICAL_SURFACE('',#370699,0.140000000000002); #329971=CYLINDRICAL_SURFACE('',#370702,0.140000000000002); #329972=CYLINDRICAL_SURFACE('',#370705,0.140000000000002); #329973=CYLINDRICAL_SURFACE('',#370708,0.140000000000002); #329974=CYLINDRICAL_SURFACE('',#370711,0.140000000000002); #329975=CYLINDRICAL_SURFACE('',#370714,0.140000000000002); #329976=CYLINDRICAL_SURFACE('',#370717,0.140000000000002); #329977=CYLINDRICAL_SURFACE('',#370720,0.140000000000002); #329978=CYLINDRICAL_SURFACE('',#370723,0.140000000000002); #329979=CYLINDRICAL_SURFACE('',#370750,0.139999999999998); #329980=CYLINDRICAL_SURFACE('',#370783,0.139999999999998); #329981=CYLINDRICAL_SURFACE('',#370786,0.139999999999998); #329982=CYLINDRICAL_SURFACE('',#370789,0.139999999999998); #329983=CYLINDRICAL_SURFACE('',#370792,0.139999999999998); #329984=CYLINDRICAL_SURFACE('',#370795,0.139999999999998); #329985=CYLINDRICAL_SURFACE('',#370798,0.139999999999998); #329986=CYLINDRICAL_SURFACE('',#370801,0.139999999999998); #329987=CYLINDRICAL_SURFACE('',#370804,0.139999999999998); #329988=CYLINDRICAL_SURFACE('',#370807,0.139999999999998); #329989=CYLINDRICAL_SURFACE('',#370810,0.139999999999998); #329990=CYLINDRICAL_SURFACE('',#370813,0.139999999999998); #329991=CYLINDRICAL_SURFACE('',#370816,0.139999999999998); #329992=CYLINDRICAL_SURFACE('',#370819,0.139999999999998); #329993=CYLINDRICAL_SURFACE('',#370822,0.139999999999998); #329994=CYLINDRICAL_SURFACE('',#370825,0.139999999999998); #329995=CYLINDRICAL_SURFACE('',#370852,0.139999999999998); #329996=CYLINDRICAL_SURFACE('',#370861,0.139999999999998); #329997=CYLINDRICAL_SURFACE('',#370870,0.140000000000002); #329998=CYLINDRICAL_SURFACE('',#370879,0.139999999999998); #329999=CYLINDRICAL_SURFACE('',#370882,0.139999999999998); #330000=CYLINDRICAL_SURFACE('',#370885,0.139999999999998); #330001=CYLINDRICAL_SURFACE('',#370888,0.139999999999998); #330002=CYLINDRICAL_SURFACE('',#370891,0.139999999999998); #330003=CYLINDRICAL_SURFACE('',#370894,0.139999999999998); #330004=CYLINDRICAL_SURFACE('',#370897,0.139999999999998); #330005=CYLINDRICAL_SURFACE('',#370900,0.139999999999998); #330006=CYLINDRICAL_SURFACE('',#370903,0.139999999999998); #330007=CYLINDRICAL_SURFACE('',#370906,0.139999999999998); #330008=CYLINDRICAL_SURFACE('',#370909,0.139999999999998); #330009=CYLINDRICAL_SURFACE('',#370912,0.139999999999998); #330010=CYLINDRICAL_SURFACE('',#370915,0.139999999999998); #330011=CYLINDRICAL_SURFACE('',#370918,0.139999999999998); #330012=CYLINDRICAL_SURFACE('',#370921,0.139999999999998); #330013=CYLINDRICAL_SURFACE('',#371050,0.140000000000002); #330014=CYLINDRICAL_SURFACE('',#371053,0.140000000000002); #330015=CYLINDRICAL_SURFACE('',#371056,0.140000000000002); #330016=CYLINDRICAL_SURFACE('',#371059,0.140000000000002); #330017=CYLINDRICAL_SURFACE('',#371062,0.140000000000002); #330018=CYLINDRICAL_SURFACE('',#371065,0.140000000000002); #330019=CYLINDRICAL_SURFACE('',#371068,0.140000000000002); #330020=CYLINDRICAL_SURFACE('',#371071,0.140000000000002); #330021=CYLINDRICAL_SURFACE('',#371074,0.140000000000002); #330022=CYLINDRICAL_SURFACE('',#371077,0.140000000000002); #330023=CYLINDRICAL_SURFACE('',#371080,0.140000000000002); #330024=CYLINDRICAL_SURFACE('',#371083,0.140000000000002); #330025=CYLINDRICAL_SURFACE('',#371086,0.140000000000002); #330026=CYLINDRICAL_SURFACE('',#371089,0.140000000000002); #330027=CYLINDRICAL_SURFACE('',#371092,0.140000000000002); #330028=CYLINDRICAL_SURFACE('',#371137,0.140000000000002); #330029=CYLINDRICAL_SURFACE('',#371146,0.114999999999998); #330030=CYLINDRICAL_SURFACE('',#371149,0.114999999999998); #330031=CYLINDRICAL_SURFACE('',#371158,0.114999999999998); #330032=CYLINDRICAL_SURFACE('',#371161,0.114999999999998); #330033=CYLINDRICAL_SURFACE('',#371170,0.139999999999998); #330034=CYLINDRICAL_SURFACE('',#371180,0.325); #330035=CYLINDRICAL_SURFACE('',#371183,0.325); #330036=CYLINDRICAL_SURFACE('',#371186,0.600000000000001); #330037=CYLINDRICAL_SURFACE('',#371189,0.175000000000001); #330038=CYLINDRICAL_SURFACE('',#371192,0.175000000000001); #330039=CYLINDRICAL_SURFACE('',#371195,0.175000000000001); #330040=CYLINDRICAL_SURFACE('',#371198,0.175000000000001); #330041=CYLINDRICAL_SURFACE('',#371201,0.175000000000001); #330042=CYLINDRICAL_SURFACE('',#371204,0.175000000000001); #330043=CYLINDRICAL_SURFACE('',#371207,0.175000000000001); #330044=CYLINDRICAL_SURFACE('',#371210,0.175000000000001); #330045=CYLINDRICAL_SURFACE('',#371213,0.175000000000001); #330046=CYLINDRICAL_SURFACE('',#371216,0.175000000000001); #330047=CYLINDRICAL_SURFACE('',#371219,0.175000000000001); #330048=CYLINDRICAL_SURFACE('',#371222,0.175000000000001); #330049=CYLINDRICAL_SURFACE('',#371225,0.175000000000001); #330050=CYLINDRICAL_SURFACE('',#371228,0.175000000000001); #330051=CYLINDRICAL_SURFACE('',#371231,0.175000000000001); #330052=CYLINDRICAL_SURFACE('',#371234,0.5); #330053=CYLINDRICAL_SURFACE('',#371237,0.175000000000001); #330054=CYLINDRICAL_SURFACE('',#371240,0.175000000000001); #330055=CYLINDRICAL_SURFACE('',#371243,0.175000000000001); #330056=CYLINDRICAL_SURFACE('',#371246,0.175000000000001); #330057=CYLINDRICAL_SURFACE('',#371249,0.175000000000001); #330058=CYLINDRICAL_SURFACE('',#371252,0.175000000000001); #330059=CYLINDRICAL_SURFACE('',#371255,0.175000000000001); #330060=CYLINDRICAL_SURFACE('',#371258,0.175000000000001); #330061=CYLINDRICAL_SURFACE('',#371261,0.175000000000001); #330062=CYLINDRICAL_SURFACE('',#371264,0.175000000000001); #330063=CYLINDRICAL_SURFACE('',#371267,0.175000000000001); #330064=CYLINDRICAL_SURFACE('',#371270,0.175000000000001); #330065=CYLINDRICAL_SURFACE('',#371273,0.175000000000001); #330066=CYLINDRICAL_SURFACE('',#371276,0.175000000000001); #330067=CYLINDRICAL_SURFACE('',#371279,0.175000000000001); #330068=CYLINDRICAL_SURFACE('',#371282,0.550000000000002); #330069=CYLINDRICAL_SURFACE('',#371285,0.175000000000001); #330070=CYLINDRICAL_SURFACE('',#371288,0.175000000000001); #330071=CYLINDRICAL_SURFACE('',#371291,0.175000000000001); #330072=CYLINDRICAL_SURFACE('',#371294,0.175000000000001); #330073=CYLINDRICAL_SURFACE('',#371297,0.175000000000001); #330074=CYLINDRICAL_SURFACE('',#371300,0.175000000000001); #330075=CYLINDRICAL_SURFACE('',#371303,0.175000000000001); #330076=CYLINDRICAL_SURFACE('',#371306,0.175000000000001); #330077=CYLINDRICAL_SURFACE('',#371309,0.175000000000001); #330078=CYLINDRICAL_SURFACE('',#371312,0.175000000000001); #330079=CYLINDRICAL_SURFACE('',#371315,0.175000000000001); #330080=CYLINDRICAL_SURFACE('',#371318,0.175000000000001); #330081=CYLINDRICAL_SURFACE('',#371321,0.175000000000001); #330082=CYLINDRICAL_SURFACE('',#371324,0.175000000000001); #330083=CYLINDRICAL_SURFACE('',#371327,0.175000000000001); #330084=CYLINDRICAL_SURFACE('',#371330,0.5); #330085=CYLINDRICAL_SURFACE('',#371333,0.175000000000001); #330086=CYLINDRICAL_SURFACE('',#371336,0.175000000000001); #330087=CYLINDRICAL_SURFACE('',#371339,0.175000000000001); #330088=CYLINDRICAL_SURFACE('',#371342,0.175000000000001); #330089=CYLINDRICAL_SURFACE('',#371345,0.175000000000001); #330090=CYLINDRICAL_SURFACE('',#371348,0.175000000000001); #330091=CYLINDRICAL_SURFACE('',#371351,0.175000000000001); #330092=CYLINDRICAL_SURFACE('',#371354,0.175000000000001); #330093=CYLINDRICAL_SURFACE('',#371357,0.175000000000001); #330094=CYLINDRICAL_SURFACE('',#371360,0.175000000000001); #330095=CYLINDRICAL_SURFACE('',#371363,0.175000000000001); #330096=CYLINDRICAL_SURFACE('',#371366,0.175000000000001); #330097=CYLINDRICAL_SURFACE('',#371369,0.175000000000001); #330098=CYLINDRICAL_SURFACE('',#371372,0.175000000000001); #330099=CYLINDRICAL_SURFACE('',#371375,0.175000000000001); #330100=CYLINDRICAL_SURFACE('',#371378,0.600000000000001); #330101=CYLINDRICAL_SURFACE('',#371381,0.175000000000001); #330102=CYLINDRICAL_SURFACE('',#371384,0.175000000000001); #330103=CYLINDRICAL_SURFACE('',#371387,0.175000000000001); #330104=CYLINDRICAL_SURFACE('',#371390,0.175000000000001); #330105=CYLINDRICAL_SURFACE('',#371393,0.175000000000001); #330106=CYLINDRICAL_SURFACE('',#371396,0.175); #330107=CYLINDRICAL_SURFACE('',#371399,0.175000000000001); #330108=CYLINDRICAL_SURFACE('',#371402,0.175000000000001); #330109=CYLINDRICAL_SURFACE('',#371405,0.175000000000001); #330110=CYLINDRICAL_SURFACE('',#371408,0.175000000000001); #330111=CYLINDRICAL_SURFACE('',#371411,0.175000000000001); #330112=CYLINDRICAL_SURFACE('',#371414,0.175000000000001); #330113=CYLINDRICAL_SURFACE('',#371417,0.175000000000001); #330114=CYLINDRICAL_SURFACE('',#371420,0.175); #330115=CYLINDRICAL_SURFACE('',#371423,0.175000000000001); #330116=CYLINDRICAL_SURFACE('',#371426,0.175000000000001); #330117=CYLINDRICAL_SURFACE('',#371429,0.175000000000001); #330118=CYLINDRICAL_SURFACE('',#371432,0.175000000000001); #330119=CYLINDRICAL_SURFACE('',#371435,0.175000000000001); #330120=CYLINDRICAL_SURFACE('',#371438,0.175000000000001); #330121=CYLINDRICAL_SURFACE('',#371441,0.175000000000001); #330122=CYLINDRICAL_SURFACE('',#371444,0.175000000000001); #330123=CYLINDRICAL_SURFACE('',#371447,0.175000000000001); #330124=CYLINDRICAL_SURFACE('',#371450,0.175000000000001); #330125=CYLINDRICAL_SURFACE('',#371453,0.175000000000001); #330126=CYLINDRICAL_SURFACE('',#371456,0.175000000000001); #330127=CYLINDRICAL_SURFACE('',#371459,0.175000000000001); #330128=CYLINDRICAL_SURFACE('',#371462,0.175000000000001); #330129=CYLINDRICAL_SURFACE('',#371465,0.175000000000001); #330130=CYLINDRICAL_SURFACE('',#371468,0.175000000000001); #330131=CYLINDRICAL_SURFACE('',#371471,0.175000000000001); #330132=CYLINDRICAL_SURFACE('',#371474,0.499999999999998); #330133=CYLINDRICAL_SURFACE('',#371477,0.175000000000001); #330134=CYLINDRICAL_SURFACE('',#371480,0.175000000000001); #330135=CYLINDRICAL_SURFACE('',#371483,0.175000000000001); #330136=CYLINDRICAL_SURFACE('',#371486,0.175000000000001); #330137=CYLINDRICAL_SURFACE('',#371489,0.175000000000001); #330138=CYLINDRICAL_SURFACE('',#371492,0.175000000000001); #330139=CYLINDRICAL_SURFACE('',#371495,0.175000000000001); #330140=CYLINDRICAL_SURFACE('',#371498,0.175000000000001); #330141=CYLINDRICAL_SURFACE('',#371501,0.175000000000001); #330142=CYLINDRICAL_SURFACE('',#371504,0.175000000000001); #330143=CYLINDRICAL_SURFACE('',#371507,0.175000000000001); #330144=CYLINDRICAL_SURFACE('',#371510,0.175000000000001); #330145=CYLINDRICAL_SURFACE('',#371513,0.175000000000001); #330146=CYLINDRICAL_SURFACE('',#371516,0.175000000000001); #330147=CYLINDRICAL_SURFACE('',#371519,0.175000000000001); #330148=CYLINDRICAL_SURFACE('',#371522,0.499999999999998); #330149=CYLINDRICAL_SURFACE('',#371525,0.175000000000001); #330150=CYLINDRICAL_SURFACE('',#371528,0.175000000000001); #330151=CYLINDRICAL_SURFACE('',#371531,0.175000000000001); #330152=CYLINDRICAL_SURFACE('',#371534,0.175000000000001); #330153=CYLINDRICAL_SURFACE('',#371537,0.175000000000001); #330154=CYLINDRICAL_SURFACE('',#371540,0.175000000000001); #330155=CYLINDRICAL_SURFACE('',#371543,0.175000000000001); #330156=CYLINDRICAL_SURFACE('',#371546,0.175000000000001); #330157=CYLINDRICAL_SURFACE('',#371549,0.175000000000001); #330158=CYLINDRICAL_SURFACE('',#371552,0.175000000000001); #330159=CYLINDRICAL_SURFACE('',#371555,0.175000000000001); #330160=CYLINDRICAL_SURFACE('',#371558,0.175000000000001); #330161=CYLINDRICAL_SURFACE('',#371561,0.175000000000001); #330162=CYLINDRICAL_SURFACE('',#371564,0.175000000000001); #330163=CYLINDRICAL_SURFACE('',#371567,0.175000000000001); #330164=CYLINDRICAL_SURFACE('',#371570,0.550000000000002); #330165=CYLINDRICAL_SURFACE('',#371573,0.150000000000001); #330166=CYLINDRICAL_SURFACE('',#371576,0.175); #330167=CYLINDRICAL_SURFACE('',#371579,0.150000000000001); #330168=CYLINDRICAL_SURFACE('',#371582,0.175000000000001); #330169=CYLINDRICAL_SURFACE('',#371585,0.175000000000001); #330170=CYLINDRICAL_SURFACE('',#371588,0.175); #330171=CYLINDRICAL_SURFACE('',#371591,0.150000000000001); #330172=CYLINDRICAL_SURFACE('',#371594,0.175000000000001); #330173=CYLINDRICAL_SURFACE('',#371597,0.175000000000001); #330174=CYLINDRICAL_SURFACE('',#371600,0.175); #330175=CYLINDRICAL_SURFACE('',#371603,0.175000000000001); #330176=CYLINDRICAL_SURFACE('',#371606,0.175000000000001); #330177=CYLINDRICAL_SURFACE('',#371609,0.175000000000001); #330178=CYLINDRICAL_SURFACE('',#371612,0.175); #330179=CYLINDRICAL_SURFACE('',#371615,0.175000000000001); #330180=CYLINDRICAL_SURFACE('',#371618,0.175000000000001); #330181=CYLINDRICAL_SURFACE('',#371621,0.175000000000001); #330182=CYLINDRICAL_SURFACE('',#371624,0.175); #330183=CYLINDRICAL_SURFACE('',#371627,0.175000000000001); #330184=CYLINDRICAL_SURFACE('',#371630,0.175000000000001); #330185=CYLINDRICAL_SURFACE('',#371633,0.175000000000001); #330186=CYLINDRICAL_SURFACE('',#371636,0.175000000000001); #330187=CYLINDRICAL_SURFACE('',#371639,0.175000000000001); #330188=CYLINDRICAL_SURFACE('',#371642,0.175000000000001); #330189=CYLINDRICAL_SURFACE('',#371645,0.175000000000001); #330190=CYLINDRICAL_SURFACE('',#371648,0.175); #330191=CYLINDRICAL_SURFACE('',#371651,0.175000000000001); #330192=CYLINDRICAL_SURFACE('',#371654,0.175000000000001); #330193=CYLINDRICAL_SURFACE('',#371657,0.175000000000001); #330194=CYLINDRICAL_SURFACE('',#371660,0.175); #330195=CYLINDRICAL_SURFACE('',#371663,0.175000000000001); #330196=CYLINDRICAL_SURFACE('',#371666,0.499999999999998); #330197=CYLINDRICAL_SURFACE('',#371670,0.35); #330198=CYLINDRICAL_SURFACE('',#371674,0.35); #330199=CYLINDRICAL_SURFACE('',#371677,0.175000000000001); #330200=CYLINDRICAL_SURFACE('',#371681,0.6); #330201=CYLINDRICAL_SURFACE('',#371685,0.6); #330202=CYLINDRICAL_SURFACE('',#371688,0.175000000000001); #330203=CYLINDRICAL_SURFACE('',#371691,0.150000000000001); #330204=CYLINDRICAL_SURFACE('',#371694,0.175000000000001); #330205=CYLINDRICAL_SURFACE('',#371698,0.6); #330206=CYLINDRICAL_SURFACE('',#371702,0.6); #330207=CYLINDRICAL_SURFACE('',#371705,0.175000000000001); #330208=CYLINDRICAL_SURFACE('',#371708,0.150000000000001); #330209=CYLINDRICAL_SURFACE('',#371711,0.175000000000001); #330210=CYLINDRICAL_SURFACE('',#371714,0.150000000000001); #330211=CYLINDRICAL_SURFACE('',#371717,0.175000000000001); #330212=CYLINDRICAL_SURFACE('',#371720,0.150000000000001); #330213=CYLINDRICAL_SURFACE('',#371723,0.175000000000001); #330214=CYLINDRICAL_SURFACE('',#371726,0.150000000000001); #330215=CYLINDRICAL_SURFACE('',#371729,0.175000000000001); #330216=CYLINDRICAL_SURFACE('',#371732,0.175000000000001); #330217=CYLINDRICAL_SURFACE('',#371736,0.35); #330218=CYLINDRICAL_SURFACE('',#371740,0.35); #330219=CYLINDRICAL_SURFACE('',#371743,0.175000000000001); #330220=CYLINDRICAL_SURFACE('',#371747,0.35); #330221=CYLINDRICAL_SURFACE('',#371751,0.35); #330222=CYLINDRICAL_SURFACE('',#371754,0.175000000000001); #330223=CYLINDRICAL_SURFACE('',#371758,0.35); #330224=CYLINDRICAL_SURFACE('',#371762,0.35); #330225=CYLINDRICAL_SURFACE('',#371765,0.175000000000001); #330226=CYLINDRICAL_SURFACE('',#371768,0.175000000000001); #330227=CYLINDRICAL_SURFACE('',#371771,0.175000000000001); #330228=CYLINDRICAL_SURFACE('',#371774,0.175000000000001); #330229=CYLINDRICAL_SURFACE('',#371777,0.600000000000001); #330230=CYLINDRICAL_SURFACE('',#371780,0.175000000000001); #330231=CYLINDRICAL_SURFACE('',#371783,0.175000000000001); #330232=CYLINDRICAL_SURFACE('',#371786,0.175000000000001); #330233=CYLINDRICAL_SURFACE('',#371789,0.175000000000001); #330234=CYLINDRICAL_SURFACE('',#371792,0.175000000000001); #330235=CYLINDRICAL_SURFACE('',#371795,0.175000000000001); #330236=CYLINDRICAL_SURFACE('',#371798,0.175000000000001); #330237=CYLINDRICAL_SURFACE('',#371801,0.175000000000001); #330238=CYLINDRICAL_SURFACE('',#371804,0.175000000000001); #330239=CYLINDRICAL_SURFACE('',#371807,0.175000000000001); #330240=CYLINDRICAL_SURFACE('',#371810,0.175000000000001); #330241=CYLINDRICAL_SURFACE('',#371813,0.175000000000001); #330242=CYLINDRICAL_SURFACE('',#371816,0.175000000000001); #330243=CYLINDRICAL_SURFACE('',#371819,0.175000000000001); #330244=CYLINDRICAL_SURFACE('',#371822,0.175000000000001); #330245=CYLINDRICAL_SURFACE('',#371825,0.499999999999998); #330246=CYLINDRICAL_SURFACE('',#371828,0.175000000000001); #330247=CYLINDRICAL_SURFACE('',#371831,0.175000000000001); #330248=CYLINDRICAL_SURFACE('',#371834,0.175000000000001); #330249=CYLINDRICAL_SURFACE('',#371837,0.175000000000001); #330250=CYLINDRICAL_SURFACE('',#371840,0.175000000000001); #330251=CYLINDRICAL_SURFACE('',#371843,0.175000000000001); #330252=CYLINDRICAL_SURFACE('',#371846,0.175000000000001); #330253=CYLINDRICAL_SURFACE('',#371849,0.175000000000001); #330254=CYLINDRICAL_SURFACE('',#371852,0.175000000000001); #330255=CYLINDRICAL_SURFACE('',#371855,0.175000000000001); #330256=CYLINDRICAL_SURFACE('',#371858,0.175000000000001); #330257=CYLINDRICAL_SURFACE('',#371861,0.175000000000001); #330258=CYLINDRICAL_SURFACE('',#371864,0.175000000000001); #330259=CYLINDRICAL_SURFACE('',#371867,0.175000000000001); #330260=CYLINDRICAL_SURFACE('',#371870,0.175000000000001); #330261=CYLINDRICAL_SURFACE('',#371873,0.549999999999997); #330262=CYLINDRICAL_SURFACE('',#371876,0.175000000000001); #330263=CYLINDRICAL_SURFACE('',#371879,0.175000000000001); #330264=CYLINDRICAL_SURFACE('',#371882,0.175000000000001); #330265=CYLINDRICAL_SURFACE('',#371885,0.175000000000001); #330266=CYLINDRICAL_SURFACE('',#371888,0.175000000000001); #330267=CYLINDRICAL_SURFACE('',#371891,0.175000000000001); #330268=CYLINDRICAL_SURFACE('',#371894,0.175000000000001); #330269=CYLINDRICAL_SURFACE('',#371897,0.175000000000001); #330270=CYLINDRICAL_SURFACE('',#371900,0.175000000000001); #330271=CYLINDRICAL_SURFACE('',#371903,0.175000000000001); #330272=CYLINDRICAL_SURFACE('',#371906,0.175000000000001); #330273=CYLINDRICAL_SURFACE('',#371909,0.175000000000001); #330274=CYLINDRICAL_SURFACE('',#371912,0.175000000000001); #330275=CYLINDRICAL_SURFACE('',#371915,0.175000000000001); #330276=CYLINDRICAL_SURFACE('',#371918,0.175000000000001); #330277=CYLINDRICAL_SURFACE('',#371921,0.499999999999998); #330278=CYLINDRICAL_SURFACE('',#371924,0.175000000000001); #330279=CYLINDRICAL_SURFACE('',#371927,0.175000000000001); #330280=CYLINDRICAL_SURFACE('',#371930,0.175000000000001); #330281=CYLINDRICAL_SURFACE('',#371933,0.175000000000001); #330282=CYLINDRICAL_SURFACE('',#371936,0.175000000000001); #330283=CYLINDRICAL_SURFACE('',#371939,0.175000000000001); #330284=CYLINDRICAL_SURFACE('',#371942,0.175000000000001); #330285=CYLINDRICAL_SURFACE('',#371945,0.175000000000001); #330286=CYLINDRICAL_SURFACE('',#371948,0.175000000000001); #330287=CYLINDRICAL_SURFACE('',#371951,0.175000000000001); #330288=CYLINDRICAL_SURFACE('',#371954,0.175000000000001); #330289=CYLINDRICAL_SURFACE('',#371957,0.175000000000001); #330290=CYLINDRICAL_SURFACE('',#371960,0.175000000000001); #330291=CYLINDRICAL_SURFACE('',#371963,0.175000000000001); #330292=CYLINDRICAL_SURFACE('',#371966,0.175000000000001); #330293=CYLINDRICAL_SURFACE('',#371969,0.600000000000001); #330294=CYLINDRICAL_SURFACE('',#371972,0.175000000000001); #330295=CYLINDRICAL_SURFACE('',#371975,0.175000000000001); #330296=CYLINDRICAL_SURFACE('',#371978,0.175000000000001); #330297=CYLINDRICAL_SURFACE('',#371981,0.175000000000001); #330298=CYLINDRICAL_SURFACE('',#371984,0.175000000000001); #330299=CYLINDRICAL_SURFACE('',#371987,0.175000000000001); #330300=CYLINDRICAL_SURFACE('',#371990,0.175000000000001); #330301=CYLINDRICAL_SURFACE('',#371993,0.175000000000001); #330302=CYLINDRICAL_SURFACE('',#371996,0.175000000000001); #330303=CYLINDRICAL_SURFACE('',#371999,0.175000000000001); #330304=CYLINDRICAL_SURFACE('',#372002,0.175000000000001); #330305=CYLINDRICAL_SURFACE('',#372005,0.175000000000001); #330306=CYLINDRICAL_SURFACE('',#372008,0.175000000000001); #330307=CYLINDRICAL_SURFACE('',#372011,0.175000000000001); #330308=CYLINDRICAL_SURFACE('',#372014,0.175000000000001); #330309=CYLINDRICAL_SURFACE('',#372017,0.499999999999998); #330310=CYLINDRICAL_SURFACE('',#372020,0.175000000000001); #330311=CYLINDRICAL_SURFACE('',#372023,0.175000000000001); #330312=CYLINDRICAL_SURFACE('',#372026,0.175000000000001); #330313=CYLINDRICAL_SURFACE('',#372029,0.175000000000001); #330314=CYLINDRICAL_SURFACE('',#372032,0.175000000000001); #330315=CYLINDRICAL_SURFACE('',#372035,0.175000000000001); #330316=CYLINDRICAL_SURFACE('',#372038,0.175000000000001); #330317=CYLINDRICAL_SURFACE('',#372041,0.175000000000001); #330318=CYLINDRICAL_SURFACE('',#372044,0.175000000000001); #330319=CYLINDRICAL_SURFACE('',#372047,0.175000000000001); #330320=CYLINDRICAL_SURFACE('',#372050,0.175000000000001); #330321=CYLINDRICAL_SURFACE('',#372053,0.175000000000001); #330322=CYLINDRICAL_SURFACE('',#372056,0.175000000000001); #330323=CYLINDRICAL_SURFACE('',#372059,0.175000000000001); #330324=CYLINDRICAL_SURFACE('',#372062,0.175000000000001); #330325=CYLINDRICAL_SURFACE('',#372065,0.549999999999997); #330326=CYLINDRICAL_SURFACE('',#372068,0.175000000000001); #330327=CYLINDRICAL_SURFACE('',#372071,0.175000000000001); #330328=CYLINDRICAL_SURFACE('',#372074,0.175000000000001); #330329=CYLINDRICAL_SURFACE('',#372077,0.175000000000001); #330330=CYLINDRICAL_SURFACE('',#372080,0.175000000000001); #330331=CYLINDRICAL_SURFACE('',#372083,0.175000000000001); #330332=CYLINDRICAL_SURFACE('',#372086,0.175000000000001); #330333=CYLINDRICAL_SURFACE('',#372089,0.175000000000001); #330334=CYLINDRICAL_SURFACE('',#372092,0.175000000000001); #330335=CYLINDRICAL_SURFACE('',#372095,0.175000000000001); #330336=CYLINDRICAL_SURFACE('',#372098,0.175000000000001); #330337=CYLINDRICAL_SURFACE('',#372101,0.175000000000001); #330338=CYLINDRICAL_SURFACE('',#372104,0.175000000000001); #330339=CYLINDRICAL_SURFACE('',#372107,0.175000000000001); #330340=CYLINDRICAL_SURFACE('',#372110,0.175000000000001); #330341=CYLINDRICAL_SURFACE('',#372113,0.499999999999998); #330342=CYLINDRICAL_SURFACE('',#372116,0.175000000000001); #330343=CYLINDRICAL_SURFACE('',#372119,0.175000000000001); #330344=CYLINDRICAL_SURFACE('',#372122,0.175000000000001); #330345=CYLINDRICAL_SURFACE('',#372125,0.175000000000001); #330346=CYLINDRICAL_SURFACE('',#372128,0.175000000000001); #330347=CYLINDRICAL_SURFACE('',#372131,0.175000000000001); #330348=CYLINDRICAL_SURFACE('',#372134,0.175000000000001); #330349=CYLINDRICAL_SURFACE('',#372137,0.175000000000001); #330350=CYLINDRICAL_SURFACE('',#372140,0.175000000000001); #330351=CYLINDRICAL_SURFACE('',#372143,0.175000000000001); #330352=CYLINDRICAL_SURFACE('',#372146,0.175000000000001); #330353=CYLINDRICAL_SURFACE('',#372149,0.175000000000001); #330354=CYLINDRICAL_SURFACE('',#372152,0.175000000000001); #330355=CYLINDRICAL_SURFACE('',#372155,0.175000000000001); #330356=CYLINDRICAL_SURFACE('',#372158,0.175000000000001); #330357=CYLINDRICAL_SURFACE('',#372161,34.); #330358=CYLINDRICAL_SURFACE('',#372167,0.325); #330359=CYLINDRICAL_SURFACE('',#372170,0.325); #330360=CYLINDRICAL_SURFACE('',#372173,0.600000000000001); #330361=CYLINDRICAL_SURFACE('',#372176,0.175000000000001); #330362=CYLINDRICAL_SURFACE('',#372179,0.175000000000001); #330363=CYLINDRICAL_SURFACE('',#372182,0.175000000000001); #330364=CYLINDRICAL_SURFACE('',#372185,0.175000000000001); #330365=CYLINDRICAL_SURFACE('',#372188,0.175000000000001); #330366=CYLINDRICAL_SURFACE('',#372191,0.175000000000001); #330367=CYLINDRICAL_SURFACE('',#372194,0.175000000000001); #330368=CYLINDRICAL_SURFACE('',#372197,0.175000000000001); #330369=CYLINDRICAL_SURFACE('',#372200,0.175000000000001); #330370=CYLINDRICAL_SURFACE('',#372203,0.175000000000001); #330371=CYLINDRICAL_SURFACE('',#372206,0.175000000000001); #330372=CYLINDRICAL_SURFACE('',#372209,0.175000000000001); #330373=CYLINDRICAL_SURFACE('',#372212,0.175000000000001); #330374=CYLINDRICAL_SURFACE('',#372215,0.175000000000001); #330375=CYLINDRICAL_SURFACE('',#372218,0.175000000000001); #330376=CYLINDRICAL_SURFACE('',#372221,0.5); #330377=CYLINDRICAL_SURFACE('',#372224,0.175000000000001); #330378=CYLINDRICAL_SURFACE('',#372227,0.175000000000001); #330379=CYLINDRICAL_SURFACE('',#372230,0.175000000000001); #330380=CYLINDRICAL_SURFACE('',#372233,0.175000000000001); #330381=CYLINDRICAL_SURFACE('',#372236,0.175000000000001); #330382=CYLINDRICAL_SURFACE('',#372239,0.175000000000001); #330383=CYLINDRICAL_SURFACE('',#372242,0.175000000000001); #330384=CYLINDRICAL_SURFACE('',#372245,0.175000000000001); #330385=CYLINDRICAL_SURFACE('',#372248,0.175000000000001); #330386=CYLINDRICAL_SURFACE('',#372251,0.175000000000001); #330387=CYLINDRICAL_SURFACE('',#372254,0.175000000000001); #330388=CYLINDRICAL_SURFACE('',#372257,0.175000000000001); #330389=CYLINDRICAL_SURFACE('',#372260,0.175000000000001); #330390=CYLINDRICAL_SURFACE('',#372263,0.175000000000001); #330391=CYLINDRICAL_SURFACE('',#372266,0.175000000000001); #330392=CYLINDRICAL_SURFACE('',#372269,0.550000000000002); #330393=CYLINDRICAL_SURFACE('',#372272,0.175000000000001); #330394=CYLINDRICAL_SURFACE('',#372275,0.175000000000001); #330395=CYLINDRICAL_SURFACE('',#372278,0.175000000000001); #330396=CYLINDRICAL_SURFACE('',#372281,0.175000000000001); #330397=CYLINDRICAL_SURFACE('',#372284,0.175000000000001); #330398=CYLINDRICAL_SURFACE('',#372287,0.175000000000001); #330399=CYLINDRICAL_SURFACE('',#372290,0.175000000000001); #330400=CYLINDRICAL_SURFACE('',#372293,0.175000000000001); #330401=CYLINDRICAL_SURFACE('',#372296,0.175000000000001); #330402=CYLINDRICAL_SURFACE('',#372299,0.175000000000001); #330403=CYLINDRICAL_SURFACE('',#372302,0.175000000000001); #330404=CYLINDRICAL_SURFACE('',#372305,0.175000000000001); #330405=CYLINDRICAL_SURFACE('',#372308,0.175000000000001); #330406=CYLINDRICAL_SURFACE('',#372311,0.175000000000001); #330407=CYLINDRICAL_SURFACE('',#372314,0.175000000000001); #330408=CYLINDRICAL_SURFACE('',#372317,0.5); #330409=CYLINDRICAL_SURFACE('',#372320,0.175000000000001); #330410=CYLINDRICAL_SURFACE('',#372323,0.175000000000001); #330411=CYLINDRICAL_SURFACE('',#372326,0.175000000000001); #330412=CYLINDRICAL_SURFACE('',#372329,0.175000000000001); #330413=CYLINDRICAL_SURFACE('',#372332,0.175000000000001); #330414=CYLINDRICAL_SURFACE('',#372335,0.175000000000001); #330415=CYLINDRICAL_SURFACE('',#372338,0.175000000000001); #330416=CYLINDRICAL_SURFACE('',#372341,0.175000000000001); #330417=CYLINDRICAL_SURFACE('',#372344,0.175000000000001); #330418=CYLINDRICAL_SURFACE('',#372347,0.175000000000001); #330419=CYLINDRICAL_SURFACE('',#372350,0.175000000000001); #330420=CYLINDRICAL_SURFACE('',#372353,0.175000000000001); #330421=CYLINDRICAL_SURFACE('',#372356,0.175000000000001); #330422=CYLINDRICAL_SURFACE('',#372359,0.175000000000001); #330423=CYLINDRICAL_SURFACE('',#372362,0.175000000000001); #330424=CYLINDRICAL_SURFACE('',#372365,0.600000000000001); #330425=CYLINDRICAL_SURFACE('',#372368,0.175000000000001); #330426=CYLINDRICAL_SURFACE('',#372371,0.175000000000001); #330427=CYLINDRICAL_SURFACE('',#372374,0.175000000000001); #330428=CYLINDRICAL_SURFACE('',#372377,0.175000000000001); #330429=CYLINDRICAL_SURFACE('',#372380,0.175000000000001); #330430=CYLINDRICAL_SURFACE('',#372383,0.175); #330431=CYLINDRICAL_SURFACE('',#372386,0.175000000000001); #330432=CYLINDRICAL_SURFACE('',#372389,0.175000000000001); #330433=CYLINDRICAL_SURFACE('',#372392,0.175000000000001); #330434=CYLINDRICAL_SURFACE('',#372395,0.175000000000001); #330435=CYLINDRICAL_SURFACE('',#372398,0.175000000000001); #330436=CYLINDRICAL_SURFACE('',#372401,0.175000000000001); #330437=CYLINDRICAL_SURFACE('',#372404,0.175000000000001); #330438=CYLINDRICAL_SURFACE('',#372407,0.175); #330439=CYLINDRICAL_SURFACE('',#372410,0.175000000000001); #330440=CYLINDRICAL_SURFACE('',#372413,0.175000000000001); #330441=CYLINDRICAL_SURFACE('',#372416,0.175000000000001); #330442=CYLINDRICAL_SURFACE('',#372419,0.175000000000001); #330443=CYLINDRICAL_SURFACE('',#372422,0.175000000000001); #330444=CYLINDRICAL_SURFACE('',#372425,0.175000000000001); #330445=CYLINDRICAL_SURFACE('',#372428,0.175000000000001); #330446=CYLINDRICAL_SURFACE('',#372431,0.175000000000001); #330447=CYLINDRICAL_SURFACE('',#372434,0.175000000000001); #330448=CYLINDRICAL_SURFACE('',#372437,0.175000000000001); #330449=CYLINDRICAL_SURFACE('',#372440,0.175000000000001); #330450=CYLINDRICAL_SURFACE('',#372443,0.175000000000001); #330451=CYLINDRICAL_SURFACE('',#372446,0.175000000000001); #330452=CYLINDRICAL_SURFACE('',#372449,0.175000000000001); #330453=CYLINDRICAL_SURFACE('',#372452,0.175000000000001); #330454=CYLINDRICAL_SURFACE('',#372455,0.175000000000001); #330455=CYLINDRICAL_SURFACE('',#372458,0.175000000000001); #330456=CYLINDRICAL_SURFACE('',#372461,0.499999999999998); #330457=CYLINDRICAL_SURFACE('',#372464,0.175000000000001); #330458=CYLINDRICAL_SURFACE('',#372467,0.175000000000001); #330459=CYLINDRICAL_SURFACE('',#372470,0.175000000000001); #330460=CYLINDRICAL_SURFACE('',#372473,0.175000000000001); #330461=CYLINDRICAL_SURFACE('',#372476,0.175000000000001); #330462=CYLINDRICAL_SURFACE('',#372479,0.175000000000001); #330463=CYLINDRICAL_SURFACE('',#372482,0.175000000000001); #330464=CYLINDRICAL_SURFACE('',#372485,0.175000000000001); #330465=CYLINDRICAL_SURFACE('',#372488,0.175000000000001); #330466=CYLINDRICAL_SURFACE('',#372491,0.175000000000001); #330467=CYLINDRICAL_SURFACE('',#372494,0.175000000000001); #330468=CYLINDRICAL_SURFACE('',#372497,0.175000000000001); #330469=CYLINDRICAL_SURFACE('',#372500,0.175000000000001); #330470=CYLINDRICAL_SURFACE('',#372503,0.175000000000001); #330471=CYLINDRICAL_SURFACE('',#372506,0.175000000000001); #330472=CYLINDRICAL_SURFACE('',#372509,0.499999999999998); #330473=CYLINDRICAL_SURFACE('',#372512,0.175000000000001); #330474=CYLINDRICAL_SURFACE('',#372515,0.175000000000001); #330475=CYLINDRICAL_SURFACE('',#372518,0.175000000000001); #330476=CYLINDRICAL_SURFACE('',#372521,0.175000000000001); #330477=CYLINDRICAL_SURFACE('',#372524,0.175000000000001); #330478=CYLINDRICAL_SURFACE('',#372527,0.175000000000001); #330479=CYLINDRICAL_SURFACE('',#372530,0.175000000000001); #330480=CYLINDRICAL_SURFACE('',#372533,0.175000000000001); #330481=CYLINDRICAL_SURFACE('',#372536,0.175000000000001); #330482=CYLINDRICAL_SURFACE('',#372539,0.175000000000001); #330483=CYLINDRICAL_SURFACE('',#372542,0.175000000000001); #330484=CYLINDRICAL_SURFACE('',#372545,0.175000000000001); #330485=CYLINDRICAL_SURFACE('',#372548,0.175000000000001); #330486=CYLINDRICAL_SURFACE('',#372551,0.175000000000001); #330487=CYLINDRICAL_SURFACE('',#372554,0.175000000000001); #330488=CYLINDRICAL_SURFACE('',#372557,0.550000000000002); #330489=CYLINDRICAL_SURFACE('',#372560,0.150000000000001); #330490=CYLINDRICAL_SURFACE('',#372563,0.175); #330491=CYLINDRICAL_SURFACE('',#372566,0.150000000000001); #330492=CYLINDRICAL_SURFACE('',#372569,0.175000000000001); #330493=CYLINDRICAL_SURFACE('',#372572,0.175000000000001); #330494=CYLINDRICAL_SURFACE('',#372575,0.175); #330495=CYLINDRICAL_SURFACE('',#372578,0.150000000000001); #330496=CYLINDRICAL_SURFACE('',#372581,0.175000000000001); #330497=CYLINDRICAL_SURFACE('',#372584,0.175000000000001); #330498=CYLINDRICAL_SURFACE('',#372587,0.175); #330499=CYLINDRICAL_SURFACE('',#372590,0.175000000000001); #330500=CYLINDRICAL_SURFACE('',#372593,0.175000000000001); #330501=CYLINDRICAL_SURFACE('',#372596,0.175000000000001); #330502=CYLINDRICAL_SURFACE('',#372599,0.175); #330503=CYLINDRICAL_SURFACE('',#372602,0.175000000000001); #330504=CYLINDRICAL_SURFACE('',#372605,0.175000000000001); #330505=CYLINDRICAL_SURFACE('',#372608,0.175000000000001); #330506=CYLINDRICAL_SURFACE('',#372611,0.175); #330507=CYLINDRICAL_SURFACE('',#372614,0.175000000000001); #330508=CYLINDRICAL_SURFACE('',#372617,0.175000000000001); #330509=CYLINDRICAL_SURFACE('',#372620,0.175000000000001); #330510=CYLINDRICAL_SURFACE('',#372623,0.175000000000001); #330511=CYLINDRICAL_SURFACE('',#372626,0.175000000000001); #330512=CYLINDRICAL_SURFACE('',#372629,0.175000000000001); #330513=CYLINDRICAL_SURFACE('',#372632,0.175000000000001); #330514=CYLINDRICAL_SURFACE('',#372635,0.175); #330515=CYLINDRICAL_SURFACE('',#372638,0.175000000000001); #330516=CYLINDRICAL_SURFACE('',#372641,0.175000000000001); #330517=CYLINDRICAL_SURFACE('',#372644,0.175000000000001); #330518=CYLINDRICAL_SURFACE('',#372647,0.175); #330519=CYLINDRICAL_SURFACE('',#372650,0.175000000000001); #330520=CYLINDRICAL_SURFACE('',#372653,0.499999999999998); #330521=CYLINDRICAL_SURFACE('',#372657,0.35); #330522=CYLINDRICAL_SURFACE('',#372661,0.35); #330523=CYLINDRICAL_SURFACE('',#372664,0.175000000000001); #330524=CYLINDRICAL_SURFACE('',#372668,0.6); #330525=CYLINDRICAL_SURFACE('',#372672,0.6); #330526=CYLINDRICAL_SURFACE('',#372675,0.175000000000001); #330527=CYLINDRICAL_SURFACE('',#372678,0.150000000000001); #330528=CYLINDRICAL_SURFACE('',#372681,0.175000000000001); #330529=CYLINDRICAL_SURFACE('',#372685,0.6); #330530=CYLINDRICAL_SURFACE('',#372689,0.6); #330531=CYLINDRICAL_SURFACE('',#372692,0.175000000000001); #330532=CYLINDRICAL_SURFACE('',#372695,0.150000000000001); #330533=CYLINDRICAL_SURFACE('',#372698,0.175000000000001); #330534=CYLINDRICAL_SURFACE('',#372701,0.150000000000001); #330535=CYLINDRICAL_SURFACE('',#372704,0.175000000000001); #330536=CYLINDRICAL_SURFACE('',#372707,0.150000000000001); #330537=CYLINDRICAL_SURFACE('',#372710,0.175000000000001); #330538=CYLINDRICAL_SURFACE('',#372713,0.150000000000001); #330539=CYLINDRICAL_SURFACE('',#372716,0.175000000000001); #330540=CYLINDRICAL_SURFACE('',#372719,0.175000000000001); #330541=CYLINDRICAL_SURFACE('',#372723,0.35); #330542=CYLINDRICAL_SURFACE('',#372727,0.35); #330543=CYLINDRICAL_SURFACE('',#372730,0.175000000000001); #330544=CYLINDRICAL_SURFACE('',#372734,0.35); #330545=CYLINDRICAL_SURFACE('',#372738,0.35); #330546=CYLINDRICAL_SURFACE('',#372741,0.175000000000001); #330547=CYLINDRICAL_SURFACE('',#372745,0.35); #330548=CYLINDRICAL_SURFACE('',#372749,0.35); #330549=CYLINDRICAL_SURFACE('',#372752,0.175000000000001); #330550=CYLINDRICAL_SURFACE('',#372755,0.175000000000001); #330551=CYLINDRICAL_SURFACE('',#372758,0.175000000000001); #330552=CYLINDRICAL_SURFACE('',#372761,0.175000000000001); #330553=CYLINDRICAL_SURFACE('',#372764,0.600000000000001); #330554=CYLINDRICAL_SURFACE('',#372767,0.175000000000001); #330555=CYLINDRICAL_SURFACE('',#372770,0.175000000000001); #330556=CYLINDRICAL_SURFACE('',#372773,0.175000000000001); #330557=CYLINDRICAL_SURFACE('',#372776,0.175000000000001); #330558=CYLINDRICAL_SURFACE('',#372779,0.175000000000001); #330559=CYLINDRICAL_SURFACE('',#372782,0.175000000000001); #330560=CYLINDRICAL_SURFACE('',#372785,0.175000000000001); #330561=CYLINDRICAL_SURFACE('',#372788,0.175000000000001); #330562=CYLINDRICAL_SURFACE('',#372791,0.175000000000001); #330563=CYLINDRICAL_SURFACE('',#372794,0.175000000000001); #330564=CYLINDRICAL_SURFACE('',#372797,0.175000000000001); #330565=CYLINDRICAL_SURFACE('',#372800,0.175000000000001); #330566=CYLINDRICAL_SURFACE('',#372803,0.175000000000001); #330567=CYLINDRICAL_SURFACE('',#372806,0.175000000000001); #330568=CYLINDRICAL_SURFACE('',#372809,0.175000000000001); #330569=CYLINDRICAL_SURFACE('',#372812,0.499999999999998); #330570=CYLINDRICAL_SURFACE('',#372815,0.175000000000001); #330571=CYLINDRICAL_SURFACE('',#372818,0.175000000000001); #330572=CYLINDRICAL_SURFACE('',#372821,0.175000000000001); #330573=CYLINDRICAL_SURFACE('',#372824,0.175000000000001); #330574=CYLINDRICAL_SURFACE('',#372827,0.175000000000001); #330575=CYLINDRICAL_SURFACE('',#372830,0.175000000000001); #330576=CYLINDRICAL_SURFACE('',#372833,0.175000000000001); #330577=CYLINDRICAL_SURFACE('',#372836,0.175000000000001); #330578=CYLINDRICAL_SURFACE('',#372839,0.175000000000001); #330579=CYLINDRICAL_SURFACE('',#372842,0.175000000000001); #330580=CYLINDRICAL_SURFACE('',#372845,0.175000000000001); #330581=CYLINDRICAL_SURFACE('',#372848,0.175000000000001); #330582=CYLINDRICAL_SURFACE('',#372851,0.175000000000001); #330583=CYLINDRICAL_SURFACE('',#372854,0.175000000000001); #330584=CYLINDRICAL_SURFACE('',#372857,0.175000000000001); #330585=CYLINDRICAL_SURFACE('',#372860,0.549999999999997); #330586=CYLINDRICAL_SURFACE('',#372863,0.175000000000001); #330587=CYLINDRICAL_SURFACE('',#372866,0.175000000000001); #330588=CYLINDRICAL_SURFACE('',#372869,0.175000000000001); #330589=CYLINDRICAL_SURFACE('',#372872,0.175000000000001); #330590=CYLINDRICAL_SURFACE('',#372875,0.175000000000001); #330591=CYLINDRICAL_SURFACE('',#372878,0.175000000000001); #330592=CYLINDRICAL_SURFACE('',#372881,0.175000000000001); #330593=CYLINDRICAL_SURFACE('',#372884,0.175000000000001); #330594=CYLINDRICAL_SURFACE('',#372887,0.175000000000001); #330595=CYLINDRICAL_SURFACE('',#372890,0.175000000000001); #330596=CYLINDRICAL_SURFACE('',#372893,0.175000000000001); #330597=CYLINDRICAL_SURFACE('',#372896,0.175000000000001); #330598=CYLINDRICAL_SURFACE('',#372899,0.175000000000001); #330599=CYLINDRICAL_SURFACE('',#372902,0.175000000000001); #330600=CYLINDRICAL_SURFACE('',#372905,0.175000000000001); #330601=CYLINDRICAL_SURFACE('',#372908,0.499999999999998); #330602=CYLINDRICAL_SURFACE('',#372911,0.175000000000001); #330603=CYLINDRICAL_SURFACE('',#372914,0.175000000000001); #330604=CYLINDRICAL_SURFACE('',#372917,0.175000000000001); #330605=CYLINDRICAL_SURFACE('',#372920,0.175000000000001); #330606=CYLINDRICAL_SURFACE('',#372923,0.175000000000001); #330607=CYLINDRICAL_SURFACE('',#372926,0.175000000000001); #330608=CYLINDRICAL_SURFACE('',#372929,0.175000000000001); #330609=CYLINDRICAL_SURFACE('',#372932,0.175000000000001); #330610=CYLINDRICAL_SURFACE('',#372935,0.175000000000001); #330611=CYLINDRICAL_SURFACE('',#372938,0.175000000000001); #330612=CYLINDRICAL_SURFACE('',#372941,0.175000000000001); #330613=CYLINDRICAL_SURFACE('',#372944,0.175000000000001); #330614=CYLINDRICAL_SURFACE('',#372947,0.175000000000001); #330615=CYLINDRICAL_SURFACE('',#372950,0.175000000000001); #330616=CYLINDRICAL_SURFACE('',#372953,0.175000000000001); #330617=CYLINDRICAL_SURFACE('',#372956,0.600000000000001); #330618=CYLINDRICAL_SURFACE('',#372959,0.175000000000001); #330619=CYLINDRICAL_SURFACE('',#372962,0.175000000000001); #330620=CYLINDRICAL_SURFACE('',#372965,0.175000000000001); #330621=CYLINDRICAL_SURFACE('',#372968,0.175000000000001); #330622=CYLINDRICAL_SURFACE('',#372971,0.175000000000001); #330623=CYLINDRICAL_SURFACE('',#372974,0.175000000000001); #330624=CYLINDRICAL_SURFACE('',#372977,0.175000000000001); #330625=CYLINDRICAL_SURFACE('',#372980,0.175000000000001); #330626=CYLINDRICAL_SURFACE('',#372983,0.175000000000001); #330627=CYLINDRICAL_SURFACE('',#372986,0.175000000000001); #330628=CYLINDRICAL_SURFACE('',#372989,0.175000000000001); #330629=CYLINDRICAL_SURFACE('',#372992,0.175000000000001); #330630=CYLINDRICAL_SURFACE('',#372995,0.175000000000001); #330631=CYLINDRICAL_SURFACE('',#372998,0.175000000000001); #330632=CYLINDRICAL_SURFACE('',#373001,0.175000000000001); #330633=CYLINDRICAL_SURFACE('',#373004,0.499999999999998); #330634=CYLINDRICAL_SURFACE('',#373007,0.175000000000001); #330635=CYLINDRICAL_SURFACE('',#373010,0.175000000000001); #330636=CYLINDRICAL_SURFACE('',#373013,0.175000000000001); #330637=CYLINDRICAL_SURFACE('',#373016,0.175000000000001); #330638=CYLINDRICAL_SURFACE('',#373019,0.175000000000001); #330639=CYLINDRICAL_SURFACE('',#373022,0.175000000000001); #330640=CYLINDRICAL_SURFACE('',#373025,0.175000000000001); #330641=CYLINDRICAL_SURFACE('',#373028,0.175000000000001); #330642=CYLINDRICAL_SURFACE('',#373031,0.175000000000001); #330643=CYLINDRICAL_SURFACE('',#373034,0.175000000000001); #330644=CYLINDRICAL_SURFACE('',#373037,0.175000000000001); #330645=CYLINDRICAL_SURFACE('',#373040,0.175000000000001); #330646=CYLINDRICAL_SURFACE('',#373043,0.175000000000001); #330647=CYLINDRICAL_SURFACE('',#373046,0.175000000000001); #330648=CYLINDRICAL_SURFACE('',#373049,0.175000000000001); #330649=CYLINDRICAL_SURFACE('',#373052,0.549999999999997); #330650=CYLINDRICAL_SURFACE('',#373055,0.175000000000001); #330651=CYLINDRICAL_SURFACE('',#373058,0.175000000000001); #330652=CYLINDRICAL_SURFACE('',#373061,0.175000000000001); #330653=CYLINDRICAL_SURFACE('',#373064,0.175000000000001); #330654=CYLINDRICAL_SURFACE('',#373067,0.175000000000001); #330655=CYLINDRICAL_SURFACE('',#373070,0.175000000000001); #330656=CYLINDRICAL_SURFACE('',#373073,0.175000000000001); #330657=CYLINDRICAL_SURFACE('',#373076,0.175000000000001); #330658=CYLINDRICAL_SURFACE('',#373079,0.175000000000001); #330659=CYLINDRICAL_SURFACE('',#373082,0.175000000000001); #330660=CYLINDRICAL_SURFACE('',#373085,0.175000000000001); #330661=CYLINDRICAL_SURFACE('',#373088,0.175000000000001); #330662=CYLINDRICAL_SURFACE('',#373091,0.175000000000001); #330663=CYLINDRICAL_SURFACE('',#373094,0.175000000000001); #330664=CYLINDRICAL_SURFACE('',#373097,0.175000000000001); #330665=CYLINDRICAL_SURFACE('',#373100,0.499999999999998); #330666=CYLINDRICAL_SURFACE('',#373103,0.175000000000001); #330667=CYLINDRICAL_SURFACE('',#373106,0.175000000000001); #330668=CYLINDRICAL_SURFACE('',#373109,0.175000000000001); #330669=CYLINDRICAL_SURFACE('',#373112,0.175000000000001); #330670=CYLINDRICAL_SURFACE('',#373115,0.175000000000001); #330671=CYLINDRICAL_SURFACE('',#373118,0.175000000000001); #330672=CYLINDRICAL_SURFACE('',#373121,0.175000000000001); #330673=CYLINDRICAL_SURFACE('',#373124,0.175000000000001); #330674=CYLINDRICAL_SURFACE('',#373127,0.175000000000001); #330675=CYLINDRICAL_SURFACE('',#373130,0.175000000000001); #330676=CYLINDRICAL_SURFACE('',#373133,0.175000000000001); #330677=CYLINDRICAL_SURFACE('',#373136,0.175000000000001); #330678=CYLINDRICAL_SURFACE('',#373139,0.175000000000001); #330679=CYLINDRICAL_SURFACE('',#373142,0.175000000000001); #330680=CYLINDRICAL_SURFACE('',#373145,0.175000000000001); #330681=CYLINDRICAL_SURFACE('',#373148,34.); #330682=CYLINDRICAL_SURFACE('',#373285,0.016); #330683=CYLINDRICAL_SURFACE('',#373294,0.016); #330684=CYLINDRICAL_SURFACE('',#373295,0.016); #330685=CYLINDRICAL_SURFACE('',#373300,0.016); #330686=CYLINDRICAL_SURFACE('',#373301,0.016); #330687=CYLINDRICAL_SURFACE('',#373302,0.016); #330688=CYLINDRICAL_SURFACE('',#373303,0.016); #330689=CYLINDRICAL_SURFACE('',#373304,0.016); #330690=CYLINDRICAL_SURFACE('',#373305,0.016); #330691=CYLINDRICAL_SURFACE('',#373306,0.016); #330692=CYLINDRICAL_SURFACE('',#373307,0.016); #330693=CYLINDRICAL_SURFACE('',#373308,0.016); #330694=CYLINDRICAL_SURFACE('',#373335,0.016); #330695=CYLINDRICAL_SURFACE('',#373344,0.016); #330696=CYLINDRICAL_SURFACE('',#373345,0.016); #330697=CYLINDRICAL_SURFACE('',#373350,0.016); #330698=CYLINDRICAL_SURFACE('',#373351,0.016); #330699=CYLINDRICAL_SURFACE('',#373352,0.016); #330700=CYLINDRICAL_SURFACE('',#373353,0.016); #330701=CYLINDRICAL_SURFACE('',#373354,0.016); #330702=CYLINDRICAL_SURFACE('',#373355,0.016); #330703=CYLINDRICAL_SURFACE('',#373356,0.016); #330704=CYLINDRICAL_SURFACE('',#373357,0.016); #330705=CYLINDRICAL_SURFACE('',#373358,0.016); #330706=CYLINDRICAL_SURFACE('',#373414,0.45); #330707=CYLINDRICAL_SURFACE('',#373419,0.45); #330708=CYLINDRICAL_SURFACE('',#373503,0.96); #330709=CYLINDRICAL_SURFACE('',#373525,0.96); #330710=CYLINDRICAL_SURFACE('',#373529,0.96); #330711=CYLINDRICAL_SURFACE('',#373532,0.96); #330712=CYLINDRICAL_SURFACE('',#373536,0.45); #330713=CYLINDRICAL_SURFACE('',#373541,0.45); #330714=CYLINDRICAL_SURFACE('',#373553,0.250000000000004); #330715=CYLINDRICAL_SURFACE('',#373561,0.250000000000002); #330716=CYLINDRICAL_SURFACE('',#373586,0.14); #330717=CYLINDRICAL_SURFACE('',#373587,0.14); #330718=CYLINDRICAL_SURFACE('',#373616,0.25); #330719=CYLINDRICAL_SURFACE('',#373620,0.25); #330720=CYLINDRICAL_SURFACE('',#373736,0.1); #330721=CYLINDRICAL_SURFACE('',#373738,0.2); #330722=CYLINDRICAL_SURFACE('',#373740,0.22); #330723=CYLINDRICAL_SURFACE('',#373742,0.08); #330724=CYLINDRICAL_SURFACE('',#373765,0.1); #330725=CYLINDRICAL_SURFACE('',#373767,0.2); #330726=CYLINDRICAL_SURFACE('',#373769,0.22); #330727=CYLINDRICAL_SURFACE('',#373771,0.08); #330728=CYLINDRICAL_SURFACE('',#373794,0.1); #330729=CYLINDRICAL_SURFACE('',#373796,0.2); #330730=CYLINDRICAL_SURFACE('',#373798,0.22); #330731=CYLINDRICAL_SURFACE('',#373800,0.08); #330732=CYLINDRICAL_SURFACE('',#373823,0.1); #330733=CYLINDRICAL_SURFACE('',#373825,0.2); #330734=CYLINDRICAL_SURFACE('',#373827,0.22); #330735=CYLINDRICAL_SURFACE('',#373829,0.08); #330736=CYLINDRICAL_SURFACE('',#373852,0.1); #330737=CYLINDRICAL_SURFACE('',#373854,0.2); #330738=CYLINDRICAL_SURFACE('',#373856,0.22); #330739=CYLINDRICAL_SURFACE('',#373858,0.08); #330740=CYLINDRICAL_SURFACE('',#373881,0.1); #330741=CYLINDRICAL_SURFACE('',#373883,0.2); #330742=CYLINDRICAL_SURFACE('',#373885,0.22); #330743=CYLINDRICAL_SURFACE('',#373887,0.08); #330744=CYLINDRICAL_SURFACE('',#373910,0.1); #330745=CYLINDRICAL_SURFACE('',#373912,0.2); #330746=CYLINDRICAL_SURFACE('',#373914,0.22); #330747=CYLINDRICAL_SURFACE('',#373916,0.08); #330748=CYLINDRICAL_SURFACE('',#373939,0.1); #330749=CYLINDRICAL_SURFACE('',#373941,0.2); #330750=CYLINDRICAL_SURFACE('',#373943,0.22); #330751=CYLINDRICAL_SURFACE('',#373945,0.08); #330752=CYLINDRICAL_SURFACE('',#373968,0.1); #330753=CYLINDRICAL_SURFACE('',#373970,0.2); #330754=CYLINDRICAL_SURFACE('',#373972,0.22); #330755=CYLINDRICAL_SURFACE('',#373974,0.08); #330756=CYLINDRICAL_SURFACE('',#373997,0.1); #330757=CYLINDRICAL_SURFACE('',#373999,0.2); #330758=CYLINDRICAL_SURFACE('',#374001,0.22); #330759=CYLINDRICAL_SURFACE('',#374003,0.08); #330760=CYLINDRICAL_SURFACE('',#374026,0.1); #330761=CYLINDRICAL_SURFACE('',#374028,0.2); #330762=CYLINDRICAL_SURFACE('',#374030,0.22); #330763=CYLINDRICAL_SURFACE('',#374032,0.08); #330764=CYLINDRICAL_SURFACE('',#374055,0.1); #330765=CYLINDRICAL_SURFACE('',#374057,0.2); #330766=CYLINDRICAL_SURFACE('',#374059,0.22); #330767=CYLINDRICAL_SURFACE('',#374061,0.08); #330768=CYLINDRICAL_SURFACE('',#374084,0.1); #330769=CYLINDRICAL_SURFACE('',#374086,0.2); #330770=CYLINDRICAL_SURFACE('',#374088,0.22); #330771=CYLINDRICAL_SURFACE('',#374090,0.08); #330772=CYLINDRICAL_SURFACE('',#374113,0.1); #330773=CYLINDRICAL_SURFACE('',#374115,0.2); #330774=CYLINDRICAL_SURFACE('',#374117,0.22); #330775=CYLINDRICAL_SURFACE('',#374119,0.08); #330776=CYLINDRICAL_SURFACE('',#374128,0.099340716866279); #330777=CYLINDRICAL_SURFACE('',#374134,0.2); #330778=CYLINDRICAL_SURFACE('',#374138,0.07); #330779=CYLINDRICAL_SURFACE('',#374141,0.07); #330780=CYLINDRICAL_SURFACE('',#374145,0.0810588235294118); #330781=CYLINDRICAL_SURFACE('',#374149,0.0810588235294118); #330782=CYLINDRICAL_SURFACE('',#374159,0.0810588235294118); #330783=CYLINDRICAL_SURFACE('',#374163,0.0810588235294118); #330784=CYLINDRICAL_SURFACE('',#374167,0.07); #330785=CYLINDRICAL_SURFACE('',#374170,0.07); #330786=CYLINDRICAL_SURFACE('',#374174,0.2); #330787=CYLINDRICAL_SURFACE('',#374180,0.099340716866279); #330788=CYLINDRICAL_SURFACE('',#374227,0.15); #330789=CYLINDRICAL_SURFACE('',#374230,0.15); #330790=CYLINDRICAL_SURFACE('',#374308,0.15); #330791=CYLINDRICAL_SURFACE('',#374355,0.98); #330792=CYLINDRICAL_SURFACE('',#374358,0.98); #330793=CYLINDRICAL_SURFACE('',#374361,1.28); #330794=CYLINDRICAL_SURFACE('',#374364,1.28); #330795=CYLINDRICAL_SURFACE('',#374367,0.98); #330796=CYLINDRICAL_SURFACE('',#374370,1.28); #330797=CYLINDRICAL_SURFACE('',#374386,0.98); #330798=CYLINDRICAL_SURFACE('',#374388,0.98); #330799=CYLINDRICAL_SURFACE('',#374390,0.98); #330800=CYLINDRICAL_SURFACE('',#374413,1.28); #330801=CYLINDRICAL_SURFACE('',#374417,1.28); #330802=CYLINDRICAL_SURFACE('',#374418,1.28); #330803=CYLINDRICAL_SURFACE('',#374421,0.15); #330804=CYLINDRICAL_SURFACE('',#374422,0.15); #330805=CYLINDRICAL_SURFACE('',#374423,0.2); #330806=CYLINDRICAL_SURFACE('',#374428,0.203418496667774); #330807=CYLINDRICAL_SURFACE('',#374430,0.203418496667774); #330808=CYLINDRICAL_SURFACE('',#374433,0.2); #330809=CYLINDRICAL_SURFACE('',#374435,0.203418496667774); #330810=CYLINDRICAL_SURFACE('',#374437,0.203418496667774); #330811=CYLINDRICAL_SURFACE('',#374439,0.2); #330812=CYLINDRICAL_SURFACE('',#374440,0.2); #330813=CYLINDRICAL_SURFACE('',#374442,0.15); #330814=CYLINDRICAL_SURFACE('',#374444,0.2); #330815=CYLINDRICAL_SURFACE('',#374446,0.2); #330816=CYLINDRICAL_SURFACE('',#374449,0.15); #330817=CYLINDRICAL_SURFACE('',#374451,0.2); #330818=CYLINDRICAL_SURFACE('',#374453,0.2); #330819=CYLINDRICAL_SURFACE('',#374455,0.2); #330820=CYLINDRICAL_SURFACE('',#374457,0.2); #330821=CYLINDRICAL_SURFACE('',#374460,0.15); #330822=CYLINDRICAL_SURFACE('',#374462,0.2); #330823=CYLINDRICAL_SURFACE('',#374464,0.2); #330824=CYLINDRICAL_SURFACE('',#374466,0.156782950094636); #330825=CYLINDRICAL_SURFACE('',#374468,0.196634615384615); #330826=CYLINDRICAL_SURFACE('',#374470,0.196634615384615); #330827=CYLINDRICAL_SURFACE('',#374472,0.15678295009463); #330828=CYLINDRICAL_SURFACE('',#374474,0.1425625); #330829=CYLINDRICAL_SURFACE('',#374475,0.0999670307511854); #330830=CYLINDRICAL_SURFACE('',#374476,0.146360174065558); #330831=CYLINDRICAL_SURFACE('',#374478,0.15); #330832=CYLINDRICAL_SURFACE('',#374480,0.200511249999998); #330833=CYLINDRICAL_SURFACE('',#374481,0.200511249999998); #330834=CYLINDRICAL_SURFACE('',#374485,0.143940075739726); #330835=CYLINDRICAL_SURFACE('',#374487,0.199862831858373); #330836=CYLINDRICAL_SURFACE('',#374489,0.199862831858373); #330837=CYLINDRICAL_SURFACE('',#374491,0.143940075739726); #330838=CYLINDRICAL_SURFACE('',#374493,0.2); #330839=CYLINDRICAL_SURFACE('',#374494,0.2); #330840=CYLINDRICAL_SURFACE('',#374497,0.2); #330841=CYLINDRICAL_SURFACE('',#374498,0.2); #330842=CYLINDRICAL_SURFACE('',#374499,0.2); #330843=CYLINDRICAL_SURFACE('',#374531,0.410719203494586); #330844=CYLINDRICAL_SURFACE('',#374533,0.103126984126984); #330845=CYLINDRICAL_SURFACE('',#374535,0.103126984126984); #330846=CYLINDRICAL_SURFACE('',#374537,0.410719203494586); #330847=CYLINDRICAL_SURFACE('',#374538,0.110719203494586); #330848=CYLINDRICAL_SURFACE('',#374540,0.403126984126984); #330849=CYLINDRICAL_SURFACE('',#374542,0.403126984126984); #330850=CYLINDRICAL_SURFACE('',#374544,0.110719203494586); #330851=CYLINDRICAL_SURFACE('',#374567,0.410719203494586); #330852=CYLINDRICAL_SURFACE('',#374569,0.103126984126984); #330853=CYLINDRICAL_SURFACE('',#374571,0.103126984126984); #330854=CYLINDRICAL_SURFACE('',#374573,0.410719203494586); #330855=CYLINDRICAL_SURFACE('',#374574,0.110719203494586); #330856=CYLINDRICAL_SURFACE('',#374576,0.403126984126984); #330857=CYLINDRICAL_SURFACE('',#374578,0.403126984126984); #330858=CYLINDRICAL_SURFACE('',#374580,0.110719203494586); #330859=CYLINDRICAL_SURFACE('',#374582,0.124613333333333); #330860=CYLINDRICAL_SURFACE('',#374586,0.124613333333333); #330861=CYLINDRICAL_SURFACE('',#374591,0.15); #330862=CYLINDRICAL_SURFACE('',#374594,0.45); #330863=CYLINDRICAL_SURFACE('',#374595,0.15); #330864=CYLINDRICAL_SURFACE('',#374596,0.15); #330865=CYLINDRICAL_SURFACE('',#374600,0.15); #330866=CYLINDRICAL_SURFACE('',#374606,0.15); #330867=CYLINDRICAL_SURFACE('',#374616,0.15); #330868=CYLINDRICAL_SURFACE('',#374618,0.146360174065558); #330869=CYLINDRICAL_SURFACE('',#374619,0.0999670307511854); #330870=CYLINDRICAL_SURFACE('',#374620,0.1425625); #330871=CYLINDRICAL_SURFACE('',#374622,0.15678295009463); #330872=CYLINDRICAL_SURFACE('',#374624,0.196634615384615); #330873=CYLINDRICAL_SURFACE('',#374626,0.196634615384615); #330874=CYLINDRICAL_SURFACE('',#374628,0.156782950094636); #330875=CYLINDRICAL_SURFACE('',#374630,0.2); #330876=CYLINDRICAL_SURFACE('',#374631,0.2); #330877=CYLINDRICAL_SURFACE('',#374633,0.143940075739726); #330878=CYLINDRICAL_SURFACE('',#374635,0.199862831858373); #330879=CYLINDRICAL_SURFACE('',#374637,0.199862831858373); #330880=CYLINDRICAL_SURFACE('',#374639,0.143940075739726); #330881=CYLINDRICAL_SURFACE('',#374643,0.200511249999998); #330882=CYLINDRICAL_SURFACE('',#374644,0.200511249999998); #330883=CYLINDRICAL_SURFACE('',#374646,0.2); #330884=CYLINDRICAL_SURFACE('',#374647,0.2); #330885=CYLINDRICAL_SURFACE('',#374648,0.2); #330886=CYLINDRICAL_SURFACE('',#374671,0.410719203494586); #330887=CYLINDRICAL_SURFACE('',#374673,0.103126984126984); #330888=CYLINDRICAL_SURFACE('',#374675,0.103126984126984); #330889=CYLINDRICAL_SURFACE('',#374677,0.410719203494586); #330890=CYLINDRICAL_SURFACE('',#374678,0.110719203494586); #330891=CYLINDRICAL_SURFACE('',#374680,0.403126984126984); #330892=CYLINDRICAL_SURFACE('',#374682,0.403126984126984); #330893=CYLINDRICAL_SURFACE('',#374684,0.110719203494586); #330894=CYLINDRICAL_SURFACE('',#374707,0.410719203494586); #330895=CYLINDRICAL_SURFACE('',#374709,0.103126984126984); #330896=CYLINDRICAL_SURFACE('',#374711,0.103126984126984); #330897=CYLINDRICAL_SURFACE('',#374713,0.410719203494586); #330898=CYLINDRICAL_SURFACE('',#374714,0.110719203494586); #330899=CYLINDRICAL_SURFACE('',#374716,0.403126984126984); #330900=CYLINDRICAL_SURFACE('',#374718,0.403126984126984); #330901=CYLINDRICAL_SURFACE('',#374720,0.110719203494586); #330902=CYLINDRICAL_SURFACE('',#374721,0.124613333333333); #330903=CYLINDRICAL_SURFACE('',#374725,0.124613333333333); #330904=CYLINDRICAL_SURFACE('',#374729,0.15); #330905=CYLINDRICAL_SURFACE('',#374734,0.45); #330906=CYLINDRICAL_SURFACE('',#374735,0.15); #330907=CYLINDRICAL_SURFACE('',#374736,0.15); #330908=CYLINDRICAL_SURFACE('',#374739,0.15); #330909=CYLINDRICAL_SURFACE('',#374746,0.15); #330910=CYLINDRICAL_SURFACE('',#374755,0.2); #330911=CYLINDRICAL_SURFACE('',#374759,0.132018518518511); #330912=CYLINDRICAL_SURFACE('',#374762,0.148012635933508); #330913=CYLINDRICAL_SURFACE('',#374765,0.11087204124655); #330914=CYLINDRICAL_SURFACE('',#374769,0.11087204124655); #330915=CYLINDRICAL_SURFACE('',#374772,0.148012635933508); #330916=CYLINDRICAL_SURFACE('',#374775,0.132018518518511); #330917=CYLINDRICAL_SURFACE('',#374779,0.15); #330918=CYLINDRICAL_SURFACE('',#374783,0.15); #330919=CYLINDRICAL_SURFACE('',#374787,0.132018518518511); #330920=CYLINDRICAL_SURFACE('',#374790,0.148012635933508); #330921=CYLINDRICAL_SURFACE('',#374793,0.11087204124655); #330922=CYLINDRICAL_SURFACE('',#374797,0.11087204124655); #330923=CYLINDRICAL_SURFACE('',#374800,0.148012635933508); #330924=CYLINDRICAL_SURFACE('',#374803,0.132018518518511); #330925=CYLINDRICAL_SURFACE('',#374807,0.15); #330926=CYLINDRICAL_SURFACE('',#374811,0.15); #330927=CYLINDRICAL_SURFACE('',#374815,0.2); #330928=CYLINDRICAL_SURFACE('',#374823,0.2); #330929=CYLINDRICAL_SURFACE('',#374830,0.2); #330930=CYLINDRICAL_SURFACE('',#374836,0.15); #330931=CYLINDRICAL_SURFACE('',#374840,0.15); #330932=CYLINDRICAL_SURFACE('',#374843,0.148); #330933=CYLINDRICAL_SURFACE('',#374846,0.292004733994588); #330934=CYLINDRICAL_SURFACE('',#374849,1.15304609186865); #330935=CYLINDRICAL_SURFACE('',#374852,0.45); #330936=CYLINDRICAL_SURFACE('',#374855,0.14737794976545); #330937=CYLINDRICAL_SURFACE('',#374859,0.14737794976545); #330938=CYLINDRICAL_SURFACE('',#374863,0.144226016739943); #330939=CYLINDRICAL_SURFACE('',#374866,0.176676870506421); #330940=CYLINDRICAL_SURFACE('',#374872,0.176676870506421); #330941=CYLINDRICAL_SURFACE('',#374875,0.144226016739943); #330942=CYLINDRICAL_SURFACE('',#374879,0.144226016739943); #330943=CYLINDRICAL_SURFACE('',#374882,0.176676870506421); #330944=CYLINDRICAL_SURFACE('',#374888,0.176676870506421); #330945=CYLINDRICAL_SURFACE('',#374891,0.144226016739943); #330946=CYLINDRICAL_SURFACE('',#374895,0.14737794976545); #330947=CYLINDRICAL_SURFACE('',#374899,0.14737794976545); #330948=CYLINDRICAL_SURFACE('',#374904,0.45); #330949=CYLINDRICAL_SURFACE('',#374905,1.15304609186865); #330950=CYLINDRICAL_SURFACE('',#374908,0.292004733994588); #330951=CYLINDRICAL_SURFACE('',#374911,0.148); #330952=CYLINDRICAL_SURFACE('',#374916,0.15); #330953=CYLINDRICAL_SURFACE('',#374919,0.15); #330954=CYLINDRICAL_SURFACE('',#374928,0.15); #330955=CYLINDRICAL_SURFACE('',#374932,0.15); #330956=CYLINDRICAL_SURFACE('',#374941,0.45); #330957=CYLINDRICAL_SURFACE('',#374942,0.15); #330958=CYLINDRICAL_SURFACE('',#374948,0.45); #330959=CYLINDRICAL_SURFACE('',#374949,0.15); #330960=CYLINDRICAL_SURFACE('',#374950,0.14); #330961=CYLINDRICAL_SURFACE('',#374952,0.14); #330962=CYLINDRICAL_SURFACE('',#374954,0.14); #330963=CYLINDRICAL_SURFACE('',#374956,0.14); #330964=CYLINDRICAL_SURFACE('',#374957,0.14); #330965=CYLINDRICAL_SURFACE('',#374959,0.14); #330966=CYLINDRICAL_SURFACE('',#374961,0.14); #330967=CYLINDRICAL_SURFACE('',#374963,0.14); #330968=CYLINDRICAL_SURFACE('',#374964,0.15); #330969=CYLINDRICAL_SURFACE('',#374966,0.13); #330970=CYLINDRICAL_SURFACE('',#374968,0.13); #330971=CYLINDRICAL_SURFACE('',#374970,0.15); #330972=CYLINDRICAL_SURFACE('',#374971,0.15); #330973=CYLINDRICAL_SURFACE('',#374973,0.13); #330974=CYLINDRICAL_SURFACE('',#374975,0.13); #330975=CYLINDRICAL_SURFACE('',#374977,0.15); #330976=CYLINDRICAL_SURFACE('',#374997,0.1); #330977=CYLINDRICAL_SURFACE('',#374999,0.2); #330978=CYLINDRICAL_SURFACE('',#375001,0.22); #330979=CYLINDRICAL_SURFACE('',#375003,0.08); #330980=CYLINDRICAL_SURFACE('',#375064,0.999999985477); #330981=CYLINDRICAL_SURFACE('',#375067,0.999999985477); #330982=CYLINDRICAL_SURFACE('',#375115,0.499999992739); #330983=CYLINDRICAL_SURFACE('',#375116,0.499999992739); #330984=CYLINDRICAL_SURFACE('',#375144,0.04); #330985=CYLINDRICAL_SURFACE('',#375153,0.04); #330986=CYLINDRICAL_SURFACE('',#375154,0.04); #330987=CYLINDRICAL_SURFACE('',#375159,0.04); #330988=CYLINDRICAL_SURFACE('',#375160,0.04); #330989=CYLINDRICAL_SURFACE('',#375161,0.04); #330990=CYLINDRICAL_SURFACE('',#375162,0.04); #330991=CYLINDRICAL_SURFACE('',#375163,0.04); #330992=CYLINDRICAL_SURFACE('',#375164,0.04); #330993=CYLINDRICAL_SURFACE('',#375165,0.04); #330994=CYLINDRICAL_SURFACE('',#375166,0.04); #330995=CYLINDRICAL_SURFACE('',#375167,0.04); #330996=CYLINDRICAL_SURFACE('',#375194,0.04); #330997=CYLINDRICAL_SURFACE('',#375203,0.04); #330998=CYLINDRICAL_SURFACE('',#375204,0.04); #330999=CYLINDRICAL_SURFACE('',#375209,0.04); #331000=CYLINDRICAL_SURFACE('',#375210,0.04); #331001=CYLINDRICAL_SURFACE('',#375211,0.04); #331002=CYLINDRICAL_SURFACE('',#375212,0.04); #331003=CYLINDRICAL_SURFACE('',#375213,0.04); #331004=CYLINDRICAL_SURFACE('',#375214,0.04); #331005=CYLINDRICAL_SURFACE('',#375215,0.04); #331006=CYLINDRICAL_SURFACE('',#375216,0.04); #331007=CYLINDRICAL_SURFACE('',#375217,0.04); #331008=CYLINDRICAL_SURFACE('',#375275,0.032); #331009=CYLINDRICAL_SURFACE('',#375284,0.032); #331010=CYLINDRICAL_SURFACE('',#375285,0.032); #331011=CYLINDRICAL_SURFACE('',#375290,0.032); #331012=CYLINDRICAL_SURFACE('',#375291,0.032); #331013=CYLINDRICAL_SURFACE('',#375292,0.032); #331014=CYLINDRICAL_SURFACE('',#375293,0.032); #331015=CYLINDRICAL_SURFACE('',#375294,0.032); #331016=CYLINDRICAL_SURFACE('',#375295,0.032); #331017=CYLINDRICAL_SURFACE('',#375296,0.032); #331018=CYLINDRICAL_SURFACE('',#375297,0.032); #331019=CYLINDRICAL_SURFACE('',#375298,0.032); #331020=CYLINDRICAL_SURFACE('',#375325,0.032); #331021=CYLINDRICAL_SURFACE('',#375334,0.032); #331022=CYLINDRICAL_SURFACE('',#375335,0.032); #331023=CYLINDRICAL_SURFACE('',#375340,0.032); #331024=CYLINDRICAL_SURFACE('',#375341,0.032); #331025=CYLINDRICAL_SURFACE('',#375342,0.032); #331026=CYLINDRICAL_SURFACE('',#375343,0.032); #331027=CYLINDRICAL_SURFACE('',#375344,0.032); #331028=CYLINDRICAL_SURFACE('',#375345,0.032); #331029=CYLINDRICAL_SURFACE('',#375346,0.032); #331030=CYLINDRICAL_SURFACE('',#375347,0.032); #331031=CYLINDRICAL_SURFACE('',#375348,0.032); #331032=CYLINDRICAL_SURFACE('',#375384,0.04); #331033=CYLINDRICAL_SURFACE('',#375393,0.04); #331034=CYLINDRICAL_SURFACE('',#375394,0.04); #331035=CYLINDRICAL_SURFACE('',#375399,0.04); #331036=CYLINDRICAL_SURFACE('',#375400,0.04); #331037=CYLINDRICAL_SURFACE('',#375401,0.04); #331038=CYLINDRICAL_SURFACE('',#375402,0.04); #331039=CYLINDRICAL_SURFACE('',#375403,0.04); #331040=CYLINDRICAL_SURFACE('',#375404,0.04); #331041=CYLINDRICAL_SURFACE('',#375405,0.04); #331042=CYLINDRICAL_SURFACE('',#375406,0.04); #331043=CYLINDRICAL_SURFACE('',#375407,0.04); #331044=CYLINDRICAL_SURFACE('',#375434,0.04); #331045=CYLINDRICAL_SURFACE('',#375443,0.04); #331046=CYLINDRICAL_SURFACE('',#375444,0.04); #331047=CYLINDRICAL_SURFACE('',#375449,0.04); #331048=CYLINDRICAL_SURFACE('',#375450,0.04); #331049=CYLINDRICAL_SURFACE('',#375451,0.04); #331050=CYLINDRICAL_SURFACE('',#375452,0.04); #331051=CYLINDRICAL_SURFACE('',#375453,0.04); #331052=CYLINDRICAL_SURFACE('',#375454,0.04); #331053=CYLINDRICAL_SURFACE('',#375455,0.04); #331054=CYLINDRICAL_SURFACE('',#375456,0.04); #331055=CYLINDRICAL_SURFACE('',#375457,0.04); #331056=CYLINDRICAL_SURFACE('',#375473,3.11666666666667); #331057=CYLINDRICAL_SURFACE('',#375482,4.25); #331058=CYLINDRICAL_SURFACE('',#375493,4.25); #331059=CYLINDRICAL_SURFACE('',#375517,4.27); #331060=CYLINDRICAL_SURFACE('',#375527,4.25); #331061=CYLINDRICAL_SURFACE('',#375538,4.25); #331062=CYLINDRICAL_SURFACE('',#375548,4.27); #331063=CYLINDRICAL_SURFACE('',#375553,0.325); #331064=CYLINDRICAL_SURFACE('',#375558,0.325); #331065=CYLINDRICAL_SURFACE('',#375567,4.2715); #331066=CYLINDRICAL_SURFACE('',#375571,4.2705); #331067=CYLINDRICAL_SURFACE('',#375577,4.2715); #331068=CYLINDRICAL_SURFACE('',#375581,4.2705); #331069=CYLINDRICAL_SURFACE('',#375587,4.2715); #331070=CYLINDRICAL_SURFACE('',#375591,4.2705); #331071=CYLINDRICAL_SURFACE('',#375597,4.2715); #331072=CYLINDRICAL_SURFACE('',#375601,4.2705); #331073=CYLINDRICAL_SURFACE('',#375607,4.2715); #331074=CYLINDRICAL_SURFACE('',#375611,4.2705); #331075=CYLINDRICAL_SURFACE('',#375617,4.2715); #331076=CYLINDRICAL_SURFACE('',#375621,4.2705); #331077=CYLINDRICAL_SURFACE('',#375627,4.2715); #331078=CYLINDRICAL_SURFACE('',#375631,4.2705); #331079=CYLINDRICAL_SURFACE('',#375637,4.2715); #331080=CYLINDRICAL_SURFACE('',#375641,4.2705); #331081=CYLINDRICAL_SURFACE('',#375647,4.2715); #331082=CYLINDRICAL_SURFACE('',#375651,4.2705); #331083=CYLINDRICAL_SURFACE('',#375656,0.0750000000000001); #331084=CYLINDRICAL_SURFACE('',#375678,0.175); #331085=CYLINDRICAL_SURFACE('',#375682,0.175); #331086=CYLINDRICAL_SURFACE('',#375690,0.175); #331087=CYLINDRICAL_SURFACE('',#375694,0.175); #331088=CYLINDRICAL_SURFACE('',#375702,0.175); #331089=CYLINDRICAL_SURFACE('',#375706,0.175); #331090=CYLINDRICAL_SURFACE('',#375714,0.175); #331091=CYLINDRICAL_SURFACE('',#375718,0.175); #331092=CYLINDRICAL_SURFACE('',#375726,0.175); #331093=CYLINDRICAL_SURFACE('',#375730,0.175); #331094=CYLINDRICAL_SURFACE('',#375738,0.175); #331095=CYLINDRICAL_SURFACE('',#375742,0.175); #331096=CYLINDRICAL_SURFACE('',#375750,0.175); #331097=CYLINDRICAL_SURFACE('',#375754,0.175); #331098=CYLINDRICAL_SURFACE('',#375762,0.175); #331099=CYLINDRICAL_SURFACE('',#375766,0.175); #331100=CYLINDRICAL_SURFACE('',#375823,0.0750000000000001); #331101=CYLINDRICAL_SURFACE('',#375845,0.25); #331102=CYLINDRICAL_SURFACE('',#375849,0.25); #331103=CYLINDRICAL_SURFACE('',#375857,0.25); #331104=CYLINDRICAL_SURFACE('',#375861,0.25); #331105=CYLINDRICAL_SURFACE('',#375869,0.25); #331106=CYLINDRICAL_SURFACE('',#375873,0.25); #331107=CYLINDRICAL_SURFACE('',#375881,0.25); #331108=CYLINDRICAL_SURFACE('',#375885,0.25); #331109=CYLINDRICAL_SURFACE('',#375893,0.25); #331110=CYLINDRICAL_SURFACE('',#375897,0.25); #331111=CYLINDRICAL_SURFACE('',#375905,0.25); #331112=CYLINDRICAL_SURFACE('',#375909,0.25); #331113=CYLINDRICAL_SURFACE('',#375917,0.25); #331114=CYLINDRICAL_SURFACE('',#375921,0.25); #331115=CYLINDRICAL_SURFACE('',#375929,0.25); #331116=CYLINDRICAL_SURFACE('',#375933,0.25); #331117=CYLINDRICAL_SURFACE('',#376027,3.595); #331118=CYLINDRICAL_SURFACE('',#376030,5.76); #331119=CYLINDRICAL_SURFACE('',#376035,3.5); #331120=CYLINDRICAL_SURFACE('',#376036,3.5); #331121=CYLINDRICAL_SURFACE('',#376037,3.5); #331122=CYLINDRICAL_SURFACE('',#376039,3.14155); #331123=CYLINDRICAL_SURFACE('',#376041,3.14155); #331124=CYLINDRICAL_SURFACE('',#376042,3.14155); #331125=CYLINDRICAL_SURFACE('',#376043,3.14155); #331126=CYLINDRICAL_SURFACE('',#376044,3.5); #331127=CYLINDRICAL_SURFACE('',#376052,3.454); #331128=CYLINDRICAL_SURFACE('',#376054,3.454); #331129=CYLINDRICAL_SURFACE('',#376055,3.454); #331130=CYLINDRICAL_SURFACE('',#376056,3.454); #331131=CYLINDRICAL_SURFACE('',#376057,3.454); #331132=CYLINDRICAL_SURFACE('',#376058,3.454); #331133=CYLINDRICAL_SURFACE('',#376059,3.454); #331134=CYLINDRICAL_SURFACE('',#376060,3.02375); #331135=CYLINDRICAL_SURFACE('',#376062,3.02375); #331136=CYLINDRICAL_SURFACE('',#376064,3.02375); #331137=CYLINDRICAL_SURFACE('',#376065,3.02375); #331138=CYLINDRICAL_SURFACE('',#376066,3.02375); #331139=CYLINDRICAL_SURFACE('',#376067,3.02375); #331140=CYLINDRICAL_SURFACE('',#376068,3.02375); #331141=CYLINDRICAL_SURFACE('',#376195,3.); #331142=CYLINDRICAL_SURFACE('',#376199,0.150000000181); #331143=CYLINDRICAL_SURFACE('',#376202,0.400000000483); #331144=CYLINDRICAL_SURFACE('',#376214,0.1); #331145=CYLINDRICAL_SURFACE('',#376217,0.1); #331146=CYLINDRICAL_SURFACE('',#376219,0.3); #331147=CYLINDRICAL_SURFACE('',#376224,0.3); #331148=CYLINDRICAL_SURFACE('',#376237,0.3); #331149=CYLINDRICAL_SURFACE('',#376239,0.3); #331150=CYLINDRICAL_SURFACE('',#376240,0.1); #331151=CYLINDRICAL_SURFACE('',#376242,0.1); #331152=CYLINDRICAL_SURFACE('',#376248,0.400000000483); #331153=CYLINDRICAL_SURFACE('',#376251,0.150000000181); #331154=CYLINDRICAL_SURFACE('',#376261,0.3); #331155=CYLINDRICAL_SURFACE('',#376268,0.3); #331156=CYLINDRICAL_SURFACE('',#376269,0.1); #331157=CYLINDRICAL_SURFACE('',#376271,0.1); #331158=CYLINDRICAL_SURFACE('',#376278,0.150000000181); #331159=CYLINDRICAL_SURFACE('',#376281,0.400000000483); #331160=CYLINDRICAL_SURFACE('',#376293,0.1); #331161=CYLINDRICAL_SURFACE('',#376296,0.1); #331162=CYLINDRICAL_SURFACE('',#376298,0.3); #331163=CYLINDRICAL_SURFACE('',#376303,0.3); #331164=CYLINDRICAL_SURFACE('',#376320,0.1); #331165=CYLINDRICAL_SURFACE('',#376322,0.1); #331166=CYLINDRICAL_SURFACE('',#376323,0.3); #331167=CYLINDRICAL_SURFACE('',#376325,0.3); #331168=CYLINDRICAL_SURFACE('',#376341,3.02375); #331169=CYLINDRICAL_SURFACE('',#376343,3.454); #331170=CYLINDRICAL_SURFACE('',#376359,4.5); #331171=CYLINDRICAL_SURFACE('',#376369,4.5); #331172=CYLINDRICAL_SURFACE('',#376372,4.5); #331173=CYLINDRICAL_SURFACE('',#376379,4.5); #331174=CYLINDRICAL_SURFACE('',#376383,5.000000006042); #331175=CYLINDRICAL_SURFACE('',#376400,0.150000000181); #331176=CYLINDRICAL_SURFACE('',#376404,0.150000000181); #331177=CYLINDRICAL_SURFACE('',#376413,0.150000000181); #331178=CYLINDRICAL_SURFACE('',#376416,0.150000000181); #331179=CYLINDRICAL_SURFACE('',#376422,0.3); #331180=CYLINDRICAL_SURFACE('',#376426,0.3); #331181=CYLINDRICAL_SURFACE('',#376430,0.3); #331182=CYLINDRICAL_SURFACE('',#376432,0.3); #331183=CYLINDRICAL_SURFACE('',#376435,0.3); #331184=CYLINDRICAL_SURFACE('',#376439,0.3); #331185=CYLINDRICAL_SURFACE('',#376443,0.3); #331186=CYLINDRICAL_SURFACE('',#376447,0.3); #331187=CYLINDRICAL_SURFACE('',#376450,0.3); #331188=CYLINDRICAL_SURFACE('',#376464,0.3); #331189=CYLINDRICAL_SURFACE('',#376466,0.3); #331190=CYLINDRICAL_SURFACE('',#376468,0.3); #331191=CYLINDRICAL_SURFACE('',#376479,0.150000000181); #331192=CYLINDRICAL_SURFACE('',#376482,0.350000000423); #331193=CYLINDRICAL_SURFACE('',#376491,0.3); #331194=CYLINDRICAL_SURFACE('',#376496,0.3); #331195=CYLINDRICAL_SURFACE('',#376501,0.150000000181); #331196=CYLINDRICAL_SURFACE('',#376504,0.350000000423); #331197=CYLINDRICAL_SURFACE('',#376513,0.3); #331198=CYLINDRICAL_SURFACE('',#376518,0.3); #331199=CYLINDRICAL_SURFACE('',#376524,0.3); #331200=CYLINDRICAL_SURFACE('',#376528,0.3); #331201=CYLINDRICAL_SURFACE('',#376534,0.3); #331202=CYLINDRICAL_SURFACE('',#376538,0.3); #331203=CYLINDRICAL_SURFACE('',#376541,0.3); #331204=CYLINDRICAL_SURFACE('',#376549,0.3); #331205=CYLINDRICAL_SURFACE('',#376550,0.3); #331206=CYLINDRICAL_SURFACE('',#376556,0.3); #331207=CYLINDRICAL_SURFACE('',#376557,0.150000000181); #331208=CYLINDRICAL_SURFACE('',#376559,0.350000000423); #331209=CYLINDRICAL_SURFACE('',#376563,0.350000000423); #331210=CYLINDRICAL_SURFACE('',#376565,0.150000000181); #331211=CYLINDRICAL_SURFACE('',#376571,0.300000000363); #331212=CYLINDRICAL_SURFACE('',#376572,0.300000000363); #331213=CYLINDRICAL_SURFACE('',#376573,0.300000000363); #331214=CYLINDRICAL_SURFACE('',#376574,0.300000000363); #331215=CYLINDRICAL_SURFACE('',#376575,0.300000000363); #331216=CYLINDRICAL_SURFACE('',#376578,0.300000000363); #331217=CYLINDRICAL_SURFACE('',#376587,0.350000000423); #331218=CYLINDRICAL_SURFACE('',#376590,0.150000000181); #331219=CYLINDRICAL_SURFACE('',#376594,0.350000000423); #331220=CYLINDRICAL_SURFACE('',#376596,0.150000000181); #331221=CYLINDRICAL_SURFACE('',#376604,0.150000000181); #331222=CYLINDRICAL_SURFACE('',#376606,0.350000000423); #331223=CYLINDRICAL_SURFACE('',#376618,0.300000000363); #331224=CYLINDRICAL_SURFACE('',#376621,0.300000000363); #331225=CYLINDRICAL_SURFACE('',#376630,0.350000000423); #331226=CYLINDRICAL_SURFACE('',#376633,0.150000000181); #331227=CYLINDRICAL_SURFACE('',#376637,0.350000000423); #331228=CYLINDRICAL_SURFACE('',#376644,0.150000000181); #331229=CYLINDRICAL_SURFACE('',#376656,0.350000000423); #331230=CYLINDRICAL_SURFACE('',#376660,0.150000000181); #331231=CYLINDRICAL_SURFACE('',#376664,0.15); #331232=CYLINDRICAL_SURFACE('',#376680,0.15); #331233=CYLINDRICAL_SURFACE('',#376690,0.15); #331234=CYLINDRICAL_SURFACE('',#376700,0.15); #331235=CYLINDRICAL_SURFACE('',#376710,0.15); #331236=CYLINDRICAL_SURFACE('',#376720,0.15); #331237=CYLINDRICAL_SURFACE('',#376730,0.15); #331238=CYLINDRICAL_SURFACE('',#376740,0.15); #331239=CYLINDRICAL_SURFACE('',#376750,0.15); #331240=CYLINDRICAL_SURFACE('',#376760,0.15); #331241=CYLINDRICAL_SURFACE('',#376770,0.15); #331242=CYLINDRICAL_SURFACE('',#376780,0.15); #331243=CYLINDRICAL_SURFACE('',#376790,0.15); #331244=CYLINDRICAL_SURFACE('',#376800,0.15); #331245=CYLINDRICAL_SURFACE('',#376810,0.15); #331246=CYLINDRICAL_SURFACE('',#376820,0.15); #331247=CYLINDRICAL_SURFACE('',#376830,0.15); #331248=CYLINDRICAL_SURFACE('',#376840,0.15); #331249=CYLINDRICAL_SURFACE('',#376850,0.15); #331250=CYLINDRICAL_SURFACE('',#376860,0.15); #331251=CYLINDRICAL_SURFACE('',#376870,0.15); #331252=CYLINDRICAL_SURFACE('',#376880,0.15); #331253=CYLINDRICAL_SURFACE('',#376890,0.15); #331254=CYLINDRICAL_SURFACE('',#376900,0.15); #331255=CYLINDRICAL_SURFACE('',#376910,0.15); #331256=CYLINDRICAL_SURFACE('',#376920,0.15); #331257=CYLINDRICAL_SURFACE('',#376930,0.15); #331258=CYLINDRICAL_SURFACE('',#376940,0.15); #331259=CYLINDRICAL_SURFACE('',#376950,0.15); #331260=CYLINDRICAL_SURFACE('',#376960,0.15); #331261=CYLINDRICAL_SURFACE('',#376970,0.15); #331262=CYLINDRICAL_SURFACE('',#376980,0.15); #331263=CYLINDRICAL_SURFACE('',#376990,0.15); #331264=CYLINDRICAL_SURFACE('',#377000,0.15); #331265=CYLINDRICAL_SURFACE('',#377010,0.15); #331266=CYLINDRICAL_SURFACE('',#377020,0.15); #331267=CYLINDRICAL_SURFACE('',#377030,0.15); #331268=CYLINDRICAL_SURFACE('',#377040,0.15); #331269=CYLINDRICAL_SURFACE('',#377050,0.15); #331270=CYLINDRICAL_SURFACE('',#377060,0.15); #331271=CYLINDRICAL_SURFACE('',#377070,0.15); #331272=CYLINDRICAL_SURFACE('',#377080,0.15); #331273=CYLINDRICAL_SURFACE('',#377090,0.15); #331274=CYLINDRICAL_SURFACE('',#377100,0.15); #331275=CYLINDRICAL_SURFACE('',#377110,0.15); #331276=CYLINDRICAL_SURFACE('',#377120,0.15); #331277=CYLINDRICAL_SURFACE('',#377130,0.15); #331278=CYLINDRICAL_SURFACE('',#377140,0.15); #331279=CYLINDRICAL_SURFACE('',#377150,0.15); #331280=CYLINDRICAL_SURFACE('',#377187,0.04); #331281=CYLINDRICAL_SURFACE('',#377196,0.04); #331282=CYLINDRICAL_SURFACE('',#377197,0.04); #331283=CYLINDRICAL_SURFACE('',#377202,0.04); #331284=CYLINDRICAL_SURFACE('',#377203,0.04); #331285=CYLINDRICAL_SURFACE('',#377204,0.04); #331286=CYLINDRICAL_SURFACE('',#377205,0.04); #331287=CYLINDRICAL_SURFACE('',#377206,0.04); #331288=CYLINDRICAL_SURFACE('',#377207,0.04); #331289=CYLINDRICAL_SURFACE('',#377208,0.04); #331290=CYLINDRICAL_SURFACE('',#377209,0.04); #331291=CYLINDRICAL_SURFACE('',#377210,0.04); #331292=CYLINDRICAL_SURFACE('',#377237,0.04); #331293=CYLINDRICAL_SURFACE('',#377246,0.04); #331294=CYLINDRICAL_SURFACE('',#377247,0.04); #331295=CYLINDRICAL_SURFACE('',#377252,0.04); #331296=CYLINDRICAL_SURFACE('',#377253,0.04); #331297=CYLINDRICAL_SURFACE('',#377254,0.04); #331298=CYLINDRICAL_SURFACE('',#377255,0.04); #331299=CYLINDRICAL_SURFACE('',#377256,0.04); #331300=CYLINDRICAL_SURFACE('',#377257,0.04); #331301=CYLINDRICAL_SURFACE('',#377258,0.04); #331302=CYLINDRICAL_SURFACE('',#377259,0.04); #331303=CYLINDRICAL_SURFACE('',#377260,0.04); #331304=CYLINDRICAL_SURFACE('',#377319,0.1995); #331305=CYLINDRICAL_SURFACE('',#377343,0.0499999999991504); #331306=CYLINDRICAL_SURFACE('',#377348,0.200000000000034); #331307=CYLINDRICAL_SURFACE('',#377351,0.0500000000000014); #331308=CYLINDRICAL_SURFACE('',#377356,0.200000000000001); #331309=CYLINDRICAL_SURFACE('',#377365,0.0499999999991504); #331310=CYLINDRICAL_SURFACE('',#377370,0.200000000000034); #331311=CYLINDRICAL_SURFACE('',#377373,0.0500000000000014); #331312=CYLINDRICAL_SURFACE('',#377378,0.200000000000001); #331313=CYLINDRICAL_SURFACE('',#377387,0.0499999999991504); #331314=CYLINDRICAL_SURFACE('',#377392,0.200000000000034); #331315=CYLINDRICAL_SURFACE('',#377395,0.0500000000000014); #331316=CYLINDRICAL_SURFACE('',#377400,0.200000000000001); #331317=CYLINDRICAL_SURFACE('',#377409,0.0499999999991504); #331318=CYLINDRICAL_SURFACE('',#377414,0.200000000000034); #331319=CYLINDRICAL_SURFACE('',#377417,0.0500000000000014); #331320=CYLINDRICAL_SURFACE('',#377422,0.200000000000001); #331321=CYLINDRICAL_SURFACE('',#377431,0.0499999999991504); #331322=CYLINDRICAL_SURFACE('',#377436,0.200000000000034); #331323=CYLINDRICAL_SURFACE('',#377439,0.0500000000000014); #331324=CYLINDRICAL_SURFACE('',#377444,0.200000000000001); #331325=CYLINDRICAL_SURFACE('',#377453,0.0499999999991504); #331326=CYLINDRICAL_SURFACE('',#377458,0.200000000000034); #331327=CYLINDRICAL_SURFACE('',#377461,0.0500000000000014); #331328=CYLINDRICAL_SURFACE('',#377466,0.200000000000001); #331329=CYLINDRICAL_SURFACE('',#377475,0.0499999999991504); #331330=CYLINDRICAL_SURFACE('',#377480,0.200000000000034); #331331=CYLINDRICAL_SURFACE('',#377483,0.0500000000000014); #331332=CYLINDRICAL_SURFACE('',#377488,0.200000000000001); #331333=CYLINDRICAL_SURFACE('',#377497,0.0499999999991504); #331334=CYLINDRICAL_SURFACE('',#377502,0.200000000000034); #331335=CYLINDRICAL_SURFACE('',#377505,0.0500000000000014); #331336=CYLINDRICAL_SURFACE('',#377510,0.200000000000001); #331337=CYLINDRICAL_SURFACE('',#377516,0.085); #331338=CYLINDRICAL_SURFACE('',#377542,0.0325); #331339=CYLINDRICAL_SURFACE('',#377545,0.13); #331340=CYLINDRICAL_SURFACE('',#377550,0.0325); #331341=CYLINDRICAL_SURFACE('',#377553,0.13); #331342=CYLINDRICAL_SURFACE('',#377564,0.0325); #331343=CYLINDRICAL_SURFACE('',#377567,0.13); #331344=CYLINDRICAL_SURFACE('',#377572,0.0325); #331345=CYLINDRICAL_SURFACE('',#377575,0.13); #331346=CYLINDRICAL_SURFACE('',#377586,0.0325); #331347=CYLINDRICAL_SURFACE('',#377589,0.13); #331348=CYLINDRICAL_SURFACE('',#377594,0.0325); #331349=CYLINDRICAL_SURFACE('',#377597,0.13); #331350=CYLINDRICAL_SURFACE('',#377608,0.0325); #331351=CYLINDRICAL_SURFACE('',#377611,0.13); #331352=CYLINDRICAL_SURFACE('',#377616,0.0325); #331353=CYLINDRICAL_SURFACE('',#377619,0.13); #331354=CYLINDRICAL_SURFACE('',#377630,0.0325); #331355=CYLINDRICAL_SURFACE('',#377633,0.13); #331356=CYLINDRICAL_SURFACE('',#377638,0.0325); #331357=CYLINDRICAL_SURFACE('',#377641,0.13); #331358=CYLINDRICAL_SURFACE('',#377667,0.04); #331359=CYLINDRICAL_SURFACE('',#377676,0.04); #331360=CYLINDRICAL_SURFACE('',#377677,0.04); #331361=CYLINDRICAL_SURFACE('',#377682,0.04); #331362=CYLINDRICAL_SURFACE('',#377683,0.04); #331363=CYLINDRICAL_SURFACE('',#377684,0.04); #331364=CYLINDRICAL_SURFACE('',#377685,0.04); #331365=CYLINDRICAL_SURFACE('',#377686,0.04); #331366=CYLINDRICAL_SURFACE('',#377687,0.04); #331367=CYLINDRICAL_SURFACE('',#377688,0.04); #331368=CYLINDRICAL_SURFACE('',#377689,0.04); #331369=CYLINDRICAL_SURFACE('',#377690,0.04); #331370=CYLINDRICAL_SURFACE('',#377717,0.04); #331371=CYLINDRICAL_SURFACE('',#377726,0.04); #331372=CYLINDRICAL_SURFACE('',#377727,0.04); #331373=CYLINDRICAL_SURFACE('',#377732,0.04); #331374=CYLINDRICAL_SURFACE('',#377733,0.04); #331375=CYLINDRICAL_SURFACE('',#377734,0.04); #331376=CYLINDRICAL_SURFACE('',#377735,0.04); #331377=CYLINDRICAL_SURFACE('',#377736,0.04); #331378=CYLINDRICAL_SURFACE('',#377737,0.04); #331379=CYLINDRICAL_SURFACE('',#377738,0.04); #331380=CYLINDRICAL_SURFACE('',#377739,0.04); #331381=CYLINDRICAL_SURFACE('',#377740,0.04); #331382=CYLINDRICAL_SURFACE('',#377830,1.); #331383=CYLINDRICAL_SURFACE('',#377833,1.); #331384=CYLINDRICAL_SURFACE('',#377851,1.); #331385=CYLINDRICAL_SURFACE('',#377854,1.); #331386=CYLINDRICAL_SURFACE('',#377872,1.); #331387=CYLINDRICAL_SURFACE('',#377875,1.); #331388=CYLINDRICAL_SURFACE('',#377906,28.); #331389=CYLINDRICAL_SURFACE('',#377912,34.25); #331390=CYLINDRICAL_SURFACE('',#377919,29.1); #331391=CYLINDRICAL_SURFACE('',#377929,26.5); #331392=CYLINDRICAL_SURFACE('',#377942,30.85); #331393=CYLINDRICAL_SURFACE('',#377947,30.85); #331394=CYLINDRICAL_SURFACE('',#377952,30.85); #331395=CYLINDRICAL_SURFACE('',#377967,35.2842712474619); #331396=CYLINDRICAL_SURFACE('',#377976,34.); #331397=CYLINDRICAL_SURFACE('',#377978,34.); #331398=CYLINDRICAL_SURFACE('',#377980,34.); #331399=CYLINDRICAL_SURFACE('',#377982,34.); #331400=CYLINDRICAL_SURFACE('',#377986,1.53); #331401=CYLINDRICAL_SURFACE('',#377990,1.53); #331402=CYLINDRICAL_SURFACE('',#377991,1.53); #331403=CYLINDRICAL_SURFACE('',#377995,1.53); #331404=CYLINDRICAL_SURFACE('',#377996,1.53); #331405=CYLINDRICAL_SURFACE('',#377998,1.53); #331406=CYLINDRICAL_SURFACE('',#377999,1.53); #331407=CYLINDRICAL_SURFACE('',#378001,1.53); #331408=CYLINDRICAL_SURFACE('',#378004,33.0000000149012); #331409=CYLINDRICAL_SURFACE('',#378027,34.2842712474619); #331410=CYLINDRICAL_SURFACE('',#378031,29.2000000149012); #331411=CYLINDRICAL_SURFACE('',#378040,31.0000000149012); #331412=CYLINDRICAL_SURFACE('',#378053,34.25); #331413=CYLINDRICAL_SURFACE('',#378054,35.2842712474619); #331414=CYLINDRICAL_SURFACE('',#378056,23.0000000149012); #331415=CYLINDRICAL_SURFACE('',#378064,23.0000000149012); #331416=CYLINDRICAL_SURFACE('',#378076,2.1); #331417=CYLINDRICAL_SURFACE('',#378080,2.1); #331418=CYLINDRICAL_SURFACE('',#378091,1.4); #331419=CYLINDRICAL_SURFACE('',#378095,1.4); #331420=CYLINDRICAL_SURFACE('',#378104,3.1); #331421=CYLINDRICAL_SURFACE('',#378108,3.1); #331422=CYLINDRICAL_SURFACE('',#378121,27.0000000149012); #331423=CYLINDRICAL_SURFACE('',#378123,29.0000000149012); #331424=CYLINDRICAL_SURFACE('',#378127,27.0000000149012); #331425=CYLINDRICAL_SURFACE('',#378129,29.0000000149012); #331426=CYLINDRICAL_SURFACE('',#378134,30.8000000149012); #331427=CYLINDRICAL_SURFACE('',#378136,30.8000000149012); #331428=CYLINDRICAL_SURFACE('',#378189,1.4); #331429=CYLINDRICAL_SURFACE('',#378191,1.4); #331430=CYLINDRICAL_SURFACE('',#378207,27.0000000149012); #331431=CYLINDRICAL_SURFACE('',#378215,35.2842712474619); #331432=CYLINDRICAL_SURFACE('',#378220,29.0000000149012); #331433=CYLINDRICAL_SURFACE('',#378223,29.0000000149012); #331434=CYLINDRICAL_SURFACE('',#378231,23.0000000149012); #331435=CYLINDRICAL_SURFACE('',#378237,23.0000000149012); #331436=CYLINDRICAL_SURFACE('',#378246,3.75); #331437=CYLINDRICAL_SURFACE('',#378250,32.8000000149012); #331438=CYLINDRICAL_SURFACE('',#378254,32.8000000149012); #331439=CYLINDRICAL_SURFACE('',#378289,33.7842712474619); #331440=CYLINDRICAL_SURFACE('',#378293,35.2842712474619); #331441=CYLINDRICAL_SURFACE('',#378296,25.8000000000002); #331442=CYLINDRICAL_SURFACE('',#378297,3.125); #331443=CYLINDRICAL_SURFACE('',#378302,3.125); #331444=CYLINDRICAL_SURFACE('',#378307,3.125); #331445=CYLINDRICAL_SURFACE('',#378312,3.125); #331446=ADVANCED_FACE('',(#22021),#327361,.T.); #331447=ADVANCED_FACE('',(#22022),#327362,.T.); #331448=ADVANCED_FACE('',(#22023),#8155,.F.); #331449=ADVANCED_FACE('',(#22024),#8156,.T.); #331450=ADVANCED_FACE('',(#22025),#8157,.F.); #331451=ADVANCED_FACE('',(#22026),#8158,.F.); #331452=ADVANCED_FACE('',(#22027),#8159,.T.); #331453=ADVANCED_FACE('',(#22028,#3596,#3597,#3598,#3599),#8160,.T.); #331454=ADVANCED_FACE('',(#22029),#8161,.F.); #331455=ADVANCED_FACE('',(#22030,#3600,#3601,#3602,#3603,#3604),#8162,.F.); #331456=ADVANCED_FACE('',(#22031),#8163,.T.); #331457=ADVANCED_FACE('',(#22032),#327363,.T.); #331458=ADVANCED_FACE('',(#22033),#8164,.T.); #331459=ADVANCED_FACE('',(#22034),#327364,.T.); #331460=ADVANCED_FACE('',(#22035),#8165,.F.); #331461=ADVANCED_FACE('',(#22036),#327365,.T.); #331462=ADVANCED_FACE('',(#22037),#8166,.F.); #331463=ADVANCED_FACE('',(#22038),#327366,.T.); #331464=ADVANCED_FACE('',(#22039),#8167,.T.); #331465=ADVANCED_FACE('',(#22040),#327367,.T.); #331466=ADVANCED_FACE('',(#22041),#8168,.F.); #331467=ADVANCED_FACE('',(#22042),#8169,.F.); #331468=ADVANCED_FACE('',(#22043),#327368,.F.); #331469=ADVANCED_FACE('',(#22044),#8170,.T.); #331470=ADVANCED_FACE('',(#22045),#8171,.T.); #331471=ADVANCED_FACE('',(#22046),#327369,.T.); #331472=ADVANCED_FACE('',(#22047),#8172,.F.); #331473=ADVANCED_FACE('',(#22048),#8173,.F.); #331474=ADVANCED_FACE('',(#22049),#327370,.T.); #331475=ADVANCED_FACE('',(#22050),#8174,.T.); #331476=ADVANCED_FACE('',(#22051),#327371,.T.); #331477=ADVANCED_FACE('',(#22052),#8175,.F.); #331478=ADVANCED_FACE('',(#22053),#327372,.T.); #331479=ADVANCED_FACE('',(#22054),#8176,.T.); #331480=ADVANCED_FACE('',(#22055),#327373,.T.); #331481=ADVANCED_FACE('',(#22056,#3605,#3606,#3607,#3608),#8177,.F.); #331482=ADVANCED_FACE('',(#22057),#8178,.T.); #331483=ADVANCED_FACE('',(#22058,#3609,#3610,#3611,#3612,#3613),#8179,.T.); #331484=ADVANCED_FACE('',(#22059),#8180,.F.); #331485=ADVANCED_FACE('',(#22060),#327374,.T.); #331486=ADVANCED_FACE('',(#22061),#327375,.F.); #331487=ADVANCED_FACE('',(#22062),#327376,.T.); #331488=ADVANCED_FACE('',(#22063),#8181,.T.); #331489=ADVANCED_FACE('',(#22064),#327377,.F.); #331490=ADVANCED_FACE('',(#22065),#8182,.F.); #331491=ADVANCED_FACE('',(#22066),#8183,.F.); #331492=ADVANCED_FACE('',(#22067),#327378,.T.); #331493=ADVANCED_FACE('',(#22068),#8184,.T.); #331494=ADVANCED_FACE('',(#22069),#327379,.T.); #331495=ADVANCED_FACE('',(#22070),#8185,.F.); #331496=ADVANCED_FACE('',(#22071),#327380,.T.); #331497=ADVANCED_FACE('',(#22072),#8186,.F.); #331498=ADVANCED_FACE('',(#22073),#327381,.T.); #331499=ADVANCED_FACE('',(#22074),#8187,.T.); #331500=ADVANCED_FACE('',(#22075),#327382,.T.); #331501=ADVANCED_FACE('',(#22076),#3470,.F.); #331502=ADVANCED_FACE('',(#22077),#327383,.T.); #331503=ADVANCED_FACE('',(#22078),#8188,.T.); #331504=ADVANCED_FACE('',(#22079),#3471,.F.); #331505=ADVANCED_FACE('',(#22080),#327384,.T.); #331506=ADVANCED_FACE('',(#22081),#8189,.T.); #331507=ADVANCED_FACE('',(#22082),#3472,.F.); #331508=ADVANCED_FACE('',(#22083),#327385,.T.); #331509=ADVANCED_FACE('',(#22084),#8190,.F.); #331510=ADVANCED_FACE('',(#22085),#3473,.F.); #331511=ADVANCED_FACE('',(#22086),#327386,.T.); #331512=ADVANCED_FACE('',(#22087),#8191,.F.); #331513=ADVANCED_FACE('',(#22088),#327387,.F.); #331514=ADVANCED_FACE('',(#22089),#3255,.T.); #331515=ADVANCED_FACE('',(#22090),#3256,.T.); #331516=ADVANCED_FACE('',(#22091),#3474,.T.); #331517=ADVANCED_FACE('',(#22092),#327388,.T.); #331518=ADVANCED_FACE('',(#22093),#8192,.T.); #331519=ADVANCED_FACE('',(#22094),#8193,.T.); #331520=ADVANCED_FACE('',(#22095),#3257,.F.); #331521=ADVANCED_FACE('',(#22096),#3258,.F.); #331522=ADVANCED_FACE('',(#22097),#3259,.F.); #331523=ADVANCED_FACE('',(#22098),#3260,.F.); #331524=ADVANCED_FACE('',(#22099),#3261,.F.); #331525=ADVANCED_FACE('',(#22100),#3262,.F.); #331526=ADVANCED_FACE('',(#22101),#3263,.F.); #331527=ADVANCED_FACE('',(#22102),#3264,.F.); #331528=ADVANCED_FACE('',(#22103),#3475,.T.); #331529=ADVANCED_FACE('',(#22104),#3265,.F.); #331530=ADVANCED_FACE('',(#22105),#3266,.F.); #331531=ADVANCED_FACE('',(#22106),#3267,.F.); #331532=ADVANCED_FACE('',(#22107),#3268,.F.); #331533=ADVANCED_FACE('',(#22108),#3269,.F.); #331534=ADVANCED_FACE('',(#22109),#3270,.F.); #331535=ADVANCED_FACE('',(#22110),#3271,.F.); #331536=ADVANCED_FACE('',(#22111),#3272,.F.); #331537=ADVANCED_FACE('',(#22112),#3273,.T.); #331538=ADVANCED_FACE('',(#22113),#3274,.F.); #331539=ADVANCED_FACE('',(#22114),#3275,.T.); #331540=ADVANCED_FACE('',(#22115),#3476,.F.); #331541=ADVANCED_FACE('',(#22116),#8194,.F.); #331542=ADVANCED_FACE('',(#22117),#3477,.F.); #331543=ADVANCED_FACE('',(#22118),#3478,.T.); #331544=ADVANCED_FACE('',(#22119),#3276,.F.); #331545=ADVANCED_FACE('',(#22120),#3277,.F.); #331546=ADVANCED_FACE('',(#22121),#8195,.T.); #331547=ADVANCED_FACE('',(#22122),#3278,.F.); #331548=ADVANCED_FACE('',(#22123),#3279,.F.); #331549=ADVANCED_FACE('',(#22124),#3280,.F.); #331550=ADVANCED_FACE('',(#22125),#3281,.F.); #331551=ADVANCED_FACE('',(#22126),#3282,.F.); #331552=ADVANCED_FACE('',(#22127),#3283,.F.); #331553=ADVANCED_FACE('',(#22128),#3284,.F.); #331554=ADVANCED_FACE('',(#22129),#3285,.F.); #331555=ADVANCED_FACE('',(#22130),#3286,.T.); #331556=ADVANCED_FACE('',(#22131),#3287,.T.); #331557=ADVANCED_FACE('',(#22132),#3288,.F.); #331558=ADVANCED_FACE('',(#22133),#3289,.F.); #331559=ADVANCED_FACE('',(#22134),#3290,.F.); #331560=ADVANCED_FACE('',(#22135),#3291,.F.); #331561=ADVANCED_FACE('',(#22136),#3292,.F.); #331562=ADVANCED_FACE('',(#22137),#3293,.F.); #331563=ADVANCED_FACE('',(#22138),#3294,.F.); #331564=ADVANCED_FACE('',(#22139),#3295,.F.); #331565=ADVANCED_FACE('',(#22140),#3479,.T.); #331566=ADVANCED_FACE('',(#22141),#3296,.F.); #331567=ADVANCED_FACE('',(#22142),#3297,.F.); #331568=ADVANCED_FACE('',(#22143),#3298,.F.); #331569=ADVANCED_FACE('',(#22144),#3299,.F.); #331570=ADVANCED_FACE('',(#22145),#3300,.F.); #331571=ADVANCED_FACE('',(#22146),#3301,.F.); #331572=ADVANCED_FACE('',(#22147),#3302,.F.); #331573=ADVANCED_FACE('',(#22148),#3303,.F.); #331574=ADVANCED_FACE('',(#22149),#8196,.T.); #331575=ADVANCED_FACE('',(#22150),#3304,.F.); #331576=ADVANCED_FACE('',(#22151),#3305,.F.); #331577=ADVANCED_FACE('',(#22152),#3306,.F.); #331578=ADVANCED_FACE('',(#22153),#3307,.F.); #331579=ADVANCED_FACE('',(#22154),#3308,.F.); #331580=ADVANCED_FACE('',(#22155),#3309,.F.); #331581=ADVANCED_FACE('',(#22156),#3310,.F.); #331582=ADVANCED_FACE('',(#22157),#3311,.T.); #331583=ADVANCED_FACE('',(#22158),#8197,.T.); #331584=ADVANCED_FACE('',(#22159),#8198,.F.); #331585=ADVANCED_FACE('',(#22160),#8199,.F.); #331586=ADVANCED_FACE('',(#22161),#3480,.F.); #331587=ADVANCED_FACE('',(#22162),#8200,.T.); #331588=ADVANCED_FACE('',(#22163),#3481,.F.); #331589=ADVANCED_FACE('',(#22164),#3482,.T.); #331590=ADVANCED_FACE('',(#22165),#3312,.F.); #331591=ADVANCED_FACE('',(#22166),#3313,.F.); #331592=ADVANCED_FACE('',(#22167),#3314,.F.); #331593=ADVANCED_FACE('',(#22168),#3315,.F.); #331594=ADVANCED_FACE('',(#22169),#3316,.F.); #331595=ADVANCED_FACE('',(#22170),#3317,.F.); #331596=ADVANCED_FACE('',(#22171),#8201,.T.); #331597=ADVANCED_FACE('',(#22172),#3318,.F.); #331598=ADVANCED_FACE('',(#22173),#3319,.F.); #331599=ADVANCED_FACE('',(#22174),#3320,.F.); #331600=ADVANCED_FACE('',(#22175),#3321,.F.); #331601=ADVANCED_FACE('',(#22176),#3322,.F.); #331602=ADVANCED_FACE('',(#22177),#3323,.F.); #331603=ADVANCED_FACE('',(#22178),#3324,.F.); #331604=ADVANCED_FACE('',(#22179),#3325,.F.); #331605=ADVANCED_FACE('',(#22180),#3326,.T.); #331606=ADVANCED_FACE('',(#22181),#3327,.T.); #331607=ADVANCED_FACE('',(#22182),#3328,.F.); #331608=ADVANCED_FACE('',(#22183),#8202,.T.); #331609=ADVANCED_FACE('',(#22184),#8203,.T.); #331610=ADVANCED_FACE('',(#22185),#8204,.T.); #331611=ADVANCED_FACE('',(#22186),#8205,.T.); #331612=ADVANCED_FACE('',(#22187),#327389,.T.); #331613=ADVANCED_FACE('',(#22188),#327390,.T.); #331614=ADVANCED_FACE('',(#22189),#327391,.T.); #331615=ADVANCED_FACE('',(#22190),#8206,.F.); #331616=ADVANCED_FACE('',(#22191),#3329,.T.); #331617=ADVANCED_FACE('',(#22192),#3330,.F.); #331618=ADVANCED_FACE('',(#22193),#327392,.T.); #331619=ADVANCED_FACE('',(#22194),#327393,.T.); #331620=ADVANCED_FACE('',(#22195),#8207,.T.); #331621=ADVANCED_FACE('',(#22196),#3331,.F.); #331622=ADVANCED_FACE('',(#22197),#3332,.F.); #331623=ADVANCED_FACE('',(#22198),#3333,.F.); #331624=ADVANCED_FACE('',(#22199),#3334,.F.); #331625=ADVANCED_FACE('',(#22200),#3335,.F.); #331626=ADVANCED_FACE('',(#22201),#3336,.F.); #331627=ADVANCED_FACE('',(#22202),#3337,.F.); #331628=ADVANCED_FACE('',(#22203),#3338,.F.); #331629=ADVANCED_FACE('',(#22204),#3339,.F.); #331630=ADVANCED_FACE('',(#22205),#3340,.F.); #331631=ADVANCED_FACE('',(#22206),#3341,.F.); #331632=ADVANCED_FACE('',(#22207),#3342,.F.); #331633=ADVANCED_FACE('',(#22208),#3343,.F.); #331634=ADVANCED_FACE('',(#22209),#3344,.F.); #331635=ADVANCED_FACE('',(#22210),#3345,.F.); #331636=ADVANCED_FACE('',(#22211),#3346,.F.); #331637=ADVANCED_FACE('',(#22212),#3347,.F.); #331638=ADVANCED_FACE('',(#22213),#8208,.T.); #331639=ADVANCED_FACE('',(#22214),#327394,.F.); #331640=ADVANCED_FACE('',(#22215),#327395,.F.); #331641=ADVANCED_FACE('',(#22216),#327396,.F.); #331642=ADVANCED_FACE('',(#22217),#327397,.F.); #331643=ADVANCED_FACE('',(#22218),#327398,.T.); #331644=ADVANCED_FACE('',(#22219),#8209,.F.); #331645=ADVANCED_FACE('',(#22220),#327399,.T.); #331646=ADVANCED_FACE('',(#22221),#8210,.T.); #331647=ADVANCED_FACE('',(#22222),#327400,.T.); #331648=ADVANCED_FACE('',(#22223),#8211,.F.); #331649=ADVANCED_FACE('',(#22224),#8212,.T.); #331650=ADVANCED_FACE('',(#22225),#327401,.T.); #331651=ADVANCED_FACE('',(#22226),#8213,.F.); #331652=ADVANCED_FACE('',(#22227),#327402,.T.); #331653=ADVANCED_FACE('',(#22228),#3483,.T.); #331654=ADVANCED_FACE('',(#22229),#327403,.F.); #331655=ADVANCED_FACE('',(#22230),#327404,.T.); #331656=ADVANCED_FACE('',(#22231),#8214,.F.); #331657=ADVANCED_FACE('',(#22232),#327405,.T.); #331658=ADVANCED_FACE('',(#22233),#8215,.F.); #331659=ADVANCED_FACE('',(#22234),#327406,.T.); #331660=ADVANCED_FACE('',(#22235),#8216,.T.); #331661=ADVANCED_FACE('',(#22236),#327407,.T.); #331662=ADVANCED_FACE('',(#22237),#8217,.F.); #331663=ADVANCED_FACE('',(#22238),#327408,.T.); #331664=ADVANCED_FACE('',(#22239),#3484,.T.); #331665=ADVANCED_FACE('',(#22240),#8218,.T.); #331666=ADVANCED_FACE('',(#22241),#8219,.F.); #331667=ADVANCED_FACE('',(#22242),#8220,.F.); #331668=ADVANCED_FACE('',(#22243),#3485,.T.); #331669=ADVANCED_FACE('',(#22244),#8221,.T.); #331670=ADVANCED_FACE('',(#22245),#327409,.T.); #331671=ADVANCED_FACE('',(#22246),#8222,.F.); #331672=ADVANCED_FACE('',(#22247),#327410,.T.); #331673=ADVANCED_FACE('',(#22248),#8223,.T.); #331674=ADVANCED_FACE('',(#22249),#327411,.T.); #331675=ADVANCED_FACE('',(#22250),#8224,.T.); #331676=ADVANCED_FACE('',(#22251),#327412,.T.); #331677=ADVANCED_FACE('',(#22252),#8225,.F.); #331678=ADVANCED_FACE('',(#22253),#327413,.T.); #331679=ADVANCED_FACE('',(#22254),#327414,.T.); #331680=ADVANCED_FACE('',(#22255),#8226,.F.); #331681=ADVANCED_FACE('',(#22256),#327415,.T.); #331682=ADVANCED_FACE('',(#22257),#8227,.T.); #331683=ADVANCED_FACE('',(#22258),#327416,.T.); #331684=ADVANCED_FACE('',(#22259),#8228,.F.); #331685=ADVANCED_FACE('',(#22260),#8229,.T.); #331686=ADVANCED_FACE('',(#22261),#327417,.T.); #331687=ADVANCED_FACE('',(#22262),#8230,.F.); #331688=ADVANCED_FACE('',(#22263),#327418,.T.); #331689=ADVANCED_FACE('',(#22264),#3486,.T.); #331690=ADVANCED_FACE('',(#22265),#327419,.F.); #331691=ADVANCED_FACE('',(#22266),#327420,.T.); #331692=ADVANCED_FACE('',(#22267),#327421,.T.); #331693=ADVANCED_FACE('',(#22268),#8231,.T.); #331694=ADVANCED_FACE('',(#22269),#327422,.T.); #331695=ADVANCED_FACE('',(#22270),#8232,.T.); #331696=ADVANCED_FACE('',(#22271),#327423,.T.); #331697=ADVANCED_FACE('',(#22272),#8233,.T.); #331698=ADVANCED_FACE('',(#22273),#327424,.T.); #331699=ADVANCED_FACE('',(#22274),#327425,.T.); #331700=ADVANCED_FACE('',(#22275),#8234,.F.); #331701=ADVANCED_FACE('',(#22276),#327426,.T.); #331702=ADVANCED_FACE('',(#22277),#8235,.F.); #331703=ADVANCED_FACE('',(#22278),#327427,.T.); #331704=ADVANCED_FACE('',(#22279),#8236,.T.); #331705=ADVANCED_FACE('',(#22280),#8237,.T.); #331706=ADVANCED_FACE('',(#22281),#327428,.T.); #331707=ADVANCED_FACE('',(#22282),#8238,.F.); #331708=ADVANCED_FACE('',(#22283),#327429,.T.); #331709=ADVANCED_FACE('',(#22284),#3487,.T.); #331710=ADVANCED_FACE('',(#22285),#327430,.T.); #331711=ADVANCED_FACE('',(#22286),#8239,.T.); #331712=ADVANCED_FACE('',(#22287),#327431,.T.); #331713=ADVANCED_FACE('',(#22288),#8240,.F.); #331714=ADVANCED_FACE('',(#22289),#327432,.T.); #331715=ADVANCED_FACE('',(#22290),#8241,.F.); #331716=ADVANCED_FACE('',(#22291),#8242,.T.); #331717=ADVANCED_FACE('',(#22292),#327433,.T.); #331718=ADVANCED_FACE('',(#22293),#8243,.F.); #331719=ADVANCED_FACE('',(#22294),#327434,.T.); #331720=ADVANCED_FACE('',(#22295),#3488,.T.); #331721=ADVANCED_FACE('',(#22296),#327435,.F.); #331722=ADVANCED_FACE('',(#22297),#327436,.T.); #331723=ADVANCED_FACE('',(#22298),#8244,.T.); #331724=ADVANCED_FACE('',(#22299),#327437,.T.); #331725=ADVANCED_FACE('',(#22300),#8245,.F.); #331726=ADVANCED_FACE('',(#22301),#327438,.T.); #331727=ADVANCED_FACE('',(#22302),#8246,.T.); #331728=ADVANCED_FACE('',(#22303),#327439,.T.); #331729=ADVANCED_FACE('',(#22304),#8247,.F.); #331730=ADVANCED_FACE('',(#22305),#327440,.T.); #331731=ADVANCED_FACE('',(#22306),#3489,.T.); #331732=ADVANCED_FACE('',(#22307),#8248,.F.); #331733=ADVANCED_FACE('',(#22308),#8249,.T.); #331734=ADVANCED_FACE('',(#22309),#8250,.T.); #331735=ADVANCED_FACE('',(#22310),#3490,.T.); #331736=ADVANCED_FACE('',(#22311),#8251,.T.); #331737=ADVANCED_FACE('',(#22312),#327441,.T.); #331738=ADVANCED_FACE('',(#22313),#8252,.F.); #331739=ADVANCED_FACE('',(#22314),#327442,.T.); #331740=ADVANCED_FACE('',(#22315),#8253,.T.); #331741=ADVANCED_FACE('',(#22316),#327443,.T.); #331742=ADVANCED_FACE('',(#22317),#8254,.T.); #331743=ADVANCED_FACE('',(#22318),#327444,.T.); #331744=ADVANCED_FACE('',(#22319),#8255,.F.); #331745=ADVANCED_FACE('',(#22320),#327445,.T.); #331746=ADVANCED_FACE('',(#22321),#327446,.T.); #331747=ADVANCED_FACE('',(#22322),#8256,.T.); #331748=ADVANCED_FACE('',(#22323),#327447,.T.); #331749=ADVANCED_FACE('',(#22324),#8257,.T.); #331750=ADVANCED_FACE('',(#22325),#327448,.T.); #331751=ADVANCED_FACE('',(#22326),#8258,.F.); #331752=ADVANCED_FACE('',(#22327),#8259,.T.); #331753=ADVANCED_FACE('',(#22328),#327449,.T.); #331754=ADVANCED_FACE('',(#22329),#8260,.F.); #331755=ADVANCED_FACE('',(#22330),#327450,.T.); #331756=ADVANCED_FACE('',(#22331),#3491,.T.); #331757=ADVANCED_FACE('',(#22332),#327451,.F.); #331758=ADVANCED_FACE('',(#22333),#327452,.T.); #331759=ADVANCED_FACE('',(#22334),#8261,.F.); #331760=ADVANCED_FACE('',(#22335),#327453,.T.); #331761=ADVANCED_FACE('',(#22336),#8262,.F.); #331762=ADVANCED_FACE('',(#22337),#327454,.T.); #331763=ADVANCED_FACE('',(#22338),#8263,.T.); #331764=ADVANCED_FACE('',(#22339),#327455,.T.); #331765=ADVANCED_FACE('',(#22340),#8264,.F.); #331766=ADVANCED_FACE('',(#22341),#327456,.T.); #331767=ADVANCED_FACE('',(#22342),#3492,.T.); #331768=ADVANCED_FACE('',(#22343),#8265,.T.); #331769=ADVANCED_FACE('',(#22344),#8266,.T.); #331770=ADVANCED_FACE('',(#22345),#327457,.T.); #331771=ADVANCED_FACE('',(#22346),#8267,.T.); #331772=ADVANCED_FACE('',(#22347),#327458,.T.); #331773=ADVANCED_FACE('',(#22348),#8268,.T.); #331774=ADVANCED_FACE('',(#22349),#327459,.T.); #331775=ADVANCED_FACE('',(#22350),#8269,.T.); #331776=ADVANCED_FACE('',(#22351),#327460,.T.); #331777=ADVANCED_FACE('',(#22352),#8270,.T.); #331778=ADVANCED_FACE('',(#22353),#327461,.F.); #331779=ADVANCED_FACE('',(#22354),#327462,.T.); #331780=ADVANCED_FACE('',(#22355),#327463,.T.); #331781=ADVANCED_FACE('',(#22356),#327464,.F.); #331782=ADVANCED_FACE('',(#22357),#327465,.F.); #331783=ADVANCED_FACE('',(#22358),#327466,.F.); #331784=ADVANCED_FACE('',(#22359),#327467,.F.); #331785=ADVANCED_FACE('',(#22360),#3493,.T.); #331786=ADVANCED_FACE('',(#22361),#327468,.T.); #331787=ADVANCED_FACE('',(#22362),#8271,.F.); #331788=ADVANCED_FACE('',(#22363),#327469,.T.); #331789=ADVANCED_FACE('',(#22364),#8272,.T.); #331790=ADVANCED_FACE('',(#22365),#327470,.T.); #331791=ADVANCED_FACE('',(#22366),#8273,.F.); #331792=ADVANCED_FACE('',(#22367),#829,.F.); #331793=ADVANCED_FACE('',(#22368),#327471,.T.); #331794=ADVANCED_FACE('',(#22369),#830,.F.); #331795=ADVANCED_FACE('',(#22370),#3348,.T.); #331796=ADVANCED_FACE('',(#22371),#3494,.T.); #331797=ADVANCED_FACE('',(#22372),#3349,.T.); #331798=ADVANCED_FACE('',(#22373),#327472,.T.); #331799=ADVANCED_FACE('',(#22374),#831,.F.); #331800=ADVANCED_FACE('',(#22375),#3350,.F.); #331801=ADVANCED_FACE('',(#22376),#832,.F.); #331802=ADVANCED_FACE('',(#22377),#3351,.T.); #331803=ADVANCED_FACE('',(#22378),#327473,.T.); #331804=ADVANCED_FACE('',(#22379),#3352,.T.); #331805=ADVANCED_FACE('',(#22380),#327474,.T.); #331806=ADVANCED_FACE('',(#22381),#3353,.F.); #331807=ADVANCED_FACE('',(#22382),#327475,.T.); #331808=ADVANCED_FACE('',(#22383),#833,.F.); #331809=ADVANCED_FACE('',(#22384),#3354,.T.); #331810=ADVANCED_FACE('',(#22385),#3355,.F.); #331811=ADVANCED_FACE('',(#22386),#327476,.T.); #331812=ADVANCED_FACE('',(#22387),#834,.F.); #331813=ADVANCED_FACE('',(#22388),#3356,.T.); #331814=ADVANCED_FACE('',(#22389),#3357,.F.); #331815=ADVANCED_FACE('',(#22390),#327477,.T.); #331816=ADVANCED_FACE('',(#22391),#3358,.T.); #331817=ADVANCED_FACE('',(#22392),#327478,.T.); #331818=ADVANCED_FACE('',(#22393),#3359,.F.); #331819=ADVANCED_FACE('',(#22394),#327479,.T.); #331820=ADVANCED_FACE('',(#22395),#835,.F.); #331821=ADVANCED_FACE('',(#22396),#3360,.T.); #331822=ADVANCED_FACE('',(#22397),#327480,.T.); #331823=ADVANCED_FACE('',(#22398),#3361,.T.); #331824=ADVANCED_FACE('',(#22399),#327481,.T.); #331825=ADVANCED_FACE('',(#22400),#3362,.T.); #331826=ADVANCED_FACE('',(#22401),#836,.F.); #331827=ADVANCED_FACE('',(#22402),#8274,.T.); #331828=ADVANCED_FACE('',(#22403),#327482,.T.); #331829=ADVANCED_FACE('',(#22404),#837,.F.); #331830=ADVANCED_FACE('',(#22405),#3363,.T.); #331831=ADVANCED_FACE('',(#22406),#3364,.T.); #331832=ADVANCED_FACE('',(#22407),#327483,.T.); #331833=ADVANCED_FACE('',(#22408),#327484,.F.); #331834=ADVANCED_FACE('',(#22409),#327485,.F.); #331835=ADVANCED_FACE('',(#22410),#3365,.T.); #331836=ADVANCED_FACE('',(#22411),#327486,.F.); #331837=ADVANCED_FACE('',(#22412),#327487,.F.); #331838=ADVANCED_FACE('',(#22413),#327488,.T.); #331839=ADVANCED_FACE('',(#22414),#3366,.T.); #331840=ADVANCED_FACE('',(#22415),#838,.F.); #331841=ADVANCED_FACE('',(#22416),#327489,.T.); #331842=ADVANCED_FACE('',(#22417),#3367,.T.); #331843=ADVANCED_FACE('',(#22418),#327490,.F.); #331844=ADVANCED_FACE('',(#22419),#813,.T.); #331845=ADVANCED_FACE('',(#22420),#8275,.T.); #331846=ADVANCED_FACE('',(#22421),#8276,.T.); #331847=ADVANCED_FACE('',(#22422),#8277,.T.); #331848=ADVANCED_FACE('',(#22423),#8278,.T.); #331849=ADVANCED_FACE('',(#22424),#8279,.T.); #331850=ADVANCED_FACE('',(#22425),#8280,.F.); #331851=ADVANCED_FACE('',(#22426),#8281,.F.); #331852=ADVANCED_FACE('',(#22427),#8282,.F.); #331853=ADVANCED_FACE('',(#22428),#8283,.F.); #331854=ADVANCED_FACE('',(#22429),#8284,.F.); #331855=ADVANCED_FACE('',(#22430),#8285,.F.); #331856=ADVANCED_FACE('',(#22431),#8286,.F.); #331857=ADVANCED_FACE('',(#22432),#8287,.F.); #331858=ADVANCED_FACE('',(#22433),#8288,.T.); #331859=ADVANCED_FACE('',(#22434),#8289,.T.); #331860=ADVANCED_FACE('',(#22435),#8290,.F.); #331861=ADVANCED_FACE('',(#22436),#8291,.T.); #331862=ADVANCED_FACE('',(#22437),#327491,.T.); #331863=ADVANCED_FACE('',(#22438),#8292,.F.); #331864=ADVANCED_FACE('',(#22439),#8293,.T.); #331865=ADVANCED_FACE('',(#22440),#8294,.F.); #331866=ADVANCED_FACE('',(#22441),#8295,.T.); #331867=ADVANCED_FACE('',(#22442),#8296,.F.); #331868=ADVANCED_FACE('',(#22443),#8297,.T.); #331869=ADVANCED_FACE('',(#22444),#8298,.F.); #331870=ADVANCED_FACE('',(#22445),#8299,.T.); #331871=ADVANCED_FACE('',(#22446),#8300,.F.); #331872=ADVANCED_FACE('',(#22447),#8301,.T.); #331873=ADVANCED_FACE('',(#22448),#8302,.F.); #331874=ADVANCED_FACE('',(#22449),#8303,.T.); #331875=ADVANCED_FACE('',(#22450),#8304,.F.); #331876=ADVANCED_FACE('',(#22451),#8305,.T.); #331877=ADVANCED_FACE('',(#22452),#8306,.F.); #331878=ADVANCED_FACE('',(#22453),#8307,.F.); #331879=ADVANCED_FACE('',(#22454),#327492,.T.); #331880=ADVANCED_FACE('',(#22455),#327493,.T.); #331881=ADVANCED_FACE('',(#22456),#8308,.F.); #331882=ADVANCED_FACE('',(#22457),#8309,.F.); #331883=ADVANCED_FACE('',(#22458),#8310,.T.); #331884=ADVANCED_FACE('',(#22459),#8311,.T.); #331885=ADVANCED_FACE('',(#22460),#8312,.F.); #331886=ADVANCED_FACE('',(#22461),#8313,.F.); #331887=ADVANCED_FACE('',(#22462),#327494,.T.); #331888=ADVANCED_FACE('',(#22463),#8314,.F.); #331889=ADVANCED_FACE('',(#22464),#327495,.T.); #331890=ADVANCED_FACE('',(#22465),#8315,.T.); #331891=ADVANCED_FACE('',(#22466),#8316,.F.); #331892=ADVANCED_FACE('',(#22467),#327496,.T.); #331893=ADVANCED_FACE('',(#22468),#8317,.F.); #331894=ADVANCED_FACE('',(#22469),#8318,.F.); #331895=ADVANCED_FACE('',(#22470),#8319,.F.); #331896=ADVANCED_FACE('',(#22471),#8320,.T.); #331897=ADVANCED_FACE('',(#22472),#8321,.F.); #331898=ADVANCED_FACE('',(#22473),#327497,.T.); #331899=ADVANCED_FACE('',(#22474),#8322,.F.); #331900=ADVANCED_FACE('',(#22475),#327498,.T.); #331901=ADVANCED_FACE('',(#22476),#8323,.F.); #331902=ADVANCED_FACE('',(#22477),#8324,.T.); #331903=ADVANCED_FACE('',(#22478),#327499,.T.); #331904=ADVANCED_FACE('',(#22479),#8325,.T.); #331905=ADVANCED_FACE('',(#22480),#8326,.F.); #331906=ADVANCED_FACE('',(#22481),#327500,.T.); #331907=ADVANCED_FACE('',(#22482),#8327,.F.); #331908=ADVANCED_FACE('',(#22483),#327501,.T.); #331909=ADVANCED_FACE('',(#22484),#8328,.F.); #331910=ADVANCED_FACE('',(#22485),#8329,.F.); #331911=ADVANCED_FACE('',(#22486),#327502,.T.); #331912=ADVANCED_FACE('',(#22487),#8330,.T.); #331913=ADVANCED_FACE('',(#22488),#8331,.F.); #331914=ADVANCED_FACE('',(#22489),#327503,.T.); #331915=ADVANCED_FACE('',(#22490),#8332,.F.); #331916=ADVANCED_FACE('',(#22491),#327504,.T.); #331917=ADVANCED_FACE('',(#22492),#8333,.F.); #331918=ADVANCED_FACE('',(#22493),#8334,.F.); #331919=ADVANCED_FACE('',(#22494),#327505,.T.); #331920=ADVANCED_FACE('',(#22495),#8335,.F.); #331921=ADVANCED_FACE('',(#22496),#8336,.F.); #331922=ADVANCED_FACE('',(#22497),#8337,.F.); #331923=ADVANCED_FACE('',(#22498),#8338,.F.); #331924=ADVANCED_FACE('',(#22499),#8339,.F.); #331925=ADVANCED_FACE('',(#22500),#8340,.F.); #331926=ADVANCED_FACE('',(#22501),#8341,.F.); #331927=ADVANCED_FACE('',(#22502),#8342,.F.); #331928=ADVANCED_FACE('',(#22503),#8343,.F.); #331929=ADVANCED_FACE('',(#22504),#8344,.F.); #331930=ADVANCED_FACE('',(#22505),#8345,.F.); #331931=ADVANCED_FACE('',(#22506),#8346,.F.); #331932=ADVANCED_FACE('',(#22507),#8347,.F.); #331933=ADVANCED_FACE('',(#22508),#8348,.F.); #331934=ADVANCED_FACE('',(#22509),#8349,.F.); #331935=ADVANCED_FACE('',(#22510),#8350,.F.); #331936=ADVANCED_FACE('',(#22511),#8351,.F.); #331937=ADVANCED_FACE('',(#22512),#8352,.F.); #331938=ADVANCED_FACE('',(#22513),#8353,.F.); #331939=ADVANCED_FACE('',(#22514),#8354,.F.); #331940=ADVANCED_FACE('',(#22515),#8355,.F.); #331941=ADVANCED_FACE('',(#22516),#8356,.F.); #331942=ADVANCED_FACE('',(#22517),#8357,.T.); #331943=ADVANCED_FACE('',(#22518),#8358,.F.); #331944=ADVANCED_FACE('',(#22519),#327506,.F.); #331945=ADVANCED_FACE('',(#22520),#8359,.T.); #331946=ADVANCED_FACE('',(#22521),#8360,.T.); #331947=ADVANCED_FACE('',(#22522),#8361,.T.); #331948=ADVANCED_FACE('',(#22523),#327507,.F.); #331949=ADVANCED_FACE('',(#22524),#327508,.F.); #331950=ADVANCED_FACE('',(#22525),#8362,.T.); #331951=ADVANCED_FACE('',(#22526),#8363,.T.); #331952=ADVANCED_FACE('',(#22527),#8364,.T.); #331953=ADVANCED_FACE('',(#22528),#8365,.T.); #331954=ADVANCED_FACE('',(#22529),#8366,.T.); #331955=ADVANCED_FACE('',(#22530,#3614),#8367,.T.); #331956=ADVANCED_FACE('',(#22531),#8368,.F.); #331957=ADVANCED_FACE('',(#22532),#8369,.T.); #331958=ADVANCED_FACE('',(#22533),#8370,.T.); #331959=ADVANCED_FACE('',(#22534),#8371,.T.); #331960=ADVANCED_FACE('',(#22535),#8372,.T.); #331961=ADVANCED_FACE('',(#22536),#8373,.F.); #331962=ADVANCED_FACE('',(#22537),#8374,.T.); #331963=ADVANCED_FACE('',(#22538),#327509,.F.); #331964=ADVANCED_FACE('',(#22539),#8375,.T.); #331965=ADVANCED_FACE('',(#22540),#327510,.F.); #331966=ADVANCED_FACE('',(#22541),#8376,.T.); #331967=ADVANCED_FACE('',(#22542),#327511,.T.); #331968=ADVANCED_FACE('',(#22543),#8377,.T.); #331969=ADVANCED_FACE('',(#22544),#327512,.T.); #331970=ADVANCED_FACE('',(#22545),#327513,.F.); #331971=ADVANCED_FACE('',(#22546),#8378,.T.); #331972=ADVANCED_FACE('',(#22547),#8379,.T.); #331973=ADVANCED_FACE('',(#22548),#327514,.T.); #331974=ADVANCED_FACE('',(#22549),#8380,.T.); #331975=ADVANCED_FACE('',(#22550),#8381,.T.); #331976=ADVANCED_FACE('',(#22551),#8382,.F.); #331977=ADVANCED_FACE('',(#22552),#8383,.T.); #331978=ADVANCED_FACE('',(#22553),#8384,.T.); #331979=ADVANCED_FACE('',(#22554),#8385,.T.); #331980=ADVANCED_FACE('',(#22555),#8386,.T.); #331981=ADVANCED_FACE('',(#22556),#8387,.T.); #331982=ADVANCED_FACE('',(#22557),#8388,.T.); #331983=ADVANCED_FACE('',(#22558),#327515,.T.); #331984=ADVANCED_FACE('',(#22559),#8389,.T.); #331985=ADVANCED_FACE('',(#22560),#327516,.T.); #331986=ADVANCED_FACE('',(#22561),#8390,.T.); #331987=ADVANCED_FACE('',(#22562),#327517,.T.); #331988=ADVANCED_FACE('',(#22563),#8391,.T.); #331989=ADVANCED_FACE('',(#22564),#8392,.T.); #331990=ADVANCED_FACE('',(#22565),#8393,.T.); #331991=ADVANCED_FACE('',(#22566),#3495,.F.); #331992=ADVANCED_FACE('',(#22567),#8394,.F.); #331993=ADVANCED_FACE('',(#22568),#8395,.F.); #331994=ADVANCED_FACE('',(#22569),#8396,.F.); #331995=ADVANCED_FACE('',(#22570),#8397,.F.); #331996=ADVANCED_FACE('',(#22571),#8398,.F.); #331997=ADVANCED_FACE('',(#22572),#8399,.F.); #331998=ADVANCED_FACE('',(#22573),#8400,.F.); #331999=ADVANCED_FACE('',(#22574),#8401,.F.); #332000=ADVANCED_FACE('',(#22575),#8402,.F.); #332001=ADVANCED_FACE('',(#22576),#8403,.F.); #332002=ADVANCED_FACE('',(#22577),#8404,.F.); #332003=ADVANCED_FACE('',(#22578),#327518,.F.); #332004=ADVANCED_FACE('',(#22579),#8405,.T.); #332005=ADVANCED_FACE('',(#22580),#8406,.T.); #332006=ADVANCED_FACE('',(#22581),#8407,.T.); #332007=ADVANCED_FACE('',(#22582),#8408,.T.); #332008=ADVANCED_FACE('',(#22583),#8409,.T.); #332009=ADVANCED_FACE('',(#22584),#8410,.T.); #332010=ADVANCED_FACE('',(#22585),#8411,.F.); #332011=ADVANCED_FACE('',(#22586),#8412,.T.); #332012=ADVANCED_FACE('',(#22587),#8413,.T.); #332013=ADVANCED_FACE('',(#22588),#8414,.T.); #332014=ADVANCED_FACE('',(#22589),#8415,.T.); #332015=ADVANCED_FACE('',(#22590),#8416,.T.); #332016=ADVANCED_FACE('',(#22591),#8417,.T.); #332017=ADVANCED_FACE('',(#22592),#8418,.T.); #332018=ADVANCED_FACE('',(#22593),#8419,.T.); #332019=ADVANCED_FACE('',(#22594),#8420,.T.); #332020=ADVANCED_FACE('',(#22595),#8421,.T.); #332021=ADVANCED_FACE('',(#22596),#8422,.T.); #332022=ADVANCED_FACE('',(#22597),#8423,.T.); #332023=ADVANCED_FACE('',(#22598),#8424,.T.); #332024=ADVANCED_FACE('',(#22599),#8425,.T.); #332025=ADVANCED_FACE('',(#22600),#8426,.T.); #332026=ADVANCED_FACE('',(#22601),#8427,.T.); #332027=ADVANCED_FACE('',(#22602),#8428,.T.); #332028=ADVANCED_FACE('',(#22603),#8429,.T.); #332029=ADVANCED_FACE('',(#22604),#327519,.F.); #332030=ADVANCED_FACE('',(#22605),#3496,.F.); #332031=ADVANCED_FACE('',(#22606),#8430,.T.); #332032=ADVANCED_FACE('',(#22607),#3497,.T.); #332033=ADVANCED_FACE('',(#22608),#8431,.T.); #332034=ADVANCED_FACE('',(#22609),#3498,.T.); #332035=ADVANCED_FACE('',(#22610),#8432,.T.); #332036=ADVANCED_FACE('',(#22611),#3499,.F.); #332037=ADVANCED_FACE('',(#22612),#8433,.F.); #332038=ADVANCED_FACE('',(#22613),#3500,.F.); #332039=ADVANCED_FACE('',(#22614),#8434,.T.); #332040=ADVANCED_FACE('',(#22615),#3501,.F.); #332041=ADVANCED_FACE('',(#22616),#8435,.T.); #332042=ADVANCED_FACE('',(#22617),#3502,.F.); #332043=ADVANCED_FACE('',(#22618),#8436,.T.); #332044=ADVANCED_FACE('',(#22619),#3503,.F.); #332045=ADVANCED_FACE('',(#22620),#3504,.F.); #332046=ADVANCED_FACE('',(#22621),#8437,.T.); #332047=ADVANCED_FACE('',(#22622),#3505,.F.); #332048=ADVANCED_FACE('',(#22623),#8438,.T.); #332049=ADVANCED_FACE('',(#22624),#3506,.F.); #332050=ADVANCED_FACE('',(#22625),#8439,.T.); #332051=ADVANCED_FACE('',(#22626),#3507,.F.); #332052=ADVANCED_FACE('',(#22627),#8440,.F.); #332053=ADVANCED_FACE('',(#22628),#3508,.F.); #332054=ADVANCED_FACE('',(#22629),#8441,.T.); #332055=ADVANCED_FACE('',(#22630),#3509,.T.); #332056=ADVANCED_FACE('',(#22631),#8442,.T.); #332057=ADVANCED_FACE('',(#22632),#3510,.T.); #332058=ADVANCED_FACE('',(#22633),#8443,.T.); #332059=ADVANCED_FACE('',(#22634),#3511,.F.); #332060=ADVANCED_FACE('',(#22635),#3512,.F.); #332061=ADVANCED_FACE('',(#22636),#8444,.T.); #332062=ADVANCED_FACE('',(#22637),#3513,.F.); #332063=ADVANCED_FACE('',(#22638),#8445,.T.); #332064=ADVANCED_FACE('',(#22639),#3514,.F.); #332065=ADVANCED_FACE('',(#22640),#8446,.T.); #332066=ADVANCED_FACE('',(#22641),#3515,.F.); #332067=ADVANCED_FACE('',(#22642),#8447,.T.); #332068=ADVANCED_FACE('',(#22643),#3516,.F.); #332069=ADVANCED_FACE('',(#22644),#8448,.T.); #332070=ADVANCED_FACE('',(#22645),#3517,.T.); #332071=ADVANCED_FACE('',(#22646),#8449,.T.); #332072=ADVANCED_FACE('',(#22647),#3518,.T.); #332073=ADVANCED_FACE('',(#22648),#8450,.T.); #332074=ADVANCED_FACE('',(#22649),#3519,.F.); #332075=ADVANCED_FACE('',(#22650),#3520,.F.); #332076=ADVANCED_FACE('',(#22651),#8451,.T.); #332077=ADVANCED_FACE('',(#22652),#3521,.T.); #332078=ADVANCED_FACE('',(#22653),#8452,.T.); #332079=ADVANCED_FACE('',(#22654),#3522,.T.); #332080=ADVANCED_FACE('',(#22655),#8453,.T.); #332081=ADVANCED_FACE('',(#22656),#3523,.F.); #332082=ADVANCED_FACE('',(#22657),#8454,.T.); #332083=ADVANCED_FACE('',(#22658),#3524,.F.); #332084=ADVANCED_FACE('',(#22659),#8455,.T.); #332085=ADVANCED_FACE('',(#22660),#3525,.F.); #332086=ADVANCED_FACE('',(#22661),#8456,.T.); #332087=ADVANCED_FACE('',(#22662),#3526,.F.); #332088=ADVANCED_FACE('',(#22663),#8457,.T.); #332089=ADVANCED_FACE('',(#22664),#3527,.F.); #332090=ADVANCED_FACE('',(#22665),#327520,.T.); #332091=ADVANCED_FACE('',(#22666),#8458,.T.); #332092=ADVANCED_FACE('',(#22667),#327521,.T.); #332093=ADVANCED_FACE('',(#22668),#8459,.T.); #332094=ADVANCED_FACE('',(#22669),#327522,.T.); #332095=ADVANCED_FACE('',(#22670),#8460,.T.); #332096=ADVANCED_FACE('',(#22671),#327523,.T.); #332097=ADVANCED_FACE('',(#22672),#8461,.T.); #332098=ADVANCED_FACE('',(#22673),#327524,.F.); #332099=ADVANCED_FACE('',(#22674),#8462,.T.); #332100=ADVANCED_FACE('',(#22675),#327525,.F.); #332101=ADVANCED_FACE('',(#22676),#8463,.T.); #332102=ADVANCED_FACE('',(#22677),#327526,.F.); #332103=ADVANCED_FACE('',(#22678),#327527,.F.); #332104=ADVANCED_FACE('',(#22679),#327528,.F.); #332105=ADVANCED_FACE('',(#22680),#8464,.T.); #332106=ADVANCED_FACE('',(#22681),#327529,.F.); #332107=ADVANCED_FACE('',(#22682),#327530,.F.); #332108=ADVANCED_FACE('',(#22683),#8465,.T.); #332109=ADVANCED_FACE('',(#22684),#327531,.F.); #332110=ADVANCED_FACE('',(#22685),#8466,.T.); #332111=ADVANCED_FACE('',(#22686),#327532,.F.); #332112=ADVANCED_FACE('',(#22687),#327533,.F.); #332113=ADVANCED_FACE('',(#22688),#327534,.F.); #332114=ADVANCED_FACE('',(#22689),#8467,.T.); #332115=ADVANCED_FACE('',(#22690),#327535,.F.); #332116=ADVANCED_FACE('',(#22691),#8468,.T.); #332117=ADVANCED_FACE('',(#22692),#8469,.T.); #332118=ADVANCED_FACE('',(#22693),#327536,.F.); #332119=ADVANCED_FACE('',(#22694),#3528,.F.); #332120=ADVANCED_FACE('',(#22695),#327537,.F.); #332121=ADVANCED_FACE('',(#22696),#3529,.F.); #332122=ADVANCED_FACE('',(#22697),#8470,.F.); #332123=ADVANCED_FACE('',(#22698),#8471,.T.); #332124=ADVANCED_FACE('',(#22699),#3530,.F.); #332125=ADVANCED_FACE('',(#22700),#8472,.F.); #332126=ADVANCED_FACE('',(#22701),#327538,.F.); #332127=ADVANCED_FACE('',(#22702),#8473,.F.); #332128=ADVANCED_FACE('',(#22703),#327539,.F.); #332129=ADVANCED_FACE('',(#22704),#8474,.F.); #332130=ADVANCED_FACE('',(#22705),#3531,.F.); #332131=ADVANCED_FACE('',(#22706),#8475,.F.); #332132=ADVANCED_FACE('',(#22707),#8476,.F.); #332133=ADVANCED_FACE('',(#22708),#8477,.F.); #332134=ADVANCED_FACE('',(#22709),#327540,.F.); #332135=ADVANCED_FACE('',(#22710),#8478,.T.); #332136=ADVANCED_FACE('',(#22711),#8479,.T.); #332137=ADVANCED_FACE('',(#22712),#327541,.F.); #332138=ADVANCED_FACE('',(#22713,#3615),#8480,.T.); #332139=ADVANCED_FACE('',(#22714),#327542,.F.); #332140=ADVANCED_FACE('',(#22715,#3616),#8481,.T.); #332141=ADVANCED_FACE('',(#22716),#327543,.F.); #332142=ADVANCED_FACE('',(#22717,#3617),#8482,.T.); #332143=ADVANCED_FACE('',(#22718),#327544,.F.); #332144=ADVANCED_FACE('',(#22719,#3618),#8483,.T.); #332145=ADVANCED_FACE('',(#22720),#327545,.F.); #332146=ADVANCED_FACE('',(#22721),#8484,.T.); #332147=ADVANCED_FACE('',(#22722),#327546,.F.); #332148=ADVANCED_FACE('',(#22723),#8485,.T.); #332149=ADVANCED_FACE('',(#22724),#327547,.F.); #332150=ADVANCED_FACE('',(#22725),#8486,.T.); #332151=ADVANCED_FACE('',(#22726),#327548,.F.); #332152=ADVANCED_FACE('',(#22727),#8487,.T.); #332153=ADVANCED_FACE('',(#22728,#3619),#8488,.T.); #332154=ADVANCED_FACE('',(#22729),#3532,.F.); #332155=ADVANCED_FACE('',(#22730),#8489,.T.); #332156=ADVANCED_FACE('',(#22731),#3368,.T.); #332157=ADVANCED_FACE('',(#22732),#8490,.T.); #332158=ADVANCED_FACE('',(#22733),#3369,.T.); #332159=ADVANCED_FACE('',(#22734),#327549,.F.); #332160=ADVANCED_FACE('',(#22735),#8491,.F.); #332161=ADVANCED_FACE('',(#22736),#8492,.T.); #332162=ADVANCED_FACE('',(#22737),#3370,.T.); #332163=ADVANCED_FACE('',(#22738),#8493,.T.); #332164=ADVANCED_FACE('',(#22739),#3371,.T.); #332165=ADVANCED_FACE('',(#22740),#327550,.F.); #332166=ADVANCED_FACE('',(#22741,#3620,#3621,#3622,#3623,#3624,#3625,#3626, #3627,#3628,#3629,#3630,#3631,#3632,#3633,#3634,#3635,#3636,#3637,#3638), #327551,.T.); #332167=ADVANCED_FACE('',(#22742),#8494,.F.); #332168=ADVANCED_FACE('',(#22743),#8495,.T.); #332169=ADVANCED_FACE('',(#22744),#3372,.T.); #332170=ADVANCED_FACE('',(#22745),#8496,.T.); #332171=ADVANCED_FACE('',(#22746),#3373,.T.); #332172=ADVANCED_FACE('',(#22747),#327552,.F.); #332173=ADVANCED_FACE('',(#22748),#8497,.F.); #332174=ADVANCED_FACE('',(#22749),#8498,.T.); #332175=ADVANCED_FACE('',(#22750),#3374,.T.); #332176=ADVANCED_FACE('',(#22751),#8499,.T.); #332177=ADVANCED_FACE('',(#22752),#3375,.T.); #332178=ADVANCED_FACE('',(#22753),#327553,.F.); #332179=ADVANCED_FACE('',(#22754),#8500,.F.); #332180=ADVANCED_FACE('',(#22755),#8501,.T.); #332181=ADVANCED_FACE('',(#22756),#3376,.T.); #332182=ADVANCED_FACE('',(#22757),#8502,.T.); #332183=ADVANCED_FACE('',(#22758),#3377,.T.); #332184=ADVANCED_FACE('',(#22759),#327554,.F.); #332185=ADVANCED_FACE('',(#22760),#8503,.F.); #332186=ADVANCED_FACE('',(#22761),#8504,.T.); #332187=ADVANCED_FACE('',(#22762),#3378,.T.); #332188=ADVANCED_FACE('',(#22763),#8505,.T.); #332189=ADVANCED_FACE('',(#22764),#3379,.T.); #332190=ADVANCED_FACE('',(#22765),#327555,.F.); #332191=ADVANCED_FACE('',(#22766),#8506,.F.); #332192=ADVANCED_FACE('',(#22767),#8507,.T.); #332193=ADVANCED_FACE('',(#22768),#3380,.T.); #332194=ADVANCED_FACE('',(#22769),#8508,.T.); #332195=ADVANCED_FACE('',(#22770),#3381,.T.); #332196=ADVANCED_FACE('',(#22771),#327556,.F.); #332197=ADVANCED_FACE('',(#22772),#8509,.F.); #332198=ADVANCED_FACE('',(#22773),#8510,.T.); #332199=ADVANCED_FACE('',(#22774),#3382,.T.); #332200=ADVANCED_FACE('',(#22775),#8511,.T.); #332201=ADVANCED_FACE('',(#22776),#3383,.T.); #332202=ADVANCED_FACE('',(#22777),#327557,.F.); #332203=ADVANCED_FACE('',(#22778),#8512,.F.); #332204=ADVANCED_FACE('',(#22779),#8513,.T.); #332205=ADVANCED_FACE('',(#22780),#3384,.T.); #332206=ADVANCED_FACE('',(#22781),#8514,.T.); #332207=ADVANCED_FACE('',(#22782),#3385,.T.); #332208=ADVANCED_FACE('',(#22783),#327558,.F.); #332209=ADVANCED_FACE('',(#22784),#8515,.F.); #332210=ADVANCED_FACE('',(#22785),#8516,.T.); #332211=ADVANCED_FACE('',(#22786),#3386,.T.); #332212=ADVANCED_FACE('',(#22787),#8517,.T.); #332213=ADVANCED_FACE('',(#22788),#3387,.T.); #332214=ADVANCED_FACE('',(#22789),#327559,.F.); #332215=ADVANCED_FACE('',(#22790),#8518,.F.); #332216=ADVANCED_FACE('',(#22791),#8519,.T.); #332217=ADVANCED_FACE('',(#22792),#3388,.T.); #332218=ADVANCED_FACE('',(#22793),#8520,.T.); #332219=ADVANCED_FACE('',(#22794),#3389,.T.); #332220=ADVANCED_FACE('',(#22795),#327560,.F.); #332221=ADVANCED_FACE('',(#22796),#8521,.F.); #332222=ADVANCED_FACE('',(#22797),#8522,.T.); #332223=ADVANCED_FACE('',(#22798),#3390,.T.); #332224=ADVANCED_FACE('',(#22799),#8523,.T.); #332225=ADVANCED_FACE('',(#22800),#3391,.T.); #332226=ADVANCED_FACE('',(#22801),#327561,.F.); #332227=ADVANCED_FACE('',(#22802),#8524,.F.); #332228=ADVANCED_FACE('',(#22803),#8525,.T.); #332229=ADVANCED_FACE('',(#22804),#3392,.T.); #332230=ADVANCED_FACE('',(#22805),#8526,.T.); #332231=ADVANCED_FACE('',(#22806),#3393,.T.); #332232=ADVANCED_FACE('',(#22807),#327562,.F.); #332233=ADVANCED_FACE('',(#22808),#8527,.F.); #332234=ADVANCED_FACE('',(#22809),#8528,.T.); #332235=ADVANCED_FACE('',(#22810),#3394,.T.); #332236=ADVANCED_FACE('',(#22811),#8529,.T.); #332237=ADVANCED_FACE('',(#22812),#3395,.T.); #332238=ADVANCED_FACE('',(#22813),#327563,.F.); #332239=ADVANCED_FACE('',(#22814),#8530,.F.); #332240=ADVANCED_FACE('',(#22815),#8531,.T.); #332241=ADVANCED_FACE('',(#22816),#3396,.T.); #332242=ADVANCED_FACE('',(#22817),#8532,.T.); #332243=ADVANCED_FACE('',(#22818),#3397,.T.); #332244=ADVANCED_FACE('',(#22819),#327564,.F.); #332245=ADVANCED_FACE('',(#22820),#8533,.F.); #332246=ADVANCED_FACE('',(#22821),#8534,.T.); #332247=ADVANCED_FACE('',(#22822),#3398,.T.); #332248=ADVANCED_FACE('',(#22823),#8535,.T.); #332249=ADVANCED_FACE('',(#22824),#3399,.T.); #332250=ADVANCED_FACE('',(#22825),#327565,.F.); #332251=ADVANCED_FACE('',(#22826),#8536,.F.); #332252=ADVANCED_FACE('',(#22827),#8537,.T.); #332253=ADVANCED_FACE('',(#22828),#3400,.T.); #332254=ADVANCED_FACE('',(#22829),#8538,.T.); #332255=ADVANCED_FACE('',(#22830),#3401,.T.); #332256=ADVANCED_FACE('',(#22831),#327566,.F.); #332257=ADVANCED_FACE('',(#22832),#8539,.F.); #332258=ADVANCED_FACE('',(#22833),#8540,.T.); #332259=ADVANCED_FACE('',(#22834),#3402,.T.); #332260=ADVANCED_FACE('',(#22835),#8541,.T.); #332261=ADVANCED_FACE('',(#22836),#3403,.T.); #332262=ADVANCED_FACE('',(#22837),#327567,.F.); #332263=ADVANCED_FACE('',(#22838),#8542,.F.); #332264=ADVANCED_FACE('',(#22839),#8543,.T.); #332265=ADVANCED_FACE('',(#22840),#3404,.T.); #332266=ADVANCED_FACE('',(#22841),#8544,.T.); #332267=ADVANCED_FACE('',(#22842),#3405,.T.); #332268=ADVANCED_FACE('',(#22843),#327568,.F.); #332269=ADVANCED_FACE('',(#22844),#8545,.F.); #332270=ADVANCED_FACE('',(#22845),#8546,.T.); #332271=ADVANCED_FACE('',(#22846),#3406,.T.); #332272=ADVANCED_FACE('',(#22847),#8547,.T.); #332273=ADVANCED_FACE('',(#22848),#3407,.T.); #332274=ADVANCED_FACE('',(#22849),#327569,.F.); #332275=ADVANCED_FACE('',(#22850),#8548,.F.); #332276=ADVANCED_FACE('',(#22851),#8549,.T.); #332277=ADVANCED_FACE('',(#22852),#3408,.T.); #332278=ADVANCED_FACE('',(#22853),#8550,.T.); #332279=ADVANCED_FACE('',(#22854),#3409,.T.); #332280=ADVANCED_FACE('',(#22855),#327570,.F.); #332281=ADVANCED_FACE('',(#22856),#8551,.F.); #332282=ADVANCED_FACE('',(#22857),#8552,.T.); #332283=ADVANCED_FACE('',(#22858),#3410,.T.); #332284=ADVANCED_FACE('',(#22859),#8553,.T.); #332285=ADVANCED_FACE('',(#22860),#3411,.T.); #332286=ADVANCED_FACE('',(#22861),#327571,.F.); #332287=ADVANCED_FACE('',(#22862),#8554,.F.); #332288=ADVANCED_FACE('',(#22863),#8555,.T.); #332289=ADVANCED_FACE('',(#22864),#3412,.T.); #332290=ADVANCED_FACE('',(#22865),#8556,.T.); #332291=ADVANCED_FACE('',(#22866),#3413,.T.); #332292=ADVANCED_FACE('',(#22867),#327572,.F.); #332293=ADVANCED_FACE('',(#22868),#8557,.F.); #332294=ADVANCED_FACE('',(#22869),#8558,.T.); #332295=ADVANCED_FACE('',(#22870),#3414,.T.); #332296=ADVANCED_FACE('',(#22871),#8559,.T.); #332297=ADVANCED_FACE('',(#22872),#3415,.T.); #332298=ADVANCED_FACE('',(#22873),#327573,.F.); #332299=ADVANCED_FACE('',(#22874),#8560,.F.); #332300=ADVANCED_FACE('',(#22875),#8561,.T.); #332301=ADVANCED_FACE('',(#22876),#3416,.T.); #332302=ADVANCED_FACE('',(#22877),#8562,.T.); #332303=ADVANCED_FACE('',(#22878),#3417,.T.); #332304=ADVANCED_FACE('',(#22879),#327574,.F.); #332305=ADVANCED_FACE('',(#22880),#8563,.F.); #332306=ADVANCED_FACE('',(#22881),#8564,.T.); #332307=ADVANCED_FACE('',(#22882),#3418,.T.); #332308=ADVANCED_FACE('',(#22883),#8565,.T.); #332309=ADVANCED_FACE('',(#22884),#3419,.T.); #332310=ADVANCED_FACE('',(#22885),#327575,.F.); #332311=ADVANCED_FACE('',(#22886),#8566,.F.); #332312=ADVANCED_FACE('',(#22887),#8567,.T.); #332313=ADVANCED_FACE('',(#22888),#3420,.T.); #332314=ADVANCED_FACE('',(#22889),#8568,.T.); #332315=ADVANCED_FACE('',(#22890),#3421,.T.); #332316=ADVANCED_FACE('',(#22891),#327576,.F.); #332317=ADVANCED_FACE('',(#22892),#8569,.F.); #332318=ADVANCED_FACE('',(#22893),#8570,.T.); #332319=ADVANCED_FACE('',(#22894),#3422,.T.); #332320=ADVANCED_FACE('',(#22895),#8571,.T.); #332321=ADVANCED_FACE('',(#22896),#3423,.T.); #332322=ADVANCED_FACE('',(#22897),#327577,.F.); #332323=ADVANCED_FACE('',(#22898),#8572,.F.); #332324=ADVANCED_FACE('',(#22899),#8573,.T.); #332325=ADVANCED_FACE('',(#22900),#3424,.T.); #332326=ADVANCED_FACE('',(#22901),#8574,.T.); #332327=ADVANCED_FACE('',(#22902),#3425,.T.); #332328=ADVANCED_FACE('',(#22903),#327578,.F.); #332329=ADVANCED_FACE('',(#22904),#8575,.F.); #332330=ADVANCED_FACE('',(#22905),#8576,.T.); #332331=ADVANCED_FACE('',(#22906),#3426,.T.); #332332=ADVANCED_FACE('',(#22907),#8577,.T.); #332333=ADVANCED_FACE('',(#22908),#3427,.T.); #332334=ADVANCED_FACE('',(#22909),#327579,.F.); #332335=ADVANCED_FACE('',(#22910),#8578,.F.); #332336=ADVANCED_FACE('',(#22911),#8579,.T.); #332337=ADVANCED_FACE('',(#22912),#3428,.T.); #332338=ADVANCED_FACE('',(#22913),#8580,.T.); #332339=ADVANCED_FACE('',(#22914),#3429,.T.); #332340=ADVANCED_FACE('',(#22915),#327580,.F.); #332341=ADVANCED_FACE('',(#22916),#8581,.F.); #332342=ADVANCED_FACE('',(#22917),#8582,.T.); #332343=ADVANCED_FACE('',(#22918),#3430,.T.); #332344=ADVANCED_FACE('',(#22919),#8583,.T.); #332345=ADVANCED_FACE('',(#22920),#3431,.T.); #332346=ADVANCED_FACE('',(#22921),#327581,.F.); #332347=ADVANCED_FACE('',(#22922),#8584,.F.); #332348=ADVANCED_FACE('',(#22923),#8585,.T.); #332349=ADVANCED_FACE('',(#22924),#8586,.T.); #332350=ADVANCED_FACE('',(#22925),#8587,.F.); #332351=ADVANCED_FACE('',(#22926),#8588,.F.); #332352=ADVANCED_FACE('',(#22927),#8589,.F.); #332353=ADVANCED_FACE('',(#22928),#8590,.T.); #332354=ADVANCED_FACE('',(#22929),#8591,.T.); #332355=ADVANCED_FACE('',(#22930),#327582,.F.); #332356=ADVANCED_FACE('',(#22931),#327583,.F.); #332357=ADVANCED_FACE('',(#22932),#8592,.T.); #332358=ADVANCED_FACE('',(#22933),#327584,.F.); #332359=ADVANCED_FACE('',(#22934),#8593,.T.); #332360=ADVANCED_FACE('',(#22935),#327585,.F.); #332361=ADVANCED_FACE('',(#22936),#8594,.T.); #332362=ADVANCED_FACE('',(#22937,#3639,#3640,#3641,#3642,#3643),#8595,.T.); #332363=ADVANCED_FACE('',(#22938),#3533,.F.); #332364=ADVANCED_FACE('',(#22939),#327586,.F.); #332365=ADVANCED_FACE('',(#22940,#3644,#3645,#3646,#3647,#3648),#8596,.T.); #332366=ADVANCED_FACE('',(#22941,#3649),#8597,.T.); #332367=ADVANCED_FACE('',(#22942),#327587,.F.); #332368=ADVANCED_FACE('',(#22943),#327588,.F.); #332369=ADVANCED_FACE('',(#22944),#327589,.F.); #332370=ADVANCED_FACE('',(#22945),#327590,.F.); #332371=ADVANCED_FACE('',(#22946),#327591,.F.); #332372=ADVANCED_FACE('',(#22947,#3650),#8598,.T.); #332373=ADVANCED_FACE('',(#22948),#327592,.F.); #332374=ADVANCED_FACE('',(#22949,#3651),#8599,.T.); #332375=ADVANCED_FACE('',(#22950),#327593,.F.); #332376=ADVANCED_FACE('',(#22951,#3652),#8600,.T.); #332377=ADVANCED_FACE('',(#22952),#327594,.F.); #332378=ADVANCED_FACE('',(#22953,#3653),#8601,.T.); #332379=ADVANCED_FACE('',(#22954),#327595,.F.); #332380=ADVANCED_FACE('',(#22955),#327596,.T.); #332381=ADVANCED_FACE('',(#22956,#3654,#3655,#3656,#3657,#3658),#8602,.F.); #332382=ADVANCED_FACE('',(#22957),#327597,.F.); #332383=ADVANCED_FACE('',(#22958),#327598,.T.); #332384=ADVANCED_FACE('',(#22959),#8603,.T.); #332385=ADVANCED_FACE('',(#22960),#8604,.T.); #332386=ADVANCED_FACE('',(#22961),#8605,.T.); #332387=ADVANCED_FACE('',(#22962),#3534,.T.); #332388=ADVANCED_FACE('',(#22963),#8606,.F.); #332389=ADVANCED_FACE('',(#22964),#8607,.F.); #332390=ADVANCED_FACE('',(#22965),#8608,.F.); #332391=ADVANCED_FACE('',(#22966),#8609,.F.); #332392=ADVANCED_FACE('',(#22967),#8610,.F.); #332393=ADVANCED_FACE('',(#22968),#8611,.F.); #332394=ADVANCED_FACE('',(#22969),#8612,.F.); #332395=ADVANCED_FACE('',(#22970),#8613,.F.); #332396=ADVANCED_FACE('',(#22971),#8614,.F.); #332397=ADVANCED_FACE('',(#22972),#8615,.F.); #332398=ADVANCED_FACE('',(#22973),#8616,.F.); #332399=ADVANCED_FACE('',(#22974),#8617,.F.); #332400=ADVANCED_FACE('',(#22975),#8618,.F.); #332401=ADVANCED_FACE('',(#22976),#8619,.F.); #332402=ADVANCED_FACE('',(#22977),#8620,.F.); #332403=ADVANCED_FACE('',(#22978),#8621,.F.); #332404=ADVANCED_FACE('',(#22979),#8622,.F.); #332405=ADVANCED_FACE('',(#22980),#8623,.F.); #332406=ADVANCED_FACE('',(#22981),#8624,.F.); #332407=ADVANCED_FACE('',(#22982),#8625,.F.); #332408=ADVANCED_FACE('',(#22983),#8626,.F.); #332409=ADVANCED_FACE('',(#22984),#8627,.F.); #332410=ADVANCED_FACE('',(#22985),#8628,.F.); #332411=ADVANCED_FACE('',(#22986),#8629,.F.); #332412=ADVANCED_FACE('',(#22987),#8630,.T.); #332413=ADVANCED_FACE('',(#22988),#8631,.T.); #332414=ADVANCED_FACE('',(#22989),#8632,.T.); #332415=ADVANCED_FACE('',(#22990),#8633,.T.); #332416=ADVANCED_FACE('',(#22991),#8634,.T.); #332417=ADVANCED_FACE('',(#22992),#8635,.T.); #332418=ADVANCED_FACE('',(#22993),#8636,.T.); #332419=ADVANCED_FACE('',(#22994),#8637,.T.); #332420=ADVANCED_FACE('',(#22995),#8638,.T.); #332421=ADVANCED_FACE('',(#22996),#327599,.T.); #332422=ADVANCED_FACE('',(#22997),#8639,.T.); #332423=ADVANCED_FACE('',(#22998),#8640,.T.); #332424=ADVANCED_FACE('',(#22999),#8641,.F.); #332425=ADVANCED_FACE('',(#23000),#8642,.T.); #332426=ADVANCED_FACE('',(#23001),#8643,.T.); #332427=ADVANCED_FACE('',(#23002),#8644,.F.); #332428=ADVANCED_FACE('',(#23003),#8645,.T.); #332429=ADVANCED_FACE('',(#23004),#327600,.F.); #332430=ADVANCED_FACE('',(#23005),#8646,.T.); #332431=ADVANCED_FACE('',(#23006),#8647,.T.); #332432=ADVANCED_FACE('',(#23007),#8648,.F.); #332433=ADVANCED_FACE('',(#23008),#8649,.F.); #332434=ADVANCED_FACE('',(#23009),#8650,.F.); #332435=ADVANCED_FACE('',(#23010),#8651,.F.); #332436=ADVANCED_FACE('',(#23011),#8652,.T.); #332437=ADVANCED_FACE('',(#23012),#8653,.T.); #332438=ADVANCED_FACE('',(#23013),#8654,.T.); #332439=ADVANCED_FACE('',(#23014),#8655,.T.); #332440=ADVANCED_FACE('',(#23015,#3659),#8656,.T.); #332441=ADVANCED_FACE('',(#23016),#8657,.T.); #332442=ADVANCED_FACE('',(#23017),#8658,.T.); #332443=ADVANCED_FACE('',(#23018),#8659,.T.); #332444=ADVANCED_FACE('',(#23019),#8660,.T.); #332445=ADVANCED_FACE('',(#23020),#327601,.F.); #332446=ADVANCED_FACE('',(#23021),#8661,.T.); #332447=ADVANCED_FACE('',(#23022),#8662,.F.); #332448=ADVANCED_FACE('',(#23023),#327602,.T.); #332449=ADVANCED_FACE('',(#23024),#8663,.T.); #332450=ADVANCED_FACE('',(#23025),#8664,.T.); #332451=ADVANCED_FACE('',(#23026),#8665,.F.); #332452=ADVANCED_FACE('',(#23027),#327603,.T.); #332453=ADVANCED_FACE('',(#23028),#8666,.T.); #332454=ADVANCED_FACE('',(#23029),#8667,.F.); #332455=ADVANCED_FACE('',(#23030),#327604,.F.); #332456=ADVANCED_FACE('',(#23031),#8668,.T.); #332457=ADVANCED_FACE('',(#23032),#8669,.T.); #332458=ADVANCED_FACE('',(#23033),#327605,.F.); #332459=ADVANCED_FACE('',(#23034),#8670,.T.); #332460=ADVANCED_FACE('',(#23035),#327606,.F.); #332461=ADVANCED_FACE('',(#23036),#8671,.T.); #332462=ADVANCED_FACE('',(#23037),#327607,.T.); #332463=ADVANCED_FACE('',(#23038),#327608,.F.); #332464=ADVANCED_FACE('',(#23039),#8672,.T.); #332465=ADVANCED_FACE('',(#23040),#327609,.T.); #332466=ADVANCED_FACE('',(#23041),#327610,.F.); #332467=ADVANCED_FACE('',(#23042),#3535,.F.); #332468=ADVANCED_FACE('',(#23043),#327611,.T.); #332469=ADVANCED_FACE('',(#23044),#3536,.F.); #332470=ADVANCED_FACE('',(#23045),#327612,.T.); #332471=ADVANCED_FACE('',(#23046),#327613,.F.); #332472=ADVANCED_FACE('',(#23047),#327614,.T.); #332473=ADVANCED_FACE('',(#23048),#327615,.T.); #332474=ADVANCED_FACE('',(#23049),#327616,.F.); #332475=ADVANCED_FACE('',(#23050),#327617,.T.); #332476=ADVANCED_FACE('',(#23051),#327618,.F.); #332477=ADVANCED_FACE('',(#23052),#327619,.F.); #332478=ADVANCED_FACE('',(#23053),#327620,.F.); #332479=ADVANCED_FACE('',(#23054),#327621,.F.); #332480=ADVANCED_FACE('',(#23055),#327622,.F.); #332481=ADVANCED_FACE('',(#23056),#8673,.T.); #332482=ADVANCED_FACE('',(#23057),#8674,.T.); #332483=ADVANCED_FACE('',(#23058),#8675,.T.); #332484=ADVANCED_FACE('',(#23059),#8676,.T.); #332485=ADVANCED_FACE('',(#23060),#8677,.T.); #332486=ADVANCED_FACE('',(#23061),#8678,.T.); #332487=ADVANCED_FACE('',(#23062),#3537,.F.); #332488=ADVANCED_FACE('',(#23063),#3538,.F.); #332489=ADVANCED_FACE('',(#23064),#8679,.T.); #332490=ADVANCED_FACE('',(#23065),#3539,.F.); #332491=ADVANCED_FACE('',(#23066),#3540,.F.); #332492=ADVANCED_FACE('',(#23067),#8680,.T.); #332493=ADVANCED_FACE('',(#23068),#3541,.F.); #332494=ADVANCED_FACE('',(#23069),#8681,.T.); #332495=ADVANCED_FACE('',(#23070,#3660),#8682,.T.); #332496=ADVANCED_FACE('',(#23071),#327623,.F.); #332497=ADVANCED_FACE('',(#23072),#8683,.T.); #332498=ADVANCED_FACE('',(#23073),#327624,.F.); #332499=ADVANCED_FACE('',(#23074),#8684,.T.); #332500=ADVANCED_FACE('',(#23075),#327625,.F.); #332501=ADVANCED_FACE('',(#23076),#8685,.T.); #332502=ADVANCED_FACE('',(#23077),#8686,.T.); #332503=ADVANCED_FACE('',(#23078),#8687,.T.); #332504=ADVANCED_FACE('',(#23079),#8688,.T.); #332505=ADVANCED_FACE('',(#23080,#3661),#8689,.F.); #332506=ADVANCED_FACE('',(#23081),#8690,.T.); #332507=ADVANCED_FACE('',(#23082),#327626,.F.); #332508=ADVANCED_FACE('',(#23083),#327627,.F.); #332509=ADVANCED_FACE('',(#23084),#327628,.F.); #332510=ADVANCED_FACE('',(#23085),#327629,.F.); #332511=ADVANCED_FACE('',(#23086),#327630,.F.); #332512=ADVANCED_FACE('',(#23087),#327631,.F.); #332513=ADVANCED_FACE('',(#23088),#327632,.F.); #332514=ADVANCED_FACE('',(#23089),#327633,.F.); #332515=ADVANCED_FACE('',(#23090),#327634,.F.); #332516=ADVANCED_FACE('',(#23091),#327635,.F.); #332517=ADVANCED_FACE('',(#23092),#327636,.F.); #332518=ADVANCED_FACE('',(#23093),#327637,.F.); #332519=ADVANCED_FACE('',(#23094),#327638,.F.); #332520=ADVANCED_FACE('',(#23095),#327639,.F.); #332521=ADVANCED_FACE('',(#23096),#327640,.F.); #332522=ADVANCED_FACE('',(#23097),#327641,.F.); #332523=ADVANCED_FACE('',(#23098),#327642,.F.); #332524=ADVANCED_FACE('',(#23099),#327643,.F.); #332525=ADVANCED_FACE('',(#23100),#327644,.F.); #332526=ADVANCED_FACE('',(#23101),#327645,.F.); #332527=ADVANCED_FACE('',(#23102),#327646,.F.); #332528=ADVANCED_FACE('',(#23103),#327647,.F.); #332529=ADVANCED_FACE('',(#23104),#327648,.F.); #332530=ADVANCED_FACE('',(#23105),#327649,.F.); #332531=ADVANCED_FACE('',(#23106),#327650,.F.); #332532=ADVANCED_FACE('',(#23107),#327651,.F.); #332533=ADVANCED_FACE('',(#23108),#327652,.F.); #332534=ADVANCED_FACE('',(#23109),#327653,.F.); #332535=ADVANCED_FACE('',(#23110),#327654,.F.); #332536=ADVANCED_FACE('',(#23111),#327655,.F.); #332537=ADVANCED_FACE('',(#23112),#327656,.F.); #332538=ADVANCED_FACE('',(#23113),#327657,.F.); #332539=ADVANCED_FACE('',(#23114),#327658,.F.); #332540=ADVANCED_FACE('',(#23115),#327659,.F.); #332541=ADVANCED_FACE('',(#23116),#327660,.F.); #332542=ADVANCED_FACE('',(#23117),#327661,.F.); #332543=ADVANCED_FACE('',(#23118),#327662,.F.); #332544=ADVANCED_FACE('',(#23119),#327663,.F.); #332545=ADVANCED_FACE('',(#23120),#327664,.F.); #332546=ADVANCED_FACE('',(#23121),#327665,.F.); #332547=ADVANCED_FACE('',(#23122),#327666,.F.); #332548=ADVANCED_FACE('',(#23123),#327667,.F.); #332549=ADVANCED_FACE('',(#23124),#327668,.F.); #332550=ADVANCED_FACE('',(#23125),#327669,.F.); #332551=ADVANCED_FACE('',(#23126),#327670,.F.); #332552=ADVANCED_FACE('',(#23127),#327671,.F.); #332553=ADVANCED_FACE('',(#23128),#327672,.F.); #332554=ADVANCED_FACE('',(#23129),#327673,.F.); #332555=ADVANCED_FACE('',(#23130),#327674,.F.); #332556=ADVANCED_FACE('',(#23131),#327675,.F.); #332557=ADVANCED_FACE('',(#23132),#327676,.F.); #332558=ADVANCED_FACE('',(#23133),#327677,.F.); #332559=ADVANCED_FACE('',(#23134),#327678,.F.); #332560=ADVANCED_FACE('',(#23135),#327679,.F.); #332561=ADVANCED_FACE('',(#23136),#327680,.F.); #332562=ADVANCED_FACE('',(#23137),#327681,.F.); #332563=ADVANCED_FACE('',(#23138),#327682,.F.); #332564=ADVANCED_FACE('',(#23139),#327683,.F.); #332565=ADVANCED_FACE('',(#23140),#327684,.F.); #332566=ADVANCED_FACE('',(#23141),#327685,.F.); #332567=ADVANCED_FACE('',(#23142),#327686,.F.); #332568=ADVANCED_FACE('',(#23143),#327687,.F.); #332569=ADVANCED_FACE('',(#23144),#327688,.F.); #332570=ADVANCED_FACE('',(#23145),#327689,.F.); #332571=ADVANCED_FACE('',(#23146),#327690,.F.); #332572=ADVANCED_FACE('',(#23147),#327691,.F.); #332573=ADVANCED_FACE('',(#23148),#327692,.F.); #332574=ADVANCED_FACE('',(#23149),#327693,.F.); #332575=ADVANCED_FACE('',(#23150),#327694,.F.); #332576=ADVANCED_FACE('',(#23151),#327695,.F.); #332577=ADVANCED_FACE('',(#23152),#327696,.F.); #332578=ADVANCED_FACE('',(#23153),#327697,.F.); #332579=ADVANCED_FACE('',(#23154),#327698,.F.); #332580=ADVANCED_FACE('',(#23155),#327699,.F.); #332581=ADVANCED_FACE('',(#23156),#327700,.F.); #332582=ADVANCED_FACE('',(#23157),#327701,.F.); #332583=ADVANCED_FACE('',(#23158),#327702,.F.); #332584=ADVANCED_FACE('',(#23159),#327703,.F.); #332585=ADVANCED_FACE('',(#23160),#327704,.F.); #332586=ADVANCED_FACE('',(#23161),#327705,.F.); #332587=ADVANCED_FACE('',(#23162),#327706,.F.); #332588=ADVANCED_FACE('',(#23163),#327707,.F.); #332589=ADVANCED_FACE('',(#23164),#327708,.F.); #332590=ADVANCED_FACE('',(#23165),#327709,.F.); #332591=ADVANCED_FACE('',(#23166),#327710,.F.); #332592=ADVANCED_FACE('',(#23167),#327711,.F.); #332593=ADVANCED_FACE('',(#23168),#327712,.F.); #332594=ADVANCED_FACE('',(#23169),#327713,.F.); #332595=ADVANCED_FACE('',(#23170),#327714,.F.); #332596=ADVANCED_FACE('',(#23171),#327715,.F.); #332597=ADVANCED_FACE('',(#23172),#327716,.F.); #332598=ADVANCED_FACE('',(#23173),#327717,.F.); #332599=ADVANCED_FACE('',(#23174),#327718,.F.); #332600=ADVANCED_FACE('',(#23175),#327719,.F.); #332601=ADVANCED_FACE('',(#23176),#327720,.F.); #332602=ADVANCED_FACE('',(#23177),#327721,.F.); #332603=ADVANCED_FACE('',(#23178),#327722,.F.); #332604=ADVANCED_FACE('',(#23179),#327723,.F.); #332605=ADVANCED_FACE('',(#23180),#327724,.F.); #332606=ADVANCED_FACE('',(#23181),#327725,.F.); #332607=ADVANCED_FACE('',(#23182),#327726,.F.); #332608=ADVANCED_FACE('',(#23183),#327727,.F.); #332609=ADVANCED_FACE('',(#23184),#327728,.F.); #332610=ADVANCED_FACE('',(#23185),#327729,.F.); #332611=ADVANCED_FACE('',(#23186),#327730,.F.); #332612=ADVANCED_FACE('',(#23187),#327731,.F.); #332613=ADVANCED_FACE('',(#23188),#327732,.F.); #332614=ADVANCED_FACE('',(#23189),#327733,.F.); #332615=ADVANCED_FACE('',(#23190),#327734,.F.); #332616=ADVANCED_FACE('',(#23191),#327735,.F.); #332617=ADVANCED_FACE('',(#23192),#327736,.F.); #332618=ADVANCED_FACE('',(#23193),#327737,.F.); #332619=ADVANCED_FACE('',(#23194),#327738,.F.); #332620=ADVANCED_FACE('',(#23195),#327739,.F.); #332621=ADVANCED_FACE('',(#23196),#327740,.F.); #332622=ADVANCED_FACE('',(#23197),#327741,.F.); #332623=ADVANCED_FACE('',(#23198),#327742,.F.); #332624=ADVANCED_FACE('',(#23199),#327743,.F.); #332625=ADVANCED_FACE('',(#23200),#327744,.F.); #332626=ADVANCED_FACE('',(#23201),#327745,.F.); #332627=ADVANCED_FACE('',(#23202),#327746,.F.); #332628=ADVANCED_FACE('',(#23203),#327747,.F.); #332629=ADVANCED_FACE('',(#23204),#327748,.F.); #332630=ADVANCED_FACE('',(#23205),#327749,.F.); #332631=ADVANCED_FACE('',(#23206),#327750,.F.); #332632=ADVANCED_FACE('',(#23207),#327751,.F.); #332633=ADVANCED_FACE('',(#23208),#327752,.F.); #332634=ADVANCED_FACE('',(#23209),#327753,.F.); #332635=ADVANCED_FACE('',(#23210),#327754,.F.); #332636=ADVANCED_FACE('',(#23211),#327755,.F.); #332637=ADVANCED_FACE('',(#23212),#327756,.F.); #332638=ADVANCED_FACE('',(#23213),#327757,.F.); #332639=ADVANCED_FACE('',(#23214),#327758,.F.); #332640=ADVANCED_FACE('',(#23215),#327759,.F.); #332641=ADVANCED_FACE('',(#23216),#327760,.F.); #332642=ADVANCED_FACE('',(#23217),#327761,.F.); #332643=ADVANCED_FACE('',(#23218),#327762,.F.); #332644=ADVANCED_FACE('',(#23219),#327763,.F.); #332645=ADVANCED_FACE('',(#23220),#327764,.F.); #332646=ADVANCED_FACE('',(#23221),#327765,.F.); #332647=ADVANCED_FACE('',(#23222),#327766,.F.); #332648=ADVANCED_FACE('',(#23223),#327767,.F.); #332649=ADVANCED_FACE('',(#23224),#327768,.F.); #332650=ADVANCED_FACE('',(#23225),#327769,.F.); #332651=ADVANCED_FACE('',(#23226),#327770,.F.); #332652=ADVANCED_FACE('',(#23227),#327771,.F.); #332653=ADVANCED_FACE('',(#23228),#327772,.F.); #332654=ADVANCED_FACE('',(#23229),#327773,.F.); #332655=ADVANCED_FACE('',(#23230),#327774,.F.); #332656=ADVANCED_FACE('',(#23231),#327775,.F.); #332657=ADVANCED_FACE('',(#23232),#327776,.F.); #332658=ADVANCED_FACE('',(#23233),#327777,.F.); #332659=ADVANCED_FACE('',(#23234),#327778,.F.); #332660=ADVANCED_FACE('',(#23235),#327779,.F.); #332661=ADVANCED_FACE('',(#23236),#327780,.F.); #332662=ADVANCED_FACE('',(#23237),#327781,.F.); #332663=ADVANCED_FACE('',(#23238),#327782,.F.); #332664=ADVANCED_FACE('',(#23239),#327783,.F.); #332665=ADVANCED_FACE('',(#23240),#327784,.F.); #332666=ADVANCED_FACE('',(#23241),#327785,.F.); #332667=ADVANCED_FACE('',(#23242),#327786,.F.); #332668=ADVANCED_FACE('',(#23243),#327787,.F.); #332669=ADVANCED_FACE('',(#23244),#327788,.F.); #332670=ADVANCED_FACE('',(#23245),#8691,.F.); #332671=ADVANCED_FACE('',(#23246),#327789,.F.); #332672=ADVANCED_FACE('',(#23247),#8692,.F.); #332673=ADVANCED_FACE('',(#23248),#327790,.F.); #332674=ADVANCED_FACE('',(#23249),#327791,.F.); #332675=ADVANCED_FACE('',(#23250),#8693,.F.); #332676=ADVANCED_FACE('',(#23251),#327792,.F.); #332677=ADVANCED_FACE('',(#23252),#8694,.F.); #332678=ADVANCED_FACE('',(#23253),#327793,.F.); #332679=ADVANCED_FACE('',(#23254),#327794,.F.); #332680=ADVANCED_FACE('',(#23255),#327795,.F.); #332681=ADVANCED_FACE('',(#23256),#327796,.F.); #332682=ADVANCED_FACE('',(#23257),#8695,.F.); #332683=ADVANCED_FACE('',(#23258),#327797,.F.); #332684=ADVANCED_FACE('',(#23259),#8696,.F.); #332685=ADVANCED_FACE('',(#23260),#327798,.F.); #332686=ADVANCED_FACE('',(#23261),#327799,.F.); #332687=ADVANCED_FACE('',(#23262),#327800,.F.); #332688=ADVANCED_FACE('',(#23263),#327801,.F.); #332689=ADVANCED_FACE('',(#23264),#327802,.F.); #332690=ADVANCED_FACE('',(#23265),#327803,.F.); #332691=ADVANCED_FACE('',(#23266),#327804,.F.); #332692=ADVANCED_FACE('',(#23267),#327805,.F.); #332693=ADVANCED_FACE('',(#23268),#327806,.F.); #332694=ADVANCED_FACE('',(#23269),#327807,.F.); #332695=ADVANCED_FACE('',(#23270),#327808,.F.); #332696=ADVANCED_FACE('',(#23271),#8697,.F.); #332697=ADVANCED_FACE('',(#23272),#327809,.F.); #332698=ADVANCED_FACE('',(#23273),#8698,.F.); #332699=ADVANCED_FACE('',(#23274),#327810,.F.); #332700=ADVANCED_FACE('',(#23275),#327811,.F.); #332701=ADVANCED_FACE('',(#23276),#8699,.F.); #332702=ADVANCED_FACE('',(#23277),#327812,.F.); #332703=ADVANCED_FACE('',(#23278),#8700,.F.); #332704=ADVANCED_FACE('',(#23279),#327813,.F.); #332705=ADVANCED_FACE('',(#23280),#327814,.F.); #332706=ADVANCED_FACE('',(#23281),#8701,.F.); #332707=ADVANCED_FACE('',(#23282),#327815,.F.); #332708=ADVANCED_FACE('',(#23283),#8702,.F.); #332709=ADVANCED_FACE('',(#23284),#327816,.F.); #332710=ADVANCED_FACE('',(#23285),#327817,.F.); #332711=ADVANCED_FACE('',(#23286),#327818,.F.); #332712=ADVANCED_FACE('',(#23287),#327819,.F.); #332713=ADVANCED_FACE('',(#23288),#327820,.F.); #332714=ADVANCED_FACE('',(#23289),#327821,.F.); #332715=ADVANCED_FACE('',(#23290),#327822,.F.); #332716=ADVANCED_FACE('',(#23291),#327823,.F.); #332717=ADVANCED_FACE('',(#23292),#327824,.F.); #332718=ADVANCED_FACE('',(#23293),#327825,.F.); #332719=ADVANCED_FACE('',(#23294),#327826,.F.); #332720=ADVANCED_FACE('',(#23295),#327827,.F.); #332721=ADVANCED_FACE('',(#23296),#327828,.F.); #332722=ADVANCED_FACE('',(#23297),#327829,.F.); #332723=ADVANCED_FACE('',(#23298),#327830,.F.); #332724=ADVANCED_FACE('',(#23299),#327831,.F.); #332725=ADVANCED_FACE('',(#23300),#327832,.F.); #332726=ADVANCED_FACE('',(#23301),#327833,.F.); #332727=ADVANCED_FACE('',(#23302),#327834,.F.); #332728=ADVANCED_FACE('',(#23303),#327835,.F.); #332729=ADVANCED_FACE('',(#23304),#327836,.F.); #332730=ADVANCED_FACE('',(#23305),#327837,.F.); #332731=ADVANCED_FACE('',(#23306),#327838,.F.); #332732=ADVANCED_FACE('',(#23307),#327839,.F.); #332733=ADVANCED_FACE('',(#23308),#327840,.F.); #332734=ADVANCED_FACE('',(#23309),#327841,.F.); #332735=ADVANCED_FACE('',(#23310),#327842,.F.); #332736=ADVANCED_FACE('',(#23311),#327843,.F.); #332737=ADVANCED_FACE('',(#23312),#327844,.F.); #332738=ADVANCED_FACE('',(#23313),#327845,.F.); #332739=ADVANCED_FACE('',(#23314),#327846,.F.); #332740=ADVANCED_FACE('',(#23315),#327847,.F.); #332741=ADVANCED_FACE('',(#23316),#327848,.F.); #332742=ADVANCED_FACE('',(#23317),#327849,.F.); #332743=ADVANCED_FACE('',(#23318),#327850,.F.); #332744=ADVANCED_FACE('',(#23319),#327851,.F.); #332745=ADVANCED_FACE('',(#23320),#327852,.F.); #332746=ADVANCED_FACE('',(#23321),#327853,.F.); #332747=ADVANCED_FACE('',(#23322),#327854,.F.); #332748=ADVANCED_FACE('',(#23323),#327855,.F.); #332749=ADVANCED_FACE('',(#23324),#327856,.F.); #332750=ADVANCED_FACE('',(#23325),#327857,.F.); #332751=ADVANCED_FACE('',(#23326),#327858,.F.); #332752=ADVANCED_FACE('',(#23327),#327859,.F.); #332753=ADVANCED_FACE('',(#23328),#327860,.F.); #332754=ADVANCED_FACE('',(#23329),#327861,.F.); #332755=ADVANCED_FACE('',(#23330),#327862,.F.); #332756=ADVANCED_FACE('',(#23331),#327863,.F.); #332757=ADVANCED_FACE('',(#23332),#327864,.F.); #332758=ADVANCED_FACE('',(#23333),#327865,.F.); #332759=ADVANCED_FACE('',(#23334),#327866,.F.); #332760=ADVANCED_FACE('',(#23335),#327867,.F.); #332761=ADVANCED_FACE('',(#23336),#327868,.F.); #332762=ADVANCED_FACE('',(#23337),#327869,.F.); #332763=ADVANCED_FACE('',(#23338),#327870,.F.); #332764=ADVANCED_FACE('',(#23339),#327871,.F.); #332765=ADVANCED_FACE('',(#23340),#327872,.F.); #332766=ADVANCED_FACE('',(#23341),#327873,.F.); #332767=ADVANCED_FACE('',(#23342),#327874,.F.); #332768=ADVANCED_FACE('',(#23343),#327875,.F.); #332769=ADVANCED_FACE('',(#23344),#327876,.F.); #332770=ADVANCED_FACE('',(#23345),#327877,.F.); #332771=ADVANCED_FACE('',(#23346),#327878,.F.); #332772=ADVANCED_FACE('',(#23347),#327879,.F.); #332773=ADVANCED_FACE('',(#23348),#327880,.F.); #332774=ADVANCED_FACE('',(#23349),#327881,.F.); #332775=ADVANCED_FACE('',(#23350),#327882,.F.); #332776=ADVANCED_FACE('',(#23351),#327883,.F.); #332777=ADVANCED_FACE('',(#23352),#327884,.F.); #332778=ADVANCED_FACE('',(#23353),#327885,.F.); #332779=ADVANCED_FACE('',(#23354),#327886,.F.); #332780=ADVANCED_FACE('',(#23355),#327887,.F.); #332781=ADVANCED_FACE('',(#23356),#327888,.F.); #332782=ADVANCED_FACE('',(#23357),#327889,.F.); #332783=ADVANCED_FACE('',(#23358),#327890,.F.); #332784=ADVANCED_FACE('',(#23359),#327891,.F.); #332785=ADVANCED_FACE('',(#23360),#327892,.F.); #332786=ADVANCED_FACE('',(#23361),#327893,.F.); #332787=ADVANCED_FACE('',(#23362),#327894,.F.); #332788=ADVANCED_FACE('',(#23363),#327895,.F.); #332789=ADVANCED_FACE('',(#23364),#327896,.F.); #332790=ADVANCED_FACE('',(#23365),#327897,.F.); #332791=ADVANCED_FACE('',(#23366),#327898,.F.); #332792=ADVANCED_FACE('',(#23367),#327899,.F.); #332793=ADVANCED_FACE('',(#23368),#327900,.F.); #332794=ADVANCED_FACE('',(#23369),#327901,.F.); #332795=ADVANCED_FACE('',(#23370),#327902,.F.); #332796=ADVANCED_FACE('',(#23371),#327903,.F.); #332797=ADVANCED_FACE('',(#23372),#327904,.F.); #332798=ADVANCED_FACE('',(#23373),#327905,.F.); #332799=ADVANCED_FACE('',(#23374),#327906,.F.); #332800=ADVANCED_FACE('',(#23375),#327907,.F.); #332801=ADVANCED_FACE('',(#23376),#327908,.F.); #332802=ADVANCED_FACE('',(#23377),#327909,.F.); #332803=ADVANCED_FACE('',(#23378),#327910,.F.); #332804=ADVANCED_FACE('',(#23379),#327911,.F.); #332805=ADVANCED_FACE('',(#23380),#327912,.F.); #332806=ADVANCED_FACE('',(#23381),#327913,.F.); #332807=ADVANCED_FACE('',(#23382),#327914,.F.); #332808=ADVANCED_FACE('',(#23383),#327915,.F.); #332809=ADVANCED_FACE('',(#23384),#327916,.F.); #332810=ADVANCED_FACE('',(#23385),#327917,.F.); #332811=ADVANCED_FACE('',(#23386),#327918,.F.); #332812=ADVANCED_FACE('',(#23387),#327919,.F.); #332813=ADVANCED_FACE('',(#23388),#327920,.F.); #332814=ADVANCED_FACE('',(#23389),#327921,.F.); #332815=ADVANCED_FACE('',(#23390),#327922,.F.); #332816=ADVANCED_FACE('',(#23391),#327923,.F.); #332817=ADVANCED_FACE('',(#23392),#327924,.F.); #332818=ADVANCED_FACE('',(#23393),#327925,.F.); #332819=ADVANCED_FACE('',(#23394),#327926,.F.); #332820=ADVANCED_FACE('',(#23395),#327927,.F.); #332821=ADVANCED_FACE('',(#23396),#327928,.F.); #332822=ADVANCED_FACE('',(#23397),#327929,.F.); #332823=ADVANCED_FACE('',(#23398),#327930,.F.); #332824=ADVANCED_FACE('',(#23399),#327931,.F.); #332825=ADVANCED_FACE('',(#23400),#327932,.F.); #332826=ADVANCED_FACE('',(#23401),#327933,.F.); #332827=ADVANCED_FACE('',(#23402),#327934,.F.); #332828=ADVANCED_FACE('',(#23403),#327935,.F.); #332829=ADVANCED_FACE('',(#23404),#327936,.F.); #332830=ADVANCED_FACE('',(#23405),#327937,.F.); #332831=ADVANCED_FACE('',(#23406),#327938,.F.); #332832=ADVANCED_FACE('',(#23407),#327939,.F.); #332833=ADVANCED_FACE('',(#23408),#327940,.F.); #332834=ADVANCED_FACE('',(#23409),#327941,.F.); #332835=ADVANCED_FACE('',(#23410),#327942,.F.); #332836=ADVANCED_FACE('',(#23411),#327943,.F.); #332837=ADVANCED_FACE('',(#23412),#327944,.F.); #332838=ADVANCED_FACE('',(#23413),#327945,.F.); #332839=ADVANCED_FACE('',(#23414),#327946,.F.); #332840=ADVANCED_FACE('',(#23415),#327947,.F.); #332841=ADVANCED_FACE('',(#23416),#327948,.F.); #332842=ADVANCED_FACE('',(#23417),#327949,.T.); #332843=ADVANCED_FACE('',(#23418,#3662,#3663,#3664,#3665,#3666,#3667,#3668, #3669,#3670,#3671,#3672,#3673,#3674,#3675,#3676,#3677,#3678,#3679,#3680, #3681,#3682,#3683,#3684,#3685,#3686,#3687,#3688,#3689,#3690,#3691,#3692, #3693,#3694,#3695,#3696,#3697,#3698,#3699,#3700,#3701,#3702,#3703,#3704, #3705,#3706,#3707,#3708,#3709,#3710,#3711,#3712,#3713,#3714,#3715,#3716, #3717,#3718,#3719,#3720,#3721,#3722,#3723,#3724,#3725,#3726,#3727,#3728, #3729,#3730,#3731,#3732,#3733,#3734,#3735,#3736,#3737,#3738,#3739,#3740, #3741,#3742,#3743,#3744,#3745,#3746,#3747,#3748,#3749,#3750,#3751,#3752, #3753,#3754,#3755,#3756,#3757,#3758,#3759,#3760,#3761,#3762,#3763,#3764, #3765,#3766,#3767,#3768,#3769,#3770,#3771,#3772,#3773,#3774,#3775,#3776, #3777,#3778,#3779,#3780,#3781,#3782,#3783,#3784,#3785,#3786,#3787,#3788, #3789,#3790,#3791,#3792,#3793,#3794,#3795,#3796,#3797,#3798,#3799,#3800, #3801,#3802,#3803,#3804,#3805,#3806,#3807,#3808,#3809,#3810,#3811,#3812, #3813,#3814,#3815,#3816,#3817,#3818,#3819,#3820,#3821,#3822,#3823,#3824, #3825,#3826,#3827,#3828,#3829,#3830,#3831,#3832,#3833,#3834,#3835,#3836, #3837,#3838,#3839,#3840,#3841,#3842,#3843,#3844,#3845,#3846,#3847,#3848, #3849,#3850,#3851,#3852,#3853,#3854,#3855,#3856,#3857,#3858,#3859,#3860, #3861,#3862,#3863,#3864,#3865,#3866,#3867,#3868,#3869,#3870,#3871,#3872, #3873,#3874,#3875,#3876,#3877,#3878,#3879,#3880,#3881,#3882,#3883,#3884, #3885,#3886,#3887,#3888,#3889,#3890,#3891,#3892,#3893,#3894,#3895,#3896, #3897,#3898,#3899,#3900,#3901,#3902,#3903,#3904,#3905,#3906,#3907,#3908, #3909,#3910,#3911,#3912,#3913,#3914,#3915,#3916,#3917,#3918,#3919,#3920, #3921,#3922,#3923,#3924,#3925,#3926,#3927,#3928,#3929,#3930,#3931,#3932, #3933,#3934,#3935,#3936,#3937,#3938,#3939,#3940,#3941,#3942,#3943,#3944, #3945,#3946,#3947,#3948,#3949,#3950,#3951,#3952,#3953,#3954,#3955,#3956, #3957,#3958,#3959,#3960,#3961,#3962,#3963,#3964,#3965,#3966,#3967,#3968, #3969,#3970,#3971,#3972,#3973,#3974,#3975,#3976,#3977,#3978),#8703,.T.); #332844=ADVANCED_FACE('',(#23419,#3979,#3980,#3981,#3982,#3983,#3984,#3985, #3986,#3987,#3988,#3989,#3990,#3991,#3992,#3993,#3994,#3995,#3996,#3997, #3998,#3999,#4000,#4001,#4002,#4003,#4004,#4005,#4006,#4007,#4008,#4009, #4010,#4011,#4012,#4013,#4014,#4015,#4016,#4017,#4018,#4019,#4020,#4021, #4022,#4023,#4024,#4025,#4026,#4027,#4028,#4029,#4030,#4031,#4032,#4033, #4034,#4035,#4036,#4037,#4038,#4039,#4040,#4041,#4042,#4043,#4044,#4045, #4046,#4047,#4048,#4049,#4050,#4051,#4052,#4053,#4054,#4055,#4056,#4057, #4058,#4059,#4060,#4061,#4062,#4063,#4064,#4065,#4066,#4067,#4068,#4069, #4070,#4071,#4072,#4073,#4074,#4075,#4076,#4077,#4078,#4079,#4080,#4081, #4082,#4083,#4084,#4085,#4086,#4087,#4088,#4089,#4090,#4091,#4092,#4093, #4094,#4095,#4096,#4097,#4098,#4099,#4100,#4101,#4102,#4103,#4104,#4105, #4106,#4107,#4108,#4109,#4110,#4111,#4112,#4113,#4114,#4115,#4116,#4117, #4118,#4119,#4120,#4121,#4122,#4123,#4124,#4125,#4126,#4127,#4128,#4129, #4130,#4131,#4132,#4133,#4134,#4135,#4136,#4137,#4138,#4139,#4140,#4141, #4142,#4143,#4144,#4145,#4146,#4147,#4148,#4149,#4150,#4151,#4152,#4153, #4154,#4155,#4156,#4157,#4158,#4159,#4160,#4161,#4162,#4163,#4164,#4165, #4166,#4167,#4168,#4169,#4170,#4171,#4172,#4173,#4174,#4175,#4176,#4177, #4178,#4179,#4180,#4181,#4182,#4183,#4184,#4185,#4186,#4187,#4188,#4189, #4190,#4191,#4192,#4193,#4194,#4195,#4196,#4197,#4198,#4199,#4200,#4201, #4202,#4203,#4204,#4205,#4206,#4207,#4208,#4209,#4210,#4211,#4212,#4213, #4214,#4215,#4216,#4217,#4218,#4219,#4220,#4221,#4222,#4223,#4224,#4225, #4226,#4227,#4228,#4229,#4230,#4231,#4232,#4233,#4234,#4235,#4236,#4237, #4238,#4239,#4240,#4241,#4242,#4243,#4244,#4245,#4246,#4247,#4248,#4249, #4250,#4251,#4252,#4253,#4254,#4255,#4256,#4257,#4258,#4259,#4260,#4261, #4262,#4263,#4264,#4265,#4266,#4267,#4268,#4269,#4270,#4271,#4272,#4273, #4274,#4275,#4276,#4277,#4278,#4279,#4280,#4281,#4282,#4283,#4284,#4285, #4286,#4287,#4288,#4289,#4290,#4291,#4292,#4293,#4294,#4295),#8704,.F.); #332845=ADVANCED_FACE('',(#23420),#327950,.F.); #332846=ADVANCED_FACE('',(#23421),#327951,.T.); #332847=ADVANCED_FACE('',(#23422,#4296),#8705,.T.); #332848=ADVANCED_FACE('',(#23423,#4297),#8706,.F.); #332849=ADVANCED_FACE('',(#23424),#327952,.F.); #332850=ADVANCED_FACE('',(#23425),#327953,.T.); #332851=ADVANCED_FACE('',(#23426,#4298),#8707,.T.); #332852=ADVANCED_FACE('',(#23427,#4299),#8708,.F.); #332853=ADVANCED_FACE('',(#23428),#327954,.F.); #332854=ADVANCED_FACE('',(#23429),#327955,.T.); #332855=ADVANCED_FACE('',(#23430,#4300),#8709,.T.); #332856=ADVANCED_FACE('',(#23431,#4301),#8710,.F.); #332857=ADVANCED_FACE('',(#23432),#327956,.F.); #332858=ADVANCED_FACE('',(#23433),#327957,.T.); #332859=ADVANCED_FACE('',(#23434,#4302),#8711,.T.); #332860=ADVANCED_FACE('',(#23435,#4303),#8712,.F.); #332861=ADVANCED_FACE('',(#23436),#327958,.F.); #332862=ADVANCED_FACE('',(#23437),#327959,.T.); #332863=ADVANCED_FACE('',(#23438,#4304),#8713,.T.); #332864=ADVANCED_FACE('',(#23439,#4305),#8714,.F.); #332865=ADVANCED_FACE('',(#23440),#327960,.F.); #332866=ADVANCED_FACE('',(#23441),#327961,.T.); #332867=ADVANCED_FACE('',(#23442,#4306),#8715,.T.); #332868=ADVANCED_FACE('',(#23443,#4307),#8716,.F.); #332869=ADVANCED_FACE('',(#23444),#327962,.F.); #332870=ADVANCED_FACE('',(#23445),#327963,.T.); #332871=ADVANCED_FACE('',(#23446,#4308),#8717,.T.); #332872=ADVANCED_FACE('',(#23447,#4309),#8718,.F.); #332873=ADVANCED_FACE('',(#23448),#327964,.F.); #332874=ADVANCED_FACE('',(#23449),#327965,.T.); #332875=ADVANCED_FACE('',(#23450,#4310),#8719,.T.); #332876=ADVANCED_FACE('',(#23451,#4311),#8720,.F.); #332877=ADVANCED_FACE('',(#23452),#327966,.F.); #332878=ADVANCED_FACE('',(#23453),#327967,.T.); #332879=ADVANCED_FACE('',(#23454,#4312),#8721,.T.); #332880=ADVANCED_FACE('',(#23455,#4313),#8722,.F.); #332881=ADVANCED_FACE('',(#23456),#327968,.F.); #332882=ADVANCED_FACE('',(#23457),#327969,.T.); #332883=ADVANCED_FACE('',(#23458,#4314),#8723,.T.); #332884=ADVANCED_FACE('',(#23459,#4315),#8724,.F.); #332885=ADVANCED_FACE('',(#23460),#327970,.F.); #332886=ADVANCED_FACE('',(#23461),#327971,.T.); #332887=ADVANCED_FACE('',(#23462,#4316),#8725,.T.); #332888=ADVANCED_FACE('',(#23463,#4317),#8726,.F.); #332889=ADVANCED_FACE('',(#23464),#327972,.F.); #332890=ADVANCED_FACE('',(#23465),#327973,.T.); #332891=ADVANCED_FACE('',(#23466,#4318),#8727,.T.); #332892=ADVANCED_FACE('',(#23467,#4319),#8728,.F.); #332893=ADVANCED_FACE('',(#23468),#327974,.F.); #332894=ADVANCED_FACE('',(#23469),#327975,.T.); #332895=ADVANCED_FACE('',(#23470,#4320),#8729,.T.); #332896=ADVANCED_FACE('',(#23471,#4321),#8730,.F.); #332897=ADVANCED_FACE('',(#23472),#327976,.F.); #332898=ADVANCED_FACE('',(#23473),#327977,.T.); #332899=ADVANCED_FACE('',(#23474,#4322),#8731,.T.); #332900=ADVANCED_FACE('',(#23475,#4323),#8732,.F.); #332901=ADVANCED_FACE('',(#23476),#327978,.F.); #332902=ADVANCED_FACE('',(#23477),#327979,.T.); #332903=ADVANCED_FACE('',(#23478,#4324),#8733,.T.); #332904=ADVANCED_FACE('',(#23479,#4325),#8734,.F.); #332905=ADVANCED_FACE('',(#23480),#327980,.F.); #332906=ADVANCED_FACE('',(#23481),#327981,.T.); #332907=ADVANCED_FACE('',(#23482,#4326),#8735,.T.); #332908=ADVANCED_FACE('',(#23483,#4327),#8736,.F.); #332909=ADVANCED_FACE('',(#23484),#327982,.F.); #332910=ADVANCED_FACE('',(#23485),#327983,.T.); #332911=ADVANCED_FACE('',(#23486,#4328),#8737,.T.); #332912=ADVANCED_FACE('',(#23487,#4329),#8738,.F.); #332913=ADVANCED_FACE('',(#23488),#327984,.F.); #332914=ADVANCED_FACE('',(#23489),#327985,.T.); #332915=ADVANCED_FACE('',(#23490,#4330),#8739,.T.); #332916=ADVANCED_FACE('',(#23491,#4331),#8740,.F.); #332917=ADVANCED_FACE('',(#23492),#327986,.F.); #332918=ADVANCED_FACE('',(#23493),#327987,.T.); #332919=ADVANCED_FACE('',(#23494,#4332),#8741,.T.); #332920=ADVANCED_FACE('',(#23495,#4333),#8742,.F.); #332921=ADVANCED_FACE('',(#23496),#327988,.F.); #332922=ADVANCED_FACE('',(#23497),#327989,.T.); #332923=ADVANCED_FACE('',(#23498,#4334),#8743,.T.); #332924=ADVANCED_FACE('',(#23499,#4335),#8744,.F.); #332925=ADVANCED_FACE('',(#23500),#327990,.F.); #332926=ADVANCED_FACE('',(#23501),#327991,.T.); #332927=ADVANCED_FACE('',(#23502,#4336),#8745,.T.); #332928=ADVANCED_FACE('',(#23503,#4337),#8746,.F.); #332929=ADVANCED_FACE('',(#23504),#327992,.F.); #332930=ADVANCED_FACE('',(#23505),#327993,.T.); #332931=ADVANCED_FACE('',(#23506,#4338),#8747,.T.); #332932=ADVANCED_FACE('',(#23507,#4339),#8748,.F.); #332933=ADVANCED_FACE('',(#23508),#327994,.F.); #332934=ADVANCED_FACE('',(#23509),#327995,.T.); #332935=ADVANCED_FACE('',(#23510,#4340),#8749,.T.); #332936=ADVANCED_FACE('',(#23511,#4341),#8750,.F.); #332937=ADVANCED_FACE('',(#23512),#327996,.F.); #332938=ADVANCED_FACE('',(#23513),#327997,.T.); #332939=ADVANCED_FACE('',(#23514,#4342),#8751,.T.); #332940=ADVANCED_FACE('',(#23515,#4343),#8752,.F.); #332941=ADVANCED_FACE('',(#23516),#327998,.F.); #332942=ADVANCED_FACE('',(#23517),#327999,.T.); #332943=ADVANCED_FACE('',(#23518,#4344),#8753,.T.); #332944=ADVANCED_FACE('',(#23519,#4345),#8754,.F.); #332945=ADVANCED_FACE('',(#23520),#328000,.F.); #332946=ADVANCED_FACE('',(#23521),#328001,.T.); #332947=ADVANCED_FACE('',(#23522,#4346),#8755,.T.); #332948=ADVANCED_FACE('',(#23523,#4347),#8756,.F.); #332949=ADVANCED_FACE('',(#23524),#328002,.F.); #332950=ADVANCED_FACE('',(#23525),#328003,.T.); #332951=ADVANCED_FACE('',(#23526,#4348),#8757,.T.); #332952=ADVANCED_FACE('',(#23527,#4349),#8758,.F.); #332953=ADVANCED_FACE('',(#23528),#328004,.F.); #332954=ADVANCED_FACE('',(#23529),#328005,.T.); #332955=ADVANCED_FACE('',(#23530,#4350),#8759,.T.); #332956=ADVANCED_FACE('',(#23531,#4351),#8760,.F.); #332957=ADVANCED_FACE('',(#23532),#328006,.F.); #332958=ADVANCED_FACE('',(#23533),#328007,.T.); #332959=ADVANCED_FACE('',(#23534,#4352),#8761,.T.); #332960=ADVANCED_FACE('',(#23535,#4353),#8762,.F.); #332961=ADVANCED_FACE('',(#23536),#328008,.F.); #332962=ADVANCED_FACE('',(#23537),#328009,.T.); #332963=ADVANCED_FACE('',(#23538,#4354),#8763,.T.); #332964=ADVANCED_FACE('',(#23539,#4355),#8764,.F.); #332965=ADVANCED_FACE('',(#23540),#328010,.F.); #332966=ADVANCED_FACE('',(#23541),#328011,.T.); #332967=ADVANCED_FACE('',(#23542,#4356),#8765,.T.); #332968=ADVANCED_FACE('',(#23543,#4357),#8766,.F.); #332969=ADVANCED_FACE('',(#23544),#328012,.F.); #332970=ADVANCED_FACE('',(#23545),#328013,.T.); #332971=ADVANCED_FACE('',(#23546,#4358),#8767,.T.); #332972=ADVANCED_FACE('',(#23547,#4359),#8768,.F.); #332973=ADVANCED_FACE('',(#23548),#328014,.F.); #332974=ADVANCED_FACE('',(#23549),#328015,.T.); #332975=ADVANCED_FACE('',(#23550,#4360),#8769,.T.); #332976=ADVANCED_FACE('',(#23551,#4361),#8770,.F.); #332977=ADVANCED_FACE('',(#23552),#328016,.F.); #332978=ADVANCED_FACE('',(#23553),#328017,.T.); #332979=ADVANCED_FACE('',(#23554,#4362),#8771,.T.); #332980=ADVANCED_FACE('',(#23555,#4363),#8772,.F.); #332981=ADVANCED_FACE('',(#23556),#328018,.F.); #332982=ADVANCED_FACE('',(#23557),#328019,.T.); #332983=ADVANCED_FACE('',(#23558,#4364),#8773,.T.); #332984=ADVANCED_FACE('',(#23559,#4365),#8774,.F.); #332985=ADVANCED_FACE('',(#23560),#328020,.F.); #332986=ADVANCED_FACE('',(#23561),#328021,.T.); #332987=ADVANCED_FACE('',(#23562,#4366),#8775,.T.); #332988=ADVANCED_FACE('',(#23563,#4367),#8776,.F.); #332989=ADVANCED_FACE('',(#23564),#328022,.F.); #332990=ADVANCED_FACE('',(#23565),#328023,.T.); #332991=ADVANCED_FACE('',(#23566,#4368),#8777,.T.); #332992=ADVANCED_FACE('',(#23567,#4369),#8778,.F.); #332993=ADVANCED_FACE('',(#23568),#328024,.F.); #332994=ADVANCED_FACE('',(#23569),#328025,.T.); #332995=ADVANCED_FACE('',(#23570,#4370),#8779,.T.); #332996=ADVANCED_FACE('',(#23571,#4371),#8780,.F.); #332997=ADVANCED_FACE('',(#23572),#328026,.F.); #332998=ADVANCED_FACE('',(#23573),#328027,.T.); #332999=ADVANCED_FACE('',(#23574,#4372),#8781,.T.); #333000=ADVANCED_FACE('',(#23575,#4373),#8782,.F.); #333001=ADVANCED_FACE('',(#23576),#328028,.F.); #333002=ADVANCED_FACE('',(#23577),#328029,.T.); #333003=ADVANCED_FACE('',(#23578,#4374),#8783,.T.); #333004=ADVANCED_FACE('',(#23579,#4375),#8784,.F.); #333005=ADVANCED_FACE('',(#23580),#328030,.F.); #333006=ADVANCED_FACE('',(#23581),#328031,.T.); #333007=ADVANCED_FACE('',(#23582,#4376),#8785,.T.); #333008=ADVANCED_FACE('',(#23583,#4377),#8786,.F.); #333009=ADVANCED_FACE('',(#23584),#328032,.F.); #333010=ADVANCED_FACE('',(#23585),#328033,.T.); #333011=ADVANCED_FACE('',(#23586,#4378),#8787,.T.); #333012=ADVANCED_FACE('',(#23587,#4379),#8788,.F.); #333013=ADVANCED_FACE('',(#23588),#328034,.F.); #333014=ADVANCED_FACE('',(#23589),#328035,.T.); #333015=ADVANCED_FACE('',(#23590,#4380),#8789,.T.); #333016=ADVANCED_FACE('',(#23591,#4381),#8790,.F.); #333017=ADVANCED_FACE('',(#23592),#328036,.F.); #333018=ADVANCED_FACE('',(#23593),#328037,.T.); #333019=ADVANCED_FACE('',(#23594,#4382),#8791,.T.); #333020=ADVANCED_FACE('',(#23595,#4383),#8792,.F.); #333021=ADVANCED_FACE('',(#23596),#328038,.F.); #333022=ADVANCED_FACE('',(#23597),#328039,.T.); #333023=ADVANCED_FACE('',(#23598,#4384),#8793,.T.); #333024=ADVANCED_FACE('',(#23599,#4385),#8794,.F.); #333025=ADVANCED_FACE('',(#23600),#328040,.F.); #333026=ADVANCED_FACE('',(#23601),#328041,.T.); #333027=ADVANCED_FACE('',(#23602,#4386),#8795,.T.); #333028=ADVANCED_FACE('',(#23603,#4387),#8796,.F.); #333029=ADVANCED_FACE('',(#23604),#328042,.F.); #333030=ADVANCED_FACE('',(#23605),#328043,.T.); #333031=ADVANCED_FACE('',(#23606,#4388),#8797,.T.); #333032=ADVANCED_FACE('',(#23607,#4389),#8798,.F.); #333033=ADVANCED_FACE('',(#23608),#328044,.F.); #333034=ADVANCED_FACE('',(#23609),#328045,.T.); #333035=ADVANCED_FACE('',(#23610,#4390),#8799,.T.); #333036=ADVANCED_FACE('',(#23611,#4391),#8800,.F.); #333037=ADVANCED_FACE('',(#23612),#328046,.F.); #333038=ADVANCED_FACE('',(#23613),#328047,.T.); #333039=ADVANCED_FACE('',(#23614,#4392),#8801,.T.); #333040=ADVANCED_FACE('',(#23615,#4393),#8802,.F.); #333041=ADVANCED_FACE('',(#23616),#328048,.F.); #333042=ADVANCED_FACE('',(#23617),#328049,.T.); #333043=ADVANCED_FACE('',(#23618,#4394),#8803,.T.); #333044=ADVANCED_FACE('',(#23619,#4395),#8804,.F.); #333045=ADVANCED_FACE('',(#23620),#328050,.F.); #333046=ADVANCED_FACE('',(#23621),#328051,.T.); #333047=ADVANCED_FACE('',(#23622,#4396),#8805,.T.); #333048=ADVANCED_FACE('',(#23623,#4397),#8806,.F.); #333049=ADVANCED_FACE('',(#23624),#328052,.F.); #333050=ADVANCED_FACE('',(#23625),#328053,.T.); #333051=ADVANCED_FACE('',(#23626,#4398),#8807,.T.); #333052=ADVANCED_FACE('',(#23627,#4399),#8808,.F.); #333053=ADVANCED_FACE('',(#23628),#328054,.F.); #333054=ADVANCED_FACE('',(#23629),#328055,.T.); #333055=ADVANCED_FACE('',(#23630,#4400),#8809,.T.); #333056=ADVANCED_FACE('',(#23631,#4401),#8810,.F.); #333057=ADVANCED_FACE('',(#23632),#328056,.F.); #333058=ADVANCED_FACE('',(#23633),#328057,.T.); #333059=ADVANCED_FACE('',(#23634,#4402),#8811,.T.); #333060=ADVANCED_FACE('',(#23635,#4403),#8812,.F.); #333061=ADVANCED_FACE('',(#23636),#328058,.F.); #333062=ADVANCED_FACE('',(#23637),#328059,.T.); #333063=ADVANCED_FACE('',(#23638,#4404),#8813,.T.); #333064=ADVANCED_FACE('',(#23639,#4405),#8814,.F.); #333065=ADVANCED_FACE('',(#23640),#328060,.F.); #333066=ADVANCED_FACE('',(#23641),#328061,.T.); #333067=ADVANCED_FACE('',(#23642,#4406),#8815,.T.); #333068=ADVANCED_FACE('',(#23643,#4407),#8816,.F.); #333069=ADVANCED_FACE('',(#23644),#328062,.F.); #333070=ADVANCED_FACE('',(#23645),#328063,.T.); #333071=ADVANCED_FACE('',(#23646,#4408),#8817,.T.); #333072=ADVANCED_FACE('',(#23647,#4409),#8818,.F.); #333073=ADVANCED_FACE('',(#23648),#328064,.F.); #333074=ADVANCED_FACE('',(#23649),#328065,.T.); #333075=ADVANCED_FACE('',(#23650,#4410),#8819,.T.); #333076=ADVANCED_FACE('',(#23651,#4411),#8820,.F.); #333077=ADVANCED_FACE('',(#23652),#328066,.F.); #333078=ADVANCED_FACE('',(#23653),#328067,.T.); #333079=ADVANCED_FACE('',(#23654,#4412),#8821,.T.); #333080=ADVANCED_FACE('',(#23655,#4413),#8822,.F.); #333081=ADVANCED_FACE('',(#23656),#328068,.F.); #333082=ADVANCED_FACE('',(#23657),#328069,.T.); #333083=ADVANCED_FACE('',(#23658,#4414),#8823,.T.); #333084=ADVANCED_FACE('',(#23659,#4415),#8824,.F.); #333085=ADVANCED_FACE('',(#23660),#328070,.F.); #333086=ADVANCED_FACE('',(#23661),#328071,.T.); #333087=ADVANCED_FACE('',(#23662,#4416),#8825,.T.); #333088=ADVANCED_FACE('',(#23663,#4417),#8826,.F.); #333089=ADVANCED_FACE('',(#23664),#328072,.F.); #333090=ADVANCED_FACE('',(#23665),#328073,.T.); #333091=ADVANCED_FACE('',(#23666,#4418),#8827,.T.); #333092=ADVANCED_FACE('',(#23667,#4419),#8828,.F.); #333093=ADVANCED_FACE('',(#23668),#328074,.F.); #333094=ADVANCED_FACE('',(#23669),#328075,.T.); #333095=ADVANCED_FACE('',(#23670,#4420),#8829,.T.); #333096=ADVANCED_FACE('',(#23671,#4421),#8830,.F.); #333097=ADVANCED_FACE('',(#23672),#328076,.F.); #333098=ADVANCED_FACE('',(#23673),#328077,.T.); #333099=ADVANCED_FACE('',(#23674,#4422),#8831,.T.); #333100=ADVANCED_FACE('',(#23675,#4423),#8832,.F.); #333101=ADVANCED_FACE('',(#23676),#328078,.F.); #333102=ADVANCED_FACE('',(#23677),#328079,.T.); #333103=ADVANCED_FACE('',(#23678,#4424),#8833,.T.); #333104=ADVANCED_FACE('',(#23679,#4425),#8834,.F.); #333105=ADVANCED_FACE('',(#23680),#328080,.F.); #333106=ADVANCED_FACE('',(#23681),#328081,.T.); #333107=ADVANCED_FACE('',(#23682,#4426),#8835,.T.); #333108=ADVANCED_FACE('',(#23683,#4427),#8836,.F.); #333109=ADVANCED_FACE('',(#23684),#328082,.F.); #333110=ADVANCED_FACE('',(#23685),#328083,.T.); #333111=ADVANCED_FACE('',(#23686,#4428),#8837,.T.); #333112=ADVANCED_FACE('',(#23687,#4429),#8838,.F.); #333113=ADVANCED_FACE('',(#23688),#328084,.F.); #333114=ADVANCED_FACE('',(#23689),#328085,.T.); #333115=ADVANCED_FACE('',(#23690,#4430),#8839,.T.); #333116=ADVANCED_FACE('',(#23691,#4431),#8840,.F.); #333117=ADVANCED_FACE('',(#23692),#328086,.F.); #333118=ADVANCED_FACE('',(#23693),#328087,.T.); #333119=ADVANCED_FACE('',(#23694,#4432),#8841,.T.); #333120=ADVANCED_FACE('',(#23695,#4433),#8842,.F.); #333121=ADVANCED_FACE('',(#23696),#328088,.F.); #333122=ADVANCED_FACE('',(#23697),#328089,.T.); #333123=ADVANCED_FACE('',(#23698,#4434),#8843,.T.); #333124=ADVANCED_FACE('',(#23699,#4435),#8844,.F.); #333125=ADVANCED_FACE('',(#23700),#328090,.F.); #333126=ADVANCED_FACE('',(#23701),#328091,.T.); #333127=ADVANCED_FACE('',(#23702,#4436),#8845,.T.); #333128=ADVANCED_FACE('',(#23703,#4437),#8846,.F.); #333129=ADVANCED_FACE('',(#23704),#328092,.F.); #333130=ADVANCED_FACE('',(#23705),#328093,.T.); #333131=ADVANCED_FACE('',(#23706,#4438),#8847,.T.); #333132=ADVANCED_FACE('',(#23707,#4439),#8848,.F.); #333133=ADVANCED_FACE('',(#23708),#328094,.F.); #333134=ADVANCED_FACE('',(#23709),#328095,.T.); #333135=ADVANCED_FACE('',(#23710,#4440),#8849,.T.); #333136=ADVANCED_FACE('',(#23711,#4441),#8850,.F.); #333137=ADVANCED_FACE('',(#23712),#328096,.F.); #333138=ADVANCED_FACE('',(#23713),#328097,.T.); #333139=ADVANCED_FACE('',(#23714,#4442),#8851,.T.); #333140=ADVANCED_FACE('',(#23715,#4443),#8852,.F.); #333141=ADVANCED_FACE('',(#23716),#328098,.F.); #333142=ADVANCED_FACE('',(#23717),#328099,.T.); #333143=ADVANCED_FACE('',(#23718,#4444),#8853,.T.); #333144=ADVANCED_FACE('',(#23719,#4445),#8854,.F.); #333145=ADVANCED_FACE('',(#23720),#328100,.F.); #333146=ADVANCED_FACE('',(#23721),#328101,.T.); #333147=ADVANCED_FACE('',(#23722,#4446),#8855,.T.); #333148=ADVANCED_FACE('',(#23723,#4447),#8856,.F.); #333149=ADVANCED_FACE('',(#23724),#328102,.F.); #333150=ADVANCED_FACE('',(#23725),#328103,.T.); #333151=ADVANCED_FACE('',(#23726,#4448),#8857,.T.); #333152=ADVANCED_FACE('',(#23727,#4449),#8858,.F.); #333153=ADVANCED_FACE('',(#23728),#328104,.F.); #333154=ADVANCED_FACE('',(#23729),#328105,.T.); #333155=ADVANCED_FACE('',(#23730,#4450),#8859,.T.); #333156=ADVANCED_FACE('',(#23731,#4451),#8860,.F.); #333157=ADVANCED_FACE('',(#23732),#328106,.F.); #333158=ADVANCED_FACE('',(#23733),#328107,.T.); #333159=ADVANCED_FACE('',(#23734,#4452),#8861,.T.); #333160=ADVANCED_FACE('',(#23735,#4453),#8862,.F.); #333161=ADVANCED_FACE('',(#23736),#328108,.F.); #333162=ADVANCED_FACE('',(#23737),#328109,.T.); #333163=ADVANCED_FACE('',(#23738,#4454),#8863,.T.); #333164=ADVANCED_FACE('',(#23739,#4455),#8864,.F.); #333165=ADVANCED_FACE('',(#23740),#328110,.F.); #333166=ADVANCED_FACE('',(#23741),#328111,.T.); #333167=ADVANCED_FACE('',(#23742,#4456),#8865,.T.); #333168=ADVANCED_FACE('',(#23743,#4457),#8866,.F.); #333169=ADVANCED_FACE('',(#23744),#328112,.F.); #333170=ADVANCED_FACE('',(#23745),#328113,.T.); #333171=ADVANCED_FACE('',(#23746,#4458),#8867,.T.); #333172=ADVANCED_FACE('',(#23747,#4459),#8868,.F.); #333173=ADVANCED_FACE('',(#23748),#328114,.F.); #333174=ADVANCED_FACE('',(#23749),#328115,.T.); #333175=ADVANCED_FACE('',(#23750,#4460),#8869,.T.); #333176=ADVANCED_FACE('',(#23751,#4461),#8870,.F.); #333177=ADVANCED_FACE('',(#23752),#328116,.F.); #333178=ADVANCED_FACE('',(#23753),#328117,.T.); #333179=ADVANCED_FACE('',(#23754,#4462),#8871,.T.); #333180=ADVANCED_FACE('',(#23755,#4463),#8872,.F.); #333181=ADVANCED_FACE('',(#23756),#328118,.F.); #333182=ADVANCED_FACE('',(#23757),#328119,.T.); #333183=ADVANCED_FACE('',(#23758,#4464),#8873,.T.); #333184=ADVANCED_FACE('',(#23759,#4465),#8874,.F.); #333185=ADVANCED_FACE('',(#23760),#328120,.F.); #333186=ADVANCED_FACE('',(#23761),#328121,.T.); #333187=ADVANCED_FACE('',(#23762,#4466),#8875,.T.); #333188=ADVANCED_FACE('',(#23763,#4467),#8876,.F.); #333189=ADVANCED_FACE('',(#23764),#328122,.F.); #333190=ADVANCED_FACE('',(#23765),#328123,.T.); #333191=ADVANCED_FACE('',(#23766,#4468),#8877,.T.); #333192=ADVANCED_FACE('',(#23767,#4469),#8878,.F.); #333193=ADVANCED_FACE('',(#23768),#328124,.F.); #333194=ADVANCED_FACE('',(#23769),#328125,.T.); #333195=ADVANCED_FACE('',(#23770,#4470),#8879,.T.); #333196=ADVANCED_FACE('',(#23771,#4471),#8880,.F.); #333197=ADVANCED_FACE('',(#23772),#328126,.F.); #333198=ADVANCED_FACE('',(#23773),#328127,.T.); #333199=ADVANCED_FACE('',(#23774,#4472),#8881,.T.); #333200=ADVANCED_FACE('',(#23775,#4473),#8882,.F.); #333201=ADVANCED_FACE('',(#23776),#328128,.F.); #333202=ADVANCED_FACE('',(#23777),#328129,.T.); #333203=ADVANCED_FACE('',(#23778,#4474),#8883,.T.); #333204=ADVANCED_FACE('',(#23779,#4475),#8884,.F.); #333205=ADVANCED_FACE('',(#23780),#328130,.F.); #333206=ADVANCED_FACE('',(#23781),#328131,.T.); #333207=ADVANCED_FACE('',(#23782,#4476),#8885,.T.); #333208=ADVANCED_FACE('',(#23783,#4477),#8886,.F.); #333209=ADVANCED_FACE('',(#23784),#328132,.F.); #333210=ADVANCED_FACE('',(#23785),#328133,.T.); #333211=ADVANCED_FACE('',(#23786,#4478),#8887,.T.); #333212=ADVANCED_FACE('',(#23787,#4479),#8888,.F.); #333213=ADVANCED_FACE('',(#23788),#328134,.F.); #333214=ADVANCED_FACE('',(#23789),#328135,.T.); #333215=ADVANCED_FACE('',(#23790,#4480),#8889,.T.); #333216=ADVANCED_FACE('',(#23791,#4481),#8890,.F.); #333217=ADVANCED_FACE('',(#23792),#328136,.F.); #333218=ADVANCED_FACE('',(#23793),#328137,.T.); #333219=ADVANCED_FACE('',(#23794,#4482),#8891,.T.); #333220=ADVANCED_FACE('',(#23795,#4483),#8892,.F.); #333221=ADVANCED_FACE('',(#23796),#328138,.F.); #333222=ADVANCED_FACE('',(#23797),#328139,.T.); #333223=ADVANCED_FACE('',(#23798,#4484),#8893,.T.); #333224=ADVANCED_FACE('',(#23799,#4485),#8894,.F.); #333225=ADVANCED_FACE('',(#23800),#328140,.F.); #333226=ADVANCED_FACE('',(#23801),#328141,.T.); #333227=ADVANCED_FACE('',(#23802,#4486),#8895,.T.); #333228=ADVANCED_FACE('',(#23803,#4487),#8896,.F.); #333229=ADVANCED_FACE('',(#23804),#328142,.F.); #333230=ADVANCED_FACE('',(#23805),#328143,.T.); #333231=ADVANCED_FACE('',(#23806,#4488),#8897,.T.); #333232=ADVANCED_FACE('',(#23807,#4489),#8898,.F.); #333233=ADVANCED_FACE('',(#23808),#328144,.F.); #333234=ADVANCED_FACE('',(#23809),#328145,.T.); #333235=ADVANCED_FACE('',(#23810,#4490),#8899,.T.); #333236=ADVANCED_FACE('',(#23811,#4491),#8900,.F.); #333237=ADVANCED_FACE('',(#23812),#328146,.F.); #333238=ADVANCED_FACE('',(#23813),#328147,.T.); #333239=ADVANCED_FACE('',(#23814,#4492),#8901,.T.); #333240=ADVANCED_FACE('',(#23815,#4493),#8902,.F.); #333241=ADVANCED_FACE('',(#23816),#328148,.F.); #333242=ADVANCED_FACE('',(#23817),#328149,.T.); #333243=ADVANCED_FACE('',(#23818,#4494),#8903,.T.); #333244=ADVANCED_FACE('',(#23819,#4495),#8904,.F.); #333245=ADVANCED_FACE('',(#23820),#328150,.F.); #333246=ADVANCED_FACE('',(#23821),#328151,.T.); #333247=ADVANCED_FACE('',(#23822,#4496),#8905,.T.); #333248=ADVANCED_FACE('',(#23823,#4497),#8906,.F.); #333249=ADVANCED_FACE('',(#23824),#328152,.F.); #333250=ADVANCED_FACE('',(#23825),#328153,.T.); #333251=ADVANCED_FACE('',(#23826,#4498),#8907,.T.); #333252=ADVANCED_FACE('',(#23827,#4499),#8908,.F.); #333253=ADVANCED_FACE('',(#23828),#328154,.F.); #333254=ADVANCED_FACE('',(#23829),#328155,.T.); #333255=ADVANCED_FACE('',(#23830,#4500),#8909,.T.); #333256=ADVANCED_FACE('',(#23831,#4501),#8910,.F.); #333257=ADVANCED_FACE('',(#23832),#328156,.F.); #333258=ADVANCED_FACE('',(#23833),#328157,.T.); #333259=ADVANCED_FACE('',(#23834,#4502),#8911,.T.); #333260=ADVANCED_FACE('',(#23835,#4503),#8912,.F.); #333261=ADVANCED_FACE('',(#23836),#328158,.F.); #333262=ADVANCED_FACE('',(#23837),#328159,.T.); #333263=ADVANCED_FACE('',(#23838,#4504),#8913,.T.); #333264=ADVANCED_FACE('',(#23839,#4505),#8914,.F.); #333265=ADVANCED_FACE('',(#23840),#328160,.F.); #333266=ADVANCED_FACE('',(#23841),#328161,.T.); #333267=ADVANCED_FACE('',(#23842,#4506),#8915,.T.); #333268=ADVANCED_FACE('',(#23843,#4507),#8916,.F.); #333269=ADVANCED_FACE('',(#23844),#328162,.F.); #333270=ADVANCED_FACE('',(#23845),#328163,.T.); #333271=ADVANCED_FACE('',(#23846,#4508),#8917,.T.); #333272=ADVANCED_FACE('',(#23847,#4509),#8918,.F.); #333273=ADVANCED_FACE('',(#23848),#328164,.F.); #333274=ADVANCED_FACE('',(#23849),#328165,.T.); #333275=ADVANCED_FACE('',(#23850,#4510),#8919,.T.); #333276=ADVANCED_FACE('',(#23851,#4511),#8920,.F.); #333277=ADVANCED_FACE('',(#23852),#328166,.F.); #333278=ADVANCED_FACE('',(#23853),#328167,.T.); #333279=ADVANCED_FACE('',(#23854,#4512),#8921,.T.); #333280=ADVANCED_FACE('',(#23855,#4513),#8922,.F.); #333281=ADVANCED_FACE('',(#23856),#328168,.F.); #333282=ADVANCED_FACE('',(#23857),#328169,.T.); #333283=ADVANCED_FACE('',(#23858,#4514),#8923,.T.); #333284=ADVANCED_FACE('',(#23859,#4515),#8924,.F.); #333285=ADVANCED_FACE('',(#23860),#328170,.F.); #333286=ADVANCED_FACE('',(#23861),#328171,.T.); #333287=ADVANCED_FACE('',(#23862,#4516),#8925,.T.); #333288=ADVANCED_FACE('',(#23863,#4517),#8926,.F.); #333289=ADVANCED_FACE('',(#23864),#328172,.F.); #333290=ADVANCED_FACE('',(#23865),#328173,.T.); #333291=ADVANCED_FACE('',(#23866,#4518),#8927,.T.); #333292=ADVANCED_FACE('',(#23867,#4519),#8928,.F.); #333293=ADVANCED_FACE('',(#23868),#328174,.F.); #333294=ADVANCED_FACE('',(#23869),#328175,.T.); #333295=ADVANCED_FACE('',(#23870,#4520),#8929,.T.); #333296=ADVANCED_FACE('',(#23871,#4521),#8930,.F.); #333297=ADVANCED_FACE('',(#23872),#328176,.F.); #333298=ADVANCED_FACE('',(#23873),#328177,.T.); #333299=ADVANCED_FACE('',(#23874,#4522),#8931,.T.); #333300=ADVANCED_FACE('',(#23875,#4523),#8932,.F.); #333301=ADVANCED_FACE('',(#23876),#328178,.F.); #333302=ADVANCED_FACE('',(#23877),#328179,.T.); #333303=ADVANCED_FACE('',(#23878,#4524),#8933,.T.); #333304=ADVANCED_FACE('',(#23879,#4525),#8934,.F.); #333305=ADVANCED_FACE('',(#23880),#328180,.F.); #333306=ADVANCED_FACE('',(#23881),#328181,.T.); #333307=ADVANCED_FACE('',(#23882,#4526),#8935,.T.); #333308=ADVANCED_FACE('',(#23883,#4527),#8936,.F.); #333309=ADVANCED_FACE('',(#23884),#328182,.F.); #333310=ADVANCED_FACE('',(#23885),#328183,.T.); #333311=ADVANCED_FACE('',(#23886,#4528),#8937,.T.); #333312=ADVANCED_FACE('',(#23887,#4529),#8938,.F.); #333313=ADVANCED_FACE('',(#23888),#328184,.F.); #333314=ADVANCED_FACE('',(#23889),#328185,.T.); #333315=ADVANCED_FACE('',(#23890,#4530),#8939,.T.); #333316=ADVANCED_FACE('',(#23891,#4531),#8940,.F.); #333317=ADVANCED_FACE('',(#23892),#328186,.F.); #333318=ADVANCED_FACE('',(#23893),#328187,.T.); #333319=ADVANCED_FACE('',(#23894,#4532),#8941,.T.); #333320=ADVANCED_FACE('',(#23895,#4533),#8942,.F.); #333321=ADVANCED_FACE('',(#23896),#328188,.F.); #333322=ADVANCED_FACE('',(#23897),#328189,.T.); #333323=ADVANCED_FACE('',(#23898,#4534),#8943,.T.); #333324=ADVANCED_FACE('',(#23899,#4535),#8944,.F.); #333325=ADVANCED_FACE('',(#23900),#328190,.F.); #333326=ADVANCED_FACE('',(#23901),#328191,.T.); #333327=ADVANCED_FACE('',(#23902,#4536),#8945,.T.); #333328=ADVANCED_FACE('',(#23903,#4537),#8946,.F.); #333329=ADVANCED_FACE('',(#23904),#328192,.F.); #333330=ADVANCED_FACE('',(#23905),#328193,.T.); #333331=ADVANCED_FACE('',(#23906,#4538),#8947,.T.); #333332=ADVANCED_FACE('',(#23907,#4539),#8948,.F.); #333333=ADVANCED_FACE('',(#23908),#328194,.F.); #333334=ADVANCED_FACE('',(#23909),#328195,.T.); #333335=ADVANCED_FACE('',(#23910,#4540),#8949,.T.); #333336=ADVANCED_FACE('',(#23911,#4541),#8950,.F.); #333337=ADVANCED_FACE('',(#23912),#328196,.F.); #333338=ADVANCED_FACE('',(#23913),#328197,.T.); #333339=ADVANCED_FACE('',(#23914,#4542),#8951,.T.); #333340=ADVANCED_FACE('',(#23915,#4543),#8952,.F.); #333341=ADVANCED_FACE('',(#23916),#328198,.F.); #333342=ADVANCED_FACE('',(#23917),#328199,.T.); #333343=ADVANCED_FACE('',(#23918,#4544),#8953,.T.); #333344=ADVANCED_FACE('',(#23919,#4545),#8954,.F.); #333345=ADVANCED_FACE('',(#23920),#328200,.F.); #333346=ADVANCED_FACE('',(#23921),#328201,.T.); #333347=ADVANCED_FACE('',(#23922,#4546),#8955,.T.); #333348=ADVANCED_FACE('',(#23923,#4547),#8956,.F.); #333349=ADVANCED_FACE('',(#23924),#328202,.F.); #333350=ADVANCED_FACE('',(#23925),#328203,.T.); #333351=ADVANCED_FACE('',(#23926,#4548),#8957,.T.); #333352=ADVANCED_FACE('',(#23927,#4549),#8958,.F.); #333353=ADVANCED_FACE('',(#23928),#328204,.F.); #333354=ADVANCED_FACE('',(#23929),#328205,.T.); #333355=ADVANCED_FACE('',(#23930,#4550),#8959,.T.); #333356=ADVANCED_FACE('',(#23931,#4551),#8960,.F.); #333357=ADVANCED_FACE('',(#23932),#328206,.F.); #333358=ADVANCED_FACE('',(#23933),#328207,.T.); #333359=ADVANCED_FACE('',(#23934,#4552),#8961,.T.); #333360=ADVANCED_FACE('',(#23935,#4553),#8962,.F.); #333361=ADVANCED_FACE('',(#23936),#328208,.F.); #333362=ADVANCED_FACE('',(#23937),#328209,.T.); #333363=ADVANCED_FACE('',(#23938,#4554),#8963,.T.); #333364=ADVANCED_FACE('',(#23939,#4555),#8964,.F.); #333365=ADVANCED_FACE('',(#23940),#328210,.F.); #333366=ADVANCED_FACE('',(#23941),#328211,.T.); #333367=ADVANCED_FACE('',(#23942,#4556),#8965,.T.); #333368=ADVANCED_FACE('',(#23943,#4557),#8966,.F.); #333369=ADVANCED_FACE('',(#23944),#328212,.F.); #333370=ADVANCED_FACE('',(#23945),#328213,.T.); #333371=ADVANCED_FACE('',(#23946,#4558),#8967,.T.); #333372=ADVANCED_FACE('',(#23947,#4559),#8968,.F.); #333373=ADVANCED_FACE('',(#23948),#8969,.T.); #333374=ADVANCED_FACE('',(#23949),#328214,.F.); #333375=ADVANCED_FACE('',(#23950),#8970,.T.); #333376=ADVANCED_FACE('',(#23951),#328215,.F.); #333377=ADVANCED_FACE('',(#23952),#8971,.T.); #333378=ADVANCED_FACE('',(#23953),#328216,.T.); #333379=ADVANCED_FACE('',(#23954),#8972,.T.); #333380=ADVANCED_FACE('',(#23955),#328217,.T.); #333381=ADVANCED_FACE('',(#23956,#4560),#8973,.T.); #333382=ADVANCED_FACE('',(#23957,#4561),#8974,.F.); #333383=ADVANCED_FACE('',(#23958),#328218,.F.); #333384=ADVANCED_FACE('',(#23959),#328219,.T.); #333385=ADVANCED_FACE('',(#23960,#4562),#8975,.T.); #333386=ADVANCED_FACE('',(#23961,#4563),#8976,.F.); #333387=ADVANCED_FACE('',(#23962),#8977,.T.); #333388=ADVANCED_FACE('',(#23963),#328220,.F.); #333389=ADVANCED_FACE('',(#23964),#8978,.T.); #333390=ADVANCED_FACE('',(#23965),#328221,.F.); #333391=ADVANCED_FACE('',(#23966),#8979,.T.); #333392=ADVANCED_FACE('',(#23967),#328222,.T.); #333393=ADVANCED_FACE('',(#23968),#8980,.T.); #333394=ADVANCED_FACE('',(#23969),#328223,.T.); #333395=ADVANCED_FACE('',(#23970,#4564),#8981,.T.); #333396=ADVANCED_FACE('',(#23971,#4565),#8982,.F.); #333397=ADVANCED_FACE('',(#23972),#328224,.F.); #333398=ADVANCED_FACE('',(#23973),#328225,.T.); #333399=ADVANCED_FACE('',(#23974,#4566),#8983,.T.); #333400=ADVANCED_FACE('',(#23975,#4567),#8984,.F.); #333401=ADVANCED_FACE('',(#23976),#8985,.T.); #333402=ADVANCED_FACE('',(#23977),#328226,.F.); #333403=ADVANCED_FACE('',(#23978),#8986,.T.); #333404=ADVANCED_FACE('',(#23979),#328227,.F.); #333405=ADVANCED_FACE('',(#23980),#8987,.T.); #333406=ADVANCED_FACE('',(#23981),#328228,.T.); #333407=ADVANCED_FACE('',(#23982),#8988,.T.); #333408=ADVANCED_FACE('',(#23983),#328229,.T.); #333409=ADVANCED_FACE('',(#23984,#4568),#8989,.T.); #333410=ADVANCED_FACE('',(#23985,#4569),#8990,.F.); #333411=ADVANCED_FACE('',(#23986),#328230,.F.); #333412=ADVANCED_FACE('',(#23987),#328231,.T.); #333413=ADVANCED_FACE('',(#23988,#4570),#8991,.T.); #333414=ADVANCED_FACE('',(#23989,#4571),#8992,.F.); #333415=ADVANCED_FACE('',(#23990),#328232,.F.); #333416=ADVANCED_FACE('',(#23991),#328233,.T.); #333417=ADVANCED_FACE('',(#23992,#4572),#8993,.T.); #333418=ADVANCED_FACE('',(#23993,#4573),#8994,.F.); #333419=ADVANCED_FACE('',(#23994),#328234,.F.); #333420=ADVANCED_FACE('',(#23995),#328235,.T.); #333421=ADVANCED_FACE('',(#23996,#4574),#8995,.T.); #333422=ADVANCED_FACE('',(#23997,#4575),#8996,.F.); #333423=ADVANCED_FACE('',(#23998),#328236,.F.); #333424=ADVANCED_FACE('',(#23999),#328237,.T.); #333425=ADVANCED_FACE('',(#24000,#4576),#8997,.T.); #333426=ADVANCED_FACE('',(#24001,#4577),#8998,.F.); #333427=ADVANCED_FACE('',(#24002),#328238,.F.); #333428=ADVANCED_FACE('',(#24003),#328239,.T.); #333429=ADVANCED_FACE('',(#24004,#4578),#8999,.T.); #333430=ADVANCED_FACE('',(#24005,#4579),#9000,.F.); #333431=ADVANCED_FACE('',(#24006),#328240,.F.); #333432=ADVANCED_FACE('',(#24007),#328241,.T.); #333433=ADVANCED_FACE('',(#24008,#4580),#9001,.T.); #333434=ADVANCED_FACE('',(#24009,#4581),#9002,.F.); #333435=ADVANCED_FACE('',(#24010),#328242,.F.); #333436=ADVANCED_FACE('',(#24011),#328243,.T.); #333437=ADVANCED_FACE('',(#24012,#4582),#9003,.T.); #333438=ADVANCED_FACE('',(#24013,#4583),#9004,.F.); #333439=ADVANCED_FACE('',(#24014),#328244,.F.); #333440=ADVANCED_FACE('',(#24015),#328245,.T.); #333441=ADVANCED_FACE('',(#24016,#4584),#9005,.T.); #333442=ADVANCED_FACE('',(#24017,#4585),#9006,.F.); #333443=ADVANCED_FACE('',(#24018),#328246,.F.); #333444=ADVANCED_FACE('',(#24019),#328247,.T.); #333445=ADVANCED_FACE('',(#24020,#4586),#9007,.T.); #333446=ADVANCED_FACE('',(#24021,#4587),#9008,.F.); #333447=ADVANCED_FACE('',(#24022),#328248,.F.); #333448=ADVANCED_FACE('',(#24023),#328249,.T.); #333449=ADVANCED_FACE('',(#24024,#4588),#9009,.T.); #333450=ADVANCED_FACE('',(#24025,#4589),#9010,.F.); #333451=ADVANCED_FACE('',(#24026),#9011,.T.); #333452=ADVANCED_FACE('',(#24027),#328250,.F.); #333453=ADVANCED_FACE('',(#24028),#9012,.T.); #333454=ADVANCED_FACE('',(#24029),#328251,.F.); #333455=ADVANCED_FACE('',(#24030),#9013,.T.); #333456=ADVANCED_FACE('',(#24031),#328252,.T.); #333457=ADVANCED_FACE('',(#24032),#9014,.T.); #333458=ADVANCED_FACE('',(#24033),#328253,.T.); #333459=ADVANCED_FACE('',(#24034,#4590),#9015,.T.); #333460=ADVANCED_FACE('',(#24035,#4591),#9016,.F.); #333461=ADVANCED_FACE('',(#24036),#328254,.F.); #333462=ADVANCED_FACE('',(#24037),#328255,.T.); #333463=ADVANCED_FACE('',(#24038,#4592),#9017,.T.); #333464=ADVANCED_FACE('',(#24039,#4593),#9018,.F.); #333465=ADVANCED_FACE('',(#24040),#328256,.F.); #333466=ADVANCED_FACE('',(#24041),#328257,.T.); #333467=ADVANCED_FACE('',(#24042,#4594),#9019,.T.); #333468=ADVANCED_FACE('',(#24043,#4595),#9020,.F.); #333469=ADVANCED_FACE('',(#24044),#328258,.F.); #333470=ADVANCED_FACE('',(#24045),#328259,.T.); #333471=ADVANCED_FACE('',(#24046,#4596),#9021,.T.); #333472=ADVANCED_FACE('',(#24047,#4597),#9022,.F.); #333473=ADVANCED_FACE('',(#24048),#9023,.T.); #333474=ADVANCED_FACE('',(#24049),#328260,.F.); #333475=ADVANCED_FACE('',(#24050),#9024,.T.); #333476=ADVANCED_FACE('',(#24051),#328261,.F.); #333477=ADVANCED_FACE('',(#24052),#9025,.T.); #333478=ADVANCED_FACE('',(#24053),#328262,.T.); #333479=ADVANCED_FACE('',(#24054),#9026,.T.); #333480=ADVANCED_FACE('',(#24055),#328263,.T.); #333481=ADVANCED_FACE('',(#24056,#4598),#9027,.T.); #333482=ADVANCED_FACE('',(#24057,#4599),#9028,.F.); #333483=ADVANCED_FACE('',(#24058),#328264,.F.); #333484=ADVANCED_FACE('',(#24059),#328265,.T.); #333485=ADVANCED_FACE('',(#24060,#4600),#9029,.T.); #333486=ADVANCED_FACE('',(#24061,#4601),#9030,.F.); #333487=ADVANCED_FACE('',(#24062),#9031,.T.); #333488=ADVANCED_FACE('',(#24063),#328266,.F.); #333489=ADVANCED_FACE('',(#24064),#9032,.T.); #333490=ADVANCED_FACE('',(#24065),#328267,.F.); #333491=ADVANCED_FACE('',(#24066),#9033,.T.); #333492=ADVANCED_FACE('',(#24067),#328268,.T.); #333493=ADVANCED_FACE('',(#24068),#9034,.T.); #333494=ADVANCED_FACE('',(#24069),#328269,.T.); #333495=ADVANCED_FACE('',(#24070,#4602),#9035,.T.); #333496=ADVANCED_FACE('',(#24071,#4603),#9036,.F.); #333497=ADVANCED_FACE('',(#24072),#328270,.F.); #333498=ADVANCED_FACE('',(#24073),#328271,.T.); #333499=ADVANCED_FACE('',(#24074,#4604),#9037,.T.); #333500=ADVANCED_FACE('',(#24075,#4605),#9038,.F.); #333501=ADVANCED_FACE('',(#24076),#328272,.F.); #333502=ADVANCED_FACE('',(#24077),#328273,.T.); #333503=ADVANCED_FACE('',(#24078,#4606),#9039,.T.); #333504=ADVANCED_FACE('',(#24079,#4607),#9040,.F.); #333505=ADVANCED_FACE('',(#24080),#328274,.F.); #333506=ADVANCED_FACE('',(#24081),#328275,.T.); #333507=ADVANCED_FACE('',(#24082,#4608),#9041,.T.); #333508=ADVANCED_FACE('',(#24083,#4609),#9042,.F.); #333509=ADVANCED_FACE('',(#24084),#328276,.F.); #333510=ADVANCED_FACE('',(#24085),#328277,.T.); #333511=ADVANCED_FACE('',(#24086,#4610),#9043,.T.); #333512=ADVANCED_FACE('',(#24087,#4611),#9044,.F.); #333513=ADVANCED_FACE('',(#24088),#328278,.F.); #333514=ADVANCED_FACE('',(#24089),#328279,.T.); #333515=ADVANCED_FACE('',(#24090,#4612),#9045,.T.); #333516=ADVANCED_FACE('',(#24091,#4613),#9046,.F.); #333517=ADVANCED_FACE('',(#24092),#328280,.F.); #333518=ADVANCED_FACE('',(#24093),#328281,.T.); #333519=ADVANCED_FACE('',(#24094,#4614),#9047,.T.); #333520=ADVANCED_FACE('',(#24095,#4615),#9048,.F.); #333521=ADVANCED_FACE('',(#24096),#328282,.F.); #333522=ADVANCED_FACE('',(#24097),#328283,.T.); #333523=ADVANCED_FACE('',(#24098,#4616),#9049,.T.); #333524=ADVANCED_FACE('',(#24099,#4617),#9050,.F.); #333525=ADVANCED_FACE('',(#24100),#328284,.F.); #333526=ADVANCED_FACE('',(#24101),#328285,.T.); #333527=ADVANCED_FACE('',(#24102,#4618),#9051,.T.); #333528=ADVANCED_FACE('',(#24103,#4619),#9052,.F.); #333529=ADVANCED_FACE('',(#24104),#328286,.F.); #333530=ADVANCED_FACE('',(#24105),#328287,.T.); #333531=ADVANCED_FACE('',(#24106,#4620),#9053,.T.); #333532=ADVANCED_FACE('',(#24107,#4621),#9054,.F.); #333533=ADVANCED_FACE('',(#24108),#328288,.F.); #333534=ADVANCED_FACE('',(#24109),#328289,.T.); #333535=ADVANCED_FACE('',(#24110,#4622),#9055,.T.); #333536=ADVANCED_FACE('',(#24111,#4623),#9056,.F.); #333537=ADVANCED_FACE('',(#24112),#328290,.F.); #333538=ADVANCED_FACE('',(#24113),#328291,.T.); #333539=ADVANCED_FACE('',(#24114,#4624),#9057,.T.); #333540=ADVANCED_FACE('',(#24115,#4625),#9058,.F.); #333541=ADVANCED_FACE('',(#24116),#328292,.F.); #333542=ADVANCED_FACE('',(#24117),#328293,.T.); #333543=ADVANCED_FACE('',(#24118,#4626),#9059,.T.); #333544=ADVANCED_FACE('',(#24119,#4627),#9060,.F.); #333545=ADVANCED_FACE('',(#24120),#328294,.F.); #333546=ADVANCED_FACE('',(#24121),#328295,.T.); #333547=ADVANCED_FACE('',(#24122,#4628),#9061,.T.); #333548=ADVANCED_FACE('',(#24123,#4629),#9062,.F.); #333549=ADVANCED_FACE('',(#24124),#328296,.F.); #333550=ADVANCED_FACE('',(#24125),#328297,.T.); #333551=ADVANCED_FACE('',(#24126,#4630),#9063,.T.); #333552=ADVANCED_FACE('',(#24127,#4631),#9064,.F.); #333553=ADVANCED_FACE('',(#24128),#328298,.F.); #333554=ADVANCED_FACE('',(#24129),#328299,.T.); #333555=ADVANCED_FACE('',(#24130,#4632),#9065,.T.); #333556=ADVANCED_FACE('',(#24131,#4633),#9066,.F.); #333557=ADVANCED_FACE('',(#24132),#328300,.F.); #333558=ADVANCED_FACE('',(#24133),#328301,.T.); #333559=ADVANCED_FACE('',(#24134,#4634),#9067,.T.); #333560=ADVANCED_FACE('',(#24135,#4635),#9068,.F.); #333561=ADVANCED_FACE('',(#24136),#328302,.F.); #333562=ADVANCED_FACE('',(#24137),#328303,.T.); #333563=ADVANCED_FACE('',(#24138,#4636),#9069,.T.); #333564=ADVANCED_FACE('',(#24139,#4637),#9070,.F.); #333565=ADVANCED_FACE('',(#24140),#328304,.F.); #333566=ADVANCED_FACE('',(#24141),#328305,.T.); #333567=ADVANCED_FACE('',(#24142,#4638),#9071,.T.); #333568=ADVANCED_FACE('',(#24143,#4639),#9072,.F.); #333569=ADVANCED_FACE('',(#24144),#328306,.F.); #333570=ADVANCED_FACE('',(#24145),#328307,.T.); #333571=ADVANCED_FACE('',(#24146,#4640),#9073,.T.); #333572=ADVANCED_FACE('',(#24147,#4641),#9074,.F.); #333573=ADVANCED_FACE('',(#24148),#328308,.F.); #333574=ADVANCED_FACE('',(#24149),#328309,.T.); #333575=ADVANCED_FACE('',(#24150,#4642),#9075,.T.); #333576=ADVANCED_FACE('',(#24151,#4643),#9076,.F.); #333577=ADVANCED_FACE('',(#24152),#328310,.F.); #333578=ADVANCED_FACE('',(#24153),#328311,.T.); #333579=ADVANCED_FACE('',(#24154,#4644),#9077,.T.); #333580=ADVANCED_FACE('',(#24155,#4645),#9078,.F.); #333581=ADVANCED_FACE('',(#24156),#328312,.F.); #333582=ADVANCED_FACE('',(#24157),#328313,.T.); #333583=ADVANCED_FACE('',(#24158,#4646),#9079,.T.); #333584=ADVANCED_FACE('',(#24159,#4647),#9080,.F.); #333585=ADVANCED_FACE('',(#24160),#328314,.F.); #333586=ADVANCED_FACE('',(#24161),#328315,.T.); #333587=ADVANCED_FACE('',(#24162,#4648),#9081,.T.); #333588=ADVANCED_FACE('',(#24163,#4649),#9082,.F.); #333589=ADVANCED_FACE('',(#24164),#328316,.F.); #333590=ADVANCED_FACE('',(#24165),#328317,.T.); #333591=ADVANCED_FACE('',(#24166,#4650),#9083,.T.); #333592=ADVANCED_FACE('',(#24167,#4651),#9084,.F.); #333593=ADVANCED_FACE('',(#24168),#328318,.F.); #333594=ADVANCED_FACE('',(#24169),#328319,.T.); #333595=ADVANCED_FACE('',(#24170,#4652),#9085,.T.); #333596=ADVANCED_FACE('',(#24171,#4653),#9086,.F.); #333597=ADVANCED_FACE('',(#24172),#328320,.F.); #333598=ADVANCED_FACE('',(#24173),#328321,.T.); #333599=ADVANCED_FACE('',(#24174,#4654),#9087,.T.); #333600=ADVANCED_FACE('',(#24175,#4655),#9088,.F.); #333601=ADVANCED_FACE('',(#24176),#328322,.F.); #333602=ADVANCED_FACE('',(#24177),#328323,.T.); #333603=ADVANCED_FACE('',(#24178,#4656),#9089,.T.); #333604=ADVANCED_FACE('',(#24179,#4657),#9090,.F.); #333605=ADVANCED_FACE('',(#24180),#328324,.F.); #333606=ADVANCED_FACE('',(#24181),#328325,.T.); #333607=ADVANCED_FACE('',(#24182,#4658),#9091,.T.); #333608=ADVANCED_FACE('',(#24183,#4659),#9092,.F.); #333609=ADVANCED_FACE('',(#24184),#328326,.F.); #333610=ADVANCED_FACE('',(#24185),#328327,.T.); #333611=ADVANCED_FACE('',(#24186,#4660),#9093,.T.); #333612=ADVANCED_FACE('',(#24187,#4661),#9094,.F.); #333613=ADVANCED_FACE('',(#24188),#328328,.F.); #333614=ADVANCED_FACE('',(#24189),#328329,.T.); #333615=ADVANCED_FACE('',(#24190,#4662),#9095,.T.); #333616=ADVANCED_FACE('',(#24191,#4663),#9096,.F.); #333617=ADVANCED_FACE('',(#24192),#328330,.F.); #333618=ADVANCED_FACE('',(#24193),#328331,.T.); #333619=ADVANCED_FACE('',(#24194,#4664),#9097,.T.); #333620=ADVANCED_FACE('',(#24195,#4665),#9098,.F.); #333621=ADVANCED_FACE('',(#24196),#328332,.F.); #333622=ADVANCED_FACE('',(#24197),#328333,.T.); #333623=ADVANCED_FACE('',(#24198,#4666),#9099,.T.); #333624=ADVANCED_FACE('',(#24199,#4667),#9100,.F.); #333625=ADVANCED_FACE('',(#24200),#328334,.F.); #333626=ADVANCED_FACE('',(#24201),#328335,.T.); #333627=ADVANCED_FACE('',(#24202,#4668),#9101,.T.); #333628=ADVANCED_FACE('',(#24203,#4669),#9102,.F.); #333629=ADVANCED_FACE('',(#24204),#328336,.F.); #333630=ADVANCED_FACE('',(#24205),#328337,.T.); #333631=ADVANCED_FACE('',(#24206,#4670),#9103,.T.); #333632=ADVANCED_FACE('',(#24207,#4671),#9104,.F.); #333633=ADVANCED_FACE('',(#24208),#328338,.F.); #333634=ADVANCED_FACE('',(#24209),#328339,.T.); #333635=ADVANCED_FACE('',(#24210,#4672),#9105,.T.); #333636=ADVANCED_FACE('',(#24211,#4673),#9106,.F.); #333637=ADVANCED_FACE('',(#24212),#328340,.F.); #333638=ADVANCED_FACE('',(#24213),#328341,.T.); #333639=ADVANCED_FACE('',(#24214,#4674),#9107,.T.); #333640=ADVANCED_FACE('',(#24215,#4675),#9108,.F.); #333641=ADVANCED_FACE('',(#24216),#328342,.F.); #333642=ADVANCED_FACE('',(#24217),#328343,.T.); #333643=ADVANCED_FACE('',(#24218,#4676),#9109,.T.); #333644=ADVANCED_FACE('',(#24219,#4677),#9110,.F.); #333645=ADVANCED_FACE('',(#24220),#328344,.F.); #333646=ADVANCED_FACE('',(#24221),#328345,.T.); #333647=ADVANCED_FACE('',(#24222,#4678),#9111,.T.); #333648=ADVANCED_FACE('',(#24223,#4679),#9112,.F.); #333649=ADVANCED_FACE('',(#24224),#328346,.F.); #333650=ADVANCED_FACE('',(#24225),#328347,.T.); #333651=ADVANCED_FACE('',(#24226,#4680),#9113,.T.); #333652=ADVANCED_FACE('',(#24227,#4681),#9114,.F.); #333653=ADVANCED_FACE('',(#24228),#328348,.F.); #333654=ADVANCED_FACE('',(#24229),#328349,.T.); #333655=ADVANCED_FACE('',(#24230,#4682),#9115,.T.); #333656=ADVANCED_FACE('',(#24231,#4683),#9116,.F.); #333657=ADVANCED_FACE('',(#24232),#328350,.F.); #333658=ADVANCED_FACE('',(#24233),#328351,.T.); #333659=ADVANCED_FACE('',(#24234,#4684),#9117,.T.); #333660=ADVANCED_FACE('',(#24235,#4685),#9118,.F.); #333661=ADVANCED_FACE('',(#24236),#328352,.F.); #333662=ADVANCED_FACE('',(#24237),#328353,.T.); #333663=ADVANCED_FACE('',(#24238,#4686),#9119,.T.); #333664=ADVANCED_FACE('',(#24239,#4687),#9120,.F.); #333665=ADVANCED_FACE('',(#24240),#328354,.F.); #333666=ADVANCED_FACE('',(#24241),#328355,.T.); #333667=ADVANCED_FACE('',(#24242,#4688),#9121,.T.); #333668=ADVANCED_FACE('',(#24243,#4689),#9122,.F.); #333669=ADVANCED_FACE('',(#24244),#328356,.F.); #333670=ADVANCED_FACE('',(#24245),#328357,.T.); #333671=ADVANCED_FACE('',(#24246,#4690),#9123,.T.); #333672=ADVANCED_FACE('',(#24247,#4691),#9124,.F.); #333673=ADVANCED_FACE('',(#24248),#328358,.F.); #333674=ADVANCED_FACE('',(#24249),#328359,.T.); #333675=ADVANCED_FACE('',(#24250,#4692),#9125,.T.); #333676=ADVANCED_FACE('',(#24251,#4693),#9126,.F.); #333677=ADVANCED_FACE('',(#24252),#328360,.F.); #333678=ADVANCED_FACE('',(#24253),#328361,.T.); #333679=ADVANCED_FACE('',(#24254,#4694),#9127,.T.); #333680=ADVANCED_FACE('',(#24255,#4695),#9128,.F.); #333681=ADVANCED_FACE('',(#24256),#328362,.F.); #333682=ADVANCED_FACE('',(#24257),#328363,.T.); #333683=ADVANCED_FACE('',(#24258,#4696),#9129,.T.); #333684=ADVANCED_FACE('',(#24259,#4697),#9130,.F.); #333685=ADVANCED_FACE('',(#24260),#328364,.F.); #333686=ADVANCED_FACE('',(#24261),#328365,.T.); #333687=ADVANCED_FACE('',(#24262,#4698),#9131,.T.); #333688=ADVANCED_FACE('',(#24263,#4699),#9132,.F.); #333689=ADVANCED_FACE('',(#24264),#328366,.F.); #333690=ADVANCED_FACE('',(#24265),#328367,.T.); #333691=ADVANCED_FACE('',(#24266,#4700),#9133,.T.); #333692=ADVANCED_FACE('',(#24267,#4701),#9134,.F.); #333693=ADVANCED_FACE('',(#24268),#328368,.F.); #333694=ADVANCED_FACE('',(#24269),#328369,.T.); #333695=ADVANCED_FACE('',(#24270,#4702),#9135,.T.); #333696=ADVANCED_FACE('',(#24271,#4703),#9136,.F.); #333697=ADVANCED_FACE('',(#24272),#328370,.F.); #333698=ADVANCED_FACE('',(#24273),#328371,.T.); #333699=ADVANCED_FACE('',(#24274,#4704),#9137,.T.); #333700=ADVANCED_FACE('',(#24275,#4705),#9138,.F.); #333701=ADVANCED_FACE('',(#24276),#328372,.F.); #333702=ADVANCED_FACE('',(#24277),#328373,.T.); #333703=ADVANCED_FACE('',(#24278,#4706),#9139,.T.); #333704=ADVANCED_FACE('',(#24279,#4707),#9140,.F.); #333705=ADVANCED_FACE('',(#24280),#328374,.F.); #333706=ADVANCED_FACE('',(#24281),#328375,.T.); #333707=ADVANCED_FACE('',(#24282,#4708),#9141,.T.); #333708=ADVANCED_FACE('',(#24283,#4709),#9142,.F.); #333709=ADVANCED_FACE('',(#24284),#328376,.F.); #333710=ADVANCED_FACE('',(#24285),#328377,.T.); #333711=ADVANCED_FACE('',(#24286,#4710),#9143,.T.); #333712=ADVANCED_FACE('',(#24287,#4711),#9144,.F.); #333713=ADVANCED_FACE('',(#24288),#328378,.F.); #333714=ADVANCED_FACE('',(#24289),#328379,.T.); #333715=ADVANCED_FACE('',(#24290,#4712),#9145,.T.); #333716=ADVANCED_FACE('',(#24291,#4713),#9146,.F.); #333717=ADVANCED_FACE('',(#24292),#328380,.F.); #333718=ADVANCED_FACE('',(#24293),#328381,.T.); #333719=ADVANCED_FACE('',(#24294,#4714),#9147,.T.); #333720=ADVANCED_FACE('',(#24295,#4715),#9148,.F.); #333721=ADVANCED_FACE('',(#24296),#328382,.F.); #333722=ADVANCED_FACE('',(#24297),#328383,.T.); #333723=ADVANCED_FACE('',(#24298,#4716),#9149,.T.); #333724=ADVANCED_FACE('',(#24299,#4717),#9150,.F.); #333725=ADVANCED_FACE('',(#24300),#328384,.F.); #333726=ADVANCED_FACE('',(#24301),#328385,.T.); #333727=ADVANCED_FACE('',(#24302,#4718),#9151,.T.); #333728=ADVANCED_FACE('',(#24303,#4719),#9152,.F.); #333729=ADVANCED_FACE('',(#24304),#328386,.F.); #333730=ADVANCED_FACE('',(#24305),#328387,.T.); #333731=ADVANCED_FACE('',(#24306,#4720),#9153,.T.); #333732=ADVANCED_FACE('',(#24307,#4721),#9154,.F.); #333733=ADVANCED_FACE('',(#24308),#328388,.F.); #333734=ADVANCED_FACE('',(#24309),#328389,.T.); #333735=ADVANCED_FACE('',(#24310,#4722),#9155,.T.); #333736=ADVANCED_FACE('',(#24311,#4723),#9156,.F.); #333737=ADVANCED_FACE('',(#24312),#328390,.F.); #333738=ADVANCED_FACE('',(#24313),#328391,.T.); #333739=ADVANCED_FACE('',(#24314,#4724),#9157,.T.); #333740=ADVANCED_FACE('',(#24315,#4725),#9158,.F.); #333741=ADVANCED_FACE('',(#24316),#328392,.F.); #333742=ADVANCED_FACE('',(#24317),#328393,.T.); #333743=ADVANCED_FACE('',(#24318,#4726),#9159,.T.); #333744=ADVANCED_FACE('',(#24319,#4727),#9160,.F.); #333745=ADVANCED_FACE('',(#24320),#328394,.F.); #333746=ADVANCED_FACE('',(#24321),#328395,.T.); #333747=ADVANCED_FACE('',(#24322,#4728),#9161,.T.); #333748=ADVANCED_FACE('',(#24323,#4729),#9162,.F.); #333749=ADVANCED_FACE('',(#24324),#328396,.F.); #333750=ADVANCED_FACE('',(#24325),#328397,.T.); #333751=ADVANCED_FACE('',(#24326,#4730),#9163,.T.); #333752=ADVANCED_FACE('',(#24327,#4731),#9164,.F.); #333753=ADVANCED_FACE('',(#24328),#328398,.F.); #333754=ADVANCED_FACE('',(#24329),#328399,.T.); #333755=ADVANCED_FACE('',(#24330,#4732),#9165,.T.); #333756=ADVANCED_FACE('',(#24331,#4733),#9166,.F.); #333757=ADVANCED_FACE('',(#24332),#328400,.F.); #333758=ADVANCED_FACE('',(#24333),#328401,.T.); #333759=ADVANCED_FACE('',(#24334,#4734),#9167,.T.); #333760=ADVANCED_FACE('',(#24335,#4735),#9168,.F.); #333761=ADVANCED_FACE('',(#24336),#328402,.F.); #333762=ADVANCED_FACE('',(#24337),#328403,.T.); #333763=ADVANCED_FACE('',(#24338,#4736),#9169,.T.); #333764=ADVANCED_FACE('',(#24339,#4737),#9170,.F.); #333765=ADVANCED_FACE('',(#24340),#328404,.F.); #333766=ADVANCED_FACE('',(#24341),#328405,.T.); #333767=ADVANCED_FACE('',(#24342,#4738),#9171,.T.); #333768=ADVANCED_FACE('',(#24343,#4739),#9172,.F.); #333769=ADVANCED_FACE('',(#24344),#328406,.F.); #333770=ADVANCED_FACE('',(#24345),#328407,.T.); #333771=ADVANCED_FACE('',(#24346,#4740),#9173,.T.); #333772=ADVANCED_FACE('',(#24347,#4741),#9174,.F.); #333773=ADVANCED_FACE('',(#24348),#328408,.F.); #333774=ADVANCED_FACE('',(#24349),#328409,.T.); #333775=ADVANCED_FACE('',(#24350,#4742),#9175,.T.); #333776=ADVANCED_FACE('',(#24351,#4743),#9176,.F.); #333777=ADVANCED_FACE('',(#24352),#328410,.F.); #333778=ADVANCED_FACE('',(#24353),#328411,.T.); #333779=ADVANCED_FACE('',(#24354,#4744),#9177,.T.); #333780=ADVANCED_FACE('',(#24355,#4745),#9178,.F.); #333781=ADVANCED_FACE('',(#24356),#328412,.F.); #333782=ADVANCED_FACE('',(#24357),#328413,.T.); #333783=ADVANCED_FACE('',(#24358,#4746),#9179,.T.); #333784=ADVANCED_FACE('',(#24359,#4747),#9180,.F.); #333785=ADVANCED_FACE('',(#24360),#328414,.F.); #333786=ADVANCED_FACE('',(#24361),#328415,.T.); #333787=ADVANCED_FACE('',(#24362,#4748),#9181,.T.); #333788=ADVANCED_FACE('',(#24363,#4749),#9182,.F.); #333789=ADVANCED_FACE('',(#24364),#328416,.F.); #333790=ADVANCED_FACE('',(#24365),#328417,.T.); #333791=ADVANCED_FACE('',(#24366,#4750),#9183,.T.); #333792=ADVANCED_FACE('',(#24367,#4751),#9184,.F.); #333793=ADVANCED_FACE('',(#24368),#328418,.F.); #333794=ADVANCED_FACE('',(#24369),#328419,.T.); #333795=ADVANCED_FACE('',(#24370,#4752),#9185,.T.); #333796=ADVANCED_FACE('',(#24371,#4753),#9186,.F.); #333797=ADVANCED_FACE('',(#24372),#328420,.F.); #333798=ADVANCED_FACE('',(#24373),#328421,.T.); #333799=ADVANCED_FACE('',(#24374,#4754),#9187,.T.); #333800=ADVANCED_FACE('',(#24375,#4755),#9188,.F.); #333801=ADVANCED_FACE('',(#24376),#328422,.F.); #333802=ADVANCED_FACE('',(#24377),#328423,.T.); #333803=ADVANCED_FACE('',(#24378,#4756),#9189,.T.); #333804=ADVANCED_FACE('',(#24379,#4757),#9190,.F.); #333805=ADVANCED_FACE('',(#24380),#328424,.F.); #333806=ADVANCED_FACE('',(#24381),#328425,.T.); #333807=ADVANCED_FACE('',(#24382,#4758),#9191,.T.); #333808=ADVANCED_FACE('',(#24383,#4759),#9192,.F.); #333809=ADVANCED_FACE('',(#24384),#328426,.F.); #333810=ADVANCED_FACE('',(#24385),#328427,.T.); #333811=ADVANCED_FACE('',(#24386,#4760),#9193,.T.); #333812=ADVANCED_FACE('',(#24387,#4761),#9194,.F.); #333813=ADVANCED_FACE('',(#24388),#328428,.F.); #333814=ADVANCED_FACE('',(#24389),#328429,.T.); #333815=ADVANCED_FACE('',(#24390,#4762),#9195,.T.); #333816=ADVANCED_FACE('',(#24391,#4763),#9196,.F.); #333817=ADVANCED_FACE('',(#24392),#328430,.F.); #333818=ADVANCED_FACE('',(#24393),#328431,.T.); #333819=ADVANCED_FACE('',(#24394,#4764),#9197,.T.); #333820=ADVANCED_FACE('',(#24395,#4765),#9198,.F.); #333821=ADVANCED_FACE('',(#24396),#328432,.F.); #333822=ADVANCED_FACE('',(#24397),#328433,.T.); #333823=ADVANCED_FACE('',(#24398,#4766),#9199,.T.); #333824=ADVANCED_FACE('',(#24399,#4767),#9200,.F.); #333825=ADVANCED_FACE('',(#24400),#328434,.F.); #333826=ADVANCED_FACE('',(#24401),#328435,.T.); #333827=ADVANCED_FACE('',(#24402,#4768),#9201,.T.); #333828=ADVANCED_FACE('',(#24403,#4769),#9202,.F.); #333829=ADVANCED_FACE('',(#24404),#328436,.F.); #333830=ADVANCED_FACE('',(#24405),#328437,.T.); #333831=ADVANCED_FACE('',(#24406,#4770),#9203,.T.); #333832=ADVANCED_FACE('',(#24407,#4771),#9204,.F.); #333833=ADVANCED_FACE('',(#24408),#328438,.F.); #333834=ADVANCED_FACE('',(#24409),#328439,.T.); #333835=ADVANCED_FACE('',(#24410,#4772),#9205,.T.); #333836=ADVANCED_FACE('',(#24411,#4773),#9206,.F.); #333837=ADVANCED_FACE('',(#24412),#328440,.F.); #333838=ADVANCED_FACE('',(#24413),#328441,.T.); #333839=ADVANCED_FACE('',(#24414,#4774),#9207,.T.); #333840=ADVANCED_FACE('',(#24415,#4775),#9208,.F.); #333841=ADVANCED_FACE('',(#24416),#328442,.F.); #333842=ADVANCED_FACE('',(#24417),#328443,.T.); #333843=ADVANCED_FACE('',(#24418,#4776),#9209,.T.); #333844=ADVANCED_FACE('',(#24419,#4777),#9210,.F.); #333845=ADVANCED_FACE('',(#24420),#328444,.F.); #333846=ADVANCED_FACE('',(#24421),#328445,.T.); #333847=ADVANCED_FACE('',(#24422,#4778),#9211,.T.); #333848=ADVANCED_FACE('',(#24423,#4779),#9212,.F.); #333849=ADVANCED_FACE('',(#24424),#328446,.F.); #333850=ADVANCED_FACE('',(#24425),#328447,.T.); #333851=ADVANCED_FACE('',(#24426,#4780),#9213,.T.); #333852=ADVANCED_FACE('',(#24427,#4781),#9214,.F.); #333853=ADVANCED_FACE('',(#24428),#328448,.F.); #333854=ADVANCED_FACE('',(#24429),#328449,.T.); #333855=ADVANCED_FACE('',(#24430,#4782),#9215,.T.); #333856=ADVANCED_FACE('',(#24431,#4783),#9216,.F.); #333857=ADVANCED_FACE('',(#24432),#328450,.F.); #333858=ADVANCED_FACE('',(#24433),#328451,.T.); #333859=ADVANCED_FACE('',(#24434,#4784),#9217,.T.); #333860=ADVANCED_FACE('',(#24435,#4785),#9218,.F.); #333861=ADVANCED_FACE('',(#24436),#328452,.F.); #333862=ADVANCED_FACE('',(#24437),#328453,.T.); #333863=ADVANCED_FACE('',(#24438,#4786),#9219,.T.); #333864=ADVANCED_FACE('',(#24439,#4787),#9220,.F.); #333865=ADVANCED_FACE('',(#24440),#328454,.F.); #333866=ADVANCED_FACE('',(#24441),#328455,.T.); #333867=ADVANCED_FACE('',(#24442,#4788),#9221,.T.); #333868=ADVANCED_FACE('',(#24443,#4789),#9222,.F.); #333869=ADVANCED_FACE('',(#24444),#328456,.F.); #333870=ADVANCED_FACE('',(#24445),#328457,.T.); #333871=ADVANCED_FACE('',(#24446,#4790),#9223,.T.); #333872=ADVANCED_FACE('',(#24447,#4791),#9224,.F.); #333873=ADVANCED_FACE('',(#24448),#328458,.F.); #333874=ADVANCED_FACE('',(#24449),#328459,.T.); #333875=ADVANCED_FACE('',(#24450,#4792),#9225,.T.); #333876=ADVANCED_FACE('',(#24451,#4793),#9226,.F.); #333877=ADVANCED_FACE('',(#24452),#328460,.F.); #333878=ADVANCED_FACE('',(#24453),#328461,.T.); #333879=ADVANCED_FACE('',(#24454,#4794),#9227,.T.); #333880=ADVANCED_FACE('',(#24455,#4795),#9228,.F.); #333881=ADVANCED_FACE('',(#24456),#328462,.F.); #333882=ADVANCED_FACE('',(#24457),#328463,.T.); #333883=ADVANCED_FACE('',(#24458,#4796),#9229,.T.); #333884=ADVANCED_FACE('',(#24459,#4797),#9230,.F.); #333885=ADVANCED_FACE('',(#24460),#328464,.F.); #333886=ADVANCED_FACE('',(#24461),#328465,.T.); #333887=ADVANCED_FACE('',(#24462,#4798),#9231,.T.); #333888=ADVANCED_FACE('',(#24463,#4799),#9232,.F.); #333889=ADVANCED_FACE('',(#24464),#328466,.F.); #333890=ADVANCED_FACE('',(#24465),#328467,.T.); #333891=ADVANCED_FACE('',(#24466,#4800),#9233,.T.); #333892=ADVANCED_FACE('',(#24467,#4801),#9234,.F.); #333893=ADVANCED_FACE('',(#24468),#328468,.F.); #333894=ADVANCED_FACE('',(#24469),#328469,.T.); #333895=ADVANCED_FACE('',(#24470,#4802),#9235,.T.); #333896=ADVANCED_FACE('',(#24471,#4803),#9236,.F.); #333897=ADVANCED_FACE('',(#24472),#328470,.F.); #333898=ADVANCED_FACE('',(#24473),#328471,.T.); #333899=ADVANCED_FACE('',(#24474,#4804),#9237,.T.); #333900=ADVANCED_FACE('',(#24475,#4805),#9238,.F.); #333901=ADVANCED_FACE('',(#24476),#328472,.F.); #333902=ADVANCED_FACE('',(#24477),#328473,.T.); #333903=ADVANCED_FACE('',(#24478,#4806),#9239,.T.); #333904=ADVANCED_FACE('',(#24479,#4807),#9240,.F.); #333905=ADVANCED_FACE('',(#24480),#328474,.F.); #333906=ADVANCED_FACE('',(#24481),#328475,.T.); #333907=ADVANCED_FACE('',(#24482,#4808),#9241,.T.); #333908=ADVANCED_FACE('',(#24483,#4809),#9242,.F.); #333909=ADVANCED_FACE('',(#24484),#328476,.F.); #333910=ADVANCED_FACE('',(#24485),#328477,.T.); #333911=ADVANCED_FACE('',(#24486,#4810),#9243,.T.); #333912=ADVANCED_FACE('',(#24487,#4811),#9244,.F.); #333913=ADVANCED_FACE('',(#24488),#328478,.F.); #333914=ADVANCED_FACE('',(#24489),#328479,.T.); #333915=ADVANCED_FACE('',(#24490,#4812),#9245,.T.); #333916=ADVANCED_FACE('',(#24491,#4813),#9246,.F.); #333917=ADVANCED_FACE('',(#24492),#328480,.F.); #333918=ADVANCED_FACE('',(#24493),#328481,.T.); #333919=ADVANCED_FACE('',(#24494,#4814),#9247,.T.); #333920=ADVANCED_FACE('',(#24495,#4815),#9248,.F.); #333921=ADVANCED_FACE('',(#24496),#328482,.F.); #333922=ADVANCED_FACE('',(#24497),#328483,.T.); #333923=ADVANCED_FACE('',(#24498,#4816),#9249,.T.); #333924=ADVANCED_FACE('',(#24499,#4817),#9250,.F.); #333925=ADVANCED_FACE('',(#24500),#328484,.F.); #333926=ADVANCED_FACE('',(#24501),#328485,.T.); #333927=ADVANCED_FACE('',(#24502,#4818),#9251,.T.); #333928=ADVANCED_FACE('',(#24503,#4819),#9252,.F.); #333929=ADVANCED_FACE('',(#24504),#328486,.F.); #333930=ADVANCED_FACE('',(#24505),#328487,.T.); #333931=ADVANCED_FACE('',(#24506,#4820),#9253,.T.); #333932=ADVANCED_FACE('',(#24507,#4821),#9254,.F.); #333933=ADVANCED_FACE('',(#24508),#328488,.F.); #333934=ADVANCED_FACE('',(#24509),#328489,.T.); #333935=ADVANCED_FACE('',(#24510,#4822),#9255,.T.); #333936=ADVANCED_FACE('',(#24511,#4823),#9256,.F.); #333937=ADVANCED_FACE('',(#24512),#328490,.F.); #333938=ADVANCED_FACE('',(#24513),#328491,.T.); #333939=ADVANCED_FACE('',(#24514,#4824),#9257,.T.); #333940=ADVANCED_FACE('',(#24515,#4825),#9258,.F.); #333941=ADVANCED_FACE('',(#24516),#328492,.F.); #333942=ADVANCED_FACE('',(#24517),#328493,.T.); #333943=ADVANCED_FACE('',(#24518,#4826),#9259,.T.); #333944=ADVANCED_FACE('',(#24519,#4827),#9260,.F.); #333945=ADVANCED_FACE('',(#24520),#328494,.F.); #333946=ADVANCED_FACE('',(#24521),#328495,.T.); #333947=ADVANCED_FACE('',(#24522,#4828),#9261,.T.); #333948=ADVANCED_FACE('',(#24523,#4829),#9262,.F.); #333949=ADVANCED_FACE('',(#24524),#328496,.F.); #333950=ADVANCED_FACE('',(#24525),#328497,.T.); #333951=ADVANCED_FACE('',(#24526,#4830),#9263,.T.); #333952=ADVANCED_FACE('',(#24527,#4831),#9264,.F.); #333953=ADVANCED_FACE('',(#24528),#328498,.F.); #333954=ADVANCED_FACE('',(#24529),#328499,.T.); #333955=ADVANCED_FACE('',(#24530,#4832),#9265,.T.); #333956=ADVANCED_FACE('',(#24531,#4833),#9266,.F.); #333957=ADVANCED_FACE('',(#24532),#328500,.F.); #333958=ADVANCED_FACE('',(#24533),#328501,.T.); #333959=ADVANCED_FACE('',(#24534,#4834),#9267,.T.); #333960=ADVANCED_FACE('',(#24535,#4835),#9268,.F.); #333961=ADVANCED_FACE('',(#24536),#328502,.F.); #333962=ADVANCED_FACE('',(#24537),#328503,.T.); #333963=ADVANCED_FACE('',(#24538,#4836),#9269,.T.); #333964=ADVANCED_FACE('',(#24539,#4837),#9270,.F.); #333965=ADVANCED_FACE('',(#24540),#328504,.F.); #333966=ADVANCED_FACE('',(#24541),#328505,.T.); #333967=ADVANCED_FACE('',(#24542,#4838),#9271,.T.); #333968=ADVANCED_FACE('',(#24543,#4839),#9272,.F.); #333969=ADVANCED_FACE('',(#24544),#328506,.F.); #333970=ADVANCED_FACE('',(#24545),#328507,.T.); #333971=ADVANCED_FACE('',(#24546,#4840),#9273,.T.); #333972=ADVANCED_FACE('',(#24547,#4841),#9274,.F.); #333973=ADVANCED_FACE('',(#24548),#328508,.F.); #333974=ADVANCED_FACE('',(#24549),#328509,.T.); #333975=ADVANCED_FACE('',(#24550,#4842),#9275,.T.); #333976=ADVANCED_FACE('',(#24551,#4843),#9276,.F.); #333977=ADVANCED_FACE('',(#24552),#328510,.F.); #333978=ADVANCED_FACE('',(#24553),#328511,.T.); #333979=ADVANCED_FACE('',(#24554,#4844),#9277,.T.); #333980=ADVANCED_FACE('',(#24555,#4845),#9278,.F.); #333981=ADVANCED_FACE('',(#24556),#328512,.F.); #333982=ADVANCED_FACE('',(#24557),#328513,.T.); #333983=ADVANCED_FACE('',(#24558,#4846),#9279,.T.); #333984=ADVANCED_FACE('',(#24559,#4847),#9280,.F.); #333985=ADVANCED_FACE('',(#24560),#328514,.F.); #333986=ADVANCED_FACE('',(#24561),#328515,.T.); #333987=ADVANCED_FACE('',(#24562,#4848),#9281,.T.); #333988=ADVANCED_FACE('',(#24563,#4849),#9282,.F.); #333989=ADVANCED_FACE('',(#24564),#328516,.F.); #333990=ADVANCED_FACE('',(#24565),#328517,.T.); #333991=ADVANCED_FACE('',(#24566,#4850),#9283,.T.); #333992=ADVANCED_FACE('',(#24567,#4851),#9284,.F.); #333993=ADVANCED_FACE('',(#24568),#328518,.F.); #333994=ADVANCED_FACE('',(#24569),#328519,.T.); #333995=ADVANCED_FACE('',(#24570,#4852),#9285,.T.); #333996=ADVANCED_FACE('',(#24571,#4853),#9286,.F.); #333997=ADVANCED_FACE('',(#24572),#328520,.F.); #333998=ADVANCED_FACE('',(#24573),#328521,.T.); #333999=ADVANCED_FACE('',(#24574,#4854),#9287,.T.); #334000=ADVANCED_FACE('',(#24575,#4855),#9288,.F.); #334001=ADVANCED_FACE('',(#24576),#328522,.F.); #334002=ADVANCED_FACE('',(#24577),#328523,.T.); #334003=ADVANCED_FACE('',(#24578,#4856),#9289,.T.); #334004=ADVANCED_FACE('',(#24579,#4857),#9290,.F.); #334005=ADVANCED_FACE('',(#24580),#328524,.F.); #334006=ADVANCED_FACE('',(#24581),#328525,.T.); #334007=ADVANCED_FACE('',(#24582,#4858),#9291,.T.); #334008=ADVANCED_FACE('',(#24583,#4859),#9292,.F.); #334009=ADVANCED_FACE('',(#24584),#328526,.F.); #334010=ADVANCED_FACE('',(#24585),#328527,.T.); #334011=ADVANCED_FACE('',(#24586,#4860),#9293,.T.); #334012=ADVANCED_FACE('',(#24587,#4861),#9294,.F.); #334013=ADVANCED_FACE('',(#24588),#328528,.F.); #334014=ADVANCED_FACE('',(#24589),#328529,.T.); #334015=ADVANCED_FACE('',(#24590,#4862),#9295,.T.); #334016=ADVANCED_FACE('',(#24591,#4863),#9296,.F.); #334017=ADVANCED_FACE('',(#24592),#328530,.F.); #334018=ADVANCED_FACE('',(#24593),#328531,.T.); #334019=ADVANCED_FACE('',(#24594,#4864),#9297,.T.); #334020=ADVANCED_FACE('',(#24595,#4865),#9298,.F.); #334021=ADVANCED_FACE('',(#24596),#328532,.F.); #334022=ADVANCED_FACE('',(#24597),#328533,.T.); #334023=ADVANCED_FACE('',(#24598,#4866),#9299,.T.); #334024=ADVANCED_FACE('',(#24599,#4867),#9300,.F.); #334025=ADVANCED_FACE('',(#24600),#328534,.F.); #334026=ADVANCED_FACE('',(#24601),#328535,.T.); #334027=ADVANCED_FACE('',(#24602,#4868),#9301,.T.); #334028=ADVANCED_FACE('',(#24603,#4869),#9302,.F.); #334029=ADVANCED_FACE('',(#24604),#328536,.F.); #334030=ADVANCED_FACE('',(#24605),#328537,.T.); #334031=ADVANCED_FACE('',(#24606,#4870),#9303,.T.); #334032=ADVANCED_FACE('',(#24607,#4871),#9304,.F.); #334033=ADVANCED_FACE('',(#24608),#328538,.F.); #334034=ADVANCED_FACE('',(#24609),#328539,.T.); #334035=ADVANCED_FACE('',(#24610,#4872),#9305,.T.); #334036=ADVANCED_FACE('',(#24611,#4873),#9306,.F.); #334037=ADVANCED_FACE('',(#24612),#328540,.F.); #334038=ADVANCED_FACE('',(#24613),#328541,.T.); #334039=ADVANCED_FACE('',(#24614,#4874),#9307,.T.); #334040=ADVANCED_FACE('',(#24615,#4875),#9308,.F.); #334041=ADVANCED_FACE('',(#24616),#328542,.F.); #334042=ADVANCED_FACE('',(#24617),#328543,.T.); #334043=ADVANCED_FACE('',(#24618,#4876),#9309,.T.); #334044=ADVANCED_FACE('',(#24619,#4877),#9310,.F.); #334045=ADVANCED_FACE('',(#24620),#328544,.F.); #334046=ADVANCED_FACE('',(#24621),#328545,.T.); #334047=ADVANCED_FACE('',(#24622,#4878),#9311,.T.); #334048=ADVANCED_FACE('',(#24623,#4879),#9312,.F.); #334049=ADVANCED_FACE('',(#24624),#328546,.F.); #334050=ADVANCED_FACE('',(#24625),#328547,.T.); #334051=ADVANCED_FACE('',(#24626,#4880),#9313,.T.); #334052=ADVANCED_FACE('',(#24627,#4881),#9314,.F.); #334053=ADVANCED_FACE('',(#24628),#328548,.F.); #334054=ADVANCED_FACE('',(#24629),#328549,.T.); #334055=ADVANCED_FACE('',(#24630,#4882),#9315,.T.); #334056=ADVANCED_FACE('',(#24631,#4883),#9316,.F.); #334057=ADVANCED_FACE('',(#24632),#328550,.F.); #334058=ADVANCED_FACE('',(#24633),#328551,.T.); #334059=ADVANCED_FACE('',(#24634,#4884),#9317,.T.); #334060=ADVANCED_FACE('',(#24635,#4885),#9318,.F.); #334061=ADVANCED_FACE('',(#24636),#328552,.F.); #334062=ADVANCED_FACE('',(#24637),#328553,.T.); #334063=ADVANCED_FACE('',(#24638,#4886),#9319,.T.); #334064=ADVANCED_FACE('',(#24639,#4887),#9320,.F.); #334065=ADVANCED_FACE('',(#24640),#328554,.F.); #334066=ADVANCED_FACE('',(#24641),#328555,.T.); #334067=ADVANCED_FACE('',(#24642,#4888),#9321,.T.); #334068=ADVANCED_FACE('',(#24643,#4889),#9322,.F.); #334069=ADVANCED_FACE('',(#24644),#328556,.F.); #334070=ADVANCED_FACE('',(#24645),#328557,.T.); #334071=ADVANCED_FACE('',(#24646,#4890),#9323,.T.); #334072=ADVANCED_FACE('',(#24647,#4891),#9324,.F.); #334073=ADVANCED_FACE('',(#24648),#328558,.F.); #334074=ADVANCED_FACE('',(#24649),#328559,.T.); #334075=ADVANCED_FACE('',(#24650,#4892),#9325,.T.); #334076=ADVANCED_FACE('',(#24651,#4893),#9326,.F.); #334077=ADVANCED_FACE('',(#24652),#328560,.F.); #334078=ADVANCED_FACE('',(#24653),#328561,.T.); #334079=ADVANCED_FACE('',(#24654,#4894),#9327,.T.); #334080=ADVANCED_FACE('',(#24655,#4895),#9328,.F.); #334081=ADVANCED_FACE('',(#24656),#328562,.F.); #334082=ADVANCED_FACE('',(#24657),#328563,.T.); #334083=ADVANCED_FACE('',(#24658,#4896),#9329,.T.); #334084=ADVANCED_FACE('',(#24659,#4897),#9330,.F.); #334085=ADVANCED_FACE('',(#24660),#328564,.F.); #334086=ADVANCED_FACE('',(#24661),#328565,.T.); #334087=ADVANCED_FACE('',(#24662,#4898),#9331,.T.); #334088=ADVANCED_FACE('',(#24663,#4899),#9332,.F.); #334089=ADVANCED_FACE('',(#24664),#328566,.F.); #334090=ADVANCED_FACE('',(#24665),#328567,.T.); #334091=ADVANCED_FACE('',(#24666,#4900),#9333,.T.); #334092=ADVANCED_FACE('',(#24667,#4901),#9334,.F.); #334093=ADVANCED_FACE('',(#24668),#328568,.F.); #334094=ADVANCED_FACE('',(#24669),#328569,.T.); #334095=ADVANCED_FACE('',(#24670,#4902),#9335,.T.); #334096=ADVANCED_FACE('',(#24671,#4903),#9336,.F.); #334097=ADVANCED_FACE('',(#24672),#328570,.F.); #334098=ADVANCED_FACE('',(#24673),#328571,.T.); #334099=ADVANCED_FACE('',(#24674,#4904),#9337,.T.); #334100=ADVANCED_FACE('',(#24675,#4905),#9338,.F.); #334101=ADVANCED_FACE('',(#24676),#328572,.F.); #334102=ADVANCED_FACE('',(#24677),#328573,.T.); #334103=ADVANCED_FACE('',(#24678,#4906),#9339,.T.); #334104=ADVANCED_FACE('',(#24679,#4907),#9340,.F.); #334105=ADVANCED_FACE('',(#24680),#328574,.F.); #334106=ADVANCED_FACE('',(#24681),#328575,.T.); #334107=ADVANCED_FACE('',(#24682,#4908),#9341,.T.); #334108=ADVANCED_FACE('',(#24683,#4909),#9342,.F.); #334109=ADVANCED_FACE('',(#24684),#328576,.F.); #334110=ADVANCED_FACE('',(#24685),#328577,.T.); #334111=ADVANCED_FACE('',(#24686,#4910),#9343,.T.); #334112=ADVANCED_FACE('',(#24687,#4911),#9344,.F.); #334113=ADVANCED_FACE('',(#24688),#328578,.F.); #334114=ADVANCED_FACE('',(#24689),#328579,.T.); #334115=ADVANCED_FACE('',(#24690,#4912),#9345,.T.); #334116=ADVANCED_FACE('',(#24691,#4913),#9346,.F.); #334117=ADVANCED_FACE('',(#24692),#328580,.F.); #334118=ADVANCED_FACE('',(#24693),#328581,.T.); #334119=ADVANCED_FACE('',(#24694,#4914),#9347,.T.); #334120=ADVANCED_FACE('',(#24695,#4915),#9348,.F.); #334121=ADVANCED_FACE('',(#24696),#328582,.F.); #334122=ADVANCED_FACE('',(#24697),#328583,.T.); #334123=ADVANCED_FACE('',(#24698,#4916),#9349,.T.); #334124=ADVANCED_FACE('',(#24699,#4917),#9350,.F.); #334125=ADVANCED_FACE('',(#24700),#328584,.F.); #334126=ADVANCED_FACE('',(#24701),#328585,.T.); #334127=ADVANCED_FACE('',(#24702,#4918),#9351,.T.); #334128=ADVANCED_FACE('',(#24703,#4919),#9352,.F.); #334129=ADVANCED_FACE('',(#24704),#328586,.F.); #334130=ADVANCED_FACE('',(#24705),#328587,.T.); #334131=ADVANCED_FACE('',(#24706,#4920),#9353,.T.); #334132=ADVANCED_FACE('',(#24707,#4921),#9354,.F.); #334133=ADVANCED_FACE('',(#24708),#328588,.F.); #334134=ADVANCED_FACE('',(#24709),#328589,.T.); #334135=ADVANCED_FACE('',(#24710,#4922),#9355,.T.); #334136=ADVANCED_FACE('',(#24711,#4923),#9356,.F.); #334137=ADVANCED_FACE('',(#24712),#328590,.F.); #334138=ADVANCED_FACE('',(#24713),#328591,.T.); #334139=ADVANCED_FACE('',(#24714,#4924),#9357,.T.); #334140=ADVANCED_FACE('',(#24715,#4925),#9358,.F.); #334141=ADVANCED_FACE('',(#24716),#328592,.F.); #334142=ADVANCED_FACE('',(#24717),#9359,.T.); #334143=ADVANCED_FACE('',(#24718),#328593,.T.); #334144=ADVANCED_FACE('',(#24719),#328594,.T.); #334145=ADVANCED_FACE('',(#24720,#4926),#9360,.T.); #334146=ADVANCED_FACE('',(#24721,#4927),#9361,.F.); #334147=ADVANCED_FACE('',(#24722),#9362,.T.); #334148=ADVANCED_FACE('',(#24723),#9363,.T.); #334149=ADVANCED_FACE('',(#24724),#9364,.T.); #334150=ADVANCED_FACE('',(#24725),#9365,.T.); #334151=ADVANCED_FACE('',(#24726),#9366,.T.); #334152=ADVANCED_FACE('',(#24727),#9367,.F.); #334153=ADVANCED_FACE('',(#24728),#9368,.T.); #334154=ADVANCED_FACE('',(#24729),#9369,.T.); #334155=ADVANCED_FACE('',(#24730),#9370,.T.); #334156=ADVANCED_FACE('',(#24731),#9371,.T.); #334157=ADVANCED_FACE('',(#24732),#9372,.T.); #334158=ADVANCED_FACE('',(#24733),#9373,.F.); #334159=ADVANCED_FACE('',(#24734),#9374,.T.); #334160=ADVANCED_FACE('',(#24735),#9375,.T.); #334161=ADVANCED_FACE('',(#24736),#9376,.T.); #334162=ADVANCED_FACE('',(#24737),#9377,.T.); #334163=ADVANCED_FACE('',(#24738),#9378,.T.); #334164=ADVANCED_FACE('',(#24739),#9379,.F.); #334165=ADVANCED_FACE('',(#24740),#9380,.T.); #334166=ADVANCED_FACE('',(#24741),#9381,.T.); #334167=ADVANCED_FACE('',(#24742),#9382,.T.); #334168=ADVANCED_FACE('',(#24743),#9383,.T.); #334169=ADVANCED_FACE('',(#24744),#9384,.T.); #334170=ADVANCED_FACE('',(#24745),#9385,.F.); #334171=ADVANCED_FACE('',(#24746),#9386,.T.); #334172=ADVANCED_FACE('',(#24747),#9387,.T.); #334173=ADVANCED_FACE('',(#24748),#9388,.T.); #334174=ADVANCED_FACE('',(#24749),#9389,.T.); #334175=ADVANCED_FACE('',(#24750),#9390,.T.); #334176=ADVANCED_FACE('',(#24751),#9391,.F.); #334177=ADVANCED_FACE('',(#24752),#9392,.T.); #334178=ADVANCED_FACE('',(#24753),#9393,.T.); #334179=ADVANCED_FACE('',(#24754),#9394,.T.); #334180=ADVANCED_FACE('',(#24755),#9395,.T.); #334181=ADVANCED_FACE('',(#24756),#9396,.T.); #334182=ADVANCED_FACE('',(#24757),#9397,.F.); #334183=ADVANCED_FACE('',(#24758),#9398,.T.); #334184=ADVANCED_FACE('',(#24759),#9399,.T.); #334185=ADVANCED_FACE('',(#24760),#9400,.T.); #334186=ADVANCED_FACE('',(#24761),#9401,.T.); #334187=ADVANCED_FACE('',(#24762),#9402,.T.); #334188=ADVANCED_FACE('',(#24763),#9403,.F.); #334189=ADVANCED_FACE('',(#24764),#9404,.T.); #334190=ADVANCED_FACE('',(#24765),#9405,.T.); #334191=ADVANCED_FACE('',(#24766),#9406,.T.); #334192=ADVANCED_FACE('',(#24767),#9407,.T.); #334193=ADVANCED_FACE('',(#24768),#9408,.T.); #334194=ADVANCED_FACE('',(#24769),#9409,.F.); #334195=ADVANCED_FACE('',(#24770),#9410,.T.); #334196=ADVANCED_FACE('',(#24771),#9411,.T.); #334197=ADVANCED_FACE('',(#24772),#9412,.T.); #334198=ADVANCED_FACE('',(#24773),#9413,.T.); #334199=ADVANCED_FACE('',(#24774),#9414,.T.); #334200=ADVANCED_FACE('',(#24775),#9415,.F.); #334201=ADVANCED_FACE('',(#24776),#9416,.T.); #334202=ADVANCED_FACE('',(#24777),#9417,.T.); #334203=ADVANCED_FACE('',(#24778),#9418,.T.); #334204=ADVANCED_FACE('',(#24779),#9419,.T.); #334205=ADVANCED_FACE('',(#24780),#9420,.T.); #334206=ADVANCED_FACE('',(#24781),#9421,.F.); #334207=ADVANCED_FACE('',(#24782),#328595,.F.); #334208=ADVANCED_FACE('',(#24783),#9422,.T.); #334209=ADVANCED_FACE('',(#24784),#328596,.T.); #334210=ADVANCED_FACE('',(#24785),#328597,.T.); #334211=ADVANCED_FACE('',(#24786,#4928),#9423,.T.); #334212=ADVANCED_FACE('',(#24787,#4929),#9424,.F.); #334213=ADVANCED_FACE('',(#24788),#328598,.F.); #334214=ADVANCED_FACE('',(#24789),#328599,.F.); #334215=ADVANCED_FACE('',(#24790),#9425,.T.); #334216=ADVANCED_FACE('',(#24791),#328600,.T.); #334217=ADVANCED_FACE('',(#24792),#328601,.T.); #334218=ADVANCED_FACE('',(#24793),#9426,.T.); #334219=ADVANCED_FACE('',(#24794),#328602,.T.); #334220=ADVANCED_FACE('',(#24795),#328603,.T.); #334221=ADVANCED_FACE('',(#24796),#9427,.T.); #334222=ADVANCED_FACE('',(#24797),#328604,.T.); #334223=ADVANCED_FACE('',(#24798,#4930,#4931),#9428,.T.); #334224=ADVANCED_FACE('',(#24799,#4932,#4933),#9429,.F.); #334225=ADVANCED_FACE('',(#24800),#328605,.F.); #334226=ADVANCED_FACE('',(#24801),#9430,.T.); #334227=ADVANCED_FACE('',(#24802),#328606,.T.); #334228=ADVANCED_FACE('',(#24803),#328607,.T.); #334229=ADVANCED_FACE('',(#24804,#4934),#9431,.T.); #334230=ADVANCED_FACE('',(#24805,#4935),#9432,.F.); #334231=ADVANCED_FACE('',(#24806),#328608,.F.); #334232=ADVANCED_FACE('',(#24807),#9433,.T.); #334233=ADVANCED_FACE('',(#24808),#328609,.T.); #334234=ADVANCED_FACE('',(#24809),#328610,.T.); #334235=ADVANCED_FACE('',(#24810,#4936),#9434,.T.); #334236=ADVANCED_FACE('',(#24811,#4937),#9435,.F.); #334237=ADVANCED_FACE('',(#24812),#328611,.F.); #334238=ADVANCED_FACE('',(#24813),#9436,.T.); #334239=ADVANCED_FACE('',(#24814),#328612,.T.); #334240=ADVANCED_FACE('',(#24815),#9437,.T.); #334241=ADVANCED_FACE('',(#24816),#328613,.T.); #334242=ADVANCED_FACE('',(#24817),#9438,.T.); #334243=ADVANCED_FACE('',(#24818),#9439,.T.); #334244=ADVANCED_FACE('',(#24819),#9440,.T.); #334245=ADVANCED_FACE('',(#24820),#9441,.T.); #334246=ADVANCED_FACE('',(#24821),#9442,.T.); #334247=ADVANCED_FACE('',(#24822),#9443,.T.); #334248=ADVANCED_FACE('',(#24823),#9444,.T.); #334249=ADVANCED_FACE('',(#24824),#328614,.T.); #334250=ADVANCED_FACE('',(#24825),#328615,.T.); #334251=ADVANCED_FACE('',(#24826,#4938),#9445,.T.); #334252=ADVANCED_FACE('',(#24827,#4939),#9446,.F.); #334253=ADVANCED_FACE('',(#24828),#328616,.F.); #334254=ADVANCED_FACE('',(#24829),#9447,.T.); #334255=ADVANCED_FACE('',(#24830),#328617,.T.); #334256=ADVANCED_FACE('',(#24831),#9448,.T.); #334257=ADVANCED_FACE('',(#24832),#9449,.T.); #334258=ADVANCED_FACE('',(#24833),#9450,.T.); #334259=ADVANCED_FACE('',(#24834),#9451,.T.); #334260=ADVANCED_FACE('',(#24835),#9452,.T.); #334261=ADVANCED_FACE('',(#24836),#9453,.T.); #334262=ADVANCED_FACE('',(#24837),#9454,.T.); #334263=ADVANCED_FACE('',(#24838),#328618,.T.); #334264=ADVANCED_FACE('',(#24839,#4940),#9455,.T.); #334265=ADVANCED_FACE('',(#24840,#4941),#9456,.F.); #334266=ADVANCED_FACE('',(#24841),#9457,.T.); #334267=ADVANCED_FACE('',(#24842),#9458,.T.); #334268=ADVANCED_FACE('',(#24843),#9459,.T.); #334269=ADVANCED_FACE('',(#24844),#9460,.T.); #334270=ADVANCED_FACE('',(#24845),#9461,.T.); #334271=ADVANCED_FACE('',(#24846),#9462,.T.); #334272=ADVANCED_FACE('',(#24847),#9463,.T.); #334273=ADVANCED_FACE('',(#24848),#9464,.T.); #334274=ADVANCED_FACE('',(#24849),#328619,.T.); #334275=ADVANCED_FACE('',(#24850),#9465,.T.); #334276=ADVANCED_FACE('',(#24851),#328620,.T.); #334277=ADVANCED_FACE('',(#24852),#9466,.T.); #334278=ADVANCED_FACE('',(#24853),#9467,.T.); #334279=ADVANCED_FACE('',(#24854),#9468,.T.); #334280=ADVANCED_FACE('',(#24855),#9469,.T.); #334281=ADVANCED_FACE('',(#24856),#9470,.T.); #334282=ADVANCED_FACE('',(#24857),#9471,.T.); #334283=ADVANCED_FACE('',(#24858),#9472,.T.); #334284=ADVANCED_FACE('',(#24859),#328621,.T.); #334285=ADVANCED_FACE('',(#24860),#9473,.T.); #334286=ADVANCED_FACE('',(#24861),#9474,.T.); #334287=ADVANCED_FACE('',(#24862),#9475,.F.); #334288=ADVANCED_FACE('',(#24863),#9476,.T.); #334289=ADVANCED_FACE('',(#24864),#9477,.T.); #334290=ADVANCED_FACE('',(#24865),#9478,.T.); #334291=ADVANCED_FACE('',(#24866),#9479,.T.); #334292=ADVANCED_FACE('',(#24867),#9480,.T.); #334293=ADVANCED_FACE('',(#24868),#328622,.T.); #334294=ADVANCED_FACE('',(#24869),#9481,.T.); #334295=ADVANCED_FACE('',(#24870),#9482,.T.); #334296=ADVANCED_FACE('',(#24871),#9483,.T.); #334297=ADVANCED_FACE('',(#24872),#9484,.T.); #334298=ADVANCED_FACE('',(#24873),#9485,.T.); #334299=ADVANCED_FACE('',(#24874),#9486,.T.); #334300=ADVANCED_FACE('',(#24875),#9487,.T.); #334301=ADVANCED_FACE('',(#24876),#328623,.T.); #334302=ADVANCED_FACE('',(#24877),#9488,.T.); #334303=ADVANCED_FACE('',(#24878),#9489,.T.); #334304=ADVANCED_FACE('',(#24879),#328624,.T.); #334305=ADVANCED_FACE('',(#24880),#9490,.T.); #334306=ADVANCED_FACE('',(#24881),#9491,.T.); #334307=ADVANCED_FACE('',(#24882),#9492,.T.); #334308=ADVANCED_FACE('',(#24883),#9493,.T.); #334309=ADVANCED_FACE('',(#24884),#9494,.T.); #334310=ADVANCED_FACE('',(#24885),#9495,.T.); #334311=ADVANCED_FACE('',(#24886),#9496,.T.); #334312=ADVANCED_FACE('',(#24887),#328625,.T.); #334313=ADVANCED_FACE('',(#24888),#9497,.T.); #334314=ADVANCED_FACE('',(#24889),#9498,.T.); #334315=ADVANCED_FACE('',(#24890),#9499,.T.); #334316=ADVANCED_FACE('',(#24891),#9500,.T.); #334317=ADVANCED_FACE('',(#24892),#9501,.T.); #334318=ADVANCED_FACE('',(#24893),#328626,.T.); #334319=ADVANCED_FACE('',(#24894),#9502,.T.); #334320=ADVANCED_FACE('',(#24895),#328627,.T.); #334321=ADVANCED_FACE('',(#24896),#9503,.T.); #334322=ADVANCED_FACE('',(#24897),#9504,.T.); #334323=ADVANCED_FACE('',(#24898),#9505,.T.); #334324=ADVANCED_FACE('',(#24899),#9506,.T.); #334325=ADVANCED_FACE('',(#24900),#9507,.F.); #334326=ADVANCED_FACE('',(#24901),#9508,.T.); #334327=ADVANCED_FACE('',(#24902),#9509,.T.); #334328=ADVANCED_FACE('',(#24903),#9510,.T.); #334329=ADVANCED_FACE('',(#24904),#9511,.T.); #334330=ADVANCED_FACE('',(#24905),#9512,.T.); #334331=ADVANCED_FACE('',(#24906),#9513,.T.); #334332=ADVANCED_FACE('',(#24907),#328628,.T.); #334333=ADVANCED_FACE('',(#24908),#9514,.T.); #334334=ADVANCED_FACE('',(#24909),#328629,.T.); #334335=ADVANCED_FACE('',(#24910),#9515,.T.); #334336=ADVANCED_FACE('',(#24911),#9516,.T.); #334337=ADVANCED_FACE('',(#24912),#9517,.T.); #334338=ADVANCED_FACE('',(#24913),#9518,.T.); #334339=ADVANCED_FACE('',(#24914),#9519,.T.); #334340=ADVANCED_FACE('',(#24915),#328630,.T.); #334341=ADVANCED_FACE('',(#24916),#9520,.T.); #334342=ADVANCED_FACE('',(#24917),#9521,.T.); #334343=ADVANCED_FACE('',(#24918),#9522,.T.); #334344=ADVANCED_FACE('',(#24919),#9523,.T.); #334345=ADVANCED_FACE('',(#24920),#9524,.T.); #334346=ADVANCED_FACE('',(#24921),#9525,.T.); #334347=ADVANCED_FACE('',(#24922),#9526,.T.); #334348=ADVANCED_FACE('',(#24923),#328631,.T.); #334349=ADVANCED_FACE('',(#24924),#9527,.T.); #334350=ADVANCED_FACE('',(#24925),#9528,.T.); #334351=ADVANCED_FACE('',(#24926),#328632,.T.); #334352=ADVANCED_FACE('',(#24927),#9529,.T.); #334353=ADVANCED_FACE('',(#24928),#9530,.T.); #334354=ADVANCED_FACE('',(#24929),#9531,.T.); #334355=ADVANCED_FACE('',(#24930),#9532,.T.); #334356=ADVANCED_FACE('',(#24931),#9533,.T.); #334357=ADVANCED_FACE('',(#24932),#9534,.T.); #334358=ADVANCED_FACE('',(#24933),#9535,.T.); #334359=ADVANCED_FACE('',(#24934),#328633,.T.); #334360=ADVANCED_FACE('',(#24935),#9536,.T.); #334361=ADVANCED_FACE('',(#24936),#9537,.T.); #334362=ADVANCED_FACE('',(#24937),#9538,.T.); #334363=ADVANCED_FACE('',(#24938),#9539,.F.); #334364=ADVANCED_FACE('',(#24939),#328634,.F.); #334365=ADVANCED_FACE('',(#24940),#9540,.T.); #334366=ADVANCED_FACE('',(#24941),#328635,.T.); #334367=ADVANCED_FACE('',(#24942),#9541,.T.); #334368=ADVANCED_FACE('',(#24943),#9542,.T.); #334369=ADVANCED_FACE('',(#24944),#328636,.T.); #334370=ADVANCED_FACE('',(#24945),#9543,.T.); #334371=ADVANCED_FACE('',(#24946),#9544,.T.); #334372=ADVANCED_FACE('',(#24947),#328637,.T.); #334373=ADVANCED_FACE('',(#24948),#9545,.T.); #334374=ADVANCED_FACE('',(#24949),#9546,.T.); #334375=ADVANCED_FACE('',(#24950),#9547,.T.); #334376=ADVANCED_FACE('',(#24951),#9548,.T.); #334377=ADVANCED_FACE('',(#24952),#9549,.T.); #334378=ADVANCED_FACE('',(#24953),#9550,.T.); #334379=ADVANCED_FACE('',(#24954),#9551,.T.); #334380=ADVANCED_FACE('',(#24955),#9552,.T.); #334381=ADVANCED_FACE('',(#24956),#328638,.T.); #334382=ADVANCED_FACE('',(#24957),#9553,.T.); #334383=ADVANCED_FACE('',(#24958),#9554,.T.); #334384=ADVANCED_FACE('',(#24959),#328639,.T.); #334385=ADVANCED_FACE('',(#24960),#9555,.T.); #334386=ADVANCED_FACE('',(#24961),#328640,.T.); #334387=ADVANCED_FACE('',(#24962,#4942),#9556,.T.); #334388=ADVANCED_FACE('',(#24963,#4943),#9557,.F.); #334389=ADVANCED_FACE('',(#24964),#328641,.F.); #334390=ADVANCED_FACE('',(#24965),#9558,.T.); #334391=ADVANCED_FACE('',(#24966),#328642,.T.); #334392=ADVANCED_FACE('',(#24967),#9559,.T.); #334393=ADVANCED_FACE('',(#24968),#9560,.T.); #334394=ADVANCED_FACE('',(#24969),#328643,.T.); #334395=ADVANCED_FACE('',(#24970),#9561,.T.); #334396=ADVANCED_FACE('',(#24971),#9562,.T.); #334397=ADVANCED_FACE('',(#24972),#9563,.T.); #334398=ADVANCED_FACE('',(#24973),#9564,.T.); #334399=ADVANCED_FACE('',(#24974),#9565,.T.); #334400=ADVANCED_FACE('',(#24975),#9566,.T.); #334401=ADVANCED_FACE('',(#24976),#9567,.T.); #334402=ADVANCED_FACE('',(#24977),#9568,.T.); #334403=ADVANCED_FACE('',(#24978),#328644,.T.); #334404=ADVANCED_FACE('',(#24979),#9569,.T.); #334405=ADVANCED_FACE('',(#24980),#328645,.T.); #334406=ADVANCED_FACE('',(#24981,#4944),#9570,.T.); #334407=ADVANCED_FACE('',(#24982,#4945),#9571,.F.); #334408=ADVANCED_FACE('',(#24983),#328646,.F.); #334409=ADVANCED_FACE('',(#24984),#9572,.T.); #334410=ADVANCED_FACE('',(#24985),#328647,.T.); #334411=ADVANCED_FACE('',(#24986),#9573,.T.); #334412=ADVANCED_FACE('',(#24987),#9574,.T.); #334413=ADVANCED_FACE('',(#24988),#9575,.T.); #334414=ADVANCED_FACE('',(#24989),#9576,.T.); #334415=ADVANCED_FACE('',(#24990),#9577,.T.); #334416=ADVANCED_FACE('',(#24991),#9578,.T.); #334417=ADVANCED_FACE('',(#24992),#9579,.T.); #334418=ADVANCED_FACE('',(#24993),#328648,.T.); #334419=ADVANCED_FACE('',(#24994),#9580,.T.); #334420=ADVANCED_FACE('',(#24995),#328649,.T.); #334421=ADVANCED_FACE('',(#24996,#4946),#9581,.T.); #334422=ADVANCED_FACE('',(#24997,#4947),#9582,.F.); #334423=ADVANCED_FACE('',(#24998),#328650,.F.); #334424=ADVANCED_FACE('',(#24999),#9583,.T.); #334425=ADVANCED_FACE('',(#25000),#328651,.T.); #334426=ADVANCED_FACE('',(#25001),#9584,.T.); #334427=ADVANCED_FACE('',(#25002),#328652,.T.); #334428=ADVANCED_FACE('',(#25003),#9585,.T.); #334429=ADVANCED_FACE('',(#25004),#9586,.T.); #334430=ADVANCED_FACE('',(#25005),#9587,.T.); #334431=ADVANCED_FACE('',(#25006),#9588,.T.); #334432=ADVANCED_FACE('',(#25007),#9589,.T.); #334433=ADVANCED_FACE('',(#25008),#9590,.T.); #334434=ADVANCED_FACE('',(#25009),#9591,.T.); #334435=ADVANCED_FACE('',(#25010),#9592,.T.); #334436=ADVANCED_FACE('',(#25011),#9593,.T.); #334437=ADVANCED_FACE('',(#25012),#328653,.T.); #334438=ADVANCED_FACE('',(#25013,#4948),#9594,.T.); #334439=ADVANCED_FACE('',(#25014,#4949),#9595,.F.); #334440=ADVANCED_FACE('',(#25015),#328654,.F.); #334441=ADVANCED_FACE('',(#25016),#328655,.F.); #334442=ADVANCED_FACE('',(#25017),#9596,.T.); #334443=ADVANCED_FACE('',(#25018),#328656,.T.); #334444=ADVANCED_FACE('',(#25019),#328657,.T.); #334445=ADVANCED_FACE('',(#25020),#9597,.T.); #334446=ADVANCED_FACE('',(#25021),#328658,.T.); #334447=ADVANCED_FACE('',(#25022),#328659,.T.); #334448=ADVANCED_FACE('',(#25023),#9598,.T.); #334449=ADVANCED_FACE('',(#25024),#328660,.T.); #334450=ADVANCED_FACE('',(#25025,#4950,#4951),#9599,.T.); #334451=ADVANCED_FACE('',(#25026,#4952,#4953),#9600,.F.); #334452=ADVANCED_FACE('',(#25027),#328661,.F.); #334453=ADVANCED_FACE('',(#25028),#9601,.T.); #334454=ADVANCED_FACE('',(#25029),#328662,.T.); #334455=ADVANCED_FACE('',(#25030),#9602,.T.); #334456=ADVANCED_FACE('',(#25031),#9603,.T.); #334457=ADVANCED_FACE('',(#25032),#328663,.T.); #334458=ADVANCED_FACE('',(#25033),#9604,.T.); #334459=ADVANCED_FACE('',(#25034),#9605,.T.); #334460=ADVANCED_FACE('',(#25035),#9606,.T.); #334461=ADVANCED_FACE('',(#25036),#9607,.T.); #334462=ADVANCED_FACE('',(#25037),#9608,.T.); #334463=ADVANCED_FACE('',(#25038),#9609,.T.); #334464=ADVANCED_FACE('',(#25039),#9610,.T.); #334465=ADVANCED_FACE('',(#25040),#9611,.T.); #334466=ADVANCED_FACE('',(#25041),#328664,.T.); #334467=ADVANCED_FACE('',(#25042),#9612,.T.); #334468=ADVANCED_FACE('',(#25043),#328665,.T.); #334469=ADVANCED_FACE('',(#25044,#4954),#9613,.T.); #334470=ADVANCED_FACE('',(#25045,#4955),#9614,.F.); #334471=ADVANCED_FACE('',(#25046),#328666,.F.); #334472=ADVANCED_FACE('',(#25047),#9615,.T.); #334473=ADVANCED_FACE('',(#25048),#328667,.T.); #334474=ADVANCED_FACE('',(#25049),#9616,.T.); #334475=ADVANCED_FACE('',(#25050),#9617,.T.); #334476=ADVANCED_FACE('',(#25051),#328668,.T.); #334477=ADVANCED_FACE('',(#25052),#9618,.T.); #334478=ADVANCED_FACE('',(#25053),#9619,.T.); #334479=ADVANCED_FACE('',(#25054),#328669,.T.); #334480=ADVANCED_FACE('',(#25055),#9620,.T.); #334481=ADVANCED_FACE('',(#25056),#9621,.T.); #334482=ADVANCED_FACE('',(#25057),#9622,.T.); #334483=ADVANCED_FACE('',(#25058),#9623,.T.); #334484=ADVANCED_FACE('',(#25059),#9624,.T.); #334485=ADVANCED_FACE('',(#25060),#9625,.T.); #334486=ADVANCED_FACE('',(#25061),#9626,.T.); #334487=ADVANCED_FACE('',(#25062),#9627,.T.); #334488=ADVANCED_FACE('',(#25063),#328670,.T.); #334489=ADVANCED_FACE('',(#25064),#9628,.T.); #334490=ADVANCED_FACE('',(#25065),#9629,.T.); #334491=ADVANCED_FACE('',(#25066),#328671,.T.); #334492=ADVANCED_FACE('',(#25067),#9630,.T.); #334493=ADVANCED_FACE('',(#25068),#328672,.T.); #334494=ADVANCED_FACE('',(#25069,#4956),#9631,.T.); #334495=ADVANCED_FACE('',(#25070,#4957),#9632,.F.); #334496=ADVANCED_FACE('',(#25071),#9633,.T.); #334497=ADVANCED_FACE('',(#25072),#9634,.T.); #334498=ADVANCED_FACE('',(#25073),#9635,.T.); #334499=ADVANCED_FACE('',(#25074),#9636,.T.); #334500=ADVANCED_FACE('',(#25075),#328673,.T.); #334501=ADVANCED_FACE('',(#25076),#9637,.T.); #334502=ADVANCED_FACE('',(#25077),#328674,.T.); #334503=ADVANCED_FACE('',(#25078),#9638,.T.); #334504=ADVANCED_FACE('',(#25079),#9639,.T.); #334505=ADVANCED_FACE('',(#25080),#9640,.T.); #334506=ADVANCED_FACE('',(#25081),#328675,.T.); #334507=ADVANCED_FACE('',(#25082),#9641,.T.); #334508=ADVANCED_FACE('',(#25083),#9642,.T.); #334509=ADVANCED_FACE('',(#25084),#9643,.T.); #334510=ADVANCED_FACE('',(#25085),#9644,.T.); #334511=ADVANCED_FACE('',(#25086),#9645,.T.); #334512=ADVANCED_FACE('',(#25087),#9646,.T.); #334513=ADVANCED_FACE('',(#25088),#9647,.T.); #334514=ADVANCED_FACE('',(#25089),#328676,.T.); #334515=ADVANCED_FACE('',(#25090),#9648,.T.); #334516=ADVANCED_FACE('',(#25091),#328677,.T.); #334517=ADVANCED_FACE('',(#25092),#9649,.T.); #334518=ADVANCED_FACE('',(#25093),#9650,.T.); #334519=ADVANCED_FACE('',(#25094),#9651,.T.); #334520=ADVANCED_FACE('',(#25095),#9652,.T.); #334521=ADVANCED_FACE('',(#25096),#9653,.T.); #334522=ADVANCED_FACE('',(#25097),#9654,.T.); #334523=ADVANCED_FACE('',(#25098),#9655,.F.); #334524=ADVANCED_FACE('',(#25099),#328678,.F.); #334525=ADVANCED_FACE('',(#25100),#9656,.T.); #334526=ADVANCED_FACE('',(#25101),#328679,.T.); #334527=ADVANCED_FACE('',(#25102),#9657,.T.); #334528=ADVANCED_FACE('',(#25103),#9658,.T.); #334529=ADVANCED_FACE('',(#25104),#328680,.T.); #334530=ADVANCED_FACE('',(#25105),#9659,.T.); #334531=ADVANCED_FACE('',(#25106),#328681,.T.); #334532=ADVANCED_FACE('',(#25107),#9660,.T.); #334533=ADVANCED_FACE('',(#25108),#328682,.T.); #334534=ADVANCED_FACE('',(#25109),#9661,.T.); #334535=ADVANCED_FACE('',(#25110),#9662,.T.); #334536=ADVANCED_FACE('',(#25111),#9663,.T.); #334537=ADVANCED_FACE('',(#25112),#9664,.T.); #334538=ADVANCED_FACE('',(#25113),#9665,.T.); #334539=ADVANCED_FACE('',(#25114),#9666,.T.); #334540=ADVANCED_FACE('',(#25115),#9667,.T.); #334541=ADVANCED_FACE('',(#25116),#328683,.T.); #334542=ADVANCED_FACE('',(#25117),#9668,.T.); #334543=ADVANCED_FACE('',(#25118),#9669,.T.); #334544=ADVANCED_FACE('',(#25119),#9670,.T.); #334545=ADVANCED_FACE('',(#25120),#9671,.T.); #334546=ADVANCED_FACE('',(#25121),#328684,.T.); #334547=ADVANCED_FACE('',(#25122),#9672,.T.); #334548=ADVANCED_FACE('',(#25123),#328685,.T.); #334549=ADVANCED_FACE('',(#25124,#4958),#9673,.T.); #334550=ADVANCED_FACE('',(#25125,#4959),#9674,.F.); #334551=ADVANCED_FACE('',(#25126),#328686,.F.); #334552=ADVANCED_FACE('',(#25127),#9675,.T.); #334553=ADVANCED_FACE('',(#25128),#328687,.T.); #334554=ADVANCED_FACE('',(#25129),#9676,.T.); #334555=ADVANCED_FACE('',(#25130),#9677,.T.); #334556=ADVANCED_FACE('',(#25131),#9678,.T.); #334557=ADVANCED_FACE('',(#25132),#9679,.T.); #334558=ADVANCED_FACE('',(#25133),#9680,.T.); #334559=ADVANCED_FACE('',(#25134),#9681,.T.); #334560=ADVANCED_FACE('',(#25135),#9682,.T.); #334561=ADVANCED_FACE('',(#25136),#328688,.T.); #334562=ADVANCED_FACE('',(#25137),#328689,.T.); #334563=ADVANCED_FACE('',(#25138,#4960),#9683,.T.); #334564=ADVANCED_FACE('',(#25139,#4961),#9684,.F.); #334565=ADVANCED_FACE('',(#25140),#9685,.T.); #334566=ADVANCED_FACE('',(#25141),#9686,.T.); #334567=ADVANCED_FACE('',(#25142),#9687,.T.); #334568=ADVANCED_FACE('',(#25143),#9688,.T.); #334569=ADVANCED_FACE('',(#25144),#9689,.T.); #334570=ADVANCED_FACE('',(#25145),#328690,.T.); #334571=ADVANCED_FACE('',(#25146),#9690,.T.); #334572=ADVANCED_FACE('',(#25147),#9691,.T.); #334573=ADVANCED_FACE('',(#25148),#9692,.T.); #334574=ADVANCED_FACE('',(#25149),#328691,.T.); #334575=ADVANCED_FACE('',(#25150),#9693,.T.); #334576=ADVANCED_FACE('',(#25151),#328692,.T.); #334577=ADVANCED_FACE('',(#25152),#9694,.T.); #334578=ADVANCED_FACE('',(#25153),#328693,.T.); #334579=ADVANCED_FACE('',(#25154),#9695,.T.); #334580=ADVANCED_FACE('',(#25155),#9696,.T.); #334581=ADVANCED_FACE('',(#25156),#328694,.T.); #334582=ADVANCED_FACE('',(#25157),#9697,.T.); #334583=ADVANCED_FACE('',(#25158),#9698,.T.); #334584=ADVANCED_FACE('',(#25159),#9699,.T.); #334585=ADVANCED_FACE('',(#25160),#9700,.T.); #334586=ADVANCED_FACE('',(#25161),#9701,.T.); #334587=ADVANCED_FACE('',(#25162),#9702,.T.); #334588=ADVANCED_FACE('',(#25163),#9703,.T.); #334589=ADVANCED_FACE('',(#25164),#328695,.T.); #334590=ADVANCED_FACE('',(#25165),#9704,.T.); #334591=ADVANCED_FACE('',(#25166),#9705,.T.); #334592=ADVANCED_FACE('',(#25167),#328696,.T.); #334593=ADVANCED_FACE('',(#25168),#9706,.T.); #334594=ADVANCED_FACE('',(#25169),#9707,.T.); #334595=ADVANCED_FACE('',(#25170),#9708,.T.); #334596=ADVANCED_FACE('',(#25171),#9709,.T.); #334597=ADVANCED_FACE('',(#25172),#328697,.T.); #334598=ADVANCED_FACE('',(#25173),#9710,.T.); #334599=ADVANCED_FACE('',(#25174),#328698,.T.); #334600=ADVANCED_FACE('',(#25175),#9711,.T.); #334601=ADVANCED_FACE('',(#25176),#9712,.T.); #334602=ADVANCED_FACE('',(#25177),#9713,.T.); #334603=ADVANCED_FACE('',(#25178),#9714,.T.); #334604=ADVANCED_FACE('',(#25179),#9715,.F.); #334605=ADVANCED_FACE('',(#25180),#9716,.T.); #334606=ADVANCED_FACE('',(#25181),#9717,.T.); #334607=ADVANCED_FACE('',(#25182),#9718,.T.); #334608=ADVANCED_FACE('',(#25183),#9719,.T.); #334609=ADVANCED_FACE('',(#25184),#9720,.T.); #334610=ADVANCED_FACE('',(#25185),#9721,.T.); #334611=ADVANCED_FACE('',(#25186),#328699,.T.); #334612=ADVANCED_FACE('',(#25187),#9722,.T.); #334613=ADVANCED_FACE('',(#25188),#328700,.T.); #334614=ADVANCED_FACE('',(#25189),#9723,.T.); #334615=ADVANCED_FACE('',(#25190),#9724,.T.); #334616=ADVANCED_FACE('',(#25191),#9725,.T.); #334617=ADVANCED_FACE('',(#25192),#9726,.T.); #334618=ADVANCED_FACE('',(#25193),#328701,.T.); #334619=ADVANCED_FACE('',(#25194),#9727,.T.); #334620=ADVANCED_FACE('',(#25195),#9728,.T.); #334621=ADVANCED_FACE('',(#25196),#328702,.T.); #334622=ADVANCED_FACE('',(#25197),#9729,.T.); #334623=ADVANCED_FACE('',(#25198),#9730,.T.); #334624=ADVANCED_FACE('',(#25199),#9731,.T.); #334625=ADVANCED_FACE('',(#25200),#9732,.T.); #334626=ADVANCED_FACE('',(#25201),#9733,.T.); #334627=ADVANCED_FACE('',(#25202),#9734,.T.); #334628=ADVANCED_FACE('',(#25203),#9735,.T.); #334629=ADVANCED_FACE('',(#25204),#328703,.T.); #334630=ADVANCED_FACE('',(#25205),#9736,.T.); #334631=ADVANCED_FACE('',(#25206),#9737,.T.); #334632=ADVANCED_FACE('',(#25207),#328704,.T.); #334633=ADVANCED_FACE('',(#25208),#9738,.T.); #334634=ADVANCED_FACE('',(#25209),#328705,.T.); #334635=ADVANCED_FACE('',(#25210),#9739,.T.); #334636=ADVANCED_FACE('',(#25211),#328706,.T.); #334637=ADVANCED_FACE('',(#25212),#9740,.T.); #334638=ADVANCED_FACE('',(#25213),#9741,.T.); #334639=ADVANCED_FACE('',(#25214),#9742,.T.); #334640=ADVANCED_FACE('',(#25215),#328707,.T.); #334641=ADVANCED_FACE('',(#25216),#9743,.T.); #334642=ADVANCED_FACE('',(#25217),#9744,.T.); #334643=ADVANCED_FACE('',(#25218),#9745,.T.); #334644=ADVANCED_FACE('',(#25219),#9746,.F.); #334645=ADVANCED_FACE('',(#25220),#9747,.T.); #334646=ADVANCED_FACE('',(#25221),#9748,.T.); #334647=ADVANCED_FACE('',(#25222),#9749,.T.); #334648=ADVANCED_FACE('',(#25223),#9750,.T.); #334649=ADVANCED_FACE('',(#25224),#328708,.T.); #334650=ADVANCED_FACE('',(#25225),#9751,.T.); #334651=ADVANCED_FACE('',(#25226),#9752,.T.); #334652=ADVANCED_FACE('',(#25227),#328709,.T.); #334653=ADVANCED_FACE('',(#25228),#9753,.T.); #334654=ADVANCED_FACE('',(#25229),#9754,.T.); #334655=ADVANCED_FACE('',(#25230),#9755,.T.); #334656=ADVANCED_FACE('',(#25231),#9756,.T.); #334657=ADVANCED_FACE('',(#25232),#9757,.T.); #334658=ADVANCED_FACE('',(#25233),#9758,.T.); #334659=ADVANCED_FACE('',(#25234),#9759,.T.); #334660=ADVANCED_FACE('',(#25235),#328710,.T.); #334661=ADVANCED_FACE('',(#25236),#9760,.T.); #334662=ADVANCED_FACE('',(#25237),#9761,.T.); #334663=ADVANCED_FACE('',(#25238),#9762,.T.); #334664=ADVANCED_FACE('',(#25239),#9763,.T.); #334665=ADVANCED_FACE('',(#25240),#9764,.T.); #334666=ADVANCED_FACE('',(#25241),#9765,.F.); #334667=ADVANCED_FACE('',(#25242),#328711,.F.); #334668=ADVANCED_FACE('',(#25243),#9766,.T.); #334669=ADVANCED_FACE('',(#25244),#9767,.T.); #334670=ADVANCED_FACE('',(#25245),#328712,.T.); #334671=ADVANCED_FACE('',(#25246),#9768,.T.); #334672=ADVANCED_FACE('',(#25247),#9769,.T.); #334673=ADVANCED_FACE('',(#25248),#9770,.T.); #334674=ADVANCED_FACE('',(#25249),#328713,.T.); #334675=ADVANCED_FACE('',(#25250),#9771,.T.); #334676=ADVANCED_FACE('',(#25251),#9772,.T.); #334677=ADVANCED_FACE('',(#25252),#328714,.T.); #334678=ADVANCED_FACE('',(#25253),#328715,.T.); #334679=ADVANCED_FACE('',(#25254),#9773,.T.); #334680=ADVANCED_FACE('',(#25255),#328716,.T.); #334681=ADVANCED_FACE('',(#25256),#9774,.T.); #334682=ADVANCED_FACE('',(#25257),#9775,.T.); #334683=ADVANCED_FACE('',(#25258),#328717,.T.); #334684=ADVANCED_FACE('',(#25259),#9776,.T.); #334685=ADVANCED_FACE('',(#25260),#9777,.T.); #334686=ADVANCED_FACE('',(#25261),#9778,.T.); #334687=ADVANCED_FACE('',(#25262),#9779,.T.); #334688=ADVANCED_FACE('',(#25263),#9780,.T.); #334689=ADVANCED_FACE('',(#25264,#4962),#9781,.T.); #334690=ADVANCED_FACE('',(#25265,#4963),#9782,.F.); #334691=ADVANCED_FACE('',(#25266),#328718,.F.); #334692=ADVANCED_FACE('',(#25267),#9783,.T.); #334693=ADVANCED_FACE('',(#25268),#328719,.T.); #334694=ADVANCED_FACE('',(#25269),#9784,.T.); #334695=ADVANCED_FACE('',(#25270),#9785,.T.); #334696=ADVANCED_FACE('',(#25271),#328720,.T.); #334697=ADVANCED_FACE('',(#25272),#9786,.T.); #334698=ADVANCED_FACE('',(#25273),#9787,.T.); #334699=ADVANCED_FACE('',(#25274),#9788,.T.); #334700=ADVANCED_FACE('',(#25275),#9789,.T.); #334701=ADVANCED_FACE('',(#25276),#9790,.T.); #334702=ADVANCED_FACE('',(#25277),#9791,.T.); #334703=ADVANCED_FACE('',(#25278),#9792,.T.); #334704=ADVANCED_FACE('',(#25279),#9793,.T.); #334705=ADVANCED_FACE('',(#25280),#328721,.T.); #334706=ADVANCED_FACE('',(#25281),#9794,.T.); #334707=ADVANCED_FACE('',(#25282),#328722,.T.); #334708=ADVANCED_FACE('',(#25283,#4964),#9795,.T.); #334709=ADVANCED_FACE('',(#25284,#4965),#9796,.F.); #334710=ADVANCED_FACE('',(#25285),#328723,.F.); #334711=ADVANCED_FACE('',(#25286),#9797,.T.); #334712=ADVANCED_FACE('',(#25287),#328724,.T.); #334713=ADVANCED_FACE('',(#25288),#328725,.T.); #334714=ADVANCED_FACE('',(#25289),#9798,.T.); #334715=ADVANCED_FACE('',(#25290),#9799,.T.); #334716=ADVANCED_FACE('',(#25291),#9800,.T.); #334717=ADVANCED_FACE('',(#25292),#9801,.T.); #334718=ADVANCED_FACE('',(#25293),#9802,.T.); #334719=ADVANCED_FACE('',(#25294),#9803,.T.); #334720=ADVANCED_FACE('',(#25295),#9804,.T.); #334721=ADVANCED_FACE('',(#25296),#328726,.T.); #334722=ADVANCED_FACE('',(#25297),#9805,.T.); #334723=ADVANCED_FACE('',(#25298),#328727,.T.); #334724=ADVANCED_FACE('',(#25299,#4966),#9806,.T.); #334725=ADVANCED_FACE('',(#25300,#4967),#9807,.F.); #334726=ADVANCED_FACE('',(#25301),#328728,.F.); #334727=ADVANCED_FACE('',(#25302),#9808,.T.); #334728=ADVANCED_FACE('',(#25303),#9809,.T.); #334729=ADVANCED_FACE('',(#25304),#9810,.T.); #334730=ADVANCED_FACE('',(#25305),#9811,.T.); #334731=ADVANCED_FACE('',(#25306),#9812,.T.); #334732=ADVANCED_FACE('',(#25307),#328729,.T.); #334733=ADVANCED_FACE('',(#25308),#9813,.T.); #334734=ADVANCED_FACE('',(#25309),#9814,.T.); #334735=ADVANCED_FACE('',(#25310),#328730,.T.); #334736=ADVANCED_FACE('',(#25311),#9815,.T.); #334737=ADVANCED_FACE('',(#25312),#328731,.T.); #334738=ADVANCED_FACE('',(#25313),#328732,.T.); #334739=ADVANCED_FACE('',(#25314),#9816,.T.); #334740=ADVANCED_FACE('',(#25315),#9817,.T.); #334741=ADVANCED_FACE('',(#25316),#328733,.T.); #334742=ADVANCED_FACE('',(#25317),#9818,.T.); #334743=ADVANCED_FACE('',(#25318),#9819,.T.); #334744=ADVANCED_FACE('',(#25319),#9820,.T.); #334745=ADVANCED_FACE('',(#25320,#4968),#9821,.T.); #334746=ADVANCED_FACE('',(#25321,#4969),#9822,.F.); #334747=ADVANCED_FACE('',(#25322),#328734,.F.); #334748=ADVANCED_FACE('',(#25323),#9823,.T.); #334749=ADVANCED_FACE('',(#25324),#328735,.T.); #334750=ADVANCED_FACE('',(#25325),#9824,.T.); #334751=ADVANCED_FACE('',(#25326),#328736,.T.); #334752=ADVANCED_FACE('',(#25327),#9825,.T.); #334753=ADVANCED_FACE('',(#25328),#9826,.T.); #334754=ADVANCED_FACE('',(#25329),#9827,.T.); #334755=ADVANCED_FACE('',(#25330),#9828,.T.); #334756=ADVANCED_FACE('',(#25331),#9829,.T.); #334757=ADVANCED_FACE('',(#25332),#9830,.T.); #334758=ADVANCED_FACE('',(#25333),#9831,.T.); #334759=ADVANCED_FACE('',(#25334),#328737,.T.); #334760=ADVANCED_FACE('',(#25335),#328738,.T.); #334761=ADVANCED_FACE('',(#25336,#4970),#9832,.T.); #334762=ADVANCED_FACE('',(#25337,#4971),#9833,.F.); #334763=ADVANCED_FACE('',(#25338),#328739,.F.); #334764=ADVANCED_FACE('',(#25339),#9834,.T.); #334765=ADVANCED_FACE('',(#25340),#9835,.T.); #334766=ADVANCED_FACE('',(#25341),#9836,.T.); #334767=ADVANCED_FACE('',(#25342),#9837,.T.); #334768=ADVANCED_FACE('',(#25343),#9838,.T.); #334769=ADVANCED_FACE('',(#25344),#9839,.T.); #334770=ADVANCED_FACE('',(#25345),#328740,.T.); #334771=ADVANCED_FACE('',(#25346),#9840,.T.); #334772=ADVANCED_FACE('',(#25347),#9841,.T.); #334773=ADVANCED_FACE('',(#25348),#328741,.T.); #334774=ADVANCED_FACE('',(#25349),#328742,.T.); #334775=ADVANCED_FACE('',(#25350),#9842,.T.); #334776=ADVANCED_FACE('',(#25351),#328743,.T.); #334777=ADVANCED_FACE('',(#25352),#9843,.T.); #334778=ADVANCED_FACE('',(#25353),#9844,.T.); #334779=ADVANCED_FACE('',(#25354),#328744,.T.); #334780=ADVANCED_FACE('',(#25355),#9845,.T.); #334781=ADVANCED_FACE('',(#25356),#9846,.T.); #334782=ADVANCED_FACE('',(#25357,#4972),#9847,.T.); #334783=ADVANCED_FACE('',(#25358,#4973),#9848,.F.); #334784=ADVANCED_FACE('',(#25359),#328745,.F.); #334785=ADVANCED_FACE('',(#25360),#9849,.T.); #334786=ADVANCED_FACE('',(#25361),#328746,.T.); #334787=ADVANCED_FACE('',(#25362),#9850,.T.); #334788=ADVANCED_FACE('',(#25363),#9851,.T.); #334789=ADVANCED_FACE('',(#25364),#9852,.T.); #334790=ADVANCED_FACE('',(#25365),#9853,.T.); #334791=ADVANCED_FACE('',(#25366),#9854,.T.); #334792=ADVANCED_FACE('',(#25367),#9855,.T.); #334793=ADVANCED_FACE('',(#25368),#9856,.T.); #334794=ADVANCED_FACE('',(#25369),#328747,.T.); #334795=ADVANCED_FACE('',(#25370),#328748,.T.); #334796=ADVANCED_FACE('',(#25371,#4974),#9857,.T.); #334797=ADVANCED_FACE('',(#25372,#4975),#9858,.F.); #334798=ADVANCED_FACE('',(#25373),#328749,.F.); #334799=ADVANCED_FACE('',(#25374),#9859,.T.); #334800=ADVANCED_FACE('',(#25375),#328750,.T.); #334801=ADVANCED_FACE('',(#25376),#328751,.T.); #334802=ADVANCED_FACE('',(#25377),#9860,.T.); #334803=ADVANCED_FACE('',(#25378),#9861,.T.); #334804=ADVANCED_FACE('',(#25379),#9862,.T.); #334805=ADVANCED_FACE('',(#25380),#9863,.T.); #334806=ADVANCED_FACE('',(#25381),#9864,.T.); #334807=ADVANCED_FACE('',(#25382),#9865,.T.); #334808=ADVANCED_FACE('',(#25383),#9866,.T.); #334809=ADVANCED_FACE('',(#25384),#328752,.T.); #334810=ADVANCED_FACE('',(#25385,#4976),#9867,.T.); #334811=ADVANCED_FACE('',(#25386,#4977),#9868,.F.); #334812=ADVANCED_FACE('',(#25387),#328753,.F.); #334813=ADVANCED_FACE('',(#25388),#9869,.T.); #334814=ADVANCED_FACE('',(#25389),#9870,.T.); #334815=ADVANCED_FACE('',(#25390),#9871,.T.); #334816=ADVANCED_FACE('',(#25391),#9872,.T.); #334817=ADVANCED_FACE('',(#25392),#9873,.T.); #334818=ADVANCED_FACE('',(#25393),#328754,.T.); #334819=ADVANCED_FACE('',(#25394),#9874,.T.); #334820=ADVANCED_FACE('',(#25395),#9875,.T.); #334821=ADVANCED_FACE('',(#25396),#9876,.T.); #334822=ADVANCED_FACE('',(#25397),#9877,.T.); #334823=ADVANCED_FACE('',(#25398),#9878,.T.); #334824=ADVANCED_FACE('',(#25399),#328755,.T.); #334825=ADVANCED_FACE('',(#25400),#328756,.T.); #334826=ADVANCED_FACE('',(#25401),#9879,.T.); #334827=ADVANCED_FACE('',(#25402),#328757,.T.); #334828=ADVANCED_FACE('',(#25403),#9880,.T.); #334829=ADVANCED_FACE('',(#25404),#328758,.T.); #334830=ADVANCED_FACE('',(#25405),#9881,.T.); #334831=ADVANCED_FACE('',(#25406),#328759,.T.); #334832=ADVANCED_FACE('',(#25407),#9882,.T.); #334833=ADVANCED_FACE('',(#25408),#328760,.T.); #334834=ADVANCED_FACE('',(#25409),#9883,.T.); #334835=ADVANCED_FACE('',(#25410),#9884,.T.); #334836=ADVANCED_FACE('',(#25411,#4978),#9885,.T.); #334837=ADVANCED_FACE('',(#25412,#4979),#9886,.F.); #334838=ADVANCED_FACE('',(#25413),#328761,.F.); #334839=ADVANCED_FACE('',(#25414),#9887,.T.); #334840=ADVANCED_FACE('',(#25415),#9888,.T.); #334841=ADVANCED_FACE('',(#25416),#9889,.T.); #334842=ADVANCED_FACE('',(#25417),#9890,.T.); #334843=ADVANCED_FACE('',(#25418),#9891,.T.); #334844=ADVANCED_FACE('',(#25419),#9892,.T.); #334845=ADVANCED_FACE('',(#25420),#9893,.T.); #334846=ADVANCED_FACE('',(#25421),#9894,.T.); #334847=ADVANCED_FACE('',(#25422),#328762,.T.); #334848=ADVANCED_FACE('',(#25423),#328763,.T.); #334849=ADVANCED_FACE('',(#25424),#9895,.T.); #334850=ADVANCED_FACE('',(#25425),#328764,.T.); #334851=ADVANCED_FACE('',(#25426),#9896,.T.); #334852=ADVANCED_FACE('',(#25427),#328765,.T.); #334853=ADVANCED_FACE('',(#25428),#9897,.T.); #334854=ADVANCED_FACE('',(#25429),#328766,.T.); #334855=ADVANCED_FACE('',(#25430),#9898,.T.); #334856=ADVANCED_FACE('',(#25431,#4980),#9899,.T.); #334857=ADVANCED_FACE('',(#25432,#4981),#9900,.F.); #334858=ADVANCED_FACE('',(#25433),#328767,.F.); #334859=ADVANCED_FACE('',(#25434),#9901,.T.); #334860=ADVANCED_FACE('',(#25435),#9902,.T.); #334861=ADVANCED_FACE('',(#25436),#9903,.T.); #334862=ADVANCED_FACE('',(#25437),#9904,.T.); #334863=ADVANCED_FACE('',(#25438),#9905,.T.); #334864=ADVANCED_FACE('',(#25439),#328768,.T.); #334865=ADVANCED_FACE('',(#25440),#9906,.T.); #334866=ADVANCED_FACE('',(#25441),#328769,.T.); #334867=ADVANCED_FACE('',(#25442),#9907,.T.); #334868=ADVANCED_FACE('',(#25443),#328770,.T.); #334869=ADVANCED_FACE('',(#25444),#9908,.T.); #334870=ADVANCED_FACE('',(#25445),#328771,.T.); #334871=ADVANCED_FACE('',(#25446),#9909,.T.); #334872=ADVANCED_FACE('',(#25447),#328772,.T.); #334873=ADVANCED_FACE('',(#25448),#328773,.T.); #334874=ADVANCED_FACE('',(#25449),#9910,.T.); #334875=ADVANCED_FACE('',(#25450),#9911,.T.); #334876=ADVANCED_FACE('',(#25451),#9912,.T.); #334877=ADVANCED_FACE('',(#25452),#9913,.T.); #334878=ADVANCED_FACE('',(#25453),#9914,.T.); #334879=ADVANCED_FACE('',(#25454),#328774,.T.); #334880=ADVANCED_FACE('',(#25455),#9915,.T.); #334881=ADVANCED_FACE('',(#25456),#9916,.T.); #334882=ADVANCED_FACE('',(#25457,#4982),#9917,.T.); #334883=ADVANCED_FACE('',(#25458,#4983),#9918,.F.); #334884=ADVANCED_FACE('',(#25459),#9919,.T.); #334885=ADVANCED_FACE('',(#25460),#9920,.T.); #334886=ADVANCED_FACE('',(#25461),#9921,.T.); #334887=ADVANCED_FACE('',(#25462),#9922,.T.); #334888=ADVANCED_FACE('',(#25463),#328775,.T.); #334889=ADVANCED_FACE('',(#25464),#9923,.T.); #334890=ADVANCED_FACE('',(#25465),#328776,.T.); #334891=ADVANCED_FACE('',(#25466),#9924,.T.); #334892=ADVANCED_FACE('',(#25467),#9925,.T.); #334893=ADVANCED_FACE('',(#25468),#9926,.T.); #334894=ADVANCED_FACE('',(#25469),#9927,.T.); #334895=ADVANCED_FACE('',(#25470),#9928,.T.); #334896=ADVANCED_FACE('',(#25471),#9929,.T.); #334897=ADVANCED_FACE('',(#25472),#9930,.T.); #334898=ADVANCED_FACE('',(#25473),#9931,.T.); #334899=ADVANCED_FACE('',(#25474),#9932,.T.); #334900=ADVANCED_FACE('',(#25475),#328777,.T.); #334901=ADVANCED_FACE('',(#25476),#9933,.T.); #334902=ADVANCED_FACE('',(#25477),#328778,.T.); #334903=ADVANCED_FACE('',(#25478),#9934,.T.); #334904=ADVANCED_FACE('',(#25479),#9935,.T.); #334905=ADVANCED_FACE('',(#25480),#9936,.T.); #334906=ADVANCED_FACE('',(#25481),#9937,.T.); #334907=ADVANCED_FACE('',(#25482),#9938,.T.); #334908=ADVANCED_FACE('',(#25483),#9939,.T.); #334909=ADVANCED_FACE('',(#25484),#9940,.F.); #334910=ADVANCED_FACE('',(#25485),#328779,.F.); #334911=ADVANCED_FACE('',(#25486),#9941,.T.); #334912=ADVANCED_FACE('',(#25487),#9942,.T.); #334913=ADVANCED_FACE('',(#25488),#9943,.T.); #334914=ADVANCED_FACE('',(#25489),#9944,.T.); #334915=ADVANCED_FACE('',(#25490,#4984),#9945,.T.); #334916=ADVANCED_FACE('',(#25491,#4985),#9946,.F.); #334917=ADVANCED_FACE('',(#25492),#328780,.F.); #334918=ADVANCED_FACE('',(#25493),#9947,.T.); #334919=ADVANCED_FACE('',(#25494),#328781,.T.); #334920=ADVANCED_FACE('',(#25495),#9948,.T.); #334921=ADVANCED_FACE('',(#25496),#328782,.T.); #334922=ADVANCED_FACE('',(#25497),#9949,.T.); #334923=ADVANCED_FACE('',(#25498),#9950,.T.); #334924=ADVANCED_FACE('',(#25499),#9951,.T.); #334925=ADVANCED_FACE('',(#25500),#9952,.T.); #334926=ADVANCED_FACE('',(#25501),#328783,.T.); #334927=ADVANCED_FACE('',(#25502),#9953,.T.); #334928=ADVANCED_FACE('',(#25503),#9954,.T.); #334929=ADVANCED_FACE('',(#25504),#9955,.T.); #334930=ADVANCED_FACE('',(#25505),#9956,.T.); #334931=ADVANCED_FACE('',(#25506),#9957,.T.); #334932=ADVANCED_FACE('',(#25507),#9958,.T.); #334933=ADVANCED_FACE('',(#25508),#9959,.T.); #334934=ADVANCED_FACE('',(#25509),#328784,.T.); #334935=ADVANCED_FACE('',(#25510),#9960,.T.); #334936=ADVANCED_FACE('',(#25511),#328785,.T.); #334937=ADVANCED_FACE('',(#25512),#9961,.T.); #334938=ADVANCED_FACE('',(#25513),#328786,.T.); #334939=ADVANCED_FACE('',(#25514),#9962,.T.); #334940=ADVANCED_FACE('',(#25515),#9963,.T.); #334941=ADVANCED_FACE('',(#25516),#328787,.T.); #334942=ADVANCED_FACE('',(#25517,#4986),#9964,.T.); #334943=ADVANCED_FACE('',(#25518,#4987),#9965,.F.); #334944=ADVANCED_FACE('',(#25519),#328788,.F.); #334945=ADVANCED_FACE('',(#25520),#9966,.T.); #334946=ADVANCED_FACE('',(#25521),#9967,.T.); #334947=ADVANCED_FACE('',(#25522),#9968,.T.); #334948=ADVANCED_FACE('',(#25523),#9969,.T.); #334949=ADVANCED_FACE('',(#25524),#9970,.T.); #334950=ADVANCED_FACE('',(#25525),#9971,.T.); #334951=ADVANCED_FACE('',(#25526),#9972,.T.); #334952=ADVANCED_FACE('',(#25527),#328789,.T.); #334953=ADVANCED_FACE('',(#25528),#9973,.T.); #334954=ADVANCED_FACE('',(#25529),#328790,.T.); #334955=ADVANCED_FACE('',(#25530),#328791,.T.); #334956=ADVANCED_FACE('',(#25531),#9974,.T.); #334957=ADVANCED_FACE('',(#25532),#9975,.T.); #334958=ADVANCED_FACE('',(#25533),#328792,.T.); #334959=ADVANCED_FACE('',(#25534),#9976,.T.); #334960=ADVANCED_FACE('',(#25535,#4988),#9977,.T.); #334961=ADVANCED_FACE('',(#25536,#4989),#9978,.F.); #334962=ADVANCED_FACE('',(#25537),#328793,.F.); #334963=ADVANCED_FACE('',(#25538),#9979,.T.); #334964=ADVANCED_FACE('',(#25539),#9980,.T.); #334965=ADVANCED_FACE('',(#25540),#9981,.T.); #334966=ADVANCED_FACE('',(#25541),#9982,.T.); #334967=ADVANCED_FACE('',(#25542),#9983,.T.); #334968=ADVANCED_FACE('',(#25543),#9984,.T.); #334969=ADVANCED_FACE('',(#25544),#9985,.T.); #334970=ADVANCED_FACE('',(#25545),#9986,.T.); #334971=ADVANCED_FACE('',(#25546),#9987,.T.); #334972=ADVANCED_FACE('',(#25547),#9988,.T.); #334973=ADVANCED_FACE('',(#25548),#328794,.T.); #334974=ADVANCED_FACE('',(#25549),#9989,.T.); #334975=ADVANCED_FACE('',(#25550),#328795,.T.); #334976=ADVANCED_FACE('',(#25551),#9990,.T.); #334977=ADVANCED_FACE('',(#25552),#9991,.T.); #334978=ADVANCED_FACE('',(#25553),#9992,.T.); #334979=ADVANCED_FACE('',(#25554),#328796,.T.); #334980=ADVANCED_FACE('',(#25555),#9993,.T.); #334981=ADVANCED_FACE('',(#25556),#328797,.T.); #334982=ADVANCED_FACE('',(#25557),#9994,.T.); #334983=ADVANCED_FACE('',(#25558),#9995,.T.); #334984=ADVANCED_FACE('',(#25559),#9996,.T.); #334985=ADVANCED_FACE('',(#25560),#328798,.T.); #334986=ADVANCED_FACE('',(#25561),#328799,.T.); #334987=ADVANCED_FACE('',(#25562),#9997,.T.); #334988=ADVANCED_FACE('',(#25563),#328800,.T.); #334989=ADVANCED_FACE('',(#25564),#9998,.T.); #334990=ADVANCED_FACE('',(#25565),#328801,.T.); #334991=ADVANCED_FACE('',(#25566),#9999,.T.); #334992=ADVANCED_FACE('',(#25567),#10000,.T.); #334993=ADVANCED_FACE('',(#25568),#10001,.T.); #334994=ADVANCED_FACE('',(#25569),#328802,.T.); #334995=ADVANCED_FACE('',(#25570),#10002,.T.); #334996=ADVANCED_FACE('',(#25571),#328803,.T.); #334997=ADVANCED_FACE('',(#25572),#10003,.T.); #334998=ADVANCED_FACE('',(#25573),#10004,.T.); #334999=ADVANCED_FACE('',(#25574),#10005,.T.); #335000=ADVANCED_FACE('',(#25575),#10006,.T.); #335001=ADVANCED_FACE('',(#25576),#10007,.T.); #335002=ADVANCED_FACE('',(#25577),#10008,.T.); #335003=ADVANCED_FACE('',(#25578),#10009,.T.); #335004=ADVANCED_FACE('',(#25579),#10010,.T.); #335005=ADVANCED_FACE('',(#25580),#10011,.T.); #335006=ADVANCED_FACE('',(#25581,#4990),#10012,.T.); #335007=ADVANCED_FACE('',(#25582,#4991),#10013,.F.); #335008=ADVANCED_FACE('',(#25583),#328804,.F.); #335009=ADVANCED_FACE('',(#25584),#10014,.T.); #335010=ADVANCED_FACE('',(#25585),#10015,.T.); #335011=ADVANCED_FACE('',(#25586),#10016,.T.); #335012=ADVANCED_FACE('',(#25587),#10017,.T.); #335013=ADVANCED_FACE('',(#25588),#10018,.T.); #335014=ADVANCED_FACE('',(#25589),#328805,.T.); #335015=ADVANCED_FACE('',(#25590),#10019,.T.); #335016=ADVANCED_FACE('',(#25591),#10020,.T.); #335017=ADVANCED_FACE('',(#25592),#328806,.T.); #335018=ADVANCED_FACE('',(#25593),#10021,.T.); #335019=ADVANCED_FACE('',(#25594),#10022,.T.); #335020=ADVANCED_FACE('',(#25595),#328807,.T.); #335021=ADVANCED_FACE('',(#25596),#328808,.T.); #335022=ADVANCED_FACE('',(#25597),#10023,.T.); #335023=ADVANCED_FACE('',(#25598),#328809,.T.); #335024=ADVANCED_FACE('',(#25599),#10024,.T.); #335025=ADVANCED_FACE('',(#25600),#10025,.T.); #335026=ADVANCED_FACE('',(#25601),#328810,.T.); #335027=ADVANCED_FACE('',(#25602),#10026,.T.); #335028=ADVANCED_FACE('',(#25603),#10027,.T.); #335029=ADVANCED_FACE('',(#25604),#10028,.T.); #335030=ADVANCED_FACE('',(#25605,#4992),#10029,.T.); #335031=ADVANCED_FACE('',(#25606,#4993),#10030,.F.); #335032=ADVANCED_FACE('',(#25607),#328811,.F.); #335033=ADVANCED_FACE('',(#25608),#10031,.T.); #335034=ADVANCED_FACE('',(#25609),#10032,.T.); #335035=ADVANCED_FACE('',(#25610),#10033,.T.); #335036=ADVANCED_FACE('',(#25611),#10034,.T.); #335037=ADVANCED_FACE('',(#25612,#4994),#10035,.T.); #335038=ADVANCED_FACE('',(#25613,#4995),#10036,.F.); #335039=ADVANCED_FACE('',(#25614),#328812,.F.); #335040=ADVANCED_FACE('',(#25615),#10037,.T.); #335041=ADVANCED_FACE('',(#25616),#10038,.T.); #335042=ADVANCED_FACE('',(#25617),#10039,.T.); #335043=ADVANCED_FACE('',(#25618),#10040,.T.); #335044=ADVANCED_FACE('',(#25619,#4996),#10041,.T.); #335045=ADVANCED_FACE('',(#25620,#4997),#10042,.F.); #335046=ADVANCED_FACE('',(#25621),#328813,.F.); #335047=ADVANCED_FACE('',(#25622),#10043,.T.); #335048=ADVANCED_FACE('',(#25623),#328814,.T.); #335049=ADVANCED_FACE('',(#25624),#10044,.T.); #335050=ADVANCED_FACE('',(#25625),#328815,.T.); #335051=ADVANCED_FACE('',(#25626),#10045,.T.); #335052=ADVANCED_FACE('',(#25627),#10046,.T.); #335053=ADVANCED_FACE('',(#25628),#10047,.T.); #335054=ADVANCED_FACE('',(#25629),#10048,.T.); #335055=ADVANCED_FACE('',(#25630),#10049,.T.); #335056=ADVANCED_FACE('',(#25631),#10050,.T.); #335057=ADVANCED_FACE('',(#25632),#10051,.T.); #335058=ADVANCED_FACE('',(#25633),#10052,.T.); #335059=ADVANCED_FACE('',(#25634),#10053,.T.); #335060=ADVANCED_FACE('',(#25635),#328816,.T.); #335061=ADVANCED_FACE('',(#25636,#4998),#10054,.T.); #335062=ADVANCED_FACE('',(#25637,#4999),#10055,.F.); #335063=ADVANCED_FACE('',(#25638),#328817,.F.); #335064=ADVANCED_FACE('',(#25639),#10056,.T.); #335065=ADVANCED_FACE('',(#25640),#328818,.T.); #335066=ADVANCED_FACE('',(#25641),#328819,.T.); #335067=ADVANCED_FACE('',(#25642,#5000),#10057,.T.); #335068=ADVANCED_FACE('',(#25643,#5001),#10058,.F.); #335069=ADVANCED_FACE('',(#25644),#328820,.F.); #335070=ADVANCED_FACE('',(#25645),#328821,.F.); #335071=ADVANCED_FACE('',(#25646),#10059,.T.); #335072=ADVANCED_FACE('',(#25647),#328822,.T.); #335073=ADVANCED_FACE('',(#25648),#10060,.T.); #335074=ADVANCED_FACE('',(#25649),#328823,.T.); #335075=ADVANCED_FACE('',(#25650),#328824,.T.); #335076=ADVANCED_FACE('',(#25651),#10061,.T.); #335077=ADVANCED_FACE('',(#25652),#328825,.T.); #335078=ADVANCED_FACE('',(#25653,#5002,#5003),#10062,.T.); #335079=ADVANCED_FACE('',(#25654,#5004,#5005),#10063,.F.); #335080=ADVANCED_FACE('',(#25655),#328826,.F.); #335081=ADVANCED_FACE('',(#25656),#10064,.T.); #335082=ADVANCED_FACE('',(#25657),#328827,.T.); #335083=ADVANCED_FACE('',(#25658),#328828,.T.); #335084=ADVANCED_FACE('',(#25659,#5006),#10065,.T.); #335085=ADVANCED_FACE('',(#25660,#5007),#10066,.F.); #335086=ADVANCED_FACE('',(#25661),#328829,.F.); #335087=ADVANCED_FACE('',(#25662),#10067,.T.); #335088=ADVANCED_FACE('',(#25663),#10068,.T.); #335089=ADVANCED_FACE('',(#25664),#10069,.T.); #335090=ADVANCED_FACE('',(#25665),#10070,.T.); #335091=ADVANCED_FACE('',(#25666,#5008),#10071,.T.); #335092=ADVANCED_FACE('',(#25667,#5009),#10072,.F.); #335093=ADVANCED_FACE('',(#25668),#328830,.F.); #335094=ADVANCED_FACE('',(#25669),#10073,.T.); #335095=ADVANCED_FACE('',(#25670),#10074,.T.); #335096=ADVANCED_FACE('',(#25671),#10075,.T.); #335097=ADVANCED_FACE('',(#25672),#10076,.T.); #335098=ADVANCED_FACE('',(#25673),#10077,.T.); #335099=ADVANCED_FACE('',(#25674),#10078,.T.); #335100=ADVANCED_FACE('',(#25675),#10079,.T.); #335101=ADVANCED_FACE('',(#25676),#10080,.T.); #335102=ADVANCED_FACE('',(#25677),#10081,.T.); #335103=ADVANCED_FACE('',(#25678),#10082,.T.); #335104=ADVANCED_FACE('',(#25679),#10083,.T.); #335105=ADVANCED_FACE('',(#25680),#10084,.T.); #335106=ADVANCED_FACE('',(#25681),#328831,.T.); #335107=ADVANCED_FACE('',(#25682),#10085,.T.); #335108=ADVANCED_FACE('',(#25683),#328832,.T.); #335109=ADVANCED_FACE('',(#25684),#10086,.T.); #335110=ADVANCED_FACE('',(#25685),#10087,.T.); #335111=ADVANCED_FACE('',(#25686),#10088,.T.); #335112=ADVANCED_FACE('',(#25687),#328833,.T.); #335113=ADVANCED_FACE('',(#25688),#10089,.T.); #335114=ADVANCED_FACE('',(#25689),#328834,.T.); #335115=ADVANCED_FACE('',(#25690),#10090,.T.); #335116=ADVANCED_FACE('',(#25691),#328835,.T.); #335117=ADVANCED_FACE('',(#25692),#328836,.T.); #335118=ADVANCED_FACE('',(#25693),#10091,.T.); #335119=ADVANCED_FACE('',(#25694),#10092,.T.); #335120=ADVANCED_FACE('',(#25695),#10093,.T.); #335121=ADVANCED_FACE('',(#25696),#328837,.T.); #335122=ADVANCED_FACE('',(#25697),#10094,.T.); #335123=ADVANCED_FACE('',(#25698),#328838,.T.); #335124=ADVANCED_FACE('',(#25699),#10095,.T.); #335125=ADVANCED_FACE('',(#25700),#10096,.T.); #335126=ADVANCED_FACE('',(#25701),#10097,.T.); #335127=ADVANCED_FACE('',(#25702),#328839,.T.); #335128=ADVANCED_FACE('',(#25703),#10098,.T.); #335129=ADVANCED_FACE('',(#25704),#328840,.T.); #335130=ADVANCED_FACE('',(#25705),#10099,.T.); #335131=ADVANCED_FACE('',(#25706),#10100,.T.); #335132=ADVANCED_FACE('',(#25707),#10101,.T.); #335133=ADVANCED_FACE('',(#25708),#10102,.T.); #335134=ADVANCED_FACE('',(#25709),#10103,.T.); #335135=ADVANCED_FACE('',(#25710),#10104,.T.); #335136=ADVANCED_FACE('',(#25711),#10105,.T.); #335137=ADVANCED_FACE('',(#25712,#5010),#10106,.T.); #335138=ADVANCED_FACE('',(#25713,#5011),#10107,.F.); #335139=ADVANCED_FACE('',(#25714),#328841,.F.); #335140=ADVANCED_FACE('',(#25715),#10108,.T.); #335141=ADVANCED_FACE('',(#25716),#10109,.T.); #335142=ADVANCED_FACE('',(#25717),#10110,.T.); #335143=ADVANCED_FACE('',(#25718),#10111,.T.); #335144=ADVANCED_FACE('',(#25719),#328842,.T.); #335145=ADVANCED_FACE('',(#25720),#10112,.T.); #335146=ADVANCED_FACE('',(#25721),#328843,.T.); #335147=ADVANCED_FACE('',(#25722),#10113,.T.); #335148=ADVANCED_FACE('',(#25723),#10114,.T.); #335149=ADVANCED_FACE('',(#25724),#328844,.T.); #335150=ADVANCED_FACE('',(#25725),#10115,.T.); #335151=ADVANCED_FACE('',(#25726),#328845,.T.); #335152=ADVANCED_FACE('',(#25727),#328846,.T.); #335153=ADVANCED_FACE('',(#25728),#10116,.T.); #335154=ADVANCED_FACE('',(#25729),#10117,.T.); #335155=ADVANCED_FACE('',(#25730),#328847,.T.); #335156=ADVANCED_FACE('',(#25731),#10118,.T.); #335157=ADVANCED_FACE('',(#25732),#10119,.T.); #335158=ADVANCED_FACE('',(#25733),#10120,.T.); #335159=ADVANCED_FACE('',(#25734),#10121,.T.); #335160=ADVANCED_FACE('',(#25735),#10122,.T.); #335161=ADVANCED_FACE('',(#25736,#5012),#10123,.T.); #335162=ADVANCED_FACE('',(#25737,#5013),#10124,.F.); #335163=ADVANCED_FACE('',(#25738),#10125,.T.); #335164=ADVANCED_FACE('',(#25739),#10126,.T.); #335165=ADVANCED_FACE('',(#25740),#10127,.T.); #335166=ADVANCED_FACE('',(#25741),#10128,.T.); #335167=ADVANCED_FACE('',(#25742),#10129,.T.); #335168=ADVANCED_FACE('',(#25743),#10130,.T.); #335169=ADVANCED_FACE('',(#25744),#10131,.T.); #335170=ADVANCED_FACE('',(#25745),#10132,.T.); #335171=ADVANCED_FACE('',(#25746),#10133,.T.); #335172=ADVANCED_FACE('',(#25747),#10134,.T.); #335173=ADVANCED_FACE('',(#25748),#10135,.T.); #335174=ADVANCED_FACE('',(#25749),#10136,.T.); #335175=ADVANCED_FACE('',(#25750),#10137,.T.); #335176=ADVANCED_FACE('',(#25751),#10138,.F.); #335177=ADVANCED_FACE('',(#25752),#10139,.T.); #335178=ADVANCED_FACE('',(#25753),#10140,.T.); #335179=ADVANCED_FACE('',(#25754),#10141,.T.); #335180=ADVANCED_FACE('',(#25755),#10142,.T.); #335181=ADVANCED_FACE('',(#25756),#10143,.T.); #335182=ADVANCED_FACE('',(#25757),#10144,.T.); #335183=ADVANCED_FACE('',(#25758),#10145,.T.); #335184=ADVANCED_FACE('',(#25759),#10146,.T.); #335185=ADVANCED_FACE('',(#25760),#10147,.T.); #335186=ADVANCED_FACE('',(#25761),#10148,.T.); #335187=ADVANCED_FACE('',(#25762),#10149,.T.); #335188=ADVANCED_FACE('',(#25763),#10150,.T.); #335189=ADVANCED_FACE('',(#25764),#10151,.T.); #335190=ADVANCED_FACE('',(#25765),#10152,.F.); #335191=ADVANCED_FACE('',(#25766),#328848,.F.); #335192=ADVANCED_FACE('',(#25767),#10153,.T.); #335193=ADVANCED_FACE('',(#25768),#10154,.T.); #335194=ADVANCED_FACE('',(#25769),#10155,.T.); #335195=ADVANCED_FACE('',(#25770),#10156,.T.); #335196=ADVANCED_FACE('',(#25771),#328849,.T.); #335197=ADVANCED_FACE('',(#25772),#10157,.T.); #335198=ADVANCED_FACE('',(#25773),#328850,.T.); #335199=ADVANCED_FACE('',(#25774),#10158,.T.); #335200=ADVANCED_FACE('',(#25775),#328851,.T.); #335201=ADVANCED_FACE('',(#25776),#10159,.T.); #335202=ADVANCED_FACE('',(#25777),#328852,.T.); #335203=ADVANCED_FACE('',(#25778),#328853,.T.); #335204=ADVANCED_FACE('',(#25779),#10160,.T.); #335205=ADVANCED_FACE('',(#25780),#10161,.T.); #335206=ADVANCED_FACE('',(#25781),#10162,.T.); #335207=ADVANCED_FACE('',(#25782),#10163,.T.); #335208=ADVANCED_FACE('',(#25783),#10164,.T.); #335209=ADVANCED_FACE('',(#25784,#5014),#10165,.T.); #335210=ADVANCED_FACE('',(#25785,#5015),#10166,.F.); #335211=ADVANCED_FACE('',(#25786),#328854,.F.); #335212=ADVANCED_FACE('',(#25787),#10167,.T.); #335213=ADVANCED_FACE('',(#25788),#328855,.T.); #335214=ADVANCED_FACE('',(#25789),#10168,.T.); #335215=ADVANCED_FACE('',(#25790),#328856,.T.); #335216=ADVANCED_FACE('',(#25791),#10169,.T.); #335217=ADVANCED_FACE('',(#25792),#328857,.T.); #335218=ADVANCED_FACE('',(#25793),#10170,.T.); #335219=ADVANCED_FACE('',(#25794),#10171,.T.); #335220=ADVANCED_FACE('',(#25795),#10172,.T.); #335221=ADVANCED_FACE('',(#25796),#10173,.T.); #335222=ADVANCED_FACE('',(#25797),#10174,.T.); #335223=ADVANCED_FACE('',(#25798),#10175,.T.); #335224=ADVANCED_FACE('',(#25799),#10176,.T.); #335225=ADVANCED_FACE('',(#25800),#10177,.T.); #335226=ADVANCED_FACE('',(#25801),#10178,.T.); #335227=ADVANCED_FACE('',(#25802),#328858,.T.); #335228=ADVANCED_FACE('',(#25803),#10179,.T.); #335229=ADVANCED_FACE('',(#25804),#328859,.T.); #335230=ADVANCED_FACE('',(#25805),#10180,.T.); #335231=ADVANCED_FACE('',(#25806),#10181,.T.); #335232=ADVANCED_FACE('',(#25807),#10182,.T.); #335233=ADVANCED_FACE('',(#25808),#328860,.T.); #335234=ADVANCED_FACE('',(#25809,#5016),#10183,.T.); #335235=ADVANCED_FACE('',(#25810,#5017),#10184,.F.); #335236=ADVANCED_FACE('',(#25811),#328861,.F.); #335237=ADVANCED_FACE('',(#25812),#10185,.T.); #335238=ADVANCED_FACE('',(#25813),#328862,.T.); #335239=ADVANCED_FACE('',(#25814),#328863,.T.); #335240=ADVANCED_FACE('',(#25815),#10186,.T.); #335241=ADVANCED_FACE('',(#25816),#10187,.T.); #335242=ADVANCED_FACE('',(#25817),#10188,.T.); #335243=ADVANCED_FACE('',(#25818),#10189,.T.); #335244=ADVANCED_FACE('',(#25819),#10190,.T.); #335245=ADVANCED_FACE('',(#25820),#10191,.T.); #335246=ADVANCED_FACE('',(#25821),#328864,.T.); #335247=ADVANCED_FACE('',(#25822),#10192,.T.); #335248=ADVANCED_FACE('',(#25823),#328865,.T.); #335249=ADVANCED_FACE('',(#25824,#5018),#10193,.T.); #335250=ADVANCED_FACE('',(#25825,#5019),#10194,.F.); #335251=ADVANCED_FACE('',(#25826),#10195,.T.); #335252=ADVANCED_FACE('',(#25827),#10196,.T.); #335253=ADVANCED_FACE('',(#25828),#10197,.T.); #335254=ADVANCED_FACE('',(#25829),#10198,.T.); #335255=ADVANCED_FACE('',(#25830),#328866,.T.); #335256=ADVANCED_FACE('',(#25831),#10199,.T.); #335257=ADVANCED_FACE('',(#25832),#328867,.T.); #335258=ADVANCED_FACE('',(#25833),#10200,.T.); #335259=ADVANCED_FACE('',(#25834),#10201,.T.); #335260=ADVANCED_FACE('',(#25835),#10202,.T.); #335261=ADVANCED_FACE('',(#25836),#328868,.T.); #335262=ADVANCED_FACE('',(#25837),#10203,.T.); #335263=ADVANCED_FACE('',(#25838),#328869,.T.); #335264=ADVANCED_FACE('',(#25839),#10204,.T.); #335265=ADVANCED_FACE('',(#25840),#10205,.T.); #335266=ADVANCED_FACE('',(#25841),#10206,.T.); #335267=ADVANCED_FACE('',(#25842),#10207,.T.); #335268=ADVANCED_FACE('',(#25843),#10208,.T.); #335269=ADVANCED_FACE('',(#25844),#10209,.T.); #335270=ADVANCED_FACE('',(#25845),#10210,.T.); #335271=ADVANCED_FACE('',(#25846),#10211,.T.); #335272=ADVANCED_FACE('',(#25847),#10212,.T.); #335273=ADVANCED_FACE('',(#25848),#328870,.T.); #335274=ADVANCED_FACE('',(#25849),#10213,.T.); #335275=ADVANCED_FACE('',(#25850),#328871,.T.); #335276=ADVANCED_FACE('',(#25851),#10214,.T.); #335277=ADVANCED_FACE('',(#25852),#10215,.T.); #335278=ADVANCED_FACE('',(#25853),#10216,.T.); #335279=ADVANCED_FACE('',(#25854),#328872,.T.); #335280=ADVANCED_FACE('',(#25855),#10217,.T.); #335281=ADVANCED_FACE('',(#25856),#328873,.T.); #335282=ADVANCED_FACE('',(#25857),#10218,.T.); #335283=ADVANCED_FACE('',(#25858),#10219,.T.); #335284=ADVANCED_FACE('',(#25859),#10220,.T.); #335285=ADVANCED_FACE('',(#25860),#10221,.T.); #335286=ADVANCED_FACE('',(#25861),#10222,.T.); #335287=ADVANCED_FACE('',(#25862),#10223,.T.); #335288=ADVANCED_FACE('',(#25863),#10224,.F.); #335289=ADVANCED_FACE('',(#25864),#328874,.F.); #335290=ADVANCED_FACE('',(#25865),#10225,.T.); #335291=ADVANCED_FACE('',(#25866),#328875,.T.); #335292=ADVANCED_FACE('',(#25867),#10226,.T.); #335293=ADVANCED_FACE('',(#25868),#10227,.T.); #335294=ADVANCED_FACE('',(#25869),#10228,.T.); #335295=ADVANCED_FACE('',(#25870),#10229,.T.); #335296=ADVANCED_FACE('',(#25871),#10230,.T.); #335297=ADVANCED_FACE('',(#25872),#10231,.T.); #335298=ADVANCED_FACE('',(#25873),#10232,.T.); #335299=ADVANCED_FACE('',(#25874),#328876,.T.); #335300=ADVANCED_FACE('',(#25875,#5020),#10233,.T.); #335301=ADVANCED_FACE('',(#25876,#5021),#10234,.F.); #335302=ADVANCED_FACE('',(#25877),#328877,.F.); #335303=ADVANCED_FACE('',(#25878),#328878,.F.); #335304=ADVANCED_FACE('',(#25879),#10235,.T.); #335305=ADVANCED_FACE('',(#25880),#10236,.T.); #335306=ADVANCED_FACE('',(#25881),#328879,.T.); #335307=ADVANCED_FACE('',(#25882),#328880,.T.); #335308=ADVANCED_FACE('',(#25883),#10237,.T.); #335309=ADVANCED_FACE('',(#25884),#10238,.T.); #335310=ADVANCED_FACE('',(#25885),#328881,.T.); #335311=ADVANCED_FACE('',(#25886),#328882,.T.); #335312=ADVANCED_FACE('',(#25887),#10239,.T.); #335313=ADVANCED_FACE('',(#25888),#10240,.T.); #335314=ADVANCED_FACE('',(#25889),#10241,.T.); #335315=ADVANCED_FACE('',(#25890),#10242,.T.); #335316=ADVANCED_FACE('',(#25891),#10243,.T.); #335317=ADVANCED_FACE('',(#25892),#10244,.T.); #335318=ADVANCED_FACE('',(#25893),#10245,.T.); #335319=ADVANCED_FACE('',(#25894),#10246,.T.); #335320=ADVANCED_FACE('',(#25895),#10247,.T.); #335321=ADVANCED_FACE('',(#25896),#10248,.T.); #335322=ADVANCED_FACE('',(#25897),#328883,.T.); #335323=ADVANCED_FACE('',(#25898),#10249,.T.); #335324=ADVANCED_FACE('',(#25899),#328884,.T.); #335325=ADVANCED_FACE('',(#25900,#5022,#5023),#10250,.T.); #335326=ADVANCED_FACE('',(#25901,#5024,#5025),#10251,.F.); #335327=ADVANCED_FACE('',(#25902),#10252,.T.); #335328=ADVANCED_FACE('',(#25903),#10253,.T.); #335329=ADVANCED_FACE('',(#25904),#10254,.T.); #335330=ADVANCED_FACE('',(#25905),#10255,.T.); #335331=ADVANCED_FACE('',(#25906),#328885,.T.); #335332=ADVANCED_FACE('',(#25907),#10256,.T.); #335333=ADVANCED_FACE('',(#25908),#10257,.T.); #335334=ADVANCED_FACE('',(#25909),#10258,.T.); #335335=ADVANCED_FACE('',(#25910),#10259,.T.); #335336=ADVANCED_FACE('',(#25911),#10260,.T.); #335337=ADVANCED_FACE('',(#25912),#10261,.T.); #335338=ADVANCED_FACE('',(#25913),#10262,.T.); #335339=ADVANCED_FACE('',(#25914),#10263,.T.); #335340=ADVANCED_FACE('',(#25915),#10264,.T.); #335341=ADVANCED_FACE('',(#25916),#10265,.T.); #335342=ADVANCED_FACE('',(#25917),#10266,.F.); #335343=ADVANCED_FACE('',(#25918),#328886,.F.); #335344=ADVANCED_FACE('',(#25919),#328887,.F.); #335345=ADVANCED_FACE('',(#25920),#328888,.F.); #335346=ADVANCED_FACE('',(#25921),#328889,.F.); #335347=ADVANCED_FACE('',(#25922),#328890,.F.); #335348=ADVANCED_FACE('',(#25923),#328891,.F.); #335349=ADVANCED_FACE('',(#25924),#328892,.F.); #335350=ADVANCED_FACE('',(#25925),#328893,.F.); #335351=ADVANCED_FACE('',(#25926),#328894,.F.); #335352=ADVANCED_FACE('',(#25927),#328895,.F.); #335353=ADVANCED_FACE('',(#25928),#328896,.F.); #335354=ADVANCED_FACE('',(#25929),#10267,.T.); #335355=ADVANCED_FACE('',(#25930),#10268,.T.); #335356=ADVANCED_FACE('',(#25931),#10269,.T.); #335357=ADVANCED_FACE('',(#25932),#10270,.T.); #335358=ADVANCED_FACE('',(#25933),#328897,.T.); #335359=ADVANCED_FACE('',(#25934),#10271,.T.); #335360=ADVANCED_FACE('',(#25935),#328898,.T.); #335361=ADVANCED_FACE('',(#25936),#10272,.T.); #335362=ADVANCED_FACE('',(#25937),#10273,.T.); #335363=ADVANCED_FACE('',(#25938),#10274,.T.); #335364=ADVANCED_FACE('',(#25939),#10275,.T.); #335365=ADVANCED_FACE('',(#25940),#10276,.T.); #335366=ADVANCED_FACE('',(#25941),#10277,.T.); #335367=ADVANCED_FACE('',(#25942),#10278,.T.); #335368=ADVANCED_FACE('',(#25943),#10279,.T.); #335369=ADVANCED_FACE('',(#25944),#10280,.T.); #335370=ADVANCED_FACE('',(#25945),#10281,.T.); #335371=ADVANCED_FACE('',(#25946),#10282,.T.); #335372=ADVANCED_FACE('',(#25947),#10283,.T.); #335373=ADVANCED_FACE('',(#25948),#10284,.T.); #335374=ADVANCED_FACE('',(#25949),#10285,.T.); #335375=ADVANCED_FACE('',(#25950),#10286,.T.); #335376=ADVANCED_FACE('',(#25951),#10287,.T.); #335377=ADVANCED_FACE('',(#25952),#10288,.T.); #335378=ADVANCED_FACE('',(#25953),#10289,.T.); #335379=ADVANCED_FACE('',(#25954),#10290,.T.); #335380=ADVANCED_FACE('',(#25955),#10291,.T.); #335381=ADVANCED_FACE('',(#25956),#10292,.T.); #335382=ADVANCED_FACE('',(#25957),#10293,.T.); #335383=ADVANCED_FACE('',(#25958),#10294,.T.); #335384=ADVANCED_FACE('',(#25959),#10295,.T.); #335385=ADVANCED_FACE('',(#25960),#10296,.T.); #335386=ADVANCED_FACE('',(#25961),#10297,.T.); #335387=ADVANCED_FACE('',(#25962),#10298,.T.); #335388=ADVANCED_FACE('',(#25963),#10299,.T.); #335389=ADVANCED_FACE('',(#25964),#10300,.T.); #335390=ADVANCED_FACE('',(#25965),#10301,.T.); #335391=ADVANCED_FACE('',(#25966),#10302,.T.); #335392=ADVANCED_FACE('',(#25967),#10303,.T.); #335393=ADVANCED_FACE('',(#25968),#10304,.T.); #335394=ADVANCED_FACE('',(#25969),#10305,.T.); #335395=ADVANCED_FACE('',(#25970),#10306,.T.); #335396=ADVANCED_FACE('',(#25971),#10307,.T.); #335397=ADVANCED_FACE('',(#25972),#10308,.T.); #335398=ADVANCED_FACE('',(#25973),#10309,.T.); #335399=ADVANCED_FACE('',(#25974),#10310,.T.); #335400=ADVANCED_FACE('',(#25975),#10311,.T.); #335401=ADVANCED_FACE('',(#25976),#10312,.T.); #335402=ADVANCED_FACE('',(#25977),#10313,.T.); #335403=ADVANCED_FACE('',(#25978),#10314,.T.); #335404=ADVANCED_FACE('',(#25979),#10315,.T.); #335405=ADVANCED_FACE('',(#25980),#10316,.T.); #335406=ADVANCED_FACE('',(#25981),#10317,.T.); #335407=ADVANCED_FACE('',(#25982),#10318,.T.); #335408=ADVANCED_FACE('',(#25983),#10319,.T.); #335409=ADVANCED_FACE('',(#25984),#10320,.T.); #335410=ADVANCED_FACE('',(#25985),#10321,.T.); #335411=ADVANCED_FACE('',(#25986),#10322,.T.); #335412=ADVANCED_FACE('',(#25987),#10323,.T.); #335413=ADVANCED_FACE('',(#25988),#10324,.T.); #335414=ADVANCED_FACE('',(#25989),#10325,.T.); #335415=ADVANCED_FACE('',(#25990),#10326,.T.); #335416=ADVANCED_FACE('',(#25991),#10327,.T.); #335417=ADVANCED_FACE('',(#25992),#10328,.T.); #335418=ADVANCED_FACE('',(#25993),#10329,.T.); #335419=ADVANCED_FACE('',(#25994),#10330,.T.); #335420=ADVANCED_FACE('',(#25995),#10331,.T.); #335421=ADVANCED_FACE('',(#25996),#10332,.T.); #335422=ADVANCED_FACE('',(#25997),#10333,.T.); #335423=ADVANCED_FACE('',(#25998),#10334,.T.); #335424=ADVANCED_FACE('',(#25999),#10335,.T.); #335425=ADVANCED_FACE('',(#26000),#10336,.T.); #335426=ADVANCED_FACE('',(#26001),#10337,.T.); #335427=ADVANCED_FACE('',(#26002),#10338,.T.); #335428=ADVANCED_FACE('',(#26003),#10339,.T.); #335429=ADVANCED_FACE('',(#26004),#10340,.T.); #335430=ADVANCED_FACE('',(#26005),#10341,.T.); #335431=ADVANCED_FACE('',(#26006),#10342,.T.); #335432=ADVANCED_FACE('',(#26007),#10343,.T.); #335433=ADVANCED_FACE('',(#26008),#10344,.T.); #335434=ADVANCED_FACE('',(#26009),#10345,.T.); #335435=ADVANCED_FACE('',(#26010),#10346,.T.); #335436=ADVANCED_FACE('',(#26011),#10347,.T.); #335437=ADVANCED_FACE('',(#26012),#10348,.T.); #335438=ADVANCED_FACE('',(#26013),#10349,.T.); #335439=ADVANCED_FACE('',(#26014),#10350,.T.); #335440=ADVANCED_FACE('',(#26015),#10351,.T.); #335441=ADVANCED_FACE('',(#26016),#10352,.T.); #335442=ADVANCED_FACE('',(#26017),#10353,.T.); #335443=ADVANCED_FACE('',(#26018),#10354,.T.); #335444=ADVANCED_FACE('',(#26019),#10355,.T.); #335445=ADVANCED_FACE('',(#26020),#10356,.T.); #335446=ADVANCED_FACE('',(#26021),#10357,.T.); #335447=ADVANCED_FACE('',(#26022),#10358,.T.); #335448=ADVANCED_FACE('',(#26023),#10359,.T.); #335449=ADVANCED_FACE('',(#26024),#10360,.T.); #335450=ADVANCED_FACE('',(#26025),#10361,.T.); #335451=ADVANCED_FACE('',(#26026),#10362,.T.); #335452=ADVANCED_FACE('',(#26027),#10363,.T.); #335453=ADVANCED_FACE('',(#26028),#10364,.T.); #335454=ADVANCED_FACE('',(#26029),#10365,.T.); #335455=ADVANCED_FACE('',(#26030),#10366,.T.); #335456=ADVANCED_FACE('',(#26031),#10367,.T.); #335457=ADVANCED_FACE('',(#26032),#10368,.T.); #335458=ADVANCED_FACE('',(#26033),#10369,.T.); #335459=ADVANCED_FACE('',(#26034),#10370,.T.); #335460=ADVANCED_FACE('',(#26035),#10371,.T.); #335461=ADVANCED_FACE('',(#26036),#10372,.T.); #335462=ADVANCED_FACE('',(#26037),#10373,.T.); #335463=ADVANCED_FACE('',(#26038),#10374,.T.); #335464=ADVANCED_FACE('',(#26039),#10375,.T.); #335465=ADVANCED_FACE('',(#26040),#10376,.T.); #335466=ADVANCED_FACE('',(#26041),#10377,.T.); #335467=ADVANCED_FACE('',(#26042),#10378,.T.); #335468=ADVANCED_FACE('',(#26043),#10379,.T.); #335469=ADVANCED_FACE('',(#26044),#10380,.T.); #335470=ADVANCED_FACE('',(#26045),#10381,.T.); #335471=ADVANCED_FACE('',(#26046),#10382,.T.); #335472=ADVANCED_FACE('',(#26047),#10383,.T.); #335473=ADVANCED_FACE('',(#26048),#10384,.T.); #335474=ADVANCED_FACE('',(#26049),#10385,.T.); #335475=ADVANCED_FACE('',(#26050),#10386,.T.); #335476=ADVANCED_FACE('',(#26051),#10387,.T.); #335477=ADVANCED_FACE('',(#26052),#10388,.T.); #335478=ADVANCED_FACE('',(#26053),#10389,.T.); #335479=ADVANCED_FACE('',(#26054),#328899,.T.); #335480=ADVANCED_FACE('',(#26055),#10390,.T.); #335481=ADVANCED_FACE('',(#26056),#328900,.T.); #335482=ADVANCED_FACE('',(#26057),#10391,.T.); #335483=ADVANCED_FACE('',(#26058),#10392,.T.); #335484=ADVANCED_FACE('',(#26059),#10393,.T.); #335485=ADVANCED_FACE('',(#26060),#10394,.T.); #335486=ADVANCED_FACE('',(#26061),#10395,.T.); #335487=ADVANCED_FACE('',(#26062,#5026,#5027,#5028,#5029,#5030,#5031,#5032, #5033,#5034,#5035,#5036),#10396,.T.); #335488=ADVANCED_FACE('',(#26063,#5037,#5038,#5039,#5040,#5041,#5042,#5043, #5044,#5045,#5046,#5047),#10397,.F.); #335489=ADVANCED_FACE('',(#26064),#328901,.F.); #335490=ADVANCED_FACE('',(#26065),#10398,.T.); #335491=ADVANCED_FACE('',(#26066),#328902,.T.); #335492=ADVANCED_FACE('',(#26067),#328903,.T.); #335493=ADVANCED_FACE('',(#26068,#5048),#10399,.T.); #335494=ADVANCED_FACE('',(#26069,#5049),#10400,.F.); #335495=ADVANCED_FACE('',(#26070),#10401,.T.); #335496=ADVANCED_FACE('',(#26071),#10402,.T.); #335497=ADVANCED_FACE('',(#26072),#10403,.T.); #335498=ADVANCED_FACE('',(#26073),#10404,.T.); #335499=ADVANCED_FACE('',(#26074),#10405,.T.); #335500=ADVANCED_FACE('',(#26075),#10406,.T.); #335501=ADVANCED_FACE('',(#26076),#10407,.T.); #335502=ADVANCED_FACE('',(#26077),#10408,.T.); #335503=ADVANCED_FACE('',(#26078),#10409,.T.); #335504=ADVANCED_FACE('',(#26079),#10410,.T.); #335505=ADVANCED_FACE('',(#26080),#10411,.T.); #335506=ADVANCED_FACE('',(#26081),#10412,.T.); #335507=ADVANCED_FACE('',(#26082),#10413,.T.); #335508=ADVANCED_FACE('',(#26083),#10414,.T.); #335509=ADVANCED_FACE('',(#26084),#10415,.T.); #335510=ADVANCED_FACE('',(#26085),#10416,.T.); #335511=ADVANCED_FACE('',(#26086),#10417,.T.); #335512=ADVANCED_FACE('',(#26087),#10418,.T.); #335513=ADVANCED_FACE('',(#26088),#10419,.T.); #335514=ADVANCED_FACE('',(#26089),#10420,.T.); #335515=ADVANCED_FACE('',(#26090),#10421,.T.); #335516=ADVANCED_FACE('',(#26091),#10422,.F.); #335517=ADVANCED_FACE('',(#26092),#10423,.T.); #335518=ADVANCED_FACE('',(#26093),#10424,.T.); #335519=ADVANCED_FACE('',(#26094),#10425,.T.); #335520=ADVANCED_FACE('',(#26095),#10426,.T.); #335521=ADVANCED_FACE('',(#26096),#10427,.T.); #335522=ADVANCED_FACE('',(#26097),#10428,.T.); #335523=ADVANCED_FACE('',(#26098),#10429,.T.); #335524=ADVANCED_FACE('',(#26099),#10430,.T.); #335525=ADVANCED_FACE('',(#26100),#10431,.T.); #335526=ADVANCED_FACE('',(#26101),#10432,.T.); #335527=ADVANCED_FACE('',(#26102),#10433,.T.); #335528=ADVANCED_FACE('',(#26103),#10434,.T.); #335529=ADVANCED_FACE('',(#26104),#10435,.T.); #335530=ADVANCED_FACE('',(#26105),#10436,.T.); #335531=ADVANCED_FACE('',(#26106),#10437,.T.); #335532=ADVANCED_FACE('',(#26107),#10438,.T.); #335533=ADVANCED_FACE('',(#26108),#10439,.T.); #335534=ADVANCED_FACE('',(#26109),#10440,.T.); #335535=ADVANCED_FACE('',(#26110),#10441,.T.); #335536=ADVANCED_FACE('',(#26111),#10442,.T.); #335537=ADVANCED_FACE('',(#26112),#10443,.T.); #335538=ADVANCED_FACE('',(#26113),#10444,.T.); #335539=ADVANCED_FACE('',(#26114),#10445,.F.); #335540=ADVANCED_FACE('',(#26115),#10446,.T.); #335541=ADVANCED_FACE('',(#26116),#10447,.T.); #335542=ADVANCED_FACE('',(#26117),#10448,.T.); #335543=ADVANCED_FACE('',(#26118),#10449,.T.); #335544=ADVANCED_FACE('',(#26119),#10450,.T.); #335545=ADVANCED_FACE('',(#26120),#10451,.T.); #335546=ADVANCED_FACE('',(#26121),#10452,.T.); #335547=ADVANCED_FACE('',(#26122),#10453,.T.); #335548=ADVANCED_FACE('',(#26123),#10454,.T.); #335549=ADVANCED_FACE('',(#26124),#10455,.T.); #335550=ADVANCED_FACE('',(#26125),#10456,.T.); #335551=ADVANCED_FACE('',(#26126),#10457,.T.); #335552=ADVANCED_FACE('',(#26127),#10458,.T.); #335553=ADVANCED_FACE('',(#26128),#10459,.T.); #335554=ADVANCED_FACE('',(#26129),#10460,.T.); #335555=ADVANCED_FACE('',(#26130),#10461,.T.); #335556=ADVANCED_FACE('',(#26131),#10462,.T.); #335557=ADVANCED_FACE('',(#26132),#10463,.T.); #335558=ADVANCED_FACE('',(#26133),#10464,.T.); #335559=ADVANCED_FACE('',(#26134),#10465,.T.); #335560=ADVANCED_FACE('',(#26135),#10466,.T.); #335561=ADVANCED_FACE('',(#26136),#10467,.F.); #335562=ADVANCED_FACE('',(#26137),#10468,.T.); #335563=ADVANCED_FACE('',(#26138),#10469,.T.); #335564=ADVANCED_FACE('',(#26139),#10470,.T.); #335565=ADVANCED_FACE('',(#26140),#10471,.T.); #335566=ADVANCED_FACE('',(#26141),#10472,.T.); #335567=ADVANCED_FACE('',(#26142),#10473,.T.); #335568=ADVANCED_FACE('',(#26143),#10474,.T.); #335569=ADVANCED_FACE('',(#26144),#10475,.T.); #335570=ADVANCED_FACE('',(#26145),#10476,.T.); #335571=ADVANCED_FACE('',(#26146),#10477,.T.); #335572=ADVANCED_FACE('',(#26147),#10478,.T.); #335573=ADVANCED_FACE('',(#26148),#10479,.T.); #335574=ADVANCED_FACE('',(#26149),#10480,.T.); #335575=ADVANCED_FACE('',(#26150),#10481,.T.); #335576=ADVANCED_FACE('',(#26151),#10482,.T.); #335577=ADVANCED_FACE('',(#26152),#10483,.T.); #335578=ADVANCED_FACE('',(#26153),#10484,.T.); #335579=ADVANCED_FACE('',(#26154),#10485,.T.); #335580=ADVANCED_FACE('',(#26155),#10486,.T.); #335581=ADVANCED_FACE('',(#26156),#10487,.T.); #335582=ADVANCED_FACE('',(#26157),#10488,.T.); #335583=ADVANCED_FACE('',(#26158),#10489,.T.); #335584=ADVANCED_FACE('',(#26159),#10490,.F.); #335585=ADVANCED_FACE('',(#26160),#328904,.F.); #335586=ADVANCED_FACE('',(#26161),#328905,.F.); #335587=ADVANCED_FACE('',(#26162),#328906,.F.); #335588=ADVANCED_FACE('',(#26163),#10491,.T.); #335589=ADVANCED_FACE('',(#26164),#10492,.T.); #335590=ADVANCED_FACE('',(#26165),#10493,.T.); #335591=ADVANCED_FACE('',(#26166),#10494,.T.); #335592=ADVANCED_FACE('',(#26167),#10495,.T.); #335593=ADVANCED_FACE('',(#26168),#10496,.T.); #335594=ADVANCED_FACE('',(#26169),#10497,.T.); #335595=ADVANCED_FACE('',(#26170),#10498,.T.); #335596=ADVANCED_FACE('',(#26171),#10499,.T.); #335597=ADVANCED_FACE('',(#26172),#10500,.T.); #335598=ADVANCED_FACE('',(#26173),#10501,.T.); #335599=ADVANCED_FACE('',(#26174),#10502,.T.); #335600=ADVANCED_FACE('',(#26175),#10503,.T.); #335601=ADVANCED_FACE('',(#26176),#10504,.T.); #335602=ADVANCED_FACE('',(#26177),#10505,.T.); #335603=ADVANCED_FACE('',(#26178),#10506,.T.); #335604=ADVANCED_FACE('',(#26179),#10507,.T.); #335605=ADVANCED_FACE('',(#26180),#10508,.T.); #335606=ADVANCED_FACE('',(#26181),#10509,.T.); #335607=ADVANCED_FACE('',(#26182),#10510,.T.); #335608=ADVANCED_FACE('',(#26183),#10511,.T.); #335609=ADVANCED_FACE('',(#26184),#10512,.T.); #335610=ADVANCED_FACE('',(#26185),#10513,.T.); #335611=ADVANCED_FACE('',(#26186),#10514,.T.); #335612=ADVANCED_FACE('',(#26187),#10515,.T.); #335613=ADVANCED_FACE('',(#26188),#10516,.T.); #335614=ADVANCED_FACE('',(#26189),#10517,.T.); #335615=ADVANCED_FACE('',(#26190),#10518,.T.); #335616=ADVANCED_FACE('',(#26191),#10519,.T.); #335617=ADVANCED_FACE('',(#26192),#10520,.T.); #335618=ADVANCED_FACE('',(#26193),#10521,.T.); #335619=ADVANCED_FACE('',(#26194),#10522,.T.); #335620=ADVANCED_FACE('',(#26195),#10523,.T.); #335621=ADVANCED_FACE('',(#26196),#10524,.T.); #335622=ADVANCED_FACE('',(#26197),#10525,.T.); #335623=ADVANCED_FACE('',(#26198),#10526,.T.); #335624=ADVANCED_FACE('',(#26199),#10527,.T.); #335625=ADVANCED_FACE('',(#26200),#10528,.T.); #335626=ADVANCED_FACE('',(#26201),#10529,.T.); #335627=ADVANCED_FACE('',(#26202),#10530,.T.); #335628=ADVANCED_FACE('',(#26203),#10531,.T.); #335629=ADVANCED_FACE('',(#26204),#10532,.T.); #335630=ADVANCED_FACE('',(#26205),#10533,.T.); #335631=ADVANCED_FACE('',(#26206),#10534,.T.); #335632=ADVANCED_FACE('',(#26207),#10535,.T.); #335633=ADVANCED_FACE('',(#26208),#10536,.T.); #335634=ADVANCED_FACE('',(#26209),#10537,.T.); #335635=ADVANCED_FACE('',(#26210),#10538,.T.); #335636=ADVANCED_FACE('',(#26211),#10539,.T.); #335637=ADVANCED_FACE('',(#26212),#10540,.T.); #335638=ADVANCED_FACE('',(#26213),#10541,.T.); #335639=ADVANCED_FACE('',(#26214),#10542,.T.); #335640=ADVANCED_FACE('',(#26215),#10543,.T.); #335641=ADVANCED_FACE('',(#26216),#10544,.T.); #335642=ADVANCED_FACE('',(#26217),#10545,.T.); #335643=ADVANCED_FACE('',(#26218),#10546,.T.); #335644=ADVANCED_FACE('',(#26219),#10547,.T.); #335645=ADVANCED_FACE('',(#26220),#10548,.T.); #335646=ADVANCED_FACE('',(#26221),#10549,.T.); #335647=ADVANCED_FACE('',(#26222),#10550,.T.); #335648=ADVANCED_FACE('',(#26223),#10551,.T.); #335649=ADVANCED_FACE('',(#26224),#10552,.T.); #335650=ADVANCED_FACE('',(#26225),#10553,.T.); #335651=ADVANCED_FACE('',(#26226),#10554,.T.); #335652=ADVANCED_FACE('',(#26227),#10555,.T.); #335653=ADVANCED_FACE('',(#26228),#10556,.T.); #335654=ADVANCED_FACE('',(#26229),#10557,.T.); #335655=ADVANCED_FACE('',(#26230),#10558,.T.); #335656=ADVANCED_FACE('',(#26231),#10559,.T.); #335657=ADVANCED_FACE('',(#26232),#10560,.T.); #335658=ADVANCED_FACE('',(#26233),#10561,.T.); #335659=ADVANCED_FACE('',(#26234),#10562,.T.); #335660=ADVANCED_FACE('',(#26235),#10563,.T.); #335661=ADVANCED_FACE('',(#26236),#10564,.T.); #335662=ADVANCED_FACE('',(#26237),#10565,.T.); #335663=ADVANCED_FACE('',(#26238),#10566,.T.); #335664=ADVANCED_FACE('',(#26239),#10567,.T.); #335665=ADVANCED_FACE('',(#26240),#10568,.T.); #335666=ADVANCED_FACE('',(#26241),#10569,.T.); #335667=ADVANCED_FACE('',(#26242),#10570,.T.); #335668=ADVANCED_FACE('',(#26243),#10571,.T.); #335669=ADVANCED_FACE('',(#26244),#10572,.T.); #335670=ADVANCED_FACE('',(#26245),#10573,.T.); #335671=ADVANCED_FACE('',(#26246),#10574,.T.); #335672=ADVANCED_FACE('',(#26247),#10575,.T.); #335673=ADVANCED_FACE('',(#26248),#10576,.T.); #335674=ADVANCED_FACE('',(#26249),#10577,.T.); #335675=ADVANCED_FACE('',(#26250),#10578,.T.); #335676=ADVANCED_FACE('',(#26251),#10579,.T.); #335677=ADVANCED_FACE('',(#26252),#10580,.T.); #335678=ADVANCED_FACE('',(#26253,#5050,#5051,#5052),#10581,.T.); #335679=ADVANCED_FACE('',(#26254,#5053,#5054,#5055),#10582,.F.); #335680=ADVANCED_FACE('',(#26255),#10583,.T.); #335681=ADVANCED_FACE('',(#26256),#10584,.T.); #335682=ADVANCED_FACE('',(#26257),#10585,.T.); #335683=ADVANCED_FACE('',(#26258),#10586,.T.); #335684=ADVANCED_FACE('',(#26259),#10587,.T.); #335685=ADVANCED_FACE('',(#26260),#10588,.T.); #335686=ADVANCED_FACE('',(#26261),#10589,.T.); #335687=ADVANCED_FACE('',(#26262),#10590,.T.); #335688=ADVANCED_FACE('',(#26263),#10591,.T.); #335689=ADVANCED_FACE('',(#26264),#10592,.T.); #335690=ADVANCED_FACE('',(#26265),#10593,.T.); #335691=ADVANCED_FACE('',(#26266),#10594,.T.); #335692=ADVANCED_FACE('',(#26267),#10595,.T.); #335693=ADVANCED_FACE('',(#26268),#10596,.T.); #335694=ADVANCED_FACE('',(#26269),#10597,.T.); #335695=ADVANCED_FACE('',(#26270),#10598,.T.); #335696=ADVANCED_FACE('',(#26271),#10599,.T.); #335697=ADVANCED_FACE('',(#26272),#10600,.T.); #335698=ADVANCED_FACE('',(#26273),#10601,.T.); #335699=ADVANCED_FACE('',(#26274),#10602,.T.); #335700=ADVANCED_FACE('',(#26275),#10603,.T.); #335701=ADVANCED_FACE('',(#26276),#10604,.T.); #335702=ADVANCED_FACE('',(#26277),#10605,.T.); #335703=ADVANCED_FACE('',(#26278),#10606,.T.); #335704=ADVANCED_FACE('',(#26279),#10607,.T.); #335705=ADVANCED_FACE('',(#26280),#10608,.T.); #335706=ADVANCED_FACE('',(#26281),#10609,.T.); #335707=ADVANCED_FACE('',(#26282),#10610,.T.); #335708=ADVANCED_FACE('',(#26283),#10611,.T.); #335709=ADVANCED_FACE('',(#26284),#10612,.T.); #335710=ADVANCED_FACE('',(#26285),#10613,.T.); #335711=ADVANCED_FACE('',(#26286),#10614,.T.); #335712=ADVANCED_FACE('',(#26287),#10615,.T.); #335713=ADVANCED_FACE('',(#26288),#10616,.T.); #335714=ADVANCED_FACE('',(#26289),#10617,.T.); #335715=ADVANCED_FACE('',(#26290),#10618,.F.); #335716=ADVANCED_FACE('',(#26291),#10619,.T.); #335717=ADVANCED_FACE('',(#26292),#10620,.T.); #335718=ADVANCED_FACE('',(#26293),#10621,.T.); #335719=ADVANCED_FACE('',(#26294),#10622,.T.); #335720=ADVANCED_FACE('',(#26295),#10623,.T.); #335721=ADVANCED_FACE('',(#26296),#10624,.T.); #335722=ADVANCED_FACE('',(#26297),#10625,.T.); #335723=ADVANCED_FACE('',(#26298),#10626,.T.); #335724=ADVANCED_FACE('',(#26299),#10627,.T.); #335725=ADVANCED_FACE('',(#26300),#10628,.T.); #335726=ADVANCED_FACE('',(#26301),#10629,.T.); #335727=ADVANCED_FACE('',(#26302),#10630,.T.); #335728=ADVANCED_FACE('',(#26303),#10631,.T.); #335729=ADVANCED_FACE('',(#26304),#10632,.T.); #335730=ADVANCED_FACE('',(#26305),#10633,.T.); #335731=ADVANCED_FACE('',(#26306),#10634,.T.); #335732=ADVANCED_FACE('',(#26307),#10635,.T.); #335733=ADVANCED_FACE('',(#26308),#10636,.T.); #335734=ADVANCED_FACE('',(#26309),#10637,.T.); #335735=ADVANCED_FACE('',(#26310),#10638,.T.); #335736=ADVANCED_FACE('',(#26311),#10639,.T.); #335737=ADVANCED_FACE('',(#26312),#10640,.F.); #335738=ADVANCED_FACE('',(#26313),#10641,.T.); #335739=ADVANCED_FACE('',(#26314),#10642,.T.); #335740=ADVANCED_FACE('',(#26315),#10643,.T.); #335741=ADVANCED_FACE('',(#26316),#10644,.T.); #335742=ADVANCED_FACE('',(#26317),#10645,.T.); #335743=ADVANCED_FACE('',(#26318),#10646,.T.); #335744=ADVANCED_FACE('',(#26319),#10647,.T.); #335745=ADVANCED_FACE('',(#26320),#10648,.T.); #335746=ADVANCED_FACE('',(#26321),#10649,.T.); #335747=ADVANCED_FACE('',(#26322),#10650,.T.); #335748=ADVANCED_FACE('',(#26323),#10651,.T.); #335749=ADVANCED_FACE('',(#26324),#10652,.T.); #335750=ADVANCED_FACE('',(#26325),#10653,.T.); #335751=ADVANCED_FACE('',(#26326),#10654,.T.); #335752=ADVANCED_FACE('',(#26327),#10655,.T.); #335753=ADVANCED_FACE('',(#26328),#10656,.T.); #335754=ADVANCED_FACE('',(#26329),#10657,.T.); #335755=ADVANCED_FACE('',(#26330),#10658,.T.); #335756=ADVANCED_FACE('',(#26331),#10659,.T.); #335757=ADVANCED_FACE('',(#26332),#10660,.T.); #335758=ADVANCED_FACE('',(#26333),#10661,.T.); #335759=ADVANCED_FACE('',(#26334),#10662,.T.); #335760=ADVANCED_FACE('',(#26335),#10663,.F.); #335761=ADVANCED_FACE('',(#26336),#328907,.F.); #335762=ADVANCED_FACE('',(#26337),#10664,.F.); #335763=ADVANCED_FACE('',(#26338),#328908,.F.); #335764=ADVANCED_FACE('',(#26339),#10665,.F.); #335765=ADVANCED_FACE('',(#26340),#328909,.F.); #335766=ADVANCED_FACE('',(#26341),#328910,.F.); #335767=ADVANCED_FACE('',(#26342),#328911,.F.); #335768=ADVANCED_FACE('',(#26343),#328912,.F.); #335769=ADVANCED_FACE('',(#26344),#328913,.F.); #335770=ADVANCED_FACE('',(#26345),#328914,.F.); #335771=ADVANCED_FACE('',(#26346),#328915,.F.); #335772=ADVANCED_FACE('',(#26347),#328916,.F.); #335773=ADVANCED_FACE('',(#26348),#328917,.F.); #335774=ADVANCED_FACE('',(#26349),#328918,.F.); #335775=ADVANCED_FACE('',(#26350),#10666,.T.); #335776=ADVANCED_FACE('',(#26351),#10667,.T.); #335777=ADVANCED_FACE('',(#26352),#10668,.T.); #335778=ADVANCED_FACE('',(#26353),#10669,.T.); #335779=ADVANCED_FACE('',(#26354),#10670,.T.); #335780=ADVANCED_FACE('',(#26355),#10671,.T.); #335781=ADVANCED_FACE('',(#26356),#10672,.T.); #335782=ADVANCED_FACE('',(#26357),#10673,.T.); #335783=ADVANCED_FACE('',(#26358),#10674,.T.); #335784=ADVANCED_FACE('',(#26359),#10675,.T.); #335785=ADVANCED_FACE('',(#26360),#10676,.T.); #335786=ADVANCED_FACE('',(#26361),#10677,.T.); #335787=ADVANCED_FACE('',(#26362),#10678,.T.); #335788=ADVANCED_FACE('',(#26363),#10679,.T.); #335789=ADVANCED_FACE('',(#26364),#10680,.T.); #335790=ADVANCED_FACE('',(#26365),#10681,.T.); #335791=ADVANCED_FACE('',(#26366),#10682,.T.); #335792=ADVANCED_FACE('',(#26367),#10683,.T.); #335793=ADVANCED_FACE('',(#26368),#10684,.T.); #335794=ADVANCED_FACE('',(#26369),#10685,.T.); #335795=ADVANCED_FACE('',(#26370),#10686,.T.); #335796=ADVANCED_FACE('',(#26371),#10687,.T.); #335797=ADVANCED_FACE('',(#26372),#10688,.T.); #335798=ADVANCED_FACE('',(#26373),#10689,.T.); #335799=ADVANCED_FACE('',(#26374),#10690,.T.); #335800=ADVANCED_FACE('',(#26375),#10691,.T.); #335801=ADVANCED_FACE('',(#26376),#10692,.T.); #335802=ADVANCED_FACE('',(#26377),#10693,.T.); #335803=ADVANCED_FACE('',(#26378),#10694,.T.); #335804=ADVANCED_FACE('',(#26379),#10695,.T.); #335805=ADVANCED_FACE('',(#26380),#10696,.T.); #335806=ADVANCED_FACE('',(#26381),#10697,.T.); #335807=ADVANCED_FACE('',(#26382),#10698,.T.); #335808=ADVANCED_FACE('',(#26383),#10699,.T.); #335809=ADVANCED_FACE('',(#26384),#10700,.T.); #335810=ADVANCED_FACE('',(#26385),#10701,.T.); #335811=ADVANCED_FACE('',(#26386),#10702,.T.); #335812=ADVANCED_FACE('',(#26387),#10703,.T.); #335813=ADVANCED_FACE('',(#26388),#10704,.T.); #335814=ADVANCED_FACE('',(#26389),#10705,.T.); #335815=ADVANCED_FACE('',(#26390),#10706,.T.); #335816=ADVANCED_FACE('',(#26391),#10707,.T.); #335817=ADVANCED_FACE('',(#26392),#10708,.T.); #335818=ADVANCED_FACE('',(#26393),#10709,.T.); #335819=ADVANCED_FACE('',(#26394),#10710,.T.); #335820=ADVANCED_FACE('',(#26395),#10711,.T.); #335821=ADVANCED_FACE('',(#26396),#10712,.T.); #335822=ADVANCED_FACE('',(#26397),#10713,.T.); #335823=ADVANCED_FACE('',(#26398),#10714,.T.); #335824=ADVANCED_FACE('',(#26399),#10715,.T.); #335825=ADVANCED_FACE('',(#26400),#10716,.T.); #335826=ADVANCED_FACE('',(#26401),#10717,.T.); #335827=ADVANCED_FACE('',(#26402),#10718,.T.); #335828=ADVANCED_FACE('',(#26403),#10719,.T.); #335829=ADVANCED_FACE('',(#26404),#10720,.T.); #335830=ADVANCED_FACE('',(#26405),#10721,.T.); #335831=ADVANCED_FACE('',(#26406),#10722,.T.); #335832=ADVANCED_FACE('',(#26407),#10723,.T.); #335833=ADVANCED_FACE('',(#26408),#10724,.T.); #335834=ADVANCED_FACE('',(#26409),#10725,.T.); #335835=ADVANCED_FACE('',(#26410),#10726,.T.); #335836=ADVANCED_FACE('',(#26411),#10727,.T.); #335837=ADVANCED_FACE('',(#26412),#10728,.T.); #335838=ADVANCED_FACE('',(#26413),#10729,.T.); #335839=ADVANCED_FACE('',(#26414),#10730,.T.); #335840=ADVANCED_FACE('',(#26415),#10731,.T.); #335841=ADVANCED_FACE('',(#26416),#10732,.T.); #335842=ADVANCED_FACE('',(#26417),#10733,.T.); #335843=ADVANCED_FACE('',(#26418),#10734,.T.); #335844=ADVANCED_FACE('',(#26419),#10735,.T.); #335845=ADVANCED_FACE('',(#26420),#10736,.T.); #335846=ADVANCED_FACE('',(#26421),#10737,.T.); #335847=ADVANCED_FACE('',(#26422),#10738,.T.); #335848=ADVANCED_FACE('',(#26423),#10739,.T.); #335849=ADVANCED_FACE('',(#26424),#10740,.T.); #335850=ADVANCED_FACE('',(#26425),#10741,.T.); #335851=ADVANCED_FACE('',(#26426),#10742,.T.); #335852=ADVANCED_FACE('',(#26427),#10743,.T.); #335853=ADVANCED_FACE('',(#26428),#10744,.T.); #335854=ADVANCED_FACE('',(#26429),#10745,.T.); #335855=ADVANCED_FACE('',(#26430),#10746,.T.); #335856=ADVANCED_FACE('',(#26431),#10747,.T.); #335857=ADVANCED_FACE('',(#26432),#10748,.T.); #335858=ADVANCED_FACE('',(#26433),#10749,.T.); #335859=ADVANCED_FACE('',(#26434),#10750,.T.); #335860=ADVANCED_FACE('',(#26435),#10751,.T.); #335861=ADVANCED_FACE('',(#26436),#10752,.T.); #335862=ADVANCED_FACE('',(#26437),#10753,.T.); #335863=ADVANCED_FACE('',(#26438),#10754,.T.); #335864=ADVANCED_FACE('',(#26439),#10755,.T.); #335865=ADVANCED_FACE('',(#26440),#10756,.T.); #335866=ADVANCED_FACE('',(#26441),#10757,.T.); #335867=ADVANCED_FACE('',(#26442),#10758,.T.); #335868=ADVANCED_FACE('',(#26443),#10759,.T.); #335869=ADVANCED_FACE('',(#26444),#10760,.T.); #335870=ADVANCED_FACE('',(#26445),#10761,.T.); #335871=ADVANCED_FACE('',(#26446),#10762,.T.); #335872=ADVANCED_FACE('',(#26447),#10763,.T.); #335873=ADVANCED_FACE('',(#26448),#10764,.T.); #335874=ADVANCED_FACE('',(#26449),#10765,.T.); #335875=ADVANCED_FACE('',(#26450),#10766,.T.); #335876=ADVANCED_FACE('',(#26451),#10767,.T.); #335877=ADVANCED_FACE('',(#26452),#10768,.T.); #335878=ADVANCED_FACE('',(#26453),#10769,.T.); #335879=ADVANCED_FACE('',(#26454),#10770,.T.); #335880=ADVANCED_FACE('',(#26455),#10771,.T.); #335881=ADVANCED_FACE('',(#26456),#10772,.T.); #335882=ADVANCED_FACE('',(#26457),#10773,.T.); #335883=ADVANCED_FACE('',(#26458),#10774,.T.); #335884=ADVANCED_FACE('',(#26459),#10775,.T.); #335885=ADVANCED_FACE('',(#26460),#10776,.T.); #335886=ADVANCED_FACE('',(#26461),#10777,.T.); #335887=ADVANCED_FACE('',(#26462),#10778,.T.); #335888=ADVANCED_FACE('',(#26463),#10779,.T.); #335889=ADVANCED_FACE('',(#26464),#10780,.T.); #335890=ADVANCED_FACE('',(#26465),#10781,.T.); #335891=ADVANCED_FACE('',(#26466),#10782,.T.); #335892=ADVANCED_FACE('',(#26467),#10783,.T.); #335893=ADVANCED_FACE('',(#26468),#10784,.T.); #335894=ADVANCED_FACE('',(#26469),#10785,.T.); #335895=ADVANCED_FACE('',(#26470),#10786,.T.); #335896=ADVANCED_FACE('',(#26471),#10787,.T.); #335897=ADVANCED_FACE('',(#26472),#10788,.T.); #335898=ADVANCED_FACE('',(#26473),#10789,.T.); #335899=ADVANCED_FACE('',(#26474),#10790,.T.); #335900=ADVANCED_FACE('',(#26475),#10791,.T.); #335901=ADVANCED_FACE('',(#26476),#10792,.T.); #335902=ADVANCED_FACE('',(#26477),#10793,.T.); #335903=ADVANCED_FACE('',(#26478),#10794,.T.); #335904=ADVANCED_FACE('',(#26479),#10795,.T.); #335905=ADVANCED_FACE('',(#26480),#10796,.T.); #335906=ADVANCED_FACE('',(#26481),#10797,.T.); #335907=ADVANCED_FACE('',(#26482),#10798,.T.); #335908=ADVANCED_FACE('',(#26483),#10799,.T.); #335909=ADVANCED_FACE('',(#26484),#10800,.T.); #335910=ADVANCED_FACE('',(#26485),#10801,.T.); #335911=ADVANCED_FACE('',(#26486),#10802,.T.); #335912=ADVANCED_FACE('',(#26487),#10803,.T.); #335913=ADVANCED_FACE('',(#26488),#10804,.T.); #335914=ADVANCED_FACE('',(#26489),#10805,.T.); #335915=ADVANCED_FACE('',(#26490),#10806,.T.); #335916=ADVANCED_FACE('',(#26491),#10807,.T.); #335917=ADVANCED_FACE('',(#26492),#10808,.T.); #335918=ADVANCED_FACE('',(#26493),#10809,.T.); #335919=ADVANCED_FACE('',(#26494),#10810,.T.); #335920=ADVANCED_FACE('',(#26495),#10811,.T.); #335921=ADVANCED_FACE('',(#26496),#10812,.T.); #335922=ADVANCED_FACE('',(#26497),#10813,.T.); #335923=ADVANCED_FACE('',(#26498),#10814,.T.); #335924=ADVANCED_FACE('',(#26499),#10815,.T.); #335925=ADVANCED_FACE('',(#26500),#10816,.T.); #335926=ADVANCED_FACE('',(#26501),#10817,.T.); #335927=ADVANCED_FACE('',(#26502),#10818,.T.); #335928=ADVANCED_FACE('',(#26503),#10819,.T.); #335929=ADVANCED_FACE('',(#26504),#10820,.T.); #335930=ADVANCED_FACE('',(#26505),#10821,.T.); #335931=ADVANCED_FACE('',(#26506),#10822,.T.); #335932=ADVANCED_FACE('',(#26507),#10823,.T.); #335933=ADVANCED_FACE('',(#26508),#10824,.T.); #335934=ADVANCED_FACE('',(#26509),#10825,.T.); #335935=ADVANCED_FACE('',(#26510),#10826,.T.); #335936=ADVANCED_FACE('',(#26511),#10827,.T.); #335937=ADVANCED_FACE('',(#26512),#10828,.T.); #335938=ADVANCED_FACE('',(#26513),#10829,.T.); #335939=ADVANCED_FACE('',(#26514),#10830,.T.); #335940=ADVANCED_FACE('',(#26515),#10831,.T.); #335941=ADVANCED_FACE('',(#26516),#10832,.T.); #335942=ADVANCED_FACE('',(#26517),#10833,.T.); #335943=ADVANCED_FACE('',(#26518),#10834,.T.); #335944=ADVANCED_FACE('',(#26519),#10835,.T.); #335945=ADVANCED_FACE('',(#26520),#10836,.T.); #335946=ADVANCED_FACE('',(#26521),#10837,.T.); #335947=ADVANCED_FACE('',(#26522),#10838,.T.); #335948=ADVANCED_FACE('',(#26523),#10839,.T.); #335949=ADVANCED_FACE('',(#26524),#10840,.T.); #335950=ADVANCED_FACE('',(#26525),#10841,.T.); #335951=ADVANCED_FACE('',(#26526),#10842,.T.); #335952=ADVANCED_FACE('',(#26527),#10843,.T.); #335953=ADVANCED_FACE('',(#26528),#10844,.T.); #335954=ADVANCED_FACE('',(#26529),#10845,.T.); #335955=ADVANCED_FACE('',(#26530),#10846,.T.); #335956=ADVANCED_FACE('',(#26531),#10847,.T.); #335957=ADVANCED_FACE('',(#26532),#10848,.T.); #335958=ADVANCED_FACE('',(#26533),#10849,.T.); #335959=ADVANCED_FACE('',(#26534),#10850,.T.); #335960=ADVANCED_FACE('',(#26535),#10851,.T.); #335961=ADVANCED_FACE('',(#26536),#10852,.T.); #335962=ADVANCED_FACE('',(#26537),#10853,.T.); #335963=ADVANCED_FACE('',(#26538),#10854,.T.); #335964=ADVANCED_FACE('',(#26539),#10855,.T.); #335965=ADVANCED_FACE('',(#26540),#10856,.T.); #335966=ADVANCED_FACE('',(#26541),#10857,.T.); #335967=ADVANCED_FACE('',(#26542),#10858,.T.); #335968=ADVANCED_FACE('',(#26543),#10859,.T.); #335969=ADVANCED_FACE('',(#26544),#10860,.T.); #335970=ADVANCED_FACE('',(#26545),#10861,.T.); #335971=ADVANCED_FACE('',(#26546),#10862,.T.); #335972=ADVANCED_FACE('',(#26547),#10863,.T.); #335973=ADVANCED_FACE('',(#26548),#10864,.T.); #335974=ADVANCED_FACE('',(#26549),#10865,.T.); #335975=ADVANCED_FACE('',(#26550),#10866,.T.); #335976=ADVANCED_FACE('',(#26551),#10867,.T.); #335977=ADVANCED_FACE('',(#26552),#10868,.T.); #335978=ADVANCED_FACE('',(#26553),#10869,.T.); #335979=ADVANCED_FACE('',(#26554),#10870,.T.); #335980=ADVANCED_FACE('',(#26555),#10871,.T.); #335981=ADVANCED_FACE('',(#26556),#10872,.T.); #335982=ADVANCED_FACE('',(#26557),#10873,.T.); #335983=ADVANCED_FACE('',(#26558),#10874,.T.); #335984=ADVANCED_FACE('',(#26559),#10875,.T.); #335985=ADVANCED_FACE('',(#26560),#10876,.T.); #335986=ADVANCED_FACE('',(#26561),#10877,.T.); #335987=ADVANCED_FACE('',(#26562),#10878,.T.); #335988=ADVANCED_FACE('',(#26563),#10879,.T.); #335989=ADVANCED_FACE('',(#26564),#10880,.T.); #335990=ADVANCED_FACE('',(#26565),#10881,.T.); #335991=ADVANCED_FACE('',(#26566),#10882,.T.); #335992=ADVANCED_FACE('',(#26567),#10883,.T.); #335993=ADVANCED_FACE('',(#26568),#10884,.T.); #335994=ADVANCED_FACE('',(#26569),#10885,.T.); #335995=ADVANCED_FACE('',(#26570),#10886,.T.); #335996=ADVANCED_FACE('',(#26571),#10887,.T.); #335997=ADVANCED_FACE('',(#26572),#10888,.T.); #335998=ADVANCED_FACE('',(#26573),#10889,.T.); #335999=ADVANCED_FACE('',(#26574),#10890,.T.); #336000=ADVANCED_FACE('',(#26575),#10891,.T.); #336001=ADVANCED_FACE('',(#26576),#10892,.T.); #336002=ADVANCED_FACE('',(#26577,#5056,#5057,#5058,#5059,#5060,#5061,#5062, #5063,#5064,#5065,#5066,#5067),#10893,.T.); #336003=ADVANCED_FACE('',(#26578,#5068,#5069,#5070,#5071,#5072,#5073,#5074, #5075,#5076,#5077,#5078,#5079),#10894,.F.); #336004=ADVANCED_FACE('',(#26579),#10895,.T.); #336005=ADVANCED_FACE('',(#26580),#10896,.T.); #336006=ADVANCED_FACE('',(#26581),#10897,.T.); #336007=ADVANCED_FACE('',(#26582),#10898,.T.); #336008=ADVANCED_FACE('',(#26583),#10899,.T.); #336009=ADVANCED_FACE('',(#26584),#10900,.T.); #336010=ADVANCED_FACE('',(#26585),#10901,.T.); #336011=ADVANCED_FACE('',(#26586),#10902,.T.); #336012=ADVANCED_FACE('',(#26587),#10903,.T.); #336013=ADVANCED_FACE('',(#26588),#10904,.T.); #336014=ADVANCED_FACE('',(#26589),#10905,.T.); #336015=ADVANCED_FACE('',(#26590),#10906,.T.); #336016=ADVANCED_FACE('',(#26591),#10907,.T.); #336017=ADVANCED_FACE('',(#26592),#10908,.T.); #336018=ADVANCED_FACE('',(#26593),#10909,.T.); #336019=ADVANCED_FACE('',(#26594),#10910,.T.); #336020=ADVANCED_FACE('',(#26595),#10911,.T.); #336021=ADVANCED_FACE('',(#26596),#10912,.T.); #336022=ADVANCED_FACE('',(#26597),#10913,.T.); #336023=ADVANCED_FACE('',(#26598),#10914,.T.); #336024=ADVANCED_FACE('',(#26599),#10915,.T.); #336025=ADVANCED_FACE('',(#26600),#10916,.T.); #336026=ADVANCED_FACE('',(#26601),#10917,.F.); #336027=ADVANCED_FACE('',(#26602),#10918,.T.); #336028=ADVANCED_FACE('',(#26603),#10919,.T.); #336029=ADVANCED_FACE('',(#26604),#10920,.T.); #336030=ADVANCED_FACE('',(#26605),#10921,.T.); #336031=ADVANCED_FACE('',(#26606),#10922,.T.); #336032=ADVANCED_FACE('',(#26607),#10923,.T.); #336033=ADVANCED_FACE('',(#26608),#10924,.T.); #336034=ADVANCED_FACE('',(#26609),#10925,.T.); #336035=ADVANCED_FACE('',(#26610),#10926,.T.); #336036=ADVANCED_FACE('',(#26611),#10927,.T.); #336037=ADVANCED_FACE('',(#26612),#10928,.T.); #336038=ADVANCED_FACE('',(#26613),#10929,.T.); #336039=ADVANCED_FACE('',(#26614),#10930,.T.); #336040=ADVANCED_FACE('',(#26615),#10931,.T.); #336041=ADVANCED_FACE('',(#26616),#10932,.T.); #336042=ADVANCED_FACE('',(#26617),#10933,.T.); #336043=ADVANCED_FACE('',(#26618),#10934,.T.); #336044=ADVANCED_FACE('',(#26619),#10935,.T.); #336045=ADVANCED_FACE('',(#26620),#10936,.T.); #336046=ADVANCED_FACE('',(#26621),#10937,.T.); #336047=ADVANCED_FACE('',(#26622),#10938,.T.); #336048=ADVANCED_FACE('',(#26623),#10939,.F.); #336049=ADVANCED_FACE('',(#26624),#328919,.F.); #336050=ADVANCED_FACE('',(#26625),#328920,.F.); #336051=ADVANCED_FACE('',(#26626),#328921,.F.); #336052=ADVANCED_FACE('',(#26627),#328922,.F.); #336053=ADVANCED_FACE('',(#26628),#328923,.F.); #336054=ADVANCED_FACE('',(#26629),#328924,.F.); #336055=ADVANCED_FACE('',(#26630),#328925,.F.); #336056=ADVANCED_FACE('',(#26631),#328926,.F.); #336057=ADVANCED_FACE('',(#26632),#328927,.F.); #336058=ADVANCED_FACE('',(#26633),#328928,.F.); #336059=ADVANCED_FACE('',(#26634),#328929,.F.); #336060=ADVANCED_FACE('',(#26635),#328930,.F.); #336061=ADVANCED_FACE('',(#26636),#328931,.F.); #336062=ADVANCED_FACE('',(#26637),#328932,.F.); #336063=ADVANCED_FACE('',(#26638),#328933,.F.); #336064=ADVANCED_FACE('',(#26639),#328934,.F.); #336065=ADVANCED_FACE('',(#26640),#328935,.F.); #336066=ADVANCED_FACE('',(#26641),#328936,.F.); #336067=ADVANCED_FACE('',(#26642),#328937,.F.); #336068=ADVANCED_FACE('',(#26643),#328938,.F.); #336069=ADVANCED_FACE('',(#26644),#328939,.F.); #336070=ADVANCED_FACE('',(#26645),#328940,.F.); #336071=ADVANCED_FACE('',(#26646),#328941,.F.); #336072=ADVANCED_FACE('',(#26647),#328942,.F.); #336073=ADVANCED_FACE('',(#26648),#328943,.F.); #336074=ADVANCED_FACE('',(#26649),#328944,.F.); #336075=ADVANCED_FACE('',(#26650),#328945,.F.); #336076=ADVANCED_FACE('',(#26651),#328946,.F.); #336077=ADVANCED_FACE('',(#26652),#328947,.F.); #336078=ADVANCED_FACE('',(#26653),#328948,.F.); #336079=ADVANCED_FACE('',(#26654),#328949,.F.); #336080=ADVANCED_FACE('',(#26655),#328950,.F.); #336081=ADVANCED_FACE('',(#26656),#328951,.F.); #336082=ADVANCED_FACE('',(#26657),#328952,.F.); #336083=ADVANCED_FACE('',(#26658),#328953,.F.); #336084=ADVANCED_FACE('',(#26659),#10940,.F.); #336085=ADVANCED_FACE('',(#26660),#328954,.F.); #336086=ADVANCED_FACE('',(#26661),#10941,.F.); #336087=ADVANCED_FACE('',(#26662),#328955,.F.); #336088=ADVANCED_FACE('',(#26663),#328956,.F.); #336089=ADVANCED_FACE('',(#26664),#328957,.F.); #336090=ADVANCED_FACE('',(#26665),#328958,.F.); #336091=ADVANCED_FACE('',(#26666),#328959,.F.); #336092=ADVANCED_FACE('',(#26667),#10942,.F.); #336093=ADVANCED_FACE('',(#26668),#328960,.F.); #336094=ADVANCED_FACE('',(#26669),#10943,.F.); #336095=ADVANCED_FACE('',(#26670),#328961,.F.); #336096=ADVANCED_FACE('',(#26671),#10944,.F.); #336097=ADVANCED_FACE('',(#26672),#328962,.F.); #336098=ADVANCED_FACE('',(#26673),#10945,.F.); #336099=ADVANCED_FACE('',(#26674),#328963,.F.); #336100=ADVANCED_FACE('',(#26675),#10946,.F.); #336101=ADVANCED_FACE('',(#26676),#328964,.F.); #336102=ADVANCED_FACE('',(#26677),#10947,.F.); #336103=ADVANCED_FACE('',(#26678),#328965,.F.); #336104=ADVANCED_FACE('',(#26679),#328966,.F.); #336105=ADVANCED_FACE('',(#26680),#328967,.F.); #336106=ADVANCED_FACE('',(#26681),#328968,.F.); #336107=ADVANCED_FACE('',(#26682),#328969,.F.); #336108=ADVANCED_FACE('',(#26683),#328970,.F.); #336109=ADVANCED_FACE('',(#26684),#328971,.F.); #336110=ADVANCED_FACE('',(#26685),#328972,.F.); #336111=ADVANCED_FACE('',(#26686),#328973,.F.); #336112=ADVANCED_FACE('',(#26687),#328974,.F.); #336113=ADVANCED_FACE('',(#26688),#328975,.F.); #336114=ADVANCED_FACE('',(#26689),#328976,.F.); #336115=ADVANCED_FACE('',(#26690),#328977,.F.); #336116=ADVANCED_FACE('',(#26691),#328978,.F.); #336117=ADVANCED_FACE('',(#26692),#328979,.F.); #336118=ADVANCED_FACE('',(#26693),#328980,.F.); #336119=ADVANCED_FACE('',(#26694),#328981,.F.); #336120=ADVANCED_FACE('',(#26695),#328982,.F.); #336121=ADVANCED_FACE('',(#26696),#328983,.F.); #336122=ADVANCED_FACE('',(#26697),#328984,.F.); #336123=ADVANCED_FACE('',(#26698),#10948,.T.); #336124=ADVANCED_FACE('',(#26699),#10949,.T.); #336125=ADVANCED_FACE('',(#26700),#10950,.T.); #336126=ADVANCED_FACE('',(#26701),#10951,.T.); #336127=ADVANCED_FACE('',(#26702),#10952,.T.); #336128=ADVANCED_FACE('',(#26703),#10953,.T.); #336129=ADVANCED_FACE('',(#26704),#10954,.T.); #336130=ADVANCED_FACE('',(#26705),#10955,.T.); #336131=ADVANCED_FACE('',(#26706),#10956,.T.); #336132=ADVANCED_FACE('',(#26707),#10957,.T.); #336133=ADVANCED_FACE('',(#26708),#10958,.T.); #336134=ADVANCED_FACE('',(#26709),#10959,.T.); #336135=ADVANCED_FACE('',(#26710),#10960,.T.); #336136=ADVANCED_FACE('',(#26711),#10961,.T.); #336137=ADVANCED_FACE('',(#26712),#10962,.T.); #336138=ADVANCED_FACE('',(#26713),#10963,.T.); #336139=ADVANCED_FACE('',(#26714),#10964,.T.); #336140=ADVANCED_FACE('',(#26715),#10965,.T.); #336141=ADVANCED_FACE('',(#26716),#10966,.T.); #336142=ADVANCED_FACE('',(#26717),#10967,.T.); #336143=ADVANCED_FACE('',(#26718),#10968,.T.); #336144=ADVANCED_FACE('',(#26719),#10969,.T.); #336145=ADVANCED_FACE('',(#26720),#10970,.T.); #336146=ADVANCED_FACE('',(#26721),#10971,.T.); #336147=ADVANCED_FACE('',(#26722),#10972,.T.); #336148=ADVANCED_FACE('',(#26723),#10973,.T.); #336149=ADVANCED_FACE('',(#26724),#10974,.T.); #336150=ADVANCED_FACE('',(#26725),#10975,.T.); #336151=ADVANCED_FACE('',(#26726),#10976,.T.); #336152=ADVANCED_FACE('',(#26727),#10977,.T.); #336153=ADVANCED_FACE('',(#26728),#10978,.T.); #336154=ADVANCED_FACE('',(#26729),#10979,.T.); #336155=ADVANCED_FACE('',(#26730),#10980,.T.); #336156=ADVANCED_FACE('',(#26731),#10981,.T.); #336157=ADVANCED_FACE('',(#26732),#10982,.T.); #336158=ADVANCED_FACE('',(#26733),#10983,.T.); #336159=ADVANCED_FACE('',(#26734),#10984,.T.); #336160=ADVANCED_FACE('',(#26735),#10985,.T.); #336161=ADVANCED_FACE('',(#26736),#10986,.T.); #336162=ADVANCED_FACE('',(#26737),#10987,.T.); #336163=ADVANCED_FACE('',(#26738),#10988,.T.); #336164=ADVANCED_FACE('',(#26739),#10989,.T.); #336165=ADVANCED_FACE('',(#26740),#10990,.T.); #336166=ADVANCED_FACE('',(#26741),#10991,.T.); #336167=ADVANCED_FACE('',(#26742),#10992,.T.); #336168=ADVANCED_FACE('',(#26743),#10993,.T.); #336169=ADVANCED_FACE('',(#26744),#10994,.T.); #336170=ADVANCED_FACE('',(#26745),#10995,.T.); #336171=ADVANCED_FACE('',(#26746),#10996,.T.); #336172=ADVANCED_FACE('',(#26747),#10997,.T.); #336173=ADVANCED_FACE('',(#26748),#10998,.T.); #336174=ADVANCED_FACE('',(#26749),#10999,.T.); #336175=ADVANCED_FACE('',(#26750),#11000,.T.); #336176=ADVANCED_FACE('',(#26751),#11001,.T.); #336177=ADVANCED_FACE('',(#26752),#11002,.T.); #336178=ADVANCED_FACE('',(#26753),#11003,.T.); #336179=ADVANCED_FACE('',(#26754),#11004,.T.); #336180=ADVANCED_FACE('',(#26755),#11005,.T.); #336181=ADVANCED_FACE('',(#26756),#11006,.T.); #336182=ADVANCED_FACE('',(#26757),#11007,.T.); #336183=ADVANCED_FACE('',(#26758),#11008,.T.); #336184=ADVANCED_FACE('',(#26759),#11009,.T.); #336185=ADVANCED_FACE('',(#26760),#11010,.T.); #336186=ADVANCED_FACE('',(#26761),#11011,.T.); #336187=ADVANCED_FACE('',(#26762),#11012,.T.); #336188=ADVANCED_FACE('',(#26763),#11013,.T.); #336189=ADVANCED_FACE('',(#26764),#11014,.T.); #336190=ADVANCED_FACE('',(#26765),#11015,.T.); #336191=ADVANCED_FACE('',(#26766),#11016,.T.); #336192=ADVANCED_FACE('',(#26767),#11017,.T.); #336193=ADVANCED_FACE('',(#26768),#11018,.T.); #336194=ADVANCED_FACE('',(#26769),#11019,.T.); #336195=ADVANCED_FACE('',(#26770),#11020,.T.); #336196=ADVANCED_FACE('',(#26771),#11021,.T.); #336197=ADVANCED_FACE('',(#26772),#11022,.T.); #336198=ADVANCED_FACE('',(#26773),#11023,.T.); #336199=ADVANCED_FACE('',(#26774),#11024,.T.); #336200=ADVANCED_FACE('',(#26775),#11025,.T.); #336201=ADVANCED_FACE('',(#26776),#11026,.T.); #336202=ADVANCED_FACE('',(#26777),#11027,.T.); #336203=ADVANCED_FACE('',(#26778),#11028,.T.); #336204=ADVANCED_FACE('',(#26779),#11029,.T.); #336205=ADVANCED_FACE('',(#26780),#11030,.T.); #336206=ADVANCED_FACE('',(#26781),#11031,.T.); #336207=ADVANCED_FACE('',(#26782),#11032,.T.); #336208=ADVANCED_FACE('',(#26783),#11033,.T.); #336209=ADVANCED_FACE('',(#26784),#11034,.T.); #336210=ADVANCED_FACE('',(#26785),#11035,.T.); #336211=ADVANCED_FACE('',(#26786),#11036,.T.); #336212=ADVANCED_FACE('',(#26787),#11037,.T.); #336213=ADVANCED_FACE('',(#26788),#11038,.T.); #336214=ADVANCED_FACE('',(#26789),#11039,.T.); #336215=ADVANCED_FACE('',(#26790),#11040,.T.); #336216=ADVANCED_FACE('',(#26791),#11041,.T.); #336217=ADVANCED_FACE('',(#26792),#11042,.T.); #336218=ADVANCED_FACE('',(#26793),#11043,.T.); #336219=ADVANCED_FACE('',(#26794),#11044,.T.); #336220=ADVANCED_FACE('',(#26795),#11045,.T.); #336221=ADVANCED_FACE('',(#26796),#11046,.T.); #336222=ADVANCED_FACE('',(#26797),#11047,.T.); #336223=ADVANCED_FACE('',(#26798),#11048,.T.); #336224=ADVANCED_FACE('',(#26799),#11049,.T.); #336225=ADVANCED_FACE('',(#26800),#11050,.T.); #336226=ADVANCED_FACE('',(#26801),#11051,.T.); #336227=ADVANCED_FACE('',(#26802),#11052,.T.); #336228=ADVANCED_FACE('',(#26803),#11053,.T.); #336229=ADVANCED_FACE('',(#26804),#11054,.T.); #336230=ADVANCED_FACE('',(#26805),#11055,.T.); #336231=ADVANCED_FACE('',(#26806),#11056,.T.); #336232=ADVANCED_FACE('',(#26807),#11057,.T.); #336233=ADVANCED_FACE('',(#26808),#11058,.T.); #336234=ADVANCED_FACE('',(#26809),#11059,.T.); #336235=ADVANCED_FACE('',(#26810),#11060,.T.); #336236=ADVANCED_FACE('',(#26811),#11061,.T.); #336237=ADVANCED_FACE('',(#26812),#11062,.T.); #336238=ADVANCED_FACE('',(#26813),#11063,.T.); #336239=ADVANCED_FACE('',(#26814),#11064,.T.); #336240=ADVANCED_FACE('',(#26815),#11065,.T.); #336241=ADVANCED_FACE('',(#26816),#11066,.T.); #336242=ADVANCED_FACE('',(#26817),#11067,.T.); #336243=ADVANCED_FACE('',(#26818),#11068,.T.); #336244=ADVANCED_FACE('',(#26819),#11069,.T.); #336245=ADVANCED_FACE('',(#26820),#11070,.T.); #336246=ADVANCED_FACE('',(#26821),#11071,.T.); #336247=ADVANCED_FACE('',(#26822),#11072,.T.); #336248=ADVANCED_FACE('',(#26823),#11073,.T.); #336249=ADVANCED_FACE('',(#26824),#11074,.T.); #336250=ADVANCED_FACE('',(#26825),#11075,.T.); #336251=ADVANCED_FACE('',(#26826),#11076,.T.); #336252=ADVANCED_FACE('',(#26827),#11077,.T.); #336253=ADVANCED_FACE('',(#26828),#11078,.T.); #336254=ADVANCED_FACE('',(#26829),#11079,.T.); #336255=ADVANCED_FACE('',(#26830),#11080,.T.); #336256=ADVANCED_FACE('',(#26831),#11081,.T.); #336257=ADVANCED_FACE('',(#26832),#11082,.T.); #336258=ADVANCED_FACE('',(#26833),#11083,.T.); #336259=ADVANCED_FACE('',(#26834),#11084,.T.); #336260=ADVANCED_FACE('',(#26835),#11085,.T.); #336261=ADVANCED_FACE('',(#26836),#11086,.T.); #336262=ADVANCED_FACE('',(#26837),#11087,.T.); #336263=ADVANCED_FACE('',(#26838),#11088,.T.); #336264=ADVANCED_FACE('',(#26839),#11089,.T.); #336265=ADVANCED_FACE('',(#26840),#11090,.T.); #336266=ADVANCED_FACE('',(#26841),#11091,.T.); #336267=ADVANCED_FACE('',(#26842),#11092,.T.); #336268=ADVANCED_FACE('',(#26843),#11093,.T.); #336269=ADVANCED_FACE('',(#26844),#11094,.T.); #336270=ADVANCED_FACE('',(#26845),#11095,.T.); #336271=ADVANCED_FACE('',(#26846),#11096,.T.); #336272=ADVANCED_FACE('',(#26847),#11097,.T.); #336273=ADVANCED_FACE('',(#26848),#11098,.T.); #336274=ADVANCED_FACE('',(#26849),#11099,.T.); #336275=ADVANCED_FACE('',(#26850),#11100,.T.); #336276=ADVANCED_FACE('',(#26851),#11101,.T.); #336277=ADVANCED_FACE('',(#26852),#11102,.T.); #336278=ADVANCED_FACE('',(#26853),#11103,.T.); #336279=ADVANCED_FACE('',(#26854),#11104,.T.); #336280=ADVANCED_FACE('',(#26855),#11105,.T.); #336281=ADVANCED_FACE('',(#26856),#11106,.T.); #336282=ADVANCED_FACE('',(#26857),#11107,.T.); #336283=ADVANCED_FACE('',(#26858),#11108,.T.); #336284=ADVANCED_FACE('',(#26859),#11109,.T.); #336285=ADVANCED_FACE('',(#26860),#11110,.T.); #336286=ADVANCED_FACE('',(#26861),#11111,.T.); #336287=ADVANCED_FACE('',(#26862),#11112,.T.); #336288=ADVANCED_FACE('',(#26863),#11113,.T.); #336289=ADVANCED_FACE('',(#26864),#11114,.T.); #336290=ADVANCED_FACE('',(#26865),#11115,.T.); #336291=ADVANCED_FACE('',(#26866),#11116,.T.); #336292=ADVANCED_FACE('',(#26867),#11117,.T.); #336293=ADVANCED_FACE('',(#26868),#11118,.T.); #336294=ADVANCED_FACE('',(#26869),#11119,.T.); #336295=ADVANCED_FACE('',(#26870),#11120,.T.); #336296=ADVANCED_FACE('',(#26871),#11121,.T.); #336297=ADVANCED_FACE('',(#26872),#11122,.T.); #336298=ADVANCED_FACE('',(#26873),#11123,.T.); #336299=ADVANCED_FACE('',(#26874),#11124,.T.); #336300=ADVANCED_FACE('',(#26875),#11125,.T.); #336301=ADVANCED_FACE('',(#26876),#11126,.T.); #336302=ADVANCED_FACE('',(#26877),#11127,.T.); #336303=ADVANCED_FACE('',(#26878),#11128,.T.); #336304=ADVANCED_FACE('',(#26879),#11129,.T.); #336305=ADVANCED_FACE('',(#26880),#11130,.T.); #336306=ADVANCED_FACE('',(#26881),#11131,.T.); #336307=ADVANCED_FACE('',(#26882),#11132,.T.); #336308=ADVANCED_FACE('',(#26883),#11133,.T.); #336309=ADVANCED_FACE('',(#26884),#11134,.T.); #336310=ADVANCED_FACE('',(#26885),#11135,.T.); #336311=ADVANCED_FACE('',(#26886),#11136,.T.); #336312=ADVANCED_FACE('',(#26887),#11137,.T.); #336313=ADVANCED_FACE('',(#26888),#11138,.T.); #336314=ADVANCED_FACE('',(#26889),#11139,.T.); #336315=ADVANCED_FACE('',(#26890),#11140,.T.); #336316=ADVANCED_FACE('',(#26891),#11141,.T.); #336317=ADVANCED_FACE('',(#26892),#11142,.T.); #336318=ADVANCED_FACE('',(#26893),#11143,.T.); #336319=ADVANCED_FACE('',(#26894),#11144,.T.); #336320=ADVANCED_FACE('',(#26895),#11145,.T.); #336321=ADVANCED_FACE('',(#26896),#11146,.T.); #336322=ADVANCED_FACE('',(#26897),#11147,.T.); #336323=ADVANCED_FACE('',(#26898),#11148,.T.); #336324=ADVANCED_FACE('',(#26899),#11149,.T.); #336325=ADVANCED_FACE('',(#26900),#11150,.T.); #336326=ADVANCED_FACE('',(#26901),#11151,.T.); #336327=ADVANCED_FACE('',(#26902),#11152,.T.); #336328=ADVANCED_FACE('',(#26903),#11153,.T.); #336329=ADVANCED_FACE('',(#26904),#11154,.T.); #336330=ADVANCED_FACE('',(#26905),#11155,.T.); #336331=ADVANCED_FACE('',(#26906),#11156,.T.); #336332=ADVANCED_FACE('',(#26907),#11157,.T.); #336333=ADVANCED_FACE('',(#26908),#11158,.T.); #336334=ADVANCED_FACE('',(#26909),#11159,.T.); #336335=ADVANCED_FACE('',(#26910),#11160,.T.); #336336=ADVANCED_FACE('',(#26911),#11161,.T.); #336337=ADVANCED_FACE('',(#26912),#11162,.T.); #336338=ADVANCED_FACE('',(#26913),#11163,.T.); #336339=ADVANCED_FACE('',(#26914),#11164,.T.); #336340=ADVANCED_FACE('',(#26915),#11165,.T.); #336341=ADVANCED_FACE('',(#26916),#11166,.T.); #336342=ADVANCED_FACE('',(#26917),#11167,.T.); #336343=ADVANCED_FACE('',(#26918),#11168,.T.); #336344=ADVANCED_FACE('',(#26919),#11169,.T.); #336345=ADVANCED_FACE('',(#26920),#11170,.T.); #336346=ADVANCED_FACE('',(#26921),#11171,.T.); #336347=ADVANCED_FACE('',(#26922),#11172,.T.); #336348=ADVANCED_FACE('',(#26923),#11173,.T.); #336349=ADVANCED_FACE('',(#26924),#11174,.T.); #336350=ADVANCED_FACE('',(#26925),#11175,.T.); #336351=ADVANCED_FACE('',(#26926),#11176,.T.); #336352=ADVANCED_FACE('',(#26927),#11177,.T.); #336353=ADVANCED_FACE('',(#26928),#11178,.T.); #336354=ADVANCED_FACE('',(#26929),#11179,.T.); #336355=ADVANCED_FACE('',(#26930),#11180,.T.); #336356=ADVANCED_FACE('',(#26931),#11181,.T.); #336357=ADVANCED_FACE('',(#26932),#11182,.T.); #336358=ADVANCED_FACE('',(#26933),#11183,.T.); #336359=ADVANCED_FACE('',(#26934),#11184,.T.); #336360=ADVANCED_FACE('',(#26935),#11185,.T.); #336361=ADVANCED_FACE('',(#26936),#11186,.T.); #336362=ADVANCED_FACE('',(#26937),#11187,.T.); #336363=ADVANCED_FACE('',(#26938),#11188,.T.); #336364=ADVANCED_FACE('',(#26939),#11189,.T.); #336365=ADVANCED_FACE('',(#26940),#11190,.T.); #336366=ADVANCED_FACE('',(#26941),#11191,.T.); #336367=ADVANCED_FACE('',(#26942),#11192,.T.); #336368=ADVANCED_FACE('',(#26943),#11193,.T.); #336369=ADVANCED_FACE('',(#26944),#11194,.T.); #336370=ADVANCED_FACE('',(#26945),#11195,.T.); #336371=ADVANCED_FACE('',(#26946),#11196,.T.); #336372=ADVANCED_FACE('',(#26947),#11197,.T.); #336373=ADVANCED_FACE('',(#26948),#11198,.T.); #336374=ADVANCED_FACE('',(#26949),#11199,.T.); #336375=ADVANCED_FACE('',(#26950),#11200,.T.); #336376=ADVANCED_FACE('',(#26951),#11201,.T.); #336377=ADVANCED_FACE('',(#26952),#11202,.T.); #336378=ADVANCED_FACE('',(#26953),#11203,.T.); #336379=ADVANCED_FACE('',(#26954),#11204,.T.); #336380=ADVANCED_FACE('',(#26955),#11205,.T.); #336381=ADVANCED_FACE('',(#26956),#11206,.T.); #336382=ADVANCED_FACE('',(#26957),#11207,.T.); #336383=ADVANCED_FACE('',(#26958),#11208,.T.); #336384=ADVANCED_FACE('',(#26959),#11209,.T.); #336385=ADVANCED_FACE('',(#26960),#11210,.T.); #336386=ADVANCED_FACE('',(#26961),#11211,.T.); #336387=ADVANCED_FACE('',(#26962),#11212,.T.); #336388=ADVANCED_FACE('',(#26963),#11213,.T.); #336389=ADVANCED_FACE('',(#26964),#11214,.T.); #336390=ADVANCED_FACE('',(#26965),#11215,.T.); #336391=ADVANCED_FACE('',(#26966),#11216,.T.); #336392=ADVANCED_FACE('',(#26967),#11217,.T.); #336393=ADVANCED_FACE('',(#26968),#11218,.T.); #336394=ADVANCED_FACE('',(#26969),#11219,.T.); #336395=ADVANCED_FACE('',(#26970),#11220,.T.); #336396=ADVANCED_FACE('',(#26971),#11221,.T.); #336397=ADVANCED_FACE('',(#26972),#11222,.T.); #336398=ADVANCED_FACE('',(#26973),#11223,.T.); #336399=ADVANCED_FACE('',(#26974),#11224,.T.); #336400=ADVANCED_FACE('',(#26975),#11225,.T.); #336401=ADVANCED_FACE('',(#26976),#11226,.T.); #336402=ADVANCED_FACE('',(#26977),#11227,.T.); #336403=ADVANCED_FACE('',(#26978),#11228,.T.); #336404=ADVANCED_FACE('',(#26979),#11229,.T.); #336405=ADVANCED_FACE('',(#26980),#11230,.T.); #336406=ADVANCED_FACE('',(#26981),#11231,.T.); #336407=ADVANCED_FACE('',(#26982),#11232,.T.); #336408=ADVANCED_FACE('',(#26983),#11233,.T.); #336409=ADVANCED_FACE('',(#26984),#11234,.T.); #336410=ADVANCED_FACE('',(#26985),#11235,.T.); #336411=ADVANCED_FACE('',(#26986),#11236,.T.); #336412=ADVANCED_FACE('',(#26987),#11237,.T.); #336413=ADVANCED_FACE('',(#26988),#11238,.T.); #336414=ADVANCED_FACE('',(#26989),#11239,.T.); #336415=ADVANCED_FACE('',(#26990),#11240,.T.); #336416=ADVANCED_FACE('',(#26991),#11241,.T.); #336417=ADVANCED_FACE('',(#26992),#11242,.T.); #336418=ADVANCED_FACE('',(#26993),#11243,.T.); #336419=ADVANCED_FACE('',(#26994),#11244,.T.); #336420=ADVANCED_FACE('',(#26995),#11245,.T.); #336421=ADVANCED_FACE('',(#26996),#11246,.T.); #336422=ADVANCED_FACE('',(#26997),#11247,.T.); #336423=ADVANCED_FACE('',(#26998),#11248,.T.); #336424=ADVANCED_FACE('',(#26999),#11249,.T.); #336425=ADVANCED_FACE('',(#27000),#11250,.T.); #336426=ADVANCED_FACE('',(#27001),#11251,.T.); #336427=ADVANCED_FACE('',(#27002),#11252,.T.); #336428=ADVANCED_FACE('',(#27003),#11253,.T.); #336429=ADVANCED_FACE('',(#27004),#11254,.T.); #336430=ADVANCED_FACE('',(#27005),#11255,.T.); #336431=ADVANCED_FACE('',(#27006),#11256,.T.); #336432=ADVANCED_FACE('',(#27007),#11257,.T.); #336433=ADVANCED_FACE('',(#27008),#11258,.T.); #336434=ADVANCED_FACE('',(#27009),#11259,.T.); #336435=ADVANCED_FACE('',(#27010),#11260,.T.); #336436=ADVANCED_FACE('',(#27011),#11261,.T.); #336437=ADVANCED_FACE('',(#27012),#11262,.T.); #336438=ADVANCED_FACE('',(#27013),#11263,.T.); #336439=ADVANCED_FACE('',(#27014),#11264,.T.); #336440=ADVANCED_FACE('',(#27015),#11265,.T.); #336441=ADVANCED_FACE('',(#27016),#11266,.T.); #336442=ADVANCED_FACE('',(#27017),#11267,.T.); #336443=ADVANCED_FACE('',(#27018),#11268,.T.); #336444=ADVANCED_FACE('',(#27019),#11269,.T.); #336445=ADVANCED_FACE('',(#27020),#11270,.T.); #336446=ADVANCED_FACE('',(#27021),#11271,.T.); #336447=ADVANCED_FACE('',(#27022),#11272,.T.); #336448=ADVANCED_FACE('',(#27023),#11273,.T.); #336449=ADVANCED_FACE('',(#27024),#11274,.T.); #336450=ADVANCED_FACE('',(#27025),#11275,.T.); #336451=ADVANCED_FACE('',(#27026),#11276,.T.); #336452=ADVANCED_FACE('',(#27027),#11277,.T.); #336453=ADVANCED_FACE('',(#27028),#11278,.T.); #336454=ADVANCED_FACE('',(#27029),#11279,.T.); #336455=ADVANCED_FACE('',(#27030),#11280,.T.); #336456=ADVANCED_FACE('',(#27031),#11281,.T.); #336457=ADVANCED_FACE('',(#27032),#11282,.T.); #336458=ADVANCED_FACE('',(#27033),#11283,.T.); #336459=ADVANCED_FACE('',(#27034),#11284,.T.); #336460=ADVANCED_FACE('',(#27035),#11285,.T.); #336461=ADVANCED_FACE('',(#27036),#11286,.T.); #336462=ADVANCED_FACE('',(#27037),#11287,.T.); #336463=ADVANCED_FACE('',(#27038),#11288,.T.); #336464=ADVANCED_FACE('',(#27039),#11289,.T.); #336465=ADVANCED_FACE('',(#27040),#11290,.T.); #336466=ADVANCED_FACE('',(#27041),#11291,.T.); #336467=ADVANCED_FACE('',(#27042),#11292,.T.); #336468=ADVANCED_FACE('',(#27043),#11293,.T.); #336469=ADVANCED_FACE('',(#27044),#11294,.T.); #336470=ADVANCED_FACE('',(#27045),#11295,.T.); #336471=ADVANCED_FACE('',(#27046),#11296,.T.); #336472=ADVANCED_FACE('',(#27047),#11297,.T.); #336473=ADVANCED_FACE('',(#27048),#11298,.T.); #336474=ADVANCED_FACE('',(#27049),#11299,.T.); #336475=ADVANCED_FACE('',(#27050),#11300,.T.); #336476=ADVANCED_FACE('',(#27051),#11301,.T.); #336477=ADVANCED_FACE('',(#27052),#11302,.T.); #336478=ADVANCED_FACE('',(#27053),#11303,.T.); #336479=ADVANCED_FACE('',(#27054),#11304,.T.); #336480=ADVANCED_FACE('',(#27055),#11305,.T.); #336481=ADVANCED_FACE('',(#27056),#11306,.T.); #336482=ADVANCED_FACE('',(#27057),#11307,.T.); #336483=ADVANCED_FACE('',(#27058),#11308,.T.); #336484=ADVANCED_FACE('',(#27059),#11309,.T.); #336485=ADVANCED_FACE('',(#27060),#11310,.T.); #336486=ADVANCED_FACE('',(#27061),#11311,.T.); #336487=ADVANCED_FACE('',(#27062),#11312,.T.); #336488=ADVANCED_FACE('',(#27063),#11313,.T.); #336489=ADVANCED_FACE('',(#27064),#11314,.T.); #336490=ADVANCED_FACE('',(#27065),#11315,.T.); #336491=ADVANCED_FACE('',(#27066),#11316,.T.); #336492=ADVANCED_FACE('',(#27067),#11317,.T.); #336493=ADVANCED_FACE('',(#27068),#11318,.T.); #336494=ADVANCED_FACE('',(#27069),#11319,.T.); #336495=ADVANCED_FACE('',(#27070),#11320,.T.); #336496=ADVANCED_FACE('',(#27071),#11321,.T.); #336497=ADVANCED_FACE('',(#27072),#11322,.T.); #336498=ADVANCED_FACE('',(#27073),#11323,.T.); #336499=ADVANCED_FACE('',(#27074),#11324,.T.); #336500=ADVANCED_FACE('',(#27075),#11325,.T.); #336501=ADVANCED_FACE('',(#27076),#11326,.T.); #336502=ADVANCED_FACE('',(#27077),#11327,.T.); #336503=ADVANCED_FACE('',(#27078),#11328,.T.); #336504=ADVANCED_FACE('',(#27079),#11329,.T.); #336505=ADVANCED_FACE('',(#27080),#11330,.T.); #336506=ADVANCED_FACE('',(#27081),#11331,.T.); #336507=ADVANCED_FACE('',(#27082),#11332,.T.); #336508=ADVANCED_FACE('',(#27083),#11333,.T.); #336509=ADVANCED_FACE('',(#27084),#11334,.T.); #336510=ADVANCED_FACE('',(#27085),#11335,.T.); #336511=ADVANCED_FACE('',(#27086),#11336,.T.); #336512=ADVANCED_FACE('',(#27087),#11337,.T.); #336513=ADVANCED_FACE('',(#27088),#11338,.T.); #336514=ADVANCED_FACE('',(#27089),#11339,.T.); #336515=ADVANCED_FACE('',(#27090),#11340,.T.); #336516=ADVANCED_FACE('',(#27091),#11341,.T.); #336517=ADVANCED_FACE('',(#27092),#11342,.T.); #336518=ADVANCED_FACE('',(#27093),#11343,.T.); #336519=ADVANCED_FACE('',(#27094),#11344,.T.); #336520=ADVANCED_FACE('',(#27095),#11345,.T.); #336521=ADVANCED_FACE('',(#27096),#11346,.T.); #336522=ADVANCED_FACE('',(#27097),#11347,.T.); #336523=ADVANCED_FACE('',(#27098),#11348,.T.); #336524=ADVANCED_FACE('',(#27099),#11349,.T.); #336525=ADVANCED_FACE('',(#27100),#11350,.T.); #336526=ADVANCED_FACE('',(#27101),#11351,.T.); #336527=ADVANCED_FACE('',(#27102),#11352,.T.); #336528=ADVANCED_FACE('',(#27103),#11353,.T.); #336529=ADVANCED_FACE('',(#27104),#11354,.T.); #336530=ADVANCED_FACE('',(#27105),#11355,.T.); #336531=ADVANCED_FACE('',(#27106),#11356,.T.); #336532=ADVANCED_FACE('',(#27107),#11357,.T.); #336533=ADVANCED_FACE('',(#27108),#11358,.T.); #336534=ADVANCED_FACE('',(#27109),#11359,.T.); #336535=ADVANCED_FACE('',(#27110),#11360,.T.); #336536=ADVANCED_FACE('',(#27111),#11361,.T.); #336537=ADVANCED_FACE('',(#27112),#11362,.T.); #336538=ADVANCED_FACE('',(#27113),#11363,.T.); #336539=ADVANCED_FACE('',(#27114),#11364,.T.); #336540=ADVANCED_FACE('',(#27115),#11365,.T.); #336541=ADVANCED_FACE('',(#27116),#11366,.T.); #336542=ADVANCED_FACE('',(#27117),#11367,.T.); #336543=ADVANCED_FACE('',(#27118),#11368,.T.); #336544=ADVANCED_FACE('',(#27119),#11369,.T.); #336545=ADVANCED_FACE('',(#27120),#11370,.T.); #336546=ADVANCED_FACE('',(#27121),#11371,.T.); #336547=ADVANCED_FACE('',(#27122),#11372,.T.); #336548=ADVANCED_FACE('',(#27123),#11373,.T.); #336549=ADVANCED_FACE('',(#27124),#11374,.T.); #336550=ADVANCED_FACE('',(#27125),#11375,.T.); #336551=ADVANCED_FACE('',(#27126),#11376,.T.); #336552=ADVANCED_FACE('',(#27127),#11377,.T.); #336553=ADVANCED_FACE('',(#27128),#11378,.T.); #336554=ADVANCED_FACE('',(#27129),#11379,.T.); #336555=ADVANCED_FACE('',(#27130),#11380,.T.); #336556=ADVANCED_FACE('',(#27131),#11381,.T.); #336557=ADVANCED_FACE('',(#27132),#11382,.T.); #336558=ADVANCED_FACE('',(#27133),#11383,.T.); #336559=ADVANCED_FACE('',(#27134),#11384,.T.); #336560=ADVANCED_FACE('',(#27135),#11385,.T.); #336561=ADVANCED_FACE('',(#27136),#11386,.T.); #336562=ADVANCED_FACE('',(#27137),#11387,.T.); #336563=ADVANCED_FACE('',(#27138),#11388,.T.); #336564=ADVANCED_FACE('',(#27139),#11389,.T.); #336565=ADVANCED_FACE('',(#27140),#11390,.T.); #336566=ADVANCED_FACE('',(#27141),#11391,.T.); #336567=ADVANCED_FACE('',(#27142),#11392,.T.); #336568=ADVANCED_FACE('',(#27143),#11393,.T.); #336569=ADVANCED_FACE('',(#27144),#11394,.T.); #336570=ADVANCED_FACE('',(#27145),#11395,.T.); #336571=ADVANCED_FACE('',(#27146),#11396,.T.); #336572=ADVANCED_FACE('',(#27147),#11397,.T.); #336573=ADVANCED_FACE('',(#27148),#11398,.T.); #336574=ADVANCED_FACE('',(#27149),#11399,.T.); #336575=ADVANCED_FACE('',(#27150),#11400,.T.); #336576=ADVANCED_FACE('',(#27151),#11401,.T.); #336577=ADVANCED_FACE('',(#27152),#11402,.T.); #336578=ADVANCED_FACE('',(#27153),#11403,.T.); #336579=ADVANCED_FACE('',(#27154),#11404,.T.); #336580=ADVANCED_FACE('',(#27155),#11405,.T.); #336581=ADVANCED_FACE('',(#27156),#11406,.T.); #336582=ADVANCED_FACE('',(#27157),#11407,.T.); #336583=ADVANCED_FACE('',(#27158),#11408,.T.); #336584=ADVANCED_FACE('',(#27159),#11409,.T.); #336585=ADVANCED_FACE('',(#27160),#11410,.T.); #336586=ADVANCED_FACE('',(#27161),#11411,.T.); #336587=ADVANCED_FACE('',(#27162),#11412,.T.); #336588=ADVANCED_FACE('',(#27163),#11413,.T.); #336589=ADVANCED_FACE('',(#27164),#11414,.T.); #336590=ADVANCED_FACE('',(#27165),#11415,.T.); #336591=ADVANCED_FACE('',(#27166),#11416,.T.); #336592=ADVANCED_FACE('',(#27167),#11417,.T.); #336593=ADVANCED_FACE('',(#27168),#11418,.T.); #336594=ADVANCED_FACE('',(#27169),#11419,.T.); #336595=ADVANCED_FACE('',(#27170),#11420,.T.); #336596=ADVANCED_FACE('',(#27171),#11421,.T.); #336597=ADVANCED_FACE('',(#27172),#11422,.T.); #336598=ADVANCED_FACE('',(#27173),#11423,.T.); #336599=ADVANCED_FACE('',(#27174),#11424,.T.); #336600=ADVANCED_FACE('',(#27175),#11425,.T.); #336601=ADVANCED_FACE('',(#27176),#11426,.T.); #336602=ADVANCED_FACE('',(#27177),#11427,.T.); #336603=ADVANCED_FACE('',(#27178),#11428,.T.); #336604=ADVANCED_FACE('',(#27179),#11429,.T.); #336605=ADVANCED_FACE('',(#27180),#11430,.T.); #336606=ADVANCED_FACE('',(#27181),#11431,.T.); #336607=ADVANCED_FACE('',(#27182),#11432,.T.); #336608=ADVANCED_FACE('',(#27183),#11433,.T.); #336609=ADVANCED_FACE('',(#27184),#11434,.T.); #336610=ADVANCED_FACE('',(#27185),#11435,.T.); #336611=ADVANCED_FACE('',(#27186),#11436,.T.); #336612=ADVANCED_FACE('',(#27187),#11437,.T.); #336613=ADVANCED_FACE('',(#27188),#11438,.T.); #336614=ADVANCED_FACE('',(#27189),#11439,.T.); #336615=ADVANCED_FACE('',(#27190),#11440,.T.); #336616=ADVANCED_FACE('',(#27191),#11441,.T.); #336617=ADVANCED_FACE('',(#27192),#11442,.T.); #336618=ADVANCED_FACE('',(#27193),#11443,.T.); #336619=ADVANCED_FACE('',(#27194),#11444,.T.); #336620=ADVANCED_FACE('',(#27195),#11445,.T.); #336621=ADVANCED_FACE('',(#27196),#11446,.T.); #336622=ADVANCED_FACE('',(#27197),#11447,.T.); #336623=ADVANCED_FACE('',(#27198),#11448,.T.); #336624=ADVANCED_FACE('',(#27199),#11449,.T.); #336625=ADVANCED_FACE('',(#27200),#11450,.T.); #336626=ADVANCED_FACE('',(#27201),#11451,.T.); #336627=ADVANCED_FACE('',(#27202),#11452,.T.); #336628=ADVANCED_FACE('',(#27203),#11453,.T.); #336629=ADVANCED_FACE('',(#27204),#11454,.T.); #336630=ADVANCED_FACE('',(#27205),#11455,.T.); #336631=ADVANCED_FACE('',(#27206),#11456,.T.); #336632=ADVANCED_FACE('',(#27207),#11457,.T.); #336633=ADVANCED_FACE('',(#27208),#11458,.T.); #336634=ADVANCED_FACE('',(#27209),#11459,.T.); #336635=ADVANCED_FACE('',(#27210),#11460,.T.); #336636=ADVANCED_FACE('',(#27211),#11461,.T.); #336637=ADVANCED_FACE('',(#27212),#11462,.T.); #336638=ADVANCED_FACE('',(#27213),#11463,.T.); #336639=ADVANCED_FACE('',(#27214),#11464,.T.); #336640=ADVANCED_FACE('',(#27215),#11465,.T.); #336641=ADVANCED_FACE('',(#27216),#11466,.T.); #336642=ADVANCED_FACE('',(#27217),#11467,.T.); #336643=ADVANCED_FACE('',(#27218),#11468,.T.); #336644=ADVANCED_FACE('',(#27219),#11469,.T.); #336645=ADVANCED_FACE('',(#27220),#11470,.T.); #336646=ADVANCED_FACE('',(#27221),#11471,.T.); #336647=ADVANCED_FACE('',(#27222),#11472,.T.); #336648=ADVANCED_FACE('',(#27223),#11473,.T.); #336649=ADVANCED_FACE('',(#27224),#11474,.T.); #336650=ADVANCED_FACE('',(#27225),#11475,.T.); #336651=ADVANCED_FACE('',(#27226),#11476,.T.); #336652=ADVANCED_FACE('',(#27227),#11477,.T.); #336653=ADVANCED_FACE('',(#27228),#11478,.T.); #336654=ADVANCED_FACE('',(#27229),#11479,.T.); #336655=ADVANCED_FACE('',(#27230),#11480,.T.); #336656=ADVANCED_FACE('',(#27231),#11481,.T.); #336657=ADVANCED_FACE('',(#27232),#11482,.T.); #336658=ADVANCED_FACE('',(#27233),#11483,.T.); #336659=ADVANCED_FACE('',(#27234),#11484,.T.); #336660=ADVANCED_FACE('',(#27235),#11485,.T.); #336661=ADVANCED_FACE('',(#27236),#11486,.T.); #336662=ADVANCED_FACE('',(#27237),#11487,.T.); #336663=ADVANCED_FACE('',(#27238),#11488,.T.); #336664=ADVANCED_FACE('',(#27239),#11489,.T.); #336665=ADVANCED_FACE('',(#27240),#11490,.T.); #336666=ADVANCED_FACE('',(#27241),#11491,.T.); #336667=ADVANCED_FACE('',(#27242),#11492,.T.); #336668=ADVANCED_FACE('',(#27243),#11493,.T.); #336669=ADVANCED_FACE('',(#27244),#11494,.T.); #336670=ADVANCED_FACE('',(#27245),#11495,.T.); #336671=ADVANCED_FACE('',(#27246),#11496,.T.); #336672=ADVANCED_FACE('',(#27247),#11497,.T.); #336673=ADVANCED_FACE('',(#27248),#11498,.T.); #336674=ADVANCED_FACE('',(#27249),#11499,.T.); #336675=ADVANCED_FACE('',(#27250),#11500,.T.); #336676=ADVANCED_FACE('',(#27251),#11501,.T.); #336677=ADVANCED_FACE('',(#27252),#11502,.T.); #336678=ADVANCED_FACE('',(#27253),#11503,.T.); #336679=ADVANCED_FACE('',(#27254),#11504,.T.); #336680=ADVANCED_FACE('',(#27255),#11505,.T.); #336681=ADVANCED_FACE('',(#27256),#11506,.T.); #336682=ADVANCED_FACE('',(#27257),#11507,.T.); #336683=ADVANCED_FACE('',(#27258),#11508,.T.); #336684=ADVANCED_FACE('',(#27259),#11509,.T.); #336685=ADVANCED_FACE('',(#27260),#11510,.T.); #336686=ADVANCED_FACE('',(#27261),#11511,.T.); #336687=ADVANCED_FACE('',(#27262),#11512,.T.); #336688=ADVANCED_FACE('',(#27263),#11513,.T.); #336689=ADVANCED_FACE('',(#27264),#11514,.T.); #336690=ADVANCED_FACE('',(#27265),#11515,.T.); #336691=ADVANCED_FACE('',(#27266),#11516,.T.); #336692=ADVANCED_FACE('',(#27267),#11517,.T.); #336693=ADVANCED_FACE('',(#27268),#11518,.T.); #336694=ADVANCED_FACE('',(#27269),#11519,.T.); #336695=ADVANCED_FACE('',(#27270),#11520,.T.); #336696=ADVANCED_FACE('',(#27271),#11521,.T.); #336697=ADVANCED_FACE('',(#27272),#11522,.T.); #336698=ADVANCED_FACE('',(#27273),#11523,.T.); #336699=ADVANCED_FACE('',(#27274),#11524,.T.); #336700=ADVANCED_FACE('',(#27275),#11525,.T.); #336701=ADVANCED_FACE('',(#27276),#11526,.T.); #336702=ADVANCED_FACE('',(#27277),#11527,.T.); #336703=ADVANCED_FACE('',(#27278),#11528,.T.); #336704=ADVANCED_FACE('',(#27279),#11529,.T.); #336705=ADVANCED_FACE('',(#27280),#11530,.T.); #336706=ADVANCED_FACE('',(#27281),#11531,.T.); #336707=ADVANCED_FACE('',(#27282),#11532,.T.); #336708=ADVANCED_FACE('',(#27283),#11533,.T.); #336709=ADVANCED_FACE('',(#27284),#11534,.T.); #336710=ADVANCED_FACE('',(#27285),#11535,.T.); #336711=ADVANCED_FACE('',(#27286),#11536,.T.); #336712=ADVANCED_FACE('',(#27287),#11537,.T.); #336713=ADVANCED_FACE('',(#27288),#11538,.T.); #336714=ADVANCED_FACE('',(#27289),#11539,.T.); #336715=ADVANCED_FACE('',(#27290),#11540,.T.); #336716=ADVANCED_FACE('',(#27291),#11541,.T.); #336717=ADVANCED_FACE('',(#27292),#11542,.T.); #336718=ADVANCED_FACE('',(#27293),#11543,.T.); #336719=ADVANCED_FACE('',(#27294),#11544,.T.); #336720=ADVANCED_FACE('',(#27295),#11545,.T.); #336721=ADVANCED_FACE('',(#27296),#11546,.T.); #336722=ADVANCED_FACE('',(#27297),#11547,.T.); #336723=ADVANCED_FACE('',(#27298),#11548,.T.); #336724=ADVANCED_FACE('',(#27299),#11549,.T.); #336725=ADVANCED_FACE('',(#27300),#11550,.T.); #336726=ADVANCED_FACE('',(#27301),#11551,.T.); #336727=ADVANCED_FACE('',(#27302),#11552,.T.); #336728=ADVANCED_FACE('',(#27303),#11553,.T.); #336729=ADVANCED_FACE('',(#27304),#11554,.T.); #336730=ADVANCED_FACE('',(#27305),#11555,.T.); #336731=ADVANCED_FACE('',(#27306),#11556,.T.); #336732=ADVANCED_FACE('',(#27307),#11557,.T.); #336733=ADVANCED_FACE('',(#27308),#11558,.T.); #336734=ADVANCED_FACE('',(#27309),#11559,.T.); #336735=ADVANCED_FACE('',(#27310),#11560,.T.); #336736=ADVANCED_FACE('',(#27311),#11561,.T.); #336737=ADVANCED_FACE('',(#27312),#11562,.T.); #336738=ADVANCED_FACE('',(#27313),#11563,.T.); #336739=ADVANCED_FACE('',(#27314),#11564,.T.); #336740=ADVANCED_FACE('',(#27315),#11565,.T.); #336741=ADVANCED_FACE('',(#27316),#11566,.T.); #336742=ADVANCED_FACE('',(#27317),#11567,.T.); #336743=ADVANCED_FACE('',(#27318),#11568,.T.); #336744=ADVANCED_FACE('',(#27319),#11569,.T.); #336745=ADVANCED_FACE('',(#27320),#11570,.T.); #336746=ADVANCED_FACE('',(#27321),#11571,.T.); #336747=ADVANCED_FACE('',(#27322),#11572,.T.); #336748=ADVANCED_FACE('',(#27323),#11573,.T.); #336749=ADVANCED_FACE('',(#27324),#11574,.T.); #336750=ADVANCED_FACE('',(#27325),#11575,.T.); #336751=ADVANCED_FACE('',(#27326),#11576,.T.); #336752=ADVANCED_FACE('',(#27327),#11577,.T.); #336753=ADVANCED_FACE('',(#27328),#11578,.T.); #336754=ADVANCED_FACE('',(#27329),#11579,.T.); #336755=ADVANCED_FACE('',(#27330),#11580,.T.); #336756=ADVANCED_FACE('',(#27331),#11581,.T.); #336757=ADVANCED_FACE('',(#27332),#11582,.T.); #336758=ADVANCED_FACE('',(#27333),#11583,.T.); #336759=ADVANCED_FACE('',(#27334),#11584,.T.); #336760=ADVANCED_FACE('',(#27335),#11585,.T.); #336761=ADVANCED_FACE('',(#27336),#11586,.T.); #336762=ADVANCED_FACE('',(#27337),#11587,.T.); #336763=ADVANCED_FACE('',(#27338),#11588,.T.); #336764=ADVANCED_FACE('',(#27339),#11589,.T.); #336765=ADVANCED_FACE('',(#27340),#11590,.T.); #336766=ADVANCED_FACE('',(#27341),#11591,.T.); #336767=ADVANCED_FACE('',(#27342),#11592,.T.); #336768=ADVANCED_FACE('',(#27343),#11593,.T.); #336769=ADVANCED_FACE('',(#27344),#11594,.T.); #336770=ADVANCED_FACE('',(#27345),#11595,.T.); #336771=ADVANCED_FACE('',(#27346),#11596,.T.); #336772=ADVANCED_FACE('',(#27347),#11597,.T.); #336773=ADVANCED_FACE('',(#27348),#11598,.T.); #336774=ADVANCED_FACE('',(#27349),#11599,.T.); #336775=ADVANCED_FACE('',(#27350),#11600,.T.); #336776=ADVANCED_FACE('',(#27351),#11601,.T.); #336777=ADVANCED_FACE('',(#27352),#11602,.T.); #336778=ADVANCED_FACE('',(#27353),#11603,.T.); #336779=ADVANCED_FACE('',(#27354),#11604,.T.); #336780=ADVANCED_FACE('',(#27355),#11605,.T.); #336781=ADVANCED_FACE('',(#27356),#11606,.T.); #336782=ADVANCED_FACE('',(#27357),#11607,.T.); #336783=ADVANCED_FACE('',(#27358),#11608,.T.); #336784=ADVANCED_FACE('',(#27359),#11609,.T.); #336785=ADVANCED_FACE('',(#27360),#11610,.T.); #336786=ADVANCED_FACE('',(#27361),#11611,.T.); #336787=ADVANCED_FACE('',(#27362),#11612,.T.); #336788=ADVANCED_FACE('',(#27363),#11613,.T.); #336789=ADVANCED_FACE('',(#27364),#11614,.T.); #336790=ADVANCED_FACE('',(#27365),#11615,.T.); #336791=ADVANCED_FACE('',(#27366),#11616,.T.); #336792=ADVANCED_FACE('',(#27367),#11617,.T.); #336793=ADVANCED_FACE('',(#27368),#11618,.T.); #336794=ADVANCED_FACE('',(#27369),#11619,.T.); #336795=ADVANCED_FACE('',(#27370),#11620,.T.); #336796=ADVANCED_FACE('',(#27371),#11621,.T.); #336797=ADVANCED_FACE('',(#27372),#11622,.T.); #336798=ADVANCED_FACE('',(#27373),#11623,.T.); #336799=ADVANCED_FACE('',(#27374),#11624,.T.); #336800=ADVANCED_FACE('',(#27375),#11625,.T.); #336801=ADVANCED_FACE('',(#27376),#11626,.T.); #336802=ADVANCED_FACE('',(#27377),#11627,.T.); #336803=ADVANCED_FACE('',(#27378),#11628,.T.); #336804=ADVANCED_FACE('',(#27379),#11629,.T.); #336805=ADVANCED_FACE('',(#27380),#11630,.T.); #336806=ADVANCED_FACE('',(#27381),#11631,.T.); #336807=ADVANCED_FACE('',(#27382),#11632,.T.); #336808=ADVANCED_FACE('',(#27383),#11633,.T.); #336809=ADVANCED_FACE('',(#27384),#11634,.T.); #336810=ADVANCED_FACE('',(#27385),#11635,.T.); #336811=ADVANCED_FACE('',(#27386),#11636,.T.); #336812=ADVANCED_FACE('',(#27387),#11637,.T.); #336813=ADVANCED_FACE('',(#27388),#11638,.T.); #336814=ADVANCED_FACE('',(#27389),#11639,.T.); #336815=ADVANCED_FACE('',(#27390),#11640,.T.); #336816=ADVANCED_FACE('',(#27391),#11641,.T.); #336817=ADVANCED_FACE('',(#27392),#11642,.T.); #336818=ADVANCED_FACE('',(#27393),#11643,.T.); #336819=ADVANCED_FACE('',(#27394),#11644,.T.); #336820=ADVANCED_FACE('',(#27395),#11645,.T.); #336821=ADVANCED_FACE('',(#27396),#11646,.T.); #336822=ADVANCED_FACE('',(#27397),#11647,.T.); #336823=ADVANCED_FACE('',(#27398),#11648,.T.); #336824=ADVANCED_FACE('',(#27399),#11649,.T.); #336825=ADVANCED_FACE('',(#27400),#11650,.T.); #336826=ADVANCED_FACE('',(#27401),#11651,.T.); #336827=ADVANCED_FACE('',(#27402),#11652,.T.); #336828=ADVANCED_FACE('',(#27403),#11653,.T.); #336829=ADVANCED_FACE('',(#27404),#11654,.T.); #336830=ADVANCED_FACE('',(#27405),#11655,.T.); #336831=ADVANCED_FACE('',(#27406),#11656,.T.); #336832=ADVANCED_FACE('',(#27407),#11657,.T.); #336833=ADVANCED_FACE('',(#27408),#11658,.T.); #336834=ADVANCED_FACE('',(#27409),#11659,.T.); #336835=ADVANCED_FACE('',(#27410),#11660,.T.); #336836=ADVANCED_FACE('',(#27411),#11661,.T.); #336837=ADVANCED_FACE('',(#27412),#11662,.T.); #336838=ADVANCED_FACE('',(#27413),#11663,.T.); #336839=ADVANCED_FACE('',(#27414),#11664,.T.); #336840=ADVANCED_FACE('',(#27415),#11665,.T.); #336841=ADVANCED_FACE('',(#27416),#11666,.T.); #336842=ADVANCED_FACE('',(#27417),#11667,.T.); #336843=ADVANCED_FACE('',(#27418),#11668,.T.); #336844=ADVANCED_FACE('',(#27419),#11669,.T.); #336845=ADVANCED_FACE('',(#27420),#11670,.T.); #336846=ADVANCED_FACE('',(#27421),#11671,.T.); #336847=ADVANCED_FACE('',(#27422),#11672,.T.); #336848=ADVANCED_FACE('',(#27423),#11673,.T.); #336849=ADVANCED_FACE('',(#27424),#11674,.T.); #336850=ADVANCED_FACE('',(#27425),#11675,.T.); #336851=ADVANCED_FACE('',(#27426),#11676,.T.); #336852=ADVANCED_FACE('',(#27427),#11677,.T.); #336853=ADVANCED_FACE('',(#27428),#11678,.T.); #336854=ADVANCED_FACE('',(#27429),#11679,.T.); #336855=ADVANCED_FACE('',(#27430),#11680,.T.); #336856=ADVANCED_FACE('',(#27431),#11681,.T.); #336857=ADVANCED_FACE('',(#27432),#11682,.T.); #336858=ADVANCED_FACE('',(#27433),#11683,.T.); #336859=ADVANCED_FACE('',(#27434),#11684,.T.); #336860=ADVANCED_FACE('',(#27435),#11685,.T.); #336861=ADVANCED_FACE('',(#27436),#11686,.T.); #336862=ADVANCED_FACE('',(#27437),#11687,.T.); #336863=ADVANCED_FACE('',(#27438),#11688,.T.); #336864=ADVANCED_FACE('',(#27439),#11689,.T.); #336865=ADVANCED_FACE('',(#27440),#11690,.T.); #336866=ADVANCED_FACE('',(#27441),#11691,.T.); #336867=ADVANCED_FACE('',(#27442),#11692,.T.); #336868=ADVANCED_FACE('',(#27443),#11693,.T.); #336869=ADVANCED_FACE('',(#27444),#11694,.T.); #336870=ADVANCED_FACE('',(#27445),#11695,.T.); #336871=ADVANCED_FACE('',(#27446),#11696,.T.); #336872=ADVANCED_FACE('',(#27447),#11697,.T.); #336873=ADVANCED_FACE('',(#27448),#11698,.T.); #336874=ADVANCED_FACE('',(#27449),#11699,.T.); #336875=ADVANCED_FACE('',(#27450),#11700,.T.); #336876=ADVANCED_FACE('',(#27451),#11701,.T.); #336877=ADVANCED_FACE('',(#27452),#11702,.T.); #336878=ADVANCED_FACE('',(#27453),#11703,.T.); #336879=ADVANCED_FACE('',(#27454),#11704,.T.); #336880=ADVANCED_FACE('',(#27455),#11705,.T.); #336881=ADVANCED_FACE('',(#27456),#11706,.T.); #336882=ADVANCED_FACE('',(#27457),#11707,.T.); #336883=ADVANCED_FACE('',(#27458),#11708,.T.); #336884=ADVANCED_FACE('',(#27459),#11709,.T.); #336885=ADVANCED_FACE('',(#27460),#11710,.T.); #336886=ADVANCED_FACE('',(#27461),#11711,.T.); #336887=ADVANCED_FACE('',(#27462),#11712,.T.); #336888=ADVANCED_FACE('',(#27463),#11713,.T.); #336889=ADVANCED_FACE('',(#27464),#11714,.T.); #336890=ADVANCED_FACE('',(#27465),#11715,.T.); #336891=ADVANCED_FACE('',(#27466),#11716,.T.); #336892=ADVANCED_FACE('',(#27467),#11717,.T.); #336893=ADVANCED_FACE('',(#27468),#11718,.T.); #336894=ADVANCED_FACE('',(#27469),#11719,.T.); #336895=ADVANCED_FACE('',(#27470),#11720,.T.); #336896=ADVANCED_FACE('',(#27471),#11721,.T.); #336897=ADVANCED_FACE('',(#27472),#11722,.T.); #336898=ADVANCED_FACE('',(#27473),#11723,.T.); #336899=ADVANCED_FACE('',(#27474),#11724,.T.); #336900=ADVANCED_FACE('',(#27475),#11725,.T.); #336901=ADVANCED_FACE('',(#27476),#11726,.T.); #336902=ADVANCED_FACE('',(#27477),#11727,.T.); #336903=ADVANCED_FACE('',(#27478),#11728,.T.); #336904=ADVANCED_FACE('',(#27479),#11729,.T.); #336905=ADVANCED_FACE('',(#27480),#11730,.T.); #336906=ADVANCED_FACE('',(#27481),#11731,.T.); #336907=ADVANCED_FACE('',(#27482),#11732,.T.); #336908=ADVANCED_FACE('',(#27483),#11733,.T.); #336909=ADVANCED_FACE('',(#27484),#11734,.T.); #336910=ADVANCED_FACE('',(#27485),#11735,.T.); #336911=ADVANCED_FACE('',(#27486),#11736,.T.); #336912=ADVANCED_FACE('',(#27487),#11737,.T.); #336913=ADVANCED_FACE('',(#27488),#11738,.T.); #336914=ADVANCED_FACE('',(#27489),#11739,.T.); #336915=ADVANCED_FACE('',(#27490),#11740,.T.); #336916=ADVANCED_FACE('',(#27491),#11741,.T.); #336917=ADVANCED_FACE('',(#27492),#11742,.T.); #336918=ADVANCED_FACE('',(#27493),#11743,.T.); #336919=ADVANCED_FACE('',(#27494),#11744,.T.); #336920=ADVANCED_FACE('',(#27495),#11745,.T.); #336921=ADVANCED_FACE('',(#27496),#11746,.T.); #336922=ADVANCED_FACE('',(#27497),#11747,.T.); #336923=ADVANCED_FACE('',(#27498),#11748,.T.); #336924=ADVANCED_FACE('',(#27499),#11749,.T.); #336925=ADVANCED_FACE('',(#27500),#11750,.T.); #336926=ADVANCED_FACE('',(#27501),#11751,.T.); #336927=ADVANCED_FACE('',(#27502),#11752,.T.); #336928=ADVANCED_FACE('',(#27503),#11753,.T.); #336929=ADVANCED_FACE('',(#27504),#11754,.T.); #336930=ADVANCED_FACE('',(#27505),#11755,.T.); #336931=ADVANCED_FACE('',(#27506),#11756,.T.); #336932=ADVANCED_FACE('',(#27507),#11757,.T.); #336933=ADVANCED_FACE('',(#27508),#11758,.T.); #336934=ADVANCED_FACE('',(#27509),#11759,.T.); #336935=ADVANCED_FACE('',(#27510),#11760,.T.); #336936=ADVANCED_FACE('',(#27511),#11761,.T.); #336937=ADVANCED_FACE('',(#27512),#11762,.T.); #336938=ADVANCED_FACE('',(#27513),#11763,.T.); #336939=ADVANCED_FACE('',(#27514),#11764,.T.); #336940=ADVANCED_FACE('',(#27515),#11765,.T.); #336941=ADVANCED_FACE('',(#27516),#11766,.T.); #336942=ADVANCED_FACE('',(#27517),#11767,.T.); #336943=ADVANCED_FACE('',(#27518),#11768,.T.); #336944=ADVANCED_FACE('',(#27519),#11769,.T.); #336945=ADVANCED_FACE('',(#27520),#11770,.T.); #336946=ADVANCED_FACE('',(#27521),#11771,.T.); #336947=ADVANCED_FACE('',(#27522),#11772,.T.); #336948=ADVANCED_FACE('',(#27523),#11773,.T.); #336949=ADVANCED_FACE('',(#27524),#11774,.T.); #336950=ADVANCED_FACE('',(#27525),#11775,.T.); #336951=ADVANCED_FACE('',(#27526),#11776,.T.); #336952=ADVANCED_FACE('',(#27527),#11777,.T.); #336953=ADVANCED_FACE('',(#27528),#11778,.T.); #336954=ADVANCED_FACE('',(#27529),#11779,.T.); #336955=ADVANCED_FACE('',(#27530),#11780,.T.); #336956=ADVANCED_FACE('',(#27531),#11781,.T.); #336957=ADVANCED_FACE('',(#27532),#11782,.T.); #336958=ADVANCED_FACE('',(#27533),#11783,.T.); #336959=ADVANCED_FACE('',(#27534),#11784,.T.); #336960=ADVANCED_FACE('',(#27535),#11785,.T.); #336961=ADVANCED_FACE('',(#27536),#11786,.T.); #336962=ADVANCED_FACE('',(#27537),#11787,.T.); #336963=ADVANCED_FACE('',(#27538),#11788,.T.); #336964=ADVANCED_FACE('',(#27539),#11789,.T.); #336965=ADVANCED_FACE('',(#27540),#11790,.T.); #336966=ADVANCED_FACE('',(#27541),#11791,.T.); #336967=ADVANCED_FACE('',(#27542),#11792,.T.); #336968=ADVANCED_FACE('',(#27543),#11793,.T.); #336969=ADVANCED_FACE('',(#27544),#11794,.T.); #336970=ADVANCED_FACE('',(#27545),#11795,.T.); #336971=ADVANCED_FACE('',(#27546),#11796,.T.); #336972=ADVANCED_FACE('',(#27547),#11797,.T.); #336973=ADVANCED_FACE('',(#27548),#11798,.T.); #336974=ADVANCED_FACE('',(#27549),#11799,.T.); #336975=ADVANCED_FACE('',(#27550),#11800,.T.); #336976=ADVANCED_FACE('',(#27551),#11801,.T.); #336977=ADVANCED_FACE('',(#27552),#11802,.T.); #336978=ADVANCED_FACE('',(#27553),#11803,.T.); #336979=ADVANCED_FACE('',(#27554),#11804,.T.); #336980=ADVANCED_FACE('',(#27555),#11805,.T.); #336981=ADVANCED_FACE('',(#27556),#11806,.T.); #336982=ADVANCED_FACE('',(#27557),#11807,.T.); #336983=ADVANCED_FACE('',(#27558),#11808,.T.); #336984=ADVANCED_FACE('',(#27559),#11809,.T.); #336985=ADVANCED_FACE('',(#27560),#11810,.T.); #336986=ADVANCED_FACE('',(#27561),#11811,.T.); #336987=ADVANCED_FACE('',(#27562),#11812,.T.); #336988=ADVANCED_FACE('',(#27563),#11813,.T.); #336989=ADVANCED_FACE('',(#27564),#11814,.T.); #336990=ADVANCED_FACE('',(#27565),#11815,.T.); #336991=ADVANCED_FACE('',(#27566),#11816,.T.); #336992=ADVANCED_FACE('',(#27567),#11817,.T.); #336993=ADVANCED_FACE('',(#27568),#11818,.T.); #336994=ADVANCED_FACE('',(#27569),#11819,.T.); #336995=ADVANCED_FACE('',(#27570),#11820,.T.); #336996=ADVANCED_FACE('',(#27571),#11821,.T.); #336997=ADVANCED_FACE('',(#27572),#11822,.T.); #336998=ADVANCED_FACE('',(#27573),#11823,.T.); #336999=ADVANCED_FACE('',(#27574),#11824,.T.); #337000=ADVANCED_FACE('',(#27575),#11825,.T.); #337001=ADVANCED_FACE('',(#27576),#11826,.T.); #337002=ADVANCED_FACE('',(#27577),#11827,.T.); #337003=ADVANCED_FACE('',(#27578),#11828,.T.); #337004=ADVANCED_FACE('',(#27579),#11829,.T.); #337005=ADVANCED_FACE('',(#27580),#11830,.T.); #337006=ADVANCED_FACE('',(#27581),#11831,.T.); #337007=ADVANCED_FACE('',(#27582),#11832,.T.); #337008=ADVANCED_FACE('',(#27583),#11833,.T.); #337009=ADVANCED_FACE('',(#27584),#11834,.T.); #337010=ADVANCED_FACE('',(#27585),#11835,.T.); #337011=ADVANCED_FACE('',(#27586),#11836,.T.); #337012=ADVANCED_FACE('',(#27587),#11837,.T.); #337013=ADVANCED_FACE('',(#27588),#11838,.T.); #337014=ADVANCED_FACE('',(#27589),#11839,.T.); #337015=ADVANCED_FACE('',(#27590),#11840,.T.); #337016=ADVANCED_FACE('',(#27591),#11841,.T.); #337017=ADVANCED_FACE('',(#27592),#11842,.T.); #337018=ADVANCED_FACE('',(#27593),#11843,.T.); #337019=ADVANCED_FACE('',(#27594),#11844,.T.); #337020=ADVANCED_FACE('',(#27595),#11845,.T.); #337021=ADVANCED_FACE('',(#27596),#11846,.T.); #337022=ADVANCED_FACE('',(#27597),#11847,.T.); #337023=ADVANCED_FACE('',(#27598),#11848,.T.); #337024=ADVANCED_FACE('',(#27599),#11849,.T.); #337025=ADVANCED_FACE('',(#27600),#11850,.T.); #337026=ADVANCED_FACE('',(#27601),#11851,.T.); #337027=ADVANCED_FACE('',(#27602),#11852,.T.); #337028=ADVANCED_FACE('',(#27603),#11853,.T.); #337029=ADVANCED_FACE('',(#27604),#11854,.T.); #337030=ADVANCED_FACE('',(#27605),#11855,.T.); #337031=ADVANCED_FACE('',(#27606),#11856,.T.); #337032=ADVANCED_FACE('',(#27607),#11857,.T.); #337033=ADVANCED_FACE('',(#27608),#11858,.T.); #337034=ADVANCED_FACE('',(#27609),#11859,.T.); #337035=ADVANCED_FACE('',(#27610),#11860,.T.); #337036=ADVANCED_FACE('',(#27611),#11861,.T.); #337037=ADVANCED_FACE('',(#27612),#11862,.T.); #337038=ADVANCED_FACE('',(#27613),#11863,.T.); #337039=ADVANCED_FACE('',(#27614),#11864,.T.); #337040=ADVANCED_FACE('',(#27615),#11865,.T.); #337041=ADVANCED_FACE('',(#27616),#11866,.T.); #337042=ADVANCED_FACE('',(#27617),#11867,.T.); #337043=ADVANCED_FACE('',(#27618),#11868,.T.); #337044=ADVANCED_FACE('',(#27619),#11869,.T.); #337045=ADVANCED_FACE('',(#27620),#11870,.T.); #337046=ADVANCED_FACE('',(#27621),#11871,.T.); #337047=ADVANCED_FACE('',(#27622),#11872,.T.); #337048=ADVANCED_FACE('',(#27623),#11873,.T.); #337049=ADVANCED_FACE('',(#27624),#11874,.T.); #337050=ADVANCED_FACE('',(#27625),#11875,.T.); #337051=ADVANCED_FACE('',(#27626),#11876,.T.); #337052=ADVANCED_FACE('',(#27627),#11877,.T.); #337053=ADVANCED_FACE('',(#27628),#11878,.T.); #337054=ADVANCED_FACE('',(#27629),#11879,.T.); #337055=ADVANCED_FACE('',(#27630),#11880,.T.); #337056=ADVANCED_FACE('',(#27631),#11881,.T.); #337057=ADVANCED_FACE('',(#27632),#11882,.T.); #337058=ADVANCED_FACE('',(#27633),#11883,.T.); #337059=ADVANCED_FACE('',(#27634),#11884,.T.); #337060=ADVANCED_FACE('',(#27635,#5080,#5081,#5082,#5083,#5084,#5085,#5086, #5087,#5088,#5089,#5090,#5091,#5092,#5093,#5094,#5095,#5096,#5097,#5098, #5099,#5100,#5101,#5102,#5103,#5104,#5105,#5106,#5107,#5108,#5109,#5110, #5111,#5112,#5113,#5114,#5115,#5116,#5117,#5118,#5119,#5120,#5121,#5122, #5123,#5124,#5125,#5126,#5127,#5128,#5129,#5130,#5131,#5132,#5133,#5134, #5135,#5136,#5137,#5138,#5139,#5140,#5141,#5142,#5143,#5144,#5145,#5146, #5147,#5148,#5149),#11885,.T.); #337061=ADVANCED_FACE('',(#27636,#5150,#5151,#5152,#5153,#5154,#5155,#5156, #5157,#5158,#5159,#5160,#5161,#5162,#5163,#5164,#5165,#5166,#5167,#5168, #5169,#5170,#5171,#5172,#5173,#5174,#5175,#5176,#5177,#5178,#5179,#5180, #5181,#5182,#5183,#5184,#5185,#5186,#5187,#5188,#5189,#5190,#5191,#5192, #5193,#5194,#5195,#5196,#5197,#5198,#5199,#5200,#5201,#5202,#5203,#5204, #5205,#5206,#5207,#5208,#5209,#5210,#5211,#5212,#5213,#5214,#5215,#5216, #5217,#5218,#5219),#11886,.F.); #337062=ADVANCED_FACE('',(#27637),#11887,.T.); #337063=ADVANCED_FACE('',(#27638),#11888,.T.); #337064=ADVANCED_FACE('',(#27639),#11889,.T.); #337065=ADVANCED_FACE('',(#27640),#11890,.T.); #337066=ADVANCED_FACE('',(#27641),#11891,.T.); #337067=ADVANCED_FACE('',(#27642),#11892,.T.); #337068=ADVANCED_FACE('',(#27643),#11893,.T.); #337069=ADVANCED_FACE('',(#27644),#11894,.T.); #337070=ADVANCED_FACE('',(#27645),#11895,.T.); #337071=ADVANCED_FACE('',(#27646),#11896,.T.); #337072=ADVANCED_FACE('',(#27647),#11897,.T.); #337073=ADVANCED_FACE('',(#27648),#11898,.T.); #337074=ADVANCED_FACE('',(#27649),#11899,.T.); #337075=ADVANCED_FACE('',(#27650),#11900,.T.); #337076=ADVANCED_FACE('',(#27651),#11901,.T.); #337077=ADVANCED_FACE('',(#27652),#11902,.T.); #337078=ADVANCED_FACE('',(#27653),#11903,.T.); #337079=ADVANCED_FACE('',(#27654),#11904,.T.); #337080=ADVANCED_FACE('',(#27655),#11905,.T.); #337081=ADVANCED_FACE('',(#27656),#11906,.T.); #337082=ADVANCED_FACE('',(#27657),#11907,.T.); #337083=ADVANCED_FACE('',(#27658),#11908,.T.); #337084=ADVANCED_FACE('',(#27659),#11909,.F.); #337085=ADVANCED_FACE('',(#27660),#11910,.T.); #337086=ADVANCED_FACE('',(#27661),#11911,.T.); #337087=ADVANCED_FACE('',(#27662),#11912,.T.); #337088=ADVANCED_FACE('',(#27663),#11913,.T.); #337089=ADVANCED_FACE('',(#27664),#11914,.T.); #337090=ADVANCED_FACE('',(#27665),#11915,.T.); #337091=ADVANCED_FACE('',(#27666),#11916,.T.); #337092=ADVANCED_FACE('',(#27667),#11917,.T.); #337093=ADVANCED_FACE('',(#27668),#11918,.T.); #337094=ADVANCED_FACE('',(#27669),#11919,.T.); #337095=ADVANCED_FACE('',(#27670),#11920,.T.); #337096=ADVANCED_FACE('',(#27671),#11921,.T.); #337097=ADVANCED_FACE('',(#27672),#11922,.T.); #337098=ADVANCED_FACE('',(#27673),#11923,.T.); #337099=ADVANCED_FACE('',(#27674),#11924,.T.); #337100=ADVANCED_FACE('',(#27675),#11925,.T.); #337101=ADVANCED_FACE('',(#27676),#11926,.T.); #337102=ADVANCED_FACE('',(#27677),#11927,.T.); #337103=ADVANCED_FACE('',(#27678),#11928,.T.); #337104=ADVANCED_FACE('',(#27679),#11929,.T.); #337105=ADVANCED_FACE('',(#27680),#11930,.T.); #337106=ADVANCED_FACE('',(#27681),#11931,.T.); #337107=ADVANCED_FACE('',(#27682),#11932,.T.); #337108=ADVANCED_FACE('',(#27683),#11933,.T.); #337109=ADVANCED_FACE('',(#27684),#11934,.T.); #337110=ADVANCED_FACE('',(#27685),#11935,.T.); #337111=ADVANCED_FACE('',(#27686),#11936,.T.); #337112=ADVANCED_FACE('',(#27687),#11937,.F.); #337113=ADVANCED_FACE('',(#27688),#11938,.T.); #337114=ADVANCED_FACE('',(#27689),#11939,.T.); #337115=ADVANCED_FACE('',(#27690),#11940,.T.); #337116=ADVANCED_FACE('',(#27691),#11941,.T.); #337117=ADVANCED_FACE('',(#27692),#11942,.T.); #337118=ADVANCED_FACE('',(#27693),#11943,.T.); #337119=ADVANCED_FACE('',(#27694),#11944,.T.); #337120=ADVANCED_FACE('',(#27695),#11945,.T.); #337121=ADVANCED_FACE('',(#27696),#11946,.T.); #337122=ADVANCED_FACE('',(#27697),#11947,.T.); #337123=ADVANCED_FACE('',(#27698),#11948,.T.); #337124=ADVANCED_FACE('',(#27699),#11949,.T.); #337125=ADVANCED_FACE('',(#27700),#11950,.T.); #337126=ADVANCED_FACE('',(#27701),#11951,.T.); #337127=ADVANCED_FACE('',(#27702),#11952,.T.); #337128=ADVANCED_FACE('',(#27703),#11953,.T.); #337129=ADVANCED_FACE('',(#27704),#11954,.T.); #337130=ADVANCED_FACE('',(#27705),#11955,.T.); #337131=ADVANCED_FACE('',(#27706),#11956,.T.); #337132=ADVANCED_FACE('',(#27707),#11957,.T.); #337133=ADVANCED_FACE('',(#27708),#11958,.T.); #337134=ADVANCED_FACE('',(#27709),#11959,.F.); #337135=ADVANCED_FACE('',(#27710),#11960,.T.); #337136=ADVANCED_FACE('',(#27711),#11961,.T.); #337137=ADVANCED_FACE('',(#27712),#11962,.T.); #337138=ADVANCED_FACE('',(#27713),#11963,.T.); #337139=ADVANCED_FACE('',(#27714),#11964,.T.); #337140=ADVANCED_FACE('',(#27715),#11965,.T.); #337141=ADVANCED_FACE('',(#27716),#11966,.T.); #337142=ADVANCED_FACE('',(#27717),#11967,.T.); #337143=ADVANCED_FACE('',(#27718),#11968,.T.); #337144=ADVANCED_FACE('',(#27719),#11969,.T.); #337145=ADVANCED_FACE('',(#27720),#11970,.T.); #337146=ADVANCED_FACE('',(#27721),#11971,.T.); #337147=ADVANCED_FACE('',(#27722),#11972,.T.); #337148=ADVANCED_FACE('',(#27723),#11973,.T.); #337149=ADVANCED_FACE('',(#27724),#11974,.T.); #337150=ADVANCED_FACE('',(#27725),#11975,.T.); #337151=ADVANCED_FACE('',(#27726),#11976,.T.); #337152=ADVANCED_FACE('',(#27727),#11977,.T.); #337153=ADVANCED_FACE('',(#27728),#11978,.T.); #337154=ADVANCED_FACE('',(#27729),#11979,.T.); #337155=ADVANCED_FACE('',(#27730),#11980,.T.); #337156=ADVANCED_FACE('',(#27731),#11981,.T.); #337157=ADVANCED_FACE('',(#27732),#11982,.T.); #337158=ADVANCED_FACE('',(#27733),#11983,.T.); #337159=ADVANCED_FACE('',(#27734),#11984,.F.); #337160=ADVANCED_FACE('',(#27735),#11985,.T.); #337161=ADVANCED_FACE('',(#27736),#11986,.T.); #337162=ADVANCED_FACE('',(#27737),#11987,.T.); #337163=ADVANCED_FACE('',(#27738),#11988,.T.); #337164=ADVANCED_FACE('',(#27739),#11989,.T.); #337165=ADVANCED_FACE('',(#27740),#11990,.T.); #337166=ADVANCED_FACE('',(#27741),#11991,.T.); #337167=ADVANCED_FACE('',(#27742),#11992,.T.); #337168=ADVANCED_FACE('',(#27743),#11993,.T.); #337169=ADVANCED_FACE('',(#27744),#11994,.T.); #337170=ADVANCED_FACE('',(#27745),#11995,.T.); #337171=ADVANCED_FACE('',(#27746),#11996,.T.); #337172=ADVANCED_FACE('',(#27747),#11997,.T.); #337173=ADVANCED_FACE('',(#27748),#11998,.T.); #337174=ADVANCED_FACE('',(#27749),#11999,.T.); #337175=ADVANCED_FACE('',(#27750),#12000,.T.); #337176=ADVANCED_FACE('',(#27751),#12001,.T.); #337177=ADVANCED_FACE('',(#27752),#12002,.T.); #337178=ADVANCED_FACE('',(#27753),#12003,.T.); #337179=ADVANCED_FACE('',(#27754),#12004,.T.); #337180=ADVANCED_FACE('',(#27755),#12005,.T.); #337181=ADVANCED_FACE('',(#27756),#12006,.T.); #337182=ADVANCED_FACE('',(#27757),#12007,.F.); #337183=ADVANCED_FACE('',(#27758),#12008,.T.); #337184=ADVANCED_FACE('',(#27759),#12009,.T.); #337185=ADVANCED_FACE('',(#27760),#12010,.T.); #337186=ADVANCED_FACE('',(#27761),#12011,.T.); #337187=ADVANCED_FACE('',(#27762),#12012,.T.); #337188=ADVANCED_FACE('',(#27763),#12013,.T.); #337189=ADVANCED_FACE('',(#27764),#12014,.T.); #337190=ADVANCED_FACE('',(#27765),#12015,.T.); #337191=ADVANCED_FACE('',(#27766),#12016,.T.); #337192=ADVANCED_FACE('',(#27767),#12017,.T.); #337193=ADVANCED_FACE('',(#27768),#12018,.T.); #337194=ADVANCED_FACE('',(#27769),#12019,.T.); #337195=ADVANCED_FACE('',(#27770),#12020,.T.); #337196=ADVANCED_FACE('',(#27771),#12021,.T.); #337197=ADVANCED_FACE('',(#27772),#12022,.T.); #337198=ADVANCED_FACE('',(#27773),#12023,.T.); #337199=ADVANCED_FACE('',(#27774),#12024,.T.); #337200=ADVANCED_FACE('',(#27775),#12025,.T.); #337201=ADVANCED_FACE('',(#27776),#12026,.T.); #337202=ADVANCED_FACE('',(#27777),#12027,.T.); #337203=ADVANCED_FACE('',(#27778),#12028,.T.); #337204=ADVANCED_FACE('',(#27779),#12029,.T.); #337205=ADVANCED_FACE('',(#27780),#12030,.T.); #337206=ADVANCED_FACE('',(#27781),#12031,.T.); #337207=ADVANCED_FACE('',(#27782),#12032,.T.); #337208=ADVANCED_FACE('',(#27783),#12033,.T.); #337209=ADVANCED_FACE('',(#27784),#12034,.T.); #337210=ADVANCED_FACE('',(#27785),#12035,.T.); #337211=ADVANCED_FACE('',(#27786),#12036,.T.); #337212=ADVANCED_FACE('',(#27787),#12037,.T.); #337213=ADVANCED_FACE('',(#27788),#12038,.T.); #337214=ADVANCED_FACE('',(#27789),#12039,.F.); #337215=ADVANCED_FACE('',(#27790),#12040,.T.); #337216=ADVANCED_FACE('',(#27791),#12041,.T.); #337217=ADVANCED_FACE('',(#27792),#12042,.T.); #337218=ADVANCED_FACE('',(#27793),#12043,.T.); #337219=ADVANCED_FACE('',(#27794),#12044,.T.); #337220=ADVANCED_FACE('',(#27795),#12045,.T.); #337221=ADVANCED_FACE('',(#27796),#12046,.T.); #337222=ADVANCED_FACE('',(#27797),#12047,.T.); #337223=ADVANCED_FACE('',(#27798),#12048,.T.); #337224=ADVANCED_FACE('',(#27799),#12049,.T.); #337225=ADVANCED_FACE('',(#27800),#12050,.T.); #337226=ADVANCED_FACE('',(#27801),#12051,.T.); #337227=ADVANCED_FACE('',(#27802),#12052,.T.); #337228=ADVANCED_FACE('',(#27803),#12053,.T.); #337229=ADVANCED_FACE('',(#27804),#12054,.T.); #337230=ADVANCED_FACE('',(#27805),#12055,.T.); #337231=ADVANCED_FACE('',(#27806),#12056,.T.); #337232=ADVANCED_FACE('',(#27807),#12057,.T.); #337233=ADVANCED_FACE('',(#27808),#12058,.T.); #337234=ADVANCED_FACE('',(#27809),#12059,.T.); #337235=ADVANCED_FACE('',(#27810),#12060,.T.); #337236=ADVANCED_FACE('',(#27811),#12061,.F.); #337237=ADVANCED_FACE('',(#27812),#12062,.T.); #337238=ADVANCED_FACE('',(#27813),#12063,.T.); #337239=ADVANCED_FACE('',(#27814),#12064,.T.); #337240=ADVANCED_FACE('',(#27815),#12065,.T.); #337241=ADVANCED_FACE('',(#27816),#12066,.T.); #337242=ADVANCED_FACE('',(#27817),#12067,.T.); #337243=ADVANCED_FACE('',(#27818),#12068,.T.); #337244=ADVANCED_FACE('',(#27819),#12069,.T.); #337245=ADVANCED_FACE('',(#27820),#12070,.T.); #337246=ADVANCED_FACE('',(#27821),#12071,.T.); #337247=ADVANCED_FACE('',(#27822),#12072,.T.); #337248=ADVANCED_FACE('',(#27823),#12073,.T.); #337249=ADVANCED_FACE('',(#27824),#12074,.T.); #337250=ADVANCED_FACE('',(#27825),#12075,.T.); #337251=ADVANCED_FACE('',(#27826),#12076,.T.); #337252=ADVANCED_FACE('',(#27827),#12077,.T.); #337253=ADVANCED_FACE('',(#27828),#12078,.T.); #337254=ADVANCED_FACE('',(#27829),#12079,.T.); #337255=ADVANCED_FACE('',(#27830),#12080,.T.); #337256=ADVANCED_FACE('',(#27831),#12081,.T.); #337257=ADVANCED_FACE('',(#27832),#12082,.T.); #337258=ADVANCED_FACE('',(#27833),#12083,.T.); #337259=ADVANCED_FACE('',(#27834),#12084,.T.); #337260=ADVANCED_FACE('',(#27835),#12085,.T.); #337261=ADVANCED_FACE('',(#27836),#12086,.T.); #337262=ADVANCED_FACE('',(#27837),#12087,.T.); #337263=ADVANCED_FACE('',(#27838),#12088,.T.); #337264=ADVANCED_FACE('',(#27839),#12089,.T.); #337265=ADVANCED_FACE('',(#27840),#12090,.T.); #337266=ADVANCED_FACE('',(#27841),#12091,.T.); #337267=ADVANCED_FACE('',(#27842),#12092,.T.); #337268=ADVANCED_FACE('',(#27843),#12093,.T.); #337269=ADVANCED_FACE('',(#27844),#12094,.T.); #337270=ADVANCED_FACE('',(#27845),#12095,.T.); #337271=ADVANCED_FACE('',(#27846),#12096,.F.); #337272=ADVANCED_FACE('',(#27847),#328985,.F.); #337273=ADVANCED_FACE('',(#27848),#328986,.F.); #337274=ADVANCED_FACE('',(#27849),#328987,.F.); #337275=ADVANCED_FACE('',(#27850),#12097,.T.); #337276=ADVANCED_FACE('',(#27851),#12098,.T.); #337277=ADVANCED_FACE('',(#27852),#12099,.T.); #337278=ADVANCED_FACE('',(#27853),#12100,.T.); #337279=ADVANCED_FACE('',(#27854),#12101,.T.); #337280=ADVANCED_FACE('',(#27855),#12102,.T.); #337281=ADVANCED_FACE('',(#27856),#12103,.T.); #337282=ADVANCED_FACE('',(#27857),#12104,.T.); #337283=ADVANCED_FACE('',(#27858),#12105,.T.); #337284=ADVANCED_FACE('',(#27859),#12106,.T.); #337285=ADVANCED_FACE('',(#27860),#12107,.T.); #337286=ADVANCED_FACE('',(#27861),#12108,.T.); #337287=ADVANCED_FACE('',(#27862),#12109,.T.); #337288=ADVANCED_FACE('',(#27863),#12110,.T.); #337289=ADVANCED_FACE('',(#27864),#12111,.T.); #337290=ADVANCED_FACE('',(#27865),#12112,.T.); #337291=ADVANCED_FACE('',(#27866),#12113,.T.); #337292=ADVANCED_FACE('',(#27867),#12114,.T.); #337293=ADVANCED_FACE('',(#27868),#12115,.T.); #337294=ADVANCED_FACE('',(#27869),#12116,.T.); #337295=ADVANCED_FACE('',(#27870),#12117,.T.); #337296=ADVANCED_FACE('',(#27871),#12118,.T.); #337297=ADVANCED_FACE('',(#27872),#12119,.T.); #337298=ADVANCED_FACE('',(#27873),#12120,.T.); #337299=ADVANCED_FACE('',(#27874),#12121,.T.); #337300=ADVANCED_FACE('',(#27875),#12122,.T.); #337301=ADVANCED_FACE('',(#27876),#12123,.T.); #337302=ADVANCED_FACE('',(#27877),#12124,.T.); #337303=ADVANCED_FACE('',(#27878),#12125,.T.); #337304=ADVANCED_FACE('',(#27879),#12126,.T.); #337305=ADVANCED_FACE('',(#27880),#12127,.T.); #337306=ADVANCED_FACE('',(#27881),#12128,.T.); #337307=ADVANCED_FACE('',(#27882),#12129,.T.); #337308=ADVANCED_FACE('',(#27883),#12130,.T.); #337309=ADVANCED_FACE('',(#27884),#12131,.T.); #337310=ADVANCED_FACE('',(#27885),#12132,.T.); #337311=ADVANCED_FACE('',(#27886),#12133,.T.); #337312=ADVANCED_FACE('',(#27887),#12134,.T.); #337313=ADVANCED_FACE('',(#27888),#12135,.T.); #337314=ADVANCED_FACE('',(#27889),#12136,.T.); #337315=ADVANCED_FACE('',(#27890),#12137,.T.); #337316=ADVANCED_FACE('',(#27891),#12138,.T.); #337317=ADVANCED_FACE('',(#27892),#12139,.T.); #337318=ADVANCED_FACE('',(#27893),#12140,.T.); #337319=ADVANCED_FACE('',(#27894),#12141,.T.); #337320=ADVANCED_FACE('',(#27895),#12142,.T.); #337321=ADVANCED_FACE('',(#27896),#12143,.T.); #337322=ADVANCED_FACE('',(#27897),#12144,.T.); #337323=ADVANCED_FACE('',(#27898),#12145,.T.); #337324=ADVANCED_FACE('',(#27899),#12146,.T.); #337325=ADVANCED_FACE('',(#27900),#12147,.T.); #337326=ADVANCED_FACE('',(#27901),#12148,.T.); #337327=ADVANCED_FACE('',(#27902),#12149,.T.); #337328=ADVANCED_FACE('',(#27903),#12150,.T.); #337329=ADVANCED_FACE('',(#27904),#12151,.T.); #337330=ADVANCED_FACE('',(#27905),#12152,.T.); #337331=ADVANCED_FACE('',(#27906),#12153,.T.); #337332=ADVANCED_FACE('',(#27907),#12154,.T.); #337333=ADVANCED_FACE('',(#27908),#12155,.T.); #337334=ADVANCED_FACE('',(#27909),#12156,.T.); #337335=ADVANCED_FACE('',(#27910),#12157,.T.); #337336=ADVANCED_FACE('',(#27911),#12158,.T.); #337337=ADVANCED_FACE('',(#27912),#12159,.T.); #337338=ADVANCED_FACE('',(#27913),#12160,.T.); #337339=ADVANCED_FACE('',(#27914),#12161,.T.); #337340=ADVANCED_FACE('',(#27915),#12162,.T.); #337341=ADVANCED_FACE('',(#27916),#12163,.T.); #337342=ADVANCED_FACE('',(#27917),#12164,.T.); #337343=ADVANCED_FACE('',(#27918),#12165,.T.); #337344=ADVANCED_FACE('',(#27919),#12166,.T.); #337345=ADVANCED_FACE('',(#27920),#12167,.T.); #337346=ADVANCED_FACE('',(#27921),#12168,.T.); #337347=ADVANCED_FACE('',(#27922),#12169,.T.); #337348=ADVANCED_FACE('',(#27923),#12170,.T.); #337349=ADVANCED_FACE('',(#27924),#12171,.T.); #337350=ADVANCED_FACE('',(#27925),#12172,.T.); #337351=ADVANCED_FACE('',(#27926),#12173,.T.); #337352=ADVANCED_FACE('',(#27927),#12174,.T.); #337353=ADVANCED_FACE('',(#27928),#12175,.T.); #337354=ADVANCED_FACE('',(#27929),#12176,.T.); #337355=ADVANCED_FACE('',(#27930),#12177,.T.); #337356=ADVANCED_FACE('',(#27931),#12178,.T.); #337357=ADVANCED_FACE('',(#27932),#12179,.T.); #337358=ADVANCED_FACE('',(#27933),#12180,.T.); #337359=ADVANCED_FACE('',(#27934),#12181,.T.); #337360=ADVANCED_FACE('',(#27935),#12182,.T.); #337361=ADVANCED_FACE('',(#27936),#12183,.T.); #337362=ADVANCED_FACE('',(#27937,#5220,#5221,#5222),#12184,.T.); #337363=ADVANCED_FACE('',(#27938,#5223,#5224,#5225),#12185,.F.); #337364=ADVANCED_FACE('',(#27939),#12186,.T.); #337365=ADVANCED_FACE('',(#27940),#12187,.T.); #337366=ADVANCED_FACE('',(#27941),#12188,.T.); #337367=ADVANCED_FACE('',(#27942),#12189,.T.); #337368=ADVANCED_FACE('',(#27943),#12190,.T.); #337369=ADVANCED_FACE('',(#27944),#12191,.T.); #337370=ADVANCED_FACE('',(#27945),#12192,.T.); #337371=ADVANCED_FACE('',(#27946),#12193,.T.); #337372=ADVANCED_FACE('',(#27947),#12194,.T.); #337373=ADVANCED_FACE('',(#27948),#12195,.T.); #337374=ADVANCED_FACE('',(#27949),#12196,.T.); #337375=ADVANCED_FACE('',(#27950),#12197,.T.); #337376=ADVANCED_FACE('',(#27951),#12198,.T.); #337377=ADVANCED_FACE('',(#27952),#12199,.T.); #337378=ADVANCED_FACE('',(#27953),#12200,.T.); #337379=ADVANCED_FACE('',(#27954),#12201,.T.); #337380=ADVANCED_FACE('',(#27955),#12202,.T.); #337381=ADVANCED_FACE('',(#27956),#12203,.T.); #337382=ADVANCED_FACE('',(#27957),#12204,.T.); #337383=ADVANCED_FACE('',(#27958),#12205,.T.); #337384=ADVANCED_FACE('',(#27959),#12206,.T.); #337385=ADVANCED_FACE('',(#27960),#12207,.T.); #337386=ADVANCED_FACE('',(#27961),#12208,.T.); #337387=ADVANCED_FACE('',(#27962),#12209,.T.); #337388=ADVANCED_FACE('',(#27963),#12210,.T.); #337389=ADVANCED_FACE('',(#27964),#12211,.T.); #337390=ADVANCED_FACE('',(#27965),#12212,.T.); #337391=ADVANCED_FACE('',(#27966),#12213,.T.); #337392=ADVANCED_FACE('',(#27967),#12214,.T.); #337393=ADVANCED_FACE('',(#27968),#12215,.T.); #337394=ADVANCED_FACE('',(#27969),#12216,.F.); #337395=ADVANCED_FACE('',(#27970),#12217,.T.); #337396=ADVANCED_FACE('',(#27971),#12218,.T.); #337397=ADVANCED_FACE('',(#27972),#12219,.T.); #337398=ADVANCED_FACE('',(#27973),#12220,.T.); #337399=ADVANCED_FACE('',(#27974),#12221,.T.); #337400=ADVANCED_FACE('',(#27975),#12222,.T.); #337401=ADVANCED_FACE('',(#27976),#12223,.T.); #337402=ADVANCED_FACE('',(#27977),#12224,.T.); #337403=ADVANCED_FACE('',(#27978),#12225,.T.); #337404=ADVANCED_FACE('',(#27979),#12226,.T.); #337405=ADVANCED_FACE('',(#27980),#12227,.T.); #337406=ADVANCED_FACE('',(#27981),#12228,.T.); #337407=ADVANCED_FACE('',(#27982),#12229,.T.); #337408=ADVANCED_FACE('',(#27983),#12230,.T.); #337409=ADVANCED_FACE('',(#27984),#12231,.T.); #337410=ADVANCED_FACE('',(#27985),#12232,.T.); #337411=ADVANCED_FACE('',(#27986),#12233,.T.); #337412=ADVANCED_FACE('',(#27987),#12234,.T.); #337413=ADVANCED_FACE('',(#27988),#12235,.T.); #337414=ADVANCED_FACE('',(#27989),#12236,.T.); #337415=ADVANCED_FACE('',(#27990),#12237,.F.); #337416=ADVANCED_FACE('',(#27991),#328988,.F.); #337417=ADVANCED_FACE('',(#27992),#328989,.F.); #337418=ADVANCED_FACE('',(#27993),#328990,.F.); #337419=ADVANCED_FACE('',(#27994),#328991,.F.); #337420=ADVANCED_FACE('',(#27995),#328992,.F.); #337421=ADVANCED_FACE('',(#27996),#328993,.F.); #337422=ADVANCED_FACE('',(#27997),#328994,.F.); #337423=ADVANCED_FACE('',(#27998),#328995,.F.); #337424=ADVANCED_FACE('',(#27999),#328996,.F.); #337425=ADVANCED_FACE('',(#28000),#328997,.F.); #337426=ADVANCED_FACE('',(#28001),#328998,.F.); #337427=ADVANCED_FACE('',(#28002),#328999,.F.); #337428=ADVANCED_FACE('',(#28003),#329000,.F.); #337429=ADVANCED_FACE('',(#28004),#329001,.F.); #337430=ADVANCED_FACE('',(#28005),#329002,.F.); #337431=ADVANCED_FACE('',(#28006),#329003,.F.); #337432=ADVANCED_FACE('',(#28007),#329004,.F.); #337433=ADVANCED_FACE('',(#28008),#329005,.F.); #337434=ADVANCED_FACE('',(#28009),#329006,.F.); #337435=ADVANCED_FACE('',(#28010),#329007,.F.); #337436=ADVANCED_FACE('',(#28011),#329008,.F.); #337437=ADVANCED_FACE('',(#28012),#329009,.F.); #337438=ADVANCED_FACE('',(#28013),#329010,.F.); #337439=ADVANCED_FACE('',(#28014),#329011,.F.); #337440=ADVANCED_FACE('',(#28015),#329012,.F.); #337441=ADVANCED_FACE('',(#28016),#329013,.F.); #337442=ADVANCED_FACE('',(#28017),#329014,.F.); #337443=ADVANCED_FACE('',(#28018),#329015,.F.); #337444=ADVANCED_FACE('',(#28019),#329016,.F.); #337445=ADVANCED_FACE('',(#28020),#329017,.F.); #337446=ADVANCED_FACE('',(#28021),#329018,.F.); #337447=ADVANCED_FACE('',(#28022),#329019,.F.); #337448=ADVANCED_FACE('',(#28023),#329020,.F.); #337449=ADVANCED_FACE('',(#28024),#329021,.F.); #337450=ADVANCED_FACE('',(#28025),#329022,.F.); #337451=ADVANCED_FACE('',(#28026),#329023,.F.); #337452=ADVANCED_FACE('',(#28027),#329024,.F.); #337453=ADVANCED_FACE('',(#28028),#329025,.F.); #337454=ADVANCED_FACE('',(#28029),#329026,.F.); #337455=ADVANCED_FACE('',(#28030),#329027,.F.); #337456=ADVANCED_FACE('',(#28031),#329028,.F.); #337457=ADVANCED_FACE('',(#28032),#329029,.F.); #337458=ADVANCED_FACE('',(#28033),#329030,.F.); #337459=ADVANCED_FACE('',(#28034),#329031,.F.); #337460=ADVANCED_FACE('',(#28035),#329032,.F.); #337461=ADVANCED_FACE('',(#28036),#329033,.F.); #337462=ADVANCED_FACE('',(#28037),#329034,.F.); #337463=ADVANCED_FACE('',(#28038),#329035,.F.); #337464=ADVANCED_FACE('',(#28039),#329036,.F.); #337465=ADVANCED_FACE('',(#28040),#12238,.T.); #337466=ADVANCED_FACE('',(#28041),#12239,.T.); #337467=ADVANCED_FACE('',(#28042),#12240,.T.); #337468=ADVANCED_FACE('',(#28043),#12241,.T.); #337469=ADVANCED_FACE('',(#28044),#12242,.T.); #337470=ADVANCED_FACE('',(#28045),#12243,.T.); #337471=ADVANCED_FACE('',(#28046),#12244,.T.); #337472=ADVANCED_FACE('',(#28047),#12245,.T.); #337473=ADVANCED_FACE('',(#28048),#12246,.T.); #337474=ADVANCED_FACE('',(#28049),#12247,.T.); #337475=ADVANCED_FACE('',(#28050),#12248,.T.); #337476=ADVANCED_FACE('',(#28051),#12249,.T.); #337477=ADVANCED_FACE('',(#28052),#12250,.T.); #337478=ADVANCED_FACE('',(#28053),#12251,.T.); #337479=ADVANCED_FACE('',(#28054),#12252,.T.); #337480=ADVANCED_FACE('',(#28055),#12253,.T.); #337481=ADVANCED_FACE('',(#28056),#12254,.T.); #337482=ADVANCED_FACE('',(#28057),#12255,.T.); #337483=ADVANCED_FACE('',(#28058),#12256,.T.); #337484=ADVANCED_FACE('',(#28059),#12257,.T.); #337485=ADVANCED_FACE('',(#28060),#12258,.T.); #337486=ADVANCED_FACE('',(#28061),#12259,.T.); #337487=ADVANCED_FACE('',(#28062),#12260,.T.); #337488=ADVANCED_FACE('',(#28063),#12261,.T.); #337489=ADVANCED_FACE('',(#28064),#12262,.T.); #337490=ADVANCED_FACE('',(#28065),#12263,.T.); #337491=ADVANCED_FACE('',(#28066),#12264,.T.); #337492=ADVANCED_FACE('',(#28067),#12265,.T.); #337493=ADVANCED_FACE('',(#28068),#12266,.T.); #337494=ADVANCED_FACE('',(#28069),#12267,.T.); #337495=ADVANCED_FACE('',(#28070),#12268,.T.); #337496=ADVANCED_FACE('',(#28071),#12269,.T.); #337497=ADVANCED_FACE('',(#28072),#12270,.T.); #337498=ADVANCED_FACE('',(#28073),#12271,.T.); #337499=ADVANCED_FACE('',(#28074),#12272,.T.); #337500=ADVANCED_FACE('',(#28075),#12273,.T.); #337501=ADVANCED_FACE('',(#28076),#12274,.T.); #337502=ADVANCED_FACE('',(#28077),#12275,.T.); #337503=ADVANCED_FACE('',(#28078),#12276,.T.); #337504=ADVANCED_FACE('',(#28079),#12277,.T.); #337505=ADVANCED_FACE('',(#28080),#12278,.T.); #337506=ADVANCED_FACE('',(#28081),#12279,.T.); #337507=ADVANCED_FACE('',(#28082),#12280,.T.); #337508=ADVANCED_FACE('',(#28083),#12281,.T.); #337509=ADVANCED_FACE('',(#28084),#12282,.T.); #337510=ADVANCED_FACE('',(#28085),#12283,.T.); #337511=ADVANCED_FACE('',(#28086),#12284,.T.); #337512=ADVANCED_FACE('',(#28087),#12285,.T.); #337513=ADVANCED_FACE('',(#28088),#12286,.T.); #337514=ADVANCED_FACE('',(#28089),#12287,.T.); #337515=ADVANCED_FACE('',(#28090),#12288,.T.); #337516=ADVANCED_FACE('',(#28091),#12289,.T.); #337517=ADVANCED_FACE('',(#28092),#12290,.T.); #337518=ADVANCED_FACE('',(#28093),#12291,.T.); #337519=ADVANCED_FACE('',(#28094),#12292,.T.); #337520=ADVANCED_FACE('',(#28095),#12293,.T.); #337521=ADVANCED_FACE('',(#28096),#12294,.T.); #337522=ADVANCED_FACE('',(#28097),#12295,.T.); #337523=ADVANCED_FACE('',(#28098),#12296,.T.); #337524=ADVANCED_FACE('',(#28099),#12297,.T.); #337525=ADVANCED_FACE('',(#28100),#12298,.T.); #337526=ADVANCED_FACE('',(#28101),#12299,.T.); #337527=ADVANCED_FACE('',(#28102),#12300,.T.); #337528=ADVANCED_FACE('',(#28103),#12301,.T.); #337529=ADVANCED_FACE('',(#28104),#12302,.T.); #337530=ADVANCED_FACE('',(#28105),#12303,.T.); #337531=ADVANCED_FACE('',(#28106),#12304,.T.); #337532=ADVANCED_FACE('',(#28107),#12305,.T.); #337533=ADVANCED_FACE('',(#28108),#12306,.T.); #337534=ADVANCED_FACE('',(#28109),#12307,.T.); #337535=ADVANCED_FACE('',(#28110),#12308,.T.); #337536=ADVANCED_FACE('',(#28111),#12309,.T.); #337537=ADVANCED_FACE('',(#28112),#12310,.T.); #337538=ADVANCED_FACE('',(#28113),#12311,.T.); #337539=ADVANCED_FACE('',(#28114),#12312,.T.); #337540=ADVANCED_FACE('',(#28115),#12313,.T.); #337541=ADVANCED_FACE('',(#28116),#12314,.T.); #337542=ADVANCED_FACE('',(#28117),#12315,.T.); #337543=ADVANCED_FACE('',(#28118),#12316,.T.); #337544=ADVANCED_FACE('',(#28119),#12317,.T.); #337545=ADVANCED_FACE('',(#28120),#12318,.T.); #337546=ADVANCED_FACE('',(#28121),#12319,.T.); #337547=ADVANCED_FACE('',(#28122),#12320,.T.); #337548=ADVANCED_FACE('',(#28123),#12321,.T.); #337549=ADVANCED_FACE('',(#28124),#12322,.T.); #337550=ADVANCED_FACE('',(#28125),#12323,.T.); #337551=ADVANCED_FACE('',(#28126),#12324,.T.); #337552=ADVANCED_FACE('',(#28127),#12325,.T.); #337553=ADVANCED_FACE('',(#28128),#12326,.T.); #337554=ADVANCED_FACE('',(#28129),#12327,.T.); #337555=ADVANCED_FACE('',(#28130),#12328,.T.); #337556=ADVANCED_FACE('',(#28131),#12329,.T.); #337557=ADVANCED_FACE('',(#28132),#12330,.T.); #337558=ADVANCED_FACE('',(#28133),#12331,.T.); #337559=ADVANCED_FACE('',(#28134),#12332,.T.); #337560=ADVANCED_FACE('',(#28135),#12333,.T.); #337561=ADVANCED_FACE('',(#28136),#12334,.T.); #337562=ADVANCED_FACE('',(#28137),#12335,.T.); #337563=ADVANCED_FACE('',(#28138),#12336,.T.); #337564=ADVANCED_FACE('',(#28139),#12337,.T.); #337565=ADVANCED_FACE('',(#28140),#12338,.T.); #337566=ADVANCED_FACE('',(#28141),#12339,.T.); #337567=ADVANCED_FACE('',(#28142),#12340,.T.); #337568=ADVANCED_FACE('',(#28143),#12341,.T.); #337569=ADVANCED_FACE('',(#28144),#12342,.T.); #337570=ADVANCED_FACE('',(#28145),#12343,.T.); #337571=ADVANCED_FACE('',(#28146),#12344,.T.); #337572=ADVANCED_FACE('',(#28147),#12345,.T.); #337573=ADVANCED_FACE('',(#28148),#12346,.T.); #337574=ADVANCED_FACE('',(#28149),#12347,.T.); #337575=ADVANCED_FACE('',(#28150),#12348,.T.); #337576=ADVANCED_FACE('',(#28151),#12349,.T.); #337577=ADVANCED_FACE('',(#28152),#12350,.T.); #337578=ADVANCED_FACE('',(#28153),#12351,.T.); #337579=ADVANCED_FACE('',(#28154),#12352,.T.); #337580=ADVANCED_FACE('',(#28155),#12353,.T.); #337581=ADVANCED_FACE('',(#28156),#12354,.T.); #337582=ADVANCED_FACE('',(#28157),#12355,.T.); #337583=ADVANCED_FACE('',(#28158),#12356,.T.); #337584=ADVANCED_FACE('',(#28159),#12357,.T.); #337585=ADVANCED_FACE('',(#28160),#12358,.T.); #337586=ADVANCED_FACE('',(#28161),#12359,.T.); #337587=ADVANCED_FACE('',(#28162),#12360,.T.); #337588=ADVANCED_FACE('',(#28163),#12361,.T.); #337589=ADVANCED_FACE('',(#28164),#12362,.T.); #337590=ADVANCED_FACE('',(#28165),#12363,.T.); #337591=ADVANCED_FACE('',(#28166),#12364,.T.); #337592=ADVANCED_FACE('',(#28167),#12365,.T.); #337593=ADVANCED_FACE('',(#28168),#12366,.T.); #337594=ADVANCED_FACE('',(#28169),#12367,.T.); #337595=ADVANCED_FACE('',(#28170),#12368,.T.); #337596=ADVANCED_FACE('',(#28171),#12369,.T.); #337597=ADVANCED_FACE('',(#28172),#12370,.T.); #337598=ADVANCED_FACE('',(#28173),#12371,.T.); #337599=ADVANCED_FACE('',(#28174),#12372,.T.); #337600=ADVANCED_FACE('',(#28175),#12373,.T.); #337601=ADVANCED_FACE('',(#28176),#12374,.T.); #337602=ADVANCED_FACE('',(#28177),#12375,.T.); #337603=ADVANCED_FACE('',(#28178),#12376,.T.); #337604=ADVANCED_FACE('',(#28179),#12377,.T.); #337605=ADVANCED_FACE('',(#28180),#12378,.T.); #337606=ADVANCED_FACE('',(#28181),#12379,.T.); #337607=ADVANCED_FACE('',(#28182),#12380,.T.); #337608=ADVANCED_FACE('',(#28183),#12381,.T.); #337609=ADVANCED_FACE('',(#28184),#12382,.T.); #337610=ADVANCED_FACE('',(#28185),#12383,.T.); #337611=ADVANCED_FACE('',(#28186),#12384,.T.); #337612=ADVANCED_FACE('',(#28187),#12385,.T.); #337613=ADVANCED_FACE('',(#28188),#12386,.T.); #337614=ADVANCED_FACE('',(#28189),#12387,.T.); #337615=ADVANCED_FACE('',(#28190),#12388,.T.); #337616=ADVANCED_FACE('',(#28191),#12389,.T.); #337617=ADVANCED_FACE('',(#28192),#12390,.T.); #337618=ADVANCED_FACE('',(#28193),#12391,.T.); #337619=ADVANCED_FACE('',(#28194),#12392,.T.); #337620=ADVANCED_FACE('',(#28195),#12393,.T.); #337621=ADVANCED_FACE('',(#28196),#12394,.T.); #337622=ADVANCED_FACE('',(#28197),#12395,.T.); #337623=ADVANCED_FACE('',(#28198),#12396,.T.); #337624=ADVANCED_FACE('',(#28199),#12397,.T.); #337625=ADVANCED_FACE('',(#28200),#12398,.T.); #337626=ADVANCED_FACE('',(#28201),#12399,.T.); #337627=ADVANCED_FACE('',(#28202),#12400,.T.); #337628=ADVANCED_FACE('',(#28203),#12401,.T.); #337629=ADVANCED_FACE('',(#28204),#12402,.T.); #337630=ADVANCED_FACE('',(#28205),#12403,.T.); #337631=ADVANCED_FACE('',(#28206),#12404,.T.); #337632=ADVANCED_FACE('',(#28207),#12405,.T.); #337633=ADVANCED_FACE('',(#28208),#12406,.T.); #337634=ADVANCED_FACE('',(#28209),#12407,.T.); #337635=ADVANCED_FACE('',(#28210),#12408,.T.); #337636=ADVANCED_FACE('',(#28211),#12409,.T.); #337637=ADVANCED_FACE('',(#28212),#12410,.T.); #337638=ADVANCED_FACE('',(#28213),#12411,.T.); #337639=ADVANCED_FACE('',(#28214),#12412,.T.); #337640=ADVANCED_FACE('',(#28215),#12413,.T.); #337641=ADVANCED_FACE('',(#28216),#12414,.T.); #337642=ADVANCED_FACE('',(#28217),#12415,.T.); #337643=ADVANCED_FACE('',(#28218),#12416,.T.); #337644=ADVANCED_FACE('',(#28219),#12417,.T.); #337645=ADVANCED_FACE('',(#28220),#12418,.T.); #337646=ADVANCED_FACE('',(#28221),#12419,.T.); #337647=ADVANCED_FACE('',(#28222),#12420,.T.); #337648=ADVANCED_FACE('',(#28223),#12421,.T.); #337649=ADVANCED_FACE('',(#28224),#12422,.T.); #337650=ADVANCED_FACE('',(#28225),#12423,.T.); #337651=ADVANCED_FACE('',(#28226),#12424,.T.); #337652=ADVANCED_FACE('',(#28227),#12425,.T.); #337653=ADVANCED_FACE('',(#28228),#12426,.T.); #337654=ADVANCED_FACE('',(#28229),#12427,.T.); #337655=ADVANCED_FACE('',(#28230),#12428,.T.); #337656=ADVANCED_FACE('',(#28231),#12429,.T.); #337657=ADVANCED_FACE('',(#28232),#12430,.T.); #337658=ADVANCED_FACE('',(#28233),#12431,.T.); #337659=ADVANCED_FACE('',(#28234),#12432,.T.); #337660=ADVANCED_FACE('',(#28235),#12433,.T.); #337661=ADVANCED_FACE('',(#28236),#12434,.T.); #337662=ADVANCED_FACE('',(#28237),#12435,.T.); #337663=ADVANCED_FACE('',(#28238),#12436,.T.); #337664=ADVANCED_FACE('',(#28239),#12437,.T.); #337665=ADVANCED_FACE('',(#28240),#12438,.T.); #337666=ADVANCED_FACE('',(#28241),#12439,.T.); #337667=ADVANCED_FACE('',(#28242),#12440,.T.); #337668=ADVANCED_FACE('',(#28243),#12441,.T.); #337669=ADVANCED_FACE('',(#28244),#12442,.T.); #337670=ADVANCED_FACE('',(#28245),#12443,.T.); #337671=ADVANCED_FACE('',(#28246),#12444,.T.); #337672=ADVANCED_FACE('',(#28247),#12445,.T.); #337673=ADVANCED_FACE('',(#28248),#12446,.T.); #337674=ADVANCED_FACE('',(#28249),#12447,.T.); #337675=ADVANCED_FACE('',(#28250),#12448,.T.); #337676=ADVANCED_FACE('',(#28251),#12449,.T.); #337677=ADVANCED_FACE('',(#28252),#12450,.T.); #337678=ADVANCED_FACE('',(#28253),#12451,.T.); #337679=ADVANCED_FACE('',(#28254),#12452,.T.); #337680=ADVANCED_FACE('',(#28255),#12453,.T.); #337681=ADVANCED_FACE('',(#28256),#12454,.T.); #337682=ADVANCED_FACE('',(#28257),#12455,.T.); #337683=ADVANCED_FACE('',(#28258),#12456,.T.); #337684=ADVANCED_FACE('',(#28259),#12457,.T.); #337685=ADVANCED_FACE('',(#28260),#12458,.T.); #337686=ADVANCED_FACE('',(#28261),#12459,.T.); #337687=ADVANCED_FACE('',(#28262),#12460,.T.); #337688=ADVANCED_FACE('',(#28263),#12461,.T.); #337689=ADVANCED_FACE('',(#28264),#12462,.T.); #337690=ADVANCED_FACE('',(#28265),#12463,.T.); #337691=ADVANCED_FACE('',(#28266),#12464,.T.); #337692=ADVANCED_FACE('',(#28267),#12465,.T.); #337693=ADVANCED_FACE('',(#28268),#12466,.T.); #337694=ADVANCED_FACE('',(#28269),#12467,.T.); #337695=ADVANCED_FACE('',(#28270),#12468,.T.); #337696=ADVANCED_FACE('',(#28271),#12469,.T.); #337697=ADVANCED_FACE('',(#28272),#12470,.T.); #337698=ADVANCED_FACE('',(#28273),#12471,.T.); #337699=ADVANCED_FACE('',(#28274),#12472,.T.); #337700=ADVANCED_FACE('',(#28275),#12473,.T.); #337701=ADVANCED_FACE('',(#28276),#12474,.T.); #337702=ADVANCED_FACE('',(#28277),#12475,.T.); #337703=ADVANCED_FACE('',(#28278),#12476,.T.); #337704=ADVANCED_FACE('',(#28279),#12477,.T.); #337705=ADVANCED_FACE('',(#28280),#12478,.T.); #337706=ADVANCED_FACE('',(#28281),#12479,.T.); #337707=ADVANCED_FACE('',(#28282),#12480,.T.); #337708=ADVANCED_FACE('',(#28283),#12481,.T.); #337709=ADVANCED_FACE('',(#28284),#12482,.T.); #337710=ADVANCED_FACE('',(#28285),#12483,.T.); #337711=ADVANCED_FACE('',(#28286),#12484,.T.); #337712=ADVANCED_FACE('',(#28287),#12485,.T.); #337713=ADVANCED_FACE('',(#28288),#12486,.T.); #337714=ADVANCED_FACE('',(#28289),#12487,.T.); #337715=ADVANCED_FACE('',(#28290),#12488,.T.); #337716=ADVANCED_FACE('',(#28291),#12489,.T.); #337717=ADVANCED_FACE('',(#28292),#12490,.T.); #337718=ADVANCED_FACE('',(#28293),#12491,.T.); #337719=ADVANCED_FACE('',(#28294),#12492,.T.); #337720=ADVANCED_FACE('',(#28295),#12493,.T.); #337721=ADVANCED_FACE('',(#28296),#12494,.T.); #337722=ADVANCED_FACE('',(#28297),#12495,.T.); #337723=ADVANCED_FACE('',(#28298),#12496,.T.); #337724=ADVANCED_FACE('',(#28299),#12497,.T.); #337725=ADVANCED_FACE('',(#28300),#12498,.T.); #337726=ADVANCED_FACE('',(#28301),#12499,.T.); #337727=ADVANCED_FACE('',(#28302),#12500,.T.); #337728=ADVANCED_FACE('',(#28303),#12501,.T.); #337729=ADVANCED_FACE('',(#28304),#12502,.T.); #337730=ADVANCED_FACE('',(#28305),#12503,.T.); #337731=ADVANCED_FACE('',(#28306),#12504,.T.); #337732=ADVANCED_FACE('',(#28307),#12505,.T.); #337733=ADVANCED_FACE('',(#28308),#12506,.T.); #337734=ADVANCED_FACE('',(#28309),#12507,.T.); #337735=ADVANCED_FACE('',(#28310),#12508,.T.); #337736=ADVANCED_FACE('',(#28311),#12509,.T.); #337737=ADVANCED_FACE('',(#28312),#12510,.T.); #337738=ADVANCED_FACE('',(#28313),#12511,.T.); #337739=ADVANCED_FACE('',(#28314),#12512,.T.); #337740=ADVANCED_FACE('',(#28315),#12513,.T.); #337741=ADVANCED_FACE('',(#28316),#12514,.T.); #337742=ADVANCED_FACE('',(#28317),#12515,.T.); #337743=ADVANCED_FACE('',(#28318),#12516,.T.); #337744=ADVANCED_FACE('',(#28319),#12517,.T.); #337745=ADVANCED_FACE('',(#28320),#12518,.T.); #337746=ADVANCED_FACE('',(#28321),#12519,.T.); #337747=ADVANCED_FACE('',(#28322),#12520,.T.); #337748=ADVANCED_FACE('',(#28323),#12521,.T.); #337749=ADVANCED_FACE('',(#28324),#12522,.T.); #337750=ADVANCED_FACE('',(#28325),#12523,.T.); #337751=ADVANCED_FACE('',(#28326),#12524,.T.); #337752=ADVANCED_FACE('',(#28327),#12525,.T.); #337753=ADVANCED_FACE('',(#28328),#12526,.T.); #337754=ADVANCED_FACE('',(#28329),#12527,.T.); #337755=ADVANCED_FACE('',(#28330),#12528,.T.); #337756=ADVANCED_FACE('',(#28331),#12529,.T.); #337757=ADVANCED_FACE('',(#28332),#12530,.T.); #337758=ADVANCED_FACE('',(#28333),#12531,.T.); #337759=ADVANCED_FACE('',(#28334),#12532,.T.); #337760=ADVANCED_FACE('',(#28335),#12533,.T.); #337761=ADVANCED_FACE('',(#28336,#5226,#5227,#5228,#5229,#5230,#5231,#5232, #5233,#5234,#5235,#5236,#5237,#5238,#5239,#5240,#5241,#5242,#5243,#5244, #5245,#5246,#5247,#5248,#5249,#5250,#5251,#5252,#5253,#5254,#5255,#5256, #5257,#5258,#5259,#5260,#5261,#5262,#5263,#5264,#5265,#5266,#5267,#5268, #5269,#5270,#5271,#5272,#5273,#5274),#12534,.T.); #337762=ADVANCED_FACE('',(#28337,#5275,#5276,#5277,#5278,#5279,#5280,#5281, #5282,#5283,#5284,#5285,#5286,#5287,#5288,#5289,#5290,#5291,#5292,#5293, #5294,#5295,#5296,#5297,#5298,#5299,#5300,#5301,#5302,#5303,#5304,#5305, #5306,#5307,#5308,#5309,#5310,#5311,#5312,#5313,#5314,#5315,#5316,#5317, #5318,#5319,#5320,#5321,#5322,#5323),#12535,.F.); #337763=ADVANCED_FACE('',(#28338),#12536,.T.); #337764=ADVANCED_FACE('',(#28339),#12537,.T.); #337765=ADVANCED_FACE('',(#28340),#12538,.T.); #337766=ADVANCED_FACE('',(#28341),#12539,.T.); #337767=ADVANCED_FACE('',(#28342),#12540,.T.); #337768=ADVANCED_FACE('',(#28343),#12541,.T.); #337769=ADVANCED_FACE('',(#28344),#12542,.T.); #337770=ADVANCED_FACE('',(#28345),#12543,.T.); #337771=ADVANCED_FACE('',(#28346),#12544,.T.); #337772=ADVANCED_FACE('',(#28347),#12545,.T.); #337773=ADVANCED_FACE('',(#28348),#12546,.T.); #337774=ADVANCED_FACE('',(#28349),#12547,.T.); #337775=ADVANCED_FACE('',(#28350),#12548,.T.); #337776=ADVANCED_FACE('',(#28351),#12549,.T.); #337777=ADVANCED_FACE('',(#28352),#12550,.T.); #337778=ADVANCED_FACE('',(#28353),#12551,.T.); #337779=ADVANCED_FACE('',(#28354),#12552,.T.); #337780=ADVANCED_FACE('',(#28355),#12553,.T.); #337781=ADVANCED_FACE('',(#28356),#12554,.T.); #337782=ADVANCED_FACE('',(#28357),#12555,.T.); #337783=ADVANCED_FACE('',(#28358),#12556,.T.); #337784=ADVANCED_FACE('',(#28359),#12557,.T.); #337785=ADVANCED_FACE('',(#28360),#12558,.F.); #337786=ADVANCED_FACE('',(#28361),#12559,.T.); #337787=ADVANCED_FACE('',(#28362),#12560,.T.); #337788=ADVANCED_FACE('',(#28363),#12561,.T.); #337789=ADVANCED_FACE('',(#28364),#12562,.T.); #337790=ADVANCED_FACE('',(#28365),#12563,.T.); #337791=ADVANCED_FACE('',(#28366),#12564,.T.); #337792=ADVANCED_FACE('',(#28367),#12565,.T.); #337793=ADVANCED_FACE('',(#28368),#12566,.T.); #337794=ADVANCED_FACE('',(#28369),#12567,.T.); #337795=ADVANCED_FACE('',(#28370),#12568,.T.); #337796=ADVANCED_FACE('',(#28371),#12569,.T.); #337797=ADVANCED_FACE('',(#28372),#12570,.T.); #337798=ADVANCED_FACE('',(#28373),#12571,.T.); #337799=ADVANCED_FACE('',(#28374),#12572,.T.); #337800=ADVANCED_FACE('',(#28375),#12573,.T.); #337801=ADVANCED_FACE('',(#28376),#12574,.T.); #337802=ADVANCED_FACE('',(#28377),#12575,.T.); #337803=ADVANCED_FACE('',(#28378),#12576,.T.); #337804=ADVANCED_FACE('',(#28379),#12577,.T.); #337805=ADVANCED_FACE('',(#28380),#12578,.T.); #337806=ADVANCED_FACE('',(#28381),#12579,.T.); #337807=ADVANCED_FACE('',(#28382),#12580,.T.); #337808=ADVANCED_FACE('',(#28383),#12581,.F.); #337809=ADVANCED_FACE('',(#28384),#12582,.T.); #337810=ADVANCED_FACE('',(#28385),#12583,.T.); #337811=ADVANCED_FACE('',(#28386),#12584,.T.); #337812=ADVANCED_FACE('',(#28387),#12585,.T.); #337813=ADVANCED_FACE('',(#28388),#12586,.T.); #337814=ADVANCED_FACE('',(#28389),#12587,.T.); #337815=ADVANCED_FACE('',(#28390),#12588,.T.); #337816=ADVANCED_FACE('',(#28391),#12589,.T.); #337817=ADVANCED_FACE('',(#28392),#12590,.T.); #337818=ADVANCED_FACE('',(#28393),#12591,.T.); #337819=ADVANCED_FACE('',(#28394),#12592,.T.); #337820=ADVANCED_FACE('',(#28395),#12593,.T.); #337821=ADVANCED_FACE('',(#28396),#12594,.T.); #337822=ADVANCED_FACE('',(#28397),#12595,.T.); #337823=ADVANCED_FACE('',(#28398),#12596,.T.); #337824=ADVANCED_FACE('',(#28399),#12597,.T.); #337825=ADVANCED_FACE('',(#28400),#12598,.T.); #337826=ADVANCED_FACE('',(#28401),#12599,.T.); #337827=ADVANCED_FACE('',(#28402),#12600,.T.); #337828=ADVANCED_FACE('',(#28403),#12601,.T.); #337829=ADVANCED_FACE('',(#28404),#12602,.F.); #337830=ADVANCED_FACE('',(#28405),#12603,.T.); #337831=ADVANCED_FACE('',(#28406),#12604,.T.); #337832=ADVANCED_FACE('',(#28407),#12605,.T.); #337833=ADVANCED_FACE('',(#28408),#12606,.T.); #337834=ADVANCED_FACE('',(#28409),#12607,.T.); #337835=ADVANCED_FACE('',(#28410),#12608,.T.); #337836=ADVANCED_FACE('',(#28411),#12609,.T.); #337837=ADVANCED_FACE('',(#28412),#12610,.T.); #337838=ADVANCED_FACE('',(#28413),#12611,.T.); #337839=ADVANCED_FACE('',(#28414),#12612,.T.); #337840=ADVANCED_FACE('',(#28415),#12613,.T.); #337841=ADVANCED_FACE('',(#28416),#12614,.T.); #337842=ADVANCED_FACE('',(#28417),#12615,.T.); #337843=ADVANCED_FACE('',(#28418),#12616,.T.); #337844=ADVANCED_FACE('',(#28419),#12617,.T.); #337845=ADVANCED_FACE('',(#28420),#12618,.T.); #337846=ADVANCED_FACE('',(#28421),#12619,.T.); #337847=ADVANCED_FACE('',(#28422),#12620,.T.); #337848=ADVANCED_FACE('',(#28423),#12621,.T.); #337849=ADVANCED_FACE('',(#28424),#12622,.T.); #337850=ADVANCED_FACE('',(#28425),#12623,.F.); #337851=ADVANCED_FACE('',(#28426),#12624,.T.); #337852=ADVANCED_FACE('',(#28427),#12625,.T.); #337853=ADVANCED_FACE('',(#28428),#12626,.T.); #337854=ADVANCED_FACE('',(#28429),#12627,.T.); #337855=ADVANCED_FACE('',(#28430),#12628,.T.); #337856=ADVANCED_FACE('',(#28431),#12629,.T.); #337857=ADVANCED_FACE('',(#28432),#12630,.T.); #337858=ADVANCED_FACE('',(#28433),#12631,.T.); #337859=ADVANCED_FACE('',(#28434),#12632,.T.); #337860=ADVANCED_FACE('',(#28435),#12633,.T.); #337861=ADVANCED_FACE('',(#28436),#12634,.T.); #337862=ADVANCED_FACE('',(#28437),#12635,.T.); #337863=ADVANCED_FACE('',(#28438),#12636,.T.); #337864=ADVANCED_FACE('',(#28439),#12637,.T.); #337865=ADVANCED_FACE('',(#28440),#12638,.T.); #337866=ADVANCED_FACE('',(#28441),#12639,.T.); #337867=ADVANCED_FACE('',(#28442),#12640,.T.); #337868=ADVANCED_FACE('',(#28443),#12641,.T.); #337869=ADVANCED_FACE('',(#28444),#12642,.T.); #337870=ADVANCED_FACE('',(#28445),#12643,.T.); #337871=ADVANCED_FACE('',(#28446),#12644,.F.); #337872=ADVANCED_FACE('',(#28447),#12645,.T.); #337873=ADVANCED_FACE('',(#28448),#12646,.T.); #337874=ADVANCED_FACE('',(#28449),#12647,.T.); #337875=ADVANCED_FACE('',(#28450),#12648,.T.); #337876=ADVANCED_FACE('',(#28451),#12649,.T.); #337877=ADVANCED_FACE('',(#28452),#12650,.T.); #337878=ADVANCED_FACE('',(#28453),#12651,.T.); #337879=ADVANCED_FACE('',(#28454),#12652,.T.); #337880=ADVANCED_FACE('',(#28455),#12653,.T.); #337881=ADVANCED_FACE('',(#28456),#12654,.T.); #337882=ADVANCED_FACE('',(#28457),#12655,.T.); #337883=ADVANCED_FACE('',(#28458),#12656,.T.); #337884=ADVANCED_FACE('',(#28459),#12657,.T.); #337885=ADVANCED_FACE('',(#28460),#12658,.T.); #337886=ADVANCED_FACE('',(#28461),#12659,.T.); #337887=ADVANCED_FACE('',(#28462),#12660,.T.); #337888=ADVANCED_FACE('',(#28463),#12661,.T.); #337889=ADVANCED_FACE('',(#28464),#12662,.T.); #337890=ADVANCED_FACE('',(#28465),#12663,.T.); #337891=ADVANCED_FACE('',(#28466),#12664,.T.); #337892=ADVANCED_FACE('',(#28467),#12665,.T.); #337893=ADVANCED_FACE('',(#28468),#12666,.T.); #337894=ADVANCED_FACE('',(#28469),#12667,.T.); #337895=ADVANCED_FACE('',(#28470),#12668,.T.); #337896=ADVANCED_FACE('',(#28471),#12669,.T.); #337897=ADVANCED_FACE('',(#28472),#12670,.T.); #337898=ADVANCED_FACE('',(#28473),#12671,.T.); #337899=ADVANCED_FACE('',(#28474),#12672,.T.); #337900=ADVANCED_FACE('',(#28475),#12673,.T.); #337901=ADVANCED_FACE('',(#28476),#12674,.T.); #337902=ADVANCED_FACE('',(#28477),#12675,.T.); #337903=ADVANCED_FACE('',(#28478),#12676,.T.); #337904=ADVANCED_FACE('',(#28479),#12677,.T.); #337905=ADVANCED_FACE('',(#28480),#12678,.T.); #337906=ADVANCED_FACE('',(#28481),#12679,.T.); #337907=ADVANCED_FACE('',(#28482),#12680,.T.); #337908=ADVANCED_FACE('',(#28483),#12681,.T.); #337909=ADVANCED_FACE('',(#28484),#12682,.T.); #337910=ADVANCED_FACE('',(#28485),#12683,.F.); #337911=ADVANCED_FACE('',(#28486),#12684,.T.); #337912=ADVANCED_FACE('',(#28487),#12685,.T.); #337913=ADVANCED_FACE('',(#28488),#12686,.T.); #337914=ADVANCED_FACE('',(#28489),#12687,.T.); #337915=ADVANCED_FACE('',(#28490),#12688,.T.); #337916=ADVANCED_FACE('',(#28491),#12689,.T.); #337917=ADVANCED_FACE('',(#28492),#12690,.T.); #337918=ADVANCED_FACE('',(#28493),#12691,.T.); #337919=ADVANCED_FACE('',(#28494),#12692,.T.); #337920=ADVANCED_FACE('',(#28495),#12693,.T.); #337921=ADVANCED_FACE('',(#28496),#12694,.T.); #337922=ADVANCED_FACE('',(#28497),#12695,.T.); #337923=ADVANCED_FACE('',(#28498),#12696,.T.); #337924=ADVANCED_FACE('',(#28499),#12697,.T.); #337925=ADVANCED_FACE('',(#28500),#12698,.T.); #337926=ADVANCED_FACE('',(#28501),#12699,.T.); #337927=ADVANCED_FACE('',(#28502),#12700,.T.); #337928=ADVANCED_FACE('',(#28503),#12701,.T.); #337929=ADVANCED_FACE('',(#28504),#12702,.T.); #337930=ADVANCED_FACE('',(#28505),#12703,.T.); #337931=ADVANCED_FACE('',(#28506),#12704,.T.); #337932=ADVANCED_FACE('',(#28507),#12705,.F.); #337933=ADVANCED_FACE('',(#28508),#12706,.T.); #337934=ADVANCED_FACE('',(#28509),#12707,.T.); #337935=ADVANCED_FACE('',(#28510),#12708,.T.); #337936=ADVANCED_FACE('',(#28511),#12709,.T.); #337937=ADVANCED_FACE('',(#28512),#12710,.T.); #337938=ADVANCED_FACE('',(#28513),#12711,.T.); #337939=ADVANCED_FACE('',(#28514),#12712,.T.); #337940=ADVANCED_FACE('',(#28515),#12713,.T.); #337941=ADVANCED_FACE('',(#28516),#12714,.T.); #337942=ADVANCED_FACE('',(#28517),#12715,.T.); #337943=ADVANCED_FACE('',(#28518),#12716,.T.); #337944=ADVANCED_FACE('',(#28519),#12717,.T.); #337945=ADVANCED_FACE('',(#28520),#12718,.T.); #337946=ADVANCED_FACE('',(#28521),#12719,.T.); #337947=ADVANCED_FACE('',(#28522),#12720,.T.); #337948=ADVANCED_FACE('',(#28523),#12721,.T.); #337949=ADVANCED_FACE('',(#28524),#12722,.T.); #337950=ADVANCED_FACE('',(#28525),#12723,.T.); #337951=ADVANCED_FACE('',(#28526),#12724,.T.); #337952=ADVANCED_FACE('',(#28527),#12725,.T.); #337953=ADVANCED_FACE('',(#28528),#12726,.T.); #337954=ADVANCED_FACE('',(#28529),#12727,.T.); #337955=ADVANCED_FACE('',(#28530),#12728,.T.); #337956=ADVANCED_FACE('',(#28531),#12729,.T.); #337957=ADVANCED_FACE('',(#28532),#12730,.T.); #337958=ADVANCED_FACE('',(#28533),#12731,.T.); #337959=ADVANCED_FACE('',(#28534),#12732,.T.); #337960=ADVANCED_FACE('',(#28535),#12733,.T.); #337961=ADVANCED_FACE('',(#28536),#12734,.T.); #337962=ADVANCED_FACE('',(#28537),#12735,.T.); #337963=ADVANCED_FACE('',(#28538),#12736,.T.); #337964=ADVANCED_FACE('',(#28539),#12737,.T.); #337965=ADVANCED_FACE('',(#28540),#12738,.T.); #337966=ADVANCED_FACE('',(#28541),#12739,.T.); #337967=ADVANCED_FACE('',(#28542),#12740,.T.); #337968=ADVANCED_FACE('',(#28543),#12741,.T.); #337969=ADVANCED_FACE('',(#28544),#12742,.T.); #337970=ADVANCED_FACE('',(#28545),#12743,.T.); #337971=ADVANCED_FACE('',(#28546),#12744,.T.); #337972=ADVANCED_FACE('',(#28547),#12745,.T.); #337973=ADVANCED_FACE('',(#28548),#12746,.T.); #337974=ADVANCED_FACE('',(#28549),#12747,.T.); #337975=ADVANCED_FACE('',(#28550),#12748,.T.); #337976=ADVANCED_FACE('',(#28551),#12749,.T.); #337977=ADVANCED_FACE('',(#28552),#12750,.T.); #337978=ADVANCED_FACE('',(#28553),#12751,.T.); #337979=ADVANCED_FACE('',(#28554),#12752,.T.); #337980=ADVANCED_FACE('',(#28555),#12753,.T.); #337981=ADVANCED_FACE('',(#28556),#12754,.T.); #337982=ADVANCED_FACE('',(#28557),#12755,.F.); #337983=ADVANCED_FACE('',(#28558),#12756,.T.); #337984=ADVANCED_FACE('',(#28559),#12757,.T.); #337985=ADVANCED_FACE('',(#28560),#12758,.T.); #337986=ADVANCED_FACE('',(#28561),#12759,.T.); #337987=ADVANCED_FACE('',(#28562),#12760,.T.); #337988=ADVANCED_FACE('',(#28563),#12761,.T.); #337989=ADVANCED_FACE('',(#28564),#12762,.T.); #337990=ADVANCED_FACE('',(#28565),#12763,.T.); #337991=ADVANCED_FACE('',(#28566),#12764,.T.); #337992=ADVANCED_FACE('',(#28567),#12765,.T.); #337993=ADVANCED_FACE('',(#28568),#12766,.T.); #337994=ADVANCED_FACE('',(#28569),#12767,.T.); #337995=ADVANCED_FACE('',(#28570),#12768,.T.); #337996=ADVANCED_FACE('',(#28571),#12769,.T.); #337997=ADVANCED_FACE('',(#28572),#12770,.T.); #337998=ADVANCED_FACE('',(#28573),#12771,.T.); #337999=ADVANCED_FACE('',(#28574),#12772,.T.); #338000=ADVANCED_FACE('',(#28575),#12773,.T.); #338001=ADVANCED_FACE('',(#28576),#12774,.T.); #338002=ADVANCED_FACE('',(#28577),#12775,.T.); #338003=ADVANCED_FACE('',(#28578),#12776,.T.); #338004=ADVANCED_FACE('',(#28579),#12777,.F.); #338005=ADVANCED_FACE('',(#28580),#12778,.T.); #338006=ADVANCED_FACE('',(#28581),#12779,.T.); #338007=ADVANCED_FACE('',(#28582),#12780,.T.); #338008=ADVANCED_FACE('',(#28583),#12781,.T.); #338009=ADVANCED_FACE('',(#28584),#12782,.T.); #338010=ADVANCED_FACE('',(#28585),#12783,.T.); #338011=ADVANCED_FACE('',(#28586),#12784,.T.); #338012=ADVANCED_FACE('',(#28587),#12785,.T.); #338013=ADVANCED_FACE('',(#28588),#12786,.T.); #338014=ADVANCED_FACE('',(#28589),#12787,.T.); #338015=ADVANCED_FACE('',(#28590),#12788,.T.); #338016=ADVANCED_FACE('',(#28591),#12789,.T.); #338017=ADVANCED_FACE('',(#28592),#12790,.T.); #338018=ADVANCED_FACE('',(#28593),#12791,.T.); #338019=ADVANCED_FACE('',(#28594),#12792,.T.); #338020=ADVANCED_FACE('',(#28595),#12793,.T.); #338021=ADVANCED_FACE('',(#28596),#12794,.T.); #338022=ADVANCED_FACE('',(#28597),#12795,.T.); #338023=ADVANCED_FACE('',(#28598),#12796,.T.); #338024=ADVANCED_FACE('',(#28599),#12797,.T.); #338025=ADVANCED_FACE('',(#28600),#12798,.T.); #338026=ADVANCED_FACE('',(#28601),#12799,.T.); #338027=ADVANCED_FACE('',(#28602),#12800,.T.); #338028=ADVANCED_FACE('',(#28603),#12801,.T.); #338029=ADVANCED_FACE('',(#28604),#12802,.T.); #338030=ADVANCED_FACE('',(#28605),#12803,.T.); #338031=ADVANCED_FACE('',(#28606),#12804,.T.); #338032=ADVANCED_FACE('',(#28607),#12805,.T.); #338033=ADVANCED_FACE('',(#28608),#12806,.T.); #338034=ADVANCED_FACE('',(#28609),#12807,.T.); #338035=ADVANCED_FACE('',(#28610),#12808,.T.); #338036=ADVANCED_FACE('',(#28611),#12809,.T.); #338037=ADVANCED_FACE('',(#28612),#12810,.F.); #338038=ADVANCED_FACE('',(#28613),#12811,.T.); #338039=ADVANCED_FACE('',(#28614),#12812,.T.); #338040=ADVANCED_FACE('',(#28615),#12813,.T.); #338041=ADVANCED_FACE('',(#28616),#12814,.T.); #338042=ADVANCED_FACE('',(#28617),#12815,.T.); #338043=ADVANCED_FACE('',(#28618),#12816,.T.); #338044=ADVANCED_FACE('',(#28619),#12817,.T.); #338045=ADVANCED_FACE('',(#28620),#12818,.T.); #338046=ADVANCED_FACE('',(#28621),#12819,.T.); #338047=ADVANCED_FACE('',(#28622),#12820,.T.); #338048=ADVANCED_FACE('',(#28623),#12821,.T.); #338049=ADVANCED_FACE('',(#28624),#12822,.T.); #338050=ADVANCED_FACE('',(#28625),#12823,.T.); #338051=ADVANCED_FACE('',(#28626),#12824,.T.); #338052=ADVANCED_FACE('',(#28627),#12825,.T.); #338053=ADVANCED_FACE('',(#28628),#12826,.T.); #338054=ADVANCED_FACE('',(#28629),#12827,.T.); #338055=ADVANCED_FACE('',(#28630),#12828,.T.); #338056=ADVANCED_FACE('',(#28631),#12829,.T.); #338057=ADVANCED_FACE('',(#28632),#12830,.T.); #338058=ADVANCED_FACE('',(#28633),#12831,.T.); #338059=ADVANCED_FACE('',(#28634),#12832,.F.); #338060=ADVANCED_FACE('',(#28635),#12833,.T.); #338061=ADVANCED_FACE('',(#28636),#12834,.T.); #338062=ADVANCED_FACE('',(#28637),#12835,.T.); #338063=ADVANCED_FACE('',(#28638),#12836,.T.); #338064=ADVANCED_FACE('',(#28639),#12837,.T.); #338065=ADVANCED_FACE('',(#28640),#12838,.T.); #338066=ADVANCED_FACE('',(#28641),#12839,.T.); #338067=ADVANCED_FACE('',(#28642),#12840,.T.); #338068=ADVANCED_FACE('',(#28643),#12841,.T.); #338069=ADVANCED_FACE('',(#28644),#12842,.T.); #338070=ADVANCED_FACE('',(#28645),#12843,.T.); #338071=ADVANCED_FACE('',(#28646),#12844,.T.); #338072=ADVANCED_FACE('',(#28647),#12845,.T.); #338073=ADVANCED_FACE('',(#28648),#12846,.T.); #338074=ADVANCED_FACE('',(#28649),#12847,.T.); #338075=ADVANCED_FACE('',(#28650),#12848,.T.); #338076=ADVANCED_FACE('',(#28651),#12849,.T.); #338077=ADVANCED_FACE('',(#28652),#12850,.T.); #338078=ADVANCED_FACE('',(#28653),#12851,.T.); #338079=ADVANCED_FACE('',(#28654),#12852,.T.); #338080=ADVANCED_FACE('',(#28655),#12853,.T.); #338081=ADVANCED_FACE('',(#28656),#12854,.T.); #338082=ADVANCED_FACE('',(#28657),#12855,.T.); #338083=ADVANCED_FACE('',(#28658),#12856,.T.); #338084=ADVANCED_FACE('',(#28659),#12857,.T.); #338085=ADVANCED_FACE('',(#28660),#12858,.T.); #338086=ADVANCED_FACE('',(#28661),#12859,.T.); #338087=ADVANCED_FACE('',(#28662),#12860,.T.); #338088=ADVANCED_FACE('',(#28663),#12861,.T.); #338089=ADVANCED_FACE('',(#28664),#12862,.T.); #338090=ADVANCED_FACE('',(#28665),#12863,.T.); #338091=ADVANCED_FACE('',(#28666),#12864,.T.); #338092=ADVANCED_FACE('',(#28667),#12865,.F.); #338093=ADVANCED_FACE('',(#28668),#12866,.T.); #338094=ADVANCED_FACE('',(#28669),#12867,.T.); #338095=ADVANCED_FACE('',(#28670),#12868,.T.); #338096=ADVANCED_FACE('',(#28671),#12869,.T.); #338097=ADVANCED_FACE('',(#28672),#12870,.T.); #338098=ADVANCED_FACE('',(#28673),#12871,.T.); #338099=ADVANCED_FACE('',(#28674),#12872,.T.); #338100=ADVANCED_FACE('',(#28675),#12873,.T.); #338101=ADVANCED_FACE('',(#28676),#12874,.T.); #338102=ADVANCED_FACE('',(#28677),#12875,.T.); #338103=ADVANCED_FACE('',(#28678),#12876,.T.); #338104=ADVANCED_FACE('',(#28679),#12877,.T.); #338105=ADVANCED_FACE('',(#28680),#12878,.T.); #338106=ADVANCED_FACE('',(#28681),#12879,.T.); #338107=ADVANCED_FACE('',(#28682),#12880,.T.); #338108=ADVANCED_FACE('',(#28683),#12881,.T.); #338109=ADVANCED_FACE('',(#28684),#12882,.T.); #338110=ADVANCED_FACE('',(#28685),#12883,.T.); #338111=ADVANCED_FACE('',(#28686),#12884,.T.); #338112=ADVANCED_FACE('',(#28687),#12885,.T.); #338113=ADVANCED_FACE('',(#28688),#12886,.T.); #338114=ADVANCED_FACE('',(#28689),#12887,.T.); #338115=ADVANCED_FACE('',(#28690),#12888,.F.); #338116=ADVANCED_FACE('',(#28691),#12889,.T.); #338117=ADVANCED_FACE('',(#28692),#12890,.T.); #338118=ADVANCED_FACE('',(#28693),#12891,.T.); #338119=ADVANCED_FACE('',(#28694),#12892,.T.); #338120=ADVANCED_FACE('',(#28695),#12893,.T.); #338121=ADVANCED_FACE('',(#28696),#12894,.T.); #338122=ADVANCED_FACE('',(#28697),#12895,.T.); #338123=ADVANCED_FACE('',(#28698),#12896,.T.); #338124=ADVANCED_FACE('',(#28699),#12897,.T.); #338125=ADVANCED_FACE('',(#28700),#12898,.T.); #338126=ADVANCED_FACE('',(#28701),#12899,.T.); #338127=ADVANCED_FACE('',(#28702),#12900,.T.); #338128=ADVANCED_FACE('',(#28703),#12901,.T.); #338129=ADVANCED_FACE('',(#28704),#12902,.T.); #338130=ADVANCED_FACE('',(#28705),#12903,.T.); #338131=ADVANCED_FACE('',(#28706),#12904,.T.); #338132=ADVANCED_FACE('',(#28707),#12905,.T.); #338133=ADVANCED_FACE('',(#28708),#12906,.T.); #338134=ADVANCED_FACE('',(#28709),#12907,.T.); #338135=ADVANCED_FACE('',(#28710),#12908,.T.); #338136=ADVANCED_FACE('',(#28711),#12909,.T.); #338137=ADVANCED_FACE('',(#28712),#12910,.T.); #338138=ADVANCED_FACE('',(#28713),#12911,.T.); #338139=ADVANCED_FACE('',(#28714),#12912,.T.); #338140=ADVANCED_FACE('',(#28715),#12913,.T.); #338141=ADVANCED_FACE('',(#28716),#12914,.T.); #338142=ADVANCED_FACE('',(#28717),#12915,.T.); #338143=ADVANCED_FACE('',(#28718),#12916,.T.); #338144=ADVANCED_FACE('',(#28719),#12917,.T.); #338145=ADVANCED_FACE('',(#28720),#12918,.T.); #338146=ADVANCED_FACE('',(#28721),#12919,.T.); #338147=ADVANCED_FACE('',(#28722),#12920,.T.); #338148=ADVANCED_FACE('',(#28723),#12921,.T.); #338149=ADVANCED_FACE('',(#28724),#12922,.T.); #338150=ADVANCED_FACE('',(#28725),#12923,.T.); #338151=ADVANCED_FACE('',(#28726),#12924,.T.); #338152=ADVANCED_FACE('',(#28727),#12925,.T.); #338153=ADVANCED_FACE('',(#28728),#12926,.T.); #338154=ADVANCED_FACE('',(#28729),#12927,.T.); #338155=ADVANCED_FACE('',(#28730),#12928,.T.); #338156=ADVANCED_FACE('',(#28731),#12929,.T.); #338157=ADVANCED_FACE('',(#28732),#12930,.F.); #338158=ADVANCED_FACE('',(#28733),#12931,.T.); #338159=ADVANCED_FACE('',(#28734),#12932,.T.); #338160=ADVANCED_FACE('',(#28735),#12933,.T.); #338161=ADVANCED_FACE('',(#28736),#12934,.T.); #338162=ADVANCED_FACE('',(#28737),#12935,.T.); #338163=ADVANCED_FACE('',(#28738),#12936,.T.); #338164=ADVANCED_FACE('',(#28739),#12937,.T.); #338165=ADVANCED_FACE('',(#28740),#12938,.T.); #338166=ADVANCED_FACE('',(#28741),#12939,.T.); #338167=ADVANCED_FACE('',(#28742),#12940,.T.); #338168=ADVANCED_FACE('',(#28743),#12941,.T.); #338169=ADVANCED_FACE('',(#28744),#12942,.T.); #338170=ADVANCED_FACE('',(#28745),#12943,.T.); #338171=ADVANCED_FACE('',(#28746),#12944,.T.); #338172=ADVANCED_FACE('',(#28747),#12945,.T.); #338173=ADVANCED_FACE('',(#28748),#12946,.T.); #338174=ADVANCED_FACE('',(#28749),#12947,.T.); #338175=ADVANCED_FACE('',(#28750),#12948,.T.); #338176=ADVANCED_FACE('',(#28751),#12949,.T.); #338177=ADVANCED_FACE('',(#28752),#12950,.T.); #338178=ADVANCED_FACE('',(#28753),#12951,.T.); #338179=ADVANCED_FACE('',(#28754),#12952,.F.); #338180=ADVANCED_FACE('',(#28755),#12953,.T.); #338181=ADVANCED_FACE('',(#28756),#12954,.T.); #338182=ADVANCED_FACE('',(#28757),#12955,.T.); #338183=ADVANCED_FACE('',(#28758),#12956,.T.); #338184=ADVANCED_FACE('',(#28759),#12957,.T.); #338185=ADVANCED_FACE('',(#28760),#12958,.T.); #338186=ADVANCED_FACE('',(#28761),#12959,.T.); #338187=ADVANCED_FACE('',(#28762),#12960,.T.); #338188=ADVANCED_FACE('',(#28763),#12961,.T.); #338189=ADVANCED_FACE('',(#28764),#12962,.T.); #338190=ADVANCED_FACE('',(#28765),#12963,.T.); #338191=ADVANCED_FACE('',(#28766),#12964,.T.); #338192=ADVANCED_FACE('',(#28767),#12965,.T.); #338193=ADVANCED_FACE('',(#28768),#12966,.T.); #338194=ADVANCED_FACE('',(#28769),#12967,.T.); #338195=ADVANCED_FACE('',(#28770),#12968,.T.); #338196=ADVANCED_FACE('',(#28771),#12969,.T.); #338197=ADVANCED_FACE('',(#28772),#12970,.T.); #338198=ADVANCED_FACE('',(#28773),#12971,.T.); #338199=ADVANCED_FACE('',(#28774),#12972,.T.); #338200=ADVANCED_FACE('',(#28775),#12973,.T.); #338201=ADVANCED_FACE('',(#28776),#12974,.F.); #338202=ADVANCED_FACE('',(#28777),#12975,.T.); #338203=ADVANCED_FACE('',(#28778),#12976,.T.); #338204=ADVANCED_FACE('',(#28779),#12977,.T.); #338205=ADVANCED_FACE('',(#28780),#12978,.T.); #338206=ADVANCED_FACE('',(#28781),#12979,.T.); #338207=ADVANCED_FACE('',(#28782),#12980,.T.); #338208=ADVANCED_FACE('',(#28783),#12981,.T.); #338209=ADVANCED_FACE('',(#28784),#12982,.T.); #338210=ADVANCED_FACE('',(#28785),#12983,.T.); #338211=ADVANCED_FACE('',(#28786),#12984,.T.); #338212=ADVANCED_FACE('',(#28787),#12985,.T.); #338213=ADVANCED_FACE('',(#28788),#12986,.T.); #338214=ADVANCED_FACE('',(#28789),#12987,.T.); #338215=ADVANCED_FACE('',(#28790),#12988,.T.); #338216=ADVANCED_FACE('',(#28791),#12989,.T.); #338217=ADVANCED_FACE('',(#28792),#12990,.T.); #338218=ADVANCED_FACE('',(#28793),#12991,.T.); #338219=ADVANCED_FACE('',(#28794),#12992,.T.); #338220=ADVANCED_FACE('',(#28795),#12993,.T.); #338221=ADVANCED_FACE('',(#28796),#12994,.T.); #338222=ADVANCED_FACE('',(#28797),#12995,.T.); #338223=ADVANCED_FACE('',(#28798),#12996,.F.); #338224=ADVANCED_FACE('',(#28799),#12997,.T.); #338225=ADVANCED_FACE('',(#28800),#12998,.T.); #338226=ADVANCED_FACE('',(#28801),#12999,.T.); #338227=ADVANCED_FACE('',(#28802),#13000,.T.); #338228=ADVANCED_FACE('',(#28803),#13001,.T.); #338229=ADVANCED_FACE('',(#28804),#13002,.T.); #338230=ADVANCED_FACE('',(#28805),#13003,.T.); #338231=ADVANCED_FACE('',(#28806),#13004,.T.); #338232=ADVANCED_FACE('',(#28807),#13005,.T.); #338233=ADVANCED_FACE('',(#28808),#13006,.T.); #338234=ADVANCED_FACE('',(#28809),#13007,.T.); #338235=ADVANCED_FACE('',(#28810),#13008,.T.); #338236=ADVANCED_FACE('',(#28811),#13009,.T.); #338237=ADVANCED_FACE('',(#28812),#13010,.T.); #338238=ADVANCED_FACE('',(#28813),#13011,.T.); #338239=ADVANCED_FACE('',(#28814),#13012,.T.); #338240=ADVANCED_FACE('',(#28815),#13013,.T.); #338241=ADVANCED_FACE('',(#28816),#13014,.T.); #338242=ADVANCED_FACE('',(#28817),#13015,.T.); #338243=ADVANCED_FACE('',(#28818),#13016,.T.); #338244=ADVANCED_FACE('',(#28819),#13017,.T.); #338245=ADVANCED_FACE('',(#28820),#13018,.T.); #338246=ADVANCED_FACE('',(#28821),#13019,.T.); #338247=ADVANCED_FACE('',(#28822),#13020,.T.); #338248=ADVANCED_FACE('',(#28823),#13021,.T.); #338249=ADVANCED_FACE('',(#28824),#13022,.T.); #338250=ADVANCED_FACE('',(#28825),#13023,.T.); #338251=ADVANCED_FACE('',(#28826),#13024,.T.); #338252=ADVANCED_FACE('',(#28827),#13025,.T.); #338253=ADVANCED_FACE('',(#28828),#13026,.T.); #338254=ADVANCED_FACE('',(#28829),#13027,.T.); #338255=ADVANCED_FACE('',(#28830),#13028,.T.); #338256=ADVANCED_FACE('',(#28831),#13029,.T.); #338257=ADVANCED_FACE('',(#28832),#13030,.T.); #338258=ADVANCED_FACE('',(#28833),#13031,.T.); #338259=ADVANCED_FACE('',(#28834),#13032,.T.); #338260=ADVANCED_FACE('',(#28835),#13033,.T.); #338261=ADVANCED_FACE('',(#28836),#13034,.T.); #338262=ADVANCED_FACE('',(#28837),#13035,.T.); #338263=ADVANCED_FACE('',(#28838),#13036,.T.); #338264=ADVANCED_FACE('',(#28839),#13037,.T.); #338265=ADVANCED_FACE('',(#28840),#13038,.F.); #338266=ADVANCED_FACE('',(#28841),#329037,.F.); #338267=ADVANCED_FACE('',(#28842),#13039,.F.); #338268=ADVANCED_FACE('',(#28843),#329038,.F.); #338269=ADVANCED_FACE('',(#28844),#13040,.F.); #338270=ADVANCED_FACE('',(#28845),#329039,.F.); #338271=ADVANCED_FACE('',(#28846),#13041,.T.); #338272=ADVANCED_FACE('',(#28847),#13042,.T.); #338273=ADVANCED_FACE('',(#28848),#13043,.T.); #338274=ADVANCED_FACE('',(#28849),#13044,.T.); #338275=ADVANCED_FACE('',(#28850),#13045,.T.); #338276=ADVANCED_FACE('',(#28851),#13046,.T.); #338277=ADVANCED_FACE('',(#28852),#13047,.T.); #338278=ADVANCED_FACE('',(#28853),#13048,.T.); #338279=ADVANCED_FACE('',(#28854),#13049,.T.); #338280=ADVANCED_FACE('',(#28855),#13050,.T.); #338281=ADVANCED_FACE('',(#28856),#13051,.T.); #338282=ADVANCED_FACE('',(#28857),#13052,.T.); #338283=ADVANCED_FACE('',(#28858),#13053,.T.); #338284=ADVANCED_FACE('',(#28859),#13054,.T.); #338285=ADVANCED_FACE('',(#28860),#13055,.T.); #338286=ADVANCED_FACE('',(#28861),#13056,.T.); #338287=ADVANCED_FACE('',(#28862),#13057,.T.); #338288=ADVANCED_FACE('',(#28863),#13058,.T.); #338289=ADVANCED_FACE('',(#28864),#13059,.T.); #338290=ADVANCED_FACE('',(#28865),#13060,.T.); #338291=ADVANCED_FACE('',(#28866),#13061,.T.); #338292=ADVANCED_FACE('',(#28867),#13062,.T.); #338293=ADVANCED_FACE('',(#28868),#13063,.T.); #338294=ADVANCED_FACE('',(#28869),#13064,.T.); #338295=ADVANCED_FACE('',(#28870),#13065,.T.); #338296=ADVANCED_FACE('',(#28871),#13066,.T.); #338297=ADVANCED_FACE('',(#28872),#13067,.T.); #338298=ADVANCED_FACE('',(#28873),#13068,.T.); #338299=ADVANCED_FACE('',(#28874),#13069,.T.); #338300=ADVANCED_FACE('',(#28875),#13070,.T.); #338301=ADVANCED_FACE('',(#28876),#13071,.T.); #338302=ADVANCED_FACE('',(#28877),#13072,.T.); #338303=ADVANCED_FACE('',(#28878),#13073,.T.); #338304=ADVANCED_FACE('',(#28879),#13074,.T.); #338305=ADVANCED_FACE('',(#28880),#13075,.T.); #338306=ADVANCED_FACE('',(#28881),#13076,.T.); #338307=ADVANCED_FACE('',(#28882),#13077,.T.); #338308=ADVANCED_FACE('',(#28883),#13078,.T.); #338309=ADVANCED_FACE('',(#28884),#13079,.T.); #338310=ADVANCED_FACE('',(#28885),#13080,.T.); #338311=ADVANCED_FACE('',(#28886),#13081,.T.); #338312=ADVANCED_FACE('',(#28887),#13082,.T.); #338313=ADVANCED_FACE('',(#28888),#13083,.T.); #338314=ADVANCED_FACE('',(#28889),#13084,.T.); #338315=ADVANCED_FACE('',(#28890),#13085,.T.); #338316=ADVANCED_FACE('',(#28891),#13086,.T.); #338317=ADVANCED_FACE('',(#28892),#13087,.T.); #338318=ADVANCED_FACE('',(#28893),#13088,.T.); #338319=ADVANCED_FACE('',(#28894),#13089,.T.); #338320=ADVANCED_FACE('',(#28895),#13090,.T.); #338321=ADVANCED_FACE('',(#28896),#13091,.T.); #338322=ADVANCED_FACE('',(#28897),#13092,.T.); #338323=ADVANCED_FACE('',(#28898),#13093,.T.); #338324=ADVANCED_FACE('',(#28899),#13094,.T.); #338325=ADVANCED_FACE('',(#28900),#13095,.T.); #338326=ADVANCED_FACE('',(#28901),#13096,.T.); #338327=ADVANCED_FACE('',(#28902),#13097,.T.); #338328=ADVANCED_FACE('',(#28903),#13098,.T.); #338329=ADVANCED_FACE('',(#28904),#13099,.T.); #338330=ADVANCED_FACE('',(#28905),#13100,.T.); #338331=ADVANCED_FACE('',(#28906),#13101,.T.); #338332=ADVANCED_FACE('',(#28907),#13102,.T.); #338333=ADVANCED_FACE('',(#28908),#13103,.T.); #338334=ADVANCED_FACE('',(#28909),#13104,.T.); #338335=ADVANCED_FACE('',(#28910),#13105,.T.); #338336=ADVANCED_FACE('',(#28911),#13106,.T.); #338337=ADVANCED_FACE('',(#28912),#13107,.T.); #338338=ADVANCED_FACE('',(#28913),#13108,.T.); #338339=ADVANCED_FACE('',(#28914),#13109,.T.); #338340=ADVANCED_FACE('',(#28915),#13110,.T.); #338341=ADVANCED_FACE('',(#28916),#13111,.T.); #338342=ADVANCED_FACE('',(#28917),#13112,.T.); #338343=ADVANCED_FACE('',(#28918),#13113,.T.); #338344=ADVANCED_FACE('',(#28919),#13114,.T.); #338345=ADVANCED_FACE('',(#28920),#13115,.T.); #338346=ADVANCED_FACE('',(#28921),#13116,.T.); #338347=ADVANCED_FACE('',(#28922),#13117,.T.); #338348=ADVANCED_FACE('',(#28923),#13118,.T.); #338349=ADVANCED_FACE('',(#28924),#13119,.T.); #338350=ADVANCED_FACE('',(#28925),#13120,.T.); #338351=ADVANCED_FACE('',(#28926),#13121,.T.); #338352=ADVANCED_FACE('',(#28927),#13122,.T.); #338353=ADVANCED_FACE('',(#28928),#13123,.T.); #338354=ADVANCED_FACE('',(#28929),#13124,.T.); #338355=ADVANCED_FACE('',(#28930),#13125,.T.); #338356=ADVANCED_FACE('',(#28931),#13126,.T.); #338357=ADVANCED_FACE('',(#28932),#13127,.T.); #338358=ADVANCED_FACE('',(#28933),#13128,.T.); #338359=ADVANCED_FACE('',(#28934),#13129,.T.); #338360=ADVANCED_FACE('',(#28935),#13130,.T.); #338361=ADVANCED_FACE('',(#28936),#13131,.T.); #338362=ADVANCED_FACE('',(#28937),#13132,.T.); #338363=ADVANCED_FACE('',(#28938),#13133,.T.); #338364=ADVANCED_FACE('',(#28939,#5324,#5325),#13134,.T.); #338365=ADVANCED_FACE('',(#28940,#5326,#5327),#13135,.F.); #338366=ADVANCED_FACE('',(#28941),#13136,.T.); #338367=ADVANCED_FACE('',(#28942),#13137,.T.); #338368=ADVANCED_FACE('',(#28943),#13138,.T.); #338369=ADVANCED_FACE('',(#28944),#13139,.T.); #338370=ADVANCED_FACE('',(#28945),#13140,.T.); #338371=ADVANCED_FACE('',(#28946),#13141,.T.); #338372=ADVANCED_FACE('',(#28947),#13142,.T.); #338373=ADVANCED_FACE('',(#28948),#13143,.T.); #338374=ADVANCED_FACE('',(#28949),#13144,.T.); #338375=ADVANCED_FACE('',(#28950),#13145,.T.); #338376=ADVANCED_FACE('',(#28951),#13146,.T.); #338377=ADVANCED_FACE('',(#28952),#13147,.T.); #338378=ADVANCED_FACE('',(#28953),#13148,.T.); #338379=ADVANCED_FACE('',(#28954),#13149,.T.); #338380=ADVANCED_FACE('',(#28955),#13150,.T.); #338381=ADVANCED_FACE('',(#28956),#13151,.T.); #338382=ADVANCED_FACE('',(#28957),#13152,.T.); #338383=ADVANCED_FACE('',(#28958),#13153,.T.); #338384=ADVANCED_FACE('',(#28959),#13154,.T.); #338385=ADVANCED_FACE('',(#28960),#13155,.T.); #338386=ADVANCED_FACE('',(#28961),#13156,.T.); #338387=ADVANCED_FACE('',(#28962),#13157,.F.); #338388=ADVANCED_FACE('',(#28963),#329040,.F.); #338389=ADVANCED_FACE('',(#28964),#329041,.F.); #338390=ADVANCED_FACE('',(#28965),#329042,.F.); #338391=ADVANCED_FACE('',(#28966),#329043,.F.); #338392=ADVANCED_FACE('',(#28967),#329044,.F.); #338393=ADVANCED_FACE('',(#28968),#329045,.F.); #338394=ADVANCED_FACE('',(#28969),#329046,.F.); #338395=ADVANCED_FACE('',(#28970),#329047,.F.); #338396=ADVANCED_FACE('',(#28971),#329048,.F.); #338397=ADVANCED_FACE('',(#28972),#329049,.F.); #338398=ADVANCED_FACE('',(#28973),#329050,.F.); #338399=ADVANCED_FACE('',(#28974),#329051,.F.); #338400=ADVANCED_FACE('',(#28975),#329052,.F.); #338401=ADVANCED_FACE('',(#28976),#329053,.F.); #338402=ADVANCED_FACE('',(#28977),#329054,.F.); #338403=ADVANCED_FACE('',(#28978),#13158,.T.); #338404=ADVANCED_FACE('',(#28979),#13159,.T.); #338405=ADVANCED_FACE('',(#28980),#13160,.T.); #338406=ADVANCED_FACE('',(#28981),#13161,.T.); #338407=ADVANCED_FACE('',(#28982),#13162,.T.); #338408=ADVANCED_FACE('',(#28983),#13163,.T.); #338409=ADVANCED_FACE('',(#28984),#13164,.T.); #338410=ADVANCED_FACE('',(#28985),#13165,.T.); #338411=ADVANCED_FACE('',(#28986),#13166,.T.); #338412=ADVANCED_FACE('',(#28987),#13167,.T.); #338413=ADVANCED_FACE('',(#28988),#13168,.T.); #338414=ADVANCED_FACE('',(#28989),#13169,.T.); #338415=ADVANCED_FACE('',(#28990),#13170,.T.); #338416=ADVANCED_FACE('',(#28991),#13171,.T.); #338417=ADVANCED_FACE('',(#28992),#13172,.T.); #338418=ADVANCED_FACE('',(#28993),#13173,.T.); #338419=ADVANCED_FACE('',(#28994),#13174,.T.); #338420=ADVANCED_FACE('',(#28995),#13175,.T.); #338421=ADVANCED_FACE('',(#28996),#13176,.T.); #338422=ADVANCED_FACE('',(#28997),#13177,.T.); #338423=ADVANCED_FACE('',(#28998),#13178,.T.); #338424=ADVANCED_FACE('',(#28999),#13179,.T.); #338425=ADVANCED_FACE('',(#29000),#13180,.T.); #338426=ADVANCED_FACE('',(#29001),#13181,.T.); #338427=ADVANCED_FACE('',(#29002),#13182,.T.); #338428=ADVANCED_FACE('',(#29003),#13183,.T.); #338429=ADVANCED_FACE('',(#29004),#13184,.T.); #338430=ADVANCED_FACE('',(#29005),#13185,.T.); #338431=ADVANCED_FACE('',(#29006),#13186,.T.); #338432=ADVANCED_FACE('',(#29007),#13187,.T.); #338433=ADVANCED_FACE('',(#29008),#13188,.T.); #338434=ADVANCED_FACE('',(#29009),#13189,.T.); #338435=ADVANCED_FACE('',(#29010),#13190,.T.); #338436=ADVANCED_FACE('',(#29011),#13191,.T.); #338437=ADVANCED_FACE('',(#29012),#13192,.T.); #338438=ADVANCED_FACE('',(#29013),#13193,.T.); #338439=ADVANCED_FACE('',(#29014),#13194,.T.); #338440=ADVANCED_FACE('',(#29015),#13195,.T.); #338441=ADVANCED_FACE('',(#29016),#13196,.T.); #338442=ADVANCED_FACE('',(#29017),#13197,.T.); #338443=ADVANCED_FACE('',(#29018),#13198,.T.); #338444=ADVANCED_FACE('',(#29019,#5328,#5329,#5330,#5331,#5332,#5333,#5334, #5335,#5336,#5337,#5338,#5339,#5340,#5341,#5342),#13199,.T.); #338445=ADVANCED_FACE('',(#29020,#5343,#5344,#5345,#5346,#5347,#5348,#5349, #5350,#5351,#5352,#5353,#5354,#5355,#5356,#5357),#13200,.F.); #338446=ADVANCED_FACE('',(#29021),#329055,.F.); #338447=ADVANCED_FACE('',(#29022),#13201,.T.); #338448=ADVANCED_FACE('',(#29023),#13202,.T.); #338449=ADVANCED_FACE('',(#29024),#13203,.T.); #338450=ADVANCED_FACE('',(#29025),#13204,.T.); #338451=ADVANCED_FACE('',(#29026),#13205,.T.); #338452=ADVANCED_FACE('',(#29027),#329056,.T.); #338453=ADVANCED_FACE('',(#29028),#13206,.T.); #338454=ADVANCED_FACE('',(#29029),#13207,.T.); #338455=ADVANCED_FACE('',(#29030),#329057,.T.); #338456=ADVANCED_FACE('',(#29031),#13208,.T.); #338457=ADVANCED_FACE('',(#29032),#13209,.T.); #338458=ADVANCED_FACE('',(#29033),#13210,.T.); #338459=ADVANCED_FACE('',(#29034),#13211,.T.); #338460=ADVANCED_FACE('',(#29035),#13212,.T.); #338461=ADVANCED_FACE('',(#29036),#13213,.T.); #338462=ADVANCED_FACE('',(#29037),#13214,.T.); #338463=ADVANCED_FACE('',(#29038),#13215,.T.); #338464=ADVANCED_FACE('',(#29039),#13216,.T.); #338465=ADVANCED_FACE('',(#29040),#13217,.T.); #338466=ADVANCED_FACE('',(#29041),#13218,.T.); #338467=ADVANCED_FACE('',(#29042),#13219,.T.); #338468=ADVANCED_FACE('',(#29043),#13220,.T.); #338469=ADVANCED_FACE('',(#29044),#13221,.T.); #338470=ADVANCED_FACE('',(#29045),#13222,.T.); #338471=ADVANCED_FACE('',(#29046),#13223,.T.); #338472=ADVANCED_FACE('',(#29047),#329058,.T.); #338473=ADVANCED_FACE('',(#29048),#13224,.T.); #338474=ADVANCED_FACE('',(#29049),#329059,.T.); #338475=ADVANCED_FACE('',(#29050),#13225,.T.); #338476=ADVANCED_FACE('',(#29051),#329060,.T.); #338477=ADVANCED_FACE('',(#29052),#13226,.T.); #338478=ADVANCED_FACE('',(#29053),#13227,.T.); #338479=ADVANCED_FACE('',(#29054),#13228,.T.); #338480=ADVANCED_FACE('',(#29055),#329061,.T.); #338481=ADVANCED_FACE('',(#29056),#13229,.T.); #338482=ADVANCED_FACE('',(#29057),#329062,.T.); #338483=ADVANCED_FACE('',(#29058),#13230,.T.); #338484=ADVANCED_FACE('',(#29059),#13231,.T.); #338485=ADVANCED_FACE('',(#29060),#329063,.T.); #338486=ADVANCED_FACE('',(#29061),#13232,.T.); #338487=ADVANCED_FACE('',(#29062),#13233,.T.); #338488=ADVANCED_FACE('',(#29063),#13234,.T.); #338489=ADVANCED_FACE('',(#29064,#5358),#13235,.T.); #338490=ADVANCED_FACE('',(#29065,#5359),#13236,.F.); #338491=ADVANCED_FACE('',(#29066),#329064,.F.); #338492=ADVANCED_FACE('',(#29067),#329065,.F.); #338493=ADVANCED_FACE('',(#29068),#329066,.F.); #338494=ADVANCED_FACE('',(#29069),#329067,.F.); #338495=ADVANCED_FACE('',(#29070),#329068,.F.); #338496=ADVANCED_FACE('',(#29071),#329069,.F.); #338497=ADVANCED_FACE('',(#29072),#329070,.F.); #338498=ADVANCED_FACE('',(#29073),#329071,.F.); #338499=ADVANCED_FACE('',(#29074),#329072,.F.); #338500=ADVANCED_FACE('',(#29075),#329073,.F.); #338501=ADVANCED_FACE('',(#29076),#329074,.F.); #338502=ADVANCED_FACE('',(#29077),#329075,.F.); #338503=ADVANCED_FACE('',(#29078),#329076,.F.); #338504=ADVANCED_FACE('',(#29079),#329077,.F.); #338505=ADVANCED_FACE('',(#29080),#329078,.F.); #338506=ADVANCED_FACE('',(#29081),#13237,.T.); #338507=ADVANCED_FACE('',(#29082),#13238,.T.); #338508=ADVANCED_FACE('',(#29083),#13239,.T.); #338509=ADVANCED_FACE('',(#29084),#13240,.T.); #338510=ADVANCED_FACE('',(#29085),#13241,.T.); #338511=ADVANCED_FACE('',(#29086),#13242,.T.); #338512=ADVANCED_FACE('',(#29087),#13243,.T.); #338513=ADVANCED_FACE('',(#29088),#13244,.T.); #338514=ADVANCED_FACE('',(#29089),#13245,.T.); #338515=ADVANCED_FACE('',(#29090),#13246,.T.); #338516=ADVANCED_FACE('',(#29091),#13247,.T.); #338517=ADVANCED_FACE('',(#29092),#13248,.T.); #338518=ADVANCED_FACE('',(#29093),#13249,.T.); #338519=ADVANCED_FACE('',(#29094),#13250,.T.); #338520=ADVANCED_FACE('',(#29095),#13251,.T.); #338521=ADVANCED_FACE('',(#29096),#13252,.T.); #338522=ADVANCED_FACE('',(#29097),#13253,.T.); #338523=ADVANCED_FACE('',(#29098),#13254,.T.); #338524=ADVANCED_FACE('',(#29099),#13255,.T.); #338525=ADVANCED_FACE('',(#29100),#13256,.T.); #338526=ADVANCED_FACE('',(#29101),#13257,.T.); #338527=ADVANCED_FACE('',(#29102),#13258,.T.); #338528=ADVANCED_FACE('',(#29103),#13259,.T.); #338529=ADVANCED_FACE('',(#29104),#13260,.T.); #338530=ADVANCED_FACE('',(#29105),#13261,.T.); #338531=ADVANCED_FACE('',(#29106),#13262,.T.); #338532=ADVANCED_FACE('',(#29107),#13263,.T.); #338533=ADVANCED_FACE('',(#29108),#13264,.T.); #338534=ADVANCED_FACE('',(#29109),#13265,.T.); #338535=ADVANCED_FACE('',(#29110),#13266,.T.); #338536=ADVANCED_FACE('',(#29111),#13267,.T.); #338537=ADVANCED_FACE('',(#29112),#13268,.T.); #338538=ADVANCED_FACE('',(#29113),#13269,.T.); #338539=ADVANCED_FACE('',(#29114),#13270,.T.); #338540=ADVANCED_FACE('',(#29115),#13271,.T.); #338541=ADVANCED_FACE('',(#29116),#13272,.T.); #338542=ADVANCED_FACE('',(#29117),#13273,.T.); #338543=ADVANCED_FACE('',(#29118),#13274,.T.); #338544=ADVANCED_FACE('',(#29119),#13275,.T.); #338545=ADVANCED_FACE('',(#29120),#13276,.T.); #338546=ADVANCED_FACE('',(#29121),#13277,.T.); #338547=ADVANCED_FACE('',(#29122),#13278,.T.); #338548=ADVANCED_FACE('',(#29123),#13279,.T.); #338549=ADVANCED_FACE('',(#29124),#13280,.T.); #338550=ADVANCED_FACE('',(#29125),#13281,.T.); #338551=ADVANCED_FACE('',(#29126),#13282,.T.); #338552=ADVANCED_FACE('',(#29127),#13283,.T.); #338553=ADVANCED_FACE('',(#29128),#13284,.T.); #338554=ADVANCED_FACE('',(#29129),#13285,.T.); #338555=ADVANCED_FACE('',(#29130,#5360,#5361,#5362,#5363,#5364,#5365,#5366, #5367,#5368,#5369,#5370,#5371,#5372,#5373,#5374),#13286,.T.); #338556=ADVANCED_FACE('',(#29131,#5375,#5376,#5377,#5378,#5379,#5380,#5381, #5382,#5383,#5384,#5385,#5386,#5387,#5388,#5389),#13287,.F.); #338557=ADVANCED_FACE('',(#29132),#329079,.F.); #338558=ADVANCED_FACE('',(#29133),#13288,.T.); #338559=ADVANCED_FACE('',(#29134),#13289,.T.); #338560=ADVANCED_FACE('',(#29135),#13290,.T.); #338561=ADVANCED_FACE('',(#29136),#13291,.T.); #338562=ADVANCED_FACE('',(#29137),#13292,.T.); #338563=ADVANCED_FACE('',(#29138),#13293,.T.); #338564=ADVANCED_FACE('',(#29139),#329080,.T.); #338565=ADVANCED_FACE('',(#29140),#13294,.T.); #338566=ADVANCED_FACE('',(#29141),#13295,.T.); #338567=ADVANCED_FACE('',(#29142),#329081,.T.); #338568=ADVANCED_FACE('',(#29143),#13296,.T.); #338569=ADVANCED_FACE('',(#29144),#329082,.T.); #338570=ADVANCED_FACE('',(#29145),#13297,.T.); #338571=ADVANCED_FACE('',(#29146),#13298,.T.); #338572=ADVANCED_FACE('',(#29147),#13299,.T.); #338573=ADVANCED_FACE('',(#29148),#329083,.T.); #338574=ADVANCED_FACE('',(#29149),#13300,.T.); #338575=ADVANCED_FACE('',(#29150),#329084,.T.); #338576=ADVANCED_FACE('',(#29151),#13301,.T.); #338577=ADVANCED_FACE('',(#29152),#329085,.T.); #338578=ADVANCED_FACE('',(#29153),#13302,.T.); #338579=ADVANCED_FACE('',(#29154),#13303,.T.); #338580=ADVANCED_FACE('',(#29155),#13304,.T.); #338581=ADVANCED_FACE('',(#29156),#13305,.T.); #338582=ADVANCED_FACE('',(#29157),#13306,.T.); #338583=ADVANCED_FACE('',(#29158),#13307,.T.); #338584=ADVANCED_FACE('',(#29159),#13308,.T.); #338585=ADVANCED_FACE('',(#29160),#13309,.T.); #338586=ADVANCED_FACE('',(#29161),#13310,.T.); #338587=ADVANCED_FACE('',(#29162),#13311,.T.); #338588=ADVANCED_FACE('',(#29163),#13312,.T.); #338589=ADVANCED_FACE('',(#29164),#13313,.T.); #338590=ADVANCED_FACE('',(#29165),#13314,.T.); #338591=ADVANCED_FACE('',(#29166),#13315,.T.); #338592=ADVANCED_FACE('',(#29167),#13316,.T.); #338593=ADVANCED_FACE('',(#29168),#13317,.T.); #338594=ADVANCED_FACE('',(#29169),#329086,.T.); #338595=ADVANCED_FACE('',(#29170),#13318,.T.); #338596=ADVANCED_FACE('',(#29171),#13319,.T.); #338597=ADVANCED_FACE('',(#29172),#329087,.T.); #338598=ADVANCED_FACE('',(#29173),#13320,.T.); #338599=ADVANCED_FACE('',(#29174),#13321,.T.); #338600=ADVANCED_FACE('',(#29175,#5390),#13322,.T.); #338601=ADVANCED_FACE('',(#29176,#5391),#13323,.F.); #338602=ADVANCED_FACE('',(#29177),#329088,.F.); #338603=ADVANCED_FACE('',(#29178),#329089,.F.); #338604=ADVANCED_FACE('',(#29179),#329090,.F.); #338605=ADVANCED_FACE('',(#29180),#329091,.F.); #338606=ADVANCED_FACE('',(#29181),#329092,.F.); #338607=ADVANCED_FACE('',(#29182),#329093,.F.); #338608=ADVANCED_FACE('',(#29183),#329094,.F.); #338609=ADVANCED_FACE('',(#29184),#329095,.F.); #338610=ADVANCED_FACE('',(#29185),#329096,.F.); #338611=ADVANCED_FACE('',(#29186),#329097,.F.); #338612=ADVANCED_FACE('',(#29187),#329098,.F.); #338613=ADVANCED_FACE('',(#29188),#329099,.F.); #338614=ADVANCED_FACE('',(#29189),#329100,.F.); #338615=ADVANCED_FACE('',(#29190),#329101,.F.); #338616=ADVANCED_FACE('',(#29191),#329102,.F.); #338617=ADVANCED_FACE('',(#29192),#329103,.F.); #338618=ADVANCED_FACE('',(#29193),#329104,.F.); #338619=ADVANCED_FACE('',(#29194),#329105,.F.); #338620=ADVANCED_FACE('',(#29195),#329106,.F.); #338621=ADVANCED_FACE('',(#29196),#329107,.F.); #338622=ADVANCED_FACE('',(#29197),#329108,.F.); #338623=ADVANCED_FACE('',(#29198),#329109,.F.); #338624=ADVANCED_FACE('',(#29199),#329110,.F.); #338625=ADVANCED_FACE('',(#29200),#329111,.F.); #338626=ADVANCED_FACE('',(#29201),#329112,.F.); #338627=ADVANCED_FACE('',(#29202),#329113,.F.); #338628=ADVANCED_FACE('',(#29203),#329114,.F.); #338629=ADVANCED_FACE('',(#29204),#329115,.F.); #338630=ADVANCED_FACE('',(#29205),#329116,.F.); #338631=ADVANCED_FACE('',(#29206),#329117,.F.); #338632=ADVANCED_FACE('',(#29207),#329118,.F.); #338633=ADVANCED_FACE('',(#29208),#13324,.T.); #338634=ADVANCED_FACE('',(#29209),#329119,.T.); #338635=ADVANCED_FACE('',(#29210),#13325,.T.); #338636=ADVANCED_FACE('',(#29211),#13326,.T.); #338637=ADVANCED_FACE('',(#29212),#13327,.T.); #338638=ADVANCED_FACE('',(#29213),#329120,.T.); #338639=ADVANCED_FACE('',(#29214),#13328,.T.); #338640=ADVANCED_FACE('',(#29215),#329121,.T.); #338641=ADVANCED_FACE('',(#29216),#13329,.T.); #338642=ADVANCED_FACE('',(#29217),#13330,.T.); #338643=ADVANCED_FACE('',(#29218),#13331,.T.); #338644=ADVANCED_FACE('',(#29219),#13332,.T.); #338645=ADVANCED_FACE('',(#29220),#13333,.T.); #338646=ADVANCED_FACE('',(#29221),#13334,.T.); #338647=ADVANCED_FACE('',(#29222),#13335,.T.); #338648=ADVANCED_FACE('',(#29223),#13336,.T.); #338649=ADVANCED_FACE('',(#29224),#13337,.T.); #338650=ADVANCED_FACE('',(#29225),#13338,.T.); #338651=ADVANCED_FACE('',(#29226),#13339,.T.); #338652=ADVANCED_FACE('',(#29227),#13340,.T.); #338653=ADVANCED_FACE('',(#29228),#13341,.T.); #338654=ADVANCED_FACE('',(#29229),#13342,.T.); #338655=ADVANCED_FACE('',(#29230),#13343,.T.); #338656=ADVANCED_FACE('',(#29231),#13344,.T.); #338657=ADVANCED_FACE('',(#29232),#13345,.T.); #338658=ADVANCED_FACE('',(#29233),#13346,.T.); #338659=ADVANCED_FACE('',(#29234),#13347,.T.); #338660=ADVANCED_FACE('',(#29235),#13348,.T.); #338661=ADVANCED_FACE('',(#29236),#13349,.T.); #338662=ADVANCED_FACE('',(#29237),#13350,.T.); #338663=ADVANCED_FACE('',(#29238),#13351,.T.); #338664=ADVANCED_FACE('',(#29239),#13352,.T.); #338665=ADVANCED_FACE('',(#29240),#13353,.T.); #338666=ADVANCED_FACE('',(#29241),#13354,.T.); #338667=ADVANCED_FACE('',(#29242),#13355,.T.); #338668=ADVANCED_FACE('',(#29243),#13356,.T.); #338669=ADVANCED_FACE('',(#29244),#13357,.T.); #338670=ADVANCED_FACE('',(#29245),#13358,.T.); #338671=ADVANCED_FACE('',(#29246),#13359,.T.); #338672=ADVANCED_FACE('',(#29247),#13360,.T.); #338673=ADVANCED_FACE('',(#29248),#13361,.T.); #338674=ADVANCED_FACE('',(#29249),#13362,.T.); #338675=ADVANCED_FACE('',(#29250),#13363,.T.); #338676=ADVANCED_FACE('',(#29251),#13364,.T.); #338677=ADVANCED_FACE('',(#29252),#13365,.T.); #338678=ADVANCED_FACE('',(#29253),#13366,.T.); #338679=ADVANCED_FACE('',(#29254),#13367,.T.); #338680=ADVANCED_FACE('',(#29255),#13368,.T.); #338681=ADVANCED_FACE('',(#29256),#13369,.T.); #338682=ADVANCED_FACE('',(#29257),#13370,.T.); #338683=ADVANCED_FACE('',(#29258),#13371,.T.); #338684=ADVANCED_FACE('',(#29259),#13372,.T.); #338685=ADVANCED_FACE('',(#29260),#13373,.T.); #338686=ADVANCED_FACE('',(#29261),#13374,.T.); #338687=ADVANCED_FACE('',(#29262),#13375,.T.); #338688=ADVANCED_FACE('',(#29263),#13376,.T.); #338689=ADVANCED_FACE('',(#29264),#13377,.T.); #338690=ADVANCED_FACE('',(#29265),#13378,.T.); #338691=ADVANCED_FACE('',(#29266),#13379,.T.); #338692=ADVANCED_FACE('',(#29267),#13380,.T.); #338693=ADVANCED_FACE('',(#29268),#13381,.T.); #338694=ADVANCED_FACE('',(#29269),#13382,.T.); #338695=ADVANCED_FACE('',(#29270),#13383,.T.); #338696=ADVANCED_FACE('',(#29271),#13384,.T.); #338697=ADVANCED_FACE('',(#29272),#13385,.T.); #338698=ADVANCED_FACE('',(#29273),#13386,.T.); #338699=ADVANCED_FACE('',(#29274),#13387,.T.); #338700=ADVANCED_FACE('',(#29275),#13388,.T.); #338701=ADVANCED_FACE('',(#29276),#13389,.T.); #338702=ADVANCED_FACE('',(#29277),#13390,.T.); #338703=ADVANCED_FACE('',(#29278),#13391,.T.); #338704=ADVANCED_FACE('',(#29279),#13392,.T.); #338705=ADVANCED_FACE('',(#29280),#13393,.T.); #338706=ADVANCED_FACE('',(#29281),#13394,.T.); #338707=ADVANCED_FACE('',(#29282),#13395,.T.); #338708=ADVANCED_FACE('',(#29283),#13396,.T.); #338709=ADVANCED_FACE('',(#29284),#13397,.T.); #338710=ADVANCED_FACE('',(#29285),#13398,.T.); #338711=ADVANCED_FACE('',(#29286),#13399,.T.); #338712=ADVANCED_FACE('',(#29287),#13400,.T.); #338713=ADVANCED_FACE('',(#29288),#329122,.T.); #338714=ADVANCED_FACE('',(#29289),#13401,.T.); #338715=ADVANCED_FACE('',(#29290),#329123,.T.); #338716=ADVANCED_FACE('',(#29291),#13402,.T.); #338717=ADVANCED_FACE('',(#29292),#329124,.T.); #338718=ADVANCED_FACE('',(#29293,#5392,#5393,#5394,#5395,#5396,#5397,#5398, #5399,#5400,#5401,#5402,#5403,#5404,#5405,#5406,#5407,#5408,#5409,#5410, #5411,#5412,#5413,#5414,#5415,#5416,#5417,#5418,#5419,#5420,#5421,#5422), #13403,.T.); #338719=ADVANCED_FACE('',(#29294,#5423,#5424,#5425,#5426,#5427,#5428,#5429, #5430,#5431,#5432,#5433,#5434,#5435,#5436,#5437,#5438,#5439,#5440,#5441, #5442,#5443,#5444,#5445,#5446,#5447,#5448,#5449,#5450,#5451,#5452,#5453), #13404,.F.); #338720=ADVANCED_FACE('',(#29295),#329125,.F.); #338721=ADVANCED_FACE('',(#29296),#13405,.T.); #338722=ADVANCED_FACE('',(#29297),#13406,.T.); #338723=ADVANCED_FACE('',(#29298),#13407,.T.); #338724=ADVANCED_FACE('',(#29299),#13408,.T.); #338725=ADVANCED_FACE('',(#29300),#13409,.T.); #338726=ADVANCED_FACE('',(#29301),#13410,.T.); #338727=ADVANCED_FACE('',(#29302),#329126,.T.); #338728=ADVANCED_FACE('',(#29303),#13411,.T.); #338729=ADVANCED_FACE('',(#29304),#13412,.T.); #338730=ADVANCED_FACE('',(#29305),#329127,.T.); #338731=ADVANCED_FACE('',(#29306),#13413,.T.); #338732=ADVANCED_FACE('',(#29307),#329128,.T.); #338733=ADVANCED_FACE('',(#29308),#329129,.T.); #338734=ADVANCED_FACE('',(#29309),#13414,.T.); #338735=ADVANCED_FACE('',(#29310),#13415,.T.); #338736=ADVANCED_FACE('',(#29311),#329130,.T.); #338737=ADVANCED_FACE('',(#29312),#13416,.T.); #338738=ADVANCED_FACE('',(#29313),#13417,.T.); #338739=ADVANCED_FACE('',(#29314),#329131,.T.); #338740=ADVANCED_FACE('',(#29315),#13418,.T.); #338741=ADVANCED_FACE('',(#29316),#13419,.T.); #338742=ADVANCED_FACE('',(#29317,#5454),#13420,.T.); #338743=ADVANCED_FACE('',(#29318,#5455),#13421,.F.); #338744=ADVANCED_FACE('',(#29319),#329132,.F.); #338745=ADVANCED_FACE('',(#29320),#329133,.F.); #338746=ADVANCED_FACE('',(#29321),#329134,.F.); #338747=ADVANCED_FACE('',(#29322),#329135,.F.); #338748=ADVANCED_FACE('',(#29323),#329136,.F.); #338749=ADVANCED_FACE('',(#29324),#329137,.F.); #338750=ADVANCED_FACE('',(#29325),#329138,.F.); #338751=ADVANCED_FACE('',(#29326),#329139,.F.); #338752=ADVANCED_FACE('',(#29327),#329140,.F.); #338753=ADVANCED_FACE('',(#29328),#329141,.F.); #338754=ADVANCED_FACE('',(#29329),#329142,.F.); #338755=ADVANCED_FACE('',(#29330),#13422,.T.); #338756=ADVANCED_FACE('',(#29331),#13423,.T.); #338757=ADVANCED_FACE('',(#29332),#13424,.T.); #338758=ADVANCED_FACE('',(#29333),#13425,.T.); #338759=ADVANCED_FACE('',(#29334),#13426,.T.); #338760=ADVANCED_FACE('',(#29335),#13427,.T.); #338761=ADVANCED_FACE('',(#29336),#13428,.T.); #338762=ADVANCED_FACE('',(#29337),#13429,.T.); #338763=ADVANCED_FACE('',(#29338),#13430,.T.); #338764=ADVANCED_FACE('',(#29339),#13431,.T.); #338765=ADVANCED_FACE('',(#29340),#13432,.T.); #338766=ADVANCED_FACE('',(#29341),#13433,.T.); #338767=ADVANCED_FACE('',(#29342),#13434,.T.); #338768=ADVANCED_FACE('',(#29343),#13435,.T.); #338769=ADVANCED_FACE('',(#29344),#13436,.T.); #338770=ADVANCED_FACE('',(#29345),#13437,.T.); #338771=ADVANCED_FACE('',(#29346),#13438,.T.); #338772=ADVANCED_FACE('',(#29347),#13439,.T.); #338773=ADVANCED_FACE('',(#29348),#13440,.T.); #338774=ADVANCED_FACE('',(#29349),#13441,.T.); #338775=ADVANCED_FACE('',(#29350),#13442,.T.); #338776=ADVANCED_FACE('',(#29351),#13443,.T.); #338777=ADVANCED_FACE('',(#29352),#13444,.T.); #338778=ADVANCED_FACE('',(#29353),#13445,.T.); #338779=ADVANCED_FACE('',(#29354),#329143,.T.); #338780=ADVANCED_FACE('',(#29355),#13446,.T.); #338781=ADVANCED_FACE('',(#29356),#13447,.T.); #338782=ADVANCED_FACE('',(#29357),#329144,.T.); #338783=ADVANCED_FACE('',(#29358),#13448,.T.); #338784=ADVANCED_FACE('',(#29359),#13449,.T.); #338785=ADVANCED_FACE('',(#29360),#13450,.T.); #338786=ADVANCED_FACE('',(#29361),#13451,.T.); #338787=ADVANCED_FACE('',(#29362),#13452,.T.); #338788=ADVANCED_FACE('',(#29363),#13453,.T.); #338789=ADVANCED_FACE('',(#29364),#13454,.T.); #338790=ADVANCED_FACE('',(#29365),#13455,.T.); #338791=ADVANCED_FACE('',(#29366),#329145,.T.); #338792=ADVANCED_FACE('',(#29367),#13456,.T.); #338793=ADVANCED_FACE('',(#29368),#329146,.T.); #338794=ADVANCED_FACE('',(#29369),#13457,.T.); #338795=ADVANCED_FACE('',(#29370),#13458,.T.); #338796=ADVANCED_FACE('',(#29371),#13459,.T.); #338797=ADVANCED_FACE('',(#29372),#13460,.T.); #338798=ADVANCED_FACE('',(#29373),#13461,.T.); #338799=ADVANCED_FACE('',(#29374),#13462,.T.); #338800=ADVANCED_FACE('',(#29375),#13463,.T.); #338801=ADVANCED_FACE('',(#29376),#13464,.T.); #338802=ADVANCED_FACE('',(#29377),#13465,.T.); #338803=ADVANCED_FACE('',(#29378),#13466,.T.); #338804=ADVANCED_FACE('',(#29379),#13467,.T.); #338805=ADVANCED_FACE('',(#29380),#13468,.T.); #338806=ADVANCED_FACE('',(#29381),#13469,.T.); #338807=ADVANCED_FACE('',(#29382),#13470,.T.); #338808=ADVANCED_FACE('',(#29383),#13471,.T.); #338809=ADVANCED_FACE('',(#29384),#329147,.T.); #338810=ADVANCED_FACE('',(#29385),#13472,.T.); #338811=ADVANCED_FACE('',(#29386),#329148,.T.); #338812=ADVANCED_FACE('',(#29387),#13473,.T.); #338813=ADVANCED_FACE('',(#29388),#13474,.T.); #338814=ADVANCED_FACE('',(#29389),#13475,.T.); #338815=ADVANCED_FACE('',(#29390),#13476,.T.); #338816=ADVANCED_FACE('',(#29391),#13477,.T.); #338817=ADVANCED_FACE('',(#29392),#13478,.T.); #338818=ADVANCED_FACE('',(#29393),#13479,.T.); #338819=ADVANCED_FACE('',(#29394),#13480,.T.); #338820=ADVANCED_FACE('',(#29395),#13481,.T.); #338821=ADVANCED_FACE('',(#29396),#13482,.T.); #338822=ADVANCED_FACE('',(#29397),#13483,.T.); #338823=ADVANCED_FACE('',(#29398),#13484,.T.); #338824=ADVANCED_FACE('',(#29399),#13485,.T.); #338825=ADVANCED_FACE('',(#29400),#13486,.T.); #338826=ADVANCED_FACE('',(#29401),#13487,.T.); #338827=ADVANCED_FACE('',(#29402),#13488,.T.); #338828=ADVANCED_FACE('',(#29403),#13489,.T.); #338829=ADVANCED_FACE('',(#29404),#13490,.T.); #338830=ADVANCED_FACE('',(#29405),#13491,.T.); #338831=ADVANCED_FACE('',(#29406),#13492,.T.); #338832=ADVANCED_FACE('',(#29407),#13493,.T.); #338833=ADVANCED_FACE('',(#29408),#13494,.T.); #338834=ADVANCED_FACE('',(#29409),#13495,.T.); #338835=ADVANCED_FACE('',(#29410),#13496,.T.); #338836=ADVANCED_FACE('',(#29411),#13497,.T.); #338837=ADVANCED_FACE('',(#29412),#13498,.T.); #338838=ADVANCED_FACE('',(#29413),#13499,.T.); #338839=ADVANCED_FACE('',(#29414),#13500,.T.); #338840=ADVANCED_FACE('',(#29415),#13501,.T.); #338841=ADVANCED_FACE('',(#29416),#13502,.T.); #338842=ADVANCED_FACE('',(#29417),#13503,.T.); #338843=ADVANCED_FACE('',(#29418),#13504,.T.); #338844=ADVANCED_FACE('',(#29419),#13505,.T.); #338845=ADVANCED_FACE('',(#29420),#13506,.T.); #338846=ADVANCED_FACE('',(#29421),#13507,.T.); #338847=ADVANCED_FACE('',(#29422),#13508,.T.); #338848=ADVANCED_FACE('',(#29423),#13509,.T.); #338849=ADVANCED_FACE('',(#29424),#13510,.T.); #338850=ADVANCED_FACE('',(#29425),#13511,.T.); #338851=ADVANCED_FACE('',(#29426),#13512,.T.); #338852=ADVANCED_FACE('',(#29427),#13513,.T.); #338853=ADVANCED_FACE('',(#29428),#13514,.T.); #338854=ADVANCED_FACE('',(#29429),#13515,.T.); #338855=ADVANCED_FACE('',(#29430),#13516,.T.); #338856=ADVANCED_FACE('',(#29431),#13517,.T.); #338857=ADVANCED_FACE('',(#29432),#13518,.T.); #338858=ADVANCED_FACE('',(#29433),#13519,.T.); #338859=ADVANCED_FACE('',(#29434),#13520,.T.); #338860=ADVANCED_FACE('',(#29435),#13521,.T.); #338861=ADVANCED_FACE('',(#29436),#13522,.T.); #338862=ADVANCED_FACE('',(#29437),#13523,.T.); #338863=ADVANCED_FACE('',(#29438),#13524,.T.); #338864=ADVANCED_FACE('',(#29439),#13525,.T.); #338865=ADVANCED_FACE('',(#29440),#13526,.T.); #338866=ADVANCED_FACE('',(#29441),#13527,.T.); #338867=ADVANCED_FACE('',(#29442),#13528,.T.); #338868=ADVANCED_FACE('',(#29443),#13529,.T.); #338869=ADVANCED_FACE('',(#29444),#13530,.T.); #338870=ADVANCED_FACE('',(#29445),#13531,.T.); #338871=ADVANCED_FACE('',(#29446),#13532,.T.); #338872=ADVANCED_FACE('',(#29447),#13533,.T.); #338873=ADVANCED_FACE('',(#29448),#13534,.T.); #338874=ADVANCED_FACE('',(#29449),#13535,.T.); #338875=ADVANCED_FACE('',(#29450),#13536,.T.); #338876=ADVANCED_FACE('',(#29451),#13537,.T.); #338877=ADVANCED_FACE('',(#29452),#13538,.T.); #338878=ADVANCED_FACE('',(#29453),#13539,.T.); #338879=ADVANCED_FACE('',(#29454,#5456,#5457,#5458,#5459,#5460,#5461,#5462, #5463,#5464,#5465,#5466),#13540,.T.); #338880=ADVANCED_FACE('',(#29455,#5467,#5468,#5469,#5470,#5471,#5472,#5473, #5474,#5475,#5476,#5477),#13541,.F.); #338881=ADVANCED_FACE('',(#29456),#329149,.F.); #338882=ADVANCED_FACE('',(#29457),#329150,.F.); #338883=ADVANCED_FACE('',(#29458),#329151,.F.); #338884=ADVANCED_FACE('',(#29459),#329152,.F.); #338885=ADVANCED_FACE('',(#29460),#329153,.F.); #338886=ADVANCED_FACE('',(#29461),#329154,.F.); #338887=ADVANCED_FACE('',(#29462),#329155,.F.); #338888=ADVANCED_FACE('',(#29463),#329156,.F.); #338889=ADVANCED_FACE('',(#29464),#329157,.F.); #338890=ADVANCED_FACE('',(#29465),#329158,.F.); #338891=ADVANCED_FACE('',(#29466),#329159,.F.); #338892=ADVANCED_FACE('',(#29467),#329160,.F.); #338893=ADVANCED_FACE('',(#29468),#329161,.F.); #338894=ADVANCED_FACE('',(#29469),#329162,.F.); #338895=ADVANCED_FACE('',(#29470),#329163,.F.); #338896=ADVANCED_FACE('',(#29471),#329164,.F.); #338897=ADVANCED_FACE('',(#29472),#329165,.F.); #338898=ADVANCED_FACE('',(#29473),#329166,.F.); #338899=ADVANCED_FACE('',(#29474),#329167,.F.); #338900=ADVANCED_FACE('',(#29475),#329168,.F.); #338901=ADVANCED_FACE('',(#29476),#329169,.F.); #338902=ADVANCED_FACE('',(#29477),#329170,.F.); #338903=ADVANCED_FACE('',(#29478),#329171,.F.); #338904=ADVANCED_FACE('',(#29479),#329172,.F.); #338905=ADVANCED_FACE('',(#29480),#329173,.F.); #338906=ADVANCED_FACE('',(#29481),#329174,.F.); #338907=ADVANCED_FACE('',(#29482),#329175,.F.); #338908=ADVANCED_FACE('',(#29483),#329176,.F.); #338909=ADVANCED_FACE('',(#29484),#329177,.F.); #338910=ADVANCED_FACE('',(#29485),#329178,.F.); #338911=ADVANCED_FACE('',(#29486),#329179,.F.); #338912=ADVANCED_FACE('',(#29487),#13542,.T.); #338913=ADVANCED_FACE('',(#29488),#329180,.T.); #338914=ADVANCED_FACE('',(#29489),#13543,.T.); #338915=ADVANCED_FACE('',(#29490),#329181,.T.); #338916=ADVANCED_FACE('',(#29491),#13544,.T.); #338917=ADVANCED_FACE('',(#29492),#329182,.T.); #338918=ADVANCED_FACE('',(#29493),#13545,.T.); #338919=ADVANCED_FACE('',(#29494),#13546,.T.); #338920=ADVANCED_FACE('',(#29495),#13547,.T.); #338921=ADVANCED_FACE('',(#29496),#13548,.T.); #338922=ADVANCED_FACE('',(#29497),#13549,.T.); #338923=ADVANCED_FACE('',(#29498),#13550,.T.); #338924=ADVANCED_FACE('',(#29499),#13551,.T.); #338925=ADVANCED_FACE('',(#29500),#13552,.T.); #338926=ADVANCED_FACE('',(#29501),#13553,.T.); #338927=ADVANCED_FACE('',(#29502),#13554,.T.); #338928=ADVANCED_FACE('',(#29503),#13555,.T.); #338929=ADVANCED_FACE('',(#29504),#13556,.T.); #338930=ADVANCED_FACE('',(#29505),#13557,.T.); #338931=ADVANCED_FACE('',(#29506),#13558,.T.); #338932=ADVANCED_FACE('',(#29507),#13559,.T.); #338933=ADVANCED_FACE('',(#29508),#13560,.T.); #338934=ADVANCED_FACE('',(#29509),#13561,.T.); #338935=ADVANCED_FACE('',(#29510),#13562,.T.); #338936=ADVANCED_FACE('',(#29511),#13563,.T.); #338937=ADVANCED_FACE('',(#29512),#13564,.T.); #338938=ADVANCED_FACE('',(#29513),#13565,.T.); #338939=ADVANCED_FACE('',(#29514),#13566,.T.); #338940=ADVANCED_FACE('',(#29515),#13567,.T.); #338941=ADVANCED_FACE('',(#29516),#13568,.T.); #338942=ADVANCED_FACE('',(#29517),#13569,.T.); #338943=ADVANCED_FACE('',(#29518),#13570,.T.); #338944=ADVANCED_FACE('',(#29519),#13571,.T.); #338945=ADVANCED_FACE('',(#29520),#13572,.T.); #338946=ADVANCED_FACE('',(#29521),#13573,.T.); #338947=ADVANCED_FACE('',(#29522),#13574,.T.); #338948=ADVANCED_FACE('',(#29523),#13575,.T.); #338949=ADVANCED_FACE('',(#29524),#13576,.T.); #338950=ADVANCED_FACE('',(#29525),#13577,.T.); #338951=ADVANCED_FACE('',(#29526),#13578,.T.); #338952=ADVANCED_FACE('',(#29527),#13579,.T.); #338953=ADVANCED_FACE('',(#29528),#13580,.T.); #338954=ADVANCED_FACE('',(#29529),#13581,.T.); #338955=ADVANCED_FACE('',(#29530),#13582,.T.); #338956=ADVANCED_FACE('',(#29531),#13583,.T.); #338957=ADVANCED_FACE('',(#29532),#13584,.T.); #338958=ADVANCED_FACE('',(#29533),#13585,.T.); #338959=ADVANCED_FACE('',(#29534),#13586,.T.); #338960=ADVANCED_FACE('',(#29535),#13587,.T.); #338961=ADVANCED_FACE('',(#29536),#13588,.T.); #338962=ADVANCED_FACE('',(#29537),#13589,.T.); #338963=ADVANCED_FACE('',(#29538),#13590,.T.); #338964=ADVANCED_FACE('',(#29539),#13591,.T.); #338965=ADVANCED_FACE('',(#29540),#13592,.T.); #338966=ADVANCED_FACE('',(#29541),#13593,.T.); #338967=ADVANCED_FACE('',(#29542),#13594,.T.); #338968=ADVANCED_FACE('',(#29543),#13595,.T.); #338969=ADVANCED_FACE('',(#29544),#13596,.T.); #338970=ADVANCED_FACE('',(#29545),#13597,.T.); #338971=ADVANCED_FACE('',(#29546),#13598,.T.); #338972=ADVANCED_FACE('',(#29547),#13599,.T.); #338973=ADVANCED_FACE('',(#29548),#13600,.T.); #338974=ADVANCED_FACE('',(#29549),#13601,.T.); #338975=ADVANCED_FACE('',(#29550),#13602,.T.); #338976=ADVANCED_FACE('',(#29551),#13603,.T.); #338977=ADVANCED_FACE('',(#29552),#13604,.T.); #338978=ADVANCED_FACE('',(#29553),#13605,.T.); #338979=ADVANCED_FACE('',(#29554),#13606,.T.); #338980=ADVANCED_FACE('',(#29555),#13607,.T.); #338981=ADVANCED_FACE('',(#29556),#13608,.T.); #338982=ADVANCED_FACE('',(#29557),#13609,.T.); #338983=ADVANCED_FACE('',(#29558),#13610,.T.); #338984=ADVANCED_FACE('',(#29559),#13611,.T.); #338985=ADVANCED_FACE('',(#29560),#329183,.T.); #338986=ADVANCED_FACE('',(#29561),#13612,.T.); #338987=ADVANCED_FACE('',(#29562),#329184,.T.); #338988=ADVANCED_FACE('',(#29563),#13613,.T.); #338989=ADVANCED_FACE('',(#29564),#13614,.T.); #338990=ADVANCED_FACE('',(#29565),#13615,.T.); #338991=ADVANCED_FACE('',(#29566),#329185,.T.); #338992=ADVANCED_FACE('',(#29567,#5478,#5479,#5480,#5481,#5482,#5483,#5484, #5485,#5486,#5487,#5488,#5489,#5490,#5491,#5492,#5493,#5494,#5495,#5496, #5497,#5498,#5499,#5500,#5501,#5502,#5503,#5504,#5505,#5506,#5507,#5508), #13616,.T.); #338993=ADVANCED_FACE('',(#29568,#5509,#5510,#5511,#5512,#5513,#5514,#5515, #5516,#5517,#5518,#5519,#5520,#5521,#5522,#5523,#5524,#5525,#5526,#5527, #5528,#5529,#5530,#5531,#5532,#5533,#5534,#5535,#5536,#5537,#5538,#5539), #13617,.F.); #338994=ADVANCED_FACE('',(#29569),#329186,.F.); #338995=ADVANCED_FACE('',(#29570),#13618,.T.); #338996=ADVANCED_FACE('',(#29571),#13619,.T.); #338997=ADVANCED_FACE('',(#29572),#13620,.T.); #338998=ADVANCED_FACE('',(#29573),#13621,.T.); #338999=ADVANCED_FACE('',(#29574),#13622,.T.); #339000=ADVANCED_FACE('',(#29575),#329187,.T.); #339001=ADVANCED_FACE('',(#29576),#13623,.T.); #339002=ADVANCED_FACE('',(#29577),#13624,.T.); #339003=ADVANCED_FACE('',(#29578),#329188,.T.); #339004=ADVANCED_FACE('',(#29579),#13625,.T.); #339005=ADVANCED_FACE('',(#29580),#13626,.T.); #339006=ADVANCED_FACE('',(#29581),#329189,.T.); #339007=ADVANCED_FACE('',(#29582),#329190,.T.); #339008=ADVANCED_FACE('',(#29583),#13627,.T.); #339009=ADVANCED_FACE('',(#29584),#329191,.T.); #339010=ADVANCED_FACE('',(#29585),#13628,.T.); #339011=ADVANCED_FACE('',(#29586),#13629,.T.); #339012=ADVANCED_FACE('',(#29587),#329192,.T.); #339013=ADVANCED_FACE('',(#29588),#13630,.T.); #339014=ADVANCED_FACE('',(#29589),#13631,.T.); #339015=ADVANCED_FACE('',(#29590),#13632,.T.); #339016=ADVANCED_FACE('',(#29591,#5540),#13633,.T.); #339017=ADVANCED_FACE('',(#29592,#5541),#13634,.F.); #339018=ADVANCED_FACE('',(#29593),#329193,.F.); #339019=ADVANCED_FACE('',(#29594),#329194,.F.); #339020=ADVANCED_FACE('',(#29595),#329195,.F.); #339021=ADVANCED_FACE('',(#29596),#329196,.F.); #339022=ADVANCED_FACE('',(#29597),#329197,.F.); #339023=ADVANCED_FACE('',(#29598),#329198,.F.); #339024=ADVANCED_FACE('',(#29599),#329199,.F.); #339025=ADVANCED_FACE('',(#29600),#329200,.F.); #339026=ADVANCED_FACE('',(#29601),#329201,.F.); #339027=ADVANCED_FACE('',(#29602),#329202,.F.); #339028=ADVANCED_FACE('',(#29603),#329203,.F.); #339029=ADVANCED_FACE('',(#29604),#329204,.F.); #339030=ADVANCED_FACE('',(#29605),#329205,.F.); #339031=ADVANCED_FACE('',(#29606),#329206,.F.); #339032=ADVANCED_FACE('',(#29607),#329207,.F.); #339033=ADVANCED_FACE('',(#29608),#329208,.F.); #339034=ADVANCED_FACE('',(#29609),#13635,.T.); #339035=ADVANCED_FACE('',(#29610),#13636,.T.); #339036=ADVANCED_FACE('',(#29611),#13637,.T.); #339037=ADVANCED_FACE('',(#29612),#13638,.T.); #339038=ADVANCED_FACE('',(#29613),#13639,.T.); #339039=ADVANCED_FACE('',(#29614),#13640,.T.); #339040=ADVANCED_FACE('',(#29615),#13641,.T.); #339041=ADVANCED_FACE('',(#29616),#13642,.T.); #339042=ADVANCED_FACE('',(#29617),#13643,.T.); #339043=ADVANCED_FACE('',(#29618),#13644,.T.); #339044=ADVANCED_FACE('',(#29619),#13645,.T.); #339045=ADVANCED_FACE('',(#29620),#13646,.T.); #339046=ADVANCED_FACE('',(#29621),#13647,.T.); #339047=ADVANCED_FACE('',(#29622),#13648,.T.); #339048=ADVANCED_FACE('',(#29623),#13649,.T.); #339049=ADVANCED_FACE('',(#29624),#13650,.T.); #339050=ADVANCED_FACE('',(#29625),#13651,.T.); #339051=ADVANCED_FACE('',(#29626),#13652,.T.); #339052=ADVANCED_FACE('',(#29627),#13653,.T.); #339053=ADVANCED_FACE('',(#29628),#13654,.T.); #339054=ADVANCED_FACE('',(#29629),#13655,.T.); #339055=ADVANCED_FACE('',(#29630),#13656,.T.); #339056=ADVANCED_FACE('',(#29631),#13657,.T.); #339057=ADVANCED_FACE('',(#29632),#13658,.T.); #339058=ADVANCED_FACE('',(#29633),#13659,.T.); #339059=ADVANCED_FACE('',(#29634),#13660,.T.); #339060=ADVANCED_FACE('',(#29635),#13661,.T.); #339061=ADVANCED_FACE('',(#29636),#13662,.T.); #339062=ADVANCED_FACE('',(#29637),#13663,.T.); #339063=ADVANCED_FACE('',(#29638),#13664,.T.); #339064=ADVANCED_FACE('',(#29639),#13665,.T.); #339065=ADVANCED_FACE('',(#29640),#13666,.T.); #339066=ADVANCED_FACE('',(#29641),#13667,.T.); #339067=ADVANCED_FACE('',(#29642),#13668,.T.); #339068=ADVANCED_FACE('',(#29643),#13669,.T.); #339069=ADVANCED_FACE('',(#29644),#13670,.T.); #339070=ADVANCED_FACE('',(#29645),#13671,.T.); #339071=ADVANCED_FACE('',(#29646),#13672,.T.); #339072=ADVANCED_FACE('',(#29647),#13673,.T.); #339073=ADVANCED_FACE('',(#29648),#13674,.T.); #339074=ADVANCED_FACE('',(#29649),#13675,.T.); #339075=ADVANCED_FACE('',(#29650),#13676,.T.); #339076=ADVANCED_FACE('',(#29651),#13677,.T.); #339077=ADVANCED_FACE('',(#29652),#13678,.T.); #339078=ADVANCED_FACE('',(#29653),#13679,.T.); #339079=ADVANCED_FACE('',(#29654),#13680,.T.); #339080=ADVANCED_FACE('',(#29655),#13681,.T.); #339081=ADVANCED_FACE('',(#29656),#13682,.T.); #339082=ADVANCED_FACE('',(#29657),#13683,.T.); #339083=ADVANCED_FACE('',(#29658),#13684,.T.); #339084=ADVANCED_FACE('',(#29659),#13685,.T.); #339085=ADVANCED_FACE('',(#29660),#13686,.T.); #339086=ADVANCED_FACE('',(#29661),#13687,.T.); #339087=ADVANCED_FACE('',(#29662),#13688,.T.); #339088=ADVANCED_FACE('',(#29663),#13689,.T.); #339089=ADVANCED_FACE('',(#29664),#13690,.T.); #339090=ADVANCED_FACE('',(#29665),#13691,.T.); #339091=ADVANCED_FACE('',(#29666),#13692,.T.); #339092=ADVANCED_FACE('',(#29667),#13693,.T.); #339093=ADVANCED_FACE('',(#29668),#13694,.T.); #339094=ADVANCED_FACE('',(#29669),#13695,.T.); #339095=ADVANCED_FACE('',(#29670),#13696,.T.); #339096=ADVANCED_FACE('',(#29671),#13697,.T.); #339097=ADVANCED_FACE('',(#29672),#13698,.T.); #339098=ADVANCED_FACE('',(#29673),#13699,.T.); #339099=ADVANCED_FACE('',(#29674),#13700,.T.); #339100=ADVANCED_FACE('',(#29675),#13701,.T.); #339101=ADVANCED_FACE('',(#29676),#13702,.T.); #339102=ADVANCED_FACE('',(#29677),#13703,.T.); #339103=ADVANCED_FACE('',(#29678),#13704,.T.); #339104=ADVANCED_FACE('',(#29679),#13705,.T.); #339105=ADVANCED_FACE('',(#29680),#13706,.T.); #339106=ADVANCED_FACE('',(#29681),#13707,.T.); #339107=ADVANCED_FACE('',(#29682),#13708,.T.); #339108=ADVANCED_FACE('',(#29683),#13709,.T.); #339109=ADVANCED_FACE('',(#29684),#13710,.T.); #339110=ADVANCED_FACE('',(#29685),#13711,.T.); #339111=ADVANCED_FACE('',(#29686),#13712,.T.); #339112=ADVANCED_FACE('',(#29687),#13713,.T.); #339113=ADVANCED_FACE('',(#29688),#13714,.T.); #339114=ADVANCED_FACE('',(#29689),#13715,.T.); #339115=ADVANCED_FACE('',(#29690),#13716,.T.); #339116=ADVANCED_FACE('',(#29691),#13717,.T.); #339117=ADVANCED_FACE('',(#29692),#13718,.T.); #339118=ADVANCED_FACE('',(#29693),#13719,.T.); #339119=ADVANCED_FACE('',(#29694),#13720,.T.); #339120=ADVANCED_FACE('',(#29695),#13721,.T.); #339121=ADVANCED_FACE('',(#29696),#13722,.T.); #339122=ADVANCED_FACE('',(#29697),#13723,.T.); #339123=ADVANCED_FACE('',(#29698),#13724,.T.); #339124=ADVANCED_FACE('',(#29699),#13725,.T.); #339125=ADVANCED_FACE('',(#29700),#13726,.T.); #339126=ADVANCED_FACE('',(#29701),#13727,.T.); #339127=ADVANCED_FACE('',(#29702),#13728,.T.); #339128=ADVANCED_FACE('',(#29703),#13729,.T.); #339129=ADVANCED_FACE('',(#29704),#13730,.T.); #339130=ADVANCED_FACE('',(#29705),#13731,.T.); #339131=ADVANCED_FACE('',(#29706),#13732,.T.); #339132=ADVANCED_FACE('',(#29707),#13733,.T.); #339133=ADVANCED_FACE('',(#29708),#13734,.T.); #339134=ADVANCED_FACE('',(#29709),#13735,.T.); #339135=ADVANCED_FACE('',(#29710),#13736,.T.); #339136=ADVANCED_FACE('',(#29711),#13737,.T.); #339137=ADVANCED_FACE('',(#29712),#13738,.T.); #339138=ADVANCED_FACE('',(#29713),#13739,.T.); #339139=ADVANCED_FACE('',(#29714),#13740,.T.); #339140=ADVANCED_FACE('',(#29715),#13741,.T.); #339141=ADVANCED_FACE('',(#29716),#13742,.T.); #339142=ADVANCED_FACE('',(#29717),#13743,.T.); #339143=ADVANCED_FACE('',(#29718),#13744,.T.); #339144=ADVANCED_FACE('',(#29719),#13745,.T.); #339145=ADVANCED_FACE('',(#29720),#13746,.T.); #339146=ADVANCED_FACE('',(#29721),#13747,.T.); #339147=ADVANCED_FACE('',(#29722),#13748,.T.); #339148=ADVANCED_FACE('',(#29723),#13749,.T.); #339149=ADVANCED_FACE('',(#29724),#13750,.T.); #339150=ADVANCED_FACE('',(#29725),#13751,.T.); #339151=ADVANCED_FACE('',(#29726),#13752,.T.); #339152=ADVANCED_FACE('',(#29727),#13753,.T.); #339153=ADVANCED_FACE('',(#29728),#13754,.T.); #339154=ADVANCED_FACE('',(#29729),#13755,.T.); #339155=ADVANCED_FACE('',(#29730),#13756,.T.); #339156=ADVANCED_FACE('',(#29731),#13757,.T.); #339157=ADVANCED_FACE('',(#29732),#13758,.T.); #339158=ADVANCED_FACE('',(#29733),#13759,.T.); #339159=ADVANCED_FACE('',(#29734),#13760,.T.); #339160=ADVANCED_FACE('',(#29735),#13761,.T.); #339161=ADVANCED_FACE('',(#29736),#13762,.T.); #339162=ADVANCED_FACE('',(#29737),#13763,.T.); #339163=ADVANCED_FACE('',(#29738),#13764,.T.); #339164=ADVANCED_FACE('',(#29739),#13765,.T.); #339165=ADVANCED_FACE('',(#29740),#13766,.T.); #339166=ADVANCED_FACE('',(#29741),#13767,.T.); #339167=ADVANCED_FACE('',(#29742),#13768,.T.); #339168=ADVANCED_FACE('',(#29743),#13769,.T.); #339169=ADVANCED_FACE('',(#29744),#13770,.T.); #339170=ADVANCED_FACE('',(#29745),#13771,.T.); #339171=ADVANCED_FACE('',(#29746),#13772,.T.); #339172=ADVANCED_FACE('',(#29747),#13773,.T.); #339173=ADVANCED_FACE('',(#29748),#13774,.T.); #339174=ADVANCED_FACE('',(#29749),#13775,.T.); #339175=ADVANCED_FACE('',(#29750),#13776,.T.); #339176=ADVANCED_FACE('',(#29751),#13777,.T.); #339177=ADVANCED_FACE('',(#29752),#13778,.T.); #339178=ADVANCED_FACE('',(#29753),#13779,.T.); #339179=ADVANCED_FACE('',(#29754),#13780,.T.); #339180=ADVANCED_FACE('',(#29755),#13781,.T.); #339181=ADVANCED_FACE('',(#29756),#13782,.T.); #339182=ADVANCED_FACE('',(#29757),#13783,.T.); #339183=ADVANCED_FACE('',(#29758),#13784,.T.); #339184=ADVANCED_FACE('',(#29759),#13785,.T.); #339185=ADVANCED_FACE('',(#29760),#13786,.T.); #339186=ADVANCED_FACE('',(#29761),#13787,.T.); #339187=ADVANCED_FACE('',(#29762),#13788,.T.); #339188=ADVANCED_FACE('',(#29763),#13789,.T.); #339189=ADVANCED_FACE('',(#29764),#13790,.T.); #339190=ADVANCED_FACE('',(#29765),#13791,.T.); #339191=ADVANCED_FACE('',(#29766),#13792,.T.); #339192=ADVANCED_FACE('',(#29767),#13793,.T.); #339193=ADVANCED_FACE('',(#29768),#13794,.T.); #339194=ADVANCED_FACE('',(#29769),#13795,.T.); #339195=ADVANCED_FACE('',(#29770),#13796,.T.); #339196=ADVANCED_FACE('',(#29771),#13797,.T.); #339197=ADVANCED_FACE('',(#29772),#13798,.T.); #339198=ADVANCED_FACE('',(#29773),#13799,.T.); #339199=ADVANCED_FACE('',(#29774),#13800,.T.); #339200=ADVANCED_FACE('',(#29775),#13801,.T.); #339201=ADVANCED_FACE('',(#29776),#13802,.T.); #339202=ADVANCED_FACE('',(#29777),#13803,.T.); #339203=ADVANCED_FACE('',(#29778),#13804,.T.); #339204=ADVANCED_FACE('',(#29779),#13805,.T.); #339205=ADVANCED_FACE('',(#29780),#13806,.T.); #339206=ADVANCED_FACE('',(#29781),#13807,.T.); #339207=ADVANCED_FACE('',(#29782),#13808,.T.); #339208=ADVANCED_FACE('',(#29783),#13809,.T.); #339209=ADVANCED_FACE('',(#29784),#13810,.T.); #339210=ADVANCED_FACE('',(#29785),#13811,.T.); #339211=ADVANCED_FACE('',(#29786),#13812,.T.); #339212=ADVANCED_FACE('',(#29787),#13813,.T.); #339213=ADVANCED_FACE('',(#29788),#13814,.T.); #339214=ADVANCED_FACE('',(#29789),#13815,.T.); #339215=ADVANCED_FACE('',(#29790),#13816,.T.); #339216=ADVANCED_FACE('',(#29791),#13817,.T.); #339217=ADVANCED_FACE('',(#29792),#13818,.T.); #339218=ADVANCED_FACE('',(#29793),#13819,.T.); #339219=ADVANCED_FACE('',(#29794),#13820,.T.); #339220=ADVANCED_FACE('',(#29795),#13821,.T.); #339221=ADVANCED_FACE('',(#29796),#13822,.T.); #339222=ADVANCED_FACE('',(#29797),#13823,.T.); #339223=ADVANCED_FACE('',(#29798),#13824,.T.); #339224=ADVANCED_FACE('',(#29799),#13825,.T.); #339225=ADVANCED_FACE('',(#29800),#13826,.T.); #339226=ADVANCED_FACE('',(#29801),#13827,.T.); #339227=ADVANCED_FACE('',(#29802),#13828,.T.); #339228=ADVANCED_FACE('',(#29803),#13829,.T.); #339229=ADVANCED_FACE('',(#29804),#13830,.T.); #339230=ADVANCED_FACE('',(#29805),#13831,.T.); #339231=ADVANCED_FACE('',(#29806),#13832,.T.); #339232=ADVANCED_FACE('',(#29807),#13833,.T.); #339233=ADVANCED_FACE('',(#29808),#13834,.T.); #339234=ADVANCED_FACE('',(#29809),#13835,.T.); #339235=ADVANCED_FACE('',(#29810),#13836,.T.); #339236=ADVANCED_FACE('',(#29811),#13837,.T.); #339237=ADVANCED_FACE('',(#29812),#13838,.T.); #339238=ADVANCED_FACE('',(#29813),#13839,.T.); #339239=ADVANCED_FACE('',(#29814),#13840,.T.); #339240=ADVANCED_FACE('',(#29815),#13841,.T.); #339241=ADVANCED_FACE('',(#29816),#13842,.T.); #339242=ADVANCED_FACE('',(#29817),#13843,.T.); #339243=ADVANCED_FACE('',(#29818),#13844,.T.); #339244=ADVANCED_FACE('',(#29819),#13845,.T.); #339245=ADVANCED_FACE('',(#29820),#13846,.T.); #339246=ADVANCED_FACE('',(#29821),#13847,.T.); #339247=ADVANCED_FACE('',(#29822),#13848,.T.); #339248=ADVANCED_FACE('',(#29823),#13849,.T.); #339249=ADVANCED_FACE('',(#29824),#13850,.T.); #339250=ADVANCED_FACE('',(#29825),#13851,.T.); #339251=ADVANCED_FACE('',(#29826),#13852,.T.); #339252=ADVANCED_FACE('',(#29827),#13853,.T.); #339253=ADVANCED_FACE('',(#29828),#13854,.T.); #339254=ADVANCED_FACE('',(#29829),#13855,.T.); #339255=ADVANCED_FACE('',(#29830),#13856,.T.); #339256=ADVANCED_FACE('',(#29831),#13857,.T.); #339257=ADVANCED_FACE('',(#29832),#329209,.T.); #339258=ADVANCED_FACE('',(#29833),#13858,.T.); #339259=ADVANCED_FACE('',(#29834),#329210,.T.); #339260=ADVANCED_FACE('',(#29835),#13859,.T.); #339261=ADVANCED_FACE('',(#29836),#13860,.T.); #339262=ADVANCED_FACE('',(#29837),#13861,.T.); #339263=ADVANCED_FACE('',(#29838),#13862,.T.); #339264=ADVANCED_FACE('',(#29839),#13863,.T.); #339265=ADVANCED_FACE('',(#29840),#13864,.T.); #339266=ADVANCED_FACE('',(#29841),#13865,.T.); #339267=ADVANCED_FACE('',(#29842),#13866,.T.); #339268=ADVANCED_FACE('',(#29843),#329211,.T.); #339269=ADVANCED_FACE('',(#29844),#13867,.T.); #339270=ADVANCED_FACE('',(#29845),#13868,.T.); #339271=ADVANCED_FACE('',(#29846),#329212,.T.); #339272=ADVANCED_FACE('',(#29847),#13869,.T.); #339273=ADVANCED_FACE('',(#29848),#13870,.T.); #339274=ADVANCED_FACE('',(#29849),#13871,.T.); #339275=ADVANCED_FACE('',(#29850),#13872,.T.); #339276=ADVANCED_FACE('',(#29851),#13873,.T.); #339277=ADVANCED_FACE('',(#29852),#13874,.T.); #339278=ADVANCED_FACE('',(#29853),#13875,.T.); #339279=ADVANCED_FACE('',(#29854),#13876,.T.); #339280=ADVANCED_FACE('',(#29855),#13877,.T.); #339281=ADVANCED_FACE('',(#29856),#13878,.T.); #339282=ADVANCED_FACE('',(#29857),#13879,.T.); #339283=ADVANCED_FACE('',(#29858),#13880,.T.); #339284=ADVANCED_FACE('',(#29859),#13881,.T.); #339285=ADVANCED_FACE('',(#29860),#13882,.T.); #339286=ADVANCED_FACE('',(#29861),#13883,.T.); #339287=ADVANCED_FACE('',(#29862),#13884,.T.); #339288=ADVANCED_FACE('',(#29863),#13885,.T.); #339289=ADVANCED_FACE('',(#29864),#13886,.T.); #339290=ADVANCED_FACE('',(#29865),#13887,.T.); #339291=ADVANCED_FACE('',(#29866),#13888,.T.); #339292=ADVANCED_FACE('',(#29867),#13889,.T.); #339293=ADVANCED_FACE('',(#29868),#13890,.T.); #339294=ADVANCED_FACE('',(#29869),#13891,.T.); #339295=ADVANCED_FACE('',(#29870),#13892,.T.); #339296=ADVANCED_FACE('',(#29871),#13893,.T.); #339297=ADVANCED_FACE('',(#29872),#13894,.T.); #339298=ADVANCED_FACE('',(#29873),#13895,.T.); #339299=ADVANCED_FACE('',(#29874),#13896,.T.); #339300=ADVANCED_FACE('',(#29875),#13897,.T.); #339301=ADVANCED_FACE('',(#29876),#13898,.T.); #339302=ADVANCED_FACE('',(#29877),#13899,.T.); #339303=ADVANCED_FACE('',(#29878),#13900,.T.); #339304=ADVANCED_FACE('',(#29879),#13901,.T.); #339305=ADVANCED_FACE('',(#29880),#13902,.T.); #339306=ADVANCED_FACE('',(#29881),#13903,.T.); #339307=ADVANCED_FACE('',(#29882),#13904,.T.); #339308=ADVANCED_FACE('',(#29883),#13905,.T.); #339309=ADVANCED_FACE('',(#29884),#13906,.T.); #339310=ADVANCED_FACE('',(#29885),#13907,.T.); #339311=ADVANCED_FACE('',(#29886),#13908,.T.); #339312=ADVANCED_FACE('',(#29887),#13909,.T.); #339313=ADVANCED_FACE('',(#29888),#13910,.T.); #339314=ADVANCED_FACE('',(#29889),#13911,.T.); #339315=ADVANCED_FACE('',(#29890),#13912,.T.); #339316=ADVANCED_FACE('',(#29891),#13913,.T.); #339317=ADVANCED_FACE('',(#29892),#13914,.T.); #339318=ADVANCED_FACE('',(#29893),#13915,.T.); #339319=ADVANCED_FACE('',(#29894),#13916,.T.); #339320=ADVANCED_FACE('',(#29895),#13917,.T.); #339321=ADVANCED_FACE('',(#29896),#13918,.T.); #339322=ADVANCED_FACE('',(#29897),#13919,.T.); #339323=ADVANCED_FACE('',(#29898),#13920,.T.); #339324=ADVANCED_FACE('',(#29899),#13921,.T.); #339325=ADVANCED_FACE('',(#29900),#13922,.T.); #339326=ADVANCED_FACE('',(#29901),#13923,.T.); #339327=ADVANCED_FACE('',(#29902),#13924,.T.); #339328=ADVANCED_FACE('',(#29903),#13925,.T.); #339329=ADVANCED_FACE('',(#29904),#13926,.T.); #339330=ADVANCED_FACE('',(#29905),#13927,.T.); #339331=ADVANCED_FACE('',(#29906),#13928,.T.); #339332=ADVANCED_FACE('',(#29907),#13929,.T.); #339333=ADVANCED_FACE('',(#29908),#13930,.T.); #339334=ADVANCED_FACE('',(#29909),#13931,.T.); #339335=ADVANCED_FACE('',(#29910),#13932,.T.); #339336=ADVANCED_FACE('',(#29911),#13933,.T.); #339337=ADVANCED_FACE('',(#29912),#13934,.T.); #339338=ADVANCED_FACE('',(#29913),#13935,.T.); #339339=ADVANCED_FACE('',(#29914),#13936,.T.); #339340=ADVANCED_FACE('',(#29915),#13937,.T.); #339341=ADVANCED_FACE('',(#29916),#13938,.T.); #339342=ADVANCED_FACE('',(#29917),#13939,.T.); #339343=ADVANCED_FACE('',(#29918),#13940,.T.); #339344=ADVANCED_FACE('',(#29919),#13941,.T.); #339345=ADVANCED_FACE('',(#29920),#13942,.T.); #339346=ADVANCED_FACE('',(#29921),#13943,.T.); #339347=ADVANCED_FACE('',(#29922),#13944,.T.); #339348=ADVANCED_FACE('',(#29923),#13945,.T.); #339349=ADVANCED_FACE('',(#29924),#13946,.T.); #339350=ADVANCED_FACE('',(#29925),#13947,.T.); #339351=ADVANCED_FACE('',(#29926),#13948,.T.); #339352=ADVANCED_FACE('',(#29927),#13949,.T.); #339353=ADVANCED_FACE('',(#29928),#13950,.T.); #339354=ADVANCED_FACE('',(#29929),#13951,.T.); #339355=ADVANCED_FACE('',(#29930),#13952,.T.); #339356=ADVANCED_FACE('',(#29931,#5542,#5543,#5544,#5545,#5546,#5547,#5548, #5549,#5550,#5551,#5552,#5553,#5554,#5555,#5556,#5557,#5558),#13953,.T.); #339357=ADVANCED_FACE('',(#29932,#5559,#5560,#5561,#5562,#5563,#5564,#5565, #5566,#5567,#5568,#5569,#5570,#5571,#5572,#5573,#5574,#5575),#13954,.F.); #339358=ADVANCED_FACE('',(#29933),#13955,.T.); #339359=ADVANCED_FACE('',(#29934),#13956,.T.); #339360=ADVANCED_FACE('',(#29935),#13957,.T.); #339361=ADVANCED_FACE('',(#29936),#13958,.T.); #339362=ADVANCED_FACE('',(#29937),#13959,.T.); #339363=ADVANCED_FACE('',(#29938),#13960,.T.); #339364=ADVANCED_FACE('',(#29939),#13961,.T.); #339365=ADVANCED_FACE('',(#29940),#13962,.T.); #339366=ADVANCED_FACE('',(#29941),#13963,.T.); #339367=ADVANCED_FACE('',(#29942),#13964,.T.); #339368=ADVANCED_FACE('',(#29943),#13965,.T.); #339369=ADVANCED_FACE('',(#29944),#13966,.T.); #339370=ADVANCED_FACE('',(#29945),#13967,.T.); #339371=ADVANCED_FACE('',(#29946),#13968,.T.); #339372=ADVANCED_FACE('',(#29947),#13969,.T.); #339373=ADVANCED_FACE('',(#29948),#13970,.T.); #339374=ADVANCED_FACE('',(#29949),#13971,.T.); #339375=ADVANCED_FACE('',(#29950),#13972,.T.); #339376=ADVANCED_FACE('',(#29951),#13973,.T.); #339377=ADVANCED_FACE('',(#29952),#13974,.T.); #339378=ADVANCED_FACE('',(#29953),#13975,.T.); #339379=ADVANCED_FACE('',(#29954),#13976,.F.); #339380=ADVANCED_FACE('',(#29955),#13977,.T.); #339381=ADVANCED_FACE('',(#29956),#13978,.T.); #339382=ADVANCED_FACE('',(#29957),#13979,.T.); #339383=ADVANCED_FACE('',(#29958),#13980,.T.); #339384=ADVANCED_FACE('',(#29959),#13981,.T.); #339385=ADVANCED_FACE('',(#29960),#13982,.T.); #339386=ADVANCED_FACE('',(#29961),#13983,.T.); #339387=ADVANCED_FACE('',(#29962),#13984,.T.); #339388=ADVANCED_FACE('',(#29963),#13985,.T.); #339389=ADVANCED_FACE('',(#29964),#13986,.T.); #339390=ADVANCED_FACE('',(#29965),#13987,.T.); #339391=ADVANCED_FACE('',(#29966),#13988,.T.); #339392=ADVANCED_FACE('',(#29967),#13989,.T.); #339393=ADVANCED_FACE('',(#29968),#13990,.T.); #339394=ADVANCED_FACE('',(#29969),#13991,.T.); #339395=ADVANCED_FACE('',(#29970),#13992,.T.); #339396=ADVANCED_FACE('',(#29971),#13993,.T.); #339397=ADVANCED_FACE('',(#29972),#13994,.T.); #339398=ADVANCED_FACE('',(#29973),#13995,.T.); #339399=ADVANCED_FACE('',(#29974),#13996,.T.); #339400=ADVANCED_FACE('',(#29975),#13997,.T.); #339401=ADVANCED_FACE('',(#29976),#13998,.F.); #339402=ADVANCED_FACE('',(#29977),#13999,.T.); #339403=ADVANCED_FACE('',(#29978),#14000,.T.); #339404=ADVANCED_FACE('',(#29979),#14001,.T.); #339405=ADVANCED_FACE('',(#29980),#14002,.T.); #339406=ADVANCED_FACE('',(#29981),#14003,.T.); #339407=ADVANCED_FACE('',(#29982),#14004,.T.); #339408=ADVANCED_FACE('',(#29983),#14005,.T.); #339409=ADVANCED_FACE('',(#29984),#14006,.T.); #339410=ADVANCED_FACE('',(#29985),#14007,.T.); #339411=ADVANCED_FACE('',(#29986),#14008,.T.); #339412=ADVANCED_FACE('',(#29987),#14009,.T.); #339413=ADVANCED_FACE('',(#29988),#14010,.T.); #339414=ADVANCED_FACE('',(#29989),#14011,.T.); #339415=ADVANCED_FACE('',(#29990),#14012,.T.); #339416=ADVANCED_FACE('',(#29991),#14013,.T.); #339417=ADVANCED_FACE('',(#29992),#14014,.T.); #339418=ADVANCED_FACE('',(#29993),#14015,.T.); #339419=ADVANCED_FACE('',(#29994),#14016,.T.); #339420=ADVANCED_FACE('',(#29995),#14017,.T.); #339421=ADVANCED_FACE('',(#29996),#14018,.T.); #339422=ADVANCED_FACE('',(#29997),#14019,.T.); #339423=ADVANCED_FACE('',(#29998),#14020,.F.); #339424=ADVANCED_FACE('',(#29999),#14021,.T.); #339425=ADVANCED_FACE('',(#30000),#14022,.T.); #339426=ADVANCED_FACE('',(#30001),#14023,.T.); #339427=ADVANCED_FACE('',(#30002),#14024,.T.); #339428=ADVANCED_FACE('',(#30003),#14025,.T.); #339429=ADVANCED_FACE('',(#30004),#14026,.T.); #339430=ADVANCED_FACE('',(#30005),#14027,.T.); #339431=ADVANCED_FACE('',(#30006),#14028,.T.); #339432=ADVANCED_FACE('',(#30007),#14029,.T.); #339433=ADVANCED_FACE('',(#30008),#14030,.T.); #339434=ADVANCED_FACE('',(#30009),#14031,.T.); #339435=ADVANCED_FACE('',(#30010),#14032,.T.); #339436=ADVANCED_FACE('',(#30011),#14033,.T.); #339437=ADVANCED_FACE('',(#30012),#14034,.T.); #339438=ADVANCED_FACE('',(#30013),#14035,.T.); #339439=ADVANCED_FACE('',(#30014),#14036,.T.); #339440=ADVANCED_FACE('',(#30015),#14037,.T.); #339441=ADVANCED_FACE('',(#30016),#14038,.T.); #339442=ADVANCED_FACE('',(#30017),#14039,.T.); #339443=ADVANCED_FACE('',(#30018),#14040,.T.); #339444=ADVANCED_FACE('',(#30019),#14041,.T.); #339445=ADVANCED_FACE('',(#30020),#14042,.T.); #339446=ADVANCED_FACE('',(#30021),#14043,.T.); #339447=ADVANCED_FACE('',(#30022),#14044,.T.); #339448=ADVANCED_FACE('',(#30023),#14045,.F.); #339449=ADVANCED_FACE('',(#30024),#14046,.T.); #339450=ADVANCED_FACE('',(#30025),#14047,.T.); #339451=ADVANCED_FACE('',(#30026),#14048,.T.); #339452=ADVANCED_FACE('',(#30027),#14049,.T.); #339453=ADVANCED_FACE('',(#30028),#14050,.T.); #339454=ADVANCED_FACE('',(#30029),#14051,.T.); #339455=ADVANCED_FACE('',(#30030),#14052,.T.); #339456=ADVANCED_FACE('',(#30031),#14053,.T.); #339457=ADVANCED_FACE('',(#30032),#14054,.T.); #339458=ADVANCED_FACE('',(#30033),#14055,.T.); #339459=ADVANCED_FACE('',(#30034),#14056,.T.); #339460=ADVANCED_FACE('',(#30035),#14057,.T.); #339461=ADVANCED_FACE('',(#30036),#14058,.T.); #339462=ADVANCED_FACE('',(#30037),#14059,.T.); #339463=ADVANCED_FACE('',(#30038),#14060,.T.); #339464=ADVANCED_FACE('',(#30039),#14061,.T.); #339465=ADVANCED_FACE('',(#30040),#14062,.T.); #339466=ADVANCED_FACE('',(#30041),#14063,.T.); #339467=ADVANCED_FACE('',(#30042),#14064,.T.); #339468=ADVANCED_FACE('',(#30043),#14065,.T.); #339469=ADVANCED_FACE('',(#30044),#14066,.T.); #339470=ADVANCED_FACE('',(#30045),#14067,.F.); #339471=ADVANCED_FACE('',(#30046),#14068,.T.); #339472=ADVANCED_FACE('',(#30047),#14069,.T.); #339473=ADVANCED_FACE('',(#30048),#14070,.T.); #339474=ADVANCED_FACE('',(#30049),#14071,.T.); #339475=ADVANCED_FACE('',(#30050),#14072,.T.); #339476=ADVANCED_FACE('',(#30051),#14073,.T.); #339477=ADVANCED_FACE('',(#30052),#14074,.T.); #339478=ADVANCED_FACE('',(#30053),#14075,.T.); #339479=ADVANCED_FACE('',(#30054),#14076,.T.); #339480=ADVANCED_FACE('',(#30055),#14077,.T.); #339481=ADVANCED_FACE('',(#30056),#14078,.T.); #339482=ADVANCED_FACE('',(#30057),#14079,.T.); #339483=ADVANCED_FACE('',(#30058),#14080,.T.); #339484=ADVANCED_FACE('',(#30059),#14081,.T.); #339485=ADVANCED_FACE('',(#30060),#14082,.T.); #339486=ADVANCED_FACE('',(#30061),#14083,.T.); #339487=ADVANCED_FACE('',(#30062),#14084,.T.); #339488=ADVANCED_FACE('',(#30063),#14085,.T.); #339489=ADVANCED_FACE('',(#30064),#14086,.T.); #339490=ADVANCED_FACE('',(#30065),#14087,.T.); #339491=ADVANCED_FACE('',(#30066),#14088,.T.); #339492=ADVANCED_FACE('',(#30067),#14089,.T.); #339493=ADVANCED_FACE('',(#30068),#14090,.F.); #339494=ADVANCED_FACE('',(#30069),#329213,.F.); #339495=ADVANCED_FACE('',(#30070),#14091,.T.); #339496=ADVANCED_FACE('',(#30071),#329214,.T.); #339497=ADVANCED_FACE('',(#30072),#329215,.T.); #339498=ADVANCED_FACE('',(#30073,#5576),#14092,.T.); #339499=ADVANCED_FACE('',(#30074,#5577),#14093,.F.); #339500=ADVANCED_FACE('',(#30075),#329216,.F.); #339501=ADVANCED_FACE('',(#30076),#14094,.T.); #339502=ADVANCED_FACE('',(#30077),#329217,.T.); #339503=ADVANCED_FACE('',(#30078),#329218,.T.); #339504=ADVANCED_FACE('',(#30079,#5578),#14095,.T.); #339505=ADVANCED_FACE('',(#30080,#5579),#14096,.F.); #339506=ADVANCED_FACE('',(#30081),#329219,.F.); #339507=ADVANCED_FACE('',(#30082),#14097,.T.); #339508=ADVANCED_FACE('',(#30083),#329220,.T.); #339509=ADVANCED_FACE('',(#30084),#329221,.T.); #339510=ADVANCED_FACE('',(#30085,#5580),#14098,.T.); #339511=ADVANCED_FACE('',(#30086,#5581),#14099,.F.); #339512=ADVANCED_FACE('',(#30087),#329222,.F.); #339513=ADVANCED_FACE('',(#30088),#14100,.T.); #339514=ADVANCED_FACE('',(#30089),#329223,.T.); #339515=ADVANCED_FACE('',(#30090),#329224,.T.); #339516=ADVANCED_FACE('',(#30091,#5582),#14101,.T.); #339517=ADVANCED_FACE('',(#30092,#5583),#14102,.F.); #339518=ADVANCED_FACE('',(#30093),#329225,.F.); #339519=ADVANCED_FACE('',(#30094),#14103,.T.); #339520=ADVANCED_FACE('',(#30095),#14104,.T.); #339521=ADVANCED_FACE('',(#30096),#14105,.T.); #339522=ADVANCED_FACE('',(#30097),#14106,.T.); #339523=ADVANCED_FACE('',(#30098,#5584),#14107,.T.); #339524=ADVANCED_FACE('',(#30099,#5585),#14108,.F.); #339525=ADVANCED_FACE('',(#30100),#329226,.F.); #339526=ADVANCED_FACE('',(#30101),#14109,.T.); #339527=ADVANCED_FACE('',(#30102),#329227,.T.); #339528=ADVANCED_FACE('',(#30103),#329228,.T.); #339529=ADVANCED_FACE('',(#30104,#5586),#14110,.T.); #339530=ADVANCED_FACE('',(#30105,#5587),#14111,.F.); #339531=ADVANCED_FACE('',(#30106),#329229,.F.); #339532=ADVANCED_FACE('',(#30107),#14112,.T.); #339533=ADVANCED_FACE('',(#30108),#329230,.T.); #339534=ADVANCED_FACE('',(#30109),#329231,.T.); #339535=ADVANCED_FACE('',(#30110,#5588),#14113,.T.); #339536=ADVANCED_FACE('',(#30111,#5589),#14114,.F.); #339537=ADVANCED_FACE('',(#30112),#329232,.F.); #339538=ADVANCED_FACE('',(#30113),#14115,.T.); #339539=ADVANCED_FACE('',(#30114),#329233,.T.); #339540=ADVANCED_FACE('',(#30115),#329234,.T.); #339541=ADVANCED_FACE('',(#30116,#5590),#14116,.T.); #339542=ADVANCED_FACE('',(#30117,#5591),#14117,.F.); #339543=ADVANCED_FACE('',(#30118),#329235,.F.); #339544=ADVANCED_FACE('',(#30119),#14118,.T.); #339545=ADVANCED_FACE('',(#30120),#329236,.T.); #339546=ADVANCED_FACE('',(#30121),#329237,.T.); #339547=ADVANCED_FACE('',(#30122,#5592),#14119,.T.); #339548=ADVANCED_FACE('',(#30123,#5593),#14120,.F.); #339549=ADVANCED_FACE('',(#30124),#14121,.F.); #339550=ADVANCED_FACE('',(#30125),#329238,.F.); #339551=ADVANCED_FACE('',(#30126),#14122,.F.); #339552=ADVANCED_FACE('',(#30127),#329239,.F.); #339553=ADVANCED_FACE('',(#30128),#14123,.T.); #339554=ADVANCED_FACE('',(#30129),#14124,.T.); #339555=ADVANCED_FACE('',(#30130),#14125,.T.); #339556=ADVANCED_FACE('',(#30131),#14126,.T.); #339557=ADVANCED_FACE('',(#30132),#14127,.T.); #339558=ADVANCED_FACE('',(#30133),#14128,.T.); #339559=ADVANCED_FACE('',(#30134),#14129,.T.); #339560=ADVANCED_FACE('',(#30135),#14130,.T.); #339561=ADVANCED_FACE('',(#30136),#14131,.T.); #339562=ADVANCED_FACE('',(#30137),#14132,.T.); #339563=ADVANCED_FACE('',(#30138),#14133,.T.); #339564=ADVANCED_FACE('',(#30139),#14134,.T.); #339565=ADVANCED_FACE('',(#30140),#14135,.T.); #339566=ADVANCED_FACE('',(#30141),#14136,.T.); #339567=ADVANCED_FACE('',(#30142),#14137,.T.); #339568=ADVANCED_FACE('',(#30143),#14138,.T.); #339569=ADVANCED_FACE('',(#30144),#14139,.T.); #339570=ADVANCED_FACE('',(#30145),#14140,.T.); #339571=ADVANCED_FACE('',(#30146),#14141,.T.); #339572=ADVANCED_FACE('',(#30147),#14142,.T.); #339573=ADVANCED_FACE('',(#30148),#14143,.T.); #339574=ADVANCED_FACE('',(#30149),#14144,.T.); #339575=ADVANCED_FACE('',(#30150),#14145,.T.); #339576=ADVANCED_FACE('',(#30151),#14146,.T.); #339577=ADVANCED_FACE('',(#30152),#14147,.T.); #339578=ADVANCED_FACE('',(#30153),#14148,.T.); #339579=ADVANCED_FACE('',(#30154),#14149,.T.); #339580=ADVANCED_FACE('',(#30155),#14150,.T.); #339581=ADVANCED_FACE('',(#30156),#14151,.T.); #339582=ADVANCED_FACE('',(#30157),#14152,.T.); #339583=ADVANCED_FACE('',(#30158),#14153,.T.); #339584=ADVANCED_FACE('',(#30159),#14154,.T.); #339585=ADVANCED_FACE('',(#30160),#14155,.T.); #339586=ADVANCED_FACE('',(#30161),#14156,.T.); #339587=ADVANCED_FACE('',(#30162),#14157,.T.); #339588=ADVANCED_FACE('',(#30163),#14158,.T.); #339589=ADVANCED_FACE('',(#30164),#14159,.T.); #339590=ADVANCED_FACE('',(#30165),#14160,.T.); #339591=ADVANCED_FACE('',(#30166),#14161,.T.); #339592=ADVANCED_FACE('',(#30167),#14162,.T.); #339593=ADVANCED_FACE('',(#30168),#14163,.T.); #339594=ADVANCED_FACE('',(#30169),#14164,.T.); #339595=ADVANCED_FACE('',(#30170),#14165,.T.); #339596=ADVANCED_FACE('',(#30171),#14166,.T.); #339597=ADVANCED_FACE('',(#30172),#14167,.T.); #339598=ADVANCED_FACE('',(#30173),#14168,.T.); #339599=ADVANCED_FACE('',(#30174),#14169,.T.); #339600=ADVANCED_FACE('',(#30175),#14170,.T.); #339601=ADVANCED_FACE('',(#30176),#14171,.T.); #339602=ADVANCED_FACE('',(#30177),#14172,.T.); #339603=ADVANCED_FACE('',(#30178),#14173,.T.); #339604=ADVANCED_FACE('',(#30179),#14174,.T.); #339605=ADVANCED_FACE('',(#30180),#14175,.T.); #339606=ADVANCED_FACE('',(#30181),#14176,.T.); #339607=ADVANCED_FACE('',(#30182),#14177,.T.); #339608=ADVANCED_FACE('',(#30183),#14178,.T.); #339609=ADVANCED_FACE('',(#30184,#5594),#14179,.T.); #339610=ADVANCED_FACE('',(#30185,#5595),#14180,.F.); #339611=ADVANCED_FACE('',(#30186),#14181,.F.); #339612=ADVANCED_FACE('',(#30187),#329240,.F.); #339613=ADVANCED_FACE('',(#30188),#14182,.F.); #339614=ADVANCED_FACE('',(#30189),#329241,.F.); #339615=ADVANCED_FACE('',(#30190),#14183,.T.); #339616=ADVANCED_FACE('',(#30191),#14184,.T.); #339617=ADVANCED_FACE('',(#30192),#14185,.T.); #339618=ADVANCED_FACE('',(#30193),#14186,.T.); #339619=ADVANCED_FACE('',(#30194),#14187,.T.); #339620=ADVANCED_FACE('',(#30195),#14188,.T.); #339621=ADVANCED_FACE('',(#30196),#14189,.T.); #339622=ADVANCED_FACE('',(#30197),#14190,.T.); #339623=ADVANCED_FACE('',(#30198),#14191,.T.); #339624=ADVANCED_FACE('',(#30199),#14192,.T.); #339625=ADVANCED_FACE('',(#30200),#14193,.T.); #339626=ADVANCED_FACE('',(#30201),#14194,.T.); #339627=ADVANCED_FACE('',(#30202),#14195,.T.); #339628=ADVANCED_FACE('',(#30203),#14196,.T.); #339629=ADVANCED_FACE('',(#30204),#14197,.T.); #339630=ADVANCED_FACE('',(#30205),#14198,.T.); #339631=ADVANCED_FACE('',(#30206),#14199,.T.); #339632=ADVANCED_FACE('',(#30207),#14200,.T.); #339633=ADVANCED_FACE('',(#30208),#14201,.T.); #339634=ADVANCED_FACE('',(#30209),#14202,.T.); #339635=ADVANCED_FACE('',(#30210),#14203,.T.); #339636=ADVANCED_FACE('',(#30211),#14204,.T.); #339637=ADVANCED_FACE('',(#30212),#14205,.T.); #339638=ADVANCED_FACE('',(#30213),#14206,.T.); #339639=ADVANCED_FACE('',(#30214),#14207,.T.); #339640=ADVANCED_FACE('',(#30215),#14208,.T.); #339641=ADVANCED_FACE('',(#30216),#14209,.T.); #339642=ADVANCED_FACE('',(#30217),#14210,.T.); #339643=ADVANCED_FACE('',(#30218),#14211,.T.); #339644=ADVANCED_FACE('',(#30219),#14212,.T.); #339645=ADVANCED_FACE('',(#30220),#14213,.T.); #339646=ADVANCED_FACE('',(#30221),#14214,.T.); #339647=ADVANCED_FACE('',(#30222),#14215,.T.); #339648=ADVANCED_FACE('',(#30223),#14216,.T.); #339649=ADVANCED_FACE('',(#30224),#14217,.T.); #339650=ADVANCED_FACE('',(#30225),#14218,.T.); #339651=ADVANCED_FACE('',(#30226),#14219,.T.); #339652=ADVANCED_FACE('',(#30227),#14220,.T.); #339653=ADVANCED_FACE('',(#30228),#14221,.T.); #339654=ADVANCED_FACE('',(#30229),#14222,.T.); #339655=ADVANCED_FACE('',(#30230),#14223,.T.); #339656=ADVANCED_FACE('',(#30231),#14224,.T.); #339657=ADVANCED_FACE('',(#30232),#14225,.T.); #339658=ADVANCED_FACE('',(#30233),#14226,.T.); #339659=ADVANCED_FACE('',(#30234),#14227,.T.); #339660=ADVANCED_FACE('',(#30235),#14228,.T.); #339661=ADVANCED_FACE('',(#30236),#14229,.T.); #339662=ADVANCED_FACE('',(#30237),#14230,.T.); #339663=ADVANCED_FACE('',(#30238),#14231,.T.); #339664=ADVANCED_FACE('',(#30239),#14232,.T.); #339665=ADVANCED_FACE('',(#30240),#14233,.T.); #339666=ADVANCED_FACE('',(#30241),#14234,.T.); #339667=ADVANCED_FACE('',(#30242),#14235,.T.); #339668=ADVANCED_FACE('',(#30243),#14236,.T.); #339669=ADVANCED_FACE('',(#30244),#14237,.T.); #339670=ADVANCED_FACE('',(#30245),#14238,.T.); #339671=ADVANCED_FACE('',(#30246),#14239,.T.); #339672=ADVANCED_FACE('',(#30247),#14240,.T.); #339673=ADVANCED_FACE('',(#30248),#14241,.T.); #339674=ADVANCED_FACE('',(#30249),#14242,.T.); #339675=ADVANCED_FACE('',(#30250),#14243,.T.); #339676=ADVANCED_FACE('',(#30251),#14244,.T.); #339677=ADVANCED_FACE('',(#30252),#14245,.T.); #339678=ADVANCED_FACE('',(#30253),#14246,.T.); #339679=ADVANCED_FACE('',(#30254),#14247,.T.); #339680=ADVANCED_FACE('',(#30255),#14248,.T.); #339681=ADVANCED_FACE('',(#30256),#14249,.T.); #339682=ADVANCED_FACE('',(#30257),#14250,.T.); #339683=ADVANCED_FACE('',(#30258),#14251,.T.); #339684=ADVANCED_FACE('',(#30259),#14252,.T.); #339685=ADVANCED_FACE('',(#30260),#14253,.T.); #339686=ADVANCED_FACE('',(#30261),#14254,.T.); #339687=ADVANCED_FACE('',(#30262,#5596),#14255,.T.); #339688=ADVANCED_FACE('',(#30263,#5597),#14256,.F.); #339689=ADVANCED_FACE('',(#30264),#14257,.F.); #339690=ADVANCED_FACE('',(#30265),#329242,.F.); #339691=ADVANCED_FACE('',(#30266),#14258,.F.); #339692=ADVANCED_FACE('',(#30267),#329243,.F.); #339693=ADVANCED_FACE('',(#30268),#14259,.T.); #339694=ADVANCED_FACE('',(#30269),#14260,.T.); #339695=ADVANCED_FACE('',(#30270),#14261,.T.); #339696=ADVANCED_FACE('',(#30271),#14262,.T.); #339697=ADVANCED_FACE('',(#30272),#14263,.T.); #339698=ADVANCED_FACE('',(#30273),#14264,.T.); #339699=ADVANCED_FACE('',(#30274),#14265,.T.); #339700=ADVANCED_FACE('',(#30275),#14266,.T.); #339701=ADVANCED_FACE('',(#30276),#14267,.T.); #339702=ADVANCED_FACE('',(#30277),#14268,.T.); #339703=ADVANCED_FACE('',(#30278),#14269,.T.); #339704=ADVANCED_FACE('',(#30279),#14270,.T.); #339705=ADVANCED_FACE('',(#30280),#14271,.T.); #339706=ADVANCED_FACE('',(#30281),#14272,.T.); #339707=ADVANCED_FACE('',(#30282),#14273,.T.); #339708=ADVANCED_FACE('',(#30283),#14274,.T.); #339709=ADVANCED_FACE('',(#30284),#14275,.T.); #339710=ADVANCED_FACE('',(#30285),#14276,.T.); #339711=ADVANCED_FACE('',(#30286),#14277,.T.); #339712=ADVANCED_FACE('',(#30287),#14278,.T.); #339713=ADVANCED_FACE('',(#30288),#14279,.T.); #339714=ADVANCED_FACE('',(#30289),#14280,.T.); #339715=ADVANCED_FACE('',(#30290),#14281,.T.); #339716=ADVANCED_FACE('',(#30291),#14282,.T.); #339717=ADVANCED_FACE('',(#30292),#14283,.T.); #339718=ADVANCED_FACE('',(#30293),#14284,.T.); #339719=ADVANCED_FACE('',(#30294),#14285,.T.); #339720=ADVANCED_FACE('',(#30295),#14286,.T.); #339721=ADVANCED_FACE('',(#30296),#14287,.T.); #339722=ADVANCED_FACE('',(#30297),#14288,.T.); #339723=ADVANCED_FACE('',(#30298),#14289,.T.); #339724=ADVANCED_FACE('',(#30299),#14290,.T.); #339725=ADVANCED_FACE('',(#30300),#14291,.T.); #339726=ADVANCED_FACE('',(#30301),#14292,.T.); #339727=ADVANCED_FACE('',(#30302),#14293,.T.); #339728=ADVANCED_FACE('',(#30303),#14294,.T.); #339729=ADVANCED_FACE('',(#30304),#14295,.T.); #339730=ADVANCED_FACE('',(#30305),#14296,.T.); #339731=ADVANCED_FACE('',(#30306),#14297,.T.); #339732=ADVANCED_FACE('',(#30307),#14298,.T.); #339733=ADVANCED_FACE('',(#30308),#14299,.T.); #339734=ADVANCED_FACE('',(#30309),#14300,.T.); #339735=ADVANCED_FACE('',(#30310),#14301,.T.); #339736=ADVANCED_FACE('',(#30311),#14302,.T.); #339737=ADVANCED_FACE('',(#30312),#14303,.T.); #339738=ADVANCED_FACE('',(#30313),#14304,.T.); #339739=ADVANCED_FACE('',(#30314),#14305,.T.); #339740=ADVANCED_FACE('',(#30315),#14306,.T.); #339741=ADVANCED_FACE('',(#30316),#14307,.T.); #339742=ADVANCED_FACE('',(#30317),#14308,.T.); #339743=ADVANCED_FACE('',(#30318),#14309,.T.); #339744=ADVANCED_FACE('',(#30319),#14310,.T.); #339745=ADVANCED_FACE('',(#30320),#14311,.T.); #339746=ADVANCED_FACE('',(#30321),#14312,.T.); #339747=ADVANCED_FACE('',(#30322),#14313,.T.); #339748=ADVANCED_FACE('',(#30323),#14314,.T.); #339749=ADVANCED_FACE('',(#30324,#5598),#14315,.T.); #339750=ADVANCED_FACE('',(#30325,#5599),#14316,.F.); #339751=ADVANCED_FACE('',(#30326),#329244,.F.); #339752=ADVANCED_FACE('',(#30327),#14317,.T.); #339753=ADVANCED_FACE('',(#30328),#14318,.T.); #339754=ADVANCED_FACE('',(#30329),#14319,.T.); #339755=ADVANCED_FACE('',(#30330),#14320,.T.); #339756=ADVANCED_FACE('',(#30331,#5600),#14321,.T.); #339757=ADVANCED_FACE('',(#30332,#5601),#14322,.F.); #339758=ADVANCED_FACE('',(#30333),#14323,.F.); #339759=ADVANCED_FACE('',(#30334),#329245,.F.); #339760=ADVANCED_FACE('',(#30335),#14324,.F.); #339761=ADVANCED_FACE('',(#30336),#329246,.F.); #339762=ADVANCED_FACE('',(#30337),#14325,.T.); #339763=ADVANCED_FACE('',(#30338),#14326,.T.); #339764=ADVANCED_FACE('',(#30339),#14327,.T.); #339765=ADVANCED_FACE('',(#30340),#14328,.T.); #339766=ADVANCED_FACE('',(#30341),#14329,.T.); #339767=ADVANCED_FACE('',(#30342),#14330,.T.); #339768=ADVANCED_FACE('',(#30343),#14331,.T.); #339769=ADVANCED_FACE('',(#30344),#14332,.T.); #339770=ADVANCED_FACE('',(#30345),#14333,.T.); #339771=ADVANCED_FACE('',(#30346),#14334,.T.); #339772=ADVANCED_FACE('',(#30347),#14335,.T.); #339773=ADVANCED_FACE('',(#30348),#14336,.T.); #339774=ADVANCED_FACE('',(#30349),#14337,.T.); #339775=ADVANCED_FACE('',(#30350),#14338,.T.); #339776=ADVANCED_FACE('',(#30351),#14339,.T.); #339777=ADVANCED_FACE('',(#30352),#14340,.T.); #339778=ADVANCED_FACE('',(#30353),#14341,.T.); #339779=ADVANCED_FACE('',(#30354),#14342,.T.); #339780=ADVANCED_FACE('',(#30355),#14343,.T.); #339781=ADVANCED_FACE('',(#30356),#14344,.T.); #339782=ADVANCED_FACE('',(#30357),#14345,.T.); #339783=ADVANCED_FACE('',(#30358),#14346,.T.); #339784=ADVANCED_FACE('',(#30359),#14347,.T.); #339785=ADVANCED_FACE('',(#30360),#14348,.T.); #339786=ADVANCED_FACE('',(#30361),#14349,.T.); #339787=ADVANCED_FACE('',(#30362),#14350,.T.); #339788=ADVANCED_FACE('',(#30363),#14351,.T.); #339789=ADVANCED_FACE('',(#30364),#14352,.T.); #339790=ADVANCED_FACE('',(#30365),#14353,.T.); #339791=ADVANCED_FACE('',(#30366),#14354,.T.); #339792=ADVANCED_FACE('',(#30367),#14355,.T.); #339793=ADVANCED_FACE('',(#30368),#14356,.T.); #339794=ADVANCED_FACE('',(#30369),#14357,.T.); #339795=ADVANCED_FACE('',(#30370),#14358,.T.); #339796=ADVANCED_FACE('',(#30371),#14359,.T.); #339797=ADVANCED_FACE('',(#30372),#14360,.T.); #339798=ADVANCED_FACE('',(#30373),#14361,.T.); #339799=ADVANCED_FACE('',(#30374),#14362,.T.); #339800=ADVANCED_FACE('',(#30375),#14363,.T.); #339801=ADVANCED_FACE('',(#30376),#14364,.T.); #339802=ADVANCED_FACE('',(#30377),#14365,.T.); #339803=ADVANCED_FACE('',(#30378),#14366,.T.); #339804=ADVANCED_FACE('',(#30379),#14367,.T.); #339805=ADVANCED_FACE('',(#30380),#14368,.T.); #339806=ADVANCED_FACE('',(#30381),#14369,.T.); #339807=ADVANCED_FACE('',(#30382),#14370,.T.); #339808=ADVANCED_FACE('',(#30383),#14371,.T.); #339809=ADVANCED_FACE('',(#30384),#14372,.T.); #339810=ADVANCED_FACE('',(#30385),#14373,.T.); #339811=ADVANCED_FACE('',(#30386),#14374,.T.); #339812=ADVANCED_FACE('',(#30387),#14375,.T.); #339813=ADVANCED_FACE('',(#30388),#14376,.T.); #339814=ADVANCED_FACE('',(#30389),#14377,.T.); #339815=ADVANCED_FACE('',(#30390),#14378,.T.); #339816=ADVANCED_FACE('',(#30391),#14379,.T.); #339817=ADVANCED_FACE('',(#30392),#14380,.T.); #339818=ADVANCED_FACE('',(#30393,#5602),#14381,.T.); #339819=ADVANCED_FACE('',(#30394,#5603),#14382,.F.); #339820=ADVANCED_FACE('',(#30395),#14383,.F.); #339821=ADVANCED_FACE('',(#30396),#329247,.F.); #339822=ADVANCED_FACE('',(#30397),#14384,.F.); #339823=ADVANCED_FACE('',(#30398),#329248,.F.); #339824=ADVANCED_FACE('',(#30399),#14385,.T.); #339825=ADVANCED_FACE('',(#30400),#14386,.T.); #339826=ADVANCED_FACE('',(#30401),#14387,.T.); #339827=ADVANCED_FACE('',(#30402),#14388,.T.); #339828=ADVANCED_FACE('',(#30403),#14389,.T.); #339829=ADVANCED_FACE('',(#30404),#14390,.T.); #339830=ADVANCED_FACE('',(#30405),#14391,.T.); #339831=ADVANCED_FACE('',(#30406),#14392,.T.); #339832=ADVANCED_FACE('',(#30407),#14393,.T.); #339833=ADVANCED_FACE('',(#30408),#14394,.T.); #339834=ADVANCED_FACE('',(#30409),#14395,.T.); #339835=ADVANCED_FACE('',(#30410),#14396,.T.); #339836=ADVANCED_FACE('',(#30411),#14397,.T.); #339837=ADVANCED_FACE('',(#30412),#14398,.T.); #339838=ADVANCED_FACE('',(#30413),#14399,.T.); #339839=ADVANCED_FACE('',(#30414),#14400,.T.); #339840=ADVANCED_FACE('',(#30415),#14401,.T.); #339841=ADVANCED_FACE('',(#30416),#14402,.T.); #339842=ADVANCED_FACE('',(#30417),#14403,.T.); #339843=ADVANCED_FACE('',(#30418),#14404,.T.); #339844=ADVANCED_FACE('',(#30419),#14405,.T.); #339845=ADVANCED_FACE('',(#30420),#14406,.T.); #339846=ADVANCED_FACE('',(#30421),#14407,.T.); #339847=ADVANCED_FACE('',(#30422),#14408,.T.); #339848=ADVANCED_FACE('',(#30423),#14409,.T.); #339849=ADVANCED_FACE('',(#30424),#14410,.T.); #339850=ADVANCED_FACE('',(#30425),#14411,.T.); #339851=ADVANCED_FACE('',(#30426),#14412,.T.); #339852=ADVANCED_FACE('',(#30427),#14413,.T.); #339853=ADVANCED_FACE('',(#30428),#14414,.T.); #339854=ADVANCED_FACE('',(#30429),#14415,.T.); #339855=ADVANCED_FACE('',(#30430),#14416,.T.); #339856=ADVANCED_FACE('',(#30431),#14417,.T.); #339857=ADVANCED_FACE('',(#30432),#14418,.T.); #339858=ADVANCED_FACE('',(#30433),#14419,.T.); #339859=ADVANCED_FACE('',(#30434),#14420,.T.); #339860=ADVANCED_FACE('',(#30435),#14421,.T.); #339861=ADVANCED_FACE('',(#30436),#14422,.T.); #339862=ADVANCED_FACE('',(#30437),#14423,.T.); #339863=ADVANCED_FACE('',(#30438),#14424,.T.); #339864=ADVANCED_FACE('',(#30439),#14425,.T.); #339865=ADVANCED_FACE('',(#30440),#14426,.T.); #339866=ADVANCED_FACE('',(#30441),#14427,.T.); #339867=ADVANCED_FACE('',(#30442),#14428,.T.); #339868=ADVANCED_FACE('',(#30443),#14429,.T.); #339869=ADVANCED_FACE('',(#30444),#14430,.T.); #339870=ADVANCED_FACE('',(#30445),#14431,.T.); #339871=ADVANCED_FACE('',(#30446),#14432,.T.); #339872=ADVANCED_FACE('',(#30447),#14433,.T.); #339873=ADVANCED_FACE('',(#30448),#14434,.T.); #339874=ADVANCED_FACE('',(#30449),#14435,.T.); #339875=ADVANCED_FACE('',(#30450),#14436,.T.); #339876=ADVANCED_FACE('',(#30451),#14437,.T.); #339877=ADVANCED_FACE('',(#30452),#14438,.T.); #339878=ADVANCED_FACE('',(#30453),#14439,.T.); #339879=ADVANCED_FACE('',(#30454),#14440,.T.); #339880=ADVANCED_FACE('',(#30455),#14441,.T.); #339881=ADVANCED_FACE('',(#30456),#14442,.T.); #339882=ADVANCED_FACE('',(#30457),#14443,.T.); #339883=ADVANCED_FACE('',(#30458),#14444,.T.); #339884=ADVANCED_FACE('',(#30459),#14445,.T.); #339885=ADVANCED_FACE('',(#30460),#14446,.T.); #339886=ADVANCED_FACE('',(#30461),#14447,.T.); #339887=ADVANCED_FACE('',(#30462),#14448,.T.); #339888=ADVANCED_FACE('',(#30463),#14449,.T.); #339889=ADVANCED_FACE('',(#30464),#14450,.T.); #339890=ADVANCED_FACE('',(#30465),#14451,.T.); #339891=ADVANCED_FACE('',(#30466),#14452,.T.); #339892=ADVANCED_FACE('',(#30467),#14453,.T.); #339893=ADVANCED_FACE('',(#30468),#14454,.T.); #339894=ADVANCED_FACE('',(#30469),#14455,.T.); #339895=ADVANCED_FACE('',(#30470),#14456,.T.); #339896=ADVANCED_FACE('',(#30471,#5604),#14457,.T.); #339897=ADVANCED_FACE('',(#30472,#5605),#14458,.F.); #339898=ADVANCED_FACE('',(#30473),#329249,.F.); #339899=ADVANCED_FACE('',(#30474),#14459,.T.); #339900=ADVANCED_FACE('',(#30475),#329250,.T.); #339901=ADVANCED_FACE('',(#30476),#329251,.T.); #339902=ADVANCED_FACE('',(#30477,#5606),#14460,.T.); #339903=ADVANCED_FACE('',(#30478,#5607),#14461,.F.); #339904=ADVANCED_FACE('',(#30479),#329252,.F.); #339905=ADVANCED_FACE('',(#30480),#14462,.T.); #339906=ADVANCED_FACE('',(#30481),#329253,.T.); #339907=ADVANCED_FACE('',(#30482),#329254,.T.); #339908=ADVANCED_FACE('',(#30483,#5608),#14463,.T.); #339909=ADVANCED_FACE('',(#30484,#5609),#14464,.F.); #339910=ADVANCED_FACE('',(#30485),#14465,.F.); #339911=ADVANCED_FACE('',(#30486),#329255,.F.); #339912=ADVANCED_FACE('',(#30487),#14466,.F.); #339913=ADVANCED_FACE('',(#30488),#329256,.F.); #339914=ADVANCED_FACE('',(#30489),#14467,.T.); #339915=ADVANCED_FACE('',(#30490),#14468,.T.); #339916=ADVANCED_FACE('',(#30491),#14469,.T.); #339917=ADVANCED_FACE('',(#30492),#14470,.T.); #339918=ADVANCED_FACE('',(#30493),#14471,.T.); #339919=ADVANCED_FACE('',(#30494),#14472,.T.); #339920=ADVANCED_FACE('',(#30495),#14473,.T.); #339921=ADVANCED_FACE('',(#30496),#14474,.T.); #339922=ADVANCED_FACE('',(#30497),#14475,.T.); #339923=ADVANCED_FACE('',(#30498),#14476,.T.); #339924=ADVANCED_FACE('',(#30499),#14477,.T.); #339925=ADVANCED_FACE('',(#30500),#14478,.T.); #339926=ADVANCED_FACE('',(#30501),#14479,.T.); #339927=ADVANCED_FACE('',(#30502),#14480,.T.); #339928=ADVANCED_FACE('',(#30503),#14481,.T.); #339929=ADVANCED_FACE('',(#30504),#14482,.T.); #339930=ADVANCED_FACE('',(#30505),#14483,.T.); #339931=ADVANCED_FACE('',(#30506),#14484,.T.); #339932=ADVANCED_FACE('',(#30507),#14485,.T.); #339933=ADVANCED_FACE('',(#30508),#14486,.T.); #339934=ADVANCED_FACE('',(#30509),#14487,.T.); #339935=ADVANCED_FACE('',(#30510),#14488,.T.); #339936=ADVANCED_FACE('',(#30511),#14489,.T.); #339937=ADVANCED_FACE('',(#30512),#14490,.T.); #339938=ADVANCED_FACE('',(#30513),#14491,.T.); #339939=ADVANCED_FACE('',(#30514),#14492,.T.); #339940=ADVANCED_FACE('',(#30515),#14493,.T.); #339941=ADVANCED_FACE('',(#30516),#14494,.T.); #339942=ADVANCED_FACE('',(#30517),#14495,.T.); #339943=ADVANCED_FACE('',(#30518),#14496,.T.); #339944=ADVANCED_FACE('',(#30519),#14497,.T.); #339945=ADVANCED_FACE('',(#30520),#14498,.T.); #339946=ADVANCED_FACE('',(#30521),#14499,.T.); #339947=ADVANCED_FACE('',(#30522),#14500,.T.); #339948=ADVANCED_FACE('',(#30523),#14501,.T.); #339949=ADVANCED_FACE('',(#30524),#14502,.T.); #339950=ADVANCED_FACE('',(#30525),#14503,.T.); #339951=ADVANCED_FACE('',(#30526),#14504,.T.); #339952=ADVANCED_FACE('',(#30527),#14505,.T.); #339953=ADVANCED_FACE('',(#30528),#14506,.T.); #339954=ADVANCED_FACE('',(#30529),#14507,.T.); #339955=ADVANCED_FACE('',(#30530),#14508,.T.); #339956=ADVANCED_FACE('',(#30531),#14509,.T.); #339957=ADVANCED_FACE('',(#30532),#14510,.T.); #339958=ADVANCED_FACE('',(#30533),#14511,.T.); #339959=ADVANCED_FACE('',(#30534),#14512,.T.); #339960=ADVANCED_FACE('',(#30535),#14513,.T.); #339961=ADVANCED_FACE('',(#30536),#14514,.T.); #339962=ADVANCED_FACE('',(#30537),#14515,.T.); #339963=ADVANCED_FACE('',(#30538),#14516,.T.); #339964=ADVANCED_FACE('',(#30539),#14517,.T.); #339965=ADVANCED_FACE('',(#30540),#14518,.T.); #339966=ADVANCED_FACE('',(#30541),#14519,.T.); #339967=ADVANCED_FACE('',(#30542),#14520,.T.); #339968=ADVANCED_FACE('',(#30543),#14521,.T.); #339969=ADVANCED_FACE('',(#30544),#14522,.T.); #339970=ADVANCED_FACE('',(#30545,#5610),#14523,.T.); #339971=ADVANCED_FACE('',(#30546,#5611),#14524,.F.); #339972=ADVANCED_FACE('',(#30547),#329257,.F.); #339973=ADVANCED_FACE('',(#30548),#14525,.T.); #339974=ADVANCED_FACE('',(#30549),#329258,.T.); #339975=ADVANCED_FACE('',(#30550),#329259,.T.); #339976=ADVANCED_FACE('',(#30551,#5612),#14526,.T.); #339977=ADVANCED_FACE('',(#30552,#5613),#14527,.F.); #339978=ADVANCED_FACE('',(#30553),#329260,.F.); #339979=ADVANCED_FACE('',(#30554),#14528,.T.); #339980=ADVANCED_FACE('',(#30555),#329261,.T.); #339981=ADVANCED_FACE('',(#30556),#329262,.T.); #339982=ADVANCED_FACE('',(#30557,#5614),#14529,.T.); #339983=ADVANCED_FACE('',(#30558,#5615),#14530,.F.); #339984=ADVANCED_FACE('',(#30559),#329263,.F.); #339985=ADVANCED_FACE('',(#30560),#14531,.T.); #339986=ADVANCED_FACE('',(#30561),#329264,.T.); #339987=ADVANCED_FACE('',(#30562),#329265,.T.); #339988=ADVANCED_FACE('',(#30563,#5616),#14532,.T.); #339989=ADVANCED_FACE('',(#30564,#5617),#14533,.F.); #339990=ADVANCED_FACE('',(#30565),#329266,.F.); #339991=ADVANCED_FACE('',(#30566),#14534,.T.); #339992=ADVANCED_FACE('',(#30567),#14535,.T.); #339993=ADVANCED_FACE('',(#30568),#14536,.T.); #339994=ADVANCED_FACE('',(#30569),#14537,.T.); #339995=ADVANCED_FACE('',(#30570,#5618),#14538,.T.); #339996=ADVANCED_FACE('',(#30571,#5619),#14539,.F.); #339997=ADVANCED_FACE('',(#30572),#329267,.F.); #339998=ADVANCED_FACE('',(#30573),#14540,.T.); #339999=ADVANCED_FACE('',(#30574),#329268,.T.); #340000=ADVANCED_FACE('',(#30575),#329269,.T.); #340001=ADVANCED_FACE('',(#30576,#5620),#14541,.T.); #340002=ADVANCED_FACE('',(#30577,#5621),#14542,.F.); #340003=ADVANCED_FACE('',(#30578),#14543,.T.); #340004=ADVANCED_FACE('',(#30579),#14544,.T.); #340005=ADVANCED_FACE('',(#30580),#14545,.T.); #340006=ADVANCED_FACE('',(#30581),#14546,.T.); #340007=ADVANCED_FACE('',(#30582),#14547,.T.); #340008=ADVANCED_FACE('',(#30583),#14548,.F.); #340009=ADVANCED_FACE('',(#30584),#14549,.T.); #340010=ADVANCED_FACE('',(#30585),#14550,.T.); #340011=ADVANCED_FACE('',(#30586),#14551,.T.); #340012=ADVANCED_FACE('',(#30587),#14552,.T.); #340013=ADVANCED_FACE('',(#30588),#14553,.T.); #340014=ADVANCED_FACE('',(#30589),#14554,.F.); #340015=ADVANCED_FACE('',(#30590),#14555,.T.); #340016=ADVANCED_FACE('',(#30591),#14556,.T.); #340017=ADVANCED_FACE('',(#30592),#14557,.T.); #340018=ADVANCED_FACE('',(#30593),#14558,.T.); #340019=ADVANCED_FACE('',(#30594),#14559,.T.); #340020=ADVANCED_FACE('',(#30595),#14560,.F.); #340021=ADVANCED_FACE('',(#30596),#14561,.T.); #340022=ADVANCED_FACE('',(#30597),#14562,.T.); #340023=ADVANCED_FACE('',(#30598),#14563,.T.); #340024=ADVANCED_FACE('',(#30599),#14564,.T.); #340025=ADVANCED_FACE('',(#30600),#14565,.T.); #340026=ADVANCED_FACE('',(#30601),#14566,.F.); #340027=ADVANCED_FACE('',(#30602),#14567,.T.); #340028=ADVANCED_FACE('',(#30603),#14568,.T.); #340029=ADVANCED_FACE('',(#30604),#14569,.T.); #340030=ADVANCED_FACE('',(#30605),#14570,.T.); #340031=ADVANCED_FACE('',(#30606),#14571,.T.); #340032=ADVANCED_FACE('',(#30607),#14572,.F.); #340033=ADVANCED_FACE('',(#30608),#14573,.T.); #340034=ADVANCED_FACE('',(#30609),#14574,.T.); #340035=ADVANCED_FACE('',(#30610),#14575,.T.); #340036=ADVANCED_FACE('',(#30611),#14576,.T.); #340037=ADVANCED_FACE('',(#30612),#14577,.T.); #340038=ADVANCED_FACE('',(#30613),#14578,.F.); #340039=ADVANCED_FACE('',(#30614),#14579,.T.); #340040=ADVANCED_FACE('',(#30615),#14580,.T.); #340041=ADVANCED_FACE('',(#30616),#14581,.T.); #340042=ADVANCED_FACE('',(#30617),#14582,.T.); #340043=ADVANCED_FACE('',(#30618),#14583,.T.); #340044=ADVANCED_FACE('',(#30619),#14584,.F.); #340045=ADVANCED_FACE('',(#30620),#14585,.T.); #340046=ADVANCED_FACE('',(#30621),#14586,.T.); #340047=ADVANCED_FACE('',(#30622),#14587,.T.); #340048=ADVANCED_FACE('',(#30623),#14588,.T.); #340049=ADVANCED_FACE('',(#30624),#14589,.T.); #340050=ADVANCED_FACE('',(#30625),#14590,.F.); #340051=ADVANCED_FACE('',(#30626),#14591,.T.); #340052=ADVANCED_FACE('',(#30627),#14592,.T.); #340053=ADVANCED_FACE('',(#30628),#14593,.T.); #340054=ADVANCED_FACE('',(#30629),#14594,.T.); #340055=ADVANCED_FACE('',(#30630),#14595,.T.); #340056=ADVANCED_FACE('',(#30631),#14596,.F.); #340057=ADVANCED_FACE('',(#30632),#14597,.T.); #340058=ADVANCED_FACE('',(#30633),#14598,.T.); #340059=ADVANCED_FACE('',(#30634),#14599,.T.); #340060=ADVANCED_FACE('',(#30635),#14600,.T.); #340061=ADVANCED_FACE('',(#30636),#14601,.T.); #340062=ADVANCED_FACE('',(#30637),#14602,.F.); #340063=ADVANCED_FACE('',(#30638),#14603,.T.); #340064=ADVANCED_FACE('',(#30639),#14604,.T.); #340065=ADVANCED_FACE('',(#30640),#14605,.T.); #340066=ADVANCED_FACE('',(#30641),#14606,.T.); #340067=ADVANCED_FACE('',(#30642),#14607,.T.); #340068=ADVANCED_FACE('',(#30643),#14608,.F.); #340069=ADVANCED_FACE('',(#30644),#14609,.T.); #340070=ADVANCED_FACE('',(#30645),#14610,.T.); #340071=ADVANCED_FACE('',(#30646),#14611,.T.); #340072=ADVANCED_FACE('',(#30647),#14612,.T.); #340073=ADVANCED_FACE('',(#30648),#14613,.T.); #340074=ADVANCED_FACE('',(#30649),#14614,.F.); #340075=ADVANCED_FACE('',(#30650),#14615,.T.); #340076=ADVANCED_FACE('',(#30651),#14616,.T.); #340077=ADVANCED_FACE('',(#30652),#14617,.T.); #340078=ADVANCED_FACE('',(#30653),#14618,.T.); #340079=ADVANCED_FACE('',(#30654),#14619,.T.); #340080=ADVANCED_FACE('',(#30655),#14620,.F.); #340081=ADVANCED_FACE('',(#30656),#329270,.F.); #340082=ADVANCED_FACE('',(#30657),#329271,.F.); #340083=ADVANCED_FACE('',(#30658),#329272,.F.); #340084=ADVANCED_FACE('',(#30659),#329273,.F.); #340085=ADVANCED_FACE('',(#30660),#329274,.F.); #340086=ADVANCED_FACE('',(#30661),#329275,.F.); #340087=ADVANCED_FACE('',(#30662),#14621,.T.); #340088=ADVANCED_FACE('',(#30663),#14622,.T.); #340089=ADVANCED_FACE('',(#30664),#14623,.T.); #340090=ADVANCED_FACE('',(#30665),#14624,.T.); #340091=ADVANCED_FACE('',(#30666,#5622,#5623,#5624,#5625,#5626,#5627),#14625, .T.); #340092=ADVANCED_FACE('',(#30667,#5628,#5629,#5630,#5631,#5632,#5633),#14626, .F.); #340093=ADVANCED_FACE('',(#30668),#14627,.T.); #340094=ADVANCED_FACE('',(#30669),#14628,.T.); #340095=ADVANCED_FACE('',(#30670),#14629,.T.); #340096=ADVANCED_FACE('',(#30671),#14630,.T.); #340097=ADVANCED_FACE('',(#30672),#14631,.T.); #340098=ADVANCED_FACE('',(#30673),#14632,.F.); #340099=ADVANCED_FACE('',(#30674),#14633,.T.); #340100=ADVANCED_FACE('',(#30675),#14634,.T.); #340101=ADVANCED_FACE('',(#30676),#14635,.T.); #340102=ADVANCED_FACE('',(#30677),#14636,.T.); #340103=ADVANCED_FACE('',(#30678),#14637,.T.); #340104=ADVANCED_FACE('',(#30679),#14638,.F.); #340105=ADVANCED_FACE('',(#30680),#14639,.T.); #340106=ADVANCED_FACE('',(#30681),#14640,.T.); #340107=ADVANCED_FACE('',(#30682),#14641,.T.); #340108=ADVANCED_FACE('',(#30683),#14642,.T.); #340109=ADVANCED_FACE('',(#30684),#14643,.T.); #340110=ADVANCED_FACE('',(#30685),#14644,.F.); #340111=ADVANCED_FACE('',(#30686),#14645,.T.); #340112=ADVANCED_FACE('',(#30687),#14646,.T.); #340113=ADVANCED_FACE('',(#30688),#14647,.T.); #340114=ADVANCED_FACE('',(#30689),#14648,.T.); #340115=ADVANCED_FACE('',(#30690),#14649,.T.); #340116=ADVANCED_FACE('',(#30691),#14650,.F.); #340117=ADVANCED_FACE('',(#30692),#14651,.T.); #340118=ADVANCED_FACE('',(#30693),#14652,.T.); #340119=ADVANCED_FACE('',(#30694),#14653,.T.); #340120=ADVANCED_FACE('',(#30695),#14654,.T.); #340121=ADVANCED_FACE('',(#30696),#14655,.T.); #340122=ADVANCED_FACE('',(#30697),#14656,.F.); #340123=ADVANCED_FACE('',(#30698),#14657,.T.); #340124=ADVANCED_FACE('',(#30699),#14658,.T.); #340125=ADVANCED_FACE('',(#30700),#14659,.T.); #340126=ADVANCED_FACE('',(#30701),#14660,.T.); #340127=ADVANCED_FACE('',(#30702),#14661,.T.); #340128=ADVANCED_FACE('',(#30703),#14662,.F.); #340129=ADVANCED_FACE('',(#30704),#14663,.T.); #340130=ADVANCED_FACE('',(#30705),#14664,.T.); #340131=ADVANCED_FACE('',(#30706),#14665,.T.); #340132=ADVANCED_FACE('',(#30707),#14666,.T.); #340133=ADVANCED_FACE('',(#30708),#14667,.T.); #340134=ADVANCED_FACE('',(#30709),#14668,.F.); #340135=ADVANCED_FACE('',(#30710),#14669,.T.); #340136=ADVANCED_FACE('',(#30711),#14670,.T.); #340137=ADVANCED_FACE('',(#30712),#14671,.T.); #340138=ADVANCED_FACE('',(#30713),#14672,.T.); #340139=ADVANCED_FACE('',(#30714),#14673,.T.); #340140=ADVANCED_FACE('',(#30715),#14674,.F.); #340141=ADVANCED_FACE('',(#30716),#14675,.T.); #340142=ADVANCED_FACE('',(#30717),#14676,.T.); #340143=ADVANCED_FACE('',(#30718),#14677,.T.); #340144=ADVANCED_FACE('',(#30719),#14678,.T.); #340145=ADVANCED_FACE('',(#30720),#14679,.T.); #340146=ADVANCED_FACE('',(#30721),#14680,.F.); #340147=ADVANCED_FACE('',(#30722),#14681,.T.); #340148=ADVANCED_FACE('',(#30723),#14682,.T.); #340149=ADVANCED_FACE('',(#30724),#14683,.T.); #340150=ADVANCED_FACE('',(#30725),#14684,.T.); #340151=ADVANCED_FACE('',(#30726),#14685,.T.); #340152=ADVANCED_FACE('',(#30727),#14686,.F.); #340153=ADVANCED_FACE('',(#30728),#14687,.T.); #340154=ADVANCED_FACE('',(#30729),#14688,.T.); #340155=ADVANCED_FACE('',(#30730),#14689,.T.); #340156=ADVANCED_FACE('',(#30731),#14690,.T.); #340157=ADVANCED_FACE('',(#30732),#14691,.T.); #340158=ADVANCED_FACE('',(#30733),#14692,.F.); #340159=ADVANCED_FACE('',(#30734),#14693,.T.); #340160=ADVANCED_FACE('',(#30735),#14694,.T.); #340161=ADVANCED_FACE('',(#30736),#14695,.T.); #340162=ADVANCED_FACE('',(#30737),#14696,.T.); #340163=ADVANCED_FACE('',(#30738),#14697,.T.); #340164=ADVANCED_FACE('',(#30739),#14698,.F.); #340165=ADVANCED_FACE('',(#30740),#14699,.T.); #340166=ADVANCED_FACE('',(#30741),#14700,.T.); #340167=ADVANCED_FACE('',(#30742),#14701,.T.); #340168=ADVANCED_FACE('',(#30743),#14702,.T.); #340169=ADVANCED_FACE('',(#30744),#14703,.T.); #340170=ADVANCED_FACE('',(#30745),#14704,.F.); #340171=ADVANCED_FACE('',(#30746),#14705,.T.); #340172=ADVANCED_FACE('',(#30747),#14706,.T.); #340173=ADVANCED_FACE('',(#30748),#14707,.T.); #340174=ADVANCED_FACE('',(#30749),#14708,.T.); #340175=ADVANCED_FACE('',(#30750),#14709,.T.); #340176=ADVANCED_FACE('',(#30751),#14710,.F.); #340177=ADVANCED_FACE('',(#30752),#14711,.T.); #340178=ADVANCED_FACE('',(#30753),#14712,.T.); #340179=ADVANCED_FACE('',(#30754),#14713,.T.); #340180=ADVANCED_FACE('',(#30755),#14714,.T.); #340181=ADVANCED_FACE('',(#30756),#14715,.T.); #340182=ADVANCED_FACE('',(#30757),#14716,.F.); #340183=ADVANCED_FACE('',(#30758),#14717,.T.); #340184=ADVANCED_FACE('',(#30759),#14718,.T.); #340185=ADVANCED_FACE('',(#30760),#14719,.T.); #340186=ADVANCED_FACE('',(#30761),#14720,.T.); #340187=ADVANCED_FACE('',(#30762),#14721,.T.); #340188=ADVANCED_FACE('',(#30763),#14722,.F.); #340189=ADVANCED_FACE('',(#30764),#14723,.T.); #340190=ADVANCED_FACE('',(#30765),#14724,.T.); #340191=ADVANCED_FACE('',(#30766),#14725,.T.); #340192=ADVANCED_FACE('',(#30767),#14726,.T.); #340193=ADVANCED_FACE('',(#30768),#14727,.T.); #340194=ADVANCED_FACE('',(#30769),#14728,.F.); #340195=ADVANCED_FACE('',(#30770),#14729,.T.); #340196=ADVANCED_FACE('',(#30771),#14730,.T.); #340197=ADVANCED_FACE('',(#30772),#14731,.T.); #340198=ADVANCED_FACE('',(#30773),#14732,.T.); #340199=ADVANCED_FACE('',(#30774),#14733,.T.); #340200=ADVANCED_FACE('',(#30775),#14734,.F.); #340201=ADVANCED_FACE('',(#30776),#14735,.T.); #340202=ADVANCED_FACE('',(#30777),#14736,.T.); #340203=ADVANCED_FACE('',(#30778),#14737,.T.); #340204=ADVANCED_FACE('',(#30779),#14738,.T.); #340205=ADVANCED_FACE('',(#30780),#14739,.T.); #340206=ADVANCED_FACE('',(#30781),#14740,.F.); #340207=ADVANCED_FACE('',(#30782),#14741,.T.); #340208=ADVANCED_FACE('',(#30783),#14742,.T.); #340209=ADVANCED_FACE('',(#30784),#14743,.T.); #340210=ADVANCED_FACE('',(#30785),#14744,.T.); #340211=ADVANCED_FACE('',(#30786),#14745,.T.); #340212=ADVANCED_FACE('',(#30787),#14746,.F.); #340213=ADVANCED_FACE('',(#30788),#14747,.T.); #340214=ADVANCED_FACE('',(#30789),#14748,.T.); #340215=ADVANCED_FACE('',(#30790),#14749,.T.); #340216=ADVANCED_FACE('',(#30791),#14750,.T.); #340217=ADVANCED_FACE('',(#30792),#14751,.T.); #340218=ADVANCED_FACE('',(#30793),#14752,.F.); #340219=ADVANCED_FACE('',(#30794),#14753,.T.); #340220=ADVANCED_FACE('',(#30795),#14754,.T.); #340221=ADVANCED_FACE('',(#30796),#14755,.T.); #340222=ADVANCED_FACE('',(#30797),#14756,.T.); #340223=ADVANCED_FACE('',(#30798),#14757,.T.); #340224=ADVANCED_FACE('',(#30799),#14758,.F.); #340225=ADVANCED_FACE('',(#30800),#14759,.T.); #340226=ADVANCED_FACE('',(#30801),#14760,.T.); #340227=ADVANCED_FACE('',(#30802),#14761,.T.); #340228=ADVANCED_FACE('',(#30803),#14762,.T.); #340229=ADVANCED_FACE('',(#30804),#14763,.T.); #340230=ADVANCED_FACE('',(#30805),#14764,.F.); #340231=ADVANCED_FACE('',(#30806),#14765,.T.); #340232=ADVANCED_FACE('',(#30807),#14766,.T.); #340233=ADVANCED_FACE('',(#30808),#14767,.T.); #340234=ADVANCED_FACE('',(#30809),#14768,.T.); #340235=ADVANCED_FACE('',(#30810),#14769,.T.); #340236=ADVANCED_FACE('',(#30811),#14770,.F.); #340237=ADVANCED_FACE('',(#30812),#14771,.T.); #340238=ADVANCED_FACE('',(#30813),#14772,.T.); #340239=ADVANCED_FACE('',(#30814),#14773,.T.); #340240=ADVANCED_FACE('',(#30815),#14774,.T.); #340241=ADVANCED_FACE('',(#30816),#14775,.T.); #340242=ADVANCED_FACE('',(#30817),#14776,.F.); #340243=ADVANCED_FACE('',(#30818),#14777,.T.); #340244=ADVANCED_FACE('',(#30819),#14778,.T.); #340245=ADVANCED_FACE('',(#30820),#14779,.T.); #340246=ADVANCED_FACE('',(#30821),#14780,.T.); #340247=ADVANCED_FACE('',(#30822),#14781,.T.); #340248=ADVANCED_FACE('',(#30823),#14782,.F.); #340249=ADVANCED_FACE('',(#30824),#329276,.F.); #340250=ADVANCED_FACE('',(#30825),#329277,.F.); #340251=ADVANCED_FACE('',(#30826),#329278,.F.); #340252=ADVANCED_FACE('',(#30827),#329279,.F.); #340253=ADVANCED_FACE('',(#30828),#329280,.F.); #340254=ADVANCED_FACE('',(#30829),#329281,.F.); #340255=ADVANCED_FACE('',(#30830),#14783,.T.); #340256=ADVANCED_FACE('',(#30831),#14784,.T.); #340257=ADVANCED_FACE('',(#30832),#14785,.T.); #340258=ADVANCED_FACE('',(#30833),#14786,.T.); #340259=ADVANCED_FACE('',(#30834,#5634,#5635,#5636,#5637,#5638,#5639),#14787, .T.); #340260=ADVANCED_FACE('',(#30835,#5640,#5641,#5642,#5643,#5644,#5645),#14788, .F.); #340261=ADVANCED_FACE('',(#30836),#14789,.T.); #340262=ADVANCED_FACE('',(#30837),#14790,.T.); #340263=ADVANCED_FACE('',(#30838),#14791,.T.); #340264=ADVANCED_FACE('',(#30839),#14792,.T.); #340265=ADVANCED_FACE('',(#30840),#14793,.T.); #340266=ADVANCED_FACE('',(#30841),#14794,.F.); #340267=ADVANCED_FACE('',(#30842),#14795,.T.); #340268=ADVANCED_FACE('',(#30843),#14796,.T.); #340269=ADVANCED_FACE('',(#30844),#14797,.T.); #340270=ADVANCED_FACE('',(#30845),#14798,.T.); #340271=ADVANCED_FACE('',(#30846),#14799,.T.); #340272=ADVANCED_FACE('',(#30847),#14800,.F.); #340273=ADVANCED_FACE('',(#30848),#14801,.T.); #340274=ADVANCED_FACE('',(#30849),#14802,.T.); #340275=ADVANCED_FACE('',(#30850),#14803,.T.); #340276=ADVANCED_FACE('',(#30851),#14804,.T.); #340277=ADVANCED_FACE('',(#30852),#14805,.T.); #340278=ADVANCED_FACE('',(#30853),#14806,.F.); #340279=ADVANCED_FACE('',(#30854),#14807,.T.); #340280=ADVANCED_FACE('',(#30855),#14808,.T.); #340281=ADVANCED_FACE('',(#30856),#14809,.T.); #340282=ADVANCED_FACE('',(#30857),#14810,.T.); #340283=ADVANCED_FACE('',(#30858),#14811,.T.); #340284=ADVANCED_FACE('',(#30859),#14812,.F.); #340285=ADVANCED_FACE('',(#30860),#14813,.T.); #340286=ADVANCED_FACE('',(#30861),#14814,.T.); #340287=ADVANCED_FACE('',(#30862),#14815,.T.); #340288=ADVANCED_FACE('',(#30863),#14816,.T.); #340289=ADVANCED_FACE('',(#30864),#14817,.T.); #340290=ADVANCED_FACE('',(#30865),#14818,.F.); #340291=ADVANCED_FACE('',(#30866),#14819,.T.); #340292=ADVANCED_FACE('',(#30867),#14820,.T.); #340293=ADVANCED_FACE('',(#30868),#14821,.T.); #340294=ADVANCED_FACE('',(#30869),#14822,.T.); #340295=ADVANCED_FACE('',(#30870),#14823,.T.); #340296=ADVANCED_FACE('',(#30871),#14824,.F.); #340297=ADVANCED_FACE('',(#30872),#329282,.F.); #340298=ADVANCED_FACE('',(#30873),#329283,.F.); #340299=ADVANCED_FACE('',(#30874),#329284,.F.); #340300=ADVANCED_FACE('',(#30875),#329285,.F.); #340301=ADVANCED_FACE('',(#30876),#329286,.F.); #340302=ADVANCED_FACE('',(#30877),#329287,.F.); #340303=ADVANCED_FACE('',(#30878),#14825,.T.); #340304=ADVANCED_FACE('',(#30879),#14826,.T.); #340305=ADVANCED_FACE('',(#30880),#14827,.T.); #340306=ADVANCED_FACE('',(#30881),#14828,.T.); #340307=ADVANCED_FACE('',(#30882,#5646,#5647,#5648,#5649,#5650,#5651),#14829, .T.); #340308=ADVANCED_FACE('',(#30883,#5652,#5653,#5654,#5655,#5656,#5657),#14830, .F.); #340309=ADVANCED_FACE('',(#30884),#14831,.T.); #340310=ADVANCED_FACE('',(#30885),#14832,.T.); #340311=ADVANCED_FACE('',(#30886),#14833,.T.); #340312=ADVANCED_FACE('',(#30887),#14834,.T.); #340313=ADVANCED_FACE('',(#30888),#14835,.T.); #340314=ADVANCED_FACE('',(#30889),#14836,.F.); #340315=ADVANCED_FACE('',(#30890),#14837,.T.); #340316=ADVANCED_FACE('',(#30891),#14838,.T.); #340317=ADVANCED_FACE('',(#30892),#14839,.T.); #340318=ADVANCED_FACE('',(#30893),#14840,.T.); #340319=ADVANCED_FACE('',(#30894),#14841,.T.); #340320=ADVANCED_FACE('',(#30895),#14842,.F.); #340321=ADVANCED_FACE('',(#30896),#329288,.F.); #340322=ADVANCED_FACE('',(#30897),#329289,.F.); #340323=ADVANCED_FACE('',(#30898),#329290,.F.); #340324=ADVANCED_FACE('',(#30899),#329291,.F.); #340325=ADVANCED_FACE('',(#30900),#329292,.F.); #340326=ADVANCED_FACE('',(#30901),#329293,.F.); #340327=ADVANCED_FACE('',(#30902),#329294,.F.); #340328=ADVANCED_FACE('',(#30903),#329295,.F.); #340329=ADVANCED_FACE('',(#30904),#329296,.F.); #340330=ADVANCED_FACE('',(#30905),#329297,.F.); #340331=ADVANCED_FACE('',(#30906),#329298,.F.); #340332=ADVANCED_FACE('',(#30907),#329299,.F.); #340333=ADVANCED_FACE('',(#30908),#329300,.F.); #340334=ADVANCED_FACE('',(#30909),#329301,.F.); #340335=ADVANCED_FACE('',(#30910),#329302,.F.); #340336=ADVANCED_FACE('',(#30911),#329303,.F.); #340337=ADVANCED_FACE('',(#30912),#329304,.F.); #340338=ADVANCED_FACE('',(#30913),#329305,.F.); #340339=ADVANCED_FACE('',(#30914),#329306,.F.); #340340=ADVANCED_FACE('',(#30915),#329307,.F.); #340341=ADVANCED_FACE('',(#30916),#329308,.F.); #340342=ADVANCED_FACE('',(#30917),#329309,.F.); #340343=ADVANCED_FACE('',(#30918),#329310,.F.); #340344=ADVANCED_FACE('',(#30919),#329311,.F.); #340345=ADVANCED_FACE('',(#30920),#329312,.F.); #340346=ADVANCED_FACE('',(#30921),#329313,.F.); #340347=ADVANCED_FACE('',(#30922),#329314,.F.); #340348=ADVANCED_FACE('',(#30923),#329315,.F.); #340349=ADVANCED_FACE('',(#30924),#329316,.F.); #340350=ADVANCED_FACE('',(#30925),#329317,.F.); #340351=ADVANCED_FACE('',(#30926),#329318,.F.); #340352=ADVANCED_FACE('',(#30927),#329319,.F.); #340353=ADVANCED_FACE('',(#30928),#329320,.F.); #340354=ADVANCED_FACE('',(#30929),#329321,.F.); #340355=ADVANCED_FACE('',(#30930),#329322,.F.); #340356=ADVANCED_FACE('',(#30931),#329323,.F.); #340357=ADVANCED_FACE('',(#30932),#329324,.F.); #340358=ADVANCED_FACE('',(#30933),#329325,.F.); #340359=ADVANCED_FACE('',(#30934),#329326,.F.); #340360=ADVANCED_FACE('',(#30935),#329327,.F.); #340361=ADVANCED_FACE('',(#30936),#329328,.F.); #340362=ADVANCED_FACE('',(#30937),#329329,.F.); #340363=ADVANCED_FACE('',(#30938),#329330,.F.); #340364=ADVANCED_FACE('',(#30939),#329331,.F.); #340365=ADVANCED_FACE('',(#30940),#329332,.F.); #340366=ADVANCED_FACE('',(#30941),#329333,.F.); #340367=ADVANCED_FACE('',(#30942),#329334,.F.); #340368=ADVANCED_FACE('',(#30943),#329335,.F.); #340369=ADVANCED_FACE('',(#30944),#329336,.F.); #340370=ADVANCED_FACE('',(#30945),#14843,.T.); #340371=ADVANCED_FACE('',(#30946),#14844,.T.); #340372=ADVANCED_FACE('',(#30947),#14845,.T.); #340373=ADVANCED_FACE('',(#30948),#14846,.T.); #340374=ADVANCED_FACE('',(#30949,#5658,#5659,#5660,#5661,#5662,#5663,#5664, #5665,#5666,#5667,#5668,#5669,#5670,#5671,#5672,#5673,#5674,#5675,#5676, #5677,#5678,#5679,#5680,#5681,#5682,#5683,#5684,#5685,#5686,#5687,#5688, #5689,#5690,#5691,#5692,#5693,#5694,#5695,#5696,#5697,#5698,#5699,#5700, #5701,#5702,#5703,#5704,#5705,#5706),#14847,.T.); #340375=ADVANCED_FACE('',(#30950,#5707,#5708,#5709,#5710,#5711,#5712,#5713, #5714,#5715,#5716,#5717,#5718,#5719,#5720,#5721,#5722,#5723,#5724,#5725, #5726,#5727,#5728,#5729,#5730,#5731,#5732,#5733,#5734,#5735,#5736,#5737, #5738,#5739,#5740,#5741,#5742,#5743,#5744,#5745,#5746,#5747,#5748,#5749, #5750,#5751,#5752,#5753,#5754,#5755),#14848,.F.); #340376=ADVANCED_FACE('',(#30951),#14849,.T.); #340377=ADVANCED_FACE('',(#30952),#14850,.T.); #340378=ADVANCED_FACE('',(#30953),#14851,.T.); #340379=ADVANCED_FACE('',(#30954),#14852,.T.); #340380=ADVANCED_FACE('',(#30955),#14853,.T.); #340381=ADVANCED_FACE('',(#30956),#14854,.F.); #340382=ADVANCED_FACE('',(#30957),#14855,.T.); #340383=ADVANCED_FACE('',(#30958),#14856,.T.); #340384=ADVANCED_FACE('',(#30959),#14857,.T.); #340385=ADVANCED_FACE('',(#30960),#14858,.T.); #340386=ADVANCED_FACE('',(#30961),#14859,.T.); #340387=ADVANCED_FACE('',(#30962),#14860,.F.); #340388=ADVANCED_FACE('',(#30963),#14861,.T.); #340389=ADVANCED_FACE('',(#30964),#14862,.T.); #340390=ADVANCED_FACE('',(#30965),#14863,.T.); #340391=ADVANCED_FACE('',(#30966),#14864,.T.); #340392=ADVANCED_FACE('',(#30967),#14865,.T.); #340393=ADVANCED_FACE('',(#30968),#14866,.F.); #340394=ADVANCED_FACE('',(#30969),#14867,.T.); #340395=ADVANCED_FACE('',(#30970),#14868,.T.); #340396=ADVANCED_FACE('',(#30971),#14869,.T.); #340397=ADVANCED_FACE('',(#30972),#14870,.T.); #340398=ADVANCED_FACE('',(#30973),#14871,.T.); #340399=ADVANCED_FACE('',(#30974),#14872,.F.); #340400=ADVANCED_FACE('',(#30975),#14873,.T.); #340401=ADVANCED_FACE('',(#30976),#14874,.T.); #340402=ADVANCED_FACE('',(#30977),#14875,.T.); #340403=ADVANCED_FACE('',(#30978),#14876,.T.); #340404=ADVANCED_FACE('',(#30979),#14877,.T.); #340405=ADVANCED_FACE('',(#30980),#14878,.F.); #340406=ADVANCED_FACE('',(#30981),#14879,.T.); #340407=ADVANCED_FACE('',(#30982),#14880,.T.); #340408=ADVANCED_FACE('',(#30983),#14881,.T.); #340409=ADVANCED_FACE('',(#30984),#14882,.T.); #340410=ADVANCED_FACE('',(#30985),#14883,.T.); #340411=ADVANCED_FACE('',(#30986),#14884,.F.); #340412=ADVANCED_FACE('',(#30987),#14885,.T.); #340413=ADVANCED_FACE('',(#30988),#14886,.T.); #340414=ADVANCED_FACE('',(#30989),#14887,.T.); #340415=ADVANCED_FACE('',(#30990),#14888,.T.); #340416=ADVANCED_FACE('',(#30991),#14889,.T.); #340417=ADVANCED_FACE('',(#30992),#14890,.F.); #340418=ADVANCED_FACE('',(#30993),#14891,.T.); #340419=ADVANCED_FACE('',(#30994),#14892,.T.); #340420=ADVANCED_FACE('',(#30995),#14893,.T.); #340421=ADVANCED_FACE('',(#30996),#14894,.T.); #340422=ADVANCED_FACE('',(#30997),#14895,.T.); #340423=ADVANCED_FACE('',(#30998),#14896,.F.); #340424=ADVANCED_FACE('',(#30999),#14897,.T.); #340425=ADVANCED_FACE('',(#31000),#14898,.T.); #340426=ADVANCED_FACE('',(#31001),#14899,.T.); #340427=ADVANCED_FACE('',(#31002),#14900,.T.); #340428=ADVANCED_FACE('',(#31003),#14901,.T.); #340429=ADVANCED_FACE('',(#31004),#14902,.F.); #340430=ADVANCED_FACE('',(#31005),#14903,.T.); #340431=ADVANCED_FACE('',(#31006),#14904,.T.); #340432=ADVANCED_FACE('',(#31007),#14905,.T.); #340433=ADVANCED_FACE('',(#31008),#14906,.T.); #340434=ADVANCED_FACE('',(#31009),#14907,.T.); #340435=ADVANCED_FACE('',(#31010),#14908,.F.); #340436=ADVANCED_FACE('',(#31011),#14909,.T.); #340437=ADVANCED_FACE('',(#31012),#14910,.T.); #340438=ADVANCED_FACE('',(#31013),#14911,.T.); #340439=ADVANCED_FACE('',(#31014),#14912,.T.); #340440=ADVANCED_FACE('',(#31015),#14913,.T.); #340441=ADVANCED_FACE('',(#31016),#14914,.F.); #340442=ADVANCED_FACE('',(#31017),#14915,.T.); #340443=ADVANCED_FACE('',(#31018),#14916,.T.); #340444=ADVANCED_FACE('',(#31019),#14917,.T.); #340445=ADVANCED_FACE('',(#31020),#14918,.T.); #340446=ADVANCED_FACE('',(#31021),#14919,.T.); #340447=ADVANCED_FACE('',(#31022),#14920,.F.); #340448=ADVANCED_FACE('',(#31023),#14921,.T.); #340449=ADVANCED_FACE('',(#31024),#14922,.T.); #340450=ADVANCED_FACE('',(#31025),#14923,.T.); #340451=ADVANCED_FACE('',(#31026),#14924,.T.); #340452=ADVANCED_FACE('',(#31027),#14925,.T.); #340453=ADVANCED_FACE('',(#31028),#14926,.F.); #340454=ADVANCED_FACE('',(#31029),#14927,.T.); #340455=ADVANCED_FACE('',(#31030),#14928,.T.); #340456=ADVANCED_FACE('',(#31031),#14929,.T.); #340457=ADVANCED_FACE('',(#31032),#14930,.T.); #340458=ADVANCED_FACE('',(#31033),#14931,.T.); #340459=ADVANCED_FACE('',(#31034),#14932,.F.); #340460=ADVANCED_FACE('',(#31035),#14933,.T.); #340461=ADVANCED_FACE('',(#31036),#14934,.T.); #340462=ADVANCED_FACE('',(#31037),#14935,.T.); #340463=ADVANCED_FACE('',(#31038),#14936,.T.); #340464=ADVANCED_FACE('',(#31039),#14937,.T.); #340465=ADVANCED_FACE('',(#31040),#14938,.F.); #340466=ADVANCED_FACE('',(#31041),#14939,.T.); #340467=ADVANCED_FACE('',(#31042),#14940,.T.); #340468=ADVANCED_FACE('',(#31043),#14941,.T.); #340469=ADVANCED_FACE('',(#31044),#14942,.T.); #340470=ADVANCED_FACE('',(#31045),#14943,.T.); #340471=ADVANCED_FACE('',(#31046),#14944,.F.); #340472=ADVANCED_FACE('',(#31047),#14945,.T.); #340473=ADVANCED_FACE('',(#31048),#14946,.T.); #340474=ADVANCED_FACE('',(#31049),#14947,.T.); #340475=ADVANCED_FACE('',(#31050),#14948,.T.); #340476=ADVANCED_FACE('',(#31051),#14949,.T.); #340477=ADVANCED_FACE('',(#31052),#14950,.F.); #340478=ADVANCED_FACE('',(#31053),#14951,.T.); #340479=ADVANCED_FACE('',(#31054),#14952,.T.); #340480=ADVANCED_FACE('',(#31055),#14953,.T.); #340481=ADVANCED_FACE('',(#31056),#14954,.T.); #340482=ADVANCED_FACE('',(#31057),#14955,.T.); #340483=ADVANCED_FACE('',(#31058),#14956,.F.); #340484=ADVANCED_FACE('',(#31059),#329337,.F.); #340485=ADVANCED_FACE('',(#31060),#14957,.T.); #340486=ADVANCED_FACE('',(#31061),#14958,.T.); #340487=ADVANCED_FACE('',(#31062),#14959,.T.); #340488=ADVANCED_FACE('',(#31063),#14960,.T.); #340489=ADVANCED_FACE('',(#31064,#5756),#14961,.T.); #340490=ADVANCED_FACE('',(#31065,#5757),#14962,.F.); #340491=ADVANCED_FACE('',(#31066),#14963,.T.); #340492=ADVANCED_FACE('',(#31067),#14964,.T.); #340493=ADVANCED_FACE('',(#31068),#14965,.T.); #340494=ADVANCED_FACE('',(#31069),#14966,.T.); #340495=ADVANCED_FACE('',(#31070),#14967,.T.); #340496=ADVANCED_FACE('',(#31071),#14968,.F.); #340497=ADVANCED_FACE('',(#31072),#14969,.T.); #340498=ADVANCED_FACE('',(#31073),#14970,.T.); #340499=ADVANCED_FACE('',(#31074),#14971,.T.); #340500=ADVANCED_FACE('',(#31075),#14972,.T.); #340501=ADVANCED_FACE('',(#31076),#14973,.T.); #340502=ADVANCED_FACE('',(#31077),#14974,.F.); #340503=ADVANCED_FACE('',(#31078),#329338,.F.); #340504=ADVANCED_FACE('',(#31079),#14975,.T.); #340505=ADVANCED_FACE('',(#31080),#14976,.T.); #340506=ADVANCED_FACE('',(#31081),#14977,.T.); #340507=ADVANCED_FACE('',(#31082),#14978,.T.); #340508=ADVANCED_FACE('',(#31083,#5758),#14979,.T.); #340509=ADVANCED_FACE('',(#31084,#5759),#14980,.F.); #340510=ADVANCED_FACE('',(#31085),#14981,.T.); #340511=ADVANCED_FACE('',(#31086),#14982,.T.); #340512=ADVANCED_FACE('',(#31087),#14983,.T.); #340513=ADVANCED_FACE('',(#31088),#14984,.T.); #340514=ADVANCED_FACE('',(#31089),#14985,.T.); #340515=ADVANCED_FACE('',(#31090),#14986,.F.); #340516=ADVANCED_FACE('',(#31091),#14987,.T.); #340517=ADVANCED_FACE('',(#31092),#14988,.T.); #340518=ADVANCED_FACE('',(#31093),#14989,.T.); #340519=ADVANCED_FACE('',(#31094),#14990,.T.); #340520=ADVANCED_FACE('',(#31095),#14991,.T.); #340521=ADVANCED_FACE('',(#31096),#14992,.F.); #340522=ADVANCED_FACE('',(#31097),#14993,.T.); #340523=ADVANCED_FACE('',(#31098),#14994,.T.); #340524=ADVANCED_FACE('',(#31099),#14995,.T.); #340525=ADVANCED_FACE('',(#31100),#14996,.T.); #340526=ADVANCED_FACE('',(#31101),#14997,.T.); #340527=ADVANCED_FACE('',(#31102),#14998,.F.); #340528=ADVANCED_FACE('',(#31103),#14999,.T.); #340529=ADVANCED_FACE('',(#31104),#15000,.T.); #340530=ADVANCED_FACE('',(#31105),#15001,.T.); #340531=ADVANCED_FACE('',(#31106),#15002,.T.); #340532=ADVANCED_FACE('',(#31107),#15003,.T.); #340533=ADVANCED_FACE('',(#31108),#15004,.F.); #340534=ADVANCED_FACE('',(#31109),#15005,.T.); #340535=ADVANCED_FACE('',(#31110),#15006,.T.); #340536=ADVANCED_FACE('',(#31111),#15007,.T.); #340537=ADVANCED_FACE('',(#31112),#15008,.T.); #340538=ADVANCED_FACE('',(#31113),#15009,.T.); #340539=ADVANCED_FACE('',(#31114),#15010,.F.); #340540=ADVANCED_FACE('',(#31115),#15011,.T.); #340541=ADVANCED_FACE('',(#31116),#15012,.T.); #340542=ADVANCED_FACE('',(#31117),#15013,.T.); #340543=ADVANCED_FACE('',(#31118),#15014,.T.); #340544=ADVANCED_FACE('',(#31119),#15015,.T.); #340545=ADVANCED_FACE('',(#31120),#15016,.F.); #340546=ADVANCED_FACE('',(#31121),#15017,.T.); #340547=ADVANCED_FACE('',(#31122),#15018,.T.); #340548=ADVANCED_FACE('',(#31123),#15019,.T.); #340549=ADVANCED_FACE('',(#31124),#15020,.T.); #340550=ADVANCED_FACE('',(#31125),#15021,.T.); #340551=ADVANCED_FACE('',(#31126),#15022,.F.); #340552=ADVANCED_FACE('',(#31127),#15023,.T.); #340553=ADVANCED_FACE('',(#31128),#15024,.T.); #340554=ADVANCED_FACE('',(#31129),#15025,.T.); #340555=ADVANCED_FACE('',(#31130),#15026,.T.); #340556=ADVANCED_FACE('',(#31131),#15027,.T.); #340557=ADVANCED_FACE('',(#31132),#15028,.F.); #340558=ADVANCED_FACE('',(#31133),#15029,.T.); #340559=ADVANCED_FACE('',(#31134),#15030,.T.); #340560=ADVANCED_FACE('',(#31135),#15031,.T.); #340561=ADVANCED_FACE('',(#31136),#15032,.T.); #340562=ADVANCED_FACE('',(#31137),#15033,.T.); #340563=ADVANCED_FACE('',(#31138),#15034,.F.); #340564=ADVANCED_FACE('',(#31139),#15035,.T.); #340565=ADVANCED_FACE('',(#31140),#15036,.T.); #340566=ADVANCED_FACE('',(#31141),#15037,.T.); #340567=ADVANCED_FACE('',(#31142),#15038,.T.); #340568=ADVANCED_FACE('',(#31143),#15039,.T.); #340569=ADVANCED_FACE('',(#31144),#15040,.F.); #340570=ADVANCED_FACE('',(#31145),#15041,.T.); #340571=ADVANCED_FACE('',(#31146),#15042,.T.); #340572=ADVANCED_FACE('',(#31147),#15043,.T.); #340573=ADVANCED_FACE('',(#31148),#15044,.T.); #340574=ADVANCED_FACE('',(#31149),#15045,.T.); #340575=ADVANCED_FACE('',(#31150),#15046,.F.); #340576=ADVANCED_FACE('',(#31151),#329339,.F.); #340577=ADVANCED_FACE('',(#31152),#329340,.F.); #340578=ADVANCED_FACE('',(#31153),#329341,.F.); #340579=ADVANCED_FACE('',(#31154),#329342,.F.); #340580=ADVANCED_FACE('',(#31155),#329343,.F.); #340581=ADVANCED_FACE('',(#31156),#329344,.F.); #340582=ADVANCED_FACE('',(#31157),#329345,.F.); #340583=ADVANCED_FACE('',(#31158),#329346,.F.); #340584=ADVANCED_FACE('',(#31159),#329347,.F.); #340585=ADVANCED_FACE('',(#31160),#15047,.T.); #340586=ADVANCED_FACE('',(#31161),#15048,.T.); #340587=ADVANCED_FACE('',(#31162),#15049,.T.); #340588=ADVANCED_FACE('',(#31163),#15050,.T.); #340589=ADVANCED_FACE('',(#31164),#15051,.T.); #340590=ADVANCED_FACE('',(#31165),#15052,.T.); #340591=ADVANCED_FACE('',(#31166),#15053,.T.); #340592=ADVANCED_FACE('',(#31167),#15054,.T.); #340593=ADVANCED_FACE('',(#31168),#15055,.T.); #340594=ADVANCED_FACE('',(#31169),#15056,.T.); #340595=ADVANCED_FACE('',(#31170,#5760),#15057,.F.); #340596=ADVANCED_FACE('',(#31171,#5761),#15058,.T.); #340597=ADVANCED_FACE('',(#31172),#329348,.F.); #340598=ADVANCED_FACE('',(#31173),#15059,.T.); #340599=ADVANCED_FACE('',(#31174),#15060,.T.); #340600=ADVANCED_FACE('',(#31175),#15061,.T.); #340601=ADVANCED_FACE('',(#31176),#15062,.T.); #340602=ADVANCED_FACE('',(#31177,#5762),#15063,.T.); #340603=ADVANCED_FACE('',(#31178,#5763),#15064,.F.); #340604=ADVANCED_FACE('',(#31179),#15065,.T.); #340605=ADVANCED_FACE('',(#31180),#15066,.T.); #340606=ADVANCED_FACE('',(#31181),#15067,.T.); #340607=ADVANCED_FACE('',(#31182),#15068,.T.); #340608=ADVANCED_FACE('',(#31183),#15069,.T.); #340609=ADVANCED_FACE('',(#31184),#15070,.F.); #340610=ADVANCED_FACE('',(#31185),#15071,.T.); #340611=ADVANCED_FACE('',(#31186),#15072,.T.); #340612=ADVANCED_FACE('',(#31187),#15073,.T.); #340613=ADVANCED_FACE('',(#31188),#15074,.T.); #340614=ADVANCED_FACE('',(#31189),#15075,.T.); #340615=ADVANCED_FACE('',(#31190),#15076,.F.); #340616=ADVANCED_FACE('',(#31191),#15077,.T.); #340617=ADVANCED_FACE('',(#31192),#15078,.T.); #340618=ADVANCED_FACE('',(#31193),#15079,.T.); #340619=ADVANCED_FACE('',(#31194),#15080,.T.); #340620=ADVANCED_FACE('',(#31195),#15081,.T.); #340621=ADVANCED_FACE('',(#31196),#15082,.F.); #340622=ADVANCED_FACE('',(#31197),#15083,.T.); #340623=ADVANCED_FACE('',(#31198),#15084,.T.); #340624=ADVANCED_FACE('',(#31199),#15085,.T.); #340625=ADVANCED_FACE('',(#31200),#15086,.T.); #340626=ADVANCED_FACE('',(#31201),#15087,.T.); #340627=ADVANCED_FACE('',(#31202),#15088,.F.); #340628=ADVANCED_FACE('',(#31203),#15089,.T.); #340629=ADVANCED_FACE('',(#31204),#15090,.T.); #340630=ADVANCED_FACE('',(#31205),#15091,.T.); #340631=ADVANCED_FACE('',(#31206),#15092,.T.); #340632=ADVANCED_FACE('',(#31207),#15093,.T.); #340633=ADVANCED_FACE('',(#31208),#15094,.F.); #340634=ADVANCED_FACE('',(#31209),#329349,.F.); #340635=ADVANCED_FACE('',(#31210),#15095,.T.); #340636=ADVANCED_FACE('',(#31211),#15096,.T.); #340637=ADVANCED_FACE('',(#31212),#15097,.T.); #340638=ADVANCED_FACE('',(#31213),#15098,.T.); #340639=ADVANCED_FACE('',(#31214,#5764),#15099,.T.); #340640=ADVANCED_FACE('',(#31215,#5765),#15100,.F.); #340641=ADVANCED_FACE('',(#31216),#329350,.F.); #340642=ADVANCED_FACE('',(#31217),#15101,.T.); #340643=ADVANCED_FACE('',(#31218),#15102,.T.); #340644=ADVANCED_FACE('',(#31219),#15103,.T.); #340645=ADVANCED_FACE('',(#31220),#15104,.T.); #340646=ADVANCED_FACE('',(#31221,#5766),#15105,.T.); #340647=ADVANCED_FACE('',(#31222,#5767),#15106,.F.); #340648=ADVANCED_FACE('',(#31223),#15107,.T.); #340649=ADVANCED_FACE('',(#31224),#15108,.T.); #340650=ADVANCED_FACE('',(#31225),#15109,.T.); #340651=ADVANCED_FACE('',(#31226),#15110,.T.); #340652=ADVANCED_FACE('',(#31227),#15111,.T.); #340653=ADVANCED_FACE('',(#31228),#15112,.F.); #340654=ADVANCED_FACE('',(#31229),#15113,.T.); #340655=ADVANCED_FACE('',(#31230),#15114,.T.); #340656=ADVANCED_FACE('',(#31231),#15115,.T.); #340657=ADVANCED_FACE('',(#31232),#15116,.T.); #340658=ADVANCED_FACE('',(#31233),#15117,.T.); #340659=ADVANCED_FACE('',(#31234),#15118,.F.); #340660=ADVANCED_FACE('',(#31235),#15119,.T.); #340661=ADVANCED_FACE('',(#31236),#15120,.T.); #340662=ADVANCED_FACE('',(#31237),#15121,.T.); #340663=ADVANCED_FACE('',(#31238),#15122,.T.); #340664=ADVANCED_FACE('',(#31239),#15123,.T.); #340665=ADVANCED_FACE('',(#31240),#15124,.F.); #340666=ADVANCED_FACE('',(#31241),#15125,.T.); #340667=ADVANCED_FACE('',(#31242),#15126,.T.); #340668=ADVANCED_FACE('',(#31243),#15127,.T.); #340669=ADVANCED_FACE('',(#31244),#15128,.T.); #340670=ADVANCED_FACE('',(#31245),#15129,.T.); #340671=ADVANCED_FACE('',(#31246),#15130,.F.); #340672=ADVANCED_FACE('',(#31247),#15131,.T.); #340673=ADVANCED_FACE('',(#31248),#15132,.T.); #340674=ADVANCED_FACE('',(#31249),#15133,.T.); #340675=ADVANCED_FACE('',(#31250),#15134,.T.); #340676=ADVANCED_FACE('',(#31251),#15135,.T.); #340677=ADVANCED_FACE('',(#31252),#15136,.F.); #340678=ADVANCED_FACE('',(#31253),#15137,.T.); #340679=ADVANCED_FACE('',(#31254),#15138,.T.); #340680=ADVANCED_FACE('',(#31255),#15139,.T.); #340681=ADVANCED_FACE('',(#31256),#15140,.T.); #340682=ADVANCED_FACE('',(#31257),#15141,.T.); #340683=ADVANCED_FACE('',(#31258),#15142,.F.); #340684=ADVANCED_FACE('',(#31259),#15143,.T.); #340685=ADVANCED_FACE('',(#31260),#15144,.T.); #340686=ADVANCED_FACE('',(#31261),#15145,.T.); #340687=ADVANCED_FACE('',(#31262),#15146,.T.); #340688=ADVANCED_FACE('',(#31263),#15147,.T.); #340689=ADVANCED_FACE('',(#31264),#15148,.F.); #340690=ADVANCED_FACE('',(#31265),#15149,.T.); #340691=ADVANCED_FACE('',(#31266),#15150,.T.); #340692=ADVANCED_FACE('',(#31267),#15151,.T.); #340693=ADVANCED_FACE('',(#31268),#15152,.T.); #340694=ADVANCED_FACE('',(#31269),#15153,.T.); #340695=ADVANCED_FACE('',(#31270),#15154,.F.); #340696=ADVANCED_FACE('',(#31271),#15155,.T.); #340697=ADVANCED_FACE('',(#31272),#15156,.T.); #340698=ADVANCED_FACE('',(#31273),#15157,.T.); #340699=ADVANCED_FACE('',(#31274),#15158,.T.); #340700=ADVANCED_FACE('',(#31275),#15159,.T.); #340701=ADVANCED_FACE('',(#31276),#15160,.F.); #340702=ADVANCED_FACE('',(#31277),#15161,.T.); #340703=ADVANCED_FACE('',(#31278),#15162,.T.); #340704=ADVANCED_FACE('',(#31279),#15163,.T.); #340705=ADVANCED_FACE('',(#31280),#15164,.T.); #340706=ADVANCED_FACE('',(#31281),#15165,.T.); #340707=ADVANCED_FACE('',(#31282),#15166,.F.); #340708=ADVANCED_FACE('',(#31283),#15167,.T.); #340709=ADVANCED_FACE('',(#31284),#15168,.T.); #340710=ADVANCED_FACE('',(#31285),#15169,.T.); #340711=ADVANCED_FACE('',(#31286),#15170,.T.); #340712=ADVANCED_FACE('',(#31287),#15171,.T.); #340713=ADVANCED_FACE('',(#31288),#15172,.F.); #340714=ADVANCED_FACE('',(#31289),#329351,.F.); #340715=ADVANCED_FACE('',(#31290),#329352,.F.); #340716=ADVANCED_FACE('',(#31291),#329353,.F.); #340717=ADVANCED_FACE('',(#31292),#329354,.F.); #340718=ADVANCED_FACE('',(#31293),#329355,.F.); #340719=ADVANCED_FACE('',(#31294),#329356,.F.); #340720=ADVANCED_FACE('',(#31295),#15173,.T.); #340721=ADVANCED_FACE('',(#31296),#15174,.T.); #340722=ADVANCED_FACE('',(#31297),#15175,.T.); #340723=ADVANCED_FACE('',(#31298),#15176,.T.); #340724=ADVANCED_FACE('',(#31299,#5768,#5769,#5770,#5771,#5772,#5773),#15177, .T.); #340725=ADVANCED_FACE('',(#31300,#5774,#5775,#5776,#5777,#5778,#5779),#15178, .F.); #340726=ADVANCED_FACE('',(#31301),#15179,.T.); #340727=ADVANCED_FACE('',(#31302),#15180,.T.); #340728=ADVANCED_FACE('',(#31303),#15181,.T.); #340729=ADVANCED_FACE('',(#31304),#15182,.T.); #340730=ADVANCED_FACE('',(#31305),#15183,.T.); #340731=ADVANCED_FACE('',(#31306),#15184,.F.); #340732=ADVANCED_FACE('',(#31307),#15185,.T.); #340733=ADVANCED_FACE('',(#31308),#15186,.T.); #340734=ADVANCED_FACE('',(#31309),#15187,.T.); #340735=ADVANCED_FACE('',(#31310),#15188,.T.); #340736=ADVANCED_FACE('',(#31311),#15189,.T.); #340737=ADVANCED_FACE('',(#31312),#15190,.F.); #340738=ADVANCED_FACE('',(#31313),#15191,.T.); #340739=ADVANCED_FACE('',(#31314),#15192,.T.); #340740=ADVANCED_FACE('',(#31315),#15193,.T.); #340741=ADVANCED_FACE('',(#31316),#15194,.T.); #340742=ADVANCED_FACE('',(#31317),#15195,.T.); #340743=ADVANCED_FACE('',(#31318),#15196,.F.); #340744=ADVANCED_FACE('',(#31319),#329357,.F.); #340745=ADVANCED_FACE('',(#31320),#329358,.F.); #340746=ADVANCED_FACE('',(#31321),#329359,.F.); #340747=ADVANCED_FACE('',(#31322),#329360,.F.); #340748=ADVANCED_FACE('',(#31323),#329361,.F.); #340749=ADVANCED_FACE('',(#31324),#329362,.F.); #340750=ADVANCED_FACE('',(#31325),#15197,.T.); #340751=ADVANCED_FACE('',(#31326),#15198,.T.); #340752=ADVANCED_FACE('',(#31327),#15199,.T.); #340753=ADVANCED_FACE('',(#31328),#15200,.T.); #340754=ADVANCED_FACE('',(#31329),#15201,.T.); #340755=ADVANCED_FACE('',(#31330),#15202,.T.); #340756=ADVANCED_FACE('',(#31331,#5780,#5781),#15203,.F.); #340757=ADVANCED_FACE('',(#31332),#15204,.T.); #340758=ADVANCED_FACE('',(#31333),#15205,.T.); #340759=ADVANCED_FACE('',(#31334,#5782,#5783),#15206,.T.); #340760=ADVANCED_FACE('',(#31335),#15207,.T.); #340761=ADVANCED_FACE('',(#31336),#15208,.T.); #340762=ADVANCED_FACE('',(#31337),#15209,.T.); #340763=ADVANCED_FACE('',(#31338),#15210,.T.); #340764=ADVANCED_FACE('',(#31339),#15211,.T.); #340765=ADVANCED_FACE('',(#31340),#15212,.F.); #340766=ADVANCED_FACE('',(#31341),#15213,.T.); #340767=ADVANCED_FACE('',(#31342),#15214,.T.); #340768=ADVANCED_FACE('',(#31343),#15215,.T.); #340769=ADVANCED_FACE('',(#31344),#15216,.T.); #340770=ADVANCED_FACE('',(#31345),#15217,.T.); #340771=ADVANCED_FACE('',(#31346),#15218,.F.); #340772=ADVANCED_FACE('',(#31347),#15219,.T.); #340773=ADVANCED_FACE('',(#31348),#15220,.T.); #340774=ADVANCED_FACE('',(#31349),#15221,.T.); #340775=ADVANCED_FACE('',(#31350),#15222,.T.); #340776=ADVANCED_FACE('',(#31351),#15223,.T.); #340777=ADVANCED_FACE('',(#31352),#15224,.F.); #340778=ADVANCED_FACE('',(#31353),#15225,.T.); #340779=ADVANCED_FACE('',(#31354),#15226,.T.); #340780=ADVANCED_FACE('',(#31355),#15227,.T.); #340781=ADVANCED_FACE('',(#31356),#15228,.T.); #340782=ADVANCED_FACE('',(#31357),#15229,.T.); #340783=ADVANCED_FACE('',(#31358),#15230,.F.); #340784=ADVANCED_FACE('',(#31359),#15231,.T.); #340785=ADVANCED_FACE('',(#31360),#15232,.T.); #340786=ADVANCED_FACE('',(#31361),#15233,.T.); #340787=ADVANCED_FACE('',(#31362),#15234,.T.); #340788=ADVANCED_FACE('',(#31363),#15235,.T.); #340789=ADVANCED_FACE('',(#31364),#15236,.F.); #340790=ADVANCED_FACE('',(#31365),#15237,.T.); #340791=ADVANCED_FACE('',(#31366),#15238,.T.); #340792=ADVANCED_FACE('',(#31367),#15239,.T.); #340793=ADVANCED_FACE('',(#31368),#15240,.T.); #340794=ADVANCED_FACE('',(#31369),#15241,.T.); #340795=ADVANCED_FACE('',(#31370),#15242,.F.); #340796=ADVANCED_FACE('',(#31371),#15243,.T.); #340797=ADVANCED_FACE('',(#31372),#15244,.T.); #340798=ADVANCED_FACE('',(#31373),#15245,.T.); #340799=ADVANCED_FACE('',(#31374),#15246,.T.); #340800=ADVANCED_FACE('',(#31375),#15247,.T.); #340801=ADVANCED_FACE('',(#31376),#15248,.F.); #340802=ADVANCED_FACE('',(#31377),#15249,.T.); #340803=ADVANCED_FACE('',(#31378),#15250,.T.); #340804=ADVANCED_FACE('',(#31379),#15251,.T.); #340805=ADVANCED_FACE('',(#31380),#15252,.T.); #340806=ADVANCED_FACE('',(#31381),#15253,.T.); #340807=ADVANCED_FACE('',(#31382),#15254,.F.); #340808=ADVANCED_FACE('',(#31383),#15255,.T.); #340809=ADVANCED_FACE('',(#31384),#15256,.T.); #340810=ADVANCED_FACE('',(#31385),#15257,.T.); #340811=ADVANCED_FACE('',(#31386),#15258,.T.); #340812=ADVANCED_FACE('',(#31387),#15259,.T.); #340813=ADVANCED_FACE('',(#31388),#15260,.F.); #340814=ADVANCED_FACE('',(#31389),#15261,.T.); #340815=ADVANCED_FACE('',(#31390),#15262,.T.); #340816=ADVANCED_FACE('',(#31391),#15263,.T.); #340817=ADVANCED_FACE('',(#31392),#15264,.T.); #340818=ADVANCED_FACE('',(#31393),#15265,.T.); #340819=ADVANCED_FACE('',(#31394),#15266,.F.); #340820=ADVANCED_FACE('',(#31395),#15267,.T.); #340821=ADVANCED_FACE('',(#31396),#15268,.T.); #340822=ADVANCED_FACE('',(#31397),#15269,.T.); #340823=ADVANCED_FACE('',(#31398),#15270,.T.); #340824=ADVANCED_FACE('',(#31399),#15271,.T.); #340825=ADVANCED_FACE('',(#31400),#15272,.F.); #340826=ADVANCED_FACE('',(#31401),#15273,.T.); #340827=ADVANCED_FACE('',(#31402),#15274,.T.); #340828=ADVANCED_FACE('',(#31403),#15275,.T.); #340829=ADVANCED_FACE('',(#31404),#15276,.T.); #340830=ADVANCED_FACE('',(#31405),#15277,.T.); #340831=ADVANCED_FACE('',(#31406),#15278,.F.); #340832=ADVANCED_FACE('',(#31407),#15279,.T.); #340833=ADVANCED_FACE('',(#31408),#15280,.T.); #340834=ADVANCED_FACE('',(#31409),#15281,.T.); #340835=ADVANCED_FACE('',(#31410),#15282,.T.); #340836=ADVANCED_FACE('',(#31411),#15283,.T.); #340837=ADVANCED_FACE('',(#31412),#15284,.F.); #340838=ADVANCED_FACE('',(#31413),#15285,.T.); #340839=ADVANCED_FACE('',(#31414),#15286,.T.); #340840=ADVANCED_FACE('',(#31415),#15287,.T.); #340841=ADVANCED_FACE('',(#31416),#15288,.T.); #340842=ADVANCED_FACE('',(#31417),#15289,.T.); #340843=ADVANCED_FACE('',(#31418),#15290,.F.); #340844=ADVANCED_FACE('',(#31419),#15291,.T.); #340845=ADVANCED_FACE('',(#31420),#15292,.T.); #340846=ADVANCED_FACE('',(#31421),#15293,.T.); #340847=ADVANCED_FACE('',(#31422),#15294,.T.); #340848=ADVANCED_FACE('',(#31423),#15295,.T.); #340849=ADVANCED_FACE('',(#31424),#15296,.F.); #340850=ADVANCED_FACE('',(#31425),#15297,.T.); #340851=ADVANCED_FACE('',(#31426),#15298,.T.); #340852=ADVANCED_FACE('',(#31427),#15299,.T.); #340853=ADVANCED_FACE('',(#31428),#15300,.T.); #340854=ADVANCED_FACE('',(#31429),#15301,.T.); #340855=ADVANCED_FACE('',(#31430),#15302,.F.); #340856=ADVANCED_FACE('',(#31431),#15303,.T.); #340857=ADVANCED_FACE('',(#31432),#15304,.T.); #340858=ADVANCED_FACE('',(#31433),#15305,.T.); #340859=ADVANCED_FACE('',(#31434),#15306,.T.); #340860=ADVANCED_FACE('',(#31435),#15307,.T.); #340861=ADVANCED_FACE('',(#31436),#15308,.F.); #340862=ADVANCED_FACE('',(#31437),#15309,.T.); #340863=ADVANCED_FACE('',(#31438),#15310,.T.); #340864=ADVANCED_FACE('',(#31439),#15311,.T.); #340865=ADVANCED_FACE('',(#31440),#15312,.T.); #340866=ADVANCED_FACE('',(#31441),#15313,.T.); #340867=ADVANCED_FACE('',(#31442),#15314,.F.); #340868=ADVANCED_FACE('',(#31443),#15315,.T.); #340869=ADVANCED_FACE('',(#31444),#15316,.T.); #340870=ADVANCED_FACE('',(#31445),#15317,.T.); #340871=ADVANCED_FACE('',(#31446),#15318,.T.); #340872=ADVANCED_FACE('',(#31447),#15319,.T.); #340873=ADVANCED_FACE('',(#31448),#15320,.F.); #340874=ADVANCED_FACE('',(#31449),#15321,.T.); #340875=ADVANCED_FACE('',(#31450),#15322,.T.); #340876=ADVANCED_FACE('',(#31451),#15323,.T.); #340877=ADVANCED_FACE('',(#31452),#15324,.T.); #340878=ADVANCED_FACE('',(#31453),#15325,.T.); #340879=ADVANCED_FACE('',(#31454),#15326,.F.); #340880=ADVANCED_FACE('',(#31455),#15327,.T.); #340881=ADVANCED_FACE('',(#31456),#15328,.T.); #340882=ADVANCED_FACE('',(#31457),#15329,.T.); #340883=ADVANCED_FACE('',(#31458),#15330,.T.); #340884=ADVANCED_FACE('',(#31459),#15331,.T.); #340885=ADVANCED_FACE('',(#31460),#15332,.F.); #340886=ADVANCED_FACE('',(#31461),#329363,.F.); #340887=ADVANCED_FACE('',(#31462),#15333,.T.); #340888=ADVANCED_FACE('',(#31463),#329364,.T.); #340889=ADVANCED_FACE('',(#31464),#329365,.T.); #340890=ADVANCED_FACE('',(#31465,#5784),#15334,.T.); #340891=ADVANCED_FACE('',(#31466,#5785),#15335,.F.); #340892=ADVANCED_FACE('',(#31467),#15336,.T.); #340893=ADVANCED_FACE('',(#31468),#15337,.T.); #340894=ADVANCED_FACE('',(#31469),#15338,.T.); #340895=ADVANCED_FACE('',(#31470),#15339,.T.); #340896=ADVANCED_FACE('',(#31471),#15340,.T.); #340897=ADVANCED_FACE('',(#31472),#15341,.F.); #340898=ADVANCED_FACE('',(#31473),#15342,.T.); #340899=ADVANCED_FACE('',(#31474),#15343,.T.); #340900=ADVANCED_FACE('',(#31475),#15344,.T.); #340901=ADVANCED_FACE('',(#31476),#15345,.T.); #340902=ADVANCED_FACE('',(#31477),#15346,.T.); #340903=ADVANCED_FACE('',(#31478),#15347,.F.); #340904=ADVANCED_FACE('',(#31479),#329366,.F.); #340905=ADVANCED_FACE('',(#31480),#329367,.F.); #340906=ADVANCED_FACE('',(#31481),#15348,.T.); #340907=ADVANCED_FACE('',(#31482),#15349,.T.); #340908=ADVANCED_FACE('',(#31483),#15350,.T.); #340909=ADVANCED_FACE('',(#31484),#15351,.T.); #340910=ADVANCED_FACE('',(#31485,#5786,#5787),#15352,.T.); #340911=ADVANCED_FACE('',(#31486,#5788,#5789),#15353,.F.); #340912=ADVANCED_FACE('',(#31487),#15354,.T.); #340913=ADVANCED_FACE('',(#31488),#15355,.T.); #340914=ADVANCED_FACE('',(#31489),#15356,.T.); #340915=ADVANCED_FACE('',(#31490),#15357,.T.); #340916=ADVANCED_FACE('',(#31491),#15358,.T.); #340917=ADVANCED_FACE('',(#31492),#15359,.F.); #340918=ADVANCED_FACE('',(#31493),#329368,.F.); #340919=ADVANCED_FACE('',(#31494),#329369,.F.); #340920=ADVANCED_FACE('',(#31495),#15360,.T.); #340921=ADVANCED_FACE('',(#31496),#15361,.T.); #340922=ADVANCED_FACE('',(#31497),#15362,.T.); #340923=ADVANCED_FACE('',(#31498),#15363,.T.); #340924=ADVANCED_FACE('',(#31499,#5790,#5791),#15364,.T.); #340925=ADVANCED_FACE('',(#31500,#5792,#5793),#15365,.F.); #340926=ADVANCED_FACE('',(#31501),#15366,.T.); #340927=ADVANCED_FACE('',(#31502),#15367,.T.); #340928=ADVANCED_FACE('',(#31503),#15368,.T.); #340929=ADVANCED_FACE('',(#31504),#15369,.T.); #340930=ADVANCED_FACE('',(#31505),#15370,.T.); #340931=ADVANCED_FACE('',(#31506),#15371,.F.); #340932=ADVANCED_FACE('',(#31507),#329370,.F.); #340933=ADVANCED_FACE('',(#31508),#329371,.F.); #340934=ADVANCED_FACE('',(#31509),#15372,.T.); #340935=ADVANCED_FACE('',(#31510),#15373,.T.); #340936=ADVANCED_FACE('',(#31511),#15374,.T.); #340937=ADVANCED_FACE('',(#31512),#15375,.T.); #340938=ADVANCED_FACE('',(#31513,#5794,#5795),#15376,.T.); #340939=ADVANCED_FACE('',(#31514,#5796,#5797),#15377,.F.); #340940=ADVANCED_FACE('',(#31515),#15378,.T.); #340941=ADVANCED_FACE('',(#31516),#15379,.T.); #340942=ADVANCED_FACE('',(#31517),#15380,.T.); #340943=ADVANCED_FACE('',(#31518),#15381,.T.); #340944=ADVANCED_FACE('',(#31519),#15382,.T.); #340945=ADVANCED_FACE('',(#31520),#15383,.F.); #340946=ADVANCED_FACE('',(#31521),#329372,.F.); #340947=ADVANCED_FACE('',(#31522),#329373,.F.); #340948=ADVANCED_FACE('',(#31523),#15384,.T.); #340949=ADVANCED_FACE('',(#31524),#15385,.T.); #340950=ADVANCED_FACE('',(#31525),#15386,.T.); #340951=ADVANCED_FACE('',(#31526),#15387,.T.); #340952=ADVANCED_FACE('',(#31527,#5798,#5799),#15388,.T.); #340953=ADVANCED_FACE('',(#31528,#5800,#5801),#15389,.F.); #340954=ADVANCED_FACE('',(#31529),#15390,.T.); #340955=ADVANCED_FACE('',(#31530),#15391,.T.); #340956=ADVANCED_FACE('',(#31531),#15392,.T.); #340957=ADVANCED_FACE('',(#31532),#15393,.T.); #340958=ADVANCED_FACE('',(#31533),#15394,.T.); #340959=ADVANCED_FACE('',(#31534),#15395,.F.); #340960=ADVANCED_FACE('',(#31535),#15396,.T.); #340961=ADVANCED_FACE('',(#31536),#15397,.T.); #340962=ADVANCED_FACE('',(#31537),#15398,.T.); #340963=ADVANCED_FACE('',(#31538),#15399,.T.); #340964=ADVANCED_FACE('',(#31539),#15400,.T.); #340965=ADVANCED_FACE('',(#31540),#15401,.T.); #340966=ADVANCED_FACE('',(#31541),#329374,.T.); #340967=ADVANCED_FACE('',(#31542),#15402,.T.); #340968=ADVANCED_FACE('',(#31543),#15403,.T.); #340969=ADVANCED_FACE('',(#31544),#15404,.T.); #340970=ADVANCED_FACE('',(#31545),#15405,.T.); #340971=ADVANCED_FACE('',(#31546),#15406,.T.); #340972=ADVANCED_FACE('',(#31547),#329375,.T.); #340973=ADVANCED_FACE('',(#31548),#15407,.T.); #340974=ADVANCED_FACE('',(#31549),#15408,.T.); #340975=ADVANCED_FACE('',(#31550),#329376,.T.); #340976=ADVANCED_FACE('',(#31551),#15409,.T.); #340977=ADVANCED_FACE('',(#31552),#15410,.T.); #340978=ADVANCED_FACE('',(#31553),#15411,.T.); #340979=ADVANCED_FACE('',(#31554),#15412,.T.); #340980=ADVANCED_FACE('',(#31555),#15413,.T.); #340981=ADVANCED_FACE('',(#31556),#15414,.T.); #340982=ADVANCED_FACE('',(#31557),#15415,.T.); #340983=ADVANCED_FACE('',(#31558),#329377,.T.); #340984=ADVANCED_FACE('',(#31559),#15416,.T.); #340985=ADVANCED_FACE('',(#31560),#329378,.T.); #340986=ADVANCED_FACE('',(#31561),#15417,.T.); #340987=ADVANCED_FACE('',(#31562),#15418,.T.); #340988=ADVANCED_FACE('',(#31563),#15419,.T.); #340989=ADVANCED_FACE('',(#31564),#15420,.T.); #340990=ADVANCED_FACE('',(#31565),#15421,.T.); #340991=ADVANCED_FACE('',(#31566),#15422,.T.); #340992=ADVANCED_FACE('',(#31567),#15423,.T.); #340993=ADVANCED_FACE('',(#31568),#15424,.T.); #340994=ADVANCED_FACE('',(#31569),#329379,.T.); #340995=ADVANCED_FACE('',(#31570),#15425,.T.); #340996=ADVANCED_FACE('',(#31571),#329380,.T.); #340997=ADVANCED_FACE('',(#31572),#15426,.T.); #340998=ADVANCED_FACE('',(#31573),#15427,.T.); #340999=ADVANCED_FACE('',(#31574),#15428,.T.); #341000=ADVANCED_FACE('',(#31575),#329381,.T.); #341001=ADVANCED_FACE('',(#31576),#15429,.T.); #341002=ADVANCED_FACE('',(#31577),#329382,.T.); #341003=ADVANCED_FACE('',(#31578),#15430,.T.); #341004=ADVANCED_FACE('',(#31579),#15431,.T.); #341005=ADVANCED_FACE('',(#31580),#15432,.T.); #341006=ADVANCED_FACE('',(#31581),#15433,.T.); #341007=ADVANCED_FACE('',(#31582),#15434,.T.); #341008=ADVANCED_FACE('',(#31583),#329383,.T.); #341009=ADVANCED_FACE('',(#31584),#15435,.T.); #341010=ADVANCED_FACE('',(#31585),#15436,.T.); #341011=ADVANCED_FACE('',(#31586),#15437,.T.); #341012=ADVANCED_FACE('',(#31587),#15438,.T.); #341013=ADVANCED_FACE('',(#31588),#15439,.T.); #341014=ADVANCED_FACE('',(#31589),#15440,.T.); #341015=ADVANCED_FACE('',(#31590),#329384,.T.); #341016=ADVANCED_FACE('',(#31591),#15441,.T.); #341017=ADVANCED_FACE('',(#31592),#15442,.T.); #341018=ADVANCED_FACE('',(#31593),#15443,.T.); #341019=ADVANCED_FACE('',(#31594),#15444,.F.); #341020=ADVANCED_FACE('',(#31595),#329385,.F.); #341021=ADVANCED_FACE('',(#31596),#329386,.F.); #341022=ADVANCED_FACE('',(#31597),#15445,.T.); #341023=ADVANCED_FACE('',(#31598),#329387,.T.); #341024=ADVANCED_FACE('',(#31599),#329388,.T.); #341025=ADVANCED_FACE('',(#31600),#15446,.T.); #341026=ADVANCED_FACE('',(#31601),#329389,.T.); #341027=ADVANCED_FACE('',(#31602),#329390,.T.); #341028=ADVANCED_FACE('',(#31603),#15447,.T.); #341029=ADVANCED_FACE('',(#31604),#329391,.T.); #341030=ADVANCED_FACE('',(#31605,#5802,#5803),#15448,.T.); #341031=ADVANCED_FACE('',(#31606,#5804,#5805),#15449,.F.); #341032=ADVANCED_FACE('',(#31607),#329392,.F.); #341033=ADVANCED_FACE('',(#31608),#15450,.T.); #341034=ADVANCED_FACE('',(#31609),#329393,.T.); #341035=ADVANCED_FACE('',(#31610),#15451,.T.); #341036=ADVANCED_FACE('',(#31611),#15452,.T.); #341037=ADVANCED_FACE('',(#31612),#15453,.T.); #341038=ADVANCED_FACE('',(#31613),#15454,.T.); #341039=ADVANCED_FACE('',(#31614),#15455,.T.); #341040=ADVANCED_FACE('',(#31615),#15456,.T.); #341041=ADVANCED_FACE('',(#31616),#15457,.T.); #341042=ADVANCED_FACE('',(#31617),#329394,.T.); #341043=ADVANCED_FACE('',(#31618,#5806),#15458,.T.); #341044=ADVANCED_FACE('',(#31619,#5807),#15459,.F.); #341045=ADVANCED_FACE('',(#31620),#329395,.F.); #341046=ADVANCED_FACE('',(#31621),#15460,.T.); #341047=ADVANCED_FACE('',(#31622),#15461,.T.); #341048=ADVANCED_FACE('',(#31623),#15462,.T.); #341049=ADVANCED_FACE('',(#31624),#15463,.T.); #341050=ADVANCED_FACE('',(#31625),#15464,.T.); #341051=ADVANCED_FACE('',(#31626),#329396,.T.); #341052=ADVANCED_FACE('',(#31627),#15465,.T.); #341053=ADVANCED_FACE('',(#31628),#329397,.T.); #341054=ADVANCED_FACE('',(#31629),#329398,.T.); #341055=ADVANCED_FACE('',(#31630),#329399,.T.); #341056=ADVANCED_FACE('',(#31631),#15466,.T.); #341057=ADVANCED_FACE('',(#31632),#15467,.T.); #341058=ADVANCED_FACE('',(#31633),#15468,.T.); #341059=ADVANCED_FACE('',(#31634,#5808),#15469,.T.); #341060=ADVANCED_FACE('',(#31635,#5809),#15470,.F.); #341061=ADVANCED_FACE('',(#31636),#329400,.F.); #341062=ADVANCED_FACE('',(#31637),#329401,.F.); #341063=ADVANCED_FACE('',(#31638),#15471,.T.); #341064=ADVANCED_FACE('',(#31639),#329402,.T.); #341065=ADVANCED_FACE('',(#31640),#329403,.T.); #341066=ADVANCED_FACE('',(#31641),#15472,.T.); #341067=ADVANCED_FACE('',(#31642),#15473,.T.); #341068=ADVANCED_FACE('',(#31643),#15474,.T.); #341069=ADVANCED_FACE('',(#31644),#15475,.T.); #341070=ADVANCED_FACE('',(#31645),#15476,.T.); #341071=ADVANCED_FACE('',(#31646),#329404,.T.); #341072=ADVANCED_FACE('',(#31647),#15477,.T.); #341073=ADVANCED_FACE('',(#31648),#15478,.T.); #341074=ADVANCED_FACE('',(#31649),#329405,.T.); #341075=ADVANCED_FACE('',(#31650),#15479,.T.); #341076=ADVANCED_FACE('',(#31651),#329406,.T.); #341077=ADVANCED_FACE('',(#31652),#15480,.T.); #341078=ADVANCED_FACE('',(#31653),#15481,.T.); #341079=ADVANCED_FACE('',(#31654),#15482,.T.); #341080=ADVANCED_FACE('',(#31655),#329407,.T.); #341081=ADVANCED_FACE('',(#31656),#15483,.T.); #341082=ADVANCED_FACE('',(#31657),#329408,.T.); #341083=ADVANCED_FACE('',(#31658),#15484,.T.); #341084=ADVANCED_FACE('',(#31659),#329409,.T.); #341085=ADVANCED_FACE('',(#31660),#329410,.T.); #341086=ADVANCED_FACE('',(#31661),#15485,.T.); #341087=ADVANCED_FACE('',(#31662),#15486,.T.); #341088=ADVANCED_FACE('',(#31663),#15487,.T.); #341089=ADVANCED_FACE('',(#31664),#329411,.T.); #341090=ADVANCED_FACE('',(#31665),#15488,.T.); #341091=ADVANCED_FACE('',(#31666),#329412,.T.); #341092=ADVANCED_FACE('',(#31667),#15489,.T.); #341093=ADVANCED_FACE('',(#31668),#15490,.T.); #341094=ADVANCED_FACE('',(#31669),#15491,.T.); #341095=ADVANCED_FACE('',(#31670),#329413,.T.); #341096=ADVANCED_FACE('',(#31671),#15492,.T.); #341097=ADVANCED_FACE('',(#31672),#15493,.T.); #341098=ADVANCED_FACE('',(#31673),#329414,.T.); #341099=ADVANCED_FACE('',(#31674),#15494,.T.); #341100=ADVANCED_FACE('',(#31675),#329415,.T.); #341101=ADVANCED_FACE('',(#31676),#15495,.T.); #341102=ADVANCED_FACE('',(#31677),#329416,.T.); #341103=ADVANCED_FACE('',(#31678),#15496,.T.); #341104=ADVANCED_FACE('',(#31679),#329417,.T.); #341105=ADVANCED_FACE('',(#31680),#15497,.T.); #341106=ADVANCED_FACE('',(#31681),#329418,.T.); #341107=ADVANCED_FACE('',(#31682,#5810,#5811),#15498,.T.); #341108=ADVANCED_FACE('',(#31683,#5812,#5813),#15499,.F.); #341109=ADVANCED_FACE('',(#31684),#329419,.F.); #341110=ADVANCED_FACE('',(#31685),#329420,.F.); #341111=ADVANCED_FACE('',(#31686),#15500,.T.); #341112=ADVANCED_FACE('',(#31687),#329421,.T.); #341113=ADVANCED_FACE('',(#31688),#329422,.T.); #341114=ADVANCED_FACE('',(#31689),#15501,.T.); #341115=ADVANCED_FACE('',(#31690),#15502,.T.); #341116=ADVANCED_FACE('',(#31691),#329423,.T.); #341117=ADVANCED_FACE('',(#31692),#329424,.T.); #341118=ADVANCED_FACE('',(#31693),#15503,.T.); #341119=ADVANCED_FACE('',(#31694),#329425,.T.); #341120=ADVANCED_FACE('',(#31695),#15504,.T.); #341121=ADVANCED_FACE('',(#31696),#329426,.T.); #341122=ADVANCED_FACE('',(#31697,#5814,#5815),#15505,.T.); #341123=ADVANCED_FACE('',(#31698,#5816,#5817),#15506,.F.); #341124=ADVANCED_FACE('',(#31699),#329427,.F.); #341125=ADVANCED_FACE('',(#31700),#15507,.T.); #341126=ADVANCED_FACE('',(#31701),#15508,.T.); #341127=ADVANCED_FACE('',(#31702),#15509,.T.); #341128=ADVANCED_FACE('',(#31703),#15510,.T.); #341129=ADVANCED_FACE('',(#31704),#15511,.T.); #341130=ADVANCED_FACE('',(#31705),#329428,.T.); #341131=ADVANCED_FACE('',(#31706),#15512,.T.); #341132=ADVANCED_FACE('',(#31707),#329429,.T.); #341133=ADVANCED_FACE('',(#31708),#329430,.T.); #341134=ADVANCED_FACE('',(#31709),#329431,.T.); #341135=ADVANCED_FACE('',(#31710),#15513,.T.); #341136=ADVANCED_FACE('',(#31711),#329432,.T.); #341137=ADVANCED_FACE('',(#31712),#15514,.T.); #341138=ADVANCED_FACE('',(#31713),#15515,.T.); #341139=ADVANCED_FACE('',(#31714),#15516,.T.); #341140=ADVANCED_FACE('',(#31715),#15517,.T.); #341141=ADVANCED_FACE('',(#31716),#15518,.T.); #341142=ADVANCED_FACE('',(#31717),#15519,.T.); #341143=ADVANCED_FACE('',(#31718),#15520,.T.); #341144=ADVANCED_FACE('',(#31719),#15521,.T.); #341145=ADVANCED_FACE('',(#31720),#329433,.T.); #341146=ADVANCED_FACE('',(#31721),#15522,.T.); #341147=ADVANCED_FACE('',(#31722),#15523,.T.); #341148=ADVANCED_FACE('',(#31723),#15524,.T.); #341149=ADVANCED_FACE('',(#31724,#5818),#15525,.T.); #341150=ADVANCED_FACE('',(#31725,#5819),#15526,.F.); #341151=ADVANCED_FACE('',(#31726),#329434,.F.); #341152=ADVANCED_FACE('',(#31727),#15527,.T.); #341153=ADVANCED_FACE('',(#31728),#329435,.T.); #341154=ADVANCED_FACE('',(#31729),#329436,.T.); #341155=ADVANCED_FACE('',(#31730),#15528,.T.); #341156=ADVANCED_FACE('',(#31731),#329437,.T.); #341157=ADVANCED_FACE('',(#31732),#15529,.T.); #341158=ADVANCED_FACE('',(#31733),#15530,.T.); #341159=ADVANCED_FACE('',(#31734),#15531,.T.); #341160=ADVANCED_FACE('',(#31735),#15532,.T.); #341161=ADVANCED_FACE('',(#31736),#15533,.T.); #341162=ADVANCED_FACE('',(#31737),#15534,.T.); #341163=ADVANCED_FACE('',(#31738),#15535,.T.); #341164=ADVANCED_FACE('',(#31739),#15536,.T.); #341165=ADVANCED_FACE('',(#31740),#329438,.T.); #341166=ADVANCED_FACE('',(#31741),#15537,.T.); #341167=ADVANCED_FACE('',(#31742),#15538,.T.); #341168=ADVANCED_FACE('',(#31743),#15539,.T.); #341169=ADVANCED_FACE('',(#31744),#15540,.T.); #341170=ADVANCED_FACE('',(#31745),#15541,.T.); #341171=ADVANCED_FACE('',(#31746),#15542,.T.); #341172=ADVANCED_FACE('',(#31747),#15543,.T.); #341173=ADVANCED_FACE('',(#31748),#15544,.T.); #341174=ADVANCED_FACE('',(#31749),#329439,.T.); #341175=ADVANCED_FACE('',(#31750),#15545,.T.); #341176=ADVANCED_FACE('',(#31751),#329440,.T.); #341177=ADVANCED_FACE('',(#31752),#329441,.T.); #341178=ADVANCED_FACE('',(#31753,#5820),#15546,.T.); #341179=ADVANCED_FACE('',(#31754,#5821),#15547,.F.); #341180=ADVANCED_FACE('',(#31755),#329442,.F.); #341181=ADVANCED_FACE('',(#31756),#329443,.F.); #341182=ADVANCED_FACE('',(#31757),#15548,.T.); #341183=ADVANCED_FACE('',(#31758),#15549,.T.); #341184=ADVANCED_FACE('',(#31759),#329444,.T.); #341185=ADVANCED_FACE('',(#31760),#15550,.T.); #341186=ADVANCED_FACE('',(#31761),#15551,.T.); #341187=ADVANCED_FACE('',(#31762),#329445,.T.); #341188=ADVANCED_FACE('',(#31763),#329446,.T.); #341189=ADVANCED_FACE('',(#31764),#15552,.T.); #341190=ADVANCED_FACE('',(#31765),#329447,.T.); #341191=ADVANCED_FACE('',(#31766),#15553,.T.); #341192=ADVANCED_FACE('',(#31767),#15554,.T.); #341193=ADVANCED_FACE('',(#31768),#15555,.T.); #341194=ADVANCED_FACE('',(#31769),#329448,.T.); #341195=ADVANCED_FACE('',(#31770),#15556,.T.); #341196=ADVANCED_FACE('',(#31771),#15557,.T.); #341197=ADVANCED_FACE('',(#31772),#15558,.T.); #341198=ADVANCED_FACE('',(#31773),#15559,.T.); #341199=ADVANCED_FACE('',(#31774),#15560,.T.); #341200=ADVANCED_FACE('',(#31775),#329449,.T.); #341201=ADVANCED_FACE('',(#31776),#15561,.T.); #341202=ADVANCED_FACE('',(#31777),#15562,.T.); #341203=ADVANCED_FACE('',(#31778),#329450,.T.); #341204=ADVANCED_FACE('',(#31779),#15563,.T.); #341205=ADVANCED_FACE('',(#31780),#329451,.T.); #341206=ADVANCED_FACE('',(#31781),#15564,.T.); #341207=ADVANCED_FACE('',(#31782),#15565,.T.); #341208=ADVANCED_FACE('',(#31783),#15566,.T.); #341209=ADVANCED_FACE('',(#31784),#329452,.T.); #341210=ADVANCED_FACE('',(#31785),#15567,.T.); #341211=ADVANCED_FACE('',(#31786),#329453,.T.); #341212=ADVANCED_FACE('',(#31787),#15568,.T.); #341213=ADVANCED_FACE('',(#31788),#329454,.T.); #341214=ADVANCED_FACE('',(#31789),#15569,.T.); #341215=ADVANCED_FACE('',(#31790),#15570,.T.); #341216=ADVANCED_FACE('',(#31791),#329455,.T.); #341217=ADVANCED_FACE('',(#31792),#329456,.T.); #341218=ADVANCED_FACE('',(#31793),#15571,.T.); #341219=ADVANCED_FACE('',(#31794),#329457,.T.); #341220=ADVANCED_FACE('',(#31795),#15572,.T.); #341221=ADVANCED_FACE('',(#31796),#15573,.T.); #341222=ADVANCED_FACE('',(#31797),#15574,.T.); #341223=ADVANCED_FACE('',(#31798),#15575,.T.); #341224=ADVANCED_FACE('',(#31799),#329458,.T.); #341225=ADVANCED_FACE('',(#31800),#15576,.T.); #341226=ADVANCED_FACE('',(#31801),#329459,.T.); #341227=ADVANCED_FACE('',(#31802),#15577,.T.); #341228=ADVANCED_FACE('',(#31803),#15578,.T.); #341229=ADVANCED_FACE('',(#31804),#15579,.T.); #341230=ADVANCED_FACE('',(#31805),#329460,.T.); #341231=ADVANCED_FACE('',(#31806),#15580,.T.); #341232=ADVANCED_FACE('',(#31807),#15581,.T.); #341233=ADVANCED_FACE('',(#31808),#329461,.T.); #341234=ADVANCED_FACE('',(#31809),#15582,.T.); #341235=ADVANCED_FACE('',(#31810),#329462,.T.); #341236=ADVANCED_FACE('',(#31811),#15583,.T.); #341237=ADVANCED_FACE('',(#31812),#329463,.T.); #341238=ADVANCED_FACE('',(#31813),#15584,.T.); #341239=ADVANCED_FACE('',(#31814),#329464,.T.); #341240=ADVANCED_FACE('',(#31815),#15585,.T.); #341241=ADVANCED_FACE('',(#31816),#15586,.T.); #341242=ADVANCED_FACE('',(#31817),#329465,.T.); #341243=ADVANCED_FACE('',(#31818,#5822,#5823),#15587,.T.); #341244=ADVANCED_FACE('',(#31819,#5824,#5825),#15588,.F.); #341245=ADVANCED_FACE('',(#31820),#329466,.F.); #341246=ADVANCED_FACE('',(#31821),#329467,.F.); #341247=ADVANCED_FACE('',(#31822),#15589,.T.); #341248=ADVANCED_FACE('',(#31823),#329468,.T.); #341249=ADVANCED_FACE('',(#31824),#329469,.T.); #341250=ADVANCED_FACE('',(#31825),#15590,.T.); #341251=ADVANCED_FACE('',(#31826),#329470,.T.); #341252=ADVANCED_FACE('',(#31827),#329471,.T.); #341253=ADVANCED_FACE('',(#31828),#15591,.T.); #341254=ADVANCED_FACE('',(#31829),#329472,.T.); #341255=ADVANCED_FACE('',(#31830,#5826,#5827),#15592,.T.); #341256=ADVANCED_FACE('',(#31831,#5828,#5829),#15593,.F.); #341257=ADVANCED_FACE('',(#31832),#329473,.F.); #341258=ADVANCED_FACE('',(#31833),#329474,.F.); #341259=ADVANCED_FACE('',(#31834),#15594,.T.); #341260=ADVANCED_FACE('',(#31835),#329475,.T.); #341261=ADVANCED_FACE('',(#31836),#329476,.T.); #341262=ADVANCED_FACE('',(#31837),#15595,.T.); #341263=ADVANCED_FACE('',(#31838),#329477,.T.); #341264=ADVANCED_FACE('',(#31839),#329478,.T.); #341265=ADVANCED_FACE('',(#31840),#15596,.T.); #341266=ADVANCED_FACE('',(#31841),#329479,.T.); #341267=ADVANCED_FACE('',(#31842,#5830,#5831),#15597,.T.); #341268=ADVANCED_FACE('',(#31843,#5832,#5833),#15598,.F.); #341269=ADVANCED_FACE('',(#31844),#329480,.F.); #341270=ADVANCED_FACE('',(#31845),#329481,.F.); #341271=ADVANCED_FACE('',(#31846),#15599,.T.); #341272=ADVANCED_FACE('',(#31847),#329482,.T.); #341273=ADVANCED_FACE('',(#31848),#329483,.T.); #341274=ADVANCED_FACE('',(#31849),#15600,.T.); #341275=ADVANCED_FACE('',(#31850),#329484,.T.); #341276=ADVANCED_FACE('',(#31851),#329485,.T.); #341277=ADVANCED_FACE('',(#31852),#15601,.T.); #341278=ADVANCED_FACE('',(#31853),#329486,.T.); #341279=ADVANCED_FACE('',(#31854,#5834,#5835),#15602,.T.); #341280=ADVANCED_FACE('',(#31855,#5836,#5837),#15603,.F.); #341281=ADVANCED_FACE('',(#31856),#329487,.F.); #341282=ADVANCED_FACE('',(#31857),#329488,.F.); #341283=ADVANCED_FACE('',(#31858),#15604,.T.); #341284=ADVANCED_FACE('',(#31859),#329489,.T.); #341285=ADVANCED_FACE('',(#31860),#15605,.T.); #341286=ADVANCED_FACE('',(#31861),#15606,.T.); #341287=ADVANCED_FACE('',(#31862),#15607,.T.); #341288=ADVANCED_FACE('',(#31863),#329490,.T.); #341289=ADVANCED_FACE('',(#31864),#329491,.T.); #341290=ADVANCED_FACE('',(#31865),#15608,.T.); #341291=ADVANCED_FACE('',(#31866),#329492,.T.); #341292=ADVANCED_FACE('',(#31867),#15609,.T.); #341293=ADVANCED_FACE('',(#31868),#329493,.T.); #341294=ADVANCED_FACE('',(#31869),#15610,.T.); #341295=ADVANCED_FACE('',(#31870),#329494,.T.); #341296=ADVANCED_FACE('',(#31871,#5838,#5839),#15611,.T.); #341297=ADVANCED_FACE('',(#31872,#5840,#5841),#15612,.F.); #341298=ADVANCED_FACE('',(#31873),#329495,.F.); #341299=ADVANCED_FACE('',(#31874),#15613,.T.); #341300=ADVANCED_FACE('',(#31875),#15614,.T.); #341301=ADVANCED_FACE('',(#31876),#15615,.T.); #341302=ADVANCED_FACE('',(#31877),#15616,.T.); #341303=ADVANCED_FACE('',(#31878,#5842),#15617,.T.); #341304=ADVANCED_FACE('',(#31879,#5843),#15618,.F.); #341305=ADVANCED_FACE('',(#31880),#329496,.F.); #341306=ADVANCED_FACE('',(#31881),#15619,.T.); #341307=ADVANCED_FACE('',(#31882),#15620,.T.); #341308=ADVANCED_FACE('',(#31883),#15621,.T.); #341309=ADVANCED_FACE('',(#31884),#15622,.T.); #341310=ADVANCED_FACE('',(#31885,#5844),#15623,.T.); #341311=ADVANCED_FACE('',(#31886,#5845),#15624,.F.); #341312=ADVANCED_FACE('',(#31887),#329497,.F.); #341313=ADVANCED_FACE('',(#31888),#15625,.T.); #341314=ADVANCED_FACE('',(#31889),#15626,.T.); #341315=ADVANCED_FACE('',(#31890),#15627,.T.); #341316=ADVANCED_FACE('',(#31891),#15628,.T.); #341317=ADVANCED_FACE('',(#31892,#5846),#15629,.T.); #341318=ADVANCED_FACE('',(#31893,#5847),#15630,.F.); #341319=ADVANCED_FACE('',(#31894),#329498,.F.); #341320=ADVANCED_FACE('',(#31895),#15631,.T.); #341321=ADVANCED_FACE('',(#31896),#329499,.T.); #341322=ADVANCED_FACE('',(#31897),#15632,.T.); #341323=ADVANCED_FACE('',(#31898),#15633,.T.); #341324=ADVANCED_FACE('',(#31899),#15634,.T.); #341325=ADVANCED_FACE('',(#31900),#15635,.T.); #341326=ADVANCED_FACE('',(#31901),#15636,.T.); #341327=ADVANCED_FACE('',(#31902),#15637,.T.); #341328=ADVANCED_FACE('',(#31903),#15638,.T.); #341329=ADVANCED_FACE('',(#31904),#329500,.T.); #341330=ADVANCED_FACE('',(#31905,#5848),#15639,.T.); #341331=ADVANCED_FACE('',(#31906,#5849),#15640,.F.); #341332=ADVANCED_FACE('',(#31907),#329501,.F.); #341333=ADVANCED_FACE('',(#31908),#329502,.F.); #341334=ADVANCED_FACE('',(#31909),#15641,.T.); #341335=ADVANCED_FACE('',(#31910),#15642,.T.); #341336=ADVANCED_FACE('',(#31911),#329503,.T.); #341337=ADVANCED_FACE('',(#31912),#15643,.T.); #341338=ADVANCED_FACE('',(#31913),#15644,.T.); #341339=ADVANCED_FACE('',(#31914),#329504,.T.); #341340=ADVANCED_FACE('',(#31915),#15645,.T.); #341341=ADVANCED_FACE('',(#31916),#15646,.T.); #341342=ADVANCED_FACE('',(#31917),#329505,.T.); #341343=ADVANCED_FACE('',(#31918),#329506,.T.); #341344=ADVANCED_FACE('',(#31919),#15647,.T.); #341345=ADVANCED_FACE('',(#31920),#329507,.T.); #341346=ADVANCED_FACE('',(#31921),#15648,.T.); #341347=ADVANCED_FACE('',(#31922),#15649,.T.); #341348=ADVANCED_FACE('',(#31923),#329508,.T.); #341349=ADVANCED_FACE('',(#31924),#15650,.T.); #341350=ADVANCED_FACE('',(#31925),#15651,.T.); #341351=ADVANCED_FACE('',(#31926),#15652,.T.); #341352=ADVANCED_FACE('',(#31927),#15653,.T.); #341353=ADVANCED_FACE('',(#31928),#15654,.T.); #341354=ADVANCED_FACE('',(#31929),#15655,.T.); #341355=ADVANCED_FACE('',(#31930),#329509,.T.); #341356=ADVANCED_FACE('',(#31931),#15656,.T.); #341357=ADVANCED_FACE('',(#31932),#15657,.T.); #341358=ADVANCED_FACE('',(#31933),#329510,.T.); #341359=ADVANCED_FACE('',(#31934),#15658,.T.); #341360=ADVANCED_FACE('',(#31935),#329511,.T.); #341361=ADVANCED_FACE('',(#31936),#15659,.T.); #341362=ADVANCED_FACE('',(#31937),#15660,.T.); #341363=ADVANCED_FACE('',(#31938),#15661,.T.); #341364=ADVANCED_FACE('',(#31939),#329512,.T.); #341365=ADVANCED_FACE('',(#31940),#15662,.T.); #341366=ADVANCED_FACE('',(#31941),#329513,.T.); #341367=ADVANCED_FACE('',(#31942),#15663,.T.); #341368=ADVANCED_FACE('',(#31943),#15664,.T.); #341369=ADVANCED_FACE('',(#31944),#329514,.T.); #341370=ADVANCED_FACE('',(#31945),#15665,.T.); #341371=ADVANCED_FACE('',(#31946),#329515,.T.); #341372=ADVANCED_FACE('',(#31947),#329516,.T.); #341373=ADVANCED_FACE('',(#31948),#15666,.T.); #341374=ADVANCED_FACE('',(#31949),#15667,.T.); #341375=ADVANCED_FACE('',(#31950),#329517,.T.); #341376=ADVANCED_FACE('',(#31951),#15668,.T.); #341377=ADVANCED_FACE('',(#31952),#15669,.T.); #341378=ADVANCED_FACE('',(#31953),#15670,.T.); #341379=ADVANCED_FACE('',(#31954),#329518,.T.); #341380=ADVANCED_FACE('',(#31955),#15671,.T.); #341381=ADVANCED_FACE('',(#31956),#329519,.T.); #341382=ADVANCED_FACE('',(#31957),#15672,.T.); #341383=ADVANCED_FACE('',(#31958),#15673,.T.); #341384=ADVANCED_FACE('',(#31959),#15674,.T.); #341385=ADVANCED_FACE('',(#31960),#329520,.T.); #341386=ADVANCED_FACE('',(#31961),#15675,.T.); #341387=ADVANCED_FACE('',(#31962),#15676,.T.); #341388=ADVANCED_FACE('',(#31963),#329521,.T.); #341389=ADVANCED_FACE('',(#31964),#15677,.T.); #341390=ADVANCED_FACE('',(#31965),#329522,.T.); #341391=ADVANCED_FACE('',(#31966),#15678,.T.); #341392=ADVANCED_FACE('',(#31967),#329523,.T.); #341393=ADVANCED_FACE('',(#31968),#15679,.T.); #341394=ADVANCED_FACE('',(#31969),#329524,.T.); #341395=ADVANCED_FACE('',(#31970,#5850,#5851),#15680,.T.); #341396=ADVANCED_FACE('',(#31971,#5852,#5853),#15681,.F.); #341397=ADVANCED_FACE('',(#31972),#329525,.F.); #341398=ADVANCED_FACE('',(#31973),#329526,.F.); #341399=ADVANCED_FACE('',(#31974),#15682,.T.); #341400=ADVANCED_FACE('',(#31975),#329527,.T.); #341401=ADVANCED_FACE('',(#31976),#15683,.T.); #341402=ADVANCED_FACE('',(#31977),#329528,.T.); #341403=ADVANCED_FACE('',(#31978),#329529,.T.); #341404=ADVANCED_FACE('',(#31979),#15684,.T.); #341405=ADVANCED_FACE('',(#31980),#329530,.T.); #341406=ADVANCED_FACE('',(#31981,#5854,#5855),#15685,.T.); #341407=ADVANCED_FACE('',(#31982,#5856,#5857),#15686,.F.); #341408=ADVANCED_FACE('',(#31983),#329531,.F.); #341409=ADVANCED_FACE('',(#31984),#15687,.T.); #341410=ADVANCED_FACE('',(#31985),#329532,.T.); #341411=ADVANCED_FACE('',(#31986),#15688,.T.); #341412=ADVANCED_FACE('',(#31987),#15689,.T.); #341413=ADVANCED_FACE('',(#31988),#15690,.T.); #341414=ADVANCED_FACE('',(#31989),#15691,.T.); #341415=ADVANCED_FACE('',(#31990),#15692,.T.); #341416=ADVANCED_FACE('',(#31991),#15693,.T.); #341417=ADVANCED_FACE('',(#31992),#15694,.T.); #341418=ADVANCED_FACE('',(#31993),#329533,.T.); #341419=ADVANCED_FACE('',(#31994,#5858),#15695,.T.); #341420=ADVANCED_FACE('',(#31995,#5859),#15696,.F.); #341421=ADVANCED_FACE('',(#31996),#329534,.F.); #341422=ADVANCED_FACE('',(#31997),#15697,.T.); #341423=ADVANCED_FACE('',(#31998),#329535,.T.); #341424=ADVANCED_FACE('',(#31999),#15698,.T.); #341425=ADVANCED_FACE('',(#32000),#15699,.T.); #341426=ADVANCED_FACE('',(#32001),#15700,.T.); #341427=ADVANCED_FACE('',(#32002),#15701,.T.); #341428=ADVANCED_FACE('',(#32003),#15702,.T.); #341429=ADVANCED_FACE('',(#32004),#15703,.T.); #341430=ADVANCED_FACE('',(#32005),#15704,.T.); #341431=ADVANCED_FACE('',(#32006),#329536,.T.); #341432=ADVANCED_FACE('',(#32007,#5860),#15705,.T.); #341433=ADVANCED_FACE('',(#32008,#5861),#15706,.F.); #341434=ADVANCED_FACE('',(#32009),#329537,.F.); #341435=ADVANCED_FACE('',(#32010),#15707,.T.); #341436=ADVANCED_FACE('',(#32011),#329538,.T.); #341437=ADVANCED_FACE('',(#32012),#15708,.T.); #341438=ADVANCED_FACE('',(#32013),#329539,.T.); #341439=ADVANCED_FACE('',(#32014),#15709,.T.); #341440=ADVANCED_FACE('',(#32015),#329540,.T.); #341441=ADVANCED_FACE('',(#32016),#15710,.T.); #341442=ADVANCED_FACE('',(#32017),#15711,.T.); #341443=ADVANCED_FACE('',(#32018),#15712,.T.); #341444=ADVANCED_FACE('',(#32019),#15713,.T.); #341445=ADVANCED_FACE('',(#32020),#15714,.T.); #341446=ADVANCED_FACE('',(#32021),#15715,.T.); #341447=ADVANCED_FACE('',(#32022),#15716,.T.); #341448=ADVANCED_FACE('',(#32023),#15717,.T.); #341449=ADVANCED_FACE('',(#32024),#15718,.T.); #341450=ADVANCED_FACE('',(#32025),#329541,.T.); #341451=ADVANCED_FACE('',(#32026),#329542,.T.); #341452=ADVANCED_FACE('',(#32027,#5862),#15719,.T.); #341453=ADVANCED_FACE('',(#32028,#5863),#15720,.F.); #341454=ADVANCED_FACE('',(#32029),#329543,.F.); #341455=ADVANCED_FACE('',(#32030),#15721,.T.); #341456=ADVANCED_FACE('',(#32031),#15722,.T.); #341457=ADVANCED_FACE('',(#32032),#15723,.T.); #341458=ADVANCED_FACE('',(#32033),#15724,.T.); #341459=ADVANCED_FACE('',(#32034),#15725,.T.); #341460=ADVANCED_FACE('',(#32035),#15726,.T.); #341461=ADVANCED_FACE('',(#32036),#15727,.T.); #341462=ADVANCED_FACE('',(#32037),#15728,.T.); #341463=ADVANCED_FACE('',(#32038),#329544,.T.); #341464=ADVANCED_FACE('',(#32039),#329545,.T.); #341465=ADVANCED_FACE('',(#32040),#15729,.T.); #341466=ADVANCED_FACE('',(#32041),#329546,.T.); #341467=ADVANCED_FACE('',(#32042),#15730,.T.); #341468=ADVANCED_FACE('',(#32043),#329547,.T.); #341469=ADVANCED_FACE('',(#32044),#15731,.T.); #341470=ADVANCED_FACE('',(#32045,#5864),#15732,.T.); #341471=ADVANCED_FACE('',(#32046,#5865),#15733,.F.); #341472=ADVANCED_FACE('',(#32047),#329548,.F.); #341473=ADVANCED_FACE('',(#32048),#15734,.T.); #341474=ADVANCED_FACE('',(#32049),#329549,.T.); #341475=ADVANCED_FACE('',(#32050),#329550,.T.); #341476=ADVANCED_FACE('',(#32051,#5866),#15735,.T.); #341477=ADVANCED_FACE('',(#32052,#5867),#15736,.F.); #341478=ADVANCED_FACE('',(#32053),#329551,.F.); #341479=ADVANCED_FACE('',(#32054),#15737,.T.); #341480=ADVANCED_FACE('',(#32055),#15738,.T.); #341481=ADVANCED_FACE('',(#32056),#15739,.T.); #341482=ADVANCED_FACE('',(#32057),#15740,.T.); #341483=ADVANCED_FACE('',(#32058),#15741,.T.); #341484=ADVANCED_FACE('',(#32059),#15742,.T.); #341485=ADVANCED_FACE('',(#32060),#15743,.T.); #341486=ADVANCED_FACE('',(#32061),#329552,.T.); #341487=ADVANCED_FACE('',(#32062),#15744,.T.); #341488=ADVANCED_FACE('',(#32063),#15745,.T.); #341489=ADVANCED_FACE('',(#32064),#329553,.T.); #341490=ADVANCED_FACE('',(#32065),#329554,.T.); #341491=ADVANCED_FACE('',(#32066),#15746,.T.); #341492=ADVANCED_FACE('',(#32067),#329555,.T.); #341493=ADVANCED_FACE('',(#32068),#15747,.T.); #341494=ADVANCED_FACE('',(#32069),#15748,.T.); #341495=ADVANCED_FACE('',(#32070),#329556,.T.); #341496=ADVANCED_FACE('',(#32071),#15749,.T.); #341497=ADVANCED_FACE('',(#32072),#329557,.T.); #341498=ADVANCED_FACE('',(#32073),#15750,.T.); #341499=ADVANCED_FACE('',(#32074),#15751,.T.); #341500=ADVANCED_FACE('',(#32075,#5868),#15752,.T.); #341501=ADVANCED_FACE('',(#32076,#5869),#15753,.F.); #341502=ADVANCED_FACE('',(#32077),#329558,.F.); #341503=ADVANCED_FACE('',(#32078),#15754,.T.); #341504=ADVANCED_FACE('',(#32079),#15755,.T.); #341505=ADVANCED_FACE('',(#32080),#15756,.T.); #341506=ADVANCED_FACE('',(#32081),#15757,.T.); #341507=ADVANCED_FACE('',(#32082),#329559,.T.); #341508=ADVANCED_FACE('',(#32083),#15758,.T.); #341509=ADVANCED_FACE('',(#32084),#329560,.T.); #341510=ADVANCED_FACE('',(#32085),#15759,.T.); #341511=ADVANCED_FACE('',(#32086),#329561,.T.); #341512=ADVANCED_FACE('',(#32087),#329562,.T.); #341513=ADVANCED_FACE('',(#32088),#15760,.T.); #341514=ADVANCED_FACE('',(#32089),#15761,.T.); #341515=ADVANCED_FACE('',(#32090),#15762,.T.); #341516=ADVANCED_FACE('',(#32091),#15763,.T.); #341517=ADVANCED_FACE('',(#32092),#15764,.T.); #341518=ADVANCED_FACE('',(#32093,#5870),#15765,.T.); #341519=ADVANCED_FACE('',(#32094,#5871),#15766,.F.); #341520=ADVANCED_FACE('',(#32095),#15767,.T.); #341521=ADVANCED_FACE('',(#32096),#15768,.T.); #341522=ADVANCED_FACE('',(#32097),#15769,.T.); #341523=ADVANCED_FACE('',(#32098),#15770,.T.); #341524=ADVANCED_FACE('',(#32099),#15771,.T.); #341525=ADVANCED_FACE('',(#32100),#329563,.T.); #341526=ADVANCED_FACE('',(#32101),#15772,.T.); #341527=ADVANCED_FACE('',(#32102),#15773,.T.); #341528=ADVANCED_FACE('',(#32103),#15774,.T.); #341529=ADVANCED_FACE('',(#32104),#15775,.T.); #341530=ADVANCED_FACE('',(#32105),#15776,.T.); #341531=ADVANCED_FACE('',(#32106),#15777,.T.); #341532=ADVANCED_FACE('',(#32107),#15778,.T.); #341533=ADVANCED_FACE('',(#32108),#15779,.T.); #341534=ADVANCED_FACE('',(#32109),#15780,.T.); #341535=ADVANCED_FACE('',(#32110),#15781,.F.); #341536=ADVANCED_FACE('',(#32111),#15782,.T.); #341537=ADVANCED_FACE('',(#32112),#15783,.T.); #341538=ADVANCED_FACE('',(#32113),#15784,.T.); #341539=ADVANCED_FACE('',(#32114),#15785,.T.); #341540=ADVANCED_FACE('',(#32115),#15786,.T.); #341541=ADVANCED_FACE('',(#32116),#15787,.T.); #341542=ADVANCED_FACE('',(#32117),#15788,.T.); #341543=ADVANCED_FACE('',(#32118),#15789,.T.); #341544=ADVANCED_FACE('',(#32119),#15790,.T.); #341545=ADVANCED_FACE('',(#32120),#15791,.T.); #341546=ADVANCED_FACE('',(#32121),#15792,.T.); #341547=ADVANCED_FACE('',(#32122),#329564,.T.); #341548=ADVANCED_FACE('',(#32123),#15793,.T.); #341549=ADVANCED_FACE('',(#32124),#15794,.T.); #341550=ADVANCED_FACE('',(#32125),#15795,.T.); #341551=ADVANCED_FACE('',(#32126),#15796,.F.); #341552=ADVANCED_FACE('',(#32127),#329565,.F.); #341553=ADVANCED_FACE('',(#32128),#15797,.T.); #341554=ADVANCED_FACE('',(#32129),#15798,.T.); #341555=ADVANCED_FACE('',(#32130),#329566,.T.); #341556=ADVANCED_FACE('',(#32131),#15799,.T.); #341557=ADVANCED_FACE('',(#32132),#329567,.T.); #341558=ADVANCED_FACE('',(#32133),#15800,.T.); #341559=ADVANCED_FACE('',(#32134),#15801,.T.); #341560=ADVANCED_FACE('',(#32135),#329568,.T.); #341561=ADVANCED_FACE('',(#32136),#15802,.T.); #341562=ADVANCED_FACE('',(#32137),#15803,.T.); #341563=ADVANCED_FACE('',(#32138),#15804,.T.); #341564=ADVANCED_FACE('',(#32139),#15805,.T.); #341565=ADVANCED_FACE('',(#32140),#15806,.T.); #341566=ADVANCED_FACE('',(#32141),#15807,.T.); #341567=ADVANCED_FACE('',(#32142),#15808,.T.); #341568=ADVANCED_FACE('',(#32143),#15809,.T.); #341569=ADVANCED_FACE('',(#32144),#329569,.T.); #341570=ADVANCED_FACE('',(#32145),#15810,.T.); #341571=ADVANCED_FACE('',(#32146),#15811,.T.); #341572=ADVANCED_FACE('',(#32147),#15812,.T.); #341573=ADVANCED_FACE('',(#32148),#15813,.T.); #341574=ADVANCED_FACE('',(#32149),#329570,.T.); #341575=ADVANCED_FACE('',(#32150),#15814,.T.); #341576=ADVANCED_FACE('',(#32151),#15815,.T.); #341577=ADVANCED_FACE('',(#32152),#15816,.T.); #341578=ADVANCED_FACE('',(#32153),#15817,.T.); #341579=ADVANCED_FACE('',(#32154),#15818,.T.); #341580=ADVANCED_FACE('',(#32155),#15819,.T.); #341581=ADVANCED_FACE('',(#32156),#15820,.T.); #341582=ADVANCED_FACE('',(#32157),#15821,.T.); #341583=ADVANCED_FACE('',(#32158),#15822,.T.); #341584=ADVANCED_FACE('',(#32159),#15823,.T.); #341585=ADVANCED_FACE('',(#32160),#15824,.T.); #341586=ADVANCED_FACE('',(#32161),#15825,.T.); #341587=ADVANCED_FACE('',(#32162),#15826,.T.); #341588=ADVANCED_FACE('',(#32163),#15827,.T.); #341589=ADVANCED_FACE('',(#32164),#15828,.T.); #341590=ADVANCED_FACE('',(#32165),#15829,.T.); #341591=ADVANCED_FACE('',(#32166),#329571,.T.); #341592=ADVANCED_FACE('',(#32167,#5872),#15830,.T.); #341593=ADVANCED_FACE('',(#32168,#5873),#15831,.F.); #341594=ADVANCED_FACE('',(#32169),#329572,.F.); #341595=ADVANCED_FACE('',(#32170),#329573,.F.); #341596=ADVANCED_FACE('',(#32171),#15832,.T.); #341597=ADVANCED_FACE('',(#32172),#329574,.T.); #341598=ADVANCED_FACE('',(#32173),#15833,.T.); #341599=ADVANCED_FACE('',(#32174),#329575,.T.); #341600=ADVANCED_FACE('',(#32175),#329576,.T.); #341601=ADVANCED_FACE('',(#32176),#15834,.T.); #341602=ADVANCED_FACE('',(#32177),#329577,.T.); #341603=ADVANCED_FACE('',(#32178,#5874,#5875),#15835,.T.); #341604=ADVANCED_FACE('',(#32179,#5876,#5877),#15836,.F.); #341605=ADVANCED_FACE('',(#32180),#329578,.F.); #341606=ADVANCED_FACE('',(#32181),#15837,.T.); #341607=ADVANCED_FACE('',(#32182),#15838,.T.); #341608=ADVANCED_FACE('',(#32183),#15839,.T.); #341609=ADVANCED_FACE('',(#32184),#15840,.T.); #341610=ADVANCED_FACE('',(#32185,#5878),#15841,.T.); #341611=ADVANCED_FACE('',(#32186,#5879),#15842,.F.); #341612=ADVANCED_FACE('',(#32187),#329579,.F.); #341613=ADVANCED_FACE('',(#32188),#329580,.F.); #341614=ADVANCED_FACE('',(#32189),#15843,.T.); #341615=ADVANCED_FACE('',(#32190),#329581,.T.); #341616=ADVANCED_FACE('',(#32191),#15844,.T.); #341617=ADVANCED_FACE('',(#32192),#329582,.T.); #341618=ADVANCED_FACE('',(#32193),#15845,.T.); #341619=ADVANCED_FACE('',(#32194),#329583,.T.); #341620=ADVANCED_FACE('',(#32195),#15846,.T.); #341621=ADVANCED_FACE('',(#32196),#329584,.T.); #341622=ADVANCED_FACE('',(#32197),#15847,.T.); #341623=ADVANCED_FACE('',(#32198),#329585,.T.); #341624=ADVANCED_FACE('',(#32199),#15848,.T.); #341625=ADVANCED_FACE('',(#32200),#329586,.T.); #341626=ADVANCED_FACE('',(#32201),#15849,.T.); #341627=ADVANCED_FACE('',(#32202),#329587,.T.); #341628=ADVANCED_FACE('',(#32203),#329588,.T.); #341629=ADVANCED_FACE('',(#32204),#15850,.T.); #341630=ADVANCED_FACE('',(#32205),#15851,.T.); #341631=ADVANCED_FACE('',(#32206),#15852,.T.); #341632=ADVANCED_FACE('',(#32207),#15853,.T.); #341633=ADVANCED_FACE('',(#32208),#15854,.T.); #341634=ADVANCED_FACE('',(#32209),#15855,.T.); #341635=ADVANCED_FACE('',(#32210),#329589,.T.); #341636=ADVANCED_FACE('',(#32211),#329590,.T.); #341637=ADVANCED_FACE('',(#32212,#5880,#5881),#15856,.T.); #341638=ADVANCED_FACE('',(#32213,#5882,#5883),#15857,.F.); #341639=ADVANCED_FACE('',(#32214),#329591,.F.); #341640=ADVANCED_FACE('',(#32215),#329592,.F.); #341641=ADVANCED_FACE('',(#32216),#15858,.T.); #341642=ADVANCED_FACE('',(#32217),#329593,.T.); #341643=ADVANCED_FACE('',(#32218),#15859,.T.); #341644=ADVANCED_FACE('',(#32219),#329594,.T.); #341645=ADVANCED_FACE('',(#32220),#329595,.T.); #341646=ADVANCED_FACE('',(#32221),#15860,.T.); #341647=ADVANCED_FACE('',(#32222),#15861,.T.); #341648=ADVANCED_FACE('',(#32223),#329596,.T.); #341649=ADVANCED_FACE('',(#32224,#5884,#5885),#15862,.T.); #341650=ADVANCED_FACE('',(#32225,#5886,#5887),#15863,.F.); #341651=ADVANCED_FACE('',(#32226),#329597,.F.); #341652=ADVANCED_FACE('',(#32227),#15864,.T.); #341653=ADVANCED_FACE('',(#32228),#15865,.T.); #341654=ADVANCED_FACE('',(#32229),#15866,.T.); #341655=ADVANCED_FACE('',(#32230),#15867,.T.); #341656=ADVANCED_FACE('',(#32231,#5888),#15868,.T.); #341657=ADVANCED_FACE('',(#32232,#5889),#15869,.F.); #341658=ADVANCED_FACE('',(#32233),#329598,.F.); #341659=ADVANCED_FACE('',(#32234),#329599,.F.); #341660=ADVANCED_FACE('',(#32235),#329600,.F.); #341661=ADVANCED_FACE('',(#32236),#329601,.F.); #341662=ADVANCED_FACE('',(#32237),#15870,.T.); #341663=ADVANCED_FACE('',(#32238),#15871,.T.); #341664=ADVANCED_FACE('',(#32239),#15872,.T.); #341665=ADVANCED_FACE('',(#32240),#15873,.T.); #341666=ADVANCED_FACE('',(#32241),#15874,.T.); #341667=ADVANCED_FACE('',(#32242),#15875,.T.); #341668=ADVANCED_FACE('',(#32243),#15876,.T.); #341669=ADVANCED_FACE('',(#32244),#15877,.T.); #341670=ADVANCED_FACE('',(#32245),#15878,.T.); #341671=ADVANCED_FACE('',(#32246),#15879,.T.); #341672=ADVANCED_FACE('',(#32247),#15880,.T.); #341673=ADVANCED_FACE('',(#32248),#15881,.T.); #341674=ADVANCED_FACE('',(#32249),#15882,.T.); #341675=ADVANCED_FACE('',(#32250),#15883,.T.); #341676=ADVANCED_FACE('',(#32251),#15884,.T.); #341677=ADVANCED_FACE('',(#32252),#15885,.T.); #341678=ADVANCED_FACE('',(#32253),#15886,.T.); #341679=ADVANCED_FACE('',(#32254),#15887,.T.); #341680=ADVANCED_FACE('',(#32255),#15888,.T.); #341681=ADVANCED_FACE('',(#32256),#15889,.T.); #341682=ADVANCED_FACE('',(#32257),#15890,.T.); #341683=ADVANCED_FACE('',(#32258),#15891,.T.); #341684=ADVANCED_FACE('',(#32259),#15892,.T.); #341685=ADVANCED_FACE('',(#32260),#15893,.T.); #341686=ADVANCED_FACE('',(#32261),#15894,.T.); #341687=ADVANCED_FACE('',(#32262),#15895,.T.); #341688=ADVANCED_FACE('',(#32263),#15896,.T.); #341689=ADVANCED_FACE('',(#32264),#15897,.T.); #341690=ADVANCED_FACE('',(#32265),#15898,.T.); #341691=ADVANCED_FACE('',(#32266),#15899,.T.); #341692=ADVANCED_FACE('',(#32267),#15900,.T.); #341693=ADVANCED_FACE('',(#32268),#15901,.T.); #341694=ADVANCED_FACE('',(#32269),#15902,.T.); #341695=ADVANCED_FACE('',(#32270),#15903,.T.); #341696=ADVANCED_FACE('',(#32271),#15904,.T.); #341697=ADVANCED_FACE('',(#32272),#15905,.T.); #341698=ADVANCED_FACE('',(#32273),#15906,.T.); #341699=ADVANCED_FACE('',(#32274),#15907,.T.); #341700=ADVANCED_FACE('',(#32275),#15908,.T.); #341701=ADVANCED_FACE('',(#32276),#15909,.T.); #341702=ADVANCED_FACE('',(#32277),#15910,.T.); #341703=ADVANCED_FACE('',(#32278),#15911,.T.); #341704=ADVANCED_FACE('',(#32279),#15912,.T.); #341705=ADVANCED_FACE('',(#32280),#15913,.T.); #341706=ADVANCED_FACE('',(#32281),#15914,.T.); #341707=ADVANCED_FACE('',(#32282),#15915,.T.); #341708=ADVANCED_FACE('',(#32283),#15916,.T.); #341709=ADVANCED_FACE('',(#32284),#15917,.T.); #341710=ADVANCED_FACE('',(#32285),#15918,.T.); #341711=ADVANCED_FACE('',(#32286),#15919,.T.); #341712=ADVANCED_FACE('',(#32287),#15920,.T.); #341713=ADVANCED_FACE('',(#32288),#15921,.T.); #341714=ADVANCED_FACE('',(#32289),#15922,.T.); #341715=ADVANCED_FACE('',(#32290),#15923,.T.); #341716=ADVANCED_FACE('',(#32291),#15924,.T.); #341717=ADVANCED_FACE('',(#32292),#15925,.T.); #341718=ADVANCED_FACE('',(#32293),#15926,.T.); #341719=ADVANCED_FACE('',(#32294),#15927,.T.); #341720=ADVANCED_FACE('',(#32295),#15928,.T.); #341721=ADVANCED_FACE('',(#32296),#15929,.T.); #341722=ADVANCED_FACE('',(#32297),#15930,.T.); #341723=ADVANCED_FACE('',(#32298),#15931,.T.); #341724=ADVANCED_FACE('',(#32299),#15932,.T.); #341725=ADVANCED_FACE('',(#32300),#15933,.T.); #341726=ADVANCED_FACE('',(#32301),#15934,.T.); #341727=ADVANCED_FACE('',(#32302),#15935,.T.); #341728=ADVANCED_FACE('',(#32303),#15936,.T.); #341729=ADVANCED_FACE('',(#32304),#15937,.T.); #341730=ADVANCED_FACE('',(#32305),#15938,.T.); #341731=ADVANCED_FACE('',(#32306),#15939,.T.); #341732=ADVANCED_FACE('',(#32307),#329602,.T.); #341733=ADVANCED_FACE('',(#32308),#15940,.T.); #341734=ADVANCED_FACE('',(#32309),#15941,.T.); #341735=ADVANCED_FACE('',(#32310),#15942,.T.); #341736=ADVANCED_FACE('',(#32311),#329603,.T.); #341737=ADVANCED_FACE('',(#32312),#329604,.T.); #341738=ADVANCED_FACE('',(#32313),#15943,.T.); #341739=ADVANCED_FACE('',(#32314),#329605,.T.); #341740=ADVANCED_FACE('',(#32315),#15944,.T.); #341741=ADVANCED_FACE('',(#32316),#329606,.T.); #341742=ADVANCED_FACE('',(#32317),#15945,.T.); #341743=ADVANCED_FACE('',(#32318),#329607,.T.); #341744=ADVANCED_FACE('',(#32319),#15946,.T.); #341745=ADVANCED_FACE('',(#32320),#15947,.T.); #341746=ADVANCED_FACE('',(#32321),#15948,.T.); #341747=ADVANCED_FACE('',(#32322),#15949,.T.); #341748=ADVANCED_FACE('',(#32323),#15950,.T.); #341749=ADVANCED_FACE('',(#32324),#15951,.T.); #341750=ADVANCED_FACE('',(#32325),#15952,.T.); #341751=ADVANCED_FACE('',(#32326),#15953,.T.); #341752=ADVANCED_FACE('',(#32327,#5890,#5891,#5892,#5893,#5894),#15954, .T.); #341753=ADVANCED_FACE('',(#32328,#5895,#5896,#5897,#5898,#5899),#15955, .F.); #341754=ADVANCED_FACE('',(#32329),#329608,.F.); #341755=ADVANCED_FACE('',(#32330),#15956,.T.); #341756=ADVANCED_FACE('',(#32331),#15957,.T.); #341757=ADVANCED_FACE('',(#32332),#329609,.T.); #341758=ADVANCED_FACE('',(#32333),#15958,.T.); #341759=ADVANCED_FACE('',(#32334),#15959,.T.); #341760=ADVANCED_FACE('',(#32335),#15960,.T.); #341761=ADVANCED_FACE('',(#32336),#15961,.T.); #341762=ADVANCED_FACE('',(#32337),#15962,.T.); #341763=ADVANCED_FACE('',(#32338),#15963,.T.); #341764=ADVANCED_FACE('',(#32339),#15964,.T.); #341765=ADVANCED_FACE('',(#32340),#15965,.T.); #341766=ADVANCED_FACE('',(#32341),#15966,.T.); #341767=ADVANCED_FACE('',(#32342),#15967,.T.); #341768=ADVANCED_FACE('',(#32343),#15968,.T.); #341769=ADVANCED_FACE('',(#32344),#15969,.T.); #341770=ADVANCED_FACE('',(#32345),#15970,.T.); #341771=ADVANCED_FACE('',(#32346),#15971,.T.); #341772=ADVANCED_FACE('',(#32347),#15972,.T.); #341773=ADVANCED_FACE('',(#32348),#15973,.T.); #341774=ADVANCED_FACE('',(#32349),#15974,.T.); #341775=ADVANCED_FACE('',(#32350),#15975,.T.); #341776=ADVANCED_FACE('',(#32351),#15976,.T.); #341777=ADVANCED_FACE('',(#32352),#15977,.T.); #341778=ADVANCED_FACE('',(#32353),#329610,.T.); #341779=ADVANCED_FACE('',(#32354),#15978,.T.); #341780=ADVANCED_FACE('',(#32355),#15979,.T.); #341781=ADVANCED_FACE('',(#32356),#15980,.T.); #341782=ADVANCED_FACE('',(#32357),#329611,.T.); #341783=ADVANCED_FACE('',(#32358),#15981,.T.); #341784=ADVANCED_FACE('',(#32359),#15982,.T.); #341785=ADVANCED_FACE('',(#32360),#329612,.T.); #341786=ADVANCED_FACE('',(#32361),#15983,.T.); #341787=ADVANCED_FACE('',(#32362),#329613,.T.); #341788=ADVANCED_FACE('',(#32363),#329614,.T.); #341789=ADVANCED_FACE('',(#32364),#15984,.T.); #341790=ADVANCED_FACE('',(#32365),#15985,.T.); #341791=ADVANCED_FACE('',(#32366),#329615,.T.); #341792=ADVANCED_FACE('',(#32367),#15986,.T.); #341793=ADVANCED_FACE('',(#32368),#15987,.T.); #341794=ADVANCED_FACE('',(#32369),#329616,.T.); #341795=ADVANCED_FACE('',(#32370),#15988,.T.); #341796=ADVANCED_FACE('',(#32371),#329617,.T.); #341797=ADVANCED_FACE('',(#32372),#15989,.T.); #341798=ADVANCED_FACE('',(#32373),#15990,.T.); #341799=ADVANCED_FACE('',(#32374),#15991,.T.); #341800=ADVANCED_FACE('',(#32375),#15992,.T.); #341801=ADVANCED_FACE('',(#32376),#15993,.T.); #341802=ADVANCED_FACE('',(#32377),#329618,.T.); #341803=ADVANCED_FACE('',(#32378,#5900),#15994,.T.); #341804=ADVANCED_FACE('',(#32379,#5901),#15995,.F.); #341805=ADVANCED_FACE('',(#32380),#329619,.F.); #341806=ADVANCED_FACE('',(#32381),#329620,.F.); #341807=ADVANCED_FACE('',(#32382),#329621,.F.); #341808=ADVANCED_FACE('',(#32383),#329622,.F.); #341809=ADVANCED_FACE('',(#32384),#329623,.F.); #341810=ADVANCED_FACE('',(#32385),#329624,.F.); #341811=ADVANCED_FACE('',(#32386),#329625,.F.); #341812=ADVANCED_FACE('',(#32387),#329626,.F.); #341813=ADVANCED_FACE('',(#32388),#329627,.F.); #341814=ADVANCED_FACE('',(#32389),#329628,.F.); #341815=ADVANCED_FACE('',(#32390),#329629,.F.); #341816=ADVANCED_FACE('',(#32391),#329630,.F.); #341817=ADVANCED_FACE('',(#32392),#15996,.T.); #341818=ADVANCED_FACE('',(#32393),#15997,.T.); #341819=ADVANCED_FACE('',(#32394),#15998,.T.); #341820=ADVANCED_FACE('',(#32395),#15999,.T.); #341821=ADVANCED_FACE('',(#32396),#16000,.T.); #341822=ADVANCED_FACE('',(#32397),#16001,.T.); #341823=ADVANCED_FACE('',(#32398),#16002,.T.); #341824=ADVANCED_FACE('',(#32399),#16003,.T.); #341825=ADVANCED_FACE('',(#32400),#16004,.T.); #341826=ADVANCED_FACE('',(#32401),#16005,.T.); #341827=ADVANCED_FACE('',(#32402),#16006,.T.); #341828=ADVANCED_FACE('',(#32403),#16007,.T.); #341829=ADVANCED_FACE('',(#32404),#16008,.T.); #341830=ADVANCED_FACE('',(#32405),#16009,.T.); #341831=ADVANCED_FACE('',(#32406),#16010,.T.); #341832=ADVANCED_FACE('',(#32407),#16011,.T.); #341833=ADVANCED_FACE('',(#32408),#16012,.T.); #341834=ADVANCED_FACE('',(#32409),#16013,.T.); #341835=ADVANCED_FACE('',(#32410),#16014,.T.); #341836=ADVANCED_FACE('',(#32411),#16015,.T.); #341837=ADVANCED_FACE('',(#32412),#16016,.T.); #341838=ADVANCED_FACE('',(#32413),#16017,.T.); #341839=ADVANCED_FACE('',(#32414),#16018,.T.); #341840=ADVANCED_FACE('',(#32415),#16019,.T.); #341841=ADVANCED_FACE('',(#32416),#16020,.T.); #341842=ADVANCED_FACE('',(#32417),#16021,.T.); #341843=ADVANCED_FACE('',(#32418),#16022,.T.); #341844=ADVANCED_FACE('',(#32419),#16023,.T.); #341845=ADVANCED_FACE('',(#32420),#16024,.T.); #341846=ADVANCED_FACE('',(#32421),#16025,.T.); #341847=ADVANCED_FACE('',(#32422),#16026,.T.); #341848=ADVANCED_FACE('',(#32423),#16027,.T.); #341849=ADVANCED_FACE('',(#32424),#16028,.T.); #341850=ADVANCED_FACE('',(#32425),#16029,.T.); #341851=ADVANCED_FACE('',(#32426),#16030,.T.); #341852=ADVANCED_FACE('',(#32427),#16031,.T.); #341853=ADVANCED_FACE('',(#32428),#16032,.T.); #341854=ADVANCED_FACE('',(#32429),#16033,.T.); #341855=ADVANCED_FACE('',(#32430),#16034,.T.); #341856=ADVANCED_FACE('',(#32431),#16035,.T.); #341857=ADVANCED_FACE('',(#32432),#16036,.T.); #341858=ADVANCED_FACE('',(#32433),#16037,.T.); #341859=ADVANCED_FACE('',(#32434),#16038,.T.); #341860=ADVANCED_FACE('',(#32435),#16039,.T.); #341861=ADVANCED_FACE('',(#32436),#16040,.T.); #341862=ADVANCED_FACE('',(#32437),#16041,.T.); #341863=ADVANCED_FACE('',(#32438),#16042,.T.); #341864=ADVANCED_FACE('',(#32439),#16043,.T.); #341865=ADVANCED_FACE('',(#32440),#16044,.T.); #341866=ADVANCED_FACE('',(#32441),#16045,.T.); #341867=ADVANCED_FACE('',(#32442),#16046,.T.); #341868=ADVANCED_FACE('',(#32443),#16047,.T.); #341869=ADVANCED_FACE('',(#32444),#16048,.T.); #341870=ADVANCED_FACE('',(#32445),#16049,.T.); #341871=ADVANCED_FACE('',(#32446),#16050,.T.); #341872=ADVANCED_FACE('',(#32447),#16051,.T.); #341873=ADVANCED_FACE('',(#32448),#16052,.T.); #341874=ADVANCED_FACE('',(#32449),#16053,.T.); #341875=ADVANCED_FACE('',(#32450),#16054,.T.); #341876=ADVANCED_FACE('',(#32451),#16055,.T.); #341877=ADVANCED_FACE('',(#32452),#16056,.T.); #341878=ADVANCED_FACE('',(#32453),#16057,.T.); #341879=ADVANCED_FACE('',(#32454),#16058,.T.); #341880=ADVANCED_FACE('',(#32455),#16059,.T.); #341881=ADVANCED_FACE('',(#32456),#16060,.T.); #341882=ADVANCED_FACE('',(#32457),#16061,.T.); #341883=ADVANCED_FACE('',(#32458),#16062,.T.); #341884=ADVANCED_FACE('',(#32459),#16063,.T.); #341885=ADVANCED_FACE('',(#32460),#16064,.T.); #341886=ADVANCED_FACE('',(#32461),#16065,.T.); #341887=ADVANCED_FACE('',(#32462),#16066,.T.); #341888=ADVANCED_FACE('',(#32463),#16067,.T.); #341889=ADVANCED_FACE('',(#32464),#16068,.T.); #341890=ADVANCED_FACE('',(#32465),#16069,.T.); #341891=ADVANCED_FACE('',(#32466),#16070,.T.); #341892=ADVANCED_FACE('',(#32467),#16071,.T.); #341893=ADVANCED_FACE('',(#32468),#16072,.T.); #341894=ADVANCED_FACE('',(#32469),#16073,.T.); #341895=ADVANCED_FACE('',(#32470),#16074,.T.); #341896=ADVANCED_FACE('',(#32471),#16075,.T.); #341897=ADVANCED_FACE('',(#32472),#16076,.T.); #341898=ADVANCED_FACE('',(#32473),#16077,.T.); #341899=ADVANCED_FACE('',(#32474),#16078,.T.); #341900=ADVANCED_FACE('',(#32475),#16079,.T.); #341901=ADVANCED_FACE('',(#32476),#16080,.T.); #341902=ADVANCED_FACE('',(#32477),#16081,.T.); #341903=ADVANCED_FACE('',(#32478),#16082,.T.); #341904=ADVANCED_FACE('',(#32479),#16083,.T.); #341905=ADVANCED_FACE('',(#32480),#16084,.T.); #341906=ADVANCED_FACE('',(#32481),#16085,.T.); #341907=ADVANCED_FACE('',(#32482),#16086,.T.); #341908=ADVANCED_FACE('',(#32483),#16087,.T.); #341909=ADVANCED_FACE('',(#32484),#16088,.T.); #341910=ADVANCED_FACE('',(#32485),#16089,.T.); #341911=ADVANCED_FACE('',(#32486),#16090,.T.); #341912=ADVANCED_FACE('',(#32487),#16091,.T.); #341913=ADVANCED_FACE('',(#32488),#16092,.T.); #341914=ADVANCED_FACE('',(#32489,#5902,#5903,#5904,#5905,#5906,#5907,#5908, #5909,#5910,#5911,#5912,#5913),#16093,.T.); #341915=ADVANCED_FACE('',(#32490,#5914,#5915,#5916,#5917,#5918,#5919,#5920, #5921,#5922,#5923,#5924,#5925),#16094,.F.); #341916=ADVANCED_FACE('',(#32491),#16095,.T.); #341917=ADVANCED_FACE('',(#32492),#16096,.T.); #341918=ADVANCED_FACE('',(#32493),#16097,.T.); #341919=ADVANCED_FACE('',(#32494),#16098,.T.); #341920=ADVANCED_FACE('',(#32495),#16099,.T.); #341921=ADVANCED_FACE('',(#32496),#16100,.T.); #341922=ADVANCED_FACE('',(#32497),#16101,.T.); #341923=ADVANCED_FACE('',(#32498),#16102,.T.); #341924=ADVANCED_FACE('',(#32499),#16103,.T.); #341925=ADVANCED_FACE('',(#32500),#16104,.T.); #341926=ADVANCED_FACE('',(#32501),#16105,.T.); #341927=ADVANCED_FACE('',(#32502),#16106,.T.); #341928=ADVANCED_FACE('',(#32503),#16107,.T.); #341929=ADVANCED_FACE('',(#32504),#16108,.T.); #341930=ADVANCED_FACE('',(#32505),#16109,.T.); #341931=ADVANCED_FACE('',(#32506),#16110,.T.); #341932=ADVANCED_FACE('',(#32507),#16111,.T.); #341933=ADVANCED_FACE('',(#32508),#16112,.T.); #341934=ADVANCED_FACE('',(#32509),#16113,.T.); #341935=ADVANCED_FACE('',(#32510),#16114,.T.); #341936=ADVANCED_FACE('',(#32511),#16115,.T.); #341937=ADVANCED_FACE('',(#32512),#16116,.T.); #341938=ADVANCED_FACE('',(#32513),#16117,.F.); #341939=ADVANCED_FACE('',(#32514),#16118,.T.); #341940=ADVANCED_FACE('',(#32515),#16119,.T.); #341941=ADVANCED_FACE('',(#32516),#16120,.T.); #341942=ADVANCED_FACE('',(#32517),#16121,.T.); #341943=ADVANCED_FACE('',(#32518),#16122,.T.); #341944=ADVANCED_FACE('',(#32519),#16123,.T.); #341945=ADVANCED_FACE('',(#32520),#16124,.T.); #341946=ADVANCED_FACE('',(#32521),#16125,.T.); #341947=ADVANCED_FACE('',(#32522),#16126,.T.); #341948=ADVANCED_FACE('',(#32523),#16127,.T.); #341949=ADVANCED_FACE('',(#32524),#16128,.T.); #341950=ADVANCED_FACE('',(#32525),#16129,.T.); #341951=ADVANCED_FACE('',(#32526),#16130,.T.); #341952=ADVANCED_FACE('',(#32527),#16131,.T.); #341953=ADVANCED_FACE('',(#32528),#16132,.T.); #341954=ADVANCED_FACE('',(#32529),#16133,.T.); #341955=ADVANCED_FACE('',(#32530),#16134,.T.); #341956=ADVANCED_FACE('',(#32531),#16135,.T.); #341957=ADVANCED_FACE('',(#32532),#16136,.T.); #341958=ADVANCED_FACE('',(#32533),#16137,.T.); #341959=ADVANCED_FACE('',(#32534),#16138,.T.); #341960=ADVANCED_FACE('',(#32535),#16139,.F.); #341961=ADVANCED_FACE('',(#32536),#16140,.T.); #341962=ADVANCED_FACE('',(#32537),#16141,.T.); #341963=ADVANCED_FACE('',(#32538),#16142,.T.); #341964=ADVANCED_FACE('',(#32539),#16143,.T.); #341965=ADVANCED_FACE('',(#32540),#16144,.T.); #341966=ADVANCED_FACE('',(#32541),#16145,.T.); #341967=ADVANCED_FACE('',(#32542),#16146,.T.); #341968=ADVANCED_FACE('',(#32543),#16147,.T.); #341969=ADVANCED_FACE('',(#32544),#16148,.T.); #341970=ADVANCED_FACE('',(#32545),#16149,.T.); #341971=ADVANCED_FACE('',(#32546),#16150,.T.); #341972=ADVANCED_FACE('',(#32547),#16151,.T.); #341973=ADVANCED_FACE('',(#32548),#16152,.T.); #341974=ADVANCED_FACE('',(#32549),#16153,.T.); #341975=ADVANCED_FACE('',(#32550),#16154,.T.); #341976=ADVANCED_FACE('',(#32551),#16155,.T.); #341977=ADVANCED_FACE('',(#32552),#16156,.T.); #341978=ADVANCED_FACE('',(#32553),#16157,.T.); #341979=ADVANCED_FACE('',(#32554),#16158,.T.); #341980=ADVANCED_FACE('',(#32555),#16159,.T.); #341981=ADVANCED_FACE('',(#32556),#16160,.T.); #341982=ADVANCED_FACE('',(#32557),#16161,.T.); #341983=ADVANCED_FACE('',(#32558),#16162,.T.); #341984=ADVANCED_FACE('',(#32559),#16163,.T.); #341985=ADVANCED_FACE('',(#32560),#16164,.T.); #341986=ADVANCED_FACE('',(#32561),#16165,.F.); #341987=ADVANCED_FACE('',(#32562),#16166,.T.); #341988=ADVANCED_FACE('',(#32563),#16167,.T.); #341989=ADVANCED_FACE('',(#32564),#16168,.T.); #341990=ADVANCED_FACE('',(#32565),#16169,.T.); #341991=ADVANCED_FACE('',(#32566),#16170,.T.); #341992=ADVANCED_FACE('',(#32567),#16171,.T.); #341993=ADVANCED_FACE('',(#32568),#16172,.T.); #341994=ADVANCED_FACE('',(#32569),#16173,.T.); #341995=ADVANCED_FACE('',(#32570),#16174,.T.); #341996=ADVANCED_FACE('',(#32571),#16175,.T.); #341997=ADVANCED_FACE('',(#32572),#16176,.T.); #341998=ADVANCED_FACE('',(#32573),#16177,.T.); #341999=ADVANCED_FACE('',(#32574),#16178,.T.); #342000=ADVANCED_FACE('',(#32575),#16179,.T.); #342001=ADVANCED_FACE('',(#32576),#16180,.T.); #342002=ADVANCED_FACE('',(#32577),#16181,.T.); #342003=ADVANCED_FACE('',(#32578),#16182,.T.); #342004=ADVANCED_FACE('',(#32579),#16183,.T.); #342005=ADVANCED_FACE('',(#32580),#16184,.T.); #342006=ADVANCED_FACE('',(#32581),#16185,.T.); #342007=ADVANCED_FACE('',(#32582),#16186,.T.); #342008=ADVANCED_FACE('',(#32583),#16187,.T.); #342009=ADVANCED_FACE('',(#32584),#16188,.F.); #342010=ADVANCED_FACE('',(#32585),#16189,.T.); #342011=ADVANCED_FACE('',(#32586),#16190,.T.); #342012=ADVANCED_FACE('',(#32587),#16191,.T.); #342013=ADVANCED_FACE('',(#32588),#16192,.T.); #342014=ADVANCED_FACE('',(#32589),#16193,.T.); #342015=ADVANCED_FACE('',(#32590),#16194,.T.); #342016=ADVANCED_FACE('',(#32591),#16195,.T.); #342017=ADVANCED_FACE('',(#32592),#16196,.T.); #342018=ADVANCED_FACE('',(#32593),#16197,.T.); #342019=ADVANCED_FACE('',(#32594),#16198,.T.); #342020=ADVANCED_FACE('',(#32595),#16199,.T.); #342021=ADVANCED_FACE('',(#32596),#16200,.T.); #342022=ADVANCED_FACE('',(#32597),#16201,.T.); #342023=ADVANCED_FACE('',(#32598),#16202,.T.); #342024=ADVANCED_FACE('',(#32599),#16203,.T.); #342025=ADVANCED_FACE('',(#32600),#16204,.T.); #342026=ADVANCED_FACE('',(#32601),#16205,.T.); #342027=ADVANCED_FACE('',(#32602),#16206,.T.); #342028=ADVANCED_FACE('',(#32603),#16207,.T.); #342029=ADVANCED_FACE('',(#32604),#16208,.T.); #342030=ADVANCED_FACE('',(#32605),#16209,.T.); #342031=ADVANCED_FACE('',(#32606),#16210,.T.); #342032=ADVANCED_FACE('',(#32607),#16211,.T.); #342033=ADVANCED_FACE('',(#32608),#16212,.T.); #342034=ADVANCED_FACE('',(#32609),#16213,.T.); #342035=ADVANCED_FACE('',(#32610),#16214,.T.); #342036=ADVANCED_FACE('',(#32611),#16215,.T.); #342037=ADVANCED_FACE('',(#32612),#16216,.T.); #342038=ADVANCED_FACE('',(#32613),#16217,.T.); #342039=ADVANCED_FACE('',(#32614),#16218,.T.); #342040=ADVANCED_FACE('',(#32615),#16219,.T.); #342041=ADVANCED_FACE('',(#32616),#16220,.T.); #342042=ADVANCED_FACE('',(#32617),#16221,.T.); #342043=ADVANCED_FACE('',(#32618),#16222,.T.); #342044=ADVANCED_FACE('',(#32619),#16223,.T.); #342045=ADVANCED_FACE('',(#32620),#16224,.T.); #342046=ADVANCED_FACE('',(#32621),#16225,.F.); #342047=ADVANCED_FACE('',(#32622),#16226,.T.); #342048=ADVANCED_FACE('',(#32623),#16227,.T.); #342049=ADVANCED_FACE('',(#32624),#16228,.T.); #342050=ADVANCED_FACE('',(#32625),#16229,.T.); #342051=ADVANCED_FACE('',(#32626),#16230,.T.); #342052=ADVANCED_FACE('',(#32627),#16231,.T.); #342053=ADVANCED_FACE('',(#32628),#16232,.T.); #342054=ADVANCED_FACE('',(#32629),#16233,.T.); #342055=ADVANCED_FACE('',(#32630),#16234,.T.); #342056=ADVANCED_FACE('',(#32631),#16235,.T.); #342057=ADVANCED_FACE('',(#32632),#16236,.T.); #342058=ADVANCED_FACE('',(#32633),#16237,.T.); #342059=ADVANCED_FACE('',(#32634),#16238,.T.); #342060=ADVANCED_FACE('',(#32635),#16239,.T.); #342061=ADVANCED_FACE('',(#32636),#16240,.T.); #342062=ADVANCED_FACE('',(#32637),#16241,.T.); #342063=ADVANCED_FACE('',(#32638),#16242,.T.); #342064=ADVANCED_FACE('',(#32639),#16243,.T.); #342065=ADVANCED_FACE('',(#32640),#16244,.T.); #342066=ADVANCED_FACE('',(#32641),#16245,.T.); #342067=ADVANCED_FACE('',(#32642),#16246,.T.); #342068=ADVANCED_FACE('',(#32643),#16247,.T.); #342069=ADVANCED_FACE('',(#32644),#16248,.F.); #342070=ADVANCED_FACE('',(#32645),#16249,.T.); #342071=ADVANCED_FACE('',(#32646),#16250,.T.); #342072=ADVANCED_FACE('',(#32647),#16251,.T.); #342073=ADVANCED_FACE('',(#32648),#16252,.T.); #342074=ADVANCED_FACE('',(#32649),#16253,.T.); #342075=ADVANCED_FACE('',(#32650),#16254,.T.); #342076=ADVANCED_FACE('',(#32651),#16255,.T.); #342077=ADVANCED_FACE('',(#32652),#16256,.T.); #342078=ADVANCED_FACE('',(#32653),#16257,.T.); #342079=ADVANCED_FACE('',(#32654),#16258,.T.); #342080=ADVANCED_FACE('',(#32655),#16259,.T.); #342081=ADVANCED_FACE('',(#32656),#16260,.T.); #342082=ADVANCED_FACE('',(#32657),#16261,.T.); #342083=ADVANCED_FACE('',(#32658),#16262,.T.); #342084=ADVANCED_FACE('',(#32659),#16263,.T.); #342085=ADVANCED_FACE('',(#32660),#16264,.T.); #342086=ADVANCED_FACE('',(#32661),#16265,.T.); #342087=ADVANCED_FACE('',(#32662),#16266,.T.); #342088=ADVANCED_FACE('',(#32663),#16267,.T.); #342089=ADVANCED_FACE('',(#32664),#16268,.T.); #342090=ADVANCED_FACE('',(#32665),#16269,.T.); #342091=ADVANCED_FACE('',(#32666),#16270,.T.); #342092=ADVANCED_FACE('',(#32667),#16271,.T.); #342093=ADVANCED_FACE('',(#32668),#16272,.T.); #342094=ADVANCED_FACE('',(#32669),#16273,.T.); #342095=ADVANCED_FACE('',(#32670),#16274,.T.); #342096=ADVANCED_FACE('',(#32671),#16275,.T.); #342097=ADVANCED_FACE('',(#32672),#16276,.T.); #342098=ADVANCED_FACE('',(#32673),#16277,.T.); #342099=ADVANCED_FACE('',(#32674),#16278,.T.); #342100=ADVANCED_FACE('',(#32675),#16279,.T.); #342101=ADVANCED_FACE('',(#32676),#16280,.T.); #342102=ADVANCED_FACE('',(#32677),#16281,.F.); #342103=ADVANCED_FACE('',(#32678),#16282,.T.); #342104=ADVANCED_FACE('',(#32679),#16283,.T.); #342105=ADVANCED_FACE('',(#32680),#16284,.T.); #342106=ADVANCED_FACE('',(#32681),#16285,.T.); #342107=ADVANCED_FACE('',(#32682),#16286,.T.); #342108=ADVANCED_FACE('',(#32683),#16287,.T.); #342109=ADVANCED_FACE('',(#32684),#16288,.T.); #342110=ADVANCED_FACE('',(#32685),#16289,.T.); #342111=ADVANCED_FACE('',(#32686),#16290,.T.); #342112=ADVANCED_FACE('',(#32687),#16291,.T.); #342113=ADVANCED_FACE('',(#32688),#16292,.T.); #342114=ADVANCED_FACE('',(#32689),#16293,.T.); #342115=ADVANCED_FACE('',(#32690),#16294,.T.); #342116=ADVANCED_FACE('',(#32691),#16295,.T.); #342117=ADVANCED_FACE('',(#32692),#16296,.T.); #342118=ADVANCED_FACE('',(#32693),#16297,.T.); #342119=ADVANCED_FACE('',(#32694),#16298,.T.); #342120=ADVANCED_FACE('',(#32695),#16299,.T.); #342121=ADVANCED_FACE('',(#32696),#16300,.T.); #342122=ADVANCED_FACE('',(#32697),#16301,.T.); #342123=ADVANCED_FACE('',(#32698),#16302,.T.); #342124=ADVANCED_FACE('',(#32699),#16303,.T.); #342125=ADVANCED_FACE('',(#32700),#16304,.T.); #342126=ADVANCED_FACE('',(#32701),#16305,.F.); #342127=ADVANCED_FACE('',(#32702),#16306,.T.); #342128=ADVANCED_FACE('',(#32703),#16307,.T.); #342129=ADVANCED_FACE('',(#32704),#16308,.T.); #342130=ADVANCED_FACE('',(#32705),#16309,.T.); #342131=ADVANCED_FACE('',(#32706),#16310,.T.); #342132=ADVANCED_FACE('',(#32707),#16311,.T.); #342133=ADVANCED_FACE('',(#32708),#16312,.T.); #342134=ADVANCED_FACE('',(#32709),#16313,.T.); #342135=ADVANCED_FACE('',(#32710),#16314,.T.); #342136=ADVANCED_FACE('',(#32711),#16315,.T.); #342137=ADVANCED_FACE('',(#32712),#16316,.T.); #342138=ADVANCED_FACE('',(#32713),#16317,.T.); #342139=ADVANCED_FACE('',(#32714),#16318,.T.); #342140=ADVANCED_FACE('',(#32715),#16319,.T.); #342141=ADVANCED_FACE('',(#32716),#16320,.T.); #342142=ADVANCED_FACE('',(#32717),#16321,.T.); #342143=ADVANCED_FACE('',(#32718),#16322,.T.); #342144=ADVANCED_FACE('',(#32719),#16323,.T.); #342145=ADVANCED_FACE('',(#32720),#16324,.T.); #342146=ADVANCED_FACE('',(#32721),#16325,.T.); #342147=ADVANCED_FACE('',(#32722),#16326,.T.); #342148=ADVANCED_FACE('',(#32723),#16327,.F.); #342149=ADVANCED_FACE('',(#32724),#329631,.F.); #342150=ADVANCED_FACE('',(#32725),#329632,.F.); #342151=ADVANCED_FACE('',(#32726),#329633,.F.); #342152=ADVANCED_FACE('',(#32727),#329634,.F.); #342153=ADVANCED_FACE('',(#32728),#329635,.F.); #342154=ADVANCED_FACE('',(#32729),#329636,.F.); #342155=ADVANCED_FACE('',(#32730),#329637,.F.); #342156=ADVANCED_FACE('',(#32731),#329638,.F.); #342157=ADVANCED_FACE('',(#32732),#329639,.F.); #342158=ADVANCED_FACE('',(#32733),#329640,.F.); #342159=ADVANCED_FACE('',(#32734),#329641,.F.); #342160=ADVANCED_FACE('',(#32735),#329642,.F.); #342161=ADVANCED_FACE('',(#32736),#329643,.F.); #342162=ADVANCED_FACE('',(#32737),#329644,.F.); #342163=ADVANCED_FACE('',(#32738),#329645,.F.); #342164=ADVANCED_FACE('',(#32739),#329646,.F.); #342165=ADVANCED_FACE('',(#32740),#329647,.F.); #342166=ADVANCED_FACE('',(#32741),#329648,.F.); #342167=ADVANCED_FACE('',(#32742),#329649,.F.); #342168=ADVANCED_FACE('',(#32743),#329650,.F.); #342169=ADVANCED_FACE('',(#32744),#329651,.F.); #342170=ADVANCED_FACE('',(#32745),#329652,.F.); #342171=ADVANCED_FACE('',(#32746),#329653,.F.); #342172=ADVANCED_FACE('',(#32747),#329654,.F.); #342173=ADVANCED_FACE('',(#32748),#329655,.F.); #342174=ADVANCED_FACE('',(#32749),#329656,.F.); #342175=ADVANCED_FACE('',(#32750),#329657,.F.); #342176=ADVANCED_FACE('',(#32751),#329658,.F.); #342177=ADVANCED_FACE('',(#32752),#329659,.F.); #342178=ADVANCED_FACE('',(#32753),#329660,.F.); #342179=ADVANCED_FACE('',(#32754),#329661,.F.); #342180=ADVANCED_FACE('',(#32755),#329662,.F.); #342181=ADVANCED_FACE('',(#32756),#329663,.F.); #342182=ADVANCED_FACE('',(#32757),#329664,.F.); #342183=ADVANCED_FACE('',(#32758),#329665,.F.); #342184=ADVANCED_FACE('',(#32759),#329666,.F.); #342185=ADVANCED_FACE('',(#32760),#329667,.F.); #342186=ADVANCED_FACE('',(#32761),#329668,.F.); #342187=ADVANCED_FACE('',(#32762),#329669,.F.); #342188=ADVANCED_FACE('',(#32763),#329670,.F.); #342189=ADVANCED_FACE('',(#32764),#329671,.F.); #342190=ADVANCED_FACE('',(#32765),#329672,.F.); #342191=ADVANCED_FACE('',(#32766),#329673,.F.); #342192=ADVANCED_FACE('',(#32767),#329674,.F.); #342193=ADVANCED_FACE('',(#32768),#329675,.F.); #342194=ADVANCED_FACE('',(#32769),#329676,.F.); #342195=ADVANCED_FACE('',(#32770),#329677,.F.); #342196=ADVANCED_FACE('',(#32771),#329678,.F.); #342197=ADVANCED_FACE('',(#32772),#329679,.F.); #342198=ADVANCED_FACE('',(#32773),#329680,.F.); #342199=ADVANCED_FACE('',(#32774),#329681,.F.); #342200=ADVANCED_FACE('',(#32775),#329682,.F.); #342201=ADVANCED_FACE('',(#32776),#329683,.F.); #342202=ADVANCED_FACE('',(#32777),#329684,.F.); #342203=ADVANCED_FACE('',(#32778),#329685,.F.); #342204=ADVANCED_FACE('',(#32779),#329686,.F.); #342205=ADVANCED_FACE('',(#32780),#329687,.F.); #342206=ADVANCED_FACE('',(#32781),#329688,.F.); #342207=ADVANCED_FACE('',(#32782),#329689,.F.); #342208=ADVANCED_FACE('',(#32783),#329690,.F.); #342209=ADVANCED_FACE('',(#32784),#329691,.F.); #342210=ADVANCED_FACE('',(#32785),#329692,.F.); #342211=ADVANCED_FACE('',(#32786),#329693,.F.); #342212=ADVANCED_FACE('',(#32787),#329694,.F.); #342213=ADVANCED_FACE('',(#32788),#16328,.F.); #342214=ADVANCED_FACE('',(#32789),#329695,.F.); #342215=ADVANCED_FACE('',(#32790),#16329,.F.); #342216=ADVANCED_FACE('',(#32791),#329696,.F.); #342217=ADVANCED_FACE('',(#32792),#16330,.F.); #342218=ADVANCED_FACE('',(#32793),#329697,.F.); #342219=ADVANCED_FACE('',(#32794),#16331,.F.); #342220=ADVANCED_FACE('',(#32795),#329698,.F.); #342221=ADVANCED_FACE('',(#32796),#329699,.F.); #342222=ADVANCED_FACE('',(#32797),#329700,.F.); #342223=ADVANCED_FACE('',(#32798),#16332,.F.); #342224=ADVANCED_FACE('',(#32799),#329701,.F.); #342225=ADVANCED_FACE('',(#32800),#16333,.F.); #342226=ADVANCED_FACE('',(#32801),#329702,.F.); #342227=ADVANCED_FACE('',(#32802),#329703,.F.); #342228=ADVANCED_FACE('',(#32803),#329704,.F.); #342229=ADVANCED_FACE('',(#32804),#329705,.F.); #342230=ADVANCED_FACE('',(#32805),#329706,.F.); #342231=ADVANCED_FACE('',(#32806),#329707,.F.); #342232=ADVANCED_FACE('',(#32807),#16334,.F.); #342233=ADVANCED_FACE('',(#32808),#329708,.F.); #342234=ADVANCED_FACE('',(#32809),#16335,.F.); #342235=ADVANCED_FACE('',(#32810),#329709,.F.); #342236=ADVANCED_FACE('',(#32811),#329710,.F.); #342237=ADVANCED_FACE('',(#32812),#16336,.F.); #342238=ADVANCED_FACE('',(#32813),#329711,.F.); #342239=ADVANCED_FACE('',(#32814),#16337,.F.); #342240=ADVANCED_FACE('',(#32815),#329712,.F.); #342241=ADVANCED_FACE('',(#32816),#16338,.F.); #342242=ADVANCED_FACE('',(#32817),#329713,.F.); #342243=ADVANCED_FACE('',(#32818),#16339,.F.); #342244=ADVANCED_FACE('',(#32819),#329714,.F.); #342245=ADVANCED_FACE('',(#32820),#329715,.F.); #342246=ADVANCED_FACE('',(#32821),#329716,.F.); #342247=ADVANCED_FACE('',(#32822),#329717,.F.); #342248=ADVANCED_FACE('',(#32823),#329718,.F.); #342249=ADVANCED_FACE('',(#32824),#329719,.F.); #342250=ADVANCED_FACE('',(#32825),#329720,.F.); #342251=ADVANCED_FACE('',(#32826),#329721,.F.); #342252=ADVANCED_FACE('',(#32827),#329722,.F.); #342253=ADVANCED_FACE('',(#32828),#329723,.F.); #342254=ADVANCED_FACE('',(#32829),#329724,.F.); #342255=ADVANCED_FACE('',(#32830),#329725,.F.); #342256=ADVANCED_FACE('',(#32831),#329726,.F.); #342257=ADVANCED_FACE('',(#32832),#329727,.F.); #342258=ADVANCED_FACE('',(#32833),#329728,.F.); #342259=ADVANCED_FACE('',(#32834),#329729,.F.); #342260=ADVANCED_FACE('',(#32835),#329730,.F.); #342261=ADVANCED_FACE('',(#32836),#329731,.F.); #342262=ADVANCED_FACE('',(#32837),#329732,.F.); #342263=ADVANCED_FACE('',(#32838),#329733,.F.); #342264=ADVANCED_FACE('',(#32839),#329734,.F.); #342265=ADVANCED_FACE('',(#32840),#329735,.F.); #342266=ADVANCED_FACE('',(#32841),#329736,.F.); #342267=ADVANCED_FACE('',(#32842),#329737,.F.); #342268=ADVANCED_FACE('',(#32843),#329738,.F.); #342269=ADVANCED_FACE('',(#32844),#329739,.F.); #342270=ADVANCED_FACE('',(#32845),#329740,.F.); #342271=ADVANCED_FACE('',(#32846),#329741,.F.); #342272=ADVANCED_FACE('',(#32847),#329742,.F.); #342273=ADVANCED_FACE('',(#32848),#329743,.F.); #342274=ADVANCED_FACE('',(#32849),#329744,.F.); #342275=ADVANCED_FACE('',(#32850),#329745,.F.); #342276=ADVANCED_FACE('',(#32851),#329746,.F.); #342277=ADVANCED_FACE('',(#32852),#329747,.F.); #342278=ADVANCED_FACE('',(#32853),#329748,.F.); #342279=ADVANCED_FACE('',(#32854),#329749,.F.); #342280=ADVANCED_FACE('',(#32855),#329750,.F.); #342281=ADVANCED_FACE('',(#32856),#329751,.F.); #342282=ADVANCED_FACE('',(#32857),#329752,.F.); #342283=ADVANCED_FACE('',(#32858),#329753,.F.); #342284=ADVANCED_FACE('',(#32859),#329754,.F.); #342285=ADVANCED_FACE('',(#32860),#329755,.F.); #342286=ADVANCED_FACE('',(#32861),#329756,.F.); #342287=ADVANCED_FACE('',(#32862),#329757,.F.); #342288=ADVANCED_FACE('',(#32863),#329758,.F.); #342289=ADVANCED_FACE('',(#32864),#329759,.F.); #342290=ADVANCED_FACE('',(#32865),#329760,.F.); #342291=ADVANCED_FACE('',(#32866),#329761,.F.); #342292=ADVANCED_FACE('',(#32867),#329762,.F.); #342293=ADVANCED_FACE('',(#32868),#329763,.F.); #342294=ADVANCED_FACE('',(#32869),#329764,.F.); #342295=ADVANCED_FACE('',(#32870),#329765,.F.); #342296=ADVANCED_FACE('',(#32871),#329766,.F.); #342297=ADVANCED_FACE('',(#32872),#16340,.T.); #342298=ADVANCED_FACE('',(#32873),#16341,.T.); #342299=ADVANCED_FACE('',(#32874),#16342,.T.); #342300=ADVANCED_FACE('',(#32875),#16343,.T.); #342301=ADVANCED_FACE('',(#32876),#16344,.T.); #342302=ADVANCED_FACE('',(#32877),#16345,.T.); #342303=ADVANCED_FACE('',(#32878),#16346,.T.); #342304=ADVANCED_FACE('',(#32879),#16347,.T.); #342305=ADVANCED_FACE('',(#32880),#16348,.T.); #342306=ADVANCED_FACE('',(#32881),#16349,.T.); #342307=ADVANCED_FACE('',(#32882),#16350,.T.); #342308=ADVANCED_FACE('',(#32883),#16351,.T.); #342309=ADVANCED_FACE('',(#32884),#16352,.T.); #342310=ADVANCED_FACE('',(#32885),#16353,.T.); #342311=ADVANCED_FACE('',(#32886),#16354,.T.); #342312=ADVANCED_FACE('',(#32887),#16355,.T.); #342313=ADVANCED_FACE('',(#32888),#16356,.T.); #342314=ADVANCED_FACE('',(#32889),#16357,.T.); #342315=ADVANCED_FACE('',(#32890),#16358,.T.); #342316=ADVANCED_FACE('',(#32891),#16359,.T.); #342317=ADVANCED_FACE('',(#32892),#16360,.T.); #342318=ADVANCED_FACE('',(#32893),#16361,.T.); #342319=ADVANCED_FACE('',(#32894),#16362,.T.); #342320=ADVANCED_FACE('',(#32895),#16363,.T.); #342321=ADVANCED_FACE('',(#32896),#16364,.T.); #342322=ADVANCED_FACE('',(#32897),#16365,.T.); #342323=ADVANCED_FACE('',(#32898),#16366,.T.); #342324=ADVANCED_FACE('',(#32899),#16367,.T.); #342325=ADVANCED_FACE('',(#32900),#16368,.T.); #342326=ADVANCED_FACE('',(#32901),#16369,.T.); #342327=ADVANCED_FACE('',(#32902),#16370,.T.); #342328=ADVANCED_FACE('',(#32903),#16371,.T.); #342329=ADVANCED_FACE('',(#32904),#16372,.T.); #342330=ADVANCED_FACE('',(#32905),#16373,.T.); #342331=ADVANCED_FACE('',(#32906),#16374,.T.); #342332=ADVANCED_FACE('',(#32907),#16375,.T.); #342333=ADVANCED_FACE('',(#32908),#16376,.T.); #342334=ADVANCED_FACE('',(#32909),#16377,.T.); #342335=ADVANCED_FACE('',(#32910),#16378,.T.); #342336=ADVANCED_FACE('',(#32911),#16379,.T.); #342337=ADVANCED_FACE('',(#32912),#16380,.T.); #342338=ADVANCED_FACE('',(#32913),#16381,.T.); #342339=ADVANCED_FACE('',(#32914),#16382,.T.); #342340=ADVANCED_FACE('',(#32915),#16383,.T.); #342341=ADVANCED_FACE('',(#32916),#16384,.T.); #342342=ADVANCED_FACE('',(#32917),#16385,.T.); #342343=ADVANCED_FACE('',(#32918),#16386,.T.); #342344=ADVANCED_FACE('',(#32919),#16387,.T.); #342345=ADVANCED_FACE('',(#32920),#16388,.T.); #342346=ADVANCED_FACE('',(#32921),#16389,.T.); #342347=ADVANCED_FACE('',(#32922),#16390,.T.); #342348=ADVANCED_FACE('',(#32923),#16391,.T.); #342349=ADVANCED_FACE('',(#32924),#16392,.T.); #342350=ADVANCED_FACE('',(#32925),#16393,.T.); #342351=ADVANCED_FACE('',(#32926),#16394,.T.); #342352=ADVANCED_FACE('',(#32927),#16395,.T.); #342353=ADVANCED_FACE('',(#32928),#16396,.T.); #342354=ADVANCED_FACE('',(#32929),#16397,.T.); #342355=ADVANCED_FACE('',(#32930),#16398,.T.); #342356=ADVANCED_FACE('',(#32931),#16399,.T.); #342357=ADVANCED_FACE('',(#32932),#16400,.T.); #342358=ADVANCED_FACE('',(#32933),#16401,.T.); #342359=ADVANCED_FACE('',(#32934),#16402,.T.); #342360=ADVANCED_FACE('',(#32935),#16403,.T.); #342361=ADVANCED_FACE('',(#32936),#16404,.T.); #342362=ADVANCED_FACE('',(#32937),#16405,.T.); #342363=ADVANCED_FACE('',(#32938),#16406,.T.); #342364=ADVANCED_FACE('',(#32939),#16407,.T.); #342365=ADVANCED_FACE('',(#32940),#16408,.T.); #342366=ADVANCED_FACE('',(#32941),#16409,.T.); #342367=ADVANCED_FACE('',(#32942),#16410,.T.); #342368=ADVANCED_FACE('',(#32943),#16411,.T.); #342369=ADVANCED_FACE('',(#32944),#16412,.T.); #342370=ADVANCED_FACE('',(#32945),#16413,.T.); #342371=ADVANCED_FACE('',(#32946),#16414,.T.); #342372=ADVANCED_FACE('',(#32947),#16415,.T.); #342373=ADVANCED_FACE('',(#32948),#16416,.T.); #342374=ADVANCED_FACE('',(#32949),#16417,.T.); #342375=ADVANCED_FACE('',(#32950),#16418,.T.); #342376=ADVANCED_FACE('',(#32951),#16419,.T.); #342377=ADVANCED_FACE('',(#32952),#16420,.T.); #342378=ADVANCED_FACE('',(#32953),#16421,.T.); #342379=ADVANCED_FACE('',(#32954),#16422,.T.); #342380=ADVANCED_FACE('',(#32955),#16423,.T.); #342381=ADVANCED_FACE('',(#32956),#16424,.T.); #342382=ADVANCED_FACE('',(#32957),#16425,.T.); #342383=ADVANCED_FACE('',(#32958),#16426,.T.); #342384=ADVANCED_FACE('',(#32959),#16427,.T.); #342385=ADVANCED_FACE('',(#32960),#16428,.T.); #342386=ADVANCED_FACE('',(#32961),#16429,.T.); #342387=ADVANCED_FACE('',(#32962),#16430,.T.); #342388=ADVANCED_FACE('',(#32963),#16431,.T.); #342389=ADVANCED_FACE('',(#32964),#16432,.T.); #342390=ADVANCED_FACE('',(#32965),#16433,.T.); #342391=ADVANCED_FACE('',(#32966),#16434,.T.); #342392=ADVANCED_FACE('',(#32967),#16435,.T.); #342393=ADVANCED_FACE('',(#32968),#16436,.T.); #342394=ADVANCED_FACE('',(#32969),#16437,.T.); #342395=ADVANCED_FACE('',(#32970),#16438,.T.); #342396=ADVANCED_FACE('',(#32971),#16439,.T.); #342397=ADVANCED_FACE('',(#32972),#16440,.T.); #342398=ADVANCED_FACE('',(#32973),#16441,.T.); #342399=ADVANCED_FACE('',(#32974),#16442,.T.); #342400=ADVANCED_FACE('',(#32975),#16443,.T.); #342401=ADVANCED_FACE('',(#32976),#16444,.T.); #342402=ADVANCED_FACE('',(#32977),#16445,.T.); #342403=ADVANCED_FACE('',(#32978),#16446,.T.); #342404=ADVANCED_FACE('',(#32979),#16447,.T.); #342405=ADVANCED_FACE('',(#32980),#16448,.T.); #342406=ADVANCED_FACE('',(#32981),#16449,.T.); #342407=ADVANCED_FACE('',(#32982),#16450,.T.); #342408=ADVANCED_FACE('',(#32983),#16451,.T.); #342409=ADVANCED_FACE('',(#32984),#16452,.T.); #342410=ADVANCED_FACE('',(#32985),#16453,.T.); #342411=ADVANCED_FACE('',(#32986),#16454,.T.); #342412=ADVANCED_FACE('',(#32987),#16455,.T.); #342413=ADVANCED_FACE('',(#32988),#16456,.T.); #342414=ADVANCED_FACE('',(#32989),#16457,.T.); #342415=ADVANCED_FACE('',(#32990),#16458,.T.); #342416=ADVANCED_FACE('',(#32991),#16459,.T.); #342417=ADVANCED_FACE('',(#32992),#16460,.T.); #342418=ADVANCED_FACE('',(#32993),#16461,.T.); #342419=ADVANCED_FACE('',(#32994),#16462,.T.); #342420=ADVANCED_FACE('',(#32995),#16463,.T.); #342421=ADVANCED_FACE('',(#32996),#16464,.T.); #342422=ADVANCED_FACE('',(#32997),#16465,.T.); #342423=ADVANCED_FACE('',(#32998),#16466,.T.); #342424=ADVANCED_FACE('',(#32999),#16467,.T.); #342425=ADVANCED_FACE('',(#33000),#16468,.T.); #342426=ADVANCED_FACE('',(#33001),#16469,.T.); #342427=ADVANCED_FACE('',(#33002),#16470,.T.); #342428=ADVANCED_FACE('',(#33003),#16471,.T.); #342429=ADVANCED_FACE('',(#33004),#16472,.T.); #342430=ADVANCED_FACE('',(#33005),#16473,.T.); #342431=ADVANCED_FACE('',(#33006),#16474,.T.); #342432=ADVANCED_FACE('',(#33007),#16475,.T.); #342433=ADVANCED_FACE('',(#33008),#16476,.T.); #342434=ADVANCED_FACE('',(#33009),#16477,.T.); #342435=ADVANCED_FACE('',(#33010),#16478,.T.); #342436=ADVANCED_FACE('',(#33011),#16479,.T.); #342437=ADVANCED_FACE('',(#33012),#16480,.T.); #342438=ADVANCED_FACE('',(#33013),#16481,.T.); #342439=ADVANCED_FACE('',(#33014),#16482,.T.); #342440=ADVANCED_FACE('',(#33015),#16483,.T.); #342441=ADVANCED_FACE('',(#33016),#16484,.T.); #342442=ADVANCED_FACE('',(#33017),#16485,.T.); #342443=ADVANCED_FACE('',(#33018),#16486,.T.); #342444=ADVANCED_FACE('',(#33019),#16487,.T.); #342445=ADVANCED_FACE('',(#33020),#16488,.T.); #342446=ADVANCED_FACE('',(#33021),#16489,.T.); #342447=ADVANCED_FACE('',(#33022),#16490,.T.); #342448=ADVANCED_FACE('',(#33023),#16491,.T.); #342449=ADVANCED_FACE('',(#33024),#16492,.T.); #342450=ADVANCED_FACE('',(#33025),#16493,.T.); #342451=ADVANCED_FACE('',(#33026),#16494,.T.); #342452=ADVANCED_FACE('',(#33027),#16495,.T.); #342453=ADVANCED_FACE('',(#33028),#16496,.T.); #342454=ADVANCED_FACE('',(#33029),#16497,.T.); #342455=ADVANCED_FACE('',(#33030),#16498,.T.); #342456=ADVANCED_FACE('',(#33031),#16499,.T.); #342457=ADVANCED_FACE('',(#33032),#16500,.T.); #342458=ADVANCED_FACE('',(#33033),#16501,.T.); #342459=ADVANCED_FACE('',(#33034),#16502,.T.); #342460=ADVANCED_FACE('',(#33035),#16503,.T.); #342461=ADVANCED_FACE('',(#33036),#16504,.T.); #342462=ADVANCED_FACE('',(#33037),#16505,.T.); #342463=ADVANCED_FACE('',(#33038),#16506,.T.); #342464=ADVANCED_FACE('',(#33039),#16507,.T.); #342465=ADVANCED_FACE('',(#33040),#16508,.T.); #342466=ADVANCED_FACE('',(#33041),#16509,.T.); #342467=ADVANCED_FACE('',(#33042),#16510,.T.); #342468=ADVANCED_FACE('',(#33043),#16511,.T.); #342469=ADVANCED_FACE('',(#33044),#16512,.T.); #342470=ADVANCED_FACE('',(#33045),#16513,.T.); #342471=ADVANCED_FACE('',(#33046),#16514,.T.); #342472=ADVANCED_FACE('',(#33047),#16515,.T.); #342473=ADVANCED_FACE('',(#33048),#16516,.T.); #342474=ADVANCED_FACE('',(#33049),#16517,.T.); #342475=ADVANCED_FACE('',(#33050),#16518,.T.); #342476=ADVANCED_FACE('',(#33051),#16519,.T.); #342477=ADVANCED_FACE('',(#33052),#16520,.T.); #342478=ADVANCED_FACE('',(#33053),#16521,.T.); #342479=ADVANCED_FACE('',(#33054),#16522,.T.); #342480=ADVANCED_FACE('',(#33055),#16523,.T.); #342481=ADVANCED_FACE('',(#33056),#16524,.T.); #342482=ADVANCED_FACE('',(#33057),#16525,.T.); #342483=ADVANCED_FACE('',(#33058),#16526,.T.); #342484=ADVANCED_FACE('',(#33059),#16527,.T.); #342485=ADVANCED_FACE('',(#33060),#16528,.T.); #342486=ADVANCED_FACE('',(#33061),#16529,.T.); #342487=ADVANCED_FACE('',(#33062),#16530,.T.); #342488=ADVANCED_FACE('',(#33063),#16531,.T.); #342489=ADVANCED_FACE('',(#33064),#16532,.T.); #342490=ADVANCED_FACE('',(#33065),#16533,.T.); #342491=ADVANCED_FACE('',(#33066),#16534,.T.); #342492=ADVANCED_FACE('',(#33067),#16535,.T.); #342493=ADVANCED_FACE('',(#33068),#16536,.T.); #342494=ADVANCED_FACE('',(#33069),#16537,.T.); #342495=ADVANCED_FACE('',(#33070),#16538,.T.); #342496=ADVANCED_FACE('',(#33071),#16539,.T.); #342497=ADVANCED_FACE('',(#33072),#16540,.T.); #342498=ADVANCED_FACE('',(#33073),#16541,.T.); #342499=ADVANCED_FACE('',(#33074),#16542,.T.); #342500=ADVANCED_FACE('',(#33075),#16543,.T.); #342501=ADVANCED_FACE('',(#33076),#16544,.T.); #342502=ADVANCED_FACE('',(#33077),#16545,.T.); #342503=ADVANCED_FACE('',(#33078),#16546,.T.); #342504=ADVANCED_FACE('',(#33079),#16547,.T.); #342505=ADVANCED_FACE('',(#33080),#16548,.T.); #342506=ADVANCED_FACE('',(#33081),#16549,.T.); #342507=ADVANCED_FACE('',(#33082),#16550,.T.); #342508=ADVANCED_FACE('',(#33083),#16551,.T.); #342509=ADVANCED_FACE('',(#33084),#16552,.T.); #342510=ADVANCED_FACE('',(#33085),#16553,.T.); #342511=ADVANCED_FACE('',(#33086),#16554,.T.); #342512=ADVANCED_FACE('',(#33087),#16555,.T.); #342513=ADVANCED_FACE('',(#33088),#16556,.T.); #342514=ADVANCED_FACE('',(#33089),#16557,.T.); #342515=ADVANCED_FACE('',(#33090),#16558,.T.); #342516=ADVANCED_FACE('',(#33091),#16559,.T.); #342517=ADVANCED_FACE('',(#33092),#16560,.T.); #342518=ADVANCED_FACE('',(#33093),#16561,.T.); #342519=ADVANCED_FACE('',(#33094),#16562,.T.); #342520=ADVANCED_FACE('',(#33095),#16563,.T.); #342521=ADVANCED_FACE('',(#33096),#16564,.T.); #342522=ADVANCED_FACE('',(#33097),#16565,.T.); #342523=ADVANCED_FACE('',(#33098),#16566,.T.); #342524=ADVANCED_FACE('',(#33099),#16567,.T.); #342525=ADVANCED_FACE('',(#33100),#16568,.T.); #342526=ADVANCED_FACE('',(#33101),#16569,.T.); #342527=ADVANCED_FACE('',(#33102),#16570,.T.); #342528=ADVANCED_FACE('',(#33103),#16571,.T.); #342529=ADVANCED_FACE('',(#33104),#16572,.T.); #342530=ADVANCED_FACE('',(#33105),#16573,.T.); #342531=ADVANCED_FACE('',(#33106),#16574,.T.); #342532=ADVANCED_FACE('',(#33107),#16575,.T.); #342533=ADVANCED_FACE('',(#33108),#16576,.T.); #342534=ADVANCED_FACE('',(#33109),#16577,.T.); #342535=ADVANCED_FACE('',(#33110),#16578,.T.); #342536=ADVANCED_FACE('',(#33111),#16579,.T.); #342537=ADVANCED_FACE('',(#33112),#16580,.T.); #342538=ADVANCED_FACE('',(#33113),#16581,.T.); #342539=ADVANCED_FACE('',(#33114),#16582,.T.); #342540=ADVANCED_FACE('',(#33115),#16583,.T.); #342541=ADVANCED_FACE('',(#33116),#16584,.T.); #342542=ADVANCED_FACE('',(#33117),#16585,.T.); #342543=ADVANCED_FACE('',(#33118),#16586,.T.); #342544=ADVANCED_FACE('',(#33119),#16587,.T.); #342545=ADVANCED_FACE('',(#33120),#16588,.T.); #342546=ADVANCED_FACE('',(#33121),#16589,.T.); #342547=ADVANCED_FACE('',(#33122),#16590,.T.); #342548=ADVANCED_FACE('',(#33123),#16591,.T.); #342549=ADVANCED_FACE('',(#33124),#16592,.T.); #342550=ADVANCED_FACE('',(#33125),#16593,.T.); #342551=ADVANCED_FACE('',(#33126),#16594,.T.); #342552=ADVANCED_FACE('',(#33127),#16595,.T.); #342553=ADVANCED_FACE('',(#33128),#16596,.T.); #342554=ADVANCED_FACE('',(#33129),#16597,.T.); #342555=ADVANCED_FACE('',(#33130),#16598,.T.); #342556=ADVANCED_FACE('',(#33131),#16599,.T.); #342557=ADVANCED_FACE('',(#33132),#16600,.T.); #342558=ADVANCED_FACE('',(#33133),#16601,.T.); #342559=ADVANCED_FACE('',(#33134),#16602,.T.); #342560=ADVANCED_FACE('',(#33135),#16603,.T.); #342561=ADVANCED_FACE('',(#33136),#16604,.T.); #342562=ADVANCED_FACE('',(#33137),#16605,.T.); #342563=ADVANCED_FACE('',(#33138),#16606,.T.); #342564=ADVANCED_FACE('',(#33139),#16607,.T.); #342565=ADVANCED_FACE('',(#33140),#16608,.T.); #342566=ADVANCED_FACE('',(#33141),#16609,.T.); #342567=ADVANCED_FACE('',(#33142),#16610,.T.); #342568=ADVANCED_FACE('',(#33143),#16611,.T.); #342569=ADVANCED_FACE('',(#33144),#16612,.T.); #342570=ADVANCED_FACE('',(#33145),#16613,.T.); #342571=ADVANCED_FACE('',(#33146),#16614,.T.); #342572=ADVANCED_FACE('',(#33147),#16615,.T.); #342573=ADVANCED_FACE('',(#33148),#16616,.T.); #342574=ADVANCED_FACE('',(#33149),#16617,.T.); #342575=ADVANCED_FACE('',(#33150),#16618,.T.); #342576=ADVANCED_FACE('',(#33151),#16619,.T.); #342577=ADVANCED_FACE('',(#33152),#16620,.T.); #342578=ADVANCED_FACE('',(#33153),#16621,.T.); #342579=ADVANCED_FACE('',(#33154),#16622,.T.); #342580=ADVANCED_FACE('',(#33155),#16623,.T.); #342581=ADVANCED_FACE('',(#33156),#16624,.T.); #342582=ADVANCED_FACE('',(#33157),#16625,.T.); #342583=ADVANCED_FACE('',(#33158),#16626,.T.); #342584=ADVANCED_FACE('',(#33159),#16627,.T.); #342585=ADVANCED_FACE('',(#33160),#16628,.T.); #342586=ADVANCED_FACE('',(#33161),#16629,.T.); #342587=ADVANCED_FACE('',(#33162),#16630,.T.); #342588=ADVANCED_FACE('',(#33163),#16631,.T.); #342589=ADVANCED_FACE('',(#33164),#16632,.T.); #342590=ADVANCED_FACE('',(#33165),#16633,.T.); #342591=ADVANCED_FACE('',(#33166),#16634,.T.); #342592=ADVANCED_FACE('',(#33167),#16635,.T.); #342593=ADVANCED_FACE('',(#33168),#16636,.T.); #342594=ADVANCED_FACE('',(#33169),#16637,.T.); #342595=ADVANCED_FACE('',(#33170),#16638,.T.); #342596=ADVANCED_FACE('',(#33171),#16639,.T.); #342597=ADVANCED_FACE('',(#33172),#16640,.T.); #342598=ADVANCED_FACE('',(#33173),#16641,.T.); #342599=ADVANCED_FACE('',(#33174),#16642,.T.); #342600=ADVANCED_FACE('',(#33175),#16643,.T.); #342601=ADVANCED_FACE('',(#33176),#16644,.T.); #342602=ADVANCED_FACE('',(#33177),#16645,.T.); #342603=ADVANCED_FACE('',(#33178),#16646,.T.); #342604=ADVANCED_FACE('',(#33179),#16647,.T.); #342605=ADVANCED_FACE('',(#33180),#16648,.T.); #342606=ADVANCED_FACE('',(#33181),#16649,.T.); #342607=ADVANCED_FACE('',(#33182),#16650,.T.); #342608=ADVANCED_FACE('',(#33183),#16651,.T.); #342609=ADVANCED_FACE('',(#33184),#16652,.T.); #342610=ADVANCED_FACE('',(#33185),#16653,.T.); #342611=ADVANCED_FACE('',(#33186),#16654,.T.); #342612=ADVANCED_FACE('',(#33187),#16655,.T.); #342613=ADVANCED_FACE('',(#33188),#16656,.T.); #342614=ADVANCED_FACE('',(#33189),#16657,.T.); #342615=ADVANCED_FACE('',(#33190),#16658,.T.); #342616=ADVANCED_FACE('',(#33191),#16659,.T.); #342617=ADVANCED_FACE('',(#33192),#16660,.T.); #342618=ADVANCED_FACE('',(#33193),#16661,.T.); #342619=ADVANCED_FACE('',(#33194),#16662,.T.); #342620=ADVANCED_FACE('',(#33195),#16663,.T.); #342621=ADVANCED_FACE('',(#33196),#16664,.T.); #342622=ADVANCED_FACE('',(#33197),#16665,.T.); #342623=ADVANCED_FACE('',(#33198),#16666,.T.); #342624=ADVANCED_FACE('',(#33199),#16667,.T.); #342625=ADVANCED_FACE('',(#33200),#16668,.T.); #342626=ADVANCED_FACE('',(#33201),#16669,.T.); #342627=ADVANCED_FACE('',(#33202),#16670,.T.); #342628=ADVANCED_FACE('',(#33203),#16671,.T.); #342629=ADVANCED_FACE('',(#33204),#16672,.T.); #342630=ADVANCED_FACE('',(#33205),#16673,.T.); #342631=ADVANCED_FACE('',(#33206),#16674,.T.); #342632=ADVANCED_FACE('',(#33207),#16675,.T.); #342633=ADVANCED_FACE('',(#33208),#16676,.T.); #342634=ADVANCED_FACE('',(#33209),#16677,.T.); #342635=ADVANCED_FACE('',(#33210),#16678,.T.); #342636=ADVANCED_FACE('',(#33211),#16679,.T.); #342637=ADVANCED_FACE('',(#33212),#16680,.T.); #342638=ADVANCED_FACE('',(#33213),#16681,.T.); #342639=ADVANCED_FACE('',(#33214),#16682,.T.); #342640=ADVANCED_FACE('',(#33215),#16683,.T.); #342641=ADVANCED_FACE('',(#33216),#16684,.T.); #342642=ADVANCED_FACE('',(#33217),#16685,.T.); #342643=ADVANCED_FACE('',(#33218),#16686,.T.); #342644=ADVANCED_FACE('',(#33219),#16687,.T.); #342645=ADVANCED_FACE('',(#33220),#16688,.T.); #342646=ADVANCED_FACE('',(#33221),#16689,.T.); #342647=ADVANCED_FACE('',(#33222),#16690,.T.); #342648=ADVANCED_FACE('',(#33223),#16691,.T.); #342649=ADVANCED_FACE('',(#33224),#16692,.T.); #342650=ADVANCED_FACE('',(#33225),#16693,.T.); #342651=ADVANCED_FACE('',(#33226),#16694,.T.); #342652=ADVANCED_FACE('',(#33227),#16695,.T.); #342653=ADVANCED_FACE('',(#33228),#16696,.T.); #342654=ADVANCED_FACE('',(#33229),#16697,.T.); #342655=ADVANCED_FACE('',(#33230),#16698,.T.); #342656=ADVANCED_FACE('',(#33231),#16699,.T.); #342657=ADVANCED_FACE('',(#33232),#16700,.T.); #342658=ADVANCED_FACE('',(#33233),#16701,.T.); #342659=ADVANCED_FACE('',(#33234),#16702,.T.); #342660=ADVANCED_FACE('',(#33235),#16703,.T.); #342661=ADVANCED_FACE('',(#33236),#16704,.T.); #342662=ADVANCED_FACE('',(#33237),#16705,.T.); #342663=ADVANCED_FACE('',(#33238),#16706,.T.); #342664=ADVANCED_FACE('',(#33239),#16707,.T.); #342665=ADVANCED_FACE('',(#33240),#16708,.T.); #342666=ADVANCED_FACE('',(#33241),#16709,.T.); #342667=ADVANCED_FACE('',(#33242),#16710,.T.); #342668=ADVANCED_FACE('',(#33243),#16711,.T.); #342669=ADVANCED_FACE('',(#33244),#16712,.T.); #342670=ADVANCED_FACE('',(#33245),#16713,.T.); #342671=ADVANCED_FACE('',(#33246),#16714,.T.); #342672=ADVANCED_FACE('',(#33247),#16715,.T.); #342673=ADVANCED_FACE('',(#33248),#16716,.T.); #342674=ADVANCED_FACE('',(#33249),#16717,.T.); #342675=ADVANCED_FACE('',(#33250),#16718,.T.); #342676=ADVANCED_FACE('',(#33251),#16719,.T.); #342677=ADVANCED_FACE('',(#33252),#16720,.T.); #342678=ADVANCED_FACE('',(#33253),#16721,.T.); #342679=ADVANCED_FACE('',(#33254),#16722,.T.); #342680=ADVANCED_FACE('',(#33255),#16723,.T.); #342681=ADVANCED_FACE('',(#33256),#16724,.T.); #342682=ADVANCED_FACE('',(#33257),#16725,.T.); #342683=ADVANCED_FACE('',(#33258),#16726,.T.); #342684=ADVANCED_FACE('',(#33259),#16727,.T.); #342685=ADVANCED_FACE('',(#33260),#16728,.T.); #342686=ADVANCED_FACE('',(#33261),#16729,.T.); #342687=ADVANCED_FACE('',(#33262),#16730,.T.); #342688=ADVANCED_FACE('',(#33263),#16731,.T.); #342689=ADVANCED_FACE('',(#33264),#16732,.T.); #342690=ADVANCED_FACE('',(#33265),#16733,.T.); #342691=ADVANCED_FACE('',(#33266),#16734,.T.); #342692=ADVANCED_FACE('',(#33267),#16735,.T.); #342693=ADVANCED_FACE('',(#33268),#16736,.T.); #342694=ADVANCED_FACE('',(#33269),#16737,.T.); #342695=ADVANCED_FACE('',(#33270),#16738,.T.); #342696=ADVANCED_FACE('',(#33271),#16739,.T.); #342697=ADVANCED_FACE('',(#33272),#16740,.T.); #342698=ADVANCED_FACE('',(#33273),#16741,.T.); #342699=ADVANCED_FACE('',(#33274),#16742,.T.); #342700=ADVANCED_FACE('',(#33275),#16743,.T.); #342701=ADVANCED_FACE('',(#33276),#16744,.T.); #342702=ADVANCED_FACE('',(#33277),#16745,.T.); #342703=ADVANCED_FACE('',(#33278),#16746,.T.); #342704=ADVANCED_FACE('',(#33279),#16747,.T.); #342705=ADVANCED_FACE('',(#33280),#16748,.T.); #342706=ADVANCED_FACE('',(#33281),#16749,.T.); #342707=ADVANCED_FACE('',(#33282),#16750,.T.); #342708=ADVANCED_FACE('',(#33283),#16751,.T.); #342709=ADVANCED_FACE('',(#33284),#16752,.T.); #342710=ADVANCED_FACE('',(#33285),#16753,.T.); #342711=ADVANCED_FACE('',(#33286),#16754,.T.); #342712=ADVANCED_FACE('',(#33287),#16755,.T.); #342713=ADVANCED_FACE('',(#33288),#16756,.T.); #342714=ADVANCED_FACE('',(#33289),#16757,.T.); #342715=ADVANCED_FACE('',(#33290),#16758,.T.); #342716=ADVANCED_FACE('',(#33291),#16759,.T.); #342717=ADVANCED_FACE('',(#33292),#16760,.T.); #342718=ADVANCED_FACE('',(#33293),#16761,.T.); #342719=ADVANCED_FACE('',(#33294),#16762,.T.); #342720=ADVANCED_FACE('',(#33295),#16763,.T.); #342721=ADVANCED_FACE('',(#33296),#16764,.T.); #342722=ADVANCED_FACE('',(#33297),#16765,.T.); #342723=ADVANCED_FACE('',(#33298),#16766,.T.); #342724=ADVANCED_FACE('',(#33299),#16767,.T.); #342725=ADVANCED_FACE('',(#33300),#16768,.T.); #342726=ADVANCED_FACE('',(#33301),#16769,.T.); #342727=ADVANCED_FACE('',(#33302),#16770,.T.); #342728=ADVANCED_FACE('',(#33303),#16771,.T.); #342729=ADVANCED_FACE('',(#33304),#16772,.T.); #342730=ADVANCED_FACE('',(#33305),#16773,.T.); #342731=ADVANCED_FACE('',(#33306),#16774,.T.); #342732=ADVANCED_FACE('',(#33307),#16775,.T.); #342733=ADVANCED_FACE('',(#33308),#16776,.T.); #342734=ADVANCED_FACE('',(#33309),#16777,.T.); #342735=ADVANCED_FACE('',(#33310),#16778,.T.); #342736=ADVANCED_FACE('',(#33311),#16779,.T.); #342737=ADVANCED_FACE('',(#33312),#16780,.T.); #342738=ADVANCED_FACE('',(#33313),#16781,.T.); #342739=ADVANCED_FACE('',(#33314),#16782,.T.); #342740=ADVANCED_FACE('',(#33315),#16783,.T.); #342741=ADVANCED_FACE('',(#33316),#16784,.T.); #342742=ADVANCED_FACE('',(#33317),#16785,.T.); #342743=ADVANCED_FACE('',(#33318),#16786,.T.); #342744=ADVANCED_FACE('',(#33319),#16787,.T.); #342745=ADVANCED_FACE('',(#33320),#16788,.T.); #342746=ADVANCED_FACE('',(#33321),#16789,.T.); #342747=ADVANCED_FACE('',(#33322),#16790,.T.); #342748=ADVANCED_FACE('',(#33323),#16791,.T.); #342749=ADVANCED_FACE('',(#33324),#16792,.T.); #342750=ADVANCED_FACE('',(#33325),#16793,.T.); #342751=ADVANCED_FACE('',(#33326),#16794,.T.); #342752=ADVANCED_FACE('',(#33327),#16795,.T.); #342753=ADVANCED_FACE('',(#33328),#16796,.T.); #342754=ADVANCED_FACE('',(#33329),#16797,.T.); #342755=ADVANCED_FACE('',(#33330),#16798,.T.); #342756=ADVANCED_FACE('',(#33331),#16799,.T.); #342757=ADVANCED_FACE('',(#33332),#16800,.T.); #342758=ADVANCED_FACE('',(#33333),#16801,.T.); #342759=ADVANCED_FACE('',(#33334),#16802,.T.); #342760=ADVANCED_FACE('',(#33335),#16803,.T.); #342761=ADVANCED_FACE('',(#33336),#16804,.T.); #342762=ADVANCED_FACE('',(#33337),#16805,.T.); #342763=ADVANCED_FACE('',(#33338),#16806,.T.); #342764=ADVANCED_FACE('',(#33339),#16807,.T.); #342765=ADVANCED_FACE('',(#33340),#16808,.T.); #342766=ADVANCED_FACE('',(#33341),#16809,.T.); #342767=ADVANCED_FACE('',(#33342),#16810,.T.); #342768=ADVANCED_FACE('',(#33343),#16811,.T.); #342769=ADVANCED_FACE('',(#33344),#16812,.T.); #342770=ADVANCED_FACE('',(#33345),#16813,.T.); #342771=ADVANCED_FACE('',(#33346),#16814,.T.); #342772=ADVANCED_FACE('',(#33347),#16815,.T.); #342773=ADVANCED_FACE('',(#33348),#16816,.T.); #342774=ADVANCED_FACE('',(#33349),#16817,.T.); #342775=ADVANCED_FACE('',(#33350),#16818,.T.); #342776=ADVANCED_FACE('',(#33351),#16819,.T.); #342777=ADVANCED_FACE('',(#33352),#16820,.T.); #342778=ADVANCED_FACE('',(#33353),#16821,.T.); #342779=ADVANCED_FACE('',(#33354),#16822,.T.); #342780=ADVANCED_FACE('',(#33355),#16823,.T.); #342781=ADVANCED_FACE('',(#33356),#16824,.T.); #342782=ADVANCED_FACE('',(#33357),#16825,.T.); #342783=ADVANCED_FACE('',(#33358),#16826,.T.); #342784=ADVANCED_FACE('',(#33359),#16827,.T.); #342785=ADVANCED_FACE('',(#33360),#16828,.T.); #342786=ADVANCED_FACE('',(#33361),#16829,.T.); #342787=ADVANCED_FACE('',(#33362),#16830,.T.); #342788=ADVANCED_FACE('',(#33363),#16831,.T.); #342789=ADVANCED_FACE('',(#33364),#16832,.T.); #342790=ADVANCED_FACE('',(#33365),#16833,.T.); #342791=ADVANCED_FACE('',(#33366),#16834,.T.); #342792=ADVANCED_FACE('',(#33367),#16835,.T.); #342793=ADVANCED_FACE('',(#33368),#16836,.T.); #342794=ADVANCED_FACE('',(#33369),#16837,.T.); #342795=ADVANCED_FACE('',(#33370),#16838,.T.); #342796=ADVANCED_FACE('',(#33371),#16839,.T.); #342797=ADVANCED_FACE('',(#33372),#16840,.T.); #342798=ADVANCED_FACE('',(#33373),#16841,.T.); #342799=ADVANCED_FACE('',(#33374),#16842,.T.); #342800=ADVANCED_FACE('',(#33375),#16843,.T.); #342801=ADVANCED_FACE('',(#33376),#16844,.T.); #342802=ADVANCED_FACE('',(#33377),#16845,.T.); #342803=ADVANCED_FACE('',(#33378),#16846,.T.); #342804=ADVANCED_FACE('',(#33379),#16847,.T.); #342805=ADVANCED_FACE('',(#33380),#16848,.T.); #342806=ADVANCED_FACE('',(#33381),#16849,.T.); #342807=ADVANCED_FACE('',(#33382),#16850,.T.); #342808=ADVANCED_FACE('',(#33383),#16851,.T.); #342809=ADVANCED_FACE('',(#33384),#16852,.T.); #342810=ADVANCED_FACE('',(#33385),#16853,.T.); #342811=ADVANCED_FACE('',(#33386),#16854,.T.); #342812=ADVANCED_FACE('',(#33387),#16855,.T.); #342813=ADVANCED_FACE('',(#33388),#16856,.T.); #342814=ADVANCED_FACE('',(#33389),#16857,.T.); #342815=ADVANCED_FACE('',(#33390),#16858,.T.); #342816=ADVANCED_FACE('',(#33391),#16859,.T.); #342817=ADVANCED_FACE('',(#33392),#16860,.T.); #342818=ADVANCED_FACE('',(#33393),#16861,.T.); #342819=ADVANCED_FACE('',(#33394),#16862,.T.); #342820=ADVANCED_FACE('',(#33395),#16863,.T.); #342821=ADVANCED_FACE('',(#33396),#16864,.T.); #342822=ADVANCED_FACE('',(#33397),#16865,.T.); #342823=ADVANCED_FACE('',(#33398),#16866,.T.); #342824=ADVANCED_FACE('',(#33399),#16867,.T.); #342825=ADVANCED_FACE('',(#33400),#16868,.T.); #342826=ADVANCED_FACE('',(#33401),#16869,.T.); #342827=ADVANCED_FACE('',(#33402),#16870,.T.); #342828=ADVANCED_FACE('',(#33403),#16871,.T.); #342829=ADVANCED_FACE('',(#33404),#16872,.T.); #342830=ADVANCED_FACE('',(#33405),#16873,.T.); #342831=ADVANCED_FACE('',(#33406),#16874,.T.); #342832=ADVANCED_FACE('',(#33407),#16875,.T.); #342833=ADVANCED_FACE('',(#33408),#16876,.T.); #342834=ADVANCED_FACE('',(#33409),#16877,.T.); #342835=ADVANCED_FACE('',(#33410),#16878,.T.); #342836=ADVANCED_FACE('',(#33411),#16879,.T.); #342837=ADVANCED_FACE('',(#33412),#16880,.T.); #342838=ADVANCED_FACE('',(#33413),#16881,.T.); #342839=ADVANCED_FACE('',(#33414),#16882,.T.); #342840=ADVANCED_FACE('',(#33415),#16883,.T.); #342841=ADVANCED_FACE('',(#33416),#16884,.T.); #342842=ADVANCED_FACE('',(#33417),#16885,.T.); #342843=ADVANCED_FACE('',(#33418),#16886,.T.); #342844=ADVANCED_FACE('',(#33419),#16887,.T.); #342845=ADVANCED_FACE('',(#33420),#16888,.T.); #342846=ADVANCED_FACE('',(#33421),#16889,.T.); #342847=ADVANCED_FACE('',(#33422),#16890,.T.); #342848=ADVANCED_FACE('',(#33423),#16891,.T.); #342849=ADVANCED_FACE('',(#33424),#16892,.T.); #342850=ADVANCED_FACE('',(#33425),#16893,.T.); #342851=ADVANCED_FACE('',(#33426),#16894,.T.); #342852=ADVANCED_FACE('',(#33427),#16895,.T.); #342853=ADVANCED_FACE('',(#33428),#16896,.T.); #342854=ADVANCED_FACE('',(#33429),#16897,.T.); #342855=ADVANCED_FACE('',(#33430),#16898,.T.); #342856=ADVANCED_FACE('',(#33431),#16899,.T.); #342857=ADVANCED_FACE('',(#33432),#16900,.T.); #342858=ADVANCED_FACE('',(#33433),#16901,.T.); #342859=ADVANCED_FACE('',(#33434),#16902,.T.); #342860=ADVANCED_FACE('',(#33435),#16903,.T.); #342861=ADVANCED_FACE('',(#33436),#16904,.T.); #342862=ADVANCED_FACE('',(#33437),#16905,.T.); #342863=ADVANCED_FACE('',(#33438),#16906,.T.); #342864=ADVANCED_FACE('',(#33439),#16907,.T.); #342865=ADVANCED_FACE('',(#33440),#16908,.T.); #342866=ADVANCED_FACE('',(#33441),#16909,.T.); #342867=ADVANCED_FACE('',(#33442),#16910,.T.); #342868=ADVANCED_FACE('',(#33443),#16911,.T.); #342869=ADVANCED_FACE('',(#33444),#16912,.T.); #342870=ADVANCED_FACE('',(#33445),#16913,.T.); #342871=ADVANCED_FACE('',(#33446),#16914,.T.); #342872=ADVANCED_FACE('',(#33447),#16915,.T.); #342873=ADVANCED_FACE('',(#33448),#16916,.T.); #342874=ADVANCED_FACE('',(#33449),#16917,.T.); #342875=ADVANCED_FACE('',(#33450),#16918,.T.); #342876=ADVANCED_FACE('',(#33451),#16919,.T.); #342877=ADVANCED_FACE('',(#33452),#16920,.T.); #342878=ADVANCED_FACE('',(#33453),#16921,.T.); #342879=ADVANCED_FACE('',(#33454),#16922,.T.); #342880=ADVANCED_FACE('',(#33455),#16923,.T.); #342881=ADVANCED_FACE('',(#33456),#16924,.T.); #342882=ADVANCED_FACE('',(#33457),#16925,.T.); #342883=ADVANCED_FACE('',(#33458),#16926,.T.); #342884=ADVANCED_FACE('',(#33459),#16927,.T.); #342885=ADVANCED_FACE('',(#33460),#16928,.T.); #342886=ADVANCED_FACE('',(#33461),#16929,.T.); #342887=ADVANCED_FACE('',(#33462),#16930,.T.); #342888=ADVANCED_FACE('',(#33463),#16931,.T.); #342889=ADVANCED_FACE('',(#33464),#16932,.T.); #342890=ADVANCED_FACE('',(#33465),#16933,.T.); #342891=ADVANCED_FACE('',(#33466),#16934,.T.); #342892=ADVANCED_FACE('',(#33467),#16935,.T.); #342893=ADVANCED_FACE('',(#33468),#16936,.T.); #342894=ADVANCED_FACE('',(#33469),#16937,.T.); #342895=ADVANCED_FACE('',(#33470),#16938,.T.); #342896=ADVANCED_FACE('',(#33471),#16939,.T.); #342897=ADVANCED_FACE('',(#33472),#16940,.T.); #342898=ADVANCED_FACE('',(#33473),#16941,.T.); #342899=ADVANCED_FACE('',(#33474),#16942,.T.); #342900=ADVANCED_FACE('',(#33475),#16943,.T.); #342901=ADVANCED_FACE('',(#33476),#16944,.T.); #342902=ADVANCED_FACE('',(#33477),#16945,.T.); #342903=ADVANCED_FACE('',(#33478),#16946,.T.); #342904=ADVANCED_FACE('',(#33479),#16947,.T.); #342905=ADVANCED_FACE('',(#33480),#16948,.T.); #342906=ADVANCED_FACE('',(#33481),#16949,.T.); #342907=ADVANCED_FACE('',(#33482),#16950,.T.); #342908=ADVANCED_FACE('',(#33483),#16951,.T.); #342909=ADVANCED_FACE('',(#33484),#16952,.T.); #342910=ADVANCED_FACE('',(#33485),#16953,.T.); #342911=ADVANCED_FACE('',(#33486),#16954,.T.); #342912=ADVANCED_FACE('',(#33487),#16955,.T.); #342913=ADVANCED_FACE('',(#33488),#16956,.T.); #342914=ADVANCED_FACE('',(#33489),#16957,.T.); #342915=ADVANCED_FACE('',(#33490),#16958,.T.); #342916=ADVANCED_FACE('',(#33491),#16959,.T.); #342917=ADVANCED_FACE('',(#33492),#16960,.T.); #342918=ADVANCED_FACE('',(#33493),#16961,.T.); #342919=ADVANCED_FACE('',(#33494),#16962,.T.); #342920=ADVANCED_FACE('',(#33495),#16963,.T.); #342921=ADVANCED_FACE('',(#33496),#16964,.T.); #342922=ADVANCED_FACE('',(#33497),#16965,.T.); #342923=ADVANCED_FACE('',(#33498),#16966,.T.); #342924=ADVANCED_FACE('',(#33499),#16967,.T.); #342925=ADVANCED_FACE('',(#33500),#16968,.T.); #342926=ADVANCED_FACE('',(#33501),#16969,.T.); #342927=ADVANCED_FACE('',(#33502),#16970,.T.); #342928=ADVANCED_FACE('',(#33503),#16971,.T.); #342929=ADVANCED_FACE('',(#33504),#16972,.T.); #342930=ADVANCED_FACE('',(#33505),#16973,.T.); #342931=ADVANCED_FACE('',(#33506),#16974,.T.); #342932=ADVANCED_FACE('',(#33507),#16975,.T.); #342933=ADVANCED_FACE('',(#33508),#16976,.T.); #342934=ADVANCED_FACE('',(#33509),#16977,.T.); #342935=ADVANCED_FACE('',(#33510),#16978,.T.); #342936=ADVANCED_FACE('',(#33511),#16979,.T.); #342937=ADVANCED_FACE('',(#33512),#16980,.T.); #342938=ADVANCED_FACE('',(#33513),#16981,.T.); #342939=ADVANCED_FACE('',(#33514),#16982,.T.); #342940=ADVANCED_FACE('',(#33515),#16983,.T.); #342941=ADVANCED_FACE('',(#33516),#16984,.T.); #342942=ADVANCED_FACE('',(#33517),#16985,.T.); #342943=ADVANCED_FACE('',(#33518),#16986,.T.); #342944=ADVANCED_FACE('',(#33519),#16987,.T.); #342945=ADVANCED_FACE('',(#33520),#16988,.T.); #342946=ADVANCED_FACE('',(#33521),#16989,.T.); #342947=ADVANCED_FACE('',(#33522),#16990,.T.); #342948=ADVANCED_FACE('',(#33523),#16991,.T.); #342949=ADVANCED_FACE('',(#33524),#16992,.T.); #342950=ADVANCED_FACE('',(#33525),#16993,.T.); #342951=ADVANCED_FACE('',(#33526),#16994,.T.); #342952=ADVANCED_FACE('',(#33527),#16995,.T.); #342953=ADVANCED_FACE('',(#33528),#16996,.T.); #342954=ADVANCED_FACE('',(#33529),#16997,.T.); #342955=ADVANCED_FACE('',(#33530),#16998,.T.); #342956=ADVANCED_FACE('',(#33531),#16999,.T.); #342957=ADVANCED_FACE('',(#33532),#17000,.T.); #342958=ADVANCED_FACE('',(#33533),#17001,.T.); #342959=ADVANCED_FACE('',(#33534),#17002,.T.); #342960=ADVANCED_FACE('',(#33535),#17003,.T.); #342961=ADVANCED_FACE('',(#33536),#17004,.T.); #342962=ADVANCED_FACE('',(#33537),#17005,.T.); #342963=ADVANCED_FACE('',(#33538),#17006,.T.); #342964=ADVANCED_FACE('',(#33539),#17007,.T.); #342965=ADVANCED_FACE('',(#33540),#17008,.T.); #342966=ADVANCED_FACE('',(#33541),#17009,.T.); #342967=ADVANCED_FACE('',(#33542),#17010,.T.); #342968=ADVANCED_FACE('',(#33543),#17011,.T.); #342969=ADVANCED_FACE('',(#33544),#17012,.T.); #342970=ADVANCED_FACE('',(#33545),#17013,.T.); #342971=ADVANCED_FACE('',(#33546),#17014,.T.); #342972=ADVANCED_FACE('',(#33547),#17015,.T.); #342973=ADVANCED_FACE('',(#33548),#17016,.T.); #342974=ADVANCED_FACE('',(#33549),#17017,.T.); #342975=ADVANCED_FACE('',(#33550),#17018,.T.); #342976=ADVANCED_FACE('',(#33551),#17019,.T.); #342977=ADVANCED_FACE('',(#33552),#17020,.T.); #342978=ADVANCED_FACE('',(#33553),#17021,.T.); #342979=ADVANCED_FACE('',(#33554),#17022,.T.); #342980=ADVANCED_FACE('',(#33555),#17023,.T.); #342981=ADVANCED_FACE('',(#33556),#17024,.T.); #342982=ADVANCED_FACE('',(#33557),#17025,.T.); #342983=ADVANCED_FACE('',(#33558),#17026,.T.); #342984=ADVANCED_FACE('',(#33559),#17027,.T.); #342985=ADVANCED_FACE('',(#33560),#17028,.T.); #342986=ADVANCED_FACE('',(#33561),#17029,.T.); #342987=ADVANCED_FACE('',(#33562),#17030,.T.); #342988=ADVANCED_FACE('',(#33563),#17031,.T.); #342989=ADVANCED_FACE('',(#33564),#17032,.T.); #342990=ADVANCED_FACE('',(#33565),#17033,.T.); #342991=ADVANCED_FACE('',(#33566),#17034,.T.); #342992=ADVANCED_FACE('',(#33567),#17035,.T.); #342993=ADVANCED_FACE('',(#33568),#17036,.T.); #342994=ADVANCED_FACE('',(#33569),#17037,.T.); #342995=ADVANCED_FACE('',(#33570),#17038,.T.); #342996=ADVANCED_FACE('',(#33571),#17039,.T.); #342997=ADVANCED_FACE('',(#33572),#17040,.T.); #342998=ADVANCED_FACE('',(#33573),#17041,.T.); #342999=ADVANCED_FACE('',(#33574),#17042,.T.); #343000=ADVANCED_FACE('',(#33575),#17043,.T.); #343001=ADVANCED_FACE('',(#33576),#17044,.T.); #343002=ADVANCED_FACE('',(#33577),#17045,.T.); #343003=ADVANCED_FACE('',(#33578),#17046,.T.); #343004=ADVANCED_FACE('',(#33579),#17047,.T.); #343005=ADVANCED_FACE('',(#33580),#17048,.T.); #343006=ADVANCED_FACE('',(#33581),#17049,.T.); #343007=ADVANCED_FACE('',(#33582),#17050,.T.); #343008=ADVANCED_FACE('',(#33583),#17051,.T.); #343009=ADVANCED_FACE('',(#33584),#17052,.T.); #343010=ADVANCED_FACE('',(#33585),#17053,.T.); #343011=ADVANCED_FACE('',(#33586),#17054,.T.); #343012=ADVANCED_FACE('',(#33587),#17055,.T.); #343013=ADVANCED_FACE('',(#33588),#17056,.T.); #343014=ADVANCED_FACE('',(#33589),#17057,.T.); #343015=ADVANCED_FACE('',(#33590),#17058,.T.); #343016=ADVANCED_FACE('',(#33591),#17059,.T.); #343017=ADVANCED_FACE('',(#33592),#17060,.T.); #343018=ADVANCED_FACE('',(#33593),#17061,.T.); #343019=ADVANCED_FACE('',(#33594),#17062,.T.); #343020=ADVANCED_FACE('',(#33595),#17063,.T.); #343021=ADVANCED_FACE('',(#33596),#17064,.T.); #343022=ADVANCED_FACE('',(#33597),#17065,.T.); #343023=ADVANCED_FACE('',(#33598),#17066,.T.); #343024=ADVANCED_FACE('',(#33599),#17067,.T.); #343025=ADVANCED_FACE('',(#33600),#17068,.T.); #343026=ADVANCED_FACE('',(#33601),#17069,.T.); #343027=ADVANCED_FACE('',(#33602),#17070,.T.); #343028=ADVANCED_FACE('',(#33603),#17071,.T.); #343029=ADVANCED_FACE('',(#33604),#17072,.T.); #343030=ADVANCED_FACE('',(#33605),#17073,.T.); #343031=ADVANCED_FACE('',(#33606),#17074,.T.); #343032=ADVANCED_FACE('',(#33607),#17075,.T.); #343033=ADVANCED_FACE('',(#33608),#17076,.T.); #343034=ADVANCED_FACE('',(#33609),#17077,.T.); #343035=ADVANCED_FACE('',(#33610),#17078,.T.); #343036=ADVANCED_FACE('',(#33611),#17079,.T.); #343037=ADVANCED_FACE('',(#33612),#17080,.T.); #343038=ADVANCED_FACE('',(#33613),#17081,.T.); #343039=ADVANCED_FACE('',(#33614),#17082,.T.); #343040=ADVANCED_FACE('',(#33615),#17083,.T.); #343041=ADVANCED_FACE('',(#33616),#17084,.T.); #343042=ADVANCED_FACE('',(#33617),#17085,.T.); #343043=ADVANCED_FACE('',(#33618),#17086,.T.); #343044=ADVANCED_FACE('',(#33619),#17087,.T.); #343045=ADVANCED_FACE('',(#33620),#17088,.T.); #343046=ADVANCED_FACE('',(#33621),#17089,.T.); #343047=ADVANCED_FACE('',(#33622),#17090,.T.); #343048=ADVANCED_FACE('',(#33623),#17091,.T.); #343049=ADVANCED_FACE('',(#33624),#17092,.T.); #343050=ADVANCED_FACE('',(#33625),#17093,.T.); #343051=ADVANCED_FACE('',(#33626),#17094,.T.); #343052=ADVANCED_FACE('',(#33627),#17095,.T.); #343053=ADVANCED_FACE('',(#33628),#17096,.T.); #343054=ADVANCED_FACE('',(#33629),#17097,.T.); #343055=ADVANCED_FACE('',(#33630),#17098,.T.); #343056=ADVANCED_FACE('',(#33631),#17099,.T.); #343057=ADVANCED_FACE('',(#33632),#17100,.T.); #343058=ADVANCED_FACE('',(#33633),#17101,.T.); #343059=ADVANCED_FACE('',(#33634),#17102,.T.); #343060=ADVANCED_FACE('',(#33635),#17103,.T.); #343061=ADVANCED_FACE('',(#33636),#17104,.T.); #343062=ADVANCED_FACE('',(#33637),#17105,.T.); #343063=ADVANCED_FACE('',(#33638),#17106,.T.); #343064=ADVANCED_FACE('',(#33639),#17107,.T.); #343065=ADVANCED_FACE('',(#33640),#17108,.T.); #343066=ADVANCED_FACE('',(#33641),#17109,.T.); #343067=ADVANCED_FACE('',(#33642),#17110,.T.); #343068=ADVANCED_FACE('',(#33643),#17111,.T.); #343069=ADVANCED_FACE('',(#33644),#17112,.T.); #343070=ADVANCED_FACE('',(#33645),#17113,.T.); #343071=ADVANCED_FACE('',(#33646),#17114,.T.); #343072=ADVANCED_FACE('',(#33647),#17115,.T.); #343073=ADVANCED_FACE('',(#33648),#17116,.T.); #343074=ADVANCED_FACE('',(#33649),#17117,.T.); #343075=ADVANCED_FACE('',(#33650),#17118,.T.); #343076=ADVANCED_FACE('',(#33651),#17119,.T.); #343077=ADVANCED_FACE('',(#33652),#17120,.T.); #343078=ADVANCED_FACE('',(#33653),#17121,.T.); #343079=ADVANCED_FACE('',(#33654),#17122,.T.); #343080=ADVANCED_FACE('',(#33655),#17123,.T.); #343081=ADVANCED_FACE('',(#33656),#17124,.T.); #343082=ADVANCED_FACE('',(#33657),#17125,.T.); #343083=ADVANCED_FACE('',(#33658),#17126,.T.); #343084=ADVANCED_FACE('',(#33659),#17127,.T.); #343085=ADVANCED_FACE('',(#33660),#17128,.T.); #343086=ADVANCED_FACE('',(#33661),#17129,.T.); #343087=ADVANCED_FACE('',(#33662),#17130,.T.); #343088=ADVANCED_FACE('',(#33663),#17131,.T.); #343089=ADVANCED_FACE('',(#33664),#17132,.T.); #343090=ADVANCED_FACE('',(#33665),#17133,.T.); #343091=ADVANCED_FACE('',(#33666),#17134,.T.); #343092=ADVANCED_FACE('',(#33667),#17135,.T.); #343093=ADVANCED_FACE('',(#33668),#17136,.T.); #343094=ADVANCED_FACE('',(#33669),#17137,.T.); #343095=ADVANCED_FACE('',(#33670),#17138,.T.); #343096=ADVANCED_FACE('',(#33671),#17139,.T.); #343097=ADVANCED_FACE('',(#33672),#17140,.T.); #343098=ADVANCED_FACE('',(#33673),#17141,.T.); #343099=ADVANCED_FACE('',(#33674),#17142,.T.); #343100=ADVANCED_FACE('',(#33675),#17143,.T.); #343101=ADVANCED_FACE('',(#33676),#17144,.T.); #343102=ADVANCED_FACE('',(#33677),#17145,.T.); #343103=ADVANCED_FACE('',(#33678),#17146,.T.); #343104=ADVANCED_FACE('',(#33679),#17147,.T.); #343105=ADVANCED_FACE('',(#33680),#17148,.T.); #343106=ADVANCED_FACE('',(#33681),#17149,.T.); #343107=ADVANCED_FACE('',(#33682),#17150,.T.); #343108=ADVANCED_FACE('',(#33683),#17151,.T.); #343109=ADVANCED_FACE('',(#33684),#17152,.T.); #343110=ADVANCED_FACE('',(#33685),#17153,.T.); #343111=ADVANCED_FACE('',(#33686),#17154,.T.); #343112=ADVANCED_FACE('',(#33687),#17155,.T.); #343113=ADVANCED_FACE('',(#33688),#17156,.T.); #343114=ADVANCED_FACE('',(#33689),#17157,.T.); #343115=ADVANCED_FACE('',(#33690),#17158,.T.); #343116=ADVANCED_FACE('',(#33691),#17159,.T.); #343117=ADVANCED_FACE('',(#33692),#17160,.T.); #343118=ADVANCED_FACE('',(#33693),#17161,.T.); #343119=ADVANCED_FACE('',(#33694),#17162,.T.); #343120=ADVANCED_FACE('',(#33695),#17163,.T.); #343121=ADVANCED_FACE('',(#33696),#17164,.T.); #343122=ADVANCED_FACE('',(#33697),#17165,.T.); #343123=ADVANCED_FACE('',(#33698),#17166,.T.); #343124=ADVANCED_FACE('',(#33699),#17167,.T.); #343125=ADVANCED_FACE('',(#33700),#17168,.T.); #343126=ADVANCED_FACE('',(#33701),#17169,.T.); #343127=ADVANCED_FACE('',(#33702),#17170,.T.); #343128=ADVANCED_FACE('',(#33703),#17171,.T.); #343129=ADVANCED_FACE('',(#33704),#17172,.T.); #343130=ADVANCED_FACE('',(#33705),#17173,.T.); #343131=ADVANCED_FACE('',(#33706),#17174,.T.); #343132=ADVANCED_FACE('',(#33707),#17175,.T.); #343133=ADVANCED_FACE('',(#33708),#17176,.T.); #343134=ADVANCED_FACE('',(#33709),#17177,.T.); #343135=ADVANCED_FACE('',(#33710),#17178,.T.); #343136=ADVANCED_FACE('',(#33711),#17179,.T.); #343137=ADVANCED_FACE('',(#33712),#17180,.T.); #343138=ADVANCED_FACE('',(#33713),#17181,.T.); #343139=ADVANCED_FACE('',(#33714),#17182,.T.); #343140=ADVANCED_FACE('',(#33715),#17183,.T.); #343141=ADVANCED_FACE('',(#33716),#17184,.T.); #343142=ADVANCED_FACE('',(#33717),#17185,.T.); #343143=ADVANCED_FACE('',(#33718),#17186,.T.); #343144=ADVANCED_FACE('',(#33719),#17187,.T.); #343145=ADVANCED_FACE('',(#33720),#17188,.T.); #343146=ADVANCED_FACE('',(#33721),#17189,.T.); #343147=ADVANCED_FACE('',(#33722),#17190,.T.); #343148=ADVANCED_FACE('',(#33723),#17191,.T.); #343149=ADVANCED_FACE('',(#33724),#17192,.T.); #343150=ADVANCED_FACE('',(#33725),#17193,.T.); #343151=ADVANCED_FACE('',(#33726),#17194,.T.); #343152=ADVANCED_FACE('',(#33727),#17195,.T.); #343153=ADVANCED_FACE('',(#33728),#17196,.T.); #343154=ADVANCED_FACE('',(#33729),#17197,.T.); #343155=ADVANCED_FACE('',(#33730),#17198,.T.); #343156=ADVANCED_FACE('',(#33731),#17199,.T.); #343157=ADVANCED_FACE('',(#33732),#17200,.T.); #343158=ADVANCED_FACE('',(#33733),#17201,.T.); #343159=ADVANCED_FACE('',(#33734),#17202,.T.); #343160=ADVANCED_FACE('',(#33735),#17203,.T.); #343161=ADVANCED_FACE('',(#33736),#17204,.T.); #343162=ADVANCED_FACE('',(#33737),#17205,.T.); #343163=ADVANCED_FACE('',(#33738),#17206,.T.); #343164=ADVANCED_FACE('',(#33739),#17207,.T.); #343165=ADVANCED_FACE('',(#33740),#17208,.T.); #343166=ADVANCED_FACE('',(#33741),#17209,.T.); #343167=ADVANCED_FACE('',(#33742),#17210,.T.); #343168=ADVANCED_FACE('',(#33743),#17211,.T.); #343169=ADVANCED_FACE('',(#33744),#17212,.T.); #343170=ADVANCED_FACE('',(#33745),#17213,.T.); #343171=ADVANCED_FACE('',(#33746),#17214,.T.); #343172=ADVANCED_FACE('',(#33747),#17215,.T.); #343173=ADVANCED_FACE('',(#33748),#17216,.T.); #343174=ADVANCED_FACE('',(#33749),#17217,.T.); #343175=ADVANCED_FACE('',(#33750),#17218,.T.); #343176=ADVANCED_FACE('',(#33751),#17219,.T.); #343177=ADVANCED_FACE('',(#33752),#17220,.T.); #343178=ADVANCED_FACE('',(#33753),#17221,.T.); #343179=ADVANCED_FACE('',(#33754),#17222,.T.); #343180=ADVANCED_FACE('',(#33755),#17223,.T.); #343181=ADVANCED_FACE('',(#33756),#17224,.T.); #343182=ADVANCED_FACE('',(#33757),#17225,.T.); #343183=ADVANCED_FACE('',(#33758),#17226,.T.); #343184=ADVANCED_FACE('',(#33759),#17227,.T.); #343185=ADVANCED_FACE('',(#33760),#17228,.T.); #343186=ADVANCED_FACE('',(#33761),#17229,.T.); #343187=ADVANCED_FACE('',(#33762),#17230,.T.); #343188=ADVANCED_FACE('',(#33763),#17231,.T.); #343189=ADVANCED_FACE('',(#33764),#17232,.T.); #343190=ADVANCED_FACE('',(#33765),#17233,.T.); #343191=ADVANCED_FACE('',(#33766),#17234,.T.); #343192=ADVANCED_FACE('',(#33767),#17235,.T.); #343193=ADVANCED_FACE('',(#33768),#17236,.T.); #343194=ADVANCED_FACE('',(#33769),#17237,.T.); #343195=ADVANCED_FACE('',(#33770),#17238,.T.); #343196=ADVANCED_FACE('',(#33771),#17239,.T.); #343197=ADVANCED_FACE('',(#33772),#17240,.T.); #343198=ADVANCED_FACE('',(#33773),#17241,.T.); #343199=ADVANCED_FACE('',(#33774),#17242,.T.); #343200=ADVANCED_FACE('',(#33775),#17243,.T.); #343201=ADVANCED_FACE('',(#33776),#17244,.T.); #343202=ADVANCED_FACE('',(#33777),#17245,.T.); #343203=ADVANCED_FACE('',(#33778),#17246,.T.); #343204=ADVANCED_FACE('',(#33779),#17247,.T.); #343205=ADVANCED_FACE('',(#33780),#17248,.T.); #343206=ADVANCED_FACE('',(#33781),#17249,.T.); #343207=ADVANCED_FACE('',(#33782),#17250,.T.); #343208=ADVANCED_FACE('',(#33783),#17251,.T.); #343209=ADVANCED_FACE('',(#33784),#17252,.T.); #343210=ADVANCED_FACE('',(#33785),#17253,.T.); #343211=ADVANCED_FACE('',(#33786),#17254,.T.); #343212=ADVANCED_FACE('',(#33787),#17255,.T.); #343213=ADVANCED_FACE('',(#33788),#17256,.T.); #343214=ADVANCED_FACE('',(#33789),#17257,.T.); #343215=ADVANCED_FACE('',(#33790),#17258,.T.); #343216=ADVANCED_FACE('',(#33791),#17259,.T.); #343217=ADVANCED_FACE('',(#33792),#17260,.T.); #343218=ADVANCED_FACE('',(#33793),#17261,.T.); #343219=ADVANCED_FACE('',(#33794),#17262,.T.); #343220=ADVANCED_FACE('',(#33795),#17263,.T.); #343221=ADVANCED_FACE('',(#33796),#17264,.T.); #343222=ADVANCED_FACE('',(#33797),#17265,.T.); #343223=ADVANCED_FACE('',(#33798),#17266,.T.); #343224=ADVANCED_FACE('',(#33799),#17267,.T.); #343225=ADVANCED_FACE('',(#33800),#17268,.T.); #343226=ADVANCED_FACE('',(#33801),#17269,.T.); #343227=ADVANCED_FACE('',(#33802),#17270,.T.); #343228=ADVANCED_FACE('',(#33803),#17271,.T.); #343229=ADVANCED_FACE('',(#33804),#17272,.T.); #343230=ADVANCED_FACE('',(#33805),#17273,.T.); #343231=ADVANCED_FACE('',(#33806),#17274,.T.); #343232=ADVANCED_FACE('',(#33807),#17275,.T.); #343233=ADVANCED_FACE('',(#33808),#17276,.T.); #343234=ADVANCED_FACE('',(#33809),#17277,.T.); #343235=ADVANCED_FACE('',(#33810),#17278,.T.); #343236=ADVANCED_FACE('',(#33811),#17279,.T.); #343237=ADVANCED_FACE('',(#33812),#17280,.T.); #343238=ADVANCED_FACE('',(#33813),#17281,.T.); #343239=ADVANCED_FACE('',(#33814),#17282,.T.); #343240=ADVANCED_FACE('',(#33815),#17283,.T.); #343241=ADVANCED_FACE('',(#33816),#17284,.T.); #343242=ADVANCED_FACE('',(#33817),#17285,.T.); #343243=ADVANCED_FACE('',(#33818),#17286,.T.); #343244=ADVANCED_FACE('',(#33819),#17287,.T.); #343245=ADVANCED_FACE('',(#33820),#17288,.T.); #343246=ADVANCED_FACE('',(#33821),#17289,.T.); #343247=ADVANCED_FACE('',(#33822),#17290,.T.); #343248=ADVANCED_FACE('',(#33823),#17291,.T.); #343249=ADVANCED_FACE('',(#33824),#17292,.T.); #343250=ADVANCED_FACE('',(#33825),#17293,.T.); #343251=ADVANCED_FACE('',(#33826),#17294,.T.); #343252=ADVANCED_FACE('',(#33827),#17295,.T.); #343253=ADVANCED_FACE('',(#33828),#17296,.T.); #343254=ADVANCED_FACE('',(#33829),#17297,.T.); #343255=ADVANCED_FACE('',(#33830),#17298,.T.); #343256=ADVANCED_FACE('',(#33831),#17299,.T.); #343257=ADVANCED_FACE('',(#33832),#17300,.T.); #343258=ADVANCED_FACE('',(#33833),#17301,.T.); #343259=ADVANCED_FACE('',(#33834),#17302,.T.); #343260=ADVANCED_FACE('',(#33835),#17303,.T.); #343261=ADVANCED_FACE('',(#33836),#17304,.T.); #343262=ADVANCED_FACE('',(#33837),#17305,.T.); #343263=ADVANCED_FACE('',(#33838),#17306,.T.); #343264=ADVANCED_FACE('',(#33839),#17307,.T.); #343265=ADVANCED_FACE('',(#33840),#17308,.T.); #343266=ADVANCED_FACE('',(#33841),#17309,.T.); #343267=ADVANCED_FACE('',(#33842),#17310,.T.); #343268=ADVANCED_FACE('',(#33843),#17311,.T.); #343269=ADVANCED_FACE('',(#33844),#17312,.T.); #343270=ADVANCED_FACE('',(#33845),#17313,.T.); #343271=ADVANCED_FACE('',(#33846),#17314,.T.); #343272=ADVANCED_FACE('',(#33847),#17315,.T.); #343273=ADVANCED_FACE('',(#33848),#17316,.T.); #343274=ADVANCED_FACE('',(#33849),#17317,.T.); #343275=ADVANCED_FACE('',(#33850),#17318,.T.); #343276=ADVANCED_FACE('',(#33851),#17319,.T.); #343277=ADVANCED_FACE('',(#33852),#17320,.T.); #343278=ADVANCED_FACE('',(#33853),#17321,.T.); #343279=ADVANCED_FACE('',(#33854),#17322,.T.); #343280=ADVANCED_FACE('',(#33855),#17323,.T.); #343281=ADVANCED_FACE('',(#33856),#17324,.T.); #343282=ADVANCED_FACE('',(#33857),#17325,.T.); #343283=ADVANCED_FACE('',(#33858),#17326,.T.); #343284=ADVANCED_FACE('',(#33859),#17327,.T.); #343285=ADVANCED_FACE('',(#33860),#17328,.T.); #343286=ADVANCED_FACE('',(#33861),#17329,.T.); #343287=ADVANCED_FACE('',(#33862),#17330,.T.); #343288=ADVANCED_FACE('',(#33863),#17331,.T.); #343289=ADVANCED_FACE('',(#33864),#17332,.T.); #343290=ADVANCED_FACE('',(#33865),#17333,.T.); #343291=ADVANCED_FACE('',(#33866),#17334,.T.); #343292=ADVANCED_FACE('',(#33867),#17335,.T.); #343293=ADVANCED_FACE('',(#33868),#17336,.T.); #343294=ADVANCED_FACE('',(#33869),#17337,.T.); #343295=ADVANCED_FACE('',(#33870),#17338,.T.); #343296=ADVANCED_FACE('',(#33871),#17339,.T.); #343297=ADVANCED_FACE('',(#33872),#17340,.T.); #343298=ADVANCED_FACE('',(#33873),#17341,.T.); #343299=ADVANCED_FACE('',(#33874),#17342,.T.); #343300=ADVANCED_FACE('',(#33875),#17343,.T.); #343301=ADVANCED_FACE('',(#33876),#17344,.T.); #343302=ADVANCED_FACE('',(#33877),#17345,.T.); #343303=ADVANCED_FACE('',(#33878),#17346,.T.); #343304=ADVANCED_FACE('',(#33879),#17347,.T.); #343305=ADVANCED_FACE('',(#33880),#17348,.T.); #343306=ADVANCED_FACE('',(#33881),#17349,.T.); #343307=ADVANCED_FACE('',(#33882),#17350,.T.); #343308=ADVANCED_FACE('',(#33883),#17351,.T.); #343309=ADVANCED_FACE('',(#33884),#17352,.T.); #343310=ADVANCED_FACE('',(#33885),#17353,.T.); #343311=ADVANCED_FACE('',(#33886),#17354,.T.); #343312=ADVANCED_FACE('',(#33887),#17355,.T.); #343313=ADVANCED_FACE('',(#33888),#17356,.T.); #343314=ADVANCED_FACE('',(#33889),#17357,.T.); #343315=ADVANCED_FACE('',(#33890),#17358,.T.); #343316=ADVANCED_FACE('',(#33891),#17359,.T.); #343317=ADVANCED_FACE('',(#33892),#17360,.T.); #343318=ADVANCED_FACE('',(#33893),#17361,.T.); #343319=ADVANCED_FACE('',(#33894),#17362,.T.); #343320=ADVANCED_FACE('',(#33895),#17363,.T.); #343321=ADVANCED_FACE('',(#33896),#17364,.T.); #343322=ADVANCED_FACE('',(#33897),#17365,.T.); #343323=ADVANCED_FACE('',(#33898),#17366,.T.); #343324=ADVANCED_FACE('',(#33899),#17367,.T.); #343325=ADVANCED_FACE('',(#33900),#17368,.T.); #343326=ADVANCED_FACE('',(#33901),#17369,.T.); #343327=ADVANCED_FACE('',(#33902),#17370,.T.); #343328=ADVANCED_FACE('',(#33903),#17371,.T.); #343329=ADVANCED_FACE('',(#33904),#17372,.T.); #343330=ADVANCED_FACE('',(#33905),#17373,.T.); #343331=ADVANCED_FACE('',(#33906),#17374,.T.); #343332=ADVANCED_FACE('',(#33907),#17375,.T.); #343333=ADVANCED_FACE('',(#33908),#17376,.T.); #343334=ADVANCED_FACE('',(#33909),#17377,.T.); #343335=ADVANCED_FACE('',(#33910),#17378,.T.); #343336=ADVANCED_FACE('',(#33911),#17379,.T.); #343337=ADVANCED_FACE('',(#33912),#17380,.T.); #343338=ADVANCED_FACE('',(#33913),#17381,.T.); #343339=ADVANCED_FACE('',(#33914),#17382,.T.); #343340=ADVANCED_FACE('',(#33915),#17383,.T.); #343341=ADVANCED_FACE('',(#33916),#17384,.T.); #343342=ADVANCED_FACE('',(#33917),#17385,.T.); #343343=ADVANCED_FACE('',(#33918),#17386,.T.); #343344=ADVANCED_FACE('',(#33919),#17387,.T.); #343345=ADVANCED_FACE('',(#33920),#17388,.T.); #343346=ADVANCED_FACE('',(#33921),#17389,.T.); #343347=ADVANCED_FACE('',(#33922),#17390,.T.); #343348=ADVANCED_FACE('',(#33923),#17391,.T.); #343349=ADVANCED_FACE('',(#33924),#17392,.T.); #343350=ADVANCED_FACE('',(#33925),#17393,.T.); #343351=ADVANCED_FACE('',(#33926),#17394,.T.); #343352=ADVANCED_FACE('',(#33927),#17395,.T.); #343353=ADVANCED_FACE('',(#33928),#17396,.T.); #343354=ADVANCED_FACE('',(#33929),#17397,.T.); #343355=ADVANCED_FACE('',(#33930),#17398,.T.); #343356=ADVANCED_FACE('',(#33931),#17399,.T.); #343357=ADVANCED_FACE('',(#33932),#17400,.T.); #343358=ADVANCED_FACE('',(#33933),#17401,.T.); #343359=ADVANCED_FACE('',(#33934),#17402,.T.); #343360=ADVANCED_FACE('',(#33935),#17403,.T.); #343361=ADVANCED_FACE('',(#33936),#17404,.T.); #343362=ADVANCED_FACE('',(#33937),#17405,.T.); #343363=ADVANCED_FACE('',(#33938),#17406,.T.); #343364=ADVANCED_FACE('',(#33939),#17407,.T.); #343365=ADVANCED_FACE('',(#33940),#17408,.T.); #343366=ADVANCED_FACE('',(#33941),#17409,.T.); #343367=ADVANCED_FACE('',(#33942),#17410,.T.); #343368=ADVANCED_FACE('',(#33943),#17411,.T.); #343369=ADVANCED_FACE('',(#33944),#17412,.T.); #343370=ADVANCED_FACE('',(#33945),#17413,.T.); #343371=ADVANCED_FACE('',(#33946),#17414,.T.); #343372=ADVANCED_FACE('',(#33947),#17415,.T.); #343373=ADVANCED_FACE('',(#33948),#17416,.T.); #343374=ADVANCED_FACE('',(#33949),#17417,.T.); #343375=ADVANCED_FACE('',(#33950),#17418,.T.); #343376=ADVANCED_FACE('',(#33951),#17419,.T.); #343377=ADVANCED_FACE('',(#33952),#17420,.T.); #343378=ADVANCED_FACE('',(#33953),#17421,.T.); #343379=ADVANCED_FACE('',(#33954),#17422,.T.); #343380=ADVANCED_FACE('',(#33955),#17423,.T.); #343381=ADVANCED_FACE('',(#33956),#17424,.T.); #343382=ADVANCED_FACE('',(#33957),#17425,.T.); #343383=ADVANCED_FACE('',(#33958),#17426,.T.); #343384=ADVANCED_FACE('',(#33959),#17427,.T.); #343385=ADVANCED_FACE('',(#33960),#17428,.T.); #343386=ADVANCED_FACE('',(#33961),#17429,.T.); #343387=ADVANCED_FACE('',(#33962),#17430,.T.); #343388=ADVANCED_FACE('',(#33963),#17431,.T.); #343389=ADVANCED_FACE('',(#33964),#17432,.T.); #343390=ADVANCED_FACE('',(#33965),#17433,.T.); #343391=ADVANCED_FACE('',(#33966),#17434,.T.); #343392=ADVANCED_FACE('',(#33967),#17435,.T.); #343393=ADVANCED_FACE('',(#33968),#17436,.T.); #343394=ADVANCED_FACE('',(#33969),#17437,.T.); #343395=ADVANCED_FACE('',(#33970),#17438,.T.); #343396=ADVANCED_FACE('',(#33971),#17439,.T.); #343397=ADVANCED_FACE('',(#33972),#17440,.T.); #343398=ADVANCED_FACE('',(#33973),#17441,.T.); #343399=ADVANCED_FACE('',(#33974),#17442,.T.); #343400=ADVANCED_FACE('',(#33975),#17443,.T.); #343401=ADVANCED_FACE('',(#33976),#17444,.T.); #343402=ADVANCED_FACE('',(#33977),#17445,.T.); #343403=ADVANCED_FACE('',(#33978),#17446,.T.); #343404=ADVANCED_FACE('',(#33979),#17447,.T.); #343405=ADVANCED_FACE('',(#33980),#17448,.T.); #343406=ADVANCED_FACE('',(#33981),#17449,.T.); #343407=ADVANCED_FACE('',(#33982),#17450,.T.); #343408=ADVANCED_FACE('',(#33983),#17451,.T.); #343409=ADVANCED_FACE('',(#33984),#17452,.T.); #343410=ADVANCED_FACE('',(#33985),#17453,.T.); #343411=ADVANCED_FACE('',(#33986),#17454,.T.); #343412=ADVANCED_FACE('',(#33987),#17455,.T.); #343413=ADVANCED_FACE('',(#33988),#17456,.T.); #343414=ADVANCED_FACE('',(#33989),#17457,.T.); #343415=ADVANCED_FACE('',(#33990),#17458,.T.); #343416=ADVANCED_FACE('',(#33991),#17459,.T.); #343417=ADVANCED_FACE('',(#33992),#17460,.T.); #343418=ADVANCED_FACE('',(#33993),#17461,.T.); #343419=ADVANCED_FACE('',(#33994),#17462,.T.); #343420=ADVANCED_FACE('',(#33995),#17463,.T.); #343421=ADVANCED_FACE('',(#33996),#17464,.T.); #343422=ADVANCED_FACE('',(#33997),#17465,.T.); #343423=ADVANCED_FACE('',(#33998),#17466,.T.); #343424=ADVANCED_FACE('',(#33999),#17467,.T.); #343425=ADVANCED_FACE('',(#34000),#17468,.T.); #343426=ADVANCED_FACE('',(#34001),#17469,.T.); #343427=ADVANCED_FACE('',(#34002),#17470,.T.); #343428=ADVANCED_FACE('',(#34003),#17471,.T.); #343429=ADVANCED_FACE('',(#34004),#17472,.T.); #343430=ADVANCED_FACE('',(#34005),#17473,.T.); #343431=ADVANCED_FACE('',(#34006),#17474,.T.); #343432=ADVANCED_FACE('',(#34007),#17475,.T.); #343433=ADVANCED_FACE('',(#34008),#17476,.T.); #343434=ADVANCED_FACE('',(#34009),#17477,.T.); #343435=ADVANCED_FACE('',(#34010),#17478,.T.); #343436=ADVANCED_FACE('',(#34011),#17479,.T.); #343437=ADVANCED_FACE('',(#34012),#17480,.T.); #343438=ADVANCED_FACE('',(#34013),#17481,.T.); #343439=ADVANCED_FACE('',(#34014),#17482,.T.); #343440=ADVANCED_FACE('',(#34015),#17483,.T.); #343441=ADVANCED_FACE('',(#34016),#17484,.T.); #343442=ADVANCED_FACE('',(#34017),#17485,.T.); #343443=ADVANCED_FACE('',(#34018),#17486,.T.); #343444=ADVANCED_FACE('',(#34019),#17487,.T.); #343445=ADVANCED_FACE('',(#34020),#17488,.T.); #343446=ADVANCED_FACE('',(#34021),#17489,.T.); #343447=ADVANCED_FACE('',(#34022),#17490,.T.); #343448=ADVANCED_FACE('',(#34023),#17491,.T.); #343449=ADVANCED_FACE('',(#34024),#17492,.T.); #343450=ADVANCED_FACE('',(#34025),#17493,.T.); #343451=ADVANCED_FACE('',(#34026),#17494,.T.); #343452=ADVANCED_FACE('',(#34027),#17495,.T.); #343453=ADVANCED_FACE('',(#34028),#17496,.T.); #343454=ADVANCED_FACE('',(#34029),#17497,.T.); #343455=ADVANCED_FACE('',(#34030),#17498,.T.); #343456=ADVANCED_FACE('',(#34031),#17499,.T.); #343457=ADVANCED_FACE('',(#34032),#17500,.T.); #343458=ADVANCED_FACE('',(#34033),#17501,.T.); #343459=ADVANCED_FACE('',(#34034),#17502,.T.); #343460=ADVANCED_FACE('',(#34035),#17503,.T.); #343461=ADVANCED_FACE('',(#34036),#17504,.T.); #343462=ADVANCED_FACE('',(#34037),#17505,.T.); #343463=ADVANCED_FACE('',(#34038),#17506,.T.); #343464=ADVANCED_FACE('',(#34039),#17507,.T.); #343465=ADVANCED_FACE('',(#34040),#17508,.T.); #343466=ADVANCED_FACE('',(#34041),#17509,.T.); #343467=ADVANCED_FACE('',(#34042),#17510,.T.); #343468=ADVANCED_FACE('',(#34043),#17511,.T.); #343469=ADVANCED_FACE('',(#34044),#17512,.T.); #343470=ADVANCED_FACE('',(#34045),#17513,.T.); #343471=ADVANCED_FACE('',(#34046),#17514,.T.); #343472=ADVANCED_FACE('',(#34047),#17515,.T.); #343473=ADVANCED_FACE('',(#34048),#17516,.T.); #343474=ADVANCED_FACE('',(#34049),#17517,.T.); #343475=ADVANCED_FACE('',(#34050),#17518,.T.); #343476=ADVANCED_FACE('',(#34051),#17519,.T.); #343477=ADVANCED_FACE('',(#34052),#17520,.T.); #343478=ADVANCED_FACE('',(#34053),#17521,.T.); #343479=ADVANCED_FACE('',(#34054),#17522,.T.); #343480=ADVANCED_FACE('',(#34055),#17523,.T.); #343481=ADVANCED_FACE('',(#34056),#17524,.T.); #343482=ADVANCED_FACE('',(#34057),#17525,.T.); #343483=ADVANCED_FACE('',(#34058),#17526,.T.); #343484=ADVANCED_FACE('',(#34059),#17527,.T.); #343485=ADVANCED_FACE('',(#34060),#17528,.T.); #343486=ADVANCED_FACE('',(#34061),#17529,.T.); #343487=ADVANCED_FACE('',(#34062),#17530,.T.); #343488=ADVANCED_FACE('',(#34063),#17531,.T.); #343489=ADVANCED_FACE('',(#34064),#17532,.T.); #343490=ADVANCED_FACE('',(#34065),#17533,.T.); #343491=ADVANCED_FACE('',(#34066),#17534,.T.); #343492=ADVANCED_FACE('',(#34067),#17535,.T.); #343493=ADVANCED_FACE('',(#34068),#17536,.T.); #343494=ADVANCED_FACE('',(#34069),#17537,.T.); #343495=ADVANCED_FACE('',(#34070),#17538,.T.); #343496=ADVANCED_FACE('',(#34071),#17539,.T.); #343497=ADVANCED_FACE('',(#34072),#17540,.T.); #343498=ADVANCED_FACE('',(#34073),#17541,.T.); #343499=ADVANCED_FACE('',(#34074),#17542,.T.); #343500=ADVANCED_FACE('',(#34075),#17543,.T.); #343501=ADVANCED_FACE('',(#34076),#17544,.T.); #343502=ADVANCED_FACE('',(#34077),#17545,.T.); #343503=ADVANCED_FACE('',(#34078),#17546,.T.); #343504=ADVANCED_FACE('',(#34079),#17547,.T.); #343505=ADVANCED_FACE('',(#34080),#17548,.T.); #343506=ADVANCED_FACE('',(#34081),#17549,.T.); #343507=ADVANCED_FACE('',(#34082),#17550,.T.); #343508=ADVANCED_FACE('',(#34083),#17551,.T.); #343509=ADVANCED_FACE('',(#34084),#17552,.T.); #343510=ADVANCED_FACE('',(#34085),#17553,.T.); #343511=ADVANCED_FACE('',(#34086),#17554,.T.); #343512=ADVANCED_FACE('',(#34087),#17555,.T.); #343513=ADVANCED_FACE('',(#34088),#17556,.T.); #343514=ADVANCED_FACE('',(#34089),#17557,.T.); #343515=ADVANCED_FACE('',(#34090),#17558,.T.); #343516=ADVANCED_FACE('',(#34091),#17559,.T.); #343517=ADVANCED_FACE('',(#34092),#17560,.T.); #343518=ADVANCED_FACE('',(#34093),#17561,.T.); #343519=ADVANCED_FACE('',(#34094),#17562,.T.); #343520=ADVANCED_FACE('',(#34095),#17563,.T.); #343521=ADVANCED_FACE('',(#34096),#17564,.T.); #343522=ADVANCED_FACE('',(#34097),#17565,.T.); #343523=ADVANCED_FACE('',(#34098),#17566,.T.); #343524=ADVANCED_FACE('',(#34099),#17567,.T.); #343525=ADVANCED_FACE('',(#34100),#17568,.T.); #343526=ADVANCED_FACE('',(#34101),#17569,.T.); #343527=ADVANCED_FACE('',(#34102),#17570,.T.); #343528=ADVANCED_FACE('',(#34103),#17571,.T.); #343529=ADVANCED_FACE('',(#34104),#17572,.T.); #343530=ADVANCED_FACE('',(#34105),#17573,.T.); #343531=ADVANCED_FACE('',(#34106),#17574,.T.); #343532=ADVANCED_FACE('',(#34107),#17575,.T.); #343533=ADVANCED_FACE('',(#34108),#17576,.T.); #343534=ADVANCED_FACE('',(#34109),#17577,.T.); #343535=ADVANCED_FACE('',(#34110),#17578,.T.); #343536=ADVANCED_FACE('',(#34111),#17579,.T.); #343537=ADVANCED_FACE('',(#34112),#17580,.T.); #343538=ADVANCED_FACE('',(#34113),#17581,.T.); #343539=ADVANCED_FACE('',(#34114),#17582,.T.); #343540=ADVANCED_FACE('',(#34115),#17583,.T.); #343541=ADVANCED_FACE('',(#34116),#17584,.T.); #343542=ADVANCED_FACE('',(#34117),#17585,.T.); #343543=ADVANCED_FACE('',(#34118),#17586,.T.); #343544=ADVANCED_FACE('',(#34119),#17587,.T.); #343545=ADVANCED_FACE('',(#34120),#17588,.T.); #343546=ADVANCED_FACE('',(#34121),#17589,.T.); #343547=ADVANCED_FACE('',(#34122),#17590,.T.); #343548=ADVANCED_FACE('',(#34123),#17591,.T.); #343549=ADVANCED_FACE('',(#34124),#17592,.T.); #343550=ADVANCED_FACE('',(#34125),#17593,.T.); #343551=ADVANCED_FACE('',(#34126),#17594,.T.); #343552=ADVANCED_FACE('',(#34127),#17595,.T.); #343553=ADVANCED_FACE('',(#34128),#17596,.T.); #343554=ADVANCED_FACE('',(#34129),#17597,.T.); #343555=ADVANCED_FACE('',(#34130),#17598,.T.); #343556=ADVANCED_FACE('',(#34131),#17599,.T.); #343557=ADVANCED_FACE('',(#34132),#17600,.T.); #343558=ADVANCED_FACE('',(#34133),#17601,.T.); #343559=ADVANCED_FACE('',(#34134),#17602,.T.); #343560=ADVANCED_FACE('',(#34135),#17603,.T.); #343561=ADVANCED_FACE('',(#34136),#17604,.T.); #343562=ADVANCED_FACE('',(#34137),#17605,.T.); #343563=ADVANCED_FACE('',(#34138),#17606,.T.); #343564=ADVANCED_FACE('',(#34139),#17607,.T.); #343565=ADVANCED_FACE('',(#34140),#17608,.T.); #343566=ADVANCED_FACE('',(#34141),#17609,.T.); #343567=ADVANCED_FACE('',(#34142),#17610,.T.); #343568=ADVANCED_FACE('',(#34143),#17611,.T.); #343569=ADVANCED_FACE('',(#34144),#17612,.T.); #343570=ADVANCED_FACE('',(#34145),#17613,.T.); #343571=ADVANCED_FACE('',(#34146),#17614,.T.); #343572=ADVANCED_FACE('',(#34147),#17615,.T.); #343573=ADVANCED_FACE('',(#34148),#17616,.T.); #343574=ADVANCED_FACE('',(#34149),#17617,.T.); #343575=ADVANCED_FACE('',(#34150),#17618,.T.); #343576=ADVANCED_FACE('',(#34151),#17619,.T.); #343577=ADVANCED_FACE('',(#34152),#17620,.T.); #343578=ADVANCED_FACE('',(#34153),#17621,.T.); #343579=ADVANCED_FACE('',(#34154),#17622,.T.); #343580=ADVANCED_FACE('',(#34155),#17623,.T.); #343581=ADVANCED_FACE('',(#34156),#17624,.T.); #343582=ADVANCED_FACE('',(#34157),#17625,.T.); #343583=ADVANCED_FACE('',(#34158),#17626,.T.); #343584=ADVANCED_FACE('',(#34159),#17627,.T.); #343585=ADVANCED_FACE('',(#34160),#17628,.T.); #343586=ADVANCED_FACE('',(#34161),#17629,.T.); #343587=ADVANCED_FACE('',(#34162),#17630,.T.); #343588=ADVANCED_FACE('',(#34163),#17631,.T.); #343589=ADVANCED_FACE('',(#34164),#17632,.T.); #343590=ADVANCED_FACE('',(#34165),#17633,.T.); #343591=ADVANCED_FACE('',(#34166),#17634,.T.); #343592=ADVANCED_FACE('',(#34167),#17635,.T.); #343593=ADVANCED_FACE('',(#34168),#17636,.T.); #343594=ADVANCED_FACE('',(#34169),#17637,.T.); #343595=ADVANCED_FACE('',(#34170),#17638,.T.); #343596=ADVANCED_FACE('',(#34171),#17639,.T.); #343597=ADVANCED_FACE('',(#34172),#17640,.T.); #343598=ADVANCED_FACE('',(#34173),#17641,.T.); #343599=ADVANCED_FACE('',(#34174),#17642,.T.); #343600=ADVANCED_FACE('',(#34175),#17643,.T.); #343601=ADVANCED_FACE('',(#34176),#17644,.T.); #343602=ADVANCED_FACE('',(#34177),#17645,.T.); #343603=ADVANCED_FACE('',(#34178),#17646,.T.); #343604=ADVANCED_FACE('',(#34179),#17647,.T.); #343605=ADVANCED_FACE('',(#34180),#17648,.T.); #343606=ADVANCED_FACE('',(#34181),#17649,.T.); #343607=ADVANCED_FACE('',(#34182),#17650,.T.); #343608=ADVANCED_FACE('',(#34183),#17651,.T.); #343609=ADVANCED_FACE('',(#34184),#17652,.T.); #343610=ADVANCED_FACE('',(#34185),#17653,.T.); #343611=ADVANCED_FACE('',(#34186),#17654,.T.); #343612=ADVANCED_FACE('',(#34187),#17655,.T.); #343613=ADVANCED_FACE('',(#34188),#17656,.T.); #343614=ADVANCED_FACE('',(#34189),#17657,.T.); #343615=ADVANCED_FACE('',(#34190),#17658,.T.); #343616=ADVANCED_FACE('',(#34191),#17659,.T.); #343617=ADVANCED_FACE('',(#34192),#17660,.T.); #343618=ADVANCED_FACE('',(#34193),#17661,.T.); #343619=ADVANCED_FACE('',(#34194),#17662,.T.); #343620=ADVANCED_FACE('',(#34195),#17663,.T.); #343621=ADVANCED_FACE('',(#34196),#17664,.T.); #343622=ADVANCED_FACE('',(#34197),#17665,.T.); #343623=ADVANCED_FACE('',(#34198),#17666,.T.); #343624=ADVANCED_FACE('',(#34199),#17667,.T.); #343625=ADVANCED_FACE('',(#34200),#17668,.T.); #343626=ADVANCED_FACE('',(#34201),#17669,.T.); #343627=ADVANCED_FACE('',(#34202),#17670,.T.); #343628=ADVANCED_FACE('',(#34203),#17671,.T.); #343629=ADVANCED_FACE('',(#34204),#17672,.T.); #343630=ADVANCED_FACE('',(#34205),#17673,.T.); #343631=ADVANCED_FACE('',(#34206),#17674,.T.); #343632=ADVANCED_FACE('',(#34207),#17675,.T.); #343633=ADVANCED_FACE('',(#34208),#17676,.T.); #343634=ADVANCED_FACE('',(#34209),#17677,.T.); #343635=ADVANCED_FACE('',(#34210),#17678,.T.); #343636=ADVANCED_FACE('',(#34211),#17679,.T.); #343637=ADVANCED_FACE('',(#34212),#17680,.T.); #343638=ADVANCED_FACE('',(#34213),#17681,.T.); #343639=ADVANCED_FACE('',(#34214),#17682,.T.); #343640=ADVANCED_FACE('',(#34215),#17683,.T.); #343641=ADVANCED_FACE('',(#34216),#17684,.T.); #343642=ADVANCED_FACE('',(#34217),#17685,.T.); #343643=ADVANCED_FACE('',(#34218),#17686,.T.); #343644=ADVANCED_FACE('',(#34219),#17687,.T.); #343645=ADVANCED_FACE('',(#34220),#17688,.T.); #343646=ADVANCED_FACE('',(#34221),#17689,.T.); #343647=ADVANCED_FACE('',(#34222),#17690,.T.); #343648=ADVANCED_FACE('',(#34223),#17691,.T.); #343649=ADVANCED_FACE('',(#34224),#17692,.T.); #343650=ADVANCED_FACE('',(#34225),#17693,.T.); #343651=ADVANCED_FACE('',(#34226),#17694,.T.); #343652=ADVANCED_FACE('',(#34227),#17695,.T.); #343653=ADVANCED_FACE('',(#34228),#17696,.T.); #343654=ADVANCED_FACE('',(#34229),#17697,.T.); #343655=ADVANCED_FACE('',(#34230),#17698,.T.); #343656=ADVANCED_FACE('',(#34231),#17699,.T.); #343657=ADVANCED_FACE('',(#34232),#17700,.T.); #343658=ADVANCED_FACE('',(#34233),#17701,.T.); #343659=ADVANCED_FACE('',(#34234),#17702,.T.); #343660=ADVANCED_FACE('',(#34235),#17703,.T.); #343661=ADVANCED_FACE('',(#34236),#17704,.T.); #343662=ADVANCED_FACE('',(#34237),#17705,.T.); #343663=ADVANCED_FACE('',(#34238),#17706,.T.); #343664=ADVANCED_FACE('',(#34239),#17707,.T.); #343665=ADVANCED_FACE('',(#34240),#17708,.T.); #343666=ADVANCED_FACE('',(#34241),#17709,.T.); #343667=ADVANCED_FACE('',(#34242),#17710,.T.); #343668=ADVANCED_FACE('',(#34243),#17711,.T.); #343669=ADVANCED_FACE('',(#34244),#17712,.T.); #343670=ADVANCED_FACE('',(#34245),#17713,.T.); #343671=ADVANCED_FACE('',(#34246),#17714,.T.); #343672=ADVANCED_FACE('',(#34247),#17715,.T.); #343673=ADVANCED_FACE('',(#34248),#17716,.T.); #343674=ADVANCED_FACE('',(#34249),#17717,.T.); #343675=ADVANCED_FACE('',(#34250),#17718,.T.); #343676=ADVANCED_FACE('',(#34251),#17719,.T.); #343677=ADVANCED_FACE('',(#34252),#17720,.T.); #343678=ADVANCED_FACE('',(#34253),#17721,.T.); #343679=ADVANCED_FACE('',(#34254),#17722,.T.); #343680=ADVANCED_FACE('',(#34255),#17723,.T.); #343681=ADVANCED_FACE('',(#34256),#17724,.T.); #343682=ADVANCED_FACE('',(#34257),#17725,.T.); #343683=ADVANCED_FACE('',(#34258),#17726,.T.); #343684=ADVANCED_FACE('',(#34259),#17727,.T.); #343685=ADVANCED_FACE('',(#34260),#17728,.T.); #343686=ADVANCED_FACE('',(#34261),#17729,.T.); #343687=ADVANCED_FACE('',(#34262),#17730,.T.); #343688=ADVANCED_FACE('',(#34263),#17731,.T.); #343689=ADVANCED_FACE('',(#34264),#17732,.T.); #343690=ADVANCED_FACE('',(#34265),#17733,.T.); #343691=ADVANCED_FACE('',(#34266),#17734,.T.); #343692=ADVANCED_FACE('',(#34267),#17735,.T.); #343693=ADVANCED_FACE('',(#34268),#17736,.T.); #343694=ADVANCED_FACE('',(#34269),#17737,.T.); #343695=ADVANCED_FACE('',(#34270),#17738,.T.); #343696=ADVANCED_FACE('',(#34271),#17739,.T.); #343697=ADVANCED_FACE('',(#34272),#17740,.T.); #343698=ADVANCED_FACE('',(#34273),#17741,.T.); #343699=ADVANCED_FACE('',(#34274),#17742,.T.); #343700=ADVANCED_FACE('',(#34275),#17743,.T.); #343701=ADVANCED_FACE('',(#34276),#17744,.T.); #343702=ADVANCED_FACE('',(#34277),#17745,.T.); #343703=ADVANCED_FACE('',(#34278),#17746,.T.); #343704=ADVANCED_FACE('',(#34279),#17747,.T.); #343705=ADVANCED_FACE('',(#34280),#17748,.T.); #343706=ADVANCED_FACE('',(#34281),#17749,.T.); #343707=ADVANCED_FACE('',(#34282),#17750,.T.); #343708=ADVANCED_FACE('',(#34283),#17751,.T.); #343709=ADVANCED_FACE('',(#34284),#17752,.T.); #343710=ADVANCED_FACE('',(#34285),#17753,.T.); #343711=ADVANCED_FACE('',(#34286),#17754,.T.); #343712=ADVANCED_FACE('',(#34287),#17755,.T.); #343713=ADVANCED_FACE('',(#34288),#17756,.T.); #343714=ADVANCED_FACE('',(#34289),#17757,.T.); #343715=ADVANCED_FACE('',(#34290),#17758,.T.); #343716=ADVANCED_FACE('',(#34291),#17759,.T.); #343717=ADVANCED_FACE('',(#34292),#17760,.T.); #343718=ADVANCED_FACE('',(#34293),#17761,.T.); #343719=ADVANCED_FACE('',(#34294),#17762,.T.); #343720=ADVANCED_FACE('',(#34295),#17763,.T.); #343721=ADVANCED_FACE('',(#34296),#17764,.T.); #343722=ADVANCED_FACE('',(#34297),#17765,.T.); #343723=ADVANCED_FACE('',(#34298),#17766,.T.); #343724=ADVANCED_FACE('',(#34299),#17767,.T.); #343725=ADVANCED_FACE('',(#34300),#17768,.T.); #343726=ADVANCED_FACE('',(#34301),#17769,.T.); #343727=ADVANCED_FACE('',(#34302),#17770,.T.); #343728=ADVANCED_FACE('',(#34303),#17771,.T.); #343729=ADVANCED_FACE('',(#34304),#17772,.T.); #343730=ADVANCED_FACE('',(#34305),#17773,.T.); #343731=ADVANCED_FACE('',(#34306),#17774,.T.); #343732=ADVANCED_FACE('',(#34307),#17775,.T.); #343733=ADVANCED_FACE('',(#34308),#17776,.T.); #343734=ADVANCED_FACE('',(#34309),#17777,.T.); #343735=ADVANCED_FACE('',(#34310),#17778,.T.); #343736=ADVANCED_FACE('',(#34311),#17779,.T.); #343737=ADVANCED_FACE('',(#34312),#17780,.T.); #343738=ADVANCED_FACE('',(#34313),#17781,.T.); #343739=ADVANCED_FACE('',(#34314),#17782,.T.); #343740=ADVANCED_FACE('',(#34315),#17783,.T.); #343741=ADVANCED_FACE('',(#34316),#17784,.T.); #343742=ADVANCED_FACE('',(#34317),#17785,.T.); #343743=ADVANCED_FACE('',(#34318),#17786,.T.); #343744=ADVANCED_FACE('',(#34319),#17787,.T.); #343745=ADVANCED_FACE('',(#34320),#17788,.T.); #343746=ADVANCED_FACE('',(#34321),#17789,.T.); #343747=ADVANCED_FACE('',(#34322),#17790,.T.); #343748=ADVANCED_FACE('',(#34323),#17791,.T.); #343749=ADVANCED_FACE('',(#34324),#17792,.T.); #343750=ADVANCED_FACE('',(#34325),#17793,.T.); #343751=ADVANCED_FACE('',(#34326),#17794,.T.); #343752=ADVANCED_FACE('',(#34327),#17795,.T.); #343753=ADVANCED_FACE('',(#34328),#17796,.T.); #343754=ADVANCED_FACE('',(#34329),#17797,.T.); #343755=ADVANCED_FACE('',(#34330),#17798,.T.); #343756=ADVANCED_FACE('',(#34331),#17799,.T.); #343757=ADVANCED_FACE('',(#34332),#17800,.T.); #343758=ADVANCED_FACE('',(#34333),#17801,.T.); #343759=ADVANCED_FACE('',(#34334),#17802,.T.); #343760=ADVANCED_FACE('',(#34335),#17803,.T.); #343761=ADVANCED_FACE('',(#34336),#17804,.T.); #343762=ADVANCED_FACE('',(#34337),#17805,.T.); #343763=ADVANCED_FACE('',(#34338),#17806,.T.); #343764=ADVANCED_FACE('',(#34339),#17807,.T.); #343765=ADVANCED_FACE('',(#34340),#17808,.T.); #343766=ADVANCED_FACE('',(#34341),#17809,.T.); #343767=ADVANCED_FACE('',(#34342),#17810,.T.); #343768=ADVANCED_FACE('',(#34343),#17811,.T.); #343769=ADVANCED_FACE('',(#34344),#17812,.T.); #343770=ADVANCED_FACE('',(#34345),#17813,.T.); #343771=ADVANCED_FACE('',(#34346),#17814,.T.); #343772=ADVANCED_FACE('',(#34347),#17815,.T.); #343773=ADVANCED_FACE('',(#34348),#17816,.T.); #343774=ADVANCED_FACE('',(#34349),#17817,.T.); #343775=ADVANCED_FACE('',(#34350),#17818,.T.); #343776=ADVANCED_FACE('',(#34351),#17819,.T.); #343777=ADVANCED_FACE('',(#34352),#17820,.T.); #343778=ADVANCED_FACE('',(#34353),#17821,.T.); #343779=ADVANCED_FACE('',(#34354),#17822,.T.); #343780=ADVANCED_FACE('',(#34355),#17823,.T.); #343781=ADVANCED_FACE('',(#34356),#17824,.T.); #343782=ADVANCED_FACE('',(#34357),#17825,.T.); #343783=ADVANCED_FACE('',(#34358),#17826,.T.); #343784=ADVANCED_FACE('',(#34359),#17827,.T.); #343785=ADVANCED_FACE('',(#34360),#17828,.T.); #343786=ADVANCED_FACE('',(#34361),#17829,.T.); #343787=ADVANCED_FACE('',(#34362),#17830,.T.); #343788=ADVANCED_FACE('',(#34363),#17831,.T.); #343789=ADVANCED_FACE('',(#34364),#17832,.T.); #343790=ADVANCED_FACE('',(#34365),#17833,.T.); #343791=ADVANCED_FACE('',(#34366),#17834,.T.); #343792=ADVANCED_FACE('',(#34367),#17835,.T.); #343793=ADVANCED_FACE('',(#34368),#17836,.T.); #343794=ADVANCED_FACE('',(#34369),#17837,.T.); #343795=ADVANCED_FACE('',(#34370),#17838,.T.); #343796=ADVANCED_FACE('',(#34371),#17839,.T.); #343797=ADVANCED_FACE('',(#34372),#17840,.T.); #343798=ADVANCED_FACE('',(#34373),#17841,.T.); #343799=ADVANCED_FACE('',(#34374),#17842,.T.); #343800=ADVANCED_FACE('',(#34375),#17843,.T.); #343801=ADVANCED_FACE('',(#34376),#17844,.T.); #343802=ADVANCED_FACE('',(#34377),#17845,.T.); #343803=ADVANCED_FACE('',(#34378),#17846,.T.); #343804=ADVANCED_FACE('',(#34379),#17847,.T.); #343805=ADVANCED_FACE('',(#34380),#17848,.T.); #343806=ADVANCED_FACE('',(#34381),#17849,.T.); #343807=ADVANCED_FACE('',(#34382),#17850,.T.); #343808=ADVANCED_FACE('',(#34383),#17851,.T.); #343809=ADVANCED_FACE('',(#34384),#17852,.T.); #343810=ADVANCED_FACE('',(#34385),#17853,.T.); #343811=ADVANCED_FACE('',(#34386),#17854,.T.); #343812=ADVANCED_FACE('',(#34387),#17855,.T.); #343813=ADVANCED_FACE('',(#34388),#17856,.T.); #343814=ADVANCED_FACE('',(#34389),#17857,.T.); #343815=ADVANCED_FACE('',(#34390),#17858,.T.); #343816=ADVANCED_FACE('',(#34391),#17859,.T.); #343817=ADVANCED_FACE('',(#34392),#17860,.T.); #343818=ADVANCED_FACE('',(#34393),#17861,.T.); #343819=ADVANCED_FACE('',(#34394),#17862,.T.); #343820=ADVANCED_FACE('',(#34395),#17863,.T.); #343821=ADVANCED_FACE('',(#34396),#17864,.T.); #343822=ADVANCED_FACE('',(#34397),#17865,.T.); #343823=ADVANCED_FACE('',(#34398),#17866,.T.); #343824=ADVANCED_FACE('',(#34399),#17867,.T.); #343825=ADVANCED_FACE('',(#34400),#17868,.T.); #343826=ADVANCED_FACE('',(#34401),#17869,.T.); #343827=ADVANCED_FACE('',(#34402),#17870,.T.); #343828=ADVANCED_FACE('',(#34403),#17871,.T.); #343829=ADVANCED_FACE('',(#34404),#17872,.T.); #343830=ADVANCED_FACE('',(#34405,#5926,#5927,#5928,#5929,#5930,#5931,#5932, #5933,#5934,#5935,#5936,#5937,#5938,#5939,#5940,#5941,#5942,#5943,#5944, #5945,#5946,#5947,#5948,#5949,#5950,#5951,#5952,#5953,#5954,#5955,#5956, #5957,#5958,#5959,#5960,#5961,#5962,#5963,#5964,#5965,#5966,#5967,#5968, #5969,#5970,#5971,#5972,#5973,#5974,#5975,#5976,#5977,#5978,#5979,#5980, #5981,#5982,#5983,#5984,#5985,#5986,#5987,#5988,#5989,#5990,#5991,#5992, #5993,#5994,#5995,#5996,#5997,#5998,#5999,#6000,#6001,#6002,#6003,#6004, #6005,#6006,#6007,#6008,#6009,#6010,#6011,#6012,#6013,#6014,#6015,#6016, #6017,#6018,#6019,#6020,#6021,#6022,#6023,#6024,#6025,#6026,#6027,#6028, #6029,#6030,#6031,#6032,#6033,#6034,#6035,#6036,#6037,#6038,#6039,#6040, #6041,#6042,#6043,#6044,#6045,#6046,#6047,#6048,#6049,#6050,#6051,#6052, #6053,#6054,#6055,#6056,#6057,#6058,#6059,#6060,#6061,#6062,#6063,#6064, #6065,#6066,#6067,#6068,#6069,#6070),#17873,.T.); #343831=ADVANCED_FACE('',(#34406,#6071,#6072,#6073,#6074,#6075,#6076,#6077, #6078,#6079,#6080,#6081,#6082,#6083,#6084,#6085,#6086,#6087,#6088,#6089, #6090,#6091,#6092,#6093,#6094,#6095,#6096,#6097,#6098,#6099,#6100,#6101, #6102,#6103,#6104,#6105,#6106,#6107,#6108,#6109,#6110,#6111,#6112,#6113, #6114,#6115,#6116,#6117,#6118,#6119,#6120,#6121,#6122,#6123,#6124,#6125, #6126,#6127,#6128,#6129,#6130,#6131,#6132,#6133,#6134,#6135,#6136,#6137, #6138,#6139,#6140,#6141,#6142,#6143,#6144,#6145,#6146,#6147,#6148,#6149, #6150,#6151,#6152,#6153,#6154,#6155,#6156,#6157,#6158,#6159,#6160,#6161, #6162,#6163,#6164,#6165,#6166,#6167,#6168,#6169,#6170,#6171,#6172,#6173, #6174,#6175,#6176,#6177,#6178,#6179,#6180,#6181,#6182,#6183,#6184,#6185, #6186,#6187,#6188,#6189,#6190,#6191,#6192,#6193,#6194,#6195,#6196,#6197, #6198,#6199,#6200,#6201,#6202,#6203,#6204,#6205,#6206,#6207,#6208,#6209, #6210,#6211,#6212,#6213,#6214,#6215),#17874,.F.); #343832=ADVANCED_FACE('',(#34407),#17875,.T.); #343833=ADVANCED_FACE('',(#34408),#17876,.T.); #343834=ADVANCED_FACE('',(#34409),#17877,.T.); #343835=ADVANCED_FACE('',(#34410),#17878,.T.); #343836=ADVANCED_FACE('',(#34411),#17879,.T.); #343837=ADVANCED_FACE('',(#34412),#17880,.T.); #343838=ADVANCED_FACE('',(#34413),#17881,.T.); #343839=ADVANCED_FACE('',(#34414),#17882,.T.); #343840=ADVANCED_FACE('',(#34415),#17883,.T.); #343841=ADVANCED_FACE('',(#34416),#17884,.T.); #343842=ADVANCED_FACE('',(#34417),#17885,.T.); #343843=ADVANCED_FACE('',(#34418),#17886,.T.); #343844=ADVANCED_FACE('',(#34419),#17887,.T.); #343845=ADVANCED_FACE('',(#34420),#17888,.T.); #343846=ADVANCED_FACE('',(#34421),#17889,.T.); #343847=ADVANCED_FACE('',(#34422),#17890,.T.); #343848=ADVANCED_FACE('',(#34423),#17891,.T.); #343849=ADVANCED_FACE('',(#34424),#17892,.T.); #343850=ADVANCED_FACE('',(#34425),#17893,.T.); #343851=ADVANCED_FACE('',(#34426),#17894,.T.); #343852=ADVANCED_FACE('',(#34427),#17895,.T.); #343853=ADVANCED_FACE('',(#34428),#17896,.T.); #343854=ADVANCED_FACE('',(#34429),#17897,.T.); #343855=ADVANCED_FACE('',(#34430),#17898,.T.); #343856=ADVANCED_FACE('',(#34431),#17899,.T.); #343857=ADVANCED_FACE('',(#34432),#17900,.T.); #343858=ADVANCED_FACE('',(#34433),#17901,.T.); #343859=ADVANCED_FACE('',(#34434),#17902,.T.); #343860=ADVANCED_FACE('',(#34435),#17903,.F.); #343861=ADVANCED_FACE('',(#34436),#17904,.T.); #343862=ADVANCED_FACE('',(#34437),#17905,.T.); #343863=ADVANCED_FACE('',(#34438),#17906,.T.); #343864=ADVANCED_FACE('',(#34439),#17907,.T.); #343865=ADVANCED_FACE('',(#34440),#17908,.T.); #343866=ADVANCED_FACE('',(#34441),#17909,.T.); #343867=ADVANCED_FACE('',(#34442),#17910,.T.); #343868=ADVANCED_FACE('',(#34443),#17911,.T.); #343869=ADVANCED_FACE('',(#34444),#17912,.T.); #343870=ADVANCED_FACE('',(#34445),#17913,.T.); #343871=ADVANCED_FACE('',(#34446),#17914,.T.); #343872=ADVANCED_FACE('',(#34447),#17915,.T.); #343873=ADVANCED_FACE('',(#34448),#17916,.T.); #343874=ADVANCED_FACE('',(#34449),#17917,.T.); #343875=ADVANCED_FACE('',(#34450),#17918,.T.); #343876=ADVANCED_FACE('',(#34451),#17919,.T.); #343877=ADVANCED_FACE('',(#34452),#17920,.T.); #343878=ADVANCED_FACE('',(#34453),#17921,.T.); #343879=ADVANCED_FACE('',(#34454),#17922,.T.); #343880=ADVANCED_FACE('',(#34455),#17923,.T.); #343881=ADVANCED_FACE('',(#34456),#17924,.T.); #343882=ADVANCED_FACE('',(#34457),#17925,.T.); #343883=ADVANCED_FACE('',(#34458),#17926,.F.); #343884=ADVANCED_FACE('',(#34459),#17927,.T.); #343885=ADVANCED_FACE('',(#34460),#17928,.T.); #343886=ADVANCED_FACE('',(#34461),#17929,.T.); #343887=ADVANCED_FACE('',(#34462),#17930,.T.); #343888=ADVANCED_FACE('',(#34463),#17931,.T.); #343889=ADVANCED_FACE('',(#34464),#17932,.T.); #343890=ADVANCED_FACE('',(#34465),#17933,.T.); #343891=ADVANCED_FACE('',(#34466),#17934,.T.); #343892=ADVANCED_FACE('',(#34467),#17935,.T.); #343893=ADVANCED_FACE('',(#34468),#17936,.T.); #343894=ADVANCED_FACE('',(#34469),#17937,.T.); #343895=ADVANCED_FACE('',(#34470),#17938,.T.); #343896=ADVANCED_FACE('',(#34471),#17939,.T.); #343897=ADVANCED_FACE('',(#34472),#17940,.T.); #343898=ADVANCED_FACE('',(#34473),#17941,.T.); #343899=ADVANCED_FACE('',(#34474),#17942,.T.); #343900=ADVANCED_FACE('',(#34475),#17943,.T.); #343901=ADVANCED_FACE('',(#34476),#17944,.T.); #343902=ADVANCED_FACE('',(#34477),#17945,.T.); #343903=ADVANCED_FACE('',(#34478),#17946,.T.); #343904=ADVANCED_FACE('',(#34479),#17947,.T.); #343905=ADVANCED_FACE('',(#34480),#17948,.F.); #343906=ADVANCED_FACE('',(#34481),#17949,.T.); #343907=ADVANCED_FACE('',(#34482),#17950,.T.); #343908=ADVANCED_FACE('',(#34483),#17951,.T.); #343909=ADVANCED_FACE('',(#34484),#17952,.T.); #343910=ADVANCED_FACE('',(#34485),#17953,.T.); #343911=ADVANCED_FACE('',(#34486),#17954,.T.); #343912=ADVANCED_FACE('',(#34487),#17955,.T.); #343913=ADVANCED_FACE('',(#34488),#17956,.T.); #343914=ADVANCED_FACE('',(#34489),#17957,.T.); #343915=ADVANCED_FACE('',(#34490),#17958,.T.); #343916=ADVANCED_FACE('',(#34491),#17959,.T.); #343917=ADVANCED_FACE('',(#34492),#17960,.T.); #343918=ADVANCED_FACE('',(#34493),#17961,.T.); #343919=ADVANCED_FACE('',(#34494),#17962,.T.); #343920=ADVANCED_FACE('',(#34495),#17963,.T.); #343921=ADVANCED_FACE('',(#34496),#17964,.T.); #343922=ADVANCED_FACE('',(#34497),#17965,.T.); #343923=ADVANCED_FACE('',(#34498),#17966,.T.); #343924=ADVANCED_FACE('',(#34499),#17967,.T.); #343925=ADVANCED_FACE('',(#34500),#17968,.T.); #343926=ADVANCED_FACE('',(#34501),#17969,.T.); #343927=ADVANCED_FACE('',(#34502),#17970,.T.); #343928=ADVANCED_FACE('',(#34503),#17971,.T.); #343929=ADVANCED_FACE('',(#34504),#17972,.T.); #343930=ADVANCED_FACE('',(#34505),#17973,.T.); #343931=ADVANCED_FACE('',(#34506),#17974,.T.); #343932=ADVANCED_FACE('',(#34507),#17975,.T.); #343933=ADVANCED_FACE('',(#34508),#17976,.T.); #343934=ADVANCED_FACE('',(#34509),#17977,.T.); #343935=ADVANCED_FACE('',(#34510),#17978,.T.); #343936=ADVANCED_FACE('',(#34511),#17979,.T.); #343937=ADVANCED_FACE('',(#34512),#17980,.T.); #343938=ADVANCED_FACE('',(#34513),#17981,.T.); #343939=ADVANCED_FACE('',(#34514),#17982,.T.); #343940=ADVANCED_FACE('',(#34515),#17983,.T.); #343941=ADVANCED_FACE('',(#34516),#17984,.T.); #343942=ADVANCED_FACE('',(#34517),#17985,.T.); #343943=ADVANCED_FACE('',(#34518),#17986,.T.); #343944=ADVANCED_FACE('',(#34519),#17987,.T.); #343945=ADVANCED_FACE('',(#34520),#17988,.T.); #343946=ADVANCED_FACE('',(#34521),#17989,.T.); #343947=ADVANCED_FACE('',(#34522),#17990,.T.); #343948=ADVANCED_FACE('',(#34523),#17991,.T.); #343949=ADVANCED_FACE('',(#34524),#17992,.T.); #343950=ADVANCED_FACE('',(#34525),#17993,.T.); #343951=ADVANCED_FACE('',(#34526),#17994,.T.); #343952=ADVANCED_FACE('',(#34527),#17995,.T.); #343953=ADVANCED_FACE('',(#34528),#17996,.T.); #343954=ADVANCED_FACE('',(#34529),#17997,.F.); #343955=ADVANCED_FACE('',(#34530),#17998,.T.); #343956=ADVANCED_FACE('',(#34531),#17999,.T.); #343957=ADVANCED_FACE('',(#34532),#18000,.T.); #343958=ADVANCED_FACE('',(#34533),#18001,.T.); #343959=ADVANCED_FACE('',(#34534),#18002,.T.); #343960=ADVANCED_FACE('',(#34535),#18003,.T.); #343961=ADVANCED_FACE('',(#34536),#18004,.T.); #343962=ADVANCED_FACE('',(#34537),#18005,.T.); #343963=ADVANCED_FACE('',(#34538),#18006,.T.); #343964=ADVANCED_FACE('',(#34539),#18007,.T.); #343965=ADVANCED_FACE('',(#34540),#18008,.T.); #343966=ADVANCED_FACE('',(#34541),#18009,.T.); #343967=ADVANCED_FACE('',(#34542),#18010,.T.); #343968=ADVANCED_FACE('',(#34543),#18011,.T.); #343969=ADVANCED_FACE('',(#34544),#18012,.T.); #343970=ADVANCED_FACE('',(#34545),#18013,.T.); #343971=ADVANCED_FACE('',(#34546),#18014,.T.); #343972=ADVANCED_FACE('',(#34547),#18015,.T.); #343973=ADVANCED_FACE('',(#34548),#18016,.T.); #343974=ADVANCED_FACE('',(#34549),#18017,.T.); #343975=ADVANCED_FACE('',(#34550),#18018,.T.); #343976=ADVANCED_FACE('',(#34551),#18019,.T.); #343977=ADVANCED_FACE('',(#34552),#18020,.T.); #343978=ADVANCED_FACE('',(#34553),#18021,.T.); #343979=ADVANCED_FACE('',(#34554),#18022,.T.); #343980=ADVANCED_FACE('',(#34555),#18023,.T.); #343981=ADVANCED_FACE('',(#34556),#18024,.T.); #343982=ADVANCED_FACE('',(#34557),#18025,.T.); #343983=ADVANCED_FACE('',(#34558),#18026,.T.); #343984=ADVANCED_FACE('',(#34559),#18027,.T.); #343985=ADVANCED_FACE('',(#34560),#18028,.T.); #343986=ADVANCED_FACE('',(#34561),#18029,.T.); #343987=ADVANCED_FACE('',(#34562),#18030,.T.); #343988=ADVANCED_FACE('',(#34563),#18031,.T.); #343989=ADVANCED_FACE('',(#34564),#18032,.T.); #343990=ADVANCED_FACE('',(#34565),#18033,.F.); #343991=ADVANCED_FACE('',(#34566),#18034,.T.); #343992=ADVANCED_FACE('',(#34567),#18035,.T.); #343993=ADVANCED_FACE('',(#34568),#18036,.T.); #343994=ADVANCED_FACE('',(#34569),#18037,.T.); #343995=ADVANCED_FACE('',(#34570),#18038,.T.); #343996=ADVANCED_FACE('',(#34571),#18039,.T.); #343997=ADVANCED_FACE('',(#34572),#18040,.T.); #343998=ADVANCED_FACE('',(#34573),#18041,.T.); #343999=ADVANCED_FACE('',(#34574),#18042,.T.); #344000=ADVANCED_FACE('',(#34575),#18043,.T.); #344001=ADVANCED_FACE('',(#34576),#18044,.T.); #344002=ADVANCED_FACE('',(#34577),#18045,.T.); #344003=ADVANCED_FACE('',(#34578),#18046,.T.); #344004=ADVANCED_FACE('',(#34579),#18047,.T.); #344005=ADVANCED_FACE('',(#34580),#18048,.T.); #344006=ADVANCED_FACE('',(#34581),#18049,.T.); #344007=ADVANCED_FACE('',(#34582),#18050,.T.); #344008=ADVANCED_FACE('',(#34583),#18051,.T.); #344009=ADVANCED_FACE('',(#34584),#18052,.T.); #344010=ADVANCED_FACE('',(#34585),#18053,.T.); #344011=ADVANCED_FACE('',(#34586),#18054,.T.); #344012=ADVANCED_FACE('',(#34587),#18055,.T.); #344013=ADVANCED_FACE('',(#34588),#18056,.T.); #344014=ADVANCED_FACE('',(#34589),#18057,.F.); #344015=ADVANCED_FACE('',(#34590),#18058,.T.); #344016=ADVANCED_FACE('',(#34591),#18059,.T.); #344017=ADVANCED_FACE('',(#34592),#18060,.T.); #344018=ADVANCED_FACE('',(#34593),#18061,.T.); #344019=ADVANCED_FACE('',(#34594),#18062,.T.); #344020=ADVANCED_FACE('',(#34595),#18063,.T.); #344021=ADVANCED_FACE('',(#34596),#18064,.T.); #344022=ADVANCED_FACE('',(#34597),#18065,.T.); #344023=ADVANCED_FACE('',(#34598),#18066,.T.); #344024=ADVANCED_FACE('',(#34599),#18067,.T.); #344025=ADVANCED_FACE('',(#34600),#18068,.T.); #344026=ADVANCED_FACE('',(#34601),#18069,.T.); #344027=ADVANCED_FACE('',(#34602),#18070,.T.); #344028=ADVANCED_FACE('',(#34603),#18071,.T.); #344029=ADVANCED_FACE('',(#34604),#18072,.T.); #344030=ADVANCED_FACE('',(#34605),#18073,.T.); #344031=ADVANCED_FACE('',(#34606),#18074,.T.); #344032=ADVANCED_FACE('',(#34607),#18075,.T.); #344033=ADVANCED_FACE('',(#34608),#18076,.T.); #344034=ADVANCED_FACE('',(#34609),#18077,.T.); #344035=ADVANCED_FACE('',(#34610),#18078,.T.); #344036=ADVANCED_FACE('',(#34611),#18079,.F.); #344037=ADVANCED_FACE('',(#34612),#18080,.T.); #344038=ADVANCED_FACE('',(#34613),#18081,.T.); #344039=ADVANCED_FACE('',(#34614),#18082,.T.); #344040=ADVANCED_FACE('',(#34615),#18083,.T.); #344041=ADVANCED_FACE('',(#34616),#18084,.T.); #344042=ADVANCED_FACE('',(#34617),#18085,.T.); #344043=ADVANCED_FACE('',(#34618),#18086,.T.); #344044=ADVANCED_FACE('',(#34619),#18087,.T.); #344045=ADVANCED_FACE('',(#34620),#18088,.T.); #344046=ADVANCED_FACE('',(#34621),#18089,.T.); #344047=ADVANCED_FACE('',(#34622),#18090,.T.); #344048=ADVANCED_FACE('',(#34623),#18091,.T.); #344049=ADVANCED_FACE('',(#34624),#18092,.T.); #344050=ADVANCED_FACE('',(#34625),#18093,.T.); #344051=ADVANCED_FACE('',(#34626),#18094,.T.); #344052=ADVANCED_FACE('',(#34627),#18095,.T.); #344053=ADVANCED_FACE('',(#34628),#18096,.T.); #344054=ADVANCED_FACE('',(#34629),#18097,.T.); #344055=ADVANCED_FACE('',(#34630),#18098,.T.); #344056=ADVANCED_FACE('',(#34631),#18099,.T.); #344057=ADVANCED_FACE('',(#34632),#18100,.T.); #344058=ADVANCED_FACE('',(#34633),#18101,.T.); #344059=ADVANCED_FACE('',(#34634),#18102,.T.); #344060=ADVANCED_FACE('',(#34635),#18103,.T.); #344061=ADVANCED_FACE('',(#34636),#18104,.T.); #344062=ADVANCED_FACE('',(#34637),#18105,.T.); #344063=ADVANCED_FACE('',(#34638),#18106,.T.); #344064=ADVANCED_FACE('',(#34639),#18107,.T.); #344065=ADVANCED_FACE('',(#34640),#18108,.T.); #344066=ADVANCED_FACE('',(#34641),#18109,.T.); #344067=ADVANCED_FACE('',(#34642),#18110,.T.); #344068=ADVANCED_FACE('',(#34643),#18111,.T.); #344069=ADVANCED_FACE('',(#34644),#18112,.T.); #344070=ADVANCED_FACE('',(#34645),#18113,.T.); #344071=ADVANCED_FACE('',(#34646),#18114,.T.); #344072=ADVANCED_FACE('',(#34647),#18115,.T.); #344073=ADVANCED_FACE('',(#34648),#18116,.T.); #344074=ADVANCED_FACE('',(#34649),#18117,.T.); #344075=ADVANCED_FACE('',(#34650),#18118,.T.); #344076=ADVANCED_FACE('',(#34651),#18119,.T.); #344077=ADVANCED_FACE('',(#34652),#18120,.T.); #344078=ADVANCED_FACE('',(#34653),#18121,.T.); #344079=ADVANCED_FACE('',(#34654),#18122,.T.); #344080=ADVANCED_FACE('',(#34655),#18123,.T.); #344081=ADVANCED_FACE('',(#34656),#18124,.T.); #344082=ADVANCED_FACE('',(#34657),#18125,.T.); #344083=ADVANCED_FACE('',(#34658),#18126,.T.); #344084=ADVANCED_FACE('',(#34659),#18127,.T.); #344085=ADVANCED_FACE('',(#34660),#18128,.T.); #344086=ADVANCED_FACE('',(#34661),#18129,.T.); #344087=ADVANCED_FACE('',(#34662),#18130,.T.); #344088=ADVANCED_FACE('',(#34663),#18131,.T.); #344089=ADVANCED_FACE('',(#34664),#18132,.T.); #344090=ADVANCED_FACE('',(#34665),#18133,.T.); #344091=ADVANCED_FACE('',(#34666),#18134,.T.); #344092=ADVANCED_FACE('',(#34667),#18135,.T.); #344093=ADVANCED_FACE('',(#34668),#18136,.T.); #344094=ADVANCED_FACE('',(#34669),#18137,.T.); #344095=ADVANCED_FACE('',(#34670),#18138,.T.); #344096=ADVANCED_FACE('',(#34671),#18139,.T.); #344097=ADVANCED_FACE('',(#34672),#18140,.T.); #344098=ADVANCED_FACE('',(#34673),#18141,.T.); #344099=ADVANCED_FACE('',(#34674),#18142,.T.); #344100=ADVANCED_FACE('',(#34675),#18143,.T.); #344101=ADVANCED_FACE('',(#34676),#18144,.T.); #344102=ADVANCED_FACE('',(#34677),#18145,.T.); #344103=ADVANCED_FACE('',(#34678),#18146,.T.); #344104=ADVANCED_FACE('',(#34679),#18147,.T.); #344105=ADVANCED_FACE('',(#34680),#18148,.T.); #344106=ADVANCED_FACE('',(#34681),#18149,.T.); #344107=ADVANCED_FACE('',(#34682),#18150,.T.); #344108=ADVANCED_FACE('',(#34683),#18151,.T.); #344109=ADVANCED_FACE('',(#34684),#18152,.T.); #344110=ADVANCED_FACE('',(#34685),#18153,.F.); #344111=ADVANCED_FACE('',(#34686),#18154,.T.); #344112=ADVANCED_FACE('',(#34687),#18155,.T.); #344113=ADVANCED_FACE('',(#34688),#18156,.T.); #344114=ADVANCED_FACE('',(#34689),#18157,.T.); #344115=ADVANCED_FACE('',(#34690),#18158,.T.); #344116=ADVANCED_FACE('',(#34691),#18159,.T.); #344117=ADVANCED_FACE('',(#34692),#18160,.T.); #344118=ADVANCED_FACE('',(#34693),#18161,.T.); #344119=ADVANCED_FACE('',(#34694),#18162,.T.); #344120=ADVANCED_FACE('',(#34695),#18163,.T.); #344121=ADVANCED_FACE('',(#34696),#18164,.T.); #344122=ADVANCED_FACE('',(#34697),#18165,.T.); #344123=ADVANCED_FACE('',(#34698),#18166,.T.); #344124=ADVANCED_FACE('',(#34699),#18167,.T.); #344125=ADVANCED_FACE('',(#34700),#18168,.T.); #344126=ADVANCED_FACE('',(#34701),#18169,.T.); #344127=ADVANCED_FACE('',(#34702),#18170,.T.); #344128=ADVANCED_FACE('',(#34703),#18171,.T.); #344129=ADVANCED_FACE('',(#34704),#18172,.T.); #344130=ADVANCED_FACE('',(#34705),#18173,.T.); #344131=ADVANCED_FACE('',(#34706),#18174,.T.); #344132=ADVANCED_FACE('',(#34707),#18175,.T.); #344133=ADVANCED_FACE('',(#34708),#18176,.T.); #344134=ADVANCED_FACE('',(#34709),#18177,.T.); #344135=ADVANCED_FACE('',(#34710),#18178,.T.); #344136=ADVANCED_FACE('',(#34711),#18179,.T.); #344137=ADVANCED_FACE('',(#34712),#18180,.T.); #344138=ADVANCED_FACE('',(#34713),#18181,.T.); #344139=ADVANCED_FACE('',(#34714),#18182,.T.); #344140=ADVANCED_FACE('',(#34715),#18183,.F.); #344141=ADVANCED_FACE('',(#34716),#329767,.F.); #344142=ADVANCED_FACE('',(#34717),#329768,.F.); #344143=ADVANCED_FACE('',(#34718),#329769,.F.); #344144=ADVANCED_FACE('',(#34719),#329770,.F.); #344145=ADVANCED_FACE('',(#34720),#329771,.F.); #344146=ADVANCED_FACE('',(#34721),#329772,.F.); #344147=ADVANCED_FACE('',(#34722),#329773,.F.); #344148=ADVANCED_FACE('',(#34723),#329774,.F.); #344149=ADVANCED_FACE('',(#34724),#329775,.F.); #344150=ADVANCED_FACE('',(#34725),#329776,.F.); #344151=ADVANCED_FACE('',(#34726),#329777,.F.); #344152=ADVANCED_FACE('',(#34727),#329778,.F.); #344153=ADVANCED_FACE('',(#34728),#329779,.F.); #344154=ADVANCED_FACE('',(#34729),#329780,.F.); #344155=ADVANCED_FACE('',(#34730),#329781,.F.); #344156=ADVANCED_FACE('',(#34731),#329782,.F.); #344157=ADVANCED_FACE('',(#34732),#18184,.T.); #344158=ADVANCED_FACE('',(#34733),#18185,.T.); #344159=ADVANCED_FACE('',(#34734),#18186,.T.); #344160=ADVANCED_FACE('',(#34735),#18187,.T.); #344161=ADVANCED_FACE('',(#34736),#18188,.T.); #344162=ADVANCED_FACE('',(#34737),#18189,.T.); #344163=ADVANCED_FACE('',(#34738),#18190,.T.); #344164=ADVANCED_FACE('',(#34739),#18191,.T.); #344165=ADVANCED_FACE('',(#34740),#18192,.T.); #344166=ADVANCED_FACE('',(#34741),#18193,.T.); #344167=ADVANCED_FACE('',(#34742),#18194,.T.); #344168=ADVANCED_FACE('',(#34743),#18195,.T.); #344169=ADVANCED_FACE('',(#34744),#18196,.T.); #344170=ADVANCED_FACE('',(#34745),#18197,.T.); #344171=ADVANCED_FACE('',(#34746),#18198,.T.); #344172=ADVANCED_FACE('',(#34747),#18199,.T.); #344173=ADVANCED_FACE('',(#34748),#18200,.T.); #344174=ADVANCED_FACE('',(#34749),#18201,.T.); #344175=ADVANCED_FACE('',(#34750),#18202,.T.); #344176=ADVANCED_FACE('',(#34751),#18203,.T.); #344177=ADVANCED_FACE('',(#34752),#18204,.T.); #344178=ADVANCED_FACE('',(#34753),#18205,.T.); #344179=ADVANCED_FACE('',(#34754),#18206,.T.); #344180=ADVANCED_FACE('',(#34755),#18207,.T.); #344181=ADVANCED_FACE('',(#34756),#18208,.T.); #344182=ADVANCED_FACE('',(#34757),#18209,.T.); #344183=ADVANCED_FACE('',(#34758),#18210,.T.); #344184=ADVANCED_FACE('',(#34759),#18211,.T.); #344185=ADVANCED_FACE('',(#34760),#18212,.T.); #344186=ADVANCED_FACE('',(#34761),#18213,.T.); #344187=ADVANCED_FACE('',(#34762),#18214,.T.); #344188=ADVANCED_FACE('',(#34763),#18215,.T.); #344189=ADVANCED_FACE('',(#34764),#18216,.T.); #344190=ADVANCED_FACE('',(#34765),#18217,.T.); #344191=ADVANCED_FACE('',(#34766),#18218,.T.); #344192=ADVANCED_FACE('',(#34767),#18219,.T.); #344193=ADVANCED_FACE('',(#34768),#18220,.T.); #344194=ADVANCED_FACE('',(#34769),#18221,.T.); #344195=ADVANCED_FACE('',(#34770),#18222,.T.); #344196=ADVANCED_FACE('',(#34771),#18223,.T.); #344197=ADVANCED_FACE('',(#34772),#18224,.T.); #344198=ADVANCED_FACE('',(#34773),#18225,.T.); #344199=ADVANCED_FACE('',(#34774),#18226,.T.); #344200=ADVANCED_FACE('',(#34775),#18227,.T.); #344201=ADVANCED_FACE('',(#34776),#18228,.T.); #344202=ADVANCED_FACE('',(#34777),#18229,.T.); #344203=ADVANCED_FACE('',(#34778),#18230,.T.); #344204=ADVANCED_FACE('',(#34779),#18231,.T.); #344205=ADVANCED_FACE('',(#34780),#18232,.T.); #344206=ADVANCED_FACE('',(#34781),#18233,.T.); #344207=ADVANCED_FACE('',(#34782),#18234,.T.); #344208=ADVANCED_FACE('',(#34783),#18235,.T.); #344209=ADVANCED_FACE('',(#34784),#18236,.T.); #344210=ADVANCED_FACE('',(#34785),#18237,.T.); #344211=ADVANCED_FACE('',(#34786),#18238,.T.); #344212=ADVANCED_FACE('',(#34787),#18239,.T.); #344213=ADVANCED_FACE('',(#34788),#18240,.T.); #344214=ADVANCED_FACE('',(#34789),#18241,.T.); #344215=ADVANCED_FACE('',(#34790),#18242,.T.); #344216=ADVANCED_FACE('',(#34791),#18243,.T.); #344217=ADVANCED_FACE('',(#34792),#18244,.T.); #344218=ADVANCED_FACE('',(#34793),#18245,.T.); #344219=ADVANCED_FACE('',(#34794),#18246,.T.); #344220=ADVANCED_FACE('',(#34795),#18247,.T.); #344221=ADVANCED_FACE('',(#34796),#18248,.T.); #344222=ADVANCED_FACE('',(#34797),#18249,.T.); #344223=ADVANCED_FACE('',(#34798),#18250,.T.); #344224=ADVANCED_FACE('',(#34799),#18251,.T.); #344225=ADVANCED_FACE('',(#34800),#18252,.T.); #344226=ADVANCED_FACE('',(#34801),#18253,.T.); #344227=ADVANCED_FACE('',(#34802),#18254,.T.); #344228=ADVANCED_FACE('',(#34803),#18255,.T.); #344229=ADVANCED_FACE('',(#34804),#18256,.T.); #344230=ADVANCED_FACE('',(#34805),#18257,.T.); #344231=ADVANCED_FACE('',(#34806,#6216,#6217,#6218,#6219,#6220,#6221,#6222, #6223,#6224,#6225,#6226,#6227,#6228,#6229,#6230,#6231),#18258,.T.); #344232=ADVANCED_FACE('',(#34807,#6232,#6233,#6234,#6235,#6236,#6237,#6238, #6239,#6240,#6241,#6242,#6243,#6244,#6245,#6246,#6247),#18259,.F.); #344233=ADVANCED_FACE('',(#34808),#329783,.F.); #344234=ADVANCED_FACE('',(#34809),#329784,.F.); #344235=ADVANCED_FACE('',(#34810),#329785,.F.); #344236=ADVANCED_FACE('',(#34811),#329786,.F.); #344237=ADVANCED_FACE('',(#34812),#329787,.F.); #344238=ADVANCED_FACE('',(#34813),#329788,.F.); #344239=ADVANCED_FACE('',(#34814),#329789,.F.); #344240=ADVANCED_FACE('',(#34815),#329790,.F.); #344241=ADVANCED_FACE('',(#34816),#329791,.F.); #344242=ADVANCED_FACE('',(#34817),#329792,.F.); #344243=ADVANCED_FACE('',(#34818),#329793,.F.); #344244=ADVANCED_FACE('',(#34819),#329794,.F.); #344245=ADVANCED_FACE('',(#34820),#329795,.F.); #344246=ADVANCED_FACE('',(#34821),#329796,.F.); #344247=ADVANCED_FACE('',(#34822),#329797,.F.); #344248=ADVANCED_FACE('',(#34823),#329798,.F.); #344249=ADVANCED_FACE('',(#34824),#18260,.T.); #344250=ADVANCED_FACE('',(#34825),#18261,.T.); #344251=ADVANCED_FACE('',(#34826),#18262,.T.); #344252=ADVANCED_FACE('',(#34827),#18263,.T.); #344253=ADVANCED_FACE('',(#34828),#18264,.T.); #344254=ADVANCED_FACE('',(#34829),#18265,.T.); #344255=ADVANCED_FACE('',(#34830),#18266,.T.); #344256=ADVANCED_FACE('',(#34831),#18267,.T.); #344257=ADVANCED_FACE('',(#34832),#18268,.T.); #344258=ADVANCED_FACE('',(#34833),#18269,.T.); #344259=ADVANCED_FACE('',(#34834),#18270,.T.); #344260=ADVANCED_FACE('',(#34835),#18271,.T.); #344261=ADVANCED_FACE('',(#34836),#18272,.T.); #344262=ADVANCED_FACE('',(#34837),#18273,.T.); #344263=ADVANCED_FACE('',(#34838),#18274,.T.); #344264=ADVANCED_FACE('',(#34839),#18275,.T.); #344265=ADVANCED_FACE('',(#34840),#18276,.T.); #344266=ADVANCED_FACE('',(#34841),#18277,.T.); #344267=ADVANCED_FACE('',(#34842),#18278,.T.); #344268=ADVANCED_FACE('',(#34843),#18279,.T.); #344269=ADVANCED_FACE('',(#34844),#18280,.T.); #344270=ADVANCED_FACE('',(#34845),#18281,.T.); #344271=ADVANCED_FACE('',(#34846),#18282,.T.); #344272=ADVANCED_FACE('',(#34847),#18283,.T.); #344273=ADVANCED_FACE('',(#34848),#18284,.T.); #344274=ADVANCED_FACE('',(#34849),#18285,.T.); #344275=ADVANCED_FACE('',(#34850),#18286,.T.); #344276=ADVANCED_FACE('',(#34851),#18287,.T.); #344277=ADVANCED_FACE('',(#34852),#18288,.T.); #344278=ADVANCED_FACE('',(#34853),#18289,.T.); #344279=ADVANCED_FACE('',(#34854),#18290,.T.); #344280=ADVANCED_FACE('',(#34855),#18291,.T.); #344281=ADVANCED_FACE('',(#34856),#18292,.T.); #344282=ADVANCED_FACE('',(#34857),#18293,.T.); #344283=ADVANCED_FACE('',(#34858),#18294,.T.); #344284=ADVANCED_FACE('',(#34859),#18295,.T.); #344285=ADVANCED_FACE('',(#34860),#18296,.T.); #344286=ADVANCED_FACE('',(#34861),#18297,.T.); #344287=ADVANCED_FACE('',(#34862),#18298,.T.); #344288=ADVANCED_FACE('',(#34863),#18299,.T.); #344289=ADVANCED_FACE('',(#34864),#18300,.T.); #344290=ADVANCED_FACE('',(#34865),#18301,.T.); #344291=ADVANCED_FACE('',(#34866),#18302,.T.); #344292=ADVANCED_FACE('',(#34867),#18303,.T.); #344293=ADVANCED_FACE('',(#34868),#18304,.T.); #344294=ADVANCED_FACE('',(#34869),#18305,.T.); #344295=ADVANCED_FACE('',(#34870),#18306,.T.); #344296=ADVANCED_FACE('',(#34871),#18307,.T.); #344297=ADVANCED_FACE('',(#34872),#18308,.T.); #344298=ADVANCED_FACE('',(#34873),#18309,.T.); #344299=ADVANCED_FACE('',(#34874),#18310,.T.); #344300=ADVANCED_FACE('',(#34875),#18311,.T.); #344301=ADVANCED_FACE('',(#34876),#18312,.T.); #344302=ADVANCED_FACE('',(#34877),#18313,.T.); #344303=ADVANCED_FACE('',(#34878),#18314,.T.); #344304=ADVANCED_FACE('',(#34879),#18315,.T.); #344305=ADVANCED_FACE('',(#34880),#18316,.T.); #344306=ADVANCED_FACE('',(#34881),#18317,.T.); #344307=ADVANCED_FACE('',(#34882),#18318,.T.); #344308=ADVANCED_FACE('',(#34883),#18319,.T.); #344309=ADVANCED_FACE('',(#34884),#18320,.T.); #344310=ADVANCED_FACE('',(#34885),#18321,.T.); #344311=ADVANCED_FACE('',(#34886),#18322,.T.); #344312=ADVANCED_FACE('',(#34887),#18323,.T.); #344313=ADVANCED_FACE('',(#34888),#18324,.T.); #344314=ADVANCED_FACE('',(#34889),#18325,.T.); #344315=ADVANCED_FACE('',(#34890),#18326,.T.); #344316=ADVANCED_FACE('',(#34891),#18327,.T.); #344317=ADVANCED_FACE('',(#34892),#18328,.T.); #344318=ADVANCED_FACE('',(#34893),#18329,.T.); #344319=ADVANCED_FACE('',(#34894,#6248,#6249,#6250,#6251,#6252,#6253,#6254, #6255,#6256,#6257,#6258,#6259,#6260,#6261,#6262,#6263),#18330,.T.); #344320=ADVANCED_FACE('',(#34895,#6264,#6265,#6266,#6267,#6268,#6269,#6270, #6271,#6272,#6273,#6274,#6275,#6276,#6277,#6278,#6279),#18331,.F.); #344321=ADVANCED_FACE('',(#34896),#329799,.F.); #344322=ADVANCED_FACE('',(#34897),#329800,.F.); #344323=ADVANCED_FACE('',(#34898),#329801,.F.); #344324=ADVANCED_FACE('',(#34899),#329802,.F.); #344325=ADVANCED_FACE('',(#34900),#329803,.F.); #344326=ADVANCED_FACE('',(#34901),#329804,.F.); #344327=ADVANCED_FACE('',(#34902),#329805,.F.); #344328=ADVANCED_FACE('',(#34903),#329806,.F.); #344329=ADVANCED_FACE('',(#34904),#329807,.F.); #344330=ADVANCED_FACE('',(#34905),#329808,.F.); #344331=ADVANCED_FACE('',(#34906),#329809,.F.); #344332=ADVANCED_FACE('',(#34907),#329810,.F.); #344333=ADVANCED_FACE('',(#34908),#329811,.F.); #344334=ADVANCED_FACE('',(#34909),#329812,.F.); #344335=ADVANCED_FACE('',(#34910),#329813,.F.); #344336=ADVANCED_FACE('',(#34911),#329814,.F.); #344337=ADVANCED_FACE('',(#34912),#329815,.F.); #344338=ADVANCED_FACE('',(#34913),#329816,.F.); #344339=ADVANCED_FACE('',(#34914),#329817,.F.); #344340=ADVANCED_FACE('',(#34915),#329818,.F.); #344341=ADVANCED_FACE('',(#34916),#329819,.F.); #344342=ADVANCED_FACE('',(#34917),#329820,.F.); #344343=ADVANCED_FACE('',(#34918),#329821,.F.); #344344=ADVANCED_FACE('',(#34919),#329822,.F.); #344345=ADVANCED_FACE('',(#34920),#329823,.F.); #344346=ADVANCED_FACE('',(#34921),#329824,.F.); #344347=ADVANCED_FACE('',(#34922),#329825,.F.); #344348=ADVANCED_FACE('',(#34923),#329826,.F.); #344349=ADVANCED_FACE('',(#34924),#329827,.F.); #344350=ADVANCED_FACE('',(#34925),#329828,.F.); #344351=ADVANCED_FACE('',(#34926),#18332,.T.); #344352=ADVANCED_FACE('',(#34927),#18333,.T.); #344353=ADVANCED_FACE('',(#34928),#18334,.T.); #344354=ADVANCED_FACE('',(#34929),#18335,.T.); #344355=ADVANCED_FACE('',(#34930),#18336,.T.); #344356=ADVANCED_FACE('',(#34931),#18337,.T.); #344357=ADVANCED_FACE('',(#34932),#18338,.T.); #344358=ADVANCED_FACE('',(#34933),#18339,.T.); #344359=ADVANCED_FACE('',(#34934),#18340,.T.); #344360=ADVANCED_FACE('',(#34935),#18341,.T.); #344361=ADVANCED_FACE('',(#34936),#18342,.T.); #344362=ADVANCED_FACE('',(#34937),#18343,.T.); #344363=ADVANCED_FACE('',(#34938),#18344,.T.); #344364=ADVANCED_FACE('',(#34939),#18345,.T.); #344365=ADVANCED_FACE('',(#34940),#18346,.T.); #344366=ADVANCED_FACE('',(#34941),#18347,.T.); #344367=ADVANCED_FACE('',(#34942),#18348,.T.); #344368=ADVANCED_FACE('',(#34943),#18349,.T.); #344369=ADVANCED_FACE('',(#34944),#18350,.T.); #344370=ADVANCED_FACE('',(#34945),#18351,.T.); #344371=ADVANCED_FACE('',(#34946),#18352,.T.); #344372=ADVANCED_FACE('',(#34947),#18353,.T.); #344373=ADVANCED_FACE('',(#34948),#18354,.T.); #344374=ADVANCED_FACE('',(#34949),#18355,.T.); #344375=ADVANCED_FACE('',(#34950),#18356,.T.); #344376=ADVANCED_FACE('',(#34951),#18357,.T.); #344377=ADVANCED_FACE('',(#34952),#18358,.T.); #344378=ADVANCED_FACE('',(#34953),#18359,.T.); #344379=ADVANCED_FACE('',(#34954),#18360,.T.); #344380=ADVANCED_FACE('',(#34955),#18361,.T.); #344381=ADVANCED_FACE('',(#34956),#18362,.T.); #344382=ADVANCED_FACE('',(#34957),#18363,.T.); #344383=ADVANCED_FACE('',(#34958),#18364,.T.); #344384=ADVANCED_FACE('',(#34959),#18365,.T.); #344385=ADVANCED_FACE('',(#34960),#18366,.T.); #344386=ADVANCED_FACE('',(#34961),#18367,.T.); #344387=ADVANCED_FACE('',(#34962),#18368,.T.); #344388=ADVANCED_FACE('',(#34963),#18369,.T.); #344389=ADVANCED_FACE('',(#34964),#18370,.T.); #344390=ADVANCED_FACE('',(#34965),#18371,.T.); #344391=ADVANCED_FACE('',(#34966),#18372,.T.); #344392=ADVANCED_FACE('',(#34967),#18373,.T.); #344393=ADVANCED_FACE('',(#34968),#18374,.T.); #344394=ADVANCED_FACE('',(#34969),#18375,.T.); #344395=ADVANCED_FACE('',(#34970),#18376,.T.); #344396=ADVANCED_FACE('',(#34971,#6280,#6281,#6282,#6283,#6284,#6285,#6286, #6287,#6288,#6289,#6290,#6291,#6292,#6293,#6294,#6295,#6296,#6297,#6298, #6299,#6300,#6301,#6302,#6303,#6304,#6305,#6306,#6307,#6308,#6309),#18377, .T.); #344397=ADVANCED_FACE('',(#34972,#6310,#6311,#6312,#6313,#6314,#6315,#6316, #6317,#6318,#6319,#6320,#6321,#6322,#6323,#6324,#6325,#6326,#6327,#6328, #6329,#6330,#6331,#6332,#6333,#6334,#6335,#6336,#6337,#6338,#6339),#18378, .F.); #344398=ADVANCED_FACE('',(#34973),#329829,.F.); #344399=ADVANCED_FACE('',(#34974),#329830,.F.); #344400=ADVANCED_FACE('',(#34975),#18379,.T.); #344401=ADVANCED_FACE('',(#34976),#329831,.T.); #344402=ADVANCED_FACE('',(#34977),#18380,.T.); #344403=ADVANCED_FACE('',(#34978),#329832,.T.); #344404=ADVANCED_FACE('',(#34979),#329833,.T.); #344405=ADVANCED_FACE('',(#34980),#18381,.T.); #344406=ADVANCED_FACE('',(#34981),#329834,.T.); #344407=ADVANCED_FACE('',(#34982,#6340,#6341),#18382,.T.); #344408=ADVANCED_FACE('',(#34983,#6342,#6343),#18383,.F.); #344409=ADVANCED_FACE('',(#34984),#329835,.F.); #344410=ADVANCED_FACE('',(#34985),#329836,.F.); #344411=ADVANCED_FACE('',(#34986),#329837,.F.); #344412=ADVANCED_FACE('',(#34987),#329838,.F.); #344413=ADVANCED_FACE('',(#34988),#329839,.F.); #344414=ADVANCED_FACE('',(#34989),#329840,.F.); #344415=ADVANCED_FACE('',(#34990),#329841,.F.); #344416=ADVANCED_FACE('',(#34991),#329842,.F.); #344417=ADVANCED_FACE('',(#34992),#329843,.F.); #344418=ADVANCED_FACE('',(#34993),#329844,.F.); #344419=ADVANCED_FACE('',(#34994),#18384,.T.); #344420=ADVANCED_FACE('',(#34995),#18385,.T.); #344421=ADVANCED_FACE('',(#34996),#18386,.T.); #344422=ADVANCED_FACE('',(#34997),#18387,.T.); #344423=ADVANCED_FACE('',(#34998),#18388,.T.); #344424=ADVANCED_FACE('',(#34999),#18389,.T.); #344425=ADVANCED_FACE('',(#35000),#18390,.T.); #344426=ADVANCED_FACE('',(#35001),#18391,.T.); #344427=ADVANCED_FACE('',(#35002),#18392,.T.); #344428=ADVANCED_FACE('',(#35003),#18393,.T.); #344429=ADVANCED_FACE('',(#35004),#18394,.T.); #344430=ADVANCED_FACE('',(#35005),#18395,.T.); #344431=ADVANCED_FACE('',(#35006),#18396,.T.); #344432=ADVANCED_FACE('',(#35007),#18397,.T.); #344433=ADVANCED_FACE('',(#35008),#18398,.T.); #344434=ADVANCED_FACE('',(#35009),#18399,.T.); #344435=ADVANCED_FACE('',(#35010),#18400,.T.); #344436=ADVANCED_FACE('',(#35011),#18401,.T.); #344437=ADVANCED_FACE('',(#35012),#18402,.T.); #344438=ADVANCED_FACE('',(#35013),#18403,.T.); #344439=ADVANCED_FACE('',(#35014),#18404,.T.); #344440=ADVANCED_FACE('',(#35015),#18405,.T.); #344441=ADVANCED_FACE('',(#35016),#18406,.T.); #344442=ADVANCED_FACE('',(#35017),#18407,.T.); #344443=ADVANCED_FACE('',(#35018,#6344,#6345,#6346,#6347,#6348,#6349,#6350, #6351,#6352,#6353),#18408,.T.); #344444=ADVANCED_FACE('',(#35019,#6354,#6355,#6356,#6357,#6358,#6359,#6360, #6361,#6362,#6363),#18409,.F.); #344445=ADVANCED_FACE('',(#35020),#329845,.F.); #344446=ADVANCED_FACE('',(#35021),#18410,.T.); #344447=ADVANCED_FACE('',(#35022),#329846,.T.); #344448=ADVANCED_FACE('',(#35023),#329847,.T.); #344449=ADVANCED_FACE('',(#35024,#6364),#18411,.T.); #344450=ADVANCED_FACE('',(#35025,#6365),#18412,.F.); #344451=ADVANCED_FACE('',(#35026),#329848,.F.); #344452=ADVANCED_FACE('',(#35027),#329849,.F.); #344453=ADVANCED_FACE('',(#35028),#329850,.F.); #344454=ADVANCED_FACE('',(#35029),#329851,.F.); #344455=ADVANCED_FACE('',(#35030),#329852,.F.); #344456=ADVANCED_FACE('',(#35031),#329853,.F.); #344457=ADVANCED_FACE('',(#35032),#329854,.F.); #344458=ADVANCED_FACE('',(#35033),#329855,.F.); #344459=ADVANCED_FACE('',(#35034),#329856,.F.); #344460=ADVANCED_FACE('',(#35035),#329857,.F.); #344461=ADVANCED_FACE('',(#35036),#329858,.F.); #344462=ADVANCED_FACE('',(#35037),#329859,.F.); #344463=ADVANCED_FACE('',(#35038),#329860,.F.); #344464=ADVANCED_FACE('',(#35039),#329861,.F.); #344465=ADVANCED_FACE('',(#35040),#329862,.F.); #344466=ADVANCED_FACE('',(#35041),#329863,.F.); #344467=ADVANCED_FACE('',(#35042),#329864,.F.); #344468=ADVANCED_FACE('',(#35043),#329865,.F.); #344469=ADVANCED_FACE('',(#35044),#329866,.F.); #344470=ADVANCED_FACE('',(#35045),#329867,.F.); #344471=ADVANCED_FACE('',(#35046),#329868,.F.); #344472=ADVANCED_FACE('',(#35047),#329869,.F.); #344473=ADVANCED_FACE('',(#35048),#329870,.F.); #344474=ADVANCED_FACE('',(#35049),#329871,.F.); #344475=ADVANCED_FACE('',(#35050),#329872,.F.); #344476=ADVANCED_FACE('',(#35051),#329873,.F.); #344477=ADVANCED_FACE('',(#35052),#329874,.F.); #344478=ADVANCED_FACE('',(#35053),#329875,.F.); #344479=ADVANCED_FACE('',(#35054),#329876,.F.); #344480=ADVANCED_FACE('',(#35055),#329877,.F.); #344481=ADVANCED_FACE('',(#35056),#18413,.T.); #344482=ADVANCED_FACE('',(#35057),#18414,.T.); #344483=ADVANCED_FACE('',(#35058),#18415,.T.); #344484=ADVANCED_FACE('',(#35059),#18416,.T.); #344485=ADVANCED_FACE('',(#35060),#18417,.T.); #344486=ADVANCED_FACE('',(#35061),#18418,.T.); #344487=ADVANCED_FACE('',(#35062),#18419,.T.); #344488=ADVANCED_FACE('',(#35063),#18420,.T.); #344489=ADVANCED_FACE('',(#35064),#18421,.T.); #344490=ADVANCED_FACE('',(#35065),#18422,.T.); #344491=ADVANCED_FACE('',(#35066),#18423,.T.); #344492=ADVANCED_FACE('',(#35067),#18424,.T.); #344493=ADVANCED_FACE('',(#35068),#18425,.T.); #344494=ADVANCED_FACE('',(#35069),#18426,.T.); #344495=ADVANCED_FACE('',(#35070),#18427,.T.); #344496=ADVANCED_FACE('',(#35071),#18428,.T.); #344497=ADVANCED_FACE('',(#35072),#18429,.T.); #344498=ADVANCED_FACE('',(#35073),#18430,.T.); #344499=ADVANCED_FACE('',(#35074),#18431,.T.); #344500=ADVANCED_FACE('',(#35075),#18432,.T.); #344501=ADVANCED_FACE('',(#35076),#18433,.T.); #344502=ADVANCED_FACE('',(#35077),#18434,.T.); #344503=ADVANCED_FACE('',(#35078),#18435,.T.); #344504=ADVANCED_FACE('',(#35079),#18436,.T.); #344505=ADVANCED_FACE('',(#35080),#18437,.T.); #344506=ADVANCED_FACE('',(#35081),#18438,.T.); #344507=ADVANCED_FACE('',(#35082),#18439,.T.); #344508=ADVANCED_FACE('',(#35083),#18440,.T.); #344509=ADVANCED_FACE('',(#35084),#18441,.T.); #344510=ADVANCED_FACE('',(#35085),#18442,.T.); #344511=ADVANCED_FACE('',(#35086),#18443,.T.); #344512=ADVANCED_FACE('',(#35087),#18444,.T.); #344513=ADVANCED_FACE('',(#35088),#18445,.T.); #344514=ADVANCED_FACE('',(#35089),#18446,.T.); #344515=ADVANCED_FACE('',(#35090),#18447,.T.); #344516=ADVANCED_FACE('',(#35091),#18448,.T.); #344517=ADVANCED_FACE('',(#35092),#18449,.T.); #344518=ADVANCED_FACE('',(#35093),#18450,.T.); #344519=ADVANCED_FACE('',(#35094),#18451,.T.); #344520=ADVANCED_FACE('',(#35095),#18452,.T.); #344521=ADVANCED_FACE('',(#35096),#18453,.T.); #344522=ADVANCED_FACE('',(#35097),#18454,.T.); #344523=ADVANCED_FACE('',(#35098),#18455,.T.); #344524=ADVANCED_FACE('',(#35099),#18456,.T.); #344525=ADVANCED_FACE('',(#35100),#18457,.T.); #344526=ADVANCED_FACE('',(#35101,#6366,#6367,#6368,#6369,#6370,#6371,#6372, #6373,#6374,#6375,#6376,#6377,#6378,#6379,#6380,#6381,#6382,#6383,#6384, #6385,#6386,#6387,#6388,#6389,#6390,#6391,#6392,#6393,#6394,#6395),#18458, .T.); #344527=ADVANCED_FACE('',(#35102,#6396,#6397,#6398,#6399,#6400,#6401,#6402, #6403,#6404,#6405,#6406,#6407,#6408,#6409,#6410,#6411,#6412,#6413,#6414, #6415,#6416,#6417,#6418,#6419,#6420,#6421,#6422,#6423,#6424,#6425),#18459, .F.); #344528=ADVANCED_FACE('',(#35103),#329878,.F.); #344529=ADVANCED_FACE('',(#35104),#329879,.F.); #344530=ADVANCED_FACE('',(#35105),#18460,.T.); #344531=ADVANCED_FACE('',(#35106),#329880,.T.); #344532=ADVANCED_FACE('',(#35107),#18461,.T.); #344533=ADVANCED_FACE('',(#35108),#329881,.T.); #344534=ADVANCED_FACE('',(#35109),#329882,.T.); #344535=ADVANCED_FACE('',(#35110),#18462,.T.); #344536=ADVANCED_FACE('',(#35111),#329883,.T.); #344537=ADVANCED_FACE('',(#35112,#6426,#6427),#18463,.T.); #344538=ADVANCED_FACE('',(#35113,#6428,#6429),#18464,.F.); #344539=ADVANCED_FACE('',(#35114),#329884,.F.); #344540=ADVANCED_FACE('',(#35115),#18465,.T.); #344541=ADVANCED_FACE('',(#35116),#329885,.T.); #344542=ADVANCED_FACE('',(#35117),#329886,.T.); #344543=ADVANCED_FACE('',(#35118,#6430),#18466,.T.); #344544=ADVANCED_FACE('',(#35119,#6431),#18467,.F.); #344545=ADVANCED_FACE('',(#35120),#329887,.F.); #344546=ADVANCED_FACE('',(#35121),#329888,.F.); #344547=ADVANCED_FACE('',(#35122),#329889,.F.); #344548=ADVANCED_FACE('',(#35123),#329890,.F.); #344549=ADVANCED_FACE('',(#35124),#329891,.F.); #344550=ADVANCED_FACE('',(#35125),#329892,.F.); #344551=ADVANCED_FACE('',(#35126),#329893,.F.); #344552=ADVANCED_FACE('',(#35127),#329894,.F.); #344553=ADVANCED_FACE('',(#35128),#329895,.F.); #344554=ADVANCED_FACE('',(#35129),#329896,.F.); #344555=ADVANCED_FACE('',(#35130),#329897,.F.); #344556=ADVANCED_FACE('',(#35131),#329898,.F.); #344557=ADVANCED_FACE('',(#35132),#329899,.F.); #344558=ADVANCED_FACE('',(#35133),#329900,.F.); #344559=ADVANCED_FACE('',(#35134),#329901,.F.); #344560=ADVANCED_FACE('',(#35135),#18468,.T.); #344561=ADVANCED_FACE('',(#35136),#18469,.T.); #344562=ADVANCED_FACE('',(#35137),#18470,.T.); #344563=ADVANCED_FACE('',(#35138),#18471,.T.); #344564=ADVANCED_FACE('',(#35139),#18472,.T.); #344565=ADVANCED_FACE('',(#35140),#18473,.T.); #344566=ADVANCED_FACE('',(#35141),#18474,.T.); #344567=ADVANCED_FACE('',(#35142),#18475,.T.); #344568=ADVANCED_FACE('',(#35143),#18476,.T.); #344569=ADVANCED_FACE('',(#35144),#18477,.T.); #344570=ADVANCED_FACE('',(#35145),#18478,.T.); #344571=ADVANCED_FACE('',(#35146),#18479,.T.); #344572=ADVANCED_FACE('',(#35147),#18480,.T.); #344573=ADVANCED_FACE('',(#35148),#18481,.T.); #344574=ADVANCED_FACE('',(#35149),#18482,.T.); #344575=ADVANCED_FACE('',(#35150),#18483,.T.); #344576=ADVANCED_FACE('',(#35151),#18484,.T.); #344577=ADVANCED_FACE('',(#35152),#18485,.T.); #344578=ADVANCED_FACE('',(#35153),#18486,.T.); #344579=ADVANCED_FACE('',(#35154),#18487,.T.); #344580=ADVANCED_FACE('',(#35155),#18488,.T.); #344581=ADVANCED_FACE('',(#35156),#18489,.T.); #344582=ADVANCED_FACE('',(#35157),#18490,.T.); #344583=ADVANCED_FACE('',(#35158),#18491,.T.); #344584=ADVANCED_FACE('',(#35159),#18492,.T.); #344585=ADVANCED_FACE('',(#35160),#18493,.T.); #344586=ADVANCED_FACE('',(#35161),#18494,.T.); #344587=ADVANCED_FACE('',(#35162),#18495,.T.); #344588=ADVANCED_FACE('',(#35163),#18496,.T.); #344589=ADVANCED_FACE('',(#35164),#18497,.T.); #344590=ADVANCED_FACE('',(#35165),#18498,.T.); #344591=ADVANCED_FACE('',(#35166),#18499,.T.); #344592=ADVANCED_FACE('',(#35167),#18500,.T.); #344593=ADVANCED_FACE('',(#35168),#18501,.T.); #344594=ADVANCED_FACE('',(#35169),#18502,.T.); #344595=ADVANCED_FACE('',(#35170),#18503,.T.); #344596=ADVANCED_FACE('',(#35171),#18504,.T.); #344597=ADVANCED_FACE('',(#35172),#18505,.T.); #344598=ADVANCED_FACE('',(#35173),#18506,.T.); #344599=ADVANCED_FACE('',(#35174),#18507,.T.); #344600=ADVANCED_FACE('',(#35175),#18508,.T.); #344601=ADVANCED_FACE('',(#35176),#18509,.T.); #344602=ADVANCED_FACE('',(#35177),#18510,.T.); #344603=ADVANCED_FACE('',(#35178),#18511,.T.); #344604=ADVANCED_FACE('',(#35179),#18512,.T.); #344605=ADVANCED_FACE('',(#35180),#18513,.T.); #344606=ADVANCED_FACE('',(#35181),#18514,.T.); #344607=ADVANCED_FACE('',(#35182),#18515,.T.); #344608=ADVANCED_FACE('',(#35183),#18516,.T.); #344609=ADVANCED_FACE('',(#35184),#18517,.T.); #344610=ADVANCED_FACE('',(#35185),#18518,.T.); #344611=ADVANCED_FACE('',(#35186),#18519,.T.); #344612=ADVANCED_FACE('',(#35187),#18520,.T.); #344613=ADVANCED_FACE('',(#35188),#18521,.T.); #344614=ADVANCED_FACE('',(#35189),#18522,.T.); #344615=ADVANCED_FACE('',(#35190),#18523,.T.); #344616=ADVANCED_FACE('',(#35191),#18524,.T.); #344617=ADVANCED_FACE('',(#35192),#18525,.T.); #344618=ADVANCED_FACE('',(#35193),#18526,.T.); #344619=ADVANCED_FACE('',(#35194),#18527,.T.); #344620=ADVANCED_FACE('',(#35195),#18528,.T.); #344621=ADVANCED_FACE('',(#35196),#18529,.T.); #344622=ADVANCED_FACE('',(#35197),#18530,.T.); #344623=ADVANCED_FACE('',(#35198),#18531,.T.); #344624=ADVANCED_FACE('',(#35199),#18532,.T.); #344625=ADVANCED_FACE('',(#35200),#18533,.T.); #344626=ADVANCED_FACE('',(#35201),#18534,.T.); #344627=ADVANCED_FACE('',(#35202),#18535,.T.); #344628=ADVANCED_FACE('',(#35203),#18536,.T.); #344629=ADVANCED_FACE('',(#35204),#18537,.T.); #344630=ADVANCED_FACE('',(#35205),#18538,.T.); #344631=ADVANCED_FACE('',(#35206),#18539,.T.); #344632=ADVANCED_FACE('',(#35207),#18540,.T.); #344633=ADVANCED_FACE('',(#35208),#18541,.T.); #344634=ADVANCED_FACE('',(#35209),#18542,.T.); #344635=ADVANCED_FACE('',(#35210),#18543,.T.); #344636=ADVANCED_FACE('',(#35211),#18544,.T.); #344637=ADVANCED_FACE('',(#35212),#18545,.T.); #344638=ADVANCED_FACE('',(#35213),#18546,.T.); #344639=ADVANCED_FACE('',(#35214),#18547,.T.); #344640=ADVANCED_FACE('',(#35215),#18548,.T.); #344641=ADVANCED_FACE('',(#35216),#18549,.T.); #344642=ADVANCED_FACE('',(#35217),#18550,.T.); #344643=ADVANCED_FACE('',(#35218),#18551,.T.); #344644=ADVANCED_FACE('',(#35219),#18552,.T.); #344645=ADVANCED_FACE('',(#35220),#18553,.T.); #344646=ADVANCED_FACE('',(#35221),#18554,.T.); #344647=ADVANCED_FACE('',(#35222),#18555,.T.); #344648=ADVANCED_FACE('',(#35223),#18556,.T.); #344649=ADVANCED_FACE('',(#35224),#18557,.T.); #344650=ADVANCED_FACE('',(#35225),#18558,.T.); #344651=ADVANCED_FACE('',(#35226),#18559,.T.); #344652=ADVANCED_FACE('',(#35227),#18560,.T.); #344653=ADVANCED_FACE('',(#35228),#18561,.T.); #344654=ADVANCED_FACE('',(#35229),#18562,.T.); #344655=ADVANCED_FACE('',(#35230),#18563,.T.); #344656=ADVANCED_FACE('',(#35231),#18564,.T.); #344657=ADVANCED_FACE('',(#35232),#18565,.T.); #344658=ADVANCED_FACE('',(#35233),#18566,.T.); #344659=ADVANCED_FACE('',(#35234),#18567,.T.); #344660=ADVANCED_FACE('',(#35235),#18568,.T.); #344661=ADVANCED_FACE('',(#35236),#18569,.T.); #344662=ADVANCED_FACE('',(#35237),#18570,.T.); #344663=ADVANCED_FACE('',(#35238),#18571,.T.); #344664=ADVANCED_FACE('',(#35239),#18572,.T.); #344665=ADVANCED_FACE('',(#35240),#18573,.T.); #344666=ADVANCED_FACE('',(#35241),#18574,.T.); #344667=ADVANCED_FACE('',(#35242),#18575,.T.); #344668=ADVANCED_FACE('',(#35243),#18576,.T.); #344669=ADVANCED_FACE('',(#35244),#18577,.T.); #344670=ADVANCED_FACE('',(#35245),#18578,.T.); #344671=ADVANCED_FACE('',(#35246),#18579,.T.); #344672=ADVANCED_FACE('',(#35247),#18580,.T.); #344673=ADVANCED_FACE('',(#35248),#18581,.T.); #344674=ADVANCED_FACE('',(#35249),#18582,.T.); #344675=ADVANCED_FACE('',(#35250),#18583,.T.); #344676=ADVANCED_FACE('',(#35251),#18584,.T.); #344677=ADVANCED_FACE('',(#35252),#18585,.T.); #344678=ADVANCED_FACE('',(#35253),#18586,.T.); #344679=ADVANCED_FACE('',(#35254),#18587,.T.); #344680=ADVANCED_FACE('',(#35255),#18588,.T.); #344681=ADVANCED_FACE('',(#35256),#18589,.T.); #344682=ADVANCED_FACE('',(#35257),#18590,.T.); #344683=ADVANCED_FACE('',(#35258),#18591,.T.); #344684=ADVANCED_FACE('',(#35259),#18592,.T.); #344685=ADVANCED_FACE('',(#35260),#18593,.T.); #344686=ADVANCED_FACE('',(#35261),#18594,.T.); #344687=ADVANCED_FACE('',(#35262),#18595,.T.); #344688=ADVANCED_FACE('',(#35263),#18596,.T.); #344689=ADVANCED_FACE('',(#35264),#18597,.T.); #344690=ADVANCED_FACE('',(#35265),#18598,.T.); #344691=ADVANCED_FACE('',(#35266),#18599,.T.); #344692=ADVANCED_FACE('',(#35267),#18600,.T.); #344693=ADVANCED_FACE('',(#35268),#18601,.T.); #344694=ADVANCED_FACE('',(#35269),#18602,.T.); #344695=ADVANCED_FACE('',(#35270),#18603,.T.); #344696=ADVANCED_FACE('',(#35271),#18604,.T.); #344697=ADVANCED_FACE('',(#35272),#18605,.T.); #344698=ADVANCED_FACE('',(#35273),#18606,.T.); #344699=ADVANCED_FACE('',(#35274),#18607,.T.); #344700=ADVANCED_FACE('',(#35275),#18608,.T.); #344701=ADVANCED_FACE('',(#35276),#18609,.T.); #344702=ADVANCED_FACE('',(#35277),#18610,.T.); #344703=ADVANCED_FACE('',(#35278),#18611,.T.); #344704=ADVANCED_FACE('',(#35279),#18612,.T.); #344705=ADVANCED_FACE('',(#35280),#18613,.T.); #344706=ADVANCED_FACE('',(#35281),#18614,.T.); #344707=ADVANCED_FACE('',(#35282),#18615,.T.); #344708=ADVANCED_FACE('',(#35283),#18616,.T.); #344709=ADVANCED_FACE('',(#35284),#18617,.T.); #344710=ADVANCED_FACE('',(#35285),#18618,.T.); #344711=ADVANCED_FACE('',(#35286),#18619,.T.); #344712=ADVANCED_FACE('',(#35287),#18620,.T.); #344713=ADVANCED_FACE('',(#35288),#18621,.T.); #344714=ADVANCED_FACE('',(#35289),#18622,.T.); #344715=ADVANCED_FACE('',(#35290),#18623,.T.); #344716=ADVANCED_FACE('',(#35291),#18624,.T.); #344717=ADVANCED_FACE('',(#35292),#18625,.T.); #344718=ADVANCED_FACE('',(#35293),#18626,.T.); #344719=ADVANCED_FACE('',(#35294),#18627,.T.); #344720=ADVANCED_FACE('',(#35295),#18628,.T.); #344721=ADVANCED_FACE('',(#35296),#18629,.T.); #344722=ADVANCED_FACE('',(#35297),#18630,.T.); #344723=ADVANCED_FACE('',(#35298),#18631,.T.); #344724=ADVANCED_FACE('',(#35299),#18632,.T.); #344725=ADVANCED_FACE('',(#35300),#18633,.T.); #344726=ADVANCED_FACE('',(#35301),#18634,.T.); #344727=ADVANCED_FACE('',(#35302,#6432,#6433,#6434,#6435,#6436,#6437,#6438, #6439,#6440,#6441,#6442,#6443,#6444,#6445,#6446,#6447),#18635,.T.); #344728=ADVANCED_FACE('',(#35303,#6448,#6449,#6450,#6451,#6452,#6453,#6454, #6455,#6456,#6457,#6458,#6459,#6460,#6461,#6462,#6463),#18636,.F.); #344729=ADVANCED_FACE('',(#35304),#329902,.F.); #344730=ADVANCED_FACE('',(#35305),#18637,.T.); #344731=ADVANCED_FACE('',(#35306),#329903,.T.); #344732=ADVANCED_FACE('',(#35307),#329904,.T.); #344733=ADVANCED_FACE('',(#35308,#6464),#18638,.T.); #344734=ADVANCED_FACE('',(#35309,#6465),#18639,.F.); #344735=ADVANCED_FACE('',(#35310),#329905,.F.); #344736=ADVANCED_FACE('',(#35311),#18640,.T.); #344737=ADVANCED_FACE('',(#35312),#329906,.T.); #344738=ADVANCED_FACE('',(#35313),#329907,.T.); #344739=ADVANCED_FACE('',(#35314,#6466),#18641,.T.); #344740=ADVANCED_FACE('',(#35315,#6467),#18642,.F.); #344741=ADVANCED_FACE('',(#35316),#329908,.F.); #344742=ADVANCED_FACE('',(#35317),#18643,.T.); #344743=ADVANCED_FACE('',(#35318),#329909,.T.); #344744=ADVANCED_FACE('',(#35319),#329910,.T.); #344745=ADVANCED_FACE('',(#35320,#6468),#18644,.T.); #344746=ADVANCED_FACE('',(#35321,#6469),#18645,.F.); #344747=ADVANCED_FACE('',(#35322),#329911,.F.); #344748=ADVANCED_FACE('',(#35323),#18646,.T.); #344749=ADVANCED_FACE('',(#35324),#329912,.T.); #344750=ADVANCED_FACE('',(#35325),#329913,.T.); #344751=ADVANCED_FACE('',(#35326,#6470),#18647,.T.); #344752=ADVANCED_FACE('',(#35327,#6471),#18648,.F.); #344753=ADVANCED_FACE('',(#35328),#329914,.F.); #344754=ADVANCED_FACE('',(#35329),#18649,.T.); #344755=ADVANCED_FACE('',(#35330),#18650,.T.); #344756=ADVANCED_FACE('',(#35331),#18651,.T.); #344757=ADVANCED_FACE('',(#35332),#18652,.T.); #344758=ADVANCED_FACE('',(#35333,#6472),#18653,.T.); #344759=ADVANCED_FACE('',(#35334,#6473),#18654,.F.); #344760=ADVANCED_FACE('',(#35335),#329915,.F.); #344761=ADVANCED_FACE('',(#35336),#18655,.T.); #344762=ADVANCED_FACE('',(#35337),#329916,.T.); #344763=ADVANCED_FACE('',(#35338),#329917,.T.); #344764=ADVANCED_FACE('',(#35339,#6474),#18656,.T.); #344765=ADVANCED_FACE('',(#35340,#6475),#18657,.F.); #344766=ADVANCED_FACE('',(#35341),#329918,.F.); #344767=ADVANCED_FACE('',(#35342),#18658,.T.); #344768=ADVANCED_FACE('',(#35343),#329919,.T.); #344769=ADVANCED_FACE('',(#35344),#329920,.T.); #344770=ADVANCED_FACE('',(#35345,#6476),#18659,.T.); #344771=ADVANCED_FACE('',(#35346,#6477),#18660,.F.); #344772=ADVANCED_FACE('',(#35347),#329921,.F.); #344773=ADVANCED_FACE('',(#35348),#18661,.T.); #344774=ADVANCED_FACE('',(#35349),#329922,.T.); #344775=ADVANCED_FACE('',(#35350),#329923,.T.); #344776=ADVANCED_FACE('',(#35351,#6478),#18662,.T.); #344777=ADVANCED_FACE('',(#35352,#6479),#18663,.F.); #344778=ADVANCED_FACE('',(#35353),#329924,.F.); #344779=ADVANCED_FACE('',(#35354),#18664,.T.); #344780=ADVANCED_FACE('',(#35355),#329925,.T.); #344781=ADVANCED_FACE('',(#35356),#329926,.T.); #344782=ADVANCED_FACE('',(#35357,#6480),#18665,.T.); #344783=ADVANCED_FACE('',(#35358,#6481),#18666,.F.); #344784=ADVANCED_FACE('',(#35359),#18667,.F.); #344785=ADVANCED_FACE('',(#35360),#329927,.F.); #344786=ADVANCED_FACE('',(#35361),#18668,.F.); #344787=ADVANCED_FACE('',(#35362),#329928,.F.); #344788=ADVANCED_FACE('',(#35363),#18669,.T.); #344789=ADVANCED_FACE('',(#35364),#18670,.T.); #344790=ADVANCED_FACE('',(#35365),#18671,.T.); #344791=ADVANCED_FACE('',(#35366),#18672,.T.); #344792=ADVANCED_FACE('',(#35367),#18673,.T.); #344793=ADVANCED_FACE('',(#35368),#18674,.T.); #344794=ADVANCED_FACE('',(#35369),#18675,.T.); #344795=ADVANCED_FACE('',(#35370),#18676,.T.); #344796=ADVANCED_FACE('',(#35371),#18677,.T.); #344797=ADVANCED_FACE('',(#35372),#18678,.T.); #344798=ADVANCED_FACE('',(#35373),#18679,.T.); #344799=ADVANCED_FACE('',(#35374),#18680,.T.); #344800=ADVANCED_FACE('',(#35375),#18681,.T.); #344801=ADVANCED_FACE('',(#35376),#18682,.T.); #344802=ADVANCED_FACE('',(#35377),#18683,.T.); #344803=ADVANCED_FACE('',(#35378),#18684,.T.); #344804=ADVANCED_FACE('',(#35379),#18685,.T.); #344805=ADVANCED_FACE('',(#35380),#18686,.T.); #344806=ADVANCED_FACE('',(#35381),#18687,.T.); #344807=ADVANCED_FACE('',(#35382),#18688,.T.); #344808=ADVANCED_FACE('',(#35383),#18689,.T.); #344809=ADVANCED_FACE('',(#35384),#18690,.T.); #344810=ADVANCED_FACE('',(#35385),#18691,.T.); #344811=ADVANCED_FACE('',(#35386),#18692,.T.); #344812=ADVANCED_FACE('',(#35387),#18693,.T.); #344813=ADVANCED_FACE('',(#35388),#18694,.T.); #344814=ADVANCED_FACE('',(#35389),#18695,.T.); #344815=ADVANCED_FACE('',(#35390),#18696,.T.); #344816=ADVANCED_FACE('',(#35391),#18697,.T.); #344817=ADVANCED_FACE('',(#35392),#18698,.T.); #344818=ADVANCED_FACE('',(#35393),#18699,.T.); #344819=ADVANCED_FACE('',(#35394),#18700,.T.); #344820=ADVANCED_FACE('',(#35395),#18701,.T.); #344821=ADVANCED_FACE('',(#35396),#18702,.T.); #344822=ADVANCED_FACE('',(#35397),#18703,.T.); #344823=ADVANCED_FACE('',(#35398),#18704,.T.); #344824=ADVANCED_FACE('',(#35399),#18705,.T.); #344825=ADVANCED_FACE('',(#35400),#18706,.T.); #344826=ADVANCED_FACE('',(#35401),#18707,.T.); #344827=ADVANCED_FACE('',(#35402),#18708,.T.); #344828=ADVANCED_FACE('',(#35403),#18709,.T.); #344829=ADVANCED_FACE('',(#35404),#18710,.T.); #344830=ADVANCED_FACE('',(#35405),#18711,.T.); #344831=ADVANCED_FACE('',(#35406),#18712,.T.); #344832=ADVANCED_FACE('',(#35407),#18713,.T.); #344833=ADVANCED_FACE('',(#35408),#18714,.T.); #344834=ADVANCED_FACE('',(#35409),#18715,.T.); #344835=ADVANCED_FACE('',(#35410),#18716,.T.); #344836=ADVANCED_FACE('',(#35411),#18717,.T.); #344837=ADVANCED_FACE('',(#35412),#18718,.T.); #344838=ADVANCED_FACE('',(#35413),#18719,.T.); #344839=ADVANCED_FACE('',(#35414),#18720,.T.); #344840=ADVANCED_FACE('',(#35415),#18721,.T.); #344841=ADVANCED_FACE('',(#35416),#18722,.T.); #344842=ADVANCED_FACE('',(#35417),#18723,.T.); #344843=ADVANCED_FACE('',(#35418),#18724,.T.); #344844=ADVANCED_FACE('',(#35419,#6482),#18725,.T.); #344845=ADVANCED_FACE('',(#35420,#6483),#18726,.F.); #344846=ADVANCED_FACE('',(#35421),#18727,.F.); #344847=ADVANCED_FACE('',(#35422),#329929,.F.); #344848=ADVANCED_FACE('',(#35423),#18728,.F.); #344849=ADVANCED_FACE('',(#35424),#329930,.F.); #344850=ADVANCED_FACE('',(#35425),#18729,.T.); #344851=ADVANCED_FACE('',(#35426),#18730,.T.); #344852=ADVANCED_FACE('',(#35427),#18731,.T.); #344853=ADVANCED_FACE('',(#35428),#18732,.T.); #344854=ADVANCED_FACE('',(#35429),#18733,.T.); #344855=ADVANCED_FACE('',(#35430),#18734,.T.); #344856=ADVANCED_FACE('',(#35431),#18735,.T.); #344857=ADVANCED_FACE('',(#35432),#18736,.T.); #344858=ADVANCED_FACE('',(#35433),#18737,.T.); #344859=ADVANCED_FACE('',(#35434),#18738,.T.); #344860=ADVANCED_FACE('',(#35435),#18739,.T.); #344861=ADVANCED_FACE('',(#35436),#18740,.T.); #344862=ADVANCED_FACE('',(#35437),#18741,.T.); #344863=ADVANCED_FACE('',(#35438),#18742,.T.); #344864=ADVANCED_FACE('',(#35439),#18743,.T.); #344865=ADVANCED_FACE('',(#35440),#18744,.T.); #344866=ADVANCED_FACE('',(#35441),#18745,.T.); #344867=ADVANCED_FACE('',(#35442),#18746,.T.); #344868=ADVANCED_FACE('',(#35443),#18747,.T.); #344869=ADVANCED_FACE('',(#35444),#18748,.T.); #344870=ADVANCED_FACE('',(#35445),#18749,.T.); #344871=ADVANCED_FACE('',(#35446),#18750,.T.); #344872=ADVANCED_FACE('',(#35447),#18751,.T.); #344873=ADVANCED_FACE('',(#35448),#18752,.T.); #344874=ADVANCED_FACE('',(#35449),#18753,.T.); #344875=ADVANCED_FACE('',(#35450),#18754,.T.); #344876=ADVANCED_FACE('',(#35451),#18755,.T.); #344877=ADVANCED_FACE('',(#35452),#18756,.T.); #344878=ADVANCED_FACE('',(#35453),#18757,.T.); #344879=ADVANCED_FACE('',(#35454),#18758,.T.); #344880=ADVANCED_FACE('',(#35455),#18759,.T.); #344881=ADVANCED_FACE('',(#35456),#18760,.T.); #344882=ADVANCED_FACE('',(#35457),#18761,.T.); #344883=ADVANCED_FACE('',(#35458),#18762,.T.); #344884=ADVANCED_FACE('',(#35459),#18763,.T.); #344885=ADVANCED_FACE('',(#35460),#18764,.T.); #344886=ADVANCED_FACE('',(#35461),#18765,.T.); #344887=ADVANCED_FACE('',(#35462),#18766,.T.); #344888=ADVANCED_FACE('',(#35463),#18767,.T.); #344889=ADVANCED_FACE('',(#35464),#18768,.T.); #344890=ADVANCED_FACE('',(#35465),#18769,.T.); #344891=ADVANCED_FACE('',(#35466),#18770,.T.); #344892=ADVANCED_FACE('',(#35467),#18771,.T.); #344893=ADVANCED_FACE('',(#35468),#18772,.T.); #344894=ADVANCED_FACE('',(#35469),#18773,.T.); #344895=ADVANCED_FACE('',(#35470),#18774,.T.); #344896=ADVANCED_FACE('',(#35471),#18775,.T.); #344897=ADVANCED_FACE('',(#35472),#18776,.T.); #344898=ADVANCED_FACE('',(#35473),#18777,.T.); #344899=ADVANCED_FACE('',(#35474),#18778,.T.); #344900=ADVANCED_FACE('',(#35475),#18779,.T.); #344901=ADVANCED_FACE('',(#35476),#18780,.T.); #344902=ADVANCED_FACE('',(#35477),#18781,.T.); #344903=ADVANCED_FACE('',(#35478),#18782,.T.); #344904=ADVANCED_FACE('',(#35479),#18783,.T.); #344905=ADVANCED_FACE('',(#35480),#18784,.T.); #344906=ADVANCED_FACE('',(#35481),#18785,.T.); #344907=ADVANCED_FACE('',(#35482),#18786,.T.); #344908=ADVANCED_FACE('',(#35483),#18787,.T.); #344909=ADVANCED_FACE('',(#35484),#18788,.T.); #344910=ADVANCED_FACE('',(#35485),#18789,.T.); #344911=ADVANCED_FACE('',(#35486),#18790,.T.); #344912=ADVANCED_FACE('',(#35487),#18791,.T.); #344913=ADVANCED_FACE('',(#35488),#18792,.T.); #344914=ADVANCED_FACE('',(#35489),#18793,.T.); #344915=ADVANCED_FACE('',(#35490),#18794,.T.); #344916=ADVANCED_FACE('',(#35491),#18795,.T.); #344917=ADVANCED_FACE('',(#35492),#18796,.T.); #344918=ADVANCED_FACE('',(#35493),#18797,.T.); #344919=ADVANCED_FACE('',(#35494),#18798,.T.); #344920=ADVANCED_FACE('',(#35495),#18799,.T.); #344921=ADVANCED_FACE('',(#35496),#18800,.T.); #344922=ADVANCED_FACE('',(#35497,#6484),#18801,.T.); #344923=ADVANCED_FACE('',(#35498,#6485),#18802,.F.); #344924=ADVANCED_FACE('',(#35499),#18803,.F.); #344925=ADVANCED_FACE('',(#35500),#329931,.F.); #344926=ADVANCED_FACE('',(#35501),#18804,.F.); #344927=ADVANCED_FACE('',(#35502),#329932,.F.); #344928=ADVANCED_FACE('',(#35503),#18805,.T.); #344929=ADVANCED_FACE('',(#35504),#18806,.T.); #344930=ADVANCED_FACE('',(#35505),#18807,.T.); #344931=ADVANCED_FACE('',(#35506),#18808,.T.); #344932=ADVANCED_FACE('',(#35507),#18809,.T.); #344933=ADVANCED_FACE('',(#35508),#18810,.T.); #344934=ADVANCED_FACE('',(#35509),#18811,.T.); #344935=ADVANCED_FACE('',(#35510),#18812,.T.); #344936=ADVANCED_FACE('',(#35511),#18813,.T.); #344937=ADVANCED_FACE('',(#35512),#18814,.T.); #344938=ADVANCED_FACE('',(#35513),#18815,.T.); #344939=ADVANCED_FACE('',(#35514),#18816,.T.); #344940=ADVANCED_FACE('',(#35515),#18817,.T.); #344941=ADVANCED_FACE('',(#35516),#18818,.T.); #344942=ADVANCED_FACE('',(#35517),#18819,.T.); #344943=ADVANCED_FACE('',(#35518),#18820,.T.); #344944=ADVANCED_FACE('',(#35519),#18821,.T.); #344945=ADVANCED_FACE('',(#35520),#18822,.T.); #344946=ADVANCED_FACE('',(#35521),#18823,.T.); #344947=ADVANCED_FACE('',(#35522),#18824,.T.); #344948=ADVANCED_FACE('',(#35523),#18825,.T.); #344949=ADVANCED_FACE('',(#35524),#18826,.T.); #344950=ADVANCED_FACE('',(#35525),#18827,.T.); #344951=ADVANCED_FACE('',(#35526),#18828,.T.); #344952=ADVANCED_FACE('',(#35527),#18829,.T.); #344953=ADVANCED_FACE('',(#35528),#18830,.T.); #344954=ADVANCED_FACE('',(#35529),#18831,.T.); #344955=ADVANCED_FACE('',(#35530),#18832,.T.); #344956=ADVANCED_FACE('',(#35531),#18833,.T.); #344957=ADVANCED_FACE('',(#35532),#18834,.T.); #344958=ADVANCED_FACE('',(#35533),#18835,.T.); #344959=ADVANCED_FACE('',(#35534),#18836,.T.); #344960=ADVANCED_FACE('',(#35535),#18837,.T.); #344961=ADVANCED_FACE('',(#35536),#18838,.T.); #344962=ADVANCED_FACE('',(#35537),#18839,.T.); #344963=ADVANCED_FACE('',(#35538),#18840,.T.); #344964=ADVANCED_FACE('',(#35539),#18841,.T.); #344965=ADVANCED_FACE('',(#35540),#18842,.T.); #344966=ADVANCED_FACE('',(#35541),#18843,.T.); #344967=ADVANCED_FACE('',(#35542),#18844,.T.); #344968=ADVANCED_FACE('',(#35543),#18845,.T.); #344969=ADVANCED_FACE('',(#35544),#18846,.T.); #344970=ADVANCED_FACE('',(#35545),#18847,.T.); #344971=ADVANCED_FACE('',(#35546),#18848,.T.); #344972=ADVANCED_FACE('',(#35547),#18849,.T.); #344973=ADVANCED_FACE('',(#35548),#18850,.T.); #344974=ADVANCED_FACE('',(#35549),#18851,.T.); #344975=ADVANCED_FACE('',(#35550),#18852,.T.); #344976=ADVANCED_FACE('',(#35551),#18853,.T.); #344977=ADVANCED_FACE('',(#35552),#18854,.T.); #344978=ADVANCED_FACE('',(#35553),#18855,.T.); #344979=ADVANCED_FACE('',(#35554),#18856,.T.); #344980=ADVANCED_FACE('',(#35555),#18857,.T.); #344981=ADVANCED_FACE('',(#35556),#18858,.T.); #344982=ADVANCED_FACE('',(#35557),#18859,.T.); #344983=ADVANCED_FACE('',(#35558),#18860,.T.); #344984=ADVANCED_FACE('',(#35559,#6486),#18861,.T.); #344985=ADVANCED_FACE('',(#35560,#6487),#18862,.F.); #344986=ADVANCED_FACE('',(#35561),#329933,.F.); #344987=ADVANCED_FACE('',(#35562),#18863,.T.); #344988=ADVANCED_FACE('',(#35563),#18864,.T.); #344989=ADVANCED_FACE('',(#35564),#18865,.T.); #344990=ADVANCED_FACE('',(#35565),#18866,.T.); #344991=ADVANCED_FACE('',(#35566,#6488),#18867,.T.); #344992=ADVANCED_FACE('',(#35567,#6489),#18868,.F.); #344993=ADVANCED_FACE('',(#35568),#18869,.F.); #344994=ADVANCED_FACE('',(#35569),#329934,.F.); #344995=ADVANCED_FACE('',(#35570),#18870,.F.); #344996=ADVANCED_FACE('',(#35571),#329935,.F.); #344997=ADVANCED_FACE('',(#35572),#18871,.T.); #344998=ADVANCED_FACE('',(#35573),#18872,.T.); #344999=ADVANCED_FACE('',(#35574),#18873,.T.); #345000=ADVANCED_FACE('',(#35575),#18874,.T.); #345001=ADVANCED_FACE('',(#35576),#18875,.T.); #345002=ADVANCED_FACE('',(#35577),#18876,.T.); #345003=ADVANCED_FACE('',(#35578),#18877,.T.); #345004=ADVANCED_FACE('',(#35579),#18878,.T.); #345005=ADVANCED_FACE('',(#35580),#18879,.T.); #345006=ADVANCED_FACE('',(#35581),#18880,.T.); #345007=ADVANCED_FACE('',(#35582),#18881,.T.); #345008=ADVANCED_FACE('',(#35583),#18882,.T.); #345009=ADVANCED_FACE('',(#35584),#18883,.T.); #345010=ADVANCED_FACE('',(#35585),#18884,.T.); #345011=ADVANCED_FACE('',(#35586),#18885,.T.); #345012=ADVANCED_FACE('',(#35587),#18886,.T.); #345013=ADVANCED_FACE('',(#35588),#18887,.T.); #345014=ADVANCED_FACE('',(#35589),#18888,.T.); #345015=ADVANCED_FACE('',(#35590),#18889,.T.); #345016=ADVANCED_FACE('',(#35591),#18890,.T.); #345017=ADVANCED_FACE('',(#35592),#18891,.T.); #345018=ADVANCED_FACE('',(#35593),#18892,.T.); #345019=ADVANCED_FACE('',(#35594),#18893,.T.); #345020=ADVANCED_FACE('',(#35595),#18894,.T.); #345021=ADVANCED_FACE('',(#35596),#18895,.T.); #345022=ADVANCED_FACE('',(#35597),#18896,.T.); #345023=ADVANCED_FACE('',(#35598),#18897,.T.); #345024=ADVANCED_FACE('',(#35599),#18898,.T.); #345025=ADVANCED_FACE('',(#35600),#18899,.T.); #345026=ADVANCED_FACE('',(#35601),#18900,.T.); #345027=ADVANCED_FACE('',(#35602),#18901,.T.); #345028=ADVANCED_FACE('',(#35603),#18902,.T.); #345029=ADVANCED_FACE('',(#35604),#18903,.T.); #345030=ADVANCED_FACE('',(#35605),#18904,.T.); #345031=ADVANCED_FACE('',(#35606),#18905,.T.); #345032=ADVANCED_FACE('',(#35607),#18906,.T.); #345033=ADVANCED_FACE('',(#35608),#18907,.T.); #345034=ADVANCED_FACE('',(#35609),#18908,.T.); #345035=ADVANCED_FACE('',(#35610),#18909,.T.); #345036=ADVANCED_FACE('',(#35611),#18910,.T.); #345037=ADVANCED_FACE('',(#35612),#18911,.T.); #345038=ADVANCED_FACE('',(#35613),#18912,.T.); #345039=ADVANCED_FACE('',(#35614),#18913,.T.); #345040=ADVANCED_FACE('',(#35615),#18914,.T.); #345041=ADVANCED_FACE('',(#35616),#18915,.T.); #345042=ADVANCED_FACE('',(#35617),#18916,.T.); #345043=ADVANCED_FACE('',(#35618),#18917,.T.); #345044=ADVANCED_FACE('',(#35619),#18918,.T.); #345045=ADVANCED_FACE('',(#35620),#18919,.T.); #345046=ADVANCED_FACE('',(#35621),#18920,.T.); #345047=ADVANCED_FACE('',(#35622),#18921,.T.); #345048=ADVANCED_FACE('',(#35623),#18922,.T.); #345049=ADVANCED_FACE('',(#35624),#18923,.T.); #345050=ADVANCED_FACE('',(#35625),#18924,.T.); #345051=ADVANCED_FACE('',(#35626),#18925,.T.); #345052=ADVANCED_FACE('',(#35627),#18926,.T.); #345053=ADVANCED_FACE('',(#35628,#6490),#18927,.T.); #345054=ADVANCED_FACE('',(#35629,#6491),#18928,.F.); #345055=ADVANCED_FACE('',(#35630),#18929,.F.); #345056=ADVANCED_FACE('',(#35631),#329936,.F.); #345057=ADVANCED_FACE('',(#35632),#18930,.F.); #345058=ADVANCED_FACE('',(#35633),#329937,.F.); #345059=ADVANCED_FACE('',(#35634),#18931,.T.); #345060=ADVANCED_FACE('',(#35635),#18932,.T.); #345061=ADVANCED_FACE('',(#35636),#18933,.T.); #345062=ADVANCED_FACE('',(#35637),#18934,.T.); #345063=ADVANCED_FACE('',(#35638),#18935,.T.); #345064=ADVANCED_FACE('',(#35639),#18936,.T.); #345065=ADVANCED_FACE('',(#35640),#18937,.T.); #345066=ADVANCED_FACE('',(#35641),#18938,.T.); #345067=ADVANCED_FACE('',(#35642),#18939,.T.); #345068=ADVANCED_FACE('',(#35643),#18940,.T.); #345069=ADVANCED_FACE('',(#35644),#18941,.T.); #345070=ADVANCED_FACE('',(#35645),#18942,.T.); #345071=ADVANCED_FACE('',(#35646),#18943,.T.); #345072=ADVANCED_FACE('',(#35647),#18944,.T.); #345073=ADVANCED_FACE('',(#35648),#18945,.T.); #345074=ADVANCED_FACE('',(#35649),#18946,.T.); #345075=ADVANCED_FACE('',(#35650),#18947,.T.); #345076=ADVANCED_FACE('',(#35651),#18948,.T.); #345077=ADVANCED_FACE('',(#35652),#18949,.T.); #345078=ADVANCED_FACE('',(#35653),#18950,.T.); #345079=ADVANCED_FACE('',(#35654),#18951,.T.); #345080=ADVANCED_FACE('',(#35655),#18952,.T.); #345081=ADVANCED_FACE('',(#35656),#18953,.T.); #345082=ADVANCED_FACE('',(#35657),#18954,.T.); #345083=ADVANCED_FACE('',(#35658),#18955,.T.); #345084=ADVANCED_FACE('',(#35659),#18956,.T.); #345085=ADVANCED_FACE('',(#35660),#18957,.T.); #345086=ADVANCED_FACE('',(#35661),#18958,.T.); #345087=ADVANCED_FACE('',(#35662),#18959,.T.); #345088=ADVANCED_FACE('',(#35663),#18960,.T.); #345089=ADVANCED_FACE('',(#35664),#18961,.T.); #345090=ADVANCED_FACE('',(#35665),#18962,.T.); #345091=ADVANCED_FACE('',(#35666),#18963,.T.); #345092=ADVANCED_FACE('',(#35667),#18964,.T.); #345093=ADVANCED_FACE('',(#35668),#18965,.T.); #345094=ADVANCED_FACE('',(#35669),#18966,.T.); #345095=ADVANCED_FACE('',(#35670),#18967,.T.); #345096=ADVANCED_FACE('',(#35671),#18968,.T.); #345097=ADVANCED_FACE('',(#35672),#18969,.T.); #345098=ADVANCED_FACE('',(#35673),#18970,.T.); #345099=ADVANCED_FACE('',(#35674),#18971,.T.); #345100=ADVANCED_FACE('',(#35675),#18972,.T.); #345101=ADVANCED_FACE('',(#35676),#18973,.T.); #345102=ADVANCED_FACE('',(#35677),#18974,.T.); #345103=ADVANCED_FACE('',(#35678),#18975,.T.); #345104=ADVANCED_FACE('',(#35679),#18976,.T.); #345105=ADVANCED_FACE('',(#35680),#18977,.T.); #345106=ADVANCED_FACE('',(#35681),#18978,.T.); #345107=ADVANCED_FACE('',(#35682),#18979,.T.); #345108=ADVANCED_FACE('',(#35683),#18980,.T.); #345109=ADVANCED_FACE('',(#35684),#18981,.T.); #345110=ADVANCED_FACE('',(#35685),#18982,.T.); #345111=ADVANCED_FACE('',(#35686),#18983,.T.); #345112=ADVANCED_FACE('',(#35687),#18984,.T.); #345113=ADVANCED_FACE('',(#35688),#18985,.T.); #345114=ADVANCED_FACE('',(#35689),#18986,.T.); #345115=ADVANCED_FACE('',(#35690),#18987,.T.); #345116=ADVANCED_FACE('',(#35691),#18988,.T.); #345117=ADVANCED_FACE('',(#35692),#18989,.T.); #345118=ADVANCED_FACE('',(#35693),#18990,.T.); #345119=ADVANCED_FACE('',(#35694),#18991,.T.); #345120=ADVANCED_FACE('',(#35695),#18992,.T.); #345121=ADVANCED_FACE('',(#35696),#18993,.T.); #345122=ADVANCED_FACE('',(#35697),#18994,.T.); #345123=ADVANCED_FACE('',(#35698),#18995,.T.); #345124=ADVANCED_FACE('',(#35699),#18996,.T.); #345125=ADVANCED_FACE('',(#35700),#18997,.T.); #345126=ADVANCED_FACE('',(#35701),#18998,.T.); #345127=ADVANCED_FACE('',(#35702),#18999,.T.); #345128=ADVANCED_FACE('',(#35703),#19000,.T.); #345129=ADVANCED_FACE('',(#35704),#19001,.T.); #345130=ADVANCED_FACE('',(#35705),#19002,.T.); #345131=ADVANCED_FACE('',(#35706,#6492),#19003,.T.); #345132=ADVANCED_FACE('',(#35707,#6493),#19004,.F.); #345133=ADVANCED_FACE('',(#35708),#329938,.F.); #345134=ADVANCED_FACE('',(#35709),#19005,.T.); #345135=ADVANCED_FACE('',(#35710),#329939,.T.); #345136=ADVANCED_FACE('',(#35711),#329940,.T.); #345137=ADVANCED_FACE('',(#35712,#6494),#19006,.T.); #345138=ADVANCED_FACE('',(#35713,#6495),#19007,.F.); #345139=ADVANCED_FACE('',(#35714),#329941,.F.); #345140=ADVANCED_FACE('',(#35715),#19008,.T.); #345141=ADVANCED_FACE('',(#35716),#329942,.T.); #345142=ADVANCED_FACE('',(#35717),#329943,.T.); #345143=ADVANCED_FACE('',(#35718,#6496),#19009,.T.); #345144=ADVANCED_FACE('',(#35719,#6497),#19010,.F.); #345145=ADVANCED_FACE('',(#35720),#19011,.F.); #345146=ADVANCED_FACE('',(#35721),#329944,.F.); #345147=ADVANCED_FACE('',(#35722),#19012,.F.); #345148=ADVANCED_FACE('',(#35723),#329945,.F.); #345149=ADVANCED_FACE('',(#35724),#19013,.T.); #345150=ADVANCED_FACE('',(#35725),#19014,.T.); #345151=ADVANCED_FACE('',(#35726),#19015,.T.); #345152=ADVANCED_FACE('',(#35727),#19016,.T.); #345153=ADVANCED_FACE('',(#35728),#19017,.T.); #345154=ADVANCED_FACE('',(#35729),#19018,.T.); #345155=ADVANCED_FACE('',(#35730),#19019,.T.); #345156=ADVANCED_FACE('',(#35731),#19020,.T.); #345157=ADVANCED_FACE('',(#35732),#19021,.T.); #345158=ADVANCED_FACE('',(#35733),#19022,.T.); #345159=ADVANCED_FACE('',(#35734),#19023,.T.); #345160=ADVANCED_FACE('',(#35735),#19024,.T.); #345161=ADVANCED_FACE('',(#35736),#19025,.T.); #345162=ADVANCED_FACE('',(#35737),#19026,.T.); #345163=ADVANCED_FACE('',(#35738),#19027,.T.); #345164=ADVANCED_FACE('',(#35739),#19028,.T.); #345165=ADVANCED_FACE('',(#35740),#19029,.T.); #345166=ADVANCED_FACE('',(#35741),#19030,.T.); #345167=ADVANCED_FACE('',(#35742),#19031,.T.); #345168=ADVANCED_FACE('',(#35743),#19032,.T.); #345169=ADVANCED_FACE('',(#35744),#19033,.T.); #345170=ADVANCED_FACE('',(#35745),#19034,.T.); #345171=ADVANCED_FACE('',(#35746),#19035,.T.); #345172=ADVANCED_FACE('',(#35747),#19036,.T.); #345173=ADVANCED_FACE('',(#35748),#19037,.T.); #345174=ADVANCED_FACE('',(#35749),#19038,.T.); #345175=ADVANCED_FACE('',(#35750),#19039,.T.); #345176=ADVANCED_FACE('',(#35751),#19040,.T.); #345177=ADVANCED_FACE('',(#35752),#19041,.T.); #345178=ADVANCED_FACE('',(#35753),#19042,.T.); #345179=ADVANCED_FACE('',(#35754),#19043,.T.); #345180=ADVANCED_FACE('',(#35755),#19044,.T.); #345181=ADVANCED_FACE('',(#35756),#19045,.T.); #345182=ADVANCED_FACE('',(#35757),#19046,.T.); #345183=ADVANCED_FACE('',(#35758),#19047,.T.); #345184=ADVANCED_FACE('',(#35759),#19048,.T.); #345185=ADVANCED_FACE('',(#35760),#19049,.T.); #345186=ADVANCED_FACE('',(#35761),#19050,.T.); #345187=ADVANCED_FACE('',(#35762),#19051,.T.); #345188=ADVANCED_FACE('',(#35763),#19052,.T.); #345189=ADVANCED_FACE('',(#35764),#19053,.T.); #345190=ADVANCED_FACE('',(#35765),#19054,.T.); #345191=ADVANCED_FACE('',(#35766),#19055,.T.); #345192=ADVANCED_FACE('',(#35767),#19056,.T.); #345193=ADVANCED_FACE('',(#35768),#19057,.T.); #345194=ADVANCED_FACE('',(#35769),#19058,.T.); #345195=ADVANCED_FACE('',(#35770),#19059,.T.); #345196=ADVANCED_FACE('',(#35771),#19060,.T.); #345197=ADVANCED_FACE('',(#35772),#19061,.T.); #345198=ADVANCED_FACE('',(#35773),#19062,.T.); #345199=ADVANCED_FACE('',(#35774),#19063,.T.); #345200=ADVANCED_FACE('',(#35775),#19064,.T.); #345201=ADVANCED_FACE('',(#35776),#19065,.T.); #345202=ADVANCED_FACE('',(#35777),#19066,.T.); #345203=ADVANCED_FACE('',(#35778),#19067,.T.); #345204=ADVANCED_FACE('',(#35779),#19068,.T.); #345205=ADVANCED_FACE('',(#35780,#6498),#19069,.T.); #345206=ADVANCED_FACE('',(#35781,#6499),#19070,.F.); #345207=ADVANCED_FACE('',(#35782),#329946,.F.); #345208=ADVANCED_FACE('',(#35783),#19071,.T.); #345209=ADVANCED_FACE('',(#35784),#329947,.T.); #345210=ADVANCED_FACE('',(#35785),#329948,.T.); #345211=ADVANCED_FACE('',(#35786,#6500),#19072,.T.); #345212=ADVANCED_FACE('',(#35787,#6501),#19073,.F.); #345213=ADVANCED_FACE('',(#35788),#329949,.F.); #345214=ADVANCED_FACE('',(#35789),#19074,.T.); #345215=ADVANCED_FACE('',(#35790),#329950,.T.); #345216=ADVANCED_FACE('',(#35791),#329951,.T.); #345217=ADVANCED_FACE('',(#35792,#6502),#19075,.T.); #345218=ADVANCED_FACE('',(#35793,#6503),#19076,.F.); #345219=ADVANCED_FACE('',(#35794),#329952,.F.); #345220=ADVANCED_FACE('',(#35795),#19077,.T.); #345221=ADVANCED_FACE('',(#35796),#329953,.T.); #345222=ADVANCED_FACE('',(#35797),#329954,.T.); #345223=ADVANCED_FACE('',(#35798,#6504),#19078,.T.); #345224=ADVANCED_FACE('',(#35799,#6505),#19079,.F.); #345225=ADVANCED_FACE('',(#35800),#329955,.F.); #345226=ADVANCED_FACE('',(#35801),#19080,.T.); #345227=ADVANCED_FACE('',(#35802),#19081,.T.); #345228=ADVANCED_FACE('',(#35803),#19082,.T.); #345229=ADVANCED_FACE('',(#35804),#19083,.T.); #345230=ADVANCED_FACE('',(#35805,#6506),#19084,.T.); #345231=ADVANCED_FACE('',(#35806,#6507),#19085,.F.); #345232=ADVANCED_FACE('',(#35807),#329956,.F.); #345233=ADVANCED_FACE('',(#35808),#19086,.T.); #345234=ADVANCED_FACE('',(#35809),#329957,.T.); #345235=ADVANCED_FACE('',(#35810),#329958,.T.); #345236=ADVANCED_FACE('',(#35811,#6508),#19087,.T.); #345237=ADVANCED_FACE('',(#35812,#6509),#19088,.F.); #345238=ADVANCED_FACE('',(#35813),#19089,.T.); #345239=ADVANCED_FACE('',(#35814),#19090,.T.); #345240=ADVANCED_FACE('',(#35815),#19091,.T.); #345241=ADVANCED_FACE('',(#35816),#19092,.T.); #345242=ADVANCED_FACE('',(#35817),#19093,.T.); #345243=ADVANCED_FACE('',(#35818),#19094,.F.); #345244=ADVANCED_FACE('',(#35819),#19095,.T.); #345245=ADVANCED_FACE('',(#35820),#19096,.T.); #345246=ADVANCED_FACE('',(#35821),#19097,.T.); #345247=ADVANCED_FACE('',(#35822),#19098,.T.); #345248=ADVANCED_FACE('',(#35823),#19099,.T.); #345249=ADVANCED_FACE('',(#35824),#19100,.F.); #345250=ADVANCED_FACE('',(#35825),#19101,.T.); #345251=ADVANCED_FACE('',(#35826),#19102,.T.); #345252=ADVANCED_FACE('',(#35827),#19103,.T.); #345253=ADVANCED_FACE('',(#35828),#19104,.T.); #345254=ADVANCED_FACE('',(#35829),#19105,.T.); #345255=ADVANCED_FACE('',(#35830),#19106,.F.); #345256=ADVANCED_FACE('',(#35831),#19107,.T.); #345257=ADVANCED_FACE('',(#35832),#19108,.T.); #345258=ADVANCED_FACE('',(#35833),#19109,.T.); #345259=ADVANCED_FACE('',(#35834),#19110,.T.); #345260=ADVANCED_FACE('',(#35835),#19111,.T.); #345261=ADVANCED_FACE('',(#35836),#19112,.F.); #345262=ADVANCED_FACE('',(#35837),#19113,.T.); #345263=ADVANCED_FACE('',(#35838),#19114,.T.); #345264=ADVANCED_FACE('',(#35839),#19115,.T.); #345265=ADVANCED_FACE('',(#35840),#19116,.T.); #345266=ADVANCED_FACE('',(#35841),#19117,.T.); #345267=ADVANCED_FACE('',(#35842),#19118,.F.); #345268=ADVANCED_FACE('',(#35843),#19119,.T.); #345269=ADVANCED_FACE('',(#35844),#19120,.T.); #345270=ADVANCED_FACE('',(#35845),#19121,.T.); #345271=ADVANCED_FACE('',(#35846),#19122,.T.); #345272=ADVANCED_FACE('',(#35847),#19123,.T.); #345273=ADVANCED_FACE('',(#35848),#19124,.F.); #345274=ADVANCED_FACE('',(#35849),#19125,.T.); #345275=ADVANCED_FACE('',(#35850),#19126,.T.); #345276=ADVANCED_FACE('',(#35851),#19127,.T.); #345277=ADVANCED_FACE('',(#35852),#19128,.T.); #345278=ADVANCED_FACE('',(#35853),#19129,.T.); #345279=ADVANCED_FACE('',(#35854),#19130,.F.); #345280=ADVANCED_FACE('',(#35855),#19131,.T.); #345281=ADVANCED_FACE('',(#35856),#19132,.T.); #345282=ADVANCED_FACE('',(#35857),#19133,.T.); #345283=ADVANCED_FACE('',(#35858),#19134,.T.); #345284=ADVANCED_FACE('',(#35859),#19135,.T.); #345285=ADVANCED_FACE('',(#35860),#19136,.F.); #345286=ADVANCED_FACE('',(#35861),#19137,.T.); #345287=ADVANCED_FACE('',(#35862),#19138,.T.); #345288=ADVANCED_FACE('',(#35863),#19139,.T.); #345289=ADVANCED_FACE('',(#35864),#19140,.T.); #345290=ADVANCED_FACE('',(#35865),#19141,.T.); #345291=ADVANCED_FACE('',(#35866),#19142,.F.); #345292=ADVANCED_FACE('',(#35867),#19143,.T.); #345293=ADVANCED_FACE('',(#35868),#19144,.T.); #345294=ADVANCED_FACE('',(#35869),#19145,.T.); #345295=ADVANCED_FACE('',(#35870),#19146,.T.); #345296=ADVANCED_FACE('',(#35871),#19147,.T.); #345297=ADVANCED_FACE('',(#35872),#19148,.F.); #345298=ADVANCED_FACE('',(#35873),#19149,.T.); #345299=ADVANCED_FACE('',(#35874),#19150,.T.); #345300=ADVANCED_FACE('',(#35875),#19151,.T.); #345301=ADVANCED_FACE('',(#35876),#19152,.T.); #345302=ADVANCED_FACE('',(#35877),#19153,.T.); #345303=ADVANCED_FACE('',(#35878),#19154,.F.); #345304=ADVANCED_FACE('',(#35879),#19155,.T.); #345305=ADVANCED_FACE('',(#35880),#19156,.T.); #345306=ADVANCED_FACE('',(#35881),#19157,.T.); #345307=ADVANCED_FACE('',(#35882),#19158,.T.); #345308=ADVANCED_FACE('',(#35883),#19159,.T.); #345309=ADVANCED_FACE('',(#35884),#19160,.F.); #345310=ADVANCED_FACE('',(#35885),#19161,.T.); #345311=ADVANCED_FACE('',(#35886),#19162,.T.); #345312=ADVANCED_FACE('',(#35887),#19163,.T.); #345313=ADVANCED_FACE('',(#35888),#19164,.T.); #345314=ADVANCED_FACE('',(#35889),#19165,.T.); #345315=ADVANCED_FACE('',(#35890),#19166,.F.); #345316=ADVANCED_FACE('',(#35891),#19167,.T.); #345317=ADVANCED_FACE('',(#35892),#19168,.T.); #345318=ADVANCED_FACE('',(#35893),#19169,.T.); #345319=ADVANCED_FACE('',(#35894),#19170,.T.); #345320=ADVANCED_FACE('',(#35895),#19171,.T.); #345321=ADVANCED_FACE('',(#35896),#19172,.F.); #345322=ADVANCED_FACE('',(#35897),#19173,.T.); #345323=ADVANCED_FACE('',(#35898),#19174,.T.); #345324=ADVANCED_FACE('',(#35899),#19175,.T.); #345325=ADVANCED_FACE('',(#35900),#19176,.T.); #345326=ADVANCED_FACE('',(#35901),#19177,.T.); #345327=ADVANCED_FACE('',(#35902),#19178,.F.); #345328=ADVANCED_FACE('',(#35903),#19179,.T.); #345329=ADVANCED_FACE('',(#35904),#19180,.T.); #345330=ADVANCED_FACE('',(#35905),#19181,.T.); #345331=ADVANCED_FACE('',(#35906),#19182,.T.); #345332=ADVANCED_FACE('',(#35907),#19183,.T.); #345333=ADVANCED_FACE('',(#35908),#19184,.F.); #345334=ADVANCED_FACE('',(#35909),#19185,.T.); #345335=ADVANCED_FACE('',(#35910),#19186,.T.); #345336=ADVANCED_FACE('',(#35911),#19187,.T.); #345337=ADVANCED_FACE('',(#35912),#19188,.T.); #345338=ADVANCED_FACE('',(#35913),#19189,.T.); #345339=ADVANCED_FACE('',(#35914),#19190,.F.); #345340=ADVANCED_FACE('',(#35915),#19191,.T.); #345341=ADVANCED_FACE('',(#35916),#19192,.T.); #345342=ADVANCED_FACE('',(#35917),#19193,.T.); #345343=ADVANCED_FACE('',(#35918),#19194,.T.); #345344=ADVANCED_FACE('',(#35919),#19195,.T.); #345345=ADVANCED_FACE('',(#35920),#19196,.F.); #345346=ADVANCED_FACE('',(#35921),#19197,.T.); #345347=ADVANCED_FACE('',(#35922),#19198,.T.); #345348=ADVANCED_FACE('',(#35923),#19199,.T.); #345349=ADVANCED_FACE('',(#35924),#19200,.T.); #345350=ADVANCED_FACE('',(#35925),#19201,.T.); #345351=ADVANCED_FACE('',(#35926),#19202,.F.); #345352=ADVANCED_FACE('',(#35927),#19203,.T.); #345353=ADVANCED_FACE('',(#35928),#19204,.T.); #345354=ADVANCED_FACE('',(#35929),#19205,.T.); #345355=ADVANCED_FACE('',(#35930),#19206,.T.); #345356=ADVANCED_FACE('',(#35931),#19207,.T.); #345357=ADVANCED_FACE('',(#35932),#19208,.F.); #345358=ADVANCED_FACE('',(#35933),#19209,.T.); #345359=ADVANCED_FACE('',(#35934),#19210,.T.); #345360=ADVANCED_FACE('',(#35935),#19211,.T.); #345361=ADVANCED_FACE('',(#35936),#19212,.T.); #345362=ADVANCED_FACE('',(#35937),#19213,.T.); #345363=ADVANCED_FACE('',(#35938),#19214,.F.); #345364=ADVANCED_FACE('',(#35939),#19215,.T.); #345365=ADVANCED_FACE('',(#35940),#19216,.T.); #345366=ADVANCED_FACE('',(#35941),#19217,.T.); #345367=ADVANCED_FACE('',(#35942),#19218,.T.); #345368=ADVANCED_FACE('',(#35943),#19219,.T.); #345369=ADVANCED_FACE('',(#35944),#19220,.F.); #345370=ADVANCED_FACE('',(#35945),#19221,.T.); #345371=ADVANCED_FACE('',(#35946),#19222,.T.); #345372=ADVANCED_FACE('',(#35947),#19223,.T.); #345373=ADVANCED_FACE('',(#35948),#19224,.T.); #345374=ADVANCED_FACE('',(#35949),#19225,.T.); #345375=ADVANCED_FACE('',(#35950),#19226,.F.); #345376=ADVANCED_FACE('',(#35951),#329959,.F.); #345377=ADVANCED_FACE('',(#35952),#329960,.F.); #345378=ADVANCED_FACE('',(#35953),#19227,.T.); #345379=ADVANCED_FACE('',(#35954),#19228,.T.); #345380=ADVANCED_FACE('',(#35955),#19229,.T.); #345381=ADVANCED_FACE('',(#35956),#19230,.T.); #345382=ADVANCED_FACE('',(#35957,#6510,#6511),#19231,.T.); #345383=ADVANCED_FACE('',(#35958,#6512,#6513),#19232,.F.); #345384=ADVANCED_FACE('',(#35959),#19233,.T.); #345385=ADVANCED_FACE('',(#35960),#19234,.T.); #345386=ADVANCED_FACE('',(#35961),#19235,.T.); #345387=ADVANCED_FACE('',(#35962),#19236,.T.); #345388=ADVANCED_FACE('',(#35963),#19237,.T.); #345389=ADVANCED_FACE('',(#35964),#19238,.F.); #345390=ADVANCED_FACE('',(#35965),#329961,.F.); #345391=ADVANCED_FACE('',(#35966),#329962,.F.); #345392=ADVANCED_FACE('',(#35967),#19239,.T.); #345393=ADVANCED_FACE('',(#35968),#19240,.T.); #345394=ADVANCED_FACE('',(#35969),#19241,.T.); #345395=ADVANCED_FACE('',(#35970),#19242,.T.); #345396=ADVANCED_FACE('',(#35971,#6514,#6515),#19243,.T.); #345397=ADVANCED_FACE('',(#35972,#6516,#6517),#19244,.F.); #345398=ADVANCED_FACE('',(#35973),#19245,.T.); #345399=ADVANCED_FACE('',(#35974),#19246,.T.); #345400=ADVANCED_FACE('',(#35975),#19247,.T.); #345401=ADVANCED_FACE('',(#35976),#19248,.T.); #345402=ADVANCED_FACE('',(#35977),#19249,.T.); #345403=ADVANCED_FACE('',(#35978),#19250,.F.); #345404=ADVANCED_FACE('',(#35979),#19251,.T.); #345405=ADVANCED_FACE('',(#35980),#19252,.T.); #345406=ADVANCED_FACE('',(#35981),#19253,.T.); #345407=ADVANCED_FACE('',(#35982),#19254,.T.); #345408=ADVANCED_FACE('',(#35983),#19255,.T.); #345409=ADVANCED_FACE('',(#35984),#19256,.F.); #345410=ADVANCED_FACE('',(#35985),#19257,.T.); #345411=ADVANCED_FACE('',(#35986),#19258,.T.); #345412=ADVANCED_FACE('',(#35987),#19259,.T.); #345413=ADVANCED_FACE('',(#35988),#19260,.T.); #345414=ADVANCED_FACE('',(#35989),#19261,.T.); #345415=ADVANCED_FACE('',(#35990),#19262,.F.); #345416=ADVANCED_FACE('',(#35991),#19263,.T.); #345417=ADVANCED_FACE('',(#35992),#19264,.T.); #345418=ADVANCED_FACE('',(#35993),#19265,.T.); #345419=ADVANCED_FACE('',(#35994),#19266,.T.); #345420=ADVANCED_FACE('',(#35995),#19267,.T.); #345421=ADVANCED_FACE('',(#35996),#19268,.F.); #345422=ADVANCED_FACE('',(#35997),#19269,.T.); #345423=ADVANCED_FACE('',(#35998),#19270,.T.); #345424=ADVANCED_FACE('',(#35999),#19271,.T.); #345425=ADVANCED_FACE('',(#36000),#19272,.T.); #345426=ADVANCED_FACE('',(#36001),#19273,.T.); #345427=ADVANCED_FACE('',(#36002),#19274,.F.); #345428=ADVANCED_FACE('',(#36003),#19275,.T.); #345429=ADVANCED_FACE('',(#36004),#19276,.T.); #345430=ADVANCED_FACE('',(#36005),#19277,.T.); #345431=ADVANCED_FACE('',(#36006),#19278,.T.); #345432=ADVANCED_FACE('',(#36007),#19279,.T.); #345433=ADVANCED_FACE('',(#36008),#19280,.F.); #345434=ADVANCED_FACE('',(#36009),#19281,.T.); #345435=ADVANCED_FACE('',(#36010),#19282,.T.); #345436=ADVANCED_FACE('',(#36011),#19283,.T.); #345437=ADVANCED_FACE('',(#36012),#19284,.T.); #345438=ADVANCED_FACE('',(#36013),#19285,.T.); #345439=ADVANCED_FACE('',(#36014),#19286,.F.); #345440=ADVANCED_FACE('',(#36015),#19287,.T.); #345441=ADVANCED_FACE('',(#36016),#19288,.T.); #345442=ADVANCED_FACE('',(#36017),#19289,.T.); #345443=ADVANCED_FACE('',(#36018),#19290,.T.); #345444=ADVANCED_FACE('',(#36019),#19291,.T.); #345445=ADVANCED_FACE('',(#36020),#19292,.F.); #345446=ADVANCED_FACE('',(#36021),#19293,.T.); #345447=ADVANCED_FACE('',(#36022),#19294,.T.); #345448=ADVANCED_FACE('',(#36023),#19295,.T.); #345449=ADVANCED_FACE('',(#36024),#19296,.T.); #345450=ADVANCED_FACE('',(#36025),#19297,.T.); #345451=ADVANCED_FACE('',(#36026),#19298,.F.); #345452=ADVANCED_FACE('',(#36027),#19299,.T.); #345453=ADVANCED_FACE('',(#36028),#19300,.T.); #345454=ADVANCED_FACE('',(#36029),#19301,.T.); #345455=ADVANCED_FACE('',(#36030),#19302,.T.); #345456=ADVANCED_FACE('',(#36031),#19303,.T.); #345457=ADVANCED_FACE('',(#36032),#19304,.F.); #345458=ADVANCED_FACE('',(#36033),#19305,.T.); #345459=ADVANCED_FACE('',(#36034),#19306,.T.); #345460=ADVANCED_FACE('',(#36035),#19307,.T.); #345461=ADVANCED_FACE('',(#36036),#19308,.T.); #345462=ADVANCED_FACE('',(#36037),#19309,.T.); #345463=ADVANCED_FACE('',(#36038),#19310,.F.); #345464=ADVANCED_FACE('',(#36039),#19311,.T.); #345465=ADVANCED_FACE('',(#36040),#19312,.T.); #345466=ADVANCED_FACE('',(#36041),#19313,.T.); #345467=ADVANCED_FACE('',(#36042),#19314,.T.); #345468=ADVANCED_FACE('',(#36043),#19315,.T.); #345469=ADVANCED_FACE('',(#36044),#19316,.F.); #345470=ADVANCED_FACE('',(#36045),#19317,.T.); #345471=ADVANCED_FACE('',(#36046),#19318,.T.); #345472=ADVANCED_FACE('',(#36047),#19319,.T.); #345473=ADVANCED_FACE('',(#36048),#19320,.T.); #345474=ADVANCED_FACE('',(#36049),#19321,.T.); #345475=ADVANCED_FACE('',(#36050),#19322,.F.); #345476=ADVANCED_FACE('',(#36051),#19323,.T.); #345477=ADVANCED_FACE('',(#36052),#19324,.T.); #345478=ADVANCED_FACE('',(#36053),#19325,.T.); #345479=ADVANCED_FACE('',(#36054),#19326,.T.); #345480=ADVANCED_FACE('',(#36055),#19327,.T.); #345481=ADVANCED_FACE('',(#36056),#19328,.F.); #345482=ADVANCED_FACE('',(#36057),#19329,.T.); #345483=ADVANCED_FACE('',(#36058),#19330,.T.); #345484=ADVANCED_FACE('',(#36059),#19331,.T.); #345485=ADVANCED_FACE('',(#36060),#19332,.T.); #345486=ADVANCED_FACE('',(#36061),#19333,.T.); #345487=ADVANCED_FACE('',(#36062),#19334,.F.); #345488=ADVANCED_FACE('',(#36063),#19335,.T.); #345489=ADVANCED_FACE('',(#36064),#19336,.T.); #345490=ADVANCED_FACE('',(#36065),#19337,.T.); #345491=ADVANCED_FACE('',(#36066),#19338,.T.); #345492=ADVANCED_FACE('',(#36067),#19339,.T.); #345493=ADVANCED_FACE('',(#36068),#19340,.F.); #345494=ADVANCED_FACE('',(#36069),#329963,.F.); #345495=ADVANCED_FACE('',(#36070),#19341,.T.); #345496=ADVANCED_FACE('',(#36071),#19342,.T.); #345497=ADVANCED_FACE('',(#36072),#19343,.T.); #345498=ADVANCED_FACE('',(#36073),#19344,.T.); #345499=ADVANCED_FACE('',(#36074,#6518),#19345,.T.); #345500=ADVANCED_FACE('',(#36075,#6519),#19346,.F.); #345501=ADVANCED_FACE('',(#36076),#19347,.T.); #345502=ADVANCED_FACE('',(#36077),#19348,.T.); #345503=ADVANCED_FACE('',(#36078),#19349,.T.); #345504=ADVANCED_FACE('',(#36079),#19350,.T.); #345505=ADVANCED_FACE('',(#36080),#19351,.T.); #345506=ADVANCED_FACE('',(#36081),#19352,.F.); #345507=ADVANCED_FACE('',(#36082),#19353,.T.); #345508=ADVANCED_FACE('',(#36083),#19354,.T.); #345509=ADVANCED_FACE('',(#36084),#19355,.T.); #345510=ADVANCED_FACE('',(#36085),#19356,.T.); #345511=ADVANCED_FACE('',(#36086),#19357,.T.); #345512=ADVANCED_FACE('',(#36087),#19358,.F.); #345513=ADVANCED_FACE('',(#36088),#19359,.T.); #345514=ADVANCED_FACE('',(#36089),#19360,.T.); #345515=ADVANCED_FACE('',(#36090),#19361,.T.); #345516=ADVANCED_FACE('',(#36091),#19362,.T.); #345517=ADVANCED_FACE('',(#36092),#19363,.T.); #345518=ADVANCED_FACE('',(#36093),#19364,.F.); #345519=ADVANCED_FACE('',(#36094),#19365,.T.); #345520=ADVANCED_FACE('',(#36095),#19366,.T.); #345521=ADVANCED_FACE('',(#36096),#19367,.T.); #345522=ADVANCED_FACE('',(#36097),#19368,.T.); #345523=ADVANCED_FACE('',(#36098),#19369,.T.); #345524=ADVANCED_FACE('',(#36099),#19370,.F.); #345525=ADVANCED_FACE('',(#36100),#329964,.F.); #345526=ADVANCED_FACE('',(#36101),#329965,.F.); #345527=ADVANCED_FACE('',(#36102),#329966,.F.); #345528=ADVANCED_FACE('',(#36103),#329967,.F.); #345529=ADVANCED_FACE('',(#36104),#329968,.F.); #345530=ADVANCED_FACE('',(#36105),#329969,.F.); #345531=ADVANCED_FACE('',(#36106),#329970,.F.); #345532=ADVANCED_FACE('',(#36107),#329971,.F.); #345533=ADVANCED_FACE('',(#36108),#329972,.F.); #345534=ADVANCED_FACE('',(#36109),#329973,.F.); #345535=ADVANCED_FACE('',(#36110),#329974,.F.); #345536=ADVANCED_FACE('',(#36111),#329975,.F.); #345537=ADVANCED_FACE('',(#36112),#329976,.F.); #345538=ADVANCED_FACE('',(#36113),#329977,.F.); #345539=ADVANCED_FACE('',(#36114),#329978,.F.); #345540=ADVANCED_FACE('',(#36115),#19371,.T.); #345541=ADVANCED_FACE('',(#36116),#19372,.T.); #345542=ADVANCED_FACE('',(#36117),#19373,.T.); #345543=ADVANCED_FACE('',(#36118),#19374,.T.); #345544=ADVANCED_FACE('',(#36119,#6520,#6521,#6522,#6523,#6524,#6525,#6526, #6527,#6528,#6529,#6530,#6531,#6532,#6533,#6534),#19375,.T.); #345545=ADVANCED_FACE('',(#36120,#6535,#6536,#6537,#6538,#6539,#6540,#6541, #6542,#6543,#6544,#6545,#6546,#6547,#6548,#6549),#19376,.F.); #345546=ADVANCED_FACE('',(#36121),#19377,.T.); #345547=ADVANCED_FACE('',(#36122),#19378,.T.); #345548=ADVANCED_FACE('',(#36123),#19379,.T.); #345549=ADVANCED_FACE('',(#36124),#19380,.T.); #345550=ADVANCED_FACE('',(#36125),#19381,.T.); #345551=ADVANCED_FACE('',(#36126),#19382,.F.); #345552=ADVANCED_FACE('',(#36127),#19383,.T.); #345553=ADVANCED_FACE('',(#36128),#19384,.T.); #345554=ADVANCED_FACE('',(#36129),#19385,.T.); #345555=ADVANCED_FACE('',(#36130),#19386,.T.); #345556=ADVANCED_FACE('',(#36131),#19387,.T.); #345557=ADVANCED_FACE('',(#36132),#19388,.F.); #345558=ADVANCED_FACE('',(#36133),#19389,.T.); #345559=ADVANCED_FACE('',(#36134),#19390,.T.); #345560=ADVANCED_FACE('',(#36135),#19391,.T.); #345561=ADVANCED_FACE('',(#36136),#19392,.T.); #345562=ADVANCED_FACE('',(#36137),#19393,.T.); #345563=ADVANCED_FACE('',(#36138),#19394,.F.); #345564=ADVANCED_FACE('',(#36139),#329979,.F.); #345565=ADVANCED_FACE('',(#36140),#19395,.T.); #345566=ADVANCED_FACE('',(#36141),#19396,.T.); #345567=ADVANCED_FACE('',(#36142),#19397,.T.); #345568=ADVANCED_FACE('',(#36143),#19398,.T.); #345569=ADVANCED_FACE('',(#36144,#6550),#19399,.T.); #345570=ADVANCED_FACE('',(#36145,#6551),#19400,.F.); #345571=ADVANCED_FACE('',(#36146),#19401,.T.); #345572=ADVANCED_FACE('',(#36147),#19402,.T.); #345573=ADVANCED_FACE('',(#36148),#19403,.T.); #345574=ADVANCED_FACE('',(#36149),#19404,.T.); #345575=ADVANCED_FACE('',(#36150),#19405,.T.); #345576=ADVANCED_FACE('',(#36151),#19406,.F.); #345577=ADVANCED_FACE('',(#36152),#19407,.T.); #345578=ADVANCED_FACE('',(#36153),#19408,.T.); #345579=ADVANCED_FACE('',(#36154),#19409,.T.); #345580=ADVANCED_FACE('',(#36155),#19410,.T.); #345581=ADVANCED_FACE('',(#36156),#19411,.T.); #345582=ADVANCED_FACE('',(#36157),#19412,.F.); #345583=ADVANCED_FACE('',(#36158),#19413,.T.); #345584=ADVANCED_FACE('',(#36159),#19414,.T.); #345585=ADVANCED_FACE('',(#36160),#19415,.T.); #345586=ADVANCED_FACE('',(#36161),#19416,.T.); #345587=ADVANCED_FACE('',(#36162),#19417,.T.); #345588=ADVANCED_FACE('',(#36163),#19418,.F.); #345589=ADVANCED_FACE('',(#36164),#19419,.T.); #345590=ADVANCED_FACE('',(#36165),#19420,.T.); #345591=ADVANCED_FACE('',(#36166),#19421,.T.); #345592=ADVANCED_FACE('',(#36167),#19422,.T.); #345593=ADVANCED_FACE('',(#36168),#19423,.T.); #345594=ADVANCED_FACE('',(#36169),#19424,.F.); #345595=ADVANCED_FACE('',(#36170),#329980,.F.); #345596=ADVANCED_FACE('',(#36171),#329981,.F.); #345597=ADVANCED_FACE('',(#36172),#329982,.F.); #345598=ADVANCED_FACE('',(#36173),#329983,.F.); #345599=ADVANCED_FACE('',(#36174),#329984,.F.); #345600=ADVANCED_FACE('',(#36175),#329985,.F.); #345601=ADVANCED_FACE('',(#36176),#329986,.F.); #345602=ADVANCED_FACE('',(#36177),#329987,.F.); #345603=ADVANCED_FACE('',(#36178),#329988,.F.); #345604=ADVANCED_FACE('',(#36179),#329989,.F.); #345605=ADVANCED_FACE('',(#36180),#329990,.F.); #345606=ADVANCED_FACE('',(#36181),#329991,.F.); #345607=ADVANCED_FACE('',(#36182),#329992,.F.); #345608=ADVANCED_FACE('',(#36183),#329993,.F.); #345609=ADVANCED_FACE('',(#36184),#329994,.F.); #345610=ADVANCED_FACE('',(#36185),#19425,.T.); #345611=ADVANCED_FACE('',(#36186),#19426,.T.); #345612=ADVANCED_FACE('',(#36187),#19427,.T.); #345613=ADVANCED_FACE('',(#36188),#19428,.T.); #345614=ADVANCED_FACE('',(#36189,#6552,#6553,#6554,#6555,#6556,#6557,#6558, #6559,#6560,#6561,#6562,#6563,#6564,#6565,#6566),#19429,.T.); #345615=ADVANCED_FACE('',(#36190,#6567,#6568,#6569,#6570,#6571,#6572,#6573, #6574,#6575,#6576,#6577,#6578,#6579,#6580,#6581),#19430,.F.); #345616=ADVANCED_FACE('',(#36191),#19431,.T.); #345617=ADVANCED_FACE('',(#36192),#19432,.T.); #345618=ADVANCED_FACE('',(#36193),#19433,.T.); #345619=ADVANCED_FACE('',(#36194),#19434,.T.); #345620=ADVANCED_FACE('',(#36195),#19435,.T.); #345621=ADVANCED_FACE('',(#36196),#19436,.F.); #345622=ADVANCED_FACE('',(#36197),#19437,.T.); #345623=ADVANCED_FACE('',(#36198),#19438,.T.); #345624=ADVANCED_FACE('',(#36199),#19439,.T.); #345625=ADVANCED_FACE('',(#36200),#19440,.T.); #345626=ADVANCED_FACE('',(#36201),#19441,.T.); #345627=ADVANCED_FACE('',(#36202),#19442,.F.); #345628=ADVANCED_FACE('',(#36203),#19443,.T.); #345629=ADVANCED_FACE('',(#36204),#19444,.T.); #345630=ADVANCED_FACE('',(#36205),#19445,.T.); #345631=ADVANCED_FACE('',(#36206),#19446,.T.); #345632=ADVANCED_FACE('',(#36207),#19447,.T.); #345633=ADVANCED_FACE('',(#36208),#19448,.F.); #345634=ADVANCED_FACE('',(#36209),#329995,.F.); #345635=ADVANCED_FACE('',(#36210),#19449,.T.); #345636=ADVANCED_FACE('',(#36211),#19450,.T.); #345637=ADVANCED_FACE('',(#36212),#19451,.T.); #345638=ADVANCED_FACE('',(#36213),#19452,.T.); #345639=ADVANCED_FACE('',(#36214,#6582),#19453,.T.); #345640=ADVANCED_FACE('',(#36215,#6583),#19454,.F.); #345641=ADVANCED_FACE('',(#36216),#329996,.F.); #345642=ADVANCED_FACE('',(#36217),#19455,.T.); #345643=ADVANCED_FACE('',(#36218),#19456,.T.); #345644=ADVANCED_FACE('',(#36219),#19457,.T.); #345645=ADVANCED_FACE('',(#36220),#19458,.T.); #345646=ADVANCED_FACE('',(#36221,#6584),#19459,.T.); #345647=ADVANCED_FACE('',(#36222,#6585),#19460,.F.); #345648=ADVANCED_FACE('',(#36223),#329997,.F.); #345649=ADVANCED_FACE('',(#36224),#19461,.T.); #345650=ADVANCED_FACE('',(#36225),#19462,.T.); #345651=ADVANCED_FACE('',(#36226),#19463,.T.); #345652=ADVANCED_FACE('',(#36227),#19464,.T.); #345653=ADVANCED_FACE('',(#36228,#6586),#19465,.T.); #345654=ADVANCED_FACE('',(#36229,#6587),#19466,.F.); #345655=ADVANCED_FACE('',(#36230),#329998,.F.); #345656=ADVANCED_FACE('',(#36231),#329999,.F.); #345657=ADVANCED_FACE('',(#36232),#330000,.F.); #345658=ADVANCED_FACE('',(#36233),#330001,.F.); #345659=ADVANCED_FACE('',(#36234),#330002,.F.); #345660=ADVANCED_FACE('',(#36235),#330003,.F.); #345661=ADVANCED_FACE('',(#36236),#330004,.F.); #345662=ADVANCED_FACE('',(#36237),#330005,.F.); #345663=ADVANCED_FACE('',(#36238),#330006,.F.); #345664=ADVANCED_FACE('',(#36239),#330007,.F.); #345665=ADVANCED_FACE('',(#36240),#330008,.F.); #345666=ADVANCED_FACE('',(#36241),#330009,.F.); #345667=ADVANCED_FACE('',(#36242),#330010,.F.); #345668=ADVANCED_FACE('',(#36243),#330011,.F.); #345669=ADVANCED_FACE('',(#36244),#330012,.F.); #345670=ADVANCED_FACE('',(#36245),#19467,.T.); #345671=ADVANCED_FACE('',(#36246),#19468,.T.); #345672=ADVANCED_FACE('',(#36247),#19469,.T.); #345673=ADVANCED_FACE('',(#36248),#19470,.T.); #345674=ADVANCED_FACE('',(#36249,#6588,#6589,#6590,#6591,#6592,#6593,#6594, #6595,#6596,#6597,#6598,#6599,#6600,#6601,#6602),#19471,.T.); #345675=ADVANCED_FACE('',(#36250,#6603,#6604,#6605,#6606,#6607,#6608,#6609, #6610,#6611,#6612,#6613,#6614,#6615,#6616,#6617),#19472,.F.); #345676=ADVANCED_FACE('',(#36251),#19473,.T.); #345677=ADVANCED_FACE('',(#36252),#19474,.T.); #345678=ADVANCED_FACE('',(#36253),#19475,.T.); #345679=ADVANCED_FACE('',(#36254),#19476,.T.); #345680=ADVANCED_FACE('',(#36255),#19477,.T.); #345681=ADVANCED_FACE('',(#36256),#19478,.F.); #345682=ADVANCED_FACE('',(#36257),#19479,.T.); #345683=ADVANCED_FACE('',(#36258),#19480,.T.); #345684=ADVANCED_FACE('',(#36259),#19481,.T.); #345685=ADVANCED_FACE('',(#36260),#19482,.T.); #345686=ADVANCED_FACE('',(#36261),#19483,.T.); #345687=ADVANCED_FACE('',(#36262),#19484,.F.); #345688=ADVANCED_FACE('',(#36263),#19485,.T.); #345689=ADVANCED_FACE('',(#36264),#19486,.T.); #345690=ADVANCED_FACE('',(#36265),#19487,.T.); #345691=ADVANCED_FACE('',(#36266),#19488,.T.); #345692=ADVANCED_FACE('',(#36267),#19489,.T.); #345693=ADVANCED_FACE('',(#36268),#19490,.F.); #345694=ADVANCED_FACE('',(#36269),#19491,.T.); #345695=ADVANCED_FACE('',(#36270),#19492,.T.); #345696=ADVANCED_FACE('',(#36271),#19493,.T.); #345697=ADVANCED_FACE('',(#36272),#19494,.T.); #345698=ADVANCED_FACE('',(#36273),#19495,.T.); #345699=ADVANCED_FACE('',(#36274),#19496,.F.); #345700=ADVANCED_FACE('',(#36275),#19497,.T.); #345701=ADVANCED_FACE('',(#36276),#19498,.T.); #345702=ADVANCED_FACE('',(#36277),#19499,.T.); #345703=ADVANCED_FACE('',(#36278),#19500,.T.); #345704=ADVANCED_FACE('',(#36279),#19501,.T.); #345705=ADVANCED_FACE('',(#36280),#19502,.F.); #345706=ADVANCED_FACE('',(#36281),#19503,.T.); #345707=ADVANCED_FACE('',(#36282),#19504,.T.); #345708=ADVANCED_FACE('',(#36283),#19505,.T.); #345709=ADVANCED_FACE('',(#36284),#19506,.T.); #345710=ADVANCED_FACE('',(#36285),#19507,.T.); #345711=ADVANCED_FACE('',(#36286),#19508,.F.); #345712=ADVANCED_FACE('',(#36287),#19509,.T.); #345713=ADVANCED_FACE('',(#36288),#19510,.T.); #345714=ADVANCED_FACE('',(#36289),#19511,.T.); #345715=ADVANCED_FACE('',(#36290),#19512,.T.); #345716=ADVANCED_FACE('',(#36291),#19513,.T.); #345717=ADVANCED_FACE('',(#36292),#19514,.F.); #345718=ADVANCED_FACE('',(#36293),#19515,.T.); #345719=ADVANCED_FACE('',(#36294),#19516,.T.); #345720=ADVANCED_FACE('',(#36295),#19517,.T.); #345721=ADVANCED_FACE('',(#36296),#19518,.T.); #345722=ADVANCED_FACE('',(#36297),#19519,.T.); #345723=ADVANCED_FACE('',(#36298),#19520,.F.); #345724=ADVANCED_FACE('',(#36299),#19521,.T.); #345725=ADVANCED_FACE('',(#36300),#19522,.T.); #345726=ADVANCED_FACE('',(#36301),#19523,.T.); #345727=ADVANCED_FACE('',(#36302),#19524,.T.); #345728=ADVANCED_FACE('',(#36303),#19525,.T.); #345729=ADVANCED_FACE('',(#36304),#19526,.F.); #345730=ADVANCED_FACE('',(#36305),#19527,.T.); #345731=ADVANCED_FACE('',(#36306),#19528,.T.); #345732=ADVANCED_FACE('',(#36307),#19529,.T.); #345733=ADVANCED_FACE('',(#36308),#19530,.T.); #345734=ADVANCED_FACE('',(#36309),#19531,.T.); #345735=ADVANCED_FACE('',(#36310),#19532,.F.); #345736=ADVANCED_FACE('',(#36311),#19533,.T.); #345737=ADVANCED_FACE('',(#36312),#19534,.T.); #345738=ADVANCED_FACE('',(#36313),#19535,.T.); #345739=ADVANCED_FACE('',(#36314),#19536,.T.); #345740=ADVANCED_FACE('',(#36315),#19537,.T.); #345741=ADVANCED_FACE('',(#36316),#19538,.F.); #345742=ADVANCED_FACE('',(#36317),#19539,.T.); #345743=ADVANCED_FACE('',(#36318),#19540,.T.); #345744=ADVANCED_FACE('',(#36319),#19541,.T.); #345745=ADVANCED_FACE('',(#36320),#19542,.T.); #345746=ADVANCED_FACE('',(#36321),#19543,.T.); #345747=ADVANCED_FACE('',(#36322),#19544,.F.); #345748=ADVANCED_FACE('',(#36323),#19545,.T.); #345749=ADVANCED_FACE('',(#36324),#19546,.T.); #345750=ADVANCED_FACE('',(#36325),#19547,.T.); #345751=ADVANCED_FACE('',(#36326),#19548,.T.); #345752=ADVANCED_FACE('',(#36327),#19549,.T.); #345753=ADVANCED_FACE('',(#36328),#19550,.F.); #345754=ADVANCED_FACE('',(#36329),#19551,.T.); #345755=ADVANCED_FACE('',(#36330),#19552,.T.); #345756=ADVANCED_FACE('',(#36331),#19553,.T.); #345757=ADVANCED_FACE('',(#36332),#19554,.T.); #345758=ADVANCED_FACE('',(#36333),#19555,.T.); #345759=ADVANCED_FACE('',(#36334),#19556,.F.); #345760=ADVANCED_FACE('',(#36335),#19557,.T.); #345761=ADVANCED_FACE('',(#36336),#19558,.T.); #345762=ADVANCED_FACE('',(#36337),#19559,.T.); #345763=ADVANCED_FACE('',(#36338),#19560,.T.); #345764=ADVANCED_FACE('',(#36339),#19561,.T.); #345765=ADVANCED_FACE('',(#36340),#19562,.F.); #345766=ADVANCED_FACE('',(#36341),#19563,.T.); #345767=ADVANCED_FACE('',(#36342),#19564,.T.); #345768=ADVANCED_FACE('',(#36343),#19565,.T.); #345769=ADVANCED_FACE('',(#36344),#19566,.T.); #345770=ADVANCED_FACE('',(#36345),#19567,.T.); #345771=ADVANCED_FACE('',(#36346),#19568,.F.); #345772=ADVANCED_FACE('',(#36347),#19569,.T.); #345773=ADVANCED_FACE('',(#36348),#19570,.T.); #345774=ADVANCED_FACE('',(#36349),#19571,.T.); #345775=ADVANCED_FACE('',(#36350),#19572,.T.); #345776=ADVANCED_FACE('',(#36351),#19573,.T.); #345777=ADVANCED_FACE('',(#36352),#19574,.F.); #345778=ADVANCED_FACE('',(#36353),#19575,.T.); #345779=ADVANCED_FACE('',(#36354),#19576,.T.); #345780=ADVANCED_FACE('',(#36355),#19577,.T.); #345781=ADVANCED_FACE('',(#36356),#19578,.T.); #345782=ADVANCED_FACE('',(#36357),#19579,.T.); #345783=ADVANCED_FACE('',(#36358),#19580,.F.); #345784=ADVANCED_FACE('',(#36359),#19581,.T.); #345785=ADVANCED_FACE('',(#36360),#19582,.T.); #345786=ADVANCED_FACE('',(#36361),#19583,.T.); #345787=ADVANCED_FACE('',(#36362),#19584,.T.); #345788=ADVANCED_FACE('',(#36363),#19585,.T.); #345789=ADVANCED_FACE('',(#36364),#19586,.F.); #345790=ADVANCED_FACE('',(#36365),#19587,.T.); #345791=ADVANCED_FACE('',(#36366),#19588,.T.); #345792=ADVANCED_FACE('',(#36367),#19589,.T.); #345793=ADVANCED_FACE('',(#36368),#19590,.T.); #345794=ADVANCED_FACE('',(#36369),#19591,.T.); #345795=ADVANCED_FACE('',(#36370),#19592,.F.); #345796=ADVANCED_FACE('',(#36371),#330013,.F.); #345797=ADVANCED_FACE('',(#36372),#330014,.F.); #345798=ADVANCED_FACE('',(#36373),#330015,.F.); #345799=ADVANCED_FACE('',(#36374),#330016,.F.); #345800=ADVANCED_FACE('',(#36375),#330017,.F.); #345801=ADVANCED_FACE('',(#36376),#330018,.F.); #345802=ADVANCED_FACE('',(#36377),#330019,.F.); #345803=ADVANCED_FACE('',(#36378),#330020,.F.); #345804=ADVANCED_FACE('',(#36379),#330021,.F.); #345805=ADVANCED_FACE('',(#36380),#330022,.F.); #345806=ADVANCED_FACE('',(#36381),#330023,.F.); #345807=ADVANCED_FACE('',(#36382),#330024,.F.); #345808=ADVANCED_FACE('',(#36383),#330025,.F.); #345809=ADVANCED_FACE('',(#36384),#330026,.F.); #345810=ADVANCED_FACE('',(#36385),#330027,.F.); #345811=ADVANCED_FACE('',(#36386),#19593,.T.); #345812=ADVANCED_FACE('',(#36387),#19594,.T.); #345813=ADVANCED_FACE('',(#36388),#19595,.T.); #345814=ADVANCED_FACE('',(#36389),#19596,.T.); #345815=ADVANCED_FACE('',(#36390,#6618,#6619,#6620,#6621,#6622,#6623,#6624, #6625,#6626,#6627,#6628,#6629,#6630,#6631,#6632),#19597,.T.); #345816=ADVANCED_FACE('',(#36391,#6633,#6634,#6635,#6636,#6637,#6638,#6639, #6640,#6641,#6642,#6643,#6644,#6645,#6646,#6647),#19598,.F.); #345817=ADVANCED_FACE('',(#36392),#19599,.T.); #345818=ADVANCED_FACE('',(#36393),#19600,.T.); #345819=ADVANCED_FACE('',(#36394),#19601,.T.); #345820=ADVANCED_FACE('',(#36395),#19602,.T.); #345821=ADVANCED_FACE('',(#36396),#19603,.T.); #345822=ADVANCED_FACE('',(#36397),#19604,.F.); #345823=ADVANCED_FACE('',(#36398),#19605,.T.); #345824=ADVANCED_FACE('',(#36399),#19606,.T.); #345825=ADVANCED_FACE('',(#36400),#19607,.T.); #345826=ADVANCED_FACE('',(#36401),#19608,.T.); #345827=ADVANCED_FACE('',(#36402),#19609,.T.); #345828=ADVANCED_FACE('',(#36403),#19610,.F.); #345829=ADVANCED_FACE('',(#36404),#19611,.T.); #345830=ADVANCED_FACE('',(#36405),#19612,.T.); #345831=ADVANCED_FACE('',(#36406),#19613,.T.); #345832=ADVANCED_FACE('',(#36407),#19614,.T.); #345833=ADVANCED_FACE('',(#36408),#19615,.T.); #345834=ADVANCED_FACE('',(#36409),#19616,.F.); #345835=ADVANCED_FACE('',(#36410),#19617,.T.); #345836=ADVANCED_FACE('',(#36411),#19618,.T.); #345837=ADVANCED_FACE('',(#36412),#19619,.T.); #345838=ADVANCED_FACE('',(#36413),#19620,.T.); #345839=ADVANCED_FACE('',(#36414),#19621,.T.); #345840=ADVANCED_FACE('',(#36415),#19622,.F.); #345841=ADVANCED_FACE('',(#36416),#19623,.T.); #345842=ADVANCED_FACE('',(#36417),#19624,.T.); #345843=ADVANCED_FACE('',(#36418),#19625,.T.); #345844=ADVANCED_FACE('',(#36419),#19626,.T.); #345845=ADVANCED_FACE('',(#36420),#19627,.T.); #345846=ADVANCED_FACE('',(#36421),#19628,.F.); #345847=ADVANCED_FACE('',(#36422),#19629,.T.); #345848=ADVANCED_FACE('',(#36423),#19630,.T.); #345849=ADVANCED_FACE('',(#36424),#19631,.T.); #345850=ADVANCED_FACE('',(#36425),#19632,.T.); #345851=ADVANCED_FACE('',(#36426),#19633,.T.); #345852=ADVANCED_FACE('',(#36427),#19634,.F.); #345853=ADVANCED_FACE('',(#36428),#330028,.F.); #345854=ADVANCED_FACE('',(#36429),#19635,.T.); #345855=ADVANCED_FACE('',(#36430),#19636,.T.); #345856=ADVANCED_FACE('',(#36431),#19637,.T.); #345857=ADVANCED_FACE('',(#36432),#19638,.T.); #345858=ADVANCED_FACE('',(#36433,#6648),#19639,.T.); #345859=ADVANCED_FACE('',(#36434,#6649),#19640,.F.); #345860=ADVANCED_FACE('',(#36435),#330029,.F.); #345861=ADVANCED_FACE('',(#36436),#330030,.F.); #345862=ADVANCED_FACE('',(#36437),#19641,.T.); #345863=ADVANCED_FACE('',(#36438),#19642,.T.); #345864=ADVANCED_FACE('',(#36439),#19643,.T.); #345865=ADVANCED_FACE('',(#36440),#19644,.T.); #345866=ADVANCED_FACE('',(#36441,#6650,#6651),#19645,.T.); #345867=ADVANCED_FACE('',(#36442,#6652,#6653),#19646,.F.); #345868=ADVANCED_FACE('',(#36443),#330031,.F.); #345869=ADVANCED_FACE('',(#36444),#330032,.F.); #345870=ADVANCED_FACE('',(#36445),#19647,.T.); #345871=ADVANCED_FACE('',(#36446),#19648,.T.); #345872=ADVANCED_FACE('',(#36447),#19649,.T.); #345873=ADVANCED_FACE('',(#36448),#19650,.T.); #345874=ADVANCED_FACE('',(#36449,#6654,#6655),#19651,.T.); #345875=ADVANCED_FACE('',(#36450,#6656,#6657),#19652,.F.); #345876=ADVANCED_FACE('',(#36451),#330033,.F.); #345877=ADVANCED_FACE('',(#36452),#19653,.T.); #345878=ADVANCED_FACE('',(#36453),#19654,.T.); #345879=ADVANCED_FACE('',(#36454),#19655,.T.); #345880=ADVANCED_FACE('',(#36455),#19656,.T.); #345881=ADVANCED_FACE('',(#36456,#6658),#19657,.T.); #345882=ADVANCED_FACE('',(#36457,#6659),#19658,.F.); #345883=ADVANCED_FACE('',(#36458),#330034,.F.); #345884=ADVANCED_FACE('',(#36459),#330035,.F.); #345885=ADVANCED_FACE('',(#36460),#330036,.F.); #345886=ADVANCED_FACE('',(#36461),#330037,.F.); #345887=ADVANCED_FACE('',(#36462),#330038,.F.); #345888=ADVANCED_FACE('',(#36463),#330039,.F.); #345889=ADVANCED_FACE('',(#36464),#330040,.F.); #345890=ADVANCED_FACE('',(#36465),#330041,.F.); #345891=ADVANCED_FACE('',(#36466),#330042,.F.); #345892=ADVANCED_FACE('',(#36467),#330043,.F.); #345893=ADVANCED_FACE('',(#36468),#330044,.F.); #345894=ADVANCED_FACE('',(#36469),#330045,.F.); #345895=ADVANCED_FACE('',(#36470),#330046,.F.); #345896=ADVANCED_FACE('',(#36471),#330047,.F.); #345897=ADVANCED_FACE('',(#36472),#330048,.F.); #345898=ADVANCED_FACE('',(#36473),#330049,.F.); #345899=ADVANCED_FACE('',(#36474),#330050,.F.); #345900=ADVANCED_FACE('',(#36475),#330051,.F.); #345901=ADVANCED_FACE('',(#36476),#330052,.F.); #345902=ADVANCED_FACE('',(#36477),#330053,.F.); #345903=ADVANCED_FACE('',(#36478),#330054,.F.); #345904=ADVANCED_FACE('',(#36479),#330055,.F.); #345905=ADVANCED_FACE('',(#36480),#330056,.F.); #345906=ADVANCED_FACE('',(#36481),#330057,.F.); #345907=ADVANCED_FACE('',(#36482),#330058,.F.); #345908=ADVANCED_FACE('',(#36483),#330059,.F.); #345909=ADVANCED_FACE('',(#36484),#330060,.F.); #345910=ADVANCED_FACE('',(#36485),#330061,.F.); #345911=ADVANCED_FACE('',(#36486),#330062,.F.); #345912=ADVANCED_FACE('',(#36487),#330063,.F.); #345913=ADVANCED_FACE('',(#36488),#330064,.F.); #345914=ADVANCED_FACE('',(#36489),#330065,.F.); #345915=ADVANCED_FACE('',(#36490),#330066,.F.); #345916=ADVANCED_FACE('',(#36491),#330067,.F.); #345917=ADVANCED_FACE('',(#36492),#330068,.F.); #345918=ADVANCED_FACE('',(#36493),#330069,.F.); #345919=ADVANCED_FACE('',(#36494),#330070,.F.); #345920=ADVANCED_FACE('',(#36495),#330071,.F.); #345921=ADVANCED_FACE('',(#36496),#330072,.F.); #345922=ADVANCED_FACE('',(#36497),#330073,.F.); #345923=ADVANCED_FACE('',(#36498),#330074,.F.); #345924=ADVANCED_FACE('',(#36499),#330075,.F.); #345925=ADVANCED_FACE('',(#36500),#330076,.F.); #345926=ADVANCED_FACE('',(#36501),#330077,.F.); #345927=ADVANCED_FACE('',(#36502),#330078,.F.); #345928=ADVANCED_FACE('',(#36503),#330079,.F.); #345929=ADVANCED_FACE('',(#36504),#330080,.F.); #345930=ADVANCED_FACE('',(#36505),#330081,.F.); #345931=ADVANCED_FACE('',(#36506),#330082,.F.); #345932=ADVANCED_FACE('',(#36507),#330083,.F.); #345933=ADVANCED_FACE('',(#36508),#330084,.F.); #345934=ADVANCED_FACE('',(#36509),#330085,.F.); #345935=ADVANCED_FACE('',(#36510),#330086,.F.); #345936=ADVANCED_FACE('',(#36511),#330087,.F.); #345937=ADVANCED_FACE('',(#36512),#330088,.F.); #345938=ADVANCED_FACE('',(#36513),#330089,.F.); #345939=ADVANCED_FACE('',(#36514),#330090,.F.); #345940=ADVANCED_FACE('',(#36515),#330091,.F.); #345941=ADVANCED_FACE('',(#36516),#330092,.F.); #345942=ADVANCED_FACE('',(#36517),#330093,.F.); #345943=ADVANCED_FACE('',(#36518),#330094,.F.); #345944=ADVANCED_FACE('',(#36519),#330095,.F.); #345945=ADVANCED_FACE('',(#36520),#330096,.F.); #345946=ADVANCED_FACE('',(#36521),#330097,.F.); #345947=ADVANCED_FACE('',(#36522),#330098,.F.); #345948=ADVANCED_FACE('',(#36523),#330099,.F.); #345949=ADVANCED_FACE('',(#36524),#330100,.F.); #345950=ADVANCED_FACE('',(#36525),#330101,.F.); #345951=ADVANCED_FACE('',(#36526),#330102,.F.); #345952=ADVANCED_FACE('',(#36527),#330103,.F.); #345953=ADVANCED_FACE('',(#36528),#330104,.F.); #345954=ADVANCED_FACE('',(#36529),#330105,.F.); #345955=ADVANCED_FACE('',(#36530),#330106,.F.); #345956=ADVANCED_FACE('',(#36531),#330107,.F.); #345957=ADVANCED_FACE('',(#36532),#330108,.F.); #345958=ADVANCED_FACE('',(#36533),#330109,.F.); #345959=ADVANCED_FACE('',(#36534),#330110,.F.); #345960=ADVANCED_FACE('',(#36535),#330111,.F.); #345961=ADVANCED_FACE('',(#36536),#330112,.F.); #345962=ADVANCED_FACE('',(#36537),#330113,.F.); #345963=ADVANCED_FACE('',(#36538),#330114,.F.); #345964=ADVANCED_FACE('',(#36539),#330115,.F.); #345965=ADVANCED_FACE('',(#36540),#330116,.F.); #345966=ADVANCED_FACE('',(#36541),#330117,.F.); #345967=ADVANCED_FACE('',(#36542),#330118,.F.); #345968=ADVANCED_FACE('',(#36543),#330119,.F.); #345969=ADVANCED_FACE('',(#36544),#330120,.F.); #345970=ADVANCED_FACE('',(#36545),#330121,.F.); #345971=ADVANCED_FACE('',(#36546),#330122,.F.); #345972=ADVANCED_FACE('',(#36547),#330123,.F.); #345973=ADVANCED_FACE('',(#36548),#330124,.F.); #345974=ADVANCED_FACE('',(#36549),#330125,.F.); #345975=ADVANCED_FACE('',(#36550),#330126,.F.); #345976=ADVANCED_FACE('',(#36551),#330127,.F.); #345977=ADVANCED_FACE('',(#36552),#330128,.F.); #345978=ADVANCED_FACE('',(#36553),#330129,.F.); #345979=ADVANCED_FACE('',(#36554),#330130,.F.); #345980=ADVANCED_FACE('',(#36555),#330131,.F.); #345981=ADVANCED_FACE('',(#36556),#330132,.F.); #345982=ADVANCED_FACE('',(#36557),#330133,.F.); #345983=ADVANCED_FACE('',(#36558),#330134,.F.); #345984=ADVANCED_FACE('',(#36559),#330135,.F.); #345985=ADVANCED_FACE('',(#36560),#330136,.F.); #345986=ADVANCED_FACE('',(#36561),#330137,.F.); #345987=ADVANCED_FACE('',(#36562),#330138,.F.); #345988=ADVANCED_FACE('',(#36563),#330139,.F.); #345989=ADVANCED_FACE('',(#36564),#330140,.F.); #345990=ADVANCED_FACE('',(#36565),#330141,.F.); #345991=ADVANCED_FACE('',(#36566),#330142,.F.); #345992=ADVANCED_FACE('',(#36567),#330143,.F.); #345993=ADVANCED_FACE('',(#36568),#330144,.F.); #345994=ADVANCED_FACE('',(#36569),#330145,.F.); #345995=ADVANCED_FACE('',(#36570),#330146,.F.); #345996=ADVANCED_FACE('',(#36571),#330147,.F.); #345997=ADVANCED_FACE('',(#36572),#330148,.F.); #345998=ADVANCED_FACE('',(#36573),#330149,.F.); #345999=ADVANCED_FACE('',(#36574),#330150,.F.); #346000=ADVANCED_FACE('',(#36575),#330151,.F.); #346001=ADVANCED_FACE('',(#36576),#330152,.F.); #346002=ADVANCED_FACE('',(#36577),#330153,.F.); #346003=ADVANCED_FACE('',(#36578),#330154,.F.); #346004=ADVANCED_FACE('',(#36579),#330155,.F.); #346005=ADVANCED_FACE('',(#36580),#330156,.F.); #346006=ADVANCED_FACE('',(#36581),#330157,.F.); #346007=ADVANCED_FACE('',(#36582),#330158,.F.); #346008=ADVANCED_FACE('',(#36583),#330159,.F.); #346009=ADVANCED_FACE('',(#36584),#330160,.F.); #346010=ADVANCED_FACE('',(#36585),#330161,.F.); #346011=ADVANCED_FACE('',(#36586),#330162,.F.); #346012=ADVANCED_FACE('',(#36587),#330163,.F.); #346013=ADVANCED_FACE('',(#36588),#330164,.F.); #346014=ADVANCED_FACE('',(#36589),#330165,.F.); #346015=ADVANCED_FACE('',(#36590),#330166,.F.); #346016=ADVANCED_FACE('',(#36591),#330167,.F.); #346017=ADVANCED_FACE('',(#36592),#330168,.F.); #346018=ADVANCED_FACE('',(#36593),#330169,.F.); #346019=ADVANCED_FACE('',(#36594),#330170,.F.); #346020=ADVANCED_FACE('',(#36595),#330171,.F.); #346021=ADVANCED_FACE('',(#36596),#330172,.F.); #346022=ADVANCED_FACE('',(#36597),#330173,.F.); #346023=ADVANCED_FACE('',(#36598),#330174,.F.); #346024=ADVANCED_FACE('',(#36599),#330175,.F.); #346025=ADVANCED_FACE('',(#36600),#330176,.F.); #346026=ADVANCED_FACE('',(#36601),#330177,.F.); #346027=ADVANCED_FACE('',(#36602),#330178,.F.); #346028=ADVANCED_FACE('',(#36603),#330179,.F.); #346029=ADVANCED_FACE('',(#36604),#330180,.F.); #346030=ADVANCED_FACE('',(#36605),#330181,.F.); #346031=ADVANCED_FACE('',(#36606),#330182,.F.); #346032=ADVANCED_FACE('',(#36607),#330183,.F.); #346033=ADVANCED_FACE('',(#36608),#330184,.F.); #346034=ADVANCED_FACE('',(#36609),#330185,.F.); #346035=ADVANCED_FACE('',(#36610),#330186,.F.); #346036=ADVANCED_FACE('',(#36611),#330187,.F.); #346037=ADVANCED_FACE('',(#36612),#330188,.F.); #346038=ADVANCED_FACE('',(#36613),#330189,.F.); #346039=ADVANCED_FACE('',(#36614),#330190,.F.); #346040=ADVANCED_FACE('',(#36615),#330191,.F.); #346041=ADVANCED_FACE('',(#36616),#330192,.F.); #346042=ADVANCED_FACE('',(#36617),#330193,.F.); #346043=ADVANCED_FACE('',(#36618),#330194,.F.); #346044=ADVANCED_FACE('',(#36619),#330195,.F.); #346045=ADVANCED_FACE('',(#36620),#330196,.F.); #346046=ADVANCED_FACE('',(#36621),#19659,.F.); #346047=ADVANCED_FACE('',(#36622),#330197,.F.); #346048=ADVANCED_FACE('',(#36623),#19660,.F.); #346049=ADVANCED_FACE('',(#36624),#330198,.F.); #346050=ADVANCED_FACE('',(#36625),#330199,.F.); #346051=ADVANCED_FACE('',(#36626),#19661,.F.); #346052=ADVANCED_FACE('',(#36627),#330200,.F.); #346053=ADVANCED_FACE('',(#36628),#19662,.F.); #346054=ADVANCED_FACE('',(#36629),#330201,.F.); #346055=ADVANCED_FACE('',(#36630),#330202,.F.); #346056=ADVANCED_FACE('',(#36631),#330203,.F.); #346057=ADVANCED_FACE('',(#36632),#330204,.F.); #346058=ADVANCED_FACE('',(#36633),#19663,.F.); #346059=ADVANCED_FACE('',(#36634),#330205,.F.); #346060=ADVANCED_FACE('',(#36635),#19664,.F.); #346061=ADVANCED_FACE('',(#36636),#330206,.F.); #346062=ADVANCED_FACE('',(#36637),#330207,.F.); #346063=ADVANCED_FACE('',(#36638),#330208,.F.); #346064=ADVANCED_FACE('',(#36639),#330209,.F.); #346065=ADVANCED_FACE('',(#36640),#330210,.F.); #346066=ADVANCED_FACE('',(#36641),#330211,.F.); #346067=ADVANCED_FACE('',(#36642),#330212,.F.); #346068=ADVANCED_FACE('',(#36643),#330213,.F.); #346069=ADVANCED_FACE('',(#36644),#330214,.F.); #346070=ADVANCED_FACE('',(#36645),#330215,.F.); #346071=ADVANCED_FACE('',(#36646),#330216,.F.); #346072=ADVANCED_FACE('',(#36647),#19665,.F.); #346073=ADVANCED_FACE('',(#36648),#330217,.F.); #346074=ADVANCED_FACE('',(#36649),#19666,.F.); #346075=ADVANCED_FACE('',(#36650),#330218,.F.); #346076=ADVANCED_FACE('',(#36651),#330219,.F.); #346077=ADVANCED_FACE('',(#36652),#19667,.F.); #346078=ADVANCED_FACE('',(#36653),#330220,.F.); #346079=ADVANCED_FACE('',(#36654),#19668,.F.); #346080=ADVANCED_FACE('',(#36655),#330221,.F.); #346081=ADVANCED_FACE('',(#36656),#330222,.F.); #346082=ADVANCED_FACE('',(#36657),#19669,.F.); #346083=ADVANCED_FACE('',(#36658),#330223,.F.); #346084=ADVANCED_FACE('',(#36659),#19670,.F.); #346085=ADVANCED_FACE('',(#36660),#330224,.F.); #346086=ADVANCED_FACE('',(#36661),#330225,.F.); #346087=ADVANCED_FACE('',(#36662),#330226,.F.); #346088=ADVANCED_FACE('',(#36663),#330227,.F.); #346089=ADVANCED_FACE('',(#36664),#330228,.F.); #346090=ADVANCED_FACE('',(#36665),#330229,.F.); #346091=ADVANCED_FACE('',(#36666),#330230,.F.); #346092=ADVANCED_FACE('',(#36667),#330231,.F.); #346093=ADVANCED_FACE('',(#36668),#330232,.F.); #346094=ADVANCED_FACE('',(#36669),#330233,.F.); #346095=ADVANCED_FACE('',(#36670),#330234,.F.); #346096=ADVANCED_FACE('',(#36671),#330235,.F.); #346097=ADVANCED_FACE('',(#36672),#330236,.F.); #346098=ADVANCED_FACE('',(#36673),#330237,.F.); #346099=ADVANCED_FACE('',(#36674),#330238,.F.); #346100=ADVANCED_FACE('',(#36675),#330239,.F.); #346101=ADVANCED_FACE('',(#36676),#330240,.F.); #346102=ADVANCED_FACE('',(#36677),#330241,.F.); #346103=ADVANCED_FACE('',(#36678),#330242,.F.); #346104=ADVANCED_FACE('',(#36679),#330243,.F.); #346105=ADVANCED_FACE('',(#36680),#330244,.F.); #346106=ADVANCED_FACE('',(#36681),#330245,.F.); #346107=ADVANCED_FACE('',(#36682),#330246,.F.); #346108=ADVANCED_FACE('',(#36683),#330247,.F.); #346109=ADVANCED_FACE('',(#36684),#330248,.F.); #346110=ADVANCED_FACE('',(#36685),#330249,.F.); #346111=ADVANCED_FACE('',(#36686),#330250,.F.); #346112=ADVANCED_FACE('',(#36687),#330251,.F.); #346113=ADVANCED_FACE('',(#36688),#330252,.F.); #346114=ADVANCED_FACE('',(#36689),#330253,.F.); #346115=ADVANCED_FACE('',(#36690),#330254,.F.); #346116=ADVANCED_FACE('',(#36691),#330255,.F.); #346117=ADVANCED_FACE('',(#36692),#330256,.F.); #346118=ADVANCED_FACE('',(#36693),#330257,.F.); #346119=ADVANCED_FACE('',(#36694),#330258,.F.); #346120=ADVANCED_FACE('',(#36695),#330259,.F.); #346121=ADVANCED_FACE('',(#36696),#330260,.F.); #346122=ADVANCED_FACE('',(#36697),#330261,.F.); #346123=ADVANCED_FACE('',(#36698),#330262,.F.); #346124=ADVANCED_FACE('',(#36699),#330263,.F.); #346125=ADVANCED_FACE('',(#36700),#330264,.F.); #346126=ADVANCED_FACE('',(#36701),#330265,.F.); #346127=ADVANCED_FACE('',(#36702),#330266,.F.); #346128=ADVANCED_FACE('',(#36703),#330267,.F.); #346129=ADVANCED_FACE('',(#36704),#330268,.F.); #346130=ADVANCED_FACE('',(#36705),#330269,.F.); #346131=ADVANCED_FACE('',(#36706),#330270,.F.); #346132=ADVANCED_FACE('',(#36707),#330271,.F.); #346133=ADVANCED_FACE('',(#36708),#330272,.F.); #346134=ADVANCED_FACE('',(#36709),#330273,.F.); #346135=ADVANCED_FACE('',(#36710),#330274,.F.); #346136=ADVANCED_FACE('',(#36711),#330275,.F.); #346137=ADVANCED_FACE('',(#36712),#330276,.F.); #346138=ADVANCED_FACE('',(#36713),#330277,.F.); #346139=ADVANCED_FACE('',(#36714),#330278,.F.); #346140=ADVANCED_FACE('',(#36715),#330279,.F.); #346141=ADVANCED_FACE('',(#36716),#330280,.F.); #346142=ADVANCED_FACE('',(#36717),#330281,.F.); #346143=ADVANCED_FACE('',(#36718),#330282,.F.); #346144=ADVANCED_FACE('',(#36719),#330283,.F.); #346145=ADVANCED_FACE('',(#36720),#330284,.F.); #346146=ADVANCED_FACE('',(#36721),#330285,.F.); #346147=ADVANCED_FACE('',(#36722),#330286,.F.); #346148=ADVANCED_FACE('',(#36723),#330287,.F.); #346149=ADVANCED_FACE('',(#36724),#330288,.F.); #346150=ADVANCED_FACE('',(#36725),#330289,.F.); #346151=ADVANCED_FACE('',(#36726),#330290,.F.); #346152=ADVANCED_FACE('',(#36727),#330291,.F.); #346153=ADVANCED_FACE('',(#36728),#330292,.F.); #346154=ADVANCED_FACE('',(#36729),#330293,.F.); #346155=ADVANCED_FACE('',(#36730),#330294,.F.); #346156=ADVANCED_FACE('',(#36731),#330295,.F.); #346157=ADVANCED_FACE('',(#36732),#330296,.F.); #346158=ADVANCED_FACE('',(#36733),#330297,.F.); #346159=ADVANCED_FACE('',(#36734),#330298,.F.); #346160=ADVANCED_FACE('',(#36735),#330299,.F.); #346161=ADVANCED_FACE('',(#36736),#330300,.F.); #346162=ADVANCED_FACE('',(#36737),#330301,.F.); #346163=ADVANCED_FACE('',(#36738),#330302,.F.); #346164=ADVANCED_FACE('',(#36739),#330303,.F.); #346165=ADVANCED_FACE('',(#36740),#330304,.F.); #346166=ADVANCED_FACE('',(#36741),#330305,.F.); #346167=ADVANCED_FACE('',(#36742),#330306,.F.); #346168=ADVANCED_FACE('',(#36743),#330307,.F.); #346169=ADVANCED_FACE('',(#36744),#330308,.F.); #346170=ADVANCED_FACE('',(#36745),#330309,.F.); #346171=ADVANCED_FACE('',(#36746),#330310,.F.); #346172=ADVANCED_FACE('',(#36747),#330311,.F.); #346173=ADVANCED_FACE('',(#36748),#330312,.F.); #346174=ADVANCED_FACE('',(#36749),#330313,.F.); #346175=ADVANCED_FACE('',(#36750),#330314,.F.); #346176=ADVANCED_FACE('',(#36751),#330315,.F.); #346177=ADVANCED_FACE('',(#36752),#330316,.F.); #346178=ADVANCED_FACE('',(#36753),#330317,.F.); #346179=ADVANCED_FACE('',(#36754),#330318,.F.); #346180=ADVANCED_FACE('',(#36755),#330319,.F.); #346181=ADVANCED_FACE('',(#36756),#330320,.F.); #346182=ADVANCED_FACE('',(#36757),#330321,.F.); #346183=ADVANCED_FACE('',(#36758),#330322,.F.); #346184=ADVANCED_FACE('',(#36759),#330323,.F.); #346185=ADVANCED_FACE('',(#36760),#330324,.F.); #346186=ADVANCED_FACE('',(#36761),#330325,.F.); #346187=ADVANCED_FACE('',(#36762),#330326,.F.); #346188=ADVANCED_FACE('',(#36763),#330327,.F.); #346189=ADVANCED_FACE('',(#36764),#330328,.F.); #346190=ADVANCED_FACE('',(#36765),#330329,.F.); #346191=ADVANCED_FACE('',(#36766),#330330,.F.); #346192=ADVANCED_FACE('',(#36767),#330331,.F.); #346193=ADVANCED_FACE('',(#36768),#330332,.F.); #346194=ADVANCED_FACE('',(#36769),#330333,.F.); #346195=ADVANCED_FACE('',(#36770),#330334,.F.); #346196=ADVANCED_FACE('',(#36771),#330335,.F.); #346197=ADVANCED_FACE('',(#36772),#330336,.F.); #346198=ADVANCED_FACE('',(#36773),#330337,.F.); #346199=ADVANCED_FACE('',(#36774),#330338,.F.); #346200=ADVANCED_FACE('',(#36775),#330339,.F.); #346201=ADVANCED_FACE('',(#36776),#330340,.F.); #346202=ADVANCED_FACE('',(#36777),#330341,.F.); #346203=ADVANCED_FACE('',(#36778),#330342,.F.); #346204=ADVANCED_FACE('',(#36779),#330343,.F.); #346205=ADVANCED_FACE('',(#36780),#330344,.F.); #346206=ADVANCED_FACE('',(#36781),#330345,.F.); #346207=ADVANCED_FACE('',(#36782),#330346,.F.); #346208=ADVANCED_FACE('',(#36783),#330347,.F.); #346209=ADVANCED_FACE('',(#36784),#330348,.F.); #346210=ADVANCED_FACE('',(#36785),#330349,.F.); #346211=ADVANCED_FACE('',(#36786),#330350,.F.); #346212=ADVANCED_FACE('',(#36787),#330351,.F.); #346213=ADVANCED_FACE('',(#36788),#330352,.F.); #346214=ADVANCED_FACE('',(#36789),#330353,.F.); #346215=ADVANCED_FACE('',(#36790),#330354,.F.); #346216=ADVANCED_FACE('',(#36791),#330355,.F.); #346217=ADVANCED_FACE('',(#36792),#330356,.F.); #346218=ADVANCED_FACE('',(#36793),#330357,.T.); #346219=ADVANCED_FACE('',(#36794,#6660,#6661,#6662,#6663,#6664,#6665,#6666, #6667,#6668,#6669,#6670,#6671,#6672,#6673,#6674,#6675,#6676,#6677,#6678, #6679,#6680,#6681,#6682,#6683,#6684,#6685,#6686,#6687,#6688,#6689,#6690, #6691,#6692,#6693,#6694,#6695,#6696,#6697,#6698,#6699,#6700,#6701,#6702, #6703,#6704,#6705,#6706,#6707,#6708,#6709,#6710,#6711,#6712,#6713,#6714, #6715,#6716,#6717,#6718,#6719,#6720,#6721,#6722,#6723,#6724,#6725,#6726, #6727,#6728,#6729,#6730,#6731,#6732,#6733,#6734,#6735,#6736,#6737,#6738, #6739,#6740,#6741,#6742,#6743,#6744,#6745,#6746,#6747,#6748,#6749,#6750, #6751,#6752,#6753,#6754,#6755,#6756,#6757,#6758,#6759,#6760,#6761,#6762, #6763,#6764,#6765,#6766,#6767,#6768,#6769,#6770,#6771,#6772,#6773,#6774, #6775,#6776,#6777,#6778,#6779,#6780,#6781,#6782,#6783,#6784,#6785,#6786, #6787,#6788,#6789,#6790,#6791,#6792,#6793,#6794,#6795,#6796,#6797,#6798, #6799,#6800,#6801,#6802,#6803,#6804,#6805,#6806,#6807,#6808,#6809,#6810, #6811,#6812,#6813,#6814,#6815,#6816,#6817,#6818,#6819,#6820,#6821,#6822, #6823,#6824,#6825,#6826,#6827,#6828,#6829,#6830,#6831,#6832,#6833,#6834, #6835,#6836,#6837,#6838,#6839,#6840,#6841,#6842,#6843,#6844,#6845,#6846, #6847,#6848,#6849,#6850,#6851,#6852,#6853,#6854,#6855,#6856,#6857,#6858, #6859,#6860,#6861,#6862,#6863,#6864,#6865,#6866,#6867,#6868,#6869,#6870, #6871,#6872,#6873,#6874,#6875,#6876,#6877,#6878,#6879,#6880,#6881,#6882, #6883,#6884,#6885,#6886,#6887,#6888,#6889,#6890,#6891,#6892,#6893,#6894, #6895,#6896,#6897,#6898,#6899,#6900,#6901,#6902,#6903,#6904,#6905,#6906, #6907,#6908,#6909,#6910,#6911,#6912,#6913,#6914,#6915,#6916,#6917,#6918, #6919,#6920,#6921,#6922,#6923,#6924,#6925,#6926,#6927,#6928,#6929,#6930, #6931,#6932,#6933,#6934,#6935,#6936,#6937,#6938,#6939,#6940,#6941,#6942, #6943,#6944,#6945,#6946,#6947,#6948,#6949,#6950,#6951,#6952,#6953,#6954, #6955,#6956,#6957,#6958,#6959,#6960,#6961,#6962,#6963,#6964,#6965,#6966, #6967,#6968,#6969,#6970,#6971,#6972,#6973,#6974,#6975,#6976),#19671,.T.); #346220=ADVANCED_FACE('',(#36795,#6977,#6978,#6979,#6980,#6981,#6982,#6983, #6984,#6985,#6986,#6987,#6988,#6989,#6990,#6991,#6992,#6993,#6994,#6995, #6996,#6997,#6998,#6999,#7000,#7001,#7002,#7003,#7004,#7005,#7006,#7007, #7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015,#7016,#7017,#7018,#7019, #7020,#7021,#7022,#7023,#7024,#7025,#7026,#7027,#7028,#7029,#7030,#7031, #7032,#7033,#7034,#7035,#7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043, #7044,#7045,#7046,#7047,#7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055, #7056,#7057,#7058,#7059,#7060,#7061,#7062,#7063,#7064,#7065,#7066,#7067, #7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079, #7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089,#7090,#7091, #7092,#7093,#7094,#7095,#7096,#7097,#7098,#7099,#7100,#7101,#7102,#7103, #7104,#7105,#7106,#7107,#7108,#7109,#7110,#7111,#7112,#7113,#7114,#7115, #7116,#7117,#7118,#7119,#7120,#7121,#7122,#7123,#7124,#7125,#7126,#7127, #7128,#7129,#7130,#7131,#7132,#7133,#7134,#7135,#7136,#7137,#7138,#7139, #7140,#7141,#7142,#7143,#7144,#7145,#7146,#7147,#7148,#7149,#7150,#7151, #7152,#7153,#7154,#7155,#7156,#7157,#7158,#7159,#7160,#7161,#7162,#7163, #7164,#7165,#7166,#7167,#7168,#7169,#7170,#7171,#7172,#7173,#7174,#7175, #7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183,#7184,#7185,#7186,#7187, #7188,#7189,#7190,#7191,#7192,#7193,#7194,#7195,#7196,#7197,#7198,#7199, #7200,#7201,#7202,#7203,#7204,#7205,#7206,#7207,#7208,#7209,#7210,#7211, #7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220,#7221,#7222,#7223, #7224,#7225,#7226,#7227,#7228,#7229,#7230,#7231,#7232,#7233,#7234,#7235, #7236,#7237,#7238,#7239,#7240,#7241,#7242,#7243,#7244,#7245,#7246,#7247, #7248,#7249,#7250,#7251,#7252,#7253,#7254,#7255,#7256,#7257,#7258,#7259, #7260,#7261,#7262,#7263,#7264,#7265,#7266,#7267,#7268,#7269,#7270,#7271, #7272,#7273,#7274,#7275,#7276,#7277,#7278,#7279,#7280,#7281,#7282,#7283, #7284,#7285,#7286,#7287,#7288,#7289,#7290,#7291,#7292,#7293),#19672,.F.); #346221=ADVANCED_FACE('',(#36796),#330358,.F.); #346222=ADVANCED_FACE('',(#36797),#330359,.F.); #346223=ADVANCED_FACE('',(#36798),#330360,.F.); #346224=ADVANCED_FACE('',(#36799),#330361,.F.); #346225=ADVANCED_FACE('',(#36800),#330362,.F.); #346226=ADVANCED_FACE('',(#36801),#330363,.F.); #346227=ADVANCED_FACE('',(#36802),#330364,.F.); #346228=ADVANCED_FACE('',(#36803),#330365,.F.); #346229=ADVANCED_FACE('',(#36804),#330366,.F.); #346230=ADVANCED_FACE('',(#36805),#330367,.F.); #346231=ADVANCED_FACE('',(#36806),#330368,.F.); #346232=ADVANCED_FACE('',(#36807),#330369,.F.); #346233=ADVANCED_FACE('',(#36808),#330370,.F.); #346234=ADVANCED_FACE('',(#36809),#330371,.F.); #346235=ADVANCED_FACE('',(#36810),#330372,.F.); #346236=ADVANCED_FACE('',(#36811),#330373,.F.); #346237=ADVANCED_FACE('',(#36812),#330374,.F.); #346238=ADVANCED_FACE('',(#36813),#330375,.F.); #346239=ADVANCED_FACE('',(#36814),#330376,.F.); #346240=ADVANCED_FACE('',(#36815),#330377,.F.); #346241=ADVANCED_FACE('',(#36816),#330378,.F.); #346242=ADVANCED_FACE('',(#36817),#330379,.F.); #346243=ADVANCED_FACE('',(#36818),#330380,.F.); #346244=ADVANCED_FACE('',(#36819),#330381,.F.); #346245=ADVANCED_FACE('',(#36820),#330382,.F.); #346246=ADVANCED_FACE('',(#36821),#330383,.F.); #346247=ADVANCED_FACE('',(#36822),#330384,.F.); #346248=ADVANCED_FACE('',(#36823),#330385,.F.); #346249=ADVANCED_FACE('',(#36824),#330386,.F.); #346250=ADVANCED_FACE('',(#36825),#330387,.F.); #346251=ADVANCED_FACE('',(#36826),#330388,.F.); #346252=ADVANCED_FACE('',(#36827),#330389,.F.); #346253=ADVANCED_FACE('',(#36828),#330390,.F.); #346254=ADVANCED_FACE('',(#36829),#330391,.F.); #346255=ADVANCED_FACE('',(#36830),#330392,.F.); #346256=ADVANCED_FACE('',(#36831),#330393,.F.); #346257=ADVANCED_FACE('',(#36832),#330394,.F.); #346258=ADVANCED_FACE('',(#36833),#330395,.F.); #346259=ADVANCED_FACE('',(#36834),#330396,.F.); #346260=ADVANCED_FACE('',(#36835),#330397,.F.); #346261=ADVANCED_FACE('',(#36836),#330398,.F.); #346262=ADVANCED_FACE('',(#36837),#330399,.F.); #346263=ADVANCED_FACE('',(#36838),#330400,.F.); #346264=ADVANCED_FACE('',(#36839),#330401,.F.); #346265=ADVANCED_FACE('',(#36840),#330402,.F.); #346266=ADVANCED_FACE('',(#36841),#330403,.F.); #346267=ADVANCED_FACE('',(#36842),#330404,.F.); #346268=ADVANCED_FACE('',(#36843),#330405,.F.); #346269=ADVANCED_FACE('',(#36844),#330406,.F.); #346270=ADVANCED_FACE('',(#36845),#330407,.F.); #346271=ADVANCED_FACE('',(#36846),#330408,.F.); #346272=ADVANCED_FACE('',(#36847),#330409,.F.); #346273=ADVANCED_FACE('',(#36848),#330410,.F.); #346274=ADVANCED_FACE('',(#36849),#330411,.F.); #346275=ADVANCED_FACE('',(#36850),#330412,.F.); #346276=ADVANCED_FACE('',(#36851),#330413,.F.); #346277=ADVANCED_FACE('',(#36852),#330414,.F.); #346278=ADVANCED_FACE('',(#36853),#330415,.F.); #346279=ADVANCED_FACE('',(#36854),#330416,.F.); #346280=ADVANCED_FACE('',(#36855),#330417,.F.); #346281=ADVANCED_FACE('',(#36856),#330418,.F.); #346282=ADVANCED_FACE('',(#36857),#330419,.F.); #346283=ADVANCED_FACE('',(#36858),#330420,.F.); #346284=ADVANCED_FACE('',(#36859),#330421,.F.); #346285=ADVANCED_FACE('',(#36860),#330422,.F.); #346286=ADVANCED_FACE('',(#36861),#330423,.F.); #346287=ADVANCED_FACE('',(#36862),#330424,.F.); #346288=ADVANCED_FACE('',(#36863),#330425,.F.); #346289=ADVANCED_FACE('',(#36864),#330426,.F.); #346290=ADVANCED_FACE('',(#36865),#330427,.F.); #346291=ADVANCED_FACE('',(#36866),#330428,.F.); #346292=ADVANCED_FACE('',(#36867),#330429,.F.); #346293=ADVANCED_FACE('',(#36868),#330430,.F.); #346294=ADVANCED_FACE('',(#36869),#330431,.F.); #346295=ADVANCED_FACE('',(#36870),#330432,.F.); #346296=ADVANCED_FACE('',(#36871),#330433,.F.); #346297=ADVANCED_FACE('',(#36872),#330434,.F.); #346298=ADVANCED_FACE('',(#36873),#330435,.F.); #346299=ADVANCED_FACE('',(#36874),#330436,.F.); #346300=ADVANCED_FACE('',(#36875),#330437,.F.); #346301=ADVANCED_FACE('',(#36876),#330438,.F.); #346302=ADVANCED_FACE('',(#36877),#330439,.F.); #346303=ADVANCED_FACE('',(#36878),#330440,.F.); #346304=ADVANCED_FACE('',(#36879),#330441,.F.); #346305=ADVANCED_FACE('',(#36880),#330442,.F.); #346306=ADVANCED_FACE('',(#36881),#330443,.F.); #346307=ADVANCED_FACE('',(#36882),#330444,.F.); #346308=ADVANCED_FACE('',(#36883),#330445,.F.); #346309=ADVANCED_FACE('',(#36884),#330446,.F.); #346310=ADVANCED_FACE('',(#36885),#330447,.F.); #346311=ADVANCED_FACE('',(#36886),#330448,.F.); #346312=ADVANCED_FACE('',(#36887),#330449,.F.); #346313=ADVANCED_FACE('',(#36888),#330450,.F.); #346314=ADVANCED_FACE('',(#36889),#330451,.F.); #346315=ADVANCED_FACE('',(#36890),#330452,.F.); #346316=ADVANCED_FACE('',(#36891),#330453,.F.); #346317=ADVANCED_FACE('',(#36892),#330454,.F.); #346318=ADVANCED_FACE('',(#36893),#330455,.F.); #346319=ADVANCED_FACE('',(#36894),#330456,.F.); #346320=ADVANCED_FACE('',(#36895),#330457,.F.); #346321=ADVANCED_FACE('',(#36896),#330458,.F.); #346322=ADVANCED_FACE('',(#36897),#330459,.F.); #346323=ADVANCED_FACE('',(#36898),#330460,.F.); #346324=ADVANCED_FACE('',(#36899),#330461,.F.); #346325=ADVANCED_FACE('',(#36900),#330462,.F.); #346326=ADVANCED_FACE('',(#36901),#330463,.F.); #346327=ADVANCED_FACE('',(#36902),#330464,.F.); #346328=ADVANCED_FACE('',(#36903),#330465,.F.); #346329=ADVANCED_FACE('',(#36904),#330466,.F.); #346330=ADVANCED_FACE('',(#36905),#330467,.F.); #346331=ADVANCED_FACE('',(#36906),#330468,.F.); #346332=ADVANCED_FACE('',(#36907),#330469,.F.); #346333=ADVANCED_FACE('',(#36908),#330470,.F.); #346334=ADVANCED_FACE('',(#36909),#330471,.F.); #346335=ADVANCED_FACE('',(#36910),#330472,.F.); #346336=ADVANCED_FACE('',(#36911),#330473,.F.); #346337=ADVANCED_FACE('',(#36912),#330474,.F.); #346338=ADVANCED_FACE('',(#36913),#330475,.F.); #346339=ADVANCED_FACE('',(#36914),#330476,.F.); #346340=ADVANCED_FACE('',(#36915),#330477,.F.); #346341=ADVANCED_FACE('',(#36916),#330478,.F.); #346342=ADVANCED_FACE('',(#36917),#330479,.F.); #346343=ADVANCED_FACE('',(#36918),#330480,.F.); #346344=ADVANCED_FACE('',(#36919),#330481,.F.); #346345=ADVANCED_FACE('',(#36920),#330482,.F.); #346346=ADVANCED_FACE('',(#36921),#330483,.F.); #346347=ADVANCED_FACE('',(#36922),#330484,.F.); #346348=ADVANCED_FACE('',(#36923),#330485,.F.); #346349=ADVANCED_FACE('',(#36924),#330486,.F.); #346350=ADVANCED_FACE('',(#36925),#330487,.F.); #346351=ADVANCED_FACE('',(#36926),#330488,.F.); #346352=ADVANCED_FACE('',(#36927),#330489,.F.); #346353=ADVANCED_FACE('',(#36928),#330490,.F.); #346354=ADVANCED_FACE('',(#36929),#330491,.F.); #346355=ADVANCED_FACE('',(#36930),#330492,.F.); #346356=ADVANCED_FACE('',(#36931),#330493,.F.); #346357=ADVANCED_FACE('',(#36932),#330494,.F.); #346358=ADVANCED_FACE('',(#36933),#330495,.F.); #346359=ADVANCED_FACE('',(#36934),#330496,.F.); #346360=ADVANCED_FACE('',(#36935),#330497,.F.); #346361=ADVANCED_FACE('',(#36936),#330498,.F.); #346362=ADVANCED_FACE('',(#36937),#330499,.F.); #346363=ADVANCED_FACE('',(#36938),#330500,.F.); #346364=ADVANCED_FACE('',(#36939),#330501,.F.); #346365=ADVANCED_FACE('',(#36940),#330502,.F.); #346366=ADVANCED_FACE('',(#36941),#330503,.F.); #346367=ADVANCED_FACE('',(#36942),#330504,.F.); #346368=ADVANCED_FACE('',(#36943),#330505,.F.); #346369=ADVANCED_FACE('',(#36944),#330506,.F.); #346370=ADVANCED_FACE('',(#36945),#330507,.F.); #346371=ADVANCED_FACE('',(#36946),#330508,.F.); #346372=ADVANCED_FACE('',(#36947),#330509,.F.); #346373=ADVANCED_FACE('',(#36948),#330510,.F.); #346374=ADVANCED_FACE('',(#36949),#330511,.F.); #346375=ADVANCED_FACE('',(#36950),#330512,.F.); #346376=ADVANCED_FACE('',(#36951),#330513,.F.); #346377=ADVANCED_FACE('',(#36952),#330514,.F.); #346378=ADVANCED_FACE('',(#36953),#330515,.F.); #346379=ADVANCED_FACE('',(#36954),#330516,.F.); #346380=ADVANCED_FACE('',(#36955),#330517,.F.); #346381=ADVANCED_FACE('',(#36956),#330518,.F.); #346382=ADVANCED_FACE('',(#36957),#330519,.F.); #346383=ADVANCED_FACE('',(#36958),#330520,.F.); #346384=ADVANCED_FACE('',(#36959),#19673,.F.); #346385=ADVANCED_FACE('',(#36960),#330521,.F.); #346386=ADVANCED_FACE('',(#36961),#19674,.F.); #346387=ADVANCED_FACE('',(#36962),#330522,.F.); #346388=ADVANCED_FACE('',(#36963),#330523,.F.); #346389=ADVANCED_FACE('',(#36964),#19675,.F.); #346390=ADVANCED_FACE('',(#36965),#330524,.F.); #346391=ADVANCED_FACE('',(#36966),#19676,.F.); #346392=ADVANCED_FACE('',(#36967),#330525,.F.); #346393=ADVANCED_FACE('',(#36968),#330526,.F.); #346394=ADVANCED_FACE('',(#36969),#330527,.F.); #346395=ADVANCED_FACE('',(#36970),#330528,.F.); #346396=ADVANCED_FACE('',(#36971),#19677,.F.); #346397=ADVANCED_FACE('',(#36972),#330529,.F.); #346398=ADVANCED_FACE('',(#36973),#19678,.F.); #346399=ADVANCED_FACE('',(#36974),#330530,.F.); #346400=ADVANCED_FACE('',(#36975),#330531,.F.); #346401=ADVANCED_FACE('',(#36976),#330532,.F.); #346402=ADVANCED_FACE('',(#36977),#330533,.F.); #346403=ADVANCED_FACE('',(#36978),#330534,.F.); #346404=ADVANCED_FACE('',(#36979),#330535,.F.); #346405=ADVANCED_FACE('',(#36980),#330536,.F.); #346406=ADVANCED_FACE('',(#36981),#330537,.F.); #346407=ADVANCED_FACE('',(#36982),#330538,.F.); #346408=ADVANCED_FACE('',(#36983),#330539,.F.); #346409=ADVANCED_FACE('',(#36984),#330540,.F.); #346410=ADVANCED_FACE('',(#36985),#19679,.F.); #346411=ADVANCED_FACE('',(#36986),#330541,.F.); #346412=ADVANCED_FACE('',(#36987),#19680,.F.); #346413=ADVANCED_FACE('',(#36988),#330542,.F.); #346414=ADVANCED_FACE('',(#36989),#330543,.F.); #346415=ADVANCED_FACE('',(#36990),#19681,.F.); #346416=ADVANCED_FACE('',(#36991),#330544,.F.); #346417=ADVANCED_FACE('',(#36992),#19682,.F.); #346418=ADVANCED_FACE('',(#36993),#330545,.F.); #346419=ADVANCED_FACE('',(#36994),#330546,.F.); #346420=ADVANCED_FACE('',(#36995),#19683,.F.); #346421=ADVANCED_FACE('',(#36996),#330547,.F.); #346422=ADVANCED_FACE('',(#36997),#19684,.F.); #346423=ADVANCED_FACE('',(#36998),#330548,.F.); #346424=ADVANCED_FACE('',(#36999),#330549,.F.); #346425=ADVANCED_FACE('',(#37000),#330550,.F.); #346426=ADVANCED_FACE('',(#37001),#330551,.F.); #346427=ADVANCED_FACE('',(#37002),#330552,.F.); #346428=ADVANCED_FACE('',(#37003),#330553,.F.); #346429=ADVANCED_FACE('',(#37004),#330554,.F.); #346430=ADVANCED_FACE('',(#37005),#330555,.F.); #346431=ADVANCED_FACE('',(#37006),#330556,.F.); #346432=ADVANCED_FACE('',(#37007),#330557,.F.); #346433=ADVANCED_FACE('',(#37008),#330558,.F.); #346434=ADVANCED_FACE('',(#37009),#330559,.F.); #346435=ADVANCED_FACE('',(#37010),#330560,.F.); #346436=ADVANCED_FACE('',(#37011),#330561,.F.); #346437=ADVANCED_FACE('',(#37012),#330562,.F.); #346438=ADVANCED_FACE('',(#37013),#330563,.F.); #346439=ADVANCED_FACE('',(#37014),#330564,.F.); #346440=ADVANCED_FACE('',(#37015),#330565,.F.); #346441=ADVANCED_FACE('',(#37016),#330566,.F.); #346442=ADVANCED_FACE('',(#37017),#330567,.F.); #346443=ADVANCED_FACE('',(#37018),#330568,.F.); #346444=ADVANCED_FACE('',(#37019),#330569,.F.); #346445=ADVANCED_FACE('',(#37020),#330570,.F.); #346446=ADVANCED_FACE('',(#37021),#330571,.F.); #346447=ADVANCED_FACE('',(#37022),#330572,.F.); #346448=ADVANCED_FACE('',(#37023),#330573,.F.); #346449=ADVANCED_FACE('',(#37024),#330574,.F.); #346450=ADVANCED_FACE('',(#37025),#330575,.F.); #346451=ADVANCED_FACE('',(#37026),#330576,.F.); #346452=ADVANCED_FACE('',(#37027),#330577,.F.); #346453=ADVANCED_FACE('',(#37028),#330578,.F.); #346454=ADVANCED_FACE('',(#37029),#330579,.F.); #346455=ADVANCED_FACE('',(#37030),#330580,.F.); #346456=ADVANCED_FACE('',(#37031),#330581,.F.); #346457=ADVANCED_FACE('',(#37032),#330582,.F.); #346458=ADVANCED_FACE('',(#37033),#330583,.F.); #346459=ADVANCED_FACE('',(#37034),#330584,.F.); #346460=ADVANCED_FACE('',(#37035),#330585,.F.); #346461=ADVANCED_FACE('',(#37036),#330586,.F.); #346462=ADVANCED_FACE('',(#37037),#330587,.F.); #346463=ADVANCED_FACE('',(#37038),#330588,.F.); #346464=ADVANCED_FACE('',(#37039),#330589,.F.); #346465=ADVANCED_FACE('',(#37040),#330590,.F.); #346466=ADVANCED_FACE('',(#37041),#330591,.F.); #346467=ADVANCED_FACE('',(#37042),#330592,.F.); #346468=ADVANCED_FACE('',(#37043),#330593,.F.); #346469=ADVANCED_FACE('',(#37044),#330594,.F.); #346470=ADVANCED_FACE('',(#37045),#330595,.F.); #346471=ADVANCED_FACE('',(#37046),#330596,.F.); #346472=ADVANCED_FACE('',(#37047),#330597,.F.); #346473=ADVANCED_FACE('',(#37048),#330598,.F.); #346474=ADVANCED_FACE('',(#37049),#330599,.F.); #346475=ADVANCED_FACE('',(#37050),#330600,.F.); #346476=ADVANCED_FACE('',(#37051),#330601,.F.); #346477=ADVANCED_FACE('',(#37052),#330602,.F.); #346478=ADVANCED_FACE('',(#37053),#330603,.F.); #346479=ADVANCED_FACE('',(#37054),#330604,.F.); #346480=ADVANCED_FACE('',(#37055),#330605,.F.); #346481=ADVANCED_FACE('',(#37056),#330606,.F.); #346482=ADVANCED_FACE('',(#37057),#330607,.F.); #346483=ADVANCED_FACE('',(#37058),#330608,.F.); #346484=ADVANCED_FACE('',(#37059),#330609,.F.); #346485=ADVANCED_FACE('',(#37060),#330610,.F.); #346486=ADVANCED_FACE('',(#37061),#330611,.F.); #346487=ADVANCED_FACE('',(#37062),#330612,.F.); #346488=ADVANCED_FACE('',(#37063),#330613,.F.); #346489=ADVANCED_FACE('',(#37064),#330614,.F.); #346490=ADVANCED_FACE('',(#37065),#330615,.F.); #346491=ADVANCED_FACE('',(#37066),#330616,.F.); #346492=ADVANCED_FACE('',(#37067),#330617,.F.); #346493=ADVANCED_FACE('',(#37068),#330618,.F.); #346494=ADVANCED_FACE('',(#37069),#330619,.F.); #346495=ADVANCED_FACE('',(#37070),#330620,.F.); #346496=ADVANCED_FACE('',(#37071),#330621,.F.); #346497=ADVANCED_FACE('',(#37072),#330622,.F.); #346498=ADVANCED_FACE('',(#37073),#330623,.F.); #346499=ADVANCED_FACE('',(#37074),#330624,.F.); #346500=ADVANCED_FACE('',(#37075),#330625,.F.); #346501=ADVANCED_FACE('',(#37076),#330626,.F.); #346502=ADVANCED_FACE('',(#37077),#330627,.F.); #346503=ADVANCED_FACE('',(#37078),#330628,.F.); #346504=ADVANCED_FACE('',(#37079),#330629,.F.); #346505=ADVANCED_FACE('',(#37080),#330630,.F.); #346506=ADVANCED_FACE('',(#37081),#330631,.F.); #346507=ADVANCED_FACE('',(#37082),#330632,.F.); #346508=ADVANCED_FACE('',(#37083),#330633,.F.); #346509=ADVANCED_FACE('',(#37084),#330634,.F.); #346510=ADVANCED_FACE('',(#37085),#330635,.F.); #346511=ADVANCED_FACE('',(#37086),#330636,.F.); #346512=ADVANCED_FACE('',(#37087),#330637,.F.); #346513=ADVANCED_FACE('',(#37088),#330638,.F.); #346514=ADVANCED_FACE('',(#37089),#330639,.F.); #346515=ADVANCED_FACE('',(#37090),#330640,.F.); #346516=ADVANCED_FACE('',(#37091),#330641,.F.); #346517=ADVANCED_FACE('',(#37092),#330642,.F.); #346518=ADVANCED_FACE('',(#37093),#330643,.F.); #346519=ADVANCED_FACE('',(#37094),#330644,.F.); #346520=ADVANCED_FACE('',(#37095),#330645,.F.); #346521=ADVANCED_FACE('',(#37096),#330646,.F.); #346522=ADVANCED_FACE('',(#37097),#330647,.F.); #346523=ADVANCED_FACE('',(#37098),#330648,.F.); #346524=ADVANCED_FACE('',(#37099),#330649,.F.); #346525=ADVANCED_FACE('',(#37100),#330650,.F.); #346526=ADVANCED_FACE('',(#37101),#330651,.F.); #346527=ADVANCED_FACE('',(#37102),#330652,.F.); #346528=ADVANCED_FACE('',(#37103),#330653,.F.); #346529=ADVANCED_FACE('',(#37104),#330654,.F.); #346530=ADVANCED_FACE('',(#37105),#330655,.F.); #346531=ADVANCED_FACE('',(#37106),#330656,.F.); #346532=ADVANCED_FACE('',(#37107),#330657,.F.); #346533=ADVANCED_FACE('',(#37108),#330658,.F.); #346534=ADVANCED_FACE('',(#37109),#330659,.F.); #346535=ADVANCED_FACE('',(#37110),#330660,.F.); #346536=ADVANCED_FACE('',(#37111),#330661,.F.); #346537=ADVANCED_FACE('',(#37112),#330662,.F.); #346538=ADVANCED_FACE('',(#37113),#330663,.F.); #346539=ADVANCED_FACE('',(#37114),#330664,.F.); #346540=ADVANCED_FACE('',(#37115),#330665,.F.); #346541=ADVANCED_FACE('',(#37116),#330666,.F.); #346542=ADVANCED_FACE('',(#37117),#330667,.F.); #346543=ADVANCED_FACE('',(#37118),#330668,.F.); #346544=ADVANCED_FACE('',(#37119),#330669,.F.); #346545=ADVANCED_FACE('',(#37120),#330670,.F.); #346546=ADVANCED_FACE('',(#37121),#330671,.F.); #346547=ADVANCED_FACE('',(#37122),#330672,.F.); #346548=ADVANCED_FACE('',(#37123),#330673,.F.); #346549=ADVANCED_FACE('',(#37124),#330674,.F.); #346550=ADVANCED_FACE('',(#37125),#330675,.F.); #346551=ADVANCED_FACE('',(#37126),#330676,.F.); #346552=ADVANCED_FACE('',(#37127),#330677,.F.); #346553=ADVANCED_FACE('',(#37128),#330678,.F.); #346554=ADVANCED_FACE('',(#37129),#330679,.F.); #346555=ADVANCED_FACE('',(#37130),#330680,.F.); #346556=ADVANCED_FACE('',(#37131),#330681,.T.); #346557=ADVANCED_FACE('',(#37132,#7294,#7295,#7296,#7297,#7298,#7299,#7300, #7301,#7302,#7303,#7304,#7305,#7306,#7307,#7308,#7309,#7310,#7311,#7312, #7313,#7314,#7315,#7316,#7317,#7318,#7319,#7320,#7321,#7322,#7323,#7324, #7325,#7326,#7327,#7328,#7329,#7330,#7331,#7332,#7333,#7334,#7335,#7336, #7337,#7338,#7339,#7340,#7341,#7342,#7343,#7344,#7345,#7346,#7347,#7348, #7349,#7350,#7351,#7352,#7353,#7354,#7355,#7356,#7357,#7358,#7359,#7360, #7361,#7362,#7363,#7364,#7365,#7366,#7367,#7368,#7369,#7370,#7371,#7372, #7373,#7374,#7375,#7376,#7377,#7378,#7379,#7380,#7381,#7382,#7383,#7384, #7385,#7386,#7387,#7388,#7389,#7390,#7391,#7392,#7393,#7394,#7395,#7396, #7397,#7398,#7399,#7400,#7401,#7402,#7403,#7404,#7405,#7406,#7407,#7408, #7409,#7410,#7411,#7412,#7413,#7414,#7415,#7416,#7417,#7418,#7419,#7420, #7421,#7422,#7423,#7424,#7425,#7426,#7427,#7428,#7429,#7430,#7431,#7432, #7433,#7434,#7435,#7436,#7437,#7438,#7439,#7440,#7441,#7442,#7443,#7444, #7445,#7446,#7447,#7448,#7449,#7450,#7451,#7452,#7453,#7454,#7455,#7456, #7457,#7458,#7459,#7460,#7461,#7462,#7463,#7464,#7465,#7466,#7467,#7468, #7469,#7470,#7471,#7472,#7473,#7474,#7475,#7476,#7477,#7478,#7479,#7480, #7481,#7482,#7483,#7484,#7485,#7486,#7487,#7488,#7489,#7490,#7491,#7492, #7493,#7494,#7495,#7496,#7497,#7498,#7499,#7500,#7501,#7502,#7503,#7504, #7505,#7506,#7507,#7508,#7509,#7510,#7511,#7512,#7513,#7514,#7515,#7516, #7517,#7518,#7519,#7520,#7521,#7522,#7523,#7524,#7525,#7526,#7527,#7528, #7529,#7530,#7531,#7532,#7533,#7534,#7535,#7536,#7537,#7538,#7539,#7540, #7541,#7542,#7543,#7544,#7545,#7546,#7547,#7548,#7549,#7550,#7551,#7552, #7553,#7554,#7555,#7556,#7557,#7558,#7559,#7560,#7561,#7562,#7563,#7564, #7565,#7566,#7567,#7568,#7569,#7570,#7571,#7572,#7573,#7574,#7575,#7576, #7577,#7578,#7579,#7580,#7581,#7582,#7583,#7584,#7585,#7586,#7587,#7588, #7589,#7590,#7591,#7592,#7593,#7594,#7595,#7596,#7597,#7598,#7599,#7600, #7601,#7602,#7603,#7604,#7605,#7606,#7607,#7608,#7609,#7610),#19685,.T.); #346558=ADVANCED_FACE('',(#37133,#7611,#7612,#7613,#7614,#7615,#7616,#7617, #7618,#7619,#7620,#7621,#7622,#7623,#7624,#7625,#7626,#7627,#7628,#7629, #7630,#7631,#7632,#7633,#7634,#7635,#7636,#7637,#7638,#7639,#7640,#7641, #7642,#7643,#7644,#7645,#7646,#7647,#7648,#7649,#7650,#7651,#7652,#7653, #7654,#7655,#7656,#7657,#7658,#7659,#7660,#7661,#7662,#7663,#7664,#7665, #7666,#7667,#7668,#7669,#7670,#7671,#7672,#7673,#7674,#7675,#7676,#7677, #7678,#7679,#7680,#7681,#7682,#7683,#7684,#7685,#7686,#7687,#7688,#7689, #7690,#7691,#7692,#7693,#7694,#7695,#7696,#7697,#7698,#7699,#7700,#7701, #7702,#7703,#7704,#7705,#7706,#7707,#7708,#7709,#7710,#7711,#7712,#7713, #7714,#7715,#7716,#7717,#7718,#7719,#7720,#7721,#7722,#7723,#7724,#7725, #7726,#7727,#7728,#7729,#7730,#7731,#7732,#7733,#7734,#7735,#7736,#7737, #7738,#7739,#7740,#7741,#7742,#7743,#7744,#7745,#7746,#7747,#7748,#7749, #7750,#7751,#7752,#7753,#7754,#7755,#7756,#7757,#7758,#7759,#7760,#7761, #7762,#7763,#7764,#7765,#7766,#7767,#7768,#7769,#7770,#7771,#7772,#7773, #7774,#7775,#7776,#7777,#7778,#7779,#7780,#7781,#7782,#7783,#7784,#7785, #7786,#7787,#7788,#7789,#7790,#7791,#7792,#7793,#7794,#7795,#7796,#7797, #7798,#7799,#7800,#7801,#7802,#7803,#7804,#7805,#7806,#7807,#7808,#7809, #7810,#7811,#7812,#7813,#7814,#7815,#7816,#7817,#7818,#7819,#7820,#7821, #7822,#7823,#7824,#7825,#7826,#7827,#7828,#7829,#7830,#7831,#7832,#7833, #7834,#7835,#7836,#7837,#7838,#7839,#7840,#7841,#7842,#7843,#7844,#7845, #7846,#7847,#7848,#7849,#7850,#7851,#7852,#7853,#7854,#7855,#7856,#7857, #7858,#7859,#7860,#7861,#7862,#7863,#7864,#7865,#7866,#7867,#7868,#7869, #7870,#7871,#7872,#7873,#7874,#7875,#7876,#7877,#7878,#7879,#7880,#7881, #7882,#7883,#7884,#7885,#7886,#7887,#7888,#7889,#7890,#7891,#7892,#7893, #7894,#7895,#7896,#7897,#7898,#7899,#7900,#7901,#7902,#7903,#7904,#7905, #7906,#7907,#7908,#7909,#7910,#7911,#7912,#7913,#7914,#7915,#7916,#7917, #7918,#7919,#7920,#7921,#7922,#7923,#7924,#7925,#7926,#7927),#19686,.F.); #346559=ADVANCED_FACE('',(#37134),#19687,.T.); #346560=ADVANCED_FACE('',(#37135),#19688,.F.); #346561=ADVANCED_FACE('',(#37136),#19689,.F.); #346562=ADVANCED_FACE('',(#37137),#19690,.T.); #346563=ADVANCED_FACE('',(#37138),#19691,.T.); #346564=ADVANCED_FACE('',(#37139),#19692,.F.); #346565=ADVANCED_FACE('',(#37140,#7928,#7929,#7930,#7931),#19693,.F.); #346566=ADVANCED_FACE('',(#37141,#7932),#19694,.F.); #346567=ADVANCED_FACE('',(#37142,#7933),#19695,.F.); #346568=ADVANCED_FACE('',(#37143,#7934),#19696,.F.); #346569=ADVANCED_FACE('',(#37144),#19697,.F.); #346570=ADVANCED_FACE('',(#37145),#19698,.F.); #346571=ADVANCED_FACE('',(#37146,#7935),#19699,.F.); #346572=ADVANCED_FACE('',(#37147),#19700,.F.); #346573=ADVANCED_FACE('',(#37148),#19701,.F.); #346574=ADVANCED_FACE('',(#37149),#19702,.F.); #346575=ADVANCED_FACE('',(#37150),#19703,.F.); #346576=ADVANCED_FACE('',(#37151),#19704,.T.); #346577=ADVANCED_FACE('',(#37152),#19705,.T.); #346578=ADVANCED_FACE('',(#37153),#19706,.T.); #346579=ADVANCED_FACE('',(#37154),#19707,.F.); #346580=ADVANCED_FACE('',(#37155),#19708,.F.); #346581=ADVANCED_FACE('',(#37156),#19709,.F.); #346582=ADVANCED_FACE('',(#37157),#19710,.F.); #346583=ADVANCED_FACE('',(#37158),#19711,.F.); #346584=ADVANCED_FACE('',(#37159),#19712,.T.); #346585=ADVANCED_FACE('',(#37160),#19713,.T.); #346586=ADVANCED_FACE('',(#37161),#19714,.F.); #346587=ADVANCED_FACE('',(#37162),#19715,.T.); #346588=ADVANCED_FACE('',(#37163),#19716,.F.); #346589=ADVANCED_FACE('',(#37164),#19717,.F.); #346590=ADVANCED_FACE('',(#37165),#19718,.T.); #346591=ADVANCED_FACE('',(#37166),#19719,.F.); #346592=ADVANCED_FACE('',(#37167),#19720,.T.); #346593=ADVANCED_FACE('',(#37168),#19721,.T.); #346594=ADVANCED_FACE('',(#37169),#19722,.F.); #346595=ADVANCED_FACE('',(#37170),#19723,.T.); #346596=ADVANCED_FACE('',(#37171),#19724,.F.); #346597=ADVANCED_FACE('',(#37172),#19725,.T.); #346598=ADVANCED_FACE('',(#37173),#19726,.T.); #346599=ADVANCED_FACE('',(#37174),#19727,.T.); #346600=ADVANCED_FACE('',(#37175),#19728,.F.); #346601=ADVANCED_FACE('',(#37176),#19729,.F.); #346602=ADVANCED_FACE('',(#37177),#19730,.F.); #346603=ADVANCED_FACE('',(#37178),#19731,.F.); #346604=ADVANCED_FACE('',(#37179),#19732,.F.); #346605=ADVANCED_FACE('',(#37180),#19733,.F.); #346606=ADVANCED_FACE('',(#37181),#19734,.F.); #346607=ADVANCED_FACE('',(#37182),#19735,.F.); #346608=ADVANCED_FACE('',(#37183),#19736,.T.); #346609=ADVANCED_FACE('',(#37184),#19737,.T.); #346610=ADVANCED_FACE('',(#37185),#19738,.F.); #346611=ADVANCED_FACE('',(#37186),#19739,.T.); #346612=ADVANCED_FACE('',(#37187),#19740,.F.); #346613=ADVANCED_FACE('',(#37188),#19741,.F.); #346614=ADVANCED_FACE('',(#37189),#19742,.T.); #346615=ADVANCED_FACE('',(#37190),#19743,.F.); #346616=ADVANCED_FACE('',(#37191),#19744,.T.); #346617=ADVANCED_FACE('',(#37192),#19745,.T.); #346618=ADVANCED_FACE('',(#37193),#19746,.T.); #346619=ADVANCED_FACE('',(#37194),#19747,.T.); #346620=ADVANCED_FACE('',(#37195),#19748,.F.); #346621=ADVANCED_FACE('',(#37196),#19749,.T.); #346622=ADVANCED_FACE('',(#37197),#19750,.F.); #346623=ADVANCED_FACE('',(#37198),#19751,.F.); #346624=ADVANCED_FACE('',(#37199),#19752,.T.); #346625=ADVANCED_FACE('',(#37200),#19753,.F.); #346626=ADVANCED_FACE('',(#37201),#19754,.T.); #346627=ADVANCED_FACE('',(#37202),#19755,.T.); #346628=ADVANCED_FACE('',(#37203),#19756,.T.); #346629=ADVANCED_FACE('',(#37204),#19757,.T.); #346630=ADVANCED_FACE('',(#37205),#19758,.F.); #346631=ADVANCED_FACE('',(#37206),#19759,.T.); #346632=ADVANCED_FACE('',(#37207),#19760,.F.); #346633=ADVANCED_FACE('',(#37208),#19761,.F.); #346634=ADVANCED_FACE('',(#37209),#19762,.T.); #346635=ADVANCED_FACE('',(#37210),#19763,.F.); #346636=ADVANCED_FACE('',(#37211),#19764,.T.); #346637=ADVANCED_FACE('',(#37212),#19765,.T.); #346638=ADVANCED_FACE('',(#37213),#19766,.F.); #346639=ADVANCED_FACE('',(#37214),#19767,.T.); #346640=ADVANCED_FACE('',(#37215),#19768,.F.); #346641=ADVANCED_FACE('',(#37216),#19769,.T.); #346642=ADVANCED_FACE('',(#37217),#19770,.T.); #346643=ADVANCED_FACE('',(#37218),#19771,.T.); #346644=ADVANCED_FACE('',(#37219),#19772,.F.); #346645=ADVANCED_FACE('',(#37220),#19773,.F.); #346646=ADVANCED_FACE('',(#37221),#19774,.F.); #346647=ADVANCED_FACE('',(#37222),#19775,.T.); #346648=ADVANCED_FACE('',(#37223),#19776,.F.); #346649=ADVANCED_FACE('',(#37224),#19777,.T.); #346650=ADVANCED_FACE('',(#37225),#19778,.T.); #346651=ADVANCED_FACE('',(#37226),#19779,.T.); #346652=ADVANCED_FACE('',(#37227),#19780,.F.); #346653=ADVANCED_FACE('',(#37228),#19781,.F.); #346654=ADVANCED_FACE('',(#37229),#19782,.F.); #346655=ADVANCED_FACE('',(#37230),#19783,.T.); #346656=ADVANCED_FACE('',(#37231),#19784,.F.); #346657=ADVANCED_FACE('',(#37232),#19785,.T.); #346658=ADVANCED_FACE('',(#37233),#19786,.T.); #346659=ADVANCED_FACE('',(#37234),#19787,.T.); #346660=ADVANCED_FACE('',(#37235),#19788,.F.); #346661=ADVANCED_FACE('',(#37236),#19789,.F.); #346662=ADVANCED_FACE('',(#37237),#19790,.F.); #346663=ADVANCED_FACE('',(#37238),#23,.T.); #346664=ADVANCED_FACE('',(#37239),#24,.T.); #346665=ADVANCED_FACE('',(#37240),#25,.T.); #346666=ADVANCED_FACE('',(#37241),#26,.T.); #346667=ADVANCED_FACE('',(#37242),#27,.T.); #346668=ADVANCED_FACE('',(#37243),#330682,.T.); #346669=ADVANCED_FACE('',(#37244),#28,.T.); #346670=ADVANCED_FACE('',(#37245),#29,.T.); #346671=ADVANCED_FACE('',(#37246),#330683,.T.); #346672=ADVANCED_FACE('',(#37247),#330684,.T.); #346673=ADVANCED_FACE('',(#37248),#30,.T.); #346674=ADVANCED_FACE('',(#37249),#330685,.T.); #346675=ADVANCED_FACE('',(#37250),#330686,.T.); #346676=ADVANCED_FACE('',(#37251),#330687,.T.); #346677=ADVANCED_FACE('',(#37252),#330688,.T.); #346678=ADVANCED_FACE('',(#37253),#330689,.T.); #346679=ADVANCED_FACE('',(#37254),#330690,.T.); #346680=ADVANCED_FACE('',(#37255),#330691,.T.); #346681=ADVANCED_FACE('',(#37256),#330692,.T.); #346682=ADVANCED_FACE('',(#37257),#330693,.T.); #346683=ADVANCED_FACE('',(#37258),#19791,.T.); #346684=ADVANCED_FACE('',(#37259),#19792,.F.); #346685=ADVANCED_FACE('',(#37260),#19793,.T.); #346686=ADVANCED_FACE('',(#37261),#19794,.T.); #346687=ADVANCED_FACE('',(#37262),#19795,.T.); #346688=ADVANCED_FACE('',(#37263),#19796,.T.); #346689=ADVANCED_FACE('',(#37264),#31,.T.); #346690=ADVANCED_FACE('',(#37265),#32,.T.); #346691=ADVANCED_FACE('',(#37266),#33,.T.); #346692=ADVANCED_FACE('',(#37267),#34,.T.); #346693=ADVANCED_FACE('',(#37268),#35,.T.); #346694=ADVANCED_FACE('',(#37269),#330694,.T.); #346695=ADVANCED_FACE('',(#37270),#36,.T.); #346696=ADVANCED_FACE('',(#37271),#37,.T.); #346697=ADVANCED_FACE('',(#37272),#330695,.T.); #346698=ADVANCED_FACE('',(#37273),#330696,.T.); #346699=ADVANCED_FACE('',(#37274),#38,.T.); #346700=ADVANCED_FACE('',(#37275),#330697,.T.); #346701=ADVANCED_FACE('',(#37276),#330698,.T.); #346702=ADVANCED_FACE('',(#37277),#330699,.T.); #346703=ADVANCED_FACE('',(#37278),#330700,.T.); #346704=ADVANCED_FACE('',(#37279),#330701,.T.); #346705=ADVANCED_FACE('',(#37280),#330702,.T.); #346706=ADVANCED_FACE('',(#37281),#330703,.T.); #346707=ADVANCED_FACE('',(#37282),#330704,.T.); #346708=ADVANCED_FACE('',(#37283),#330705,.T.); #346709=ADVANCED_FACE('',(#37284),#19797,.T.); #346710=ADVANCED_FACE('',(#37285),#19798,.F.); #346711=ADVANCED_FACE('',(#37286),#19799,.T.); #346712=ADVANCED_FACE('',(#37287),#19800,.T.); #346713=ADVANCED_FACE('',(#37288),#19801,.T.); #346714=ADVANCED_FACE('',(#37289),#19802,.T.); #346715=ADVANCED_FACE('',(#37290),#19803,.T.); #346716=ADVANCED_FACE('',(#37291),#19804,.T.); #346717=ADVANCED_FACE('',(#37292),#19805,.T.); #346718=ADVANCED_FACE('',(#37293),#19806,.T.); #346719=ADVANCED_FACE('',(#37294),#19807,.T.); #346720=ADVANCED_FACE('',(#37295),#19808,.F.); #346721=ADVANCED_FACE('',(#37296),#19809,.T.); #346722=ADVANCED_FACE('',(#37297),#19810,.F.); #346723=ADVANCED_FACE('',(#37298),#19811,.F.); #346724=ADVANCED_FACE('',(#37299),#19812,.F.); #346725=ADVANCED_FACE('',(#37300),#19813,.F.); #346726=ADVANCED_FACE('',(#37301),#19814,.F.); #346727=ADVANCED_FACE('',(#37302),#19815,.T.); #346728=ADVANCED_FACE('',(#37303),#19816,.T.); #346729=ADVANCED_FACE('',(#37304),#19817,.T.); #346730=ADVANCED_FACE('',(#37305),#19818,.T.); #346731=ADVANCED_FACE('',(#37306),#19819,.T.); #346732=ADVANCED_FACE('',(#37307),#19820,.F.); #346733=ADVANCED_FACE('',(#37308),#19821,.T.); #346734=ADVANCED_FACE('',(#37309),#19822,.F.); #346735=ADVANCED_FACE('',(#37310),#330706,.T.); #346736=ADVANCED_FACE('',(#37311),#330707,.T.); #346737=ADVANCED_FACE('',(#37312),#19823,.F.); #346738=ADVANCED_FACE('',(#37313),#19824,.F.); #346739=ADVANCED_FACE('',(#37314),#19825,.T.); #346740=ADVANCED_FACE('',(#37315),#19826,.T.); #346741=ADVANCED_FACE('',(#37316),#19827,.T.); #346742=ADVANCED_FACE('',(#37317),#19828,.F.); #346743=ADVANCED_FACE('',(#37318),#19829,.F.); #346744=ADVANCED_FACE('',(#37319),#3542,.T.); #346745=ADVANCED_FACE('',(#37320),#19830,.T.); #346746=ADVANCED_FACE('',(#37321),#19831,.T.); #346747=ADVANCED_FACE('',(#37322),#19832,.T.); #346748=ADVANCED_FACE('',(#37323),#19833,.T.); #346749=ADVANCED_FACE('',(#37324),#19834,.T.); #346750=ADVANCED_FACE('',(#37325),#19835,.T.); #346751=ADVANCED_FACE('',(#37326),#19836,.T.); #346752=ADVANCED_FACE('',(#37327),#19837,.T.); #346753=ADVANCED_FACE('',(#37328),#19838,.T.); #346754=ADVANCED_FACE('',(#37329),#19839,.T.); #346755=ADVANCED_FACE('',(#37330),#19840,.T.); #346756=ADVANCED_FACE('',(#37331),#19841,.T.); #346757=ADVANCED_FACE('',(#37332),#19842,.T.); #346758=ADVANCED_FACE('',(#37333),#19843,.T.); #346759=ADVANCED_FACE('',(#37334),#19844,.T.); #346760=ADVANCED_FACE('',(#37335),#19845,.T.); #346761=ADVANCED_FACE('',(#37336),#19846,.T.); #346762=ADVANCED_FACE('',(#37337),#19847,.T.); #346763=ADVANCED_FACE('',(#37338),#19848,.T.); #346764=ADVANCED_FACE('',(#37339),#19849,.T.); #346765=ADVANCED_FACE('',(#37340),#19850,.T.); #346766=ADVANCED_FACE('',(#37341),#19851,.T.); #346767=ADVANCED_FACE('',(#37342),#19852,.T.); #346768=ADVANCED_FACE('',(#37343),#19853,.T.); #346769=ADVANCED_FACE('',(#37344),#19854,.T.); #346770=ADVANCED_FACE('',(#37345),#19855,.T.); #346771=ADVANCED_FACE('',(#37346),#19856,.T.); #346772=ADVANCED_FACE('',(#37347),#19857,.T.); #346773=ADVANCED_FACE('',(#37348),#19858,.T.); #346774=ADVANCED_FACE('',(#37349),#19859,.T.); #346775=ADVANCED_FACE('',(#37350),#19860,.T.); #346776=ADVANCED_FACE('',(#37351),#19861,.T.); #346777=ADVANCED_FACE('',(#37352),#19862,.T.); #346778=ADVANCED_FACE('',(#37353),#19863,.T.); #346779=ADVANCED_FACE('',(#37354),#19864,.T.); #346780=ADVANCED_FACE('',(#37355),#19865,.T.); #346781=ADVANCED_FACE('',(#37356),#19866,.T.); #346782=ADVANCED_FACE('',(#37357),#19867,.T.); #346783=ADVANCED_FACE('',(#37358),#19868,.F.); #346784=ADVANCED_FACE('',(#37359),#19869,.F.); #346785=ADVANCED_FACE('',(#37360),#19870,.F.); #346786=ADVANCED_FACE('',(#37361),#19871,.F.); #346787=ADVANCED_FACE('',(#37362),#19872,.F.); #346788=ADVANCED_FACE('',(#37363),#19873,.F.); #346789=ADVANCED_FACE('',(#37364),#19874,.F.); #346790=ADVANCED_FACE('',(#37365),#19875,.F.); #346791=ADVANCED_FACE('',(#37366),#19876,.F.); #346792=ADVANCED_FACE('',(#37367),#19877,.F.); #346793=ADVANCED_FACE('',(#37368),#19878,.F.); #346794=ADVANCED_FACE('',(#37369),#19879,.F.); #346795=ADVANCED_FACE('',(#37370),#19880,.F.); #346796=ADVANCED_FACE('',(#37371),#19881,.F.); #346797=ADVANCED_FACE('',(#37372),#19882,.F.); #346798=ADVANCED_FACE('',(#37373),#19883,.F.); #346799=ADVANCED_FACE('',(#37374),#19884,.F.); #346800=ADVANCED_FACE('',(#37375),#19885,.F.); #346801=ADVANCED_FACE('',(#37376),#19886,.F.); #346802=ADVANCED_FACE('',(#37377),#19887,.F.); #346803=ADVANCED_FACE('',(#37378),#19888,.F.); #346804=ADVANCED_FACE('',(#37379),#19889,.F.); #346805=ADVANCED_FACE('',(#37380),#19890,.T.); #346806=ADVANCED_FACE('',(#37381),#19891,.T.); #346807=ADVANCED_FACE('',(#37382),#19892,.F.); #346808=ADVANCED_FACE('',(#37383),#19893,.T.); #346809=ADVANCED_FACE('',(#37384),#19894,.T.); #346810=ADVANCED_FACE('',(#37385),#19895,.T.); #346811=ADVANCED_FACE('',(#37386),#330708,.T.); #346812=ADVANCED_FACE('',(#37387),#19896,.T.); #346813=ADVANCED_FACE('',(#37388),#19897,.T.); #346814=ADVANCED_FACE('',(#37389),#19898,.T.); #346815=ADVANCED_FACE('',(#37390),#19899,.T.); #346816=ADVANCED_FACE('',(#37391),#19900,.F.); #346817=ADVANCED_FACE('',(#37392),#19901,.T.); #346818=ADVANCED_FACE('',(#37393),#19902,.F.); #346819=ADVANCED_FACE('',(#37394),#19903,.F.); #346820=ADVANCED_FACE('',(#37395),#19904,.T.); #346821=ADVANCED_FACE('',(#37396),#19905,.T.); #346822=ADVANCED_FACE('',(#37397),#19906,.F.); #346823=ADVANCED_FACE('',(#37398),#19907,.F.); #346824=ADVANCED_FACE('',(#37399),#19908,.F.); #346825=ADVANCED_FACE('',(#37400),#330709,.T.); #346826=ADVANCED_FACE('',(#37401),#19909,.T.); #346827=ADVANCED_FACE('',(#37402),#330710,.T.); #346828=ADVANCED_FACE('',(#37403),#330711,.T.); #346829=ADVANCED_FACE('',(#37404),#19910,.T.); #346830=ADVANCED_FACE('',(#37405),#19911,.F.); #346831=ADVANCED_FACE('',(#37406),#330712,.T.); #346832=ADVANCED_FACE('',(#37407),#19912,.F.); #346833=ADVANCED_FACE('',(#37408),#330713,.T.); #346834=ADVANCED_FACE('',(#37409),#19913,.F.); #346835=ADVANCED_FACE('',(#37410,#7936),#19914,.T.); #346836=ADVANCED_FACE('',(#37411),#19915,.T.); #346837=ADVANCED_FACE('',(#37412),#19916,.T.); #346838=ADVANCED_FACE('',(#37413),#330714,.F.); #346839=ADVANCED_FACE('',(#37414),#19917,.T.); #346840=ADVANCED_FACE('',(#37415),#19918,.T.); #346841=ADVANCED_FACE('',(#37416),#19919,.T.); #346842=ADVANCED_FACE('',(#37417),#19920,.T.); #346843=ADVANCED_FACE('',(#37418),#19921,.T.); #346844=ADVANCED_FACE('',(#37419),#330715,.F.); #346845=ADVANCED_FACE('',(#37420),#19922,.T.); #346846=ADVANCED_FACE('',(#37421),#19923,.F.); #346847=ADVANCED_FACE('',(#37422),#3543,.T.); #346848=ADVANCED_FACE('',(#37423),#19924,.T.); #346849=ADVANCED_FACE('',(#37424),#19925,.T.); #346850=ADVANCED_FACE('',(#37425),#3544,.T.); #346851=ADVANCED_FACE('',(#37426),#3545,.T.); #346852=ADVANCED_FACE('',(#37427),#19926,.T.); #346853=ADVANCED_FACE('',(#37428),#19927,.T.); #346854=ADVANCED_FACE('',(#37429),#3546,.T.); #346855=ADVANCED_FACE('',(#37430),#3547,.T.); #346856=ADVANCED_FACE('',(#37431),#19928,.F.); #346857=ADVANCED_FACE('',(#37432),#3548,.T.); #346858=ADVANCED_FACE('',(#37433),#3549,.T.); #346859=ADVANCED_FACE('',(#37434),#19929,.F.); #346860=ADVANCED_FACE('',(#37435),#3550,.T.); #346861=ADVANCED_FACE('',(#37436),#19930,.T.); #346862=ADVANCED_FACE('',(#37437),#19931,.F.); #346863=ADVANCED_FACE('',(#37438),#330716,.T.); #346864=ADVANCED_FACE('',(#37439),#330717,.T.); #346865=ADVANCED_FACE('',(#37440),#19932,.F.); #346866=ADVANCED_FACE('',(#37441),#19933,.F.); #346867=ADVANCED_FACE('',(#37442),#19934,.T.); #346868=ADVANCED_FACE('',(#37443),#19935,.T.); #346869=ADVANCED_FACE('',(#37444),#19936,.T.); #346870=ADVANCED_FACE('',(#37445),#19937,.F.); #346871=ADVANCED_FACE('',(#37446),#19938,.T.); #346872=ADVANCED_FACE('',(#37447),#19939,.T.); #346873=ADVANCED_FACE('',(#37448),#19940,.T.); #346874=ADVANCED_FACE('',(#37449),#19941,.T.); #346875=ADVANCED_FACE('',(#37450),#19942,.F.); #346876=ADVANCED_FACE('',(#37451),#19943,.F.); #346877=ADVANCED_FACE('',(#37452),#19944,.T.); #346878=ADVANCED_FACE('',(#37453),#19945,.F.); #346879=ADVANCED_FACE('',(#37454),#19946,.F.); #346880=ADVANCED_FACE('',(#37455),#19947,.F.); #346881=ADVANCED_FACE('',(#37456),#19948,.F.); #346882=ADVANCED_FACE('',(#37457),#19949,.T.); #346883=ADVANCED_FACE('',(#37458),#19950,.T.); #346884=ADVANCED_FACE('',(#37459),#19951,.T.); #346885=ADVANCED_FACE('',(#37460),#19952,.F.); #346886=ADVANCED_FACE('',(#37461),#19953,.F.); #346887=ADVANCED_FACE('',(#37462),#19954,.F.); #346888=ADVANCED_FACE('',(#37463),#19955,.F.); #346889=ADVANCED_FACE('',(#37464),#19956,.F.); #346890=ADVANCED_FACE('',(#37465,#7937,#7938),#19957,.T.); #346891=ADVANCED_FACE('',(#37466),#330718,.T.); #346892=ADVANCED_FACE('',(#37467),#19958,.F.); #346893=ADVANCED_FACE('',(#37468),#330719,.T.); #346894=ADVANCED_FACE('',(#37469),#19959,.T.); #346895=ADVANCED_FACE('',(#37470),#3551,.T.); #346896=ADVANCED_FACE('',(#37471),#3552,.T.); #346897=ADVANCED_FACE('',(#37472),#19960,.T.); #346898=ADVANCED_FACE('',(#37473),#19961,.T.); #346899=ADVANCED_FACE('',(#37474),#3553,.T.); #346900=ADVANCED_FACE('',(#37475),#19962,.T.); #346901=ADVANCED_FACE('',(#37476),#19963,.T.); #346902=ADVANCED_FACE('',(#37477),#19964,.T.); #346903=ADVANCED_FACE('',(#37478),#19965,.F.); #346904=ADVANCED_FACE('',(#37479),#19966,.T.); #346905=ADVANCED_FACE('',(#37480),#19967,.T.); #346906=ADVANCED_FACE('',(#37481),#19968,.T.); #346907=ADVANCED_FACE('',(#37482),#19969,.T.); #346908=ADVANCED_FACE('',(#37483),#19970,.T.); #346909=ADVANCED_FACE('',(#37484),#19971,.T.); #346910=ADVANCED_FACE('',(#37485),#19972,.T.); #346911=ADVANCED_FACE('',(#37486),#19973,.T.); #346912=ADVANCED_FACE('',(#37487),#19974,.T.); #346913=ADVANCED_FACE('',(#37488),#19975,.T.); #346914=ADVANCED_FACE('',(#37489),#19976,.T.); #346915=ADVANCED_FACE('',(#37490),#19977,.T.); #346916=ADVANCED_FACE('',(#37491),#19978,.T.); #346917=ADVANCED_FACE('',(#37492),#19979,.T.); #346918=ADVANCED_FACE('',(#37493),#19980,.T.); #346919=ADVANCED_FACE('',(#37494),#19981,.T.); #346920=ADVANCED_FACE('',(#37495),#19982,.T.); #346921=ADVANCED_FACE('',(#37496),#19983,.T.); #346922=ADVANCED_FACE('',(#37497),#19984,.T.); #346923=ADVANCED_FACE('',(#37498),#19985,.T.); #346924=ADVANCED_FACE('',(#37499),#19986,.T.); #346925=ADVANCED_FACE('',(#37500),#19987,.T.); #346926=ADVANCED_FACE('',(#37501),#19988,.T.); #346927=ADVANCED_FACE('',(#37502),#19989,.T.); #346928=ADVANCED_FACE('',(#37503),#19990,.T.); #346929=ADVANCED_FACE('',(#37504),#19991,.F.); #346930=ADVANCED_FACE('',(#37505),#19992,.F.); #346931=ADVANCED_FACE('',(#37506),#19993,.F.); #346932=ADVANCED_FACE('',(#37507),#19994,.F.); #346933=ADVANCED_FACE('',(#37508),#19995,.F.); #346934=ADVANCED_FACE('',(#37509),#19996,.F.); #346935=ADVANCED_FACE('',(#37510),#19997,.F.); #346936=ADVANCED_FACE('',(#37511),#19998,.T.); #346937=ADVANCED_FACE('',(#37512),#19999,.T.); #346938=ADVANCED_FACE('',(#37513),#20000,.T.); #346939=ADVANCED_FACE('',(#37514),#20001,.T.); #346940=ADVANCED_FACE('',(#37515),#20002,.F.); #346941=ADVANCED_FACE('',(#37516),#20003,.F.); #346942=ADVANCED_FACE('',(#37517),#20004,.F.); #346943=ADVANCED_FACE('',(#37518),#20005,.F.); #346944=ADVANCED_FACE('',(#37519),#20006,.T.); #346945=ADVANCED_FACE('',(#37520),#20007,.F.); #346946=ADVANCED_FACE('',(#37521),#20008,.F.); #346947=ADVANCED_FACE('',(#37522),#20009,.F.); #346948=ADVANCED_FACE('',(#37523),#20010,.F.); #346949=ADVANCED_FACE('',(#37524),#20011,.F.); #346950=ADVANCED_FACE('',(#37525),#20012,.F.); #346951=ADVANCED_FACE('',(#37526),#20013,.F.); #346952=ADVANCED_FACE('',(#37527),#20014,.F.); #346953=ADVANCED_FACE('',(#37528),#20015,.F.); #346954=ADVANCED_FACE('',(#37529),#20016,.F.); #346955=ADVANCED_FACE('',(#37530),#20017,.F.); #346956=ADVANCED_FACE('',(#37531),#20018,.F.); #346957=ADVANCED_FACE('',(#37532),#20019,.F.); #346958=ADVANCED_FACE('',(#37533),#20020,.F.); #346959=ADVANCED_FACE('',(#37534),#20021,.F.); #346960=ADVANCED_FACE('',(#37535),#20022,.F.); #346961=ADVANCED_FACE('',(#37536),#20023,.F.); #346962=ADVANCED_FACE('',(#37537),#20024,.F.); #346963=ADVANCED_FACE('',(#37538),#20025,.F.); #346964=ADVANCED_FACE('',(#37539),#20026,.F.); #346965=ADVANCED_FACE('',(#37540),#20027,.F.); #346966=ADVANCED_FACE('',(#37541),#20028,.F.); #346967=ADVANCED_FACE('',(#37542),#20029,.F.); #346968=ADVANCED_FACE('',(#37543),#20030,.F.); #346969=ADVANCED_FACE('',(#37544),#20031,.F.); #346970=ADVANCED_FACE('',(#37545),#20032,.F.); #346971=ADVANCED_FACE('',(#37546),#20033,.F.); #346972=ADVANCED_FACE('',(#37547),#20034,.F.); #346973=ADVANCED_FACE('',(#37548),#20035,.F.); #346974=ADVANCED_FACE('',(#37549),#20036,.F.); #346975=ADVANCED_FACE('',(#37550),#20037,.T.); #346976=ADVANCED_FACE('',(#37551),#20038,.T.); #346977=ADVANCED_FACE('',(#37552),#20039,.T.); #346978=ADVANCED_FACE('',(#37553),#20040,.T.); #346979=ADVANCED_FACE('',(#37554),#20041,.F.); #346980=ADVANCED_FACE('',(#37555),#20042,.F.); #346981=ADVANCED_FACE('',(#37556),#20043,.F.); #346982=ADVANCED_FACE('',(#37557),#20044,.T.); #346983=ADVANCED_FACE('',(#37558),#20045,.F.); #346984=ADVANCED_FACE('',(#37559),#20046,.F.); #346985=ADVANCED_FACE('',(#37560),#20047,.F.); #346986=ADVANCED_FACE('',(#37561),#20048,.F.); #346987=ADVANCED_FACE('',(#37562),#20049,.F.); #346988=ADVANCED_FACE('',(#37563),#20050,.F.); #346989=ADVANCED_FACE('',(#37564),#20051,.F.); #346990=ADVANCED_FACE('',(#37565),#20052,.F.); #346991=ADVANCED_FACE('',(#37566),#20053,.F.); #346992=ADVANCED_FACE('',(#37567),#20054,.F.); #346993=ADVANCED_FACE('',(#37568),#20055,.T.); #346994=ADVANCED_FACE('',(#37569),#20056,.F.); #346995=ADVANCED_FACE('',(#37570),#20057,.T.); #346996=ADVANCED_FACE('',(#37571),#330720,.F.); #346997=ADVANCED_FACE('',(#37572),#20058,.F.); #346998=ADVANCED_FACE('',(#37573),#330721,.T.); #346999=ADVANCED_FACE('',(#37574),#20059,.F.); #347000=ADVANCED_FACE('',(#37575),#330722,.T.); #347001=ADVANCED_FACE('',(#37576),#20060,.T.); #347002=ADVANCED_FACE('',(#37577),#330723,.F.); #347003=ADVANCED_FACE('',(#37578),#20061,.T.); #347004=ADVANCED_FACE('',(#37579),#20062,.T.); #347005=ADVANCED_FACE('',(#37580),#20063,.F.); #347006=ADVANCED_FACE('',(#37581),#20064,.F.); #347007=ADVANCED_FACE('',(#37582),#20065,.F.); #347008=ADVANCED_FACE('',(#37583),#20066,.F.); #347009=ADVANCED_FACE('',(#37584),#20067,.F.); #347010=ADVANCED_FACE('',(#37585),#20068,.F.); #347011=ADVANCED_FACE('',(#37586),#20069,.F.); #347012=ADVANCED_FACE('',(#37587),#20070,.F.); #347013=ADVANCED_FACE('',(#37588),#20071,.T.); #347014=ADVANCED_FACE('',(#37589),#20072,.F.); #347015=ADVANCED_FACE('',(#37590),#20073,.T.); #347016=ADVANCED_FACE('',(#37591),#330724,.F.); #347017=ADVANCED_FACE('',(#37592),#20074,.F.); #347018=ADVANCED_FACE('',(#37593),#330725,.T.); #347019=ADVANCED_FACE('',(#37594),#20075,.F.); #347020=ADVANCED_FACE('',(#37595),#330726,.T.); #347021=ADVANCED_FACE('',(#37596),#20076,.T.); #347022=ADVANCED_FACE('',(#37597),#330727,.F.); #347023=ADVANCED_FACE('',(#37598),#20077,.T.); #347024=ADVANCED_FACE('',(#37599),#20078,.T.); #347025=ADVANCED_FACE('',(#37600),#20079,.F.); #347026=ADVANCED_FACE('',(#37601),#20080,.F.); #347027=ADVANCED_FACE('',(#37602),#20081,.F.); #347028=ADVANCED_FACE('',(#37603),#20082,.F.); #347029=ADVANCED_FACE('',(#37604),#20083,.F.); #347030=ADVANCED_FACE('',(#37605),#20084,.F.); #347031=ADVANCED_FACE('',(#37606),#20085,.F.); #347032=ADVANCED_FACE('',(#37607),#20086,.F.); #347033=ADVANCED_FACE('',(#37608),#20087,.T.); #347034=ADVANCED_FACE('',(#37609),#20088,.F.); #347035=ADVANCED_FACE('',(#37610),#20089,.T.); #347036=ADVANCED_FACE('',(#37611),#330728,.F.); #347037=ADVANCED_FACE('',(#37612),#20090,.F.); #347038=ADVANCED_FACE('',(#37613),#330729,.T.); #347039=ADVANCED_FACE('',(#37614),#20091,.F.); #347040=ADVANCED_FACE('',(#37615),#330730,.T.); #347041=ADVANCED_FACE('',(#37616),#20092,.T.); #347042=ADVANCED_FACE('',(#37617),#330731,.F.); #347043=ADVANCED_FACE('',(#37618),#20093,.T.); #347044=ADVANCED_FACE('',(#37619),#20094,.T.); #347045=ADVANCED_FACE('',(#37620),#20095,.F.); #347046=ADVANCED_FACE('',(#37621),#20096,.F.); #347047=ADVANCED_FACE('',(#37622),#20097,.F.); #347048=ADVANCED_FACE('',(#37623),#20098,.F.); #347049=ADVANCED_FACE('',(#37624),#20099,.F.); #347050=ADVANCED_FACE('',(#37625),#20100,.F.); #347051=ADVANCED_FACE('',(#37626),#20101,.F.); #347052=ADVANCED_FACE('',(#37627),#20102,.F.); #347053=ADVANCED_FACE('',(#37628),#20103,.T.); #347054=ADVANCED_FACE('',(#37629),#20104,.F.); #347055=ADVANCED_FACE('',(#37630),#20105,.T.); #347056=ADVANCED_FACE('',(#37631),#330732,.F.); #347057=ADVANCED_FACE('',(#37632),#20106,.F.); #347058=ADVANCED_FACE('',(#37633),#330733,.T.); #347059=ADVANCED_FACE('',(#37634),#20107,.F.); #347060=ADVANCED_FACE('',(#37635),#330734,.T.); #347061=ADVANCED_FACE('',(#37636),#20108,.T.); #347062=ADVANCED_FACE('',(#37637),#330735,.F.); #347063=ADVANCED_FACE('',(#37638),#20109,.T.); #347064=ADVANCED_FACE('',(#37639),#20110,.T.); #347065=ADVANCED_FACE('',(#37640),#20111,.F.); #347066=ADVANCED_FACE('',(#37641),#20112,.F.); #347067=ADVANCED_FACE('',(#37642),#20113,.F.); #347068=ADVANCED_FACE('',(#37643),#20114,.F.); #347069=ADVANCED_FACE('',(#37644),#20115,.F.); #347070=ADVANCED_FACE('',(#37645),#20116,.F.); #347071=ADVANCED_FACE('',(#37646),#20117,.F.); #347072=ADVANCED_FACE('',(#37647),#20118,.F.); #347073=ADVANCED_FACE('',(#37648),#20119,.T.); #347074=ADVANCED_FACE('',(#37649),#20120,.F.); #347075=ADVANCED_FACE('',(#37650),#20121,.T.); #347076=ADVANCED_FACE('',(#37651),#330736,.F.); #347077=ADVANCED_FACE('',(#37652),#20122,.F.); #347078=ADVANCED_FACE('',(#37653),#330737,.T.); #347079=ADVANCED_FACE('',(#37654),#20123,.F.); #347080=ADVANCED_FACE('',(#37655),#330738,.T.); #347081=ADVANCED_FACE('',(#37656),#20124,.T.); #347082=ADVANCED_FACE('',(#37657),#330739,.F.); #347083=ADVANCED_FACE('',(#37658),#20125,.T.); #347084=ADVANCED_FACE('',(#37659),#20126,.T.); #347085=ADVANCED_FACE('',(#37660),#20127,.F.); #347086=ADVANCED_FACE('',(#37661),#20128,.F.); #347087=ADVANCED_FACE('',(#37662),#20129,.F.); #347088=ADVANCED_FACE('',(#37663),#20130,.F.); #347089=ADVANCED_FACE('',(#37664),#20131,.F.); #347090=ADVANCED_FACE('',(#37665),#20132,.F.); #347091=ADVANCED_FACE('',(#37666),#20133,.F.); #347092=ADVANCED_FACE('',(#37667),#20134,.F.); #347093=ADVANCED_FACE('',(#37668),#20135,.T.); #347094=ADVANCED_FACE('',(#37669),#20136,.F.); #347095=ADVANCED_FACE('',(#37670),#20137,.T.); #347096=ADVANCED_FACE('',(#37671),#330740,.F.); #347097=ADVANCED_FACE('',(#37672),#20138,.F.); #347098=ADVANCED_FACE('',(#37673),#330741,.T.); #347099=ADVANCED_FACE('',(#37674),#20139,.F.); #347100=ADVANCED_FACE('',(#37675),#330742,.T.); #347101=ADVANCED_FACE('',(#37676),#20140,.T.); #347102=ADVANCED_FACE('',(#37677),#330743,.F.); #347103=ADVANCED_FACE('',(#37678),#20141,.T.); #347104=ADVANCED_FACE('',(#37679),#20142,.T.); #347105=ADVANCED_FACE('',(#37680),#20143,.F.); #347106=ADVANCED_FACE('',(#37681),#20144,.F.); #347107=ADVANCED_FACE('',(#37682),#20145,.F.); #347108=ADVANCED_FACE('',(#37683),#20146,.F.); #347109=ADVANCED_FACE('',(#37684),#20147,.F.); #347110=ADVANCED_FACE('',(#37685),#20148,.F.); #347111=ADVANCED_FACE('',(#37686),#20149,.F.); #347112=ADVANCED_FACE('',(#37687),#20150,.F.); #347113=ADVANCED_FACE('',(#37688),#20151,.T.); #347114=ADVANCED_FACE('',(#37689),#20152,.F.); #347115=ADVANCED_FACE('',(#37690),#20153,.T.); #347116=ADVANCED_FACE('',(#37691),#330744,.F.); #347117=ADVANCED_FACE('',(#37692),#20154,.F.); #347118=ADVANCED_FACE('',(#37693),#330745,.T.); #347119=ADVANCED_FACE('',(#37694),#20155,.F.); #347120=ADVANCED_FACE('',(#37695),#330746,.T.); #347121=ADVANCED_FACE('',(#37696),#20156,.T.); #347122=ADVANCED_FACE('',(#37697),#330747,.F.); #347123=ADVANCED_FACE('',(#37698),#20157,.T.); #347124=ADVANCED_FACE('',(#37699),#20158,.T.); #347125=ADVANCED_FACE('',(#37700),#20159,.F.); #347126=ADVANCED_FACE('',(#37701),#20160,.F.); #347127=ADVANCED_FACE('',(#37702),#20161,.F.); #347128=ADVANCED_FACE('',(#37703),#20162,.F.); #347129=ADVANCED_FACE('',(#37704),#20163,.F.); #347130=ADVANCED_FACE('',(#37705),#20164,.F.); #347131=ADVANCED_FACE('',(#37706),#20165,.F.); #347132=ADVANCED_FACE('',(#37707),#20166,.F.); #347133=ADVANCED_FACE('',(#37708),#20167,.T.); #347134=ADVANCED_FACE('',(#37709),#20168,.F.); #347135=ADVANCED_FACE('',(#37710),#20169,.T.); #347136=ADVANCED_FACE('',(#37711),#330748,.F.); #347137=ADVANCED_FACE('',(#37712),#20170,.F.); #347138=ADVANCED_FACE('',(#37713),#330749,.T.); #347139=ADVANCED_FACE('',(#37714),#20171,.F.); #347140=ADVANCED_FACE('',(#37715),#330750,.T.); #347141=ADVANCED_FACE('',(#37716),#20172,.T.); #347142=ADVANCED_FACE('',(#37717),#330751,.F.); #347143=ADVANCED_FACE('',(#37718),#20173,.T.); #347144=ADVANCED_FACE('',(#37719),#20174,.T.); #347145=ADVANCED_FACE('',(#37720),#20175,.F.); #347146=ADVANCED_FACE('',(#37721),#20176,.F.); #347147=ADVANCED_FACE('',(#37722),#20177,.F.); #347148=ADVANCED_FACE('',(#37723),#20178,.F.); #347149=ADVANCED_FACE('',(#37724),#20179,.F.); #347150=ADVANCED_FACE('',(#37725),#20180,.F.); #347151=ADVANCED_FACE('',(#37726),#20181,.F.); #347152=ADVANCED_FACE('',(#37727),#20182,.F.); #347153=ADVANCED_FACE('',(#37728),#20183,.T.); #347154=ADVANCED_FACE('',(#37729),#20184,.F.); #347155=ADVANCED_FACE('',(#37730),#20185,.T.); #347156=ADVANCED_FACE('',(#37731),#330752,.F.); #347157=ADVANCED_FACE('',(#37732),#20186,.F.); #347158=ADVANCED_FACE('',(#37733),#330753,.T.); #347159=ADVANCED_FACE('',(#37734),#20187,.F.); #347160=ADVANCED_FACE('',(#37735),#330754,.T.); #347161=ADVANCED_FACE('',(#37736),#20188,.T.); #347162=ADVANCED_FACE('',(#37737),#330755,.F.); #347163=ADVANCED_FACE('',(#37738),#20189,.T.); #347164=ADVANCED_FACE('',(#37739),#20190,.T.); #347165=ADVANCED_FACE('',(#37740),#20191,.F.); #347166=ADVANCED_FACE('',(#37741),#20192,.F.); #347167=ADVANCED_FACE('',(#37742),#20193,.F.); #347168=ADVANCED_FACE('',(#37743),#20194,.F.); #347169=ADVANCED_FACE('',(#37744),#20195,.F.); #347170=ADVANCED_FACE('',(#37745),#20196,.F.); #347171=ADVANCED_FACE('',(#37746),#20197,.F.); #347172=ADVANCED_FACE('',(#37747),#20198,.F.); #347173=ADVANCED_FACE('',(#37748),#20199,.T.); #347174=ADVANCED_FACE('',(#37749),#20200,.F.); #347175=ADVANCED_FACE('',(#37750),#20201,.T.); #347176=ADVANCED_FACE('',(#37751),#330756,.F.); #347177=ADVANCED_FACE('',(#37752),#20202,.F.); #347178=ADVANCED_FACE('',(#37753),#330757,.T.); #347179=ADVANCED_FACE('',(#37754),#20203,.F.); #347180=ADVANCED_FACE('',(#37755),#330758,.T.); #347181=ADVANCED_FACE('',(#37756),#20204,.T.); #347182=ADVANCED_FACE('',(#37757),#330759,.F.); #347183=ADVANCED_FACE('',(#37758),#20205,.T.); #347184=ADVANCED_FACE('',(#37759),#20206,.T.); #347185=ADVANCED_FACE('',(#37760),#20207,.F.); #347186=ADVANCED_FACE('',(#37761),#20208,.F.); #347187=ADVANCED_FACE('',(#37762),#20209,.F.); #347188=ADVANCED_FACE('',(#37763),#20210,.F.); #347189=ADVANCED_FACE('',(#37764),#20211,.F.); #347190=ADVANCED_FACE('',(#37765),#20212,.F.); #347191=ADVANCED_FACE('',(#37766),#20213,.F.); #347192=ADVANCED_FACE('',(#37767),#20214,.F.); #347193=ADVANCED_FACE('',(#37768),#20215,.T.); #347194=ADVANCED_FACE('',(#37769),#20216,.F.); #347195=ADVANCED_FACE('',(#37770),#20217,.T.); #347196=ADVANCED_FACE('',(#37771),#330760,.F.); #347197=ADVANCED_FACE('',(#37772),#20218,.F.); #347198=ADVANCED_FACE('',(#37773),#330761,.T.); #347199=ADVANCED_FACE('',(#37774),#20219,.F.); #347200=ADVANCED_FACE('',(#37775),#330762,.T.); #347201=ADVANCED_FACE('',(#37776),#20220,.T.); #347202=ADVANCED_FACE('',(#37777),#330763,.F.); #347203=ADVANCED_FACE('',(#37778),#20221,.T.); #347204=ADVANCED_FACE('',(#37779),#20222,.T.); #347205=ADVANCED_FACE('',(#37780),#20223,.F.); #347206=ADVANCED_FACE('',(#37781),#20224,.F.); #347207=ADVANCED_FACE('',(#37782),#20225,.F.); #347208=ADVANCED_FACE('',(#37783),#20226,.F.); #347209=ADVANCED_FACE('',(#37784),#20227,.F.); #347210=ADVANCED_FACE('',(#37785),#20228,.F.); #347211=ADVANCED_FACE('',(#37786),#20229,.F.); #347212=ADVANCED_FACE('',(#37787),#20230,.F.); #347213=ADVANCED_FACE('',(#37788),#20231,.T.); #347214=ADVANCED_FACE('',(#37789),#20232,.F.); #347215=ADVANCED_FACE('',(#37790),#20233,.T.); #347216=ADVANCED_FACE('',(#37791),#330764,.F.); #347217=ADVANCED_FACE('',(#37792),#20234,.F.); #347218=ADVANCED_FACE('',(#37793),#330765,.T.); #347219=ADVANCED_FACE('',(#37794),#20235,.F.); #347220=ADVANCED_FACE('',(#37795),#330766,.T.); #347221=ADVANCED_FACE('',(#37796),#20236,.T.); #347222=ADVANCED_FACE('',(#37797),#330767,.F.); #347223=ADVANCED_FACE('',(#37798),#20237,.T.); #347224=ADVANCED_FACE('',(#37799),#20238,.T.); #347225=ADVANCED_FACE('',(#37800),#20239,.F.); #347226=ADVANCED_FACE('',(#37801),#20240,.F.); #347227=ADVANCED_FACE('',(#37802),#20241,.F.); #347228=ADVANCED_FACE('',(#37803),#20242,.F.); #347229=ADVANCED_FACE('',(#37804),#20243,.F.); #347230=ADVANCED_FACE('',(#37805),#20244,.F.); #347231=ADVANCED_FACE('',(#37806),#20245,.F.); #347232=ADVANCED_FACE('',(#37807),#20246,.F.); #347233=ADVANCED_FACE('',(#37808),#20247,.T.); #347234=ADVANCED_FACE('',(#37809),#20248,.F.); #347235=ADVANCED_FACE('',(#37810),#20249,.T.); #347236=ADVANCED_FACE('',(#37811),#330768,.F.); #347237=ADVANCED_FACE('',(#37812),#20250,.F.); #347238=ADVANCED_FACE('',(#37813),#330769,.T.); #347239=ADVANCED_FACE('',(#37814),#20251,.F.); #347240=ADVANCED_FACE('',(#37815),#330770,.T.); #347241=ADVANCED_FACE('',(#37816),#20252,.T.); #347242=ADVANCED_FACE('',(#37817),#330771,.F.); #347243=ADVANCED_FACE('',(#37818),#20253,.T.); #347244=ADVANCED_FACE('',(#37819),#20254,.T.); #347245=ADVANCED_FACE('',(#37820),#20255,.F.); #347246=ADVANCED_FACE('',(#37821),#20256,.F.); #347247=ADVANCED_FACE('',(#37822),#20257,.F.); #347248=ADVANCED_FACE('',(#37823),#20258,.F.); #347249=ADVANCED_FACE('',(#37824),#20259,.F.); #347250=ADVANCED_FACE('',(#37825),#20260,.F.); #347251=ADVANCED_FACE('',(#37826),#20261,.F.); #347252=ADVANCED_FACE('',(#37827),#20262,.F.); #347253=ADVANCED_FACE('',(#37828),#20263,.T.); #347254=ADVANCED_FACE('',(#37829),#20264,.F.); #347255=ADVANCED_FACE('',(#37830),#20265,.T.); #347256=ADVANCED_FACE('',(#37831),#330772,.F.); #347257=ADVANCED_FACE('',(#37832),#20266,.F.); #347258=ADVANCED_FACE('',(#37833),#330773,.T.); #347259=ADVANCED_FACE('',(#37834),#20267,.F.); #347260=ADVANCED_FACE('',(#37835),#330774,.T.); #347261=ADVANCED_FACE('',(#37836),#20268,.T.); #347262=ADVANCED_FACE('',(#37837),#330775,.F.); #347263=ADVANCED_FACE('',(#37838),#20269,.T.); #347264=ADVANCED_FACE('',(#37839),#20270,.T.); #347265=ADVANCED_FACE('',(#37840),#20271,.F.); #347266=ADVANCED_FACE('',(#37841),#20272,.F.); #347267=ADVANCED_FACE('',(#37842),#20273,.F.); #347268=ADVANCED_FACE('',(#37843),#20274,.F.); #347269=ADVANCED_FACE('',(#37844),#20275,.F.); #347270=ADVANCED_FACE('',(#37845),#330776,.T.); #347271=ADVANCED_FACE('',(#37846),#20276,.F.); #347272=ADVANCED_FACE('',(#37847),#20277,.F.); #347273=ADVANCED_FACE('',(#37848),#20278,.F.); #347274=ADVANCED_FACE('',(#37849),#330777,.F.); #347275=ADVANCED_FACE('',(#37850),#20279,.F.); #347276=ADVANCED_FACE('',(#37851),#330778,.F.); #347277=ADVANCED_FACE('',(#37852),#330779,.T.); #347278=ADVANCED_FACE('',(#37853),#20280,.F.); #347279=ADVANCED_FACE('',(#37854),#330780,.F.); #347280=ADVANCED_FACE('',(#37855),#20281,.F.); #347281=ADVANCED_FACE('',(#37856),#330781,.F.); #347282=ADVANCED_FACE('',(#37857),#20282,.F.); #347283=ADVANCED_FACE('',(#37858),#20283,.F.); #347284=ADVANCED_FACE('',(#37859),#20284,.F.); #347285=ADVANCED_FACE('',(#37860),#20285,.F.); #347286=ADVANCED_FACE('',(#37861),#20286,.F.); #347287=ADVANCED_FACE('',(#37862),#20287,.F.); #347288=ADVANCED_FACE('',(#37863),#20288,.F.); #347289=ADVANCED_FACE('',(#37864),#330782,.F.); #347290=ADVANCED_FACE('',(#37865),#20289,.F.); #347291=ADVANCED_FACE('',(#37866),#330783,.F.); #347292=ADVANCED_FACE('',(#37867),#20290,.F.); #347293=ADVANCED_FACE('',(#37868),#330784,.T.); #347294=ADVANCED_FACE('',(#37869),#330785,.F.); #347295=ADVANCED_FACE('',(#37870),#20291,.F.); #347296=ADVANCED_FACE('',(#37871),#330786,.F.); #347297=ADVANCED_FACE('',(#37872),#20292,.F.); #347298=ADVANCED_FACE('',(#37873),#20293,.F.); #347299=ADVANCED_FACE('',(#37874),#20294,.F.); #347300=ADVANCED_FACE('',(#37875),#330787,.T.); #347301=ADVANCED_FACE('',(#37876),#20295,.F.); #347302=ADVANCED_FACE('',(#37877),#20296,.T.); #347303=ADVANCED_FACE('',(#37878),#20297,.F.); #347304=ADVANCED_FACE('',(#37879),#20298,.F.); #347305=ADVANCED_FACE('',(#37880),#20299,.F.); #347306=ADVANCED_FACE('',(#37881,#7939),#20300,.T.); #347307=ADVANCED_FACE('',(#37882,#7940),#20301,.F.); #347308=ADVANCED_FACE('',(#37883),#20302,.T.); #347309=ADVANCED_FACE('',(#37884),#20303,.T.); #347310=ADVANCED_FACE('',(#37885),#330788,.F.); #347311=ADVANCED_FACE('',(#37886),#330789,.F.); #347312=ADVANCED_FACE('',(#37887,#7941,#7942),#20304,.T.); #347313=ADVANCED_FACE('',(#37888,#7943),#20305,.T.); #347314=ADVANCED_FACE('',(#37889),#20306,.F.); #347315=ADVANCED_FACE('',(#37890,#7944),#20307,.T.); #347316=ADVANCED_FACE('',(#37891),#20308,.F.); #347317=ADVANCED_FACE('',(#37892),#20309,.F.); #347318=ADVANCED_FACE('',(#37893),#20310,.F.); #347319=ADVANCED_FACE('',(#37894),#20311,.F.); #347320=ADVANCED_FACE('',(#37895),#20312,.F.); #347321=ADVANCED_FACE('',(#37896),#20313,.T.); #347322=ADVANCED_FACE('',(#37897,#7945),#20314,.T.); #347323=ADVANCED_FACE('',(#37898),#330790,.F.); #347324=ADVANCED_FACE('',(#37899),#20315,.F.); #347325=ADVANCED_FACE('',(#37900),#20316,.F.); #347326=ADVANCED_FACE('',(#37901),#20317,.F.); #347327=ADVANCED_FACE('',(#37902),#20318,.F.); #347328=ADVANCED_FACE('',(#37903,#7946),#20319,.F.); #347329=ADVANCED_FACE('',(#37904),#20320,.F.); #347330=ADVANCED_FACE('',(#37905,#7947),#20321,.F.); #347331=ADVANCED_FACE('',(#37906),#330791,.F.); #347332=ADVANCED_FACE('',(#37907),#330792,.F.); #347333=ADVANCED_FACE('',(#37908),#330793,.T.); #347334=ADVANCED_FACE('',(#37909),#330794,.T.); #347335=ADVANCED_FACE('',(#37910),#330795,.F.); #347336=ADVANCED_FACE('',(#37911),#330796,.T.); #347337=ADVANCED_FACE('',(#37912),#20322,.T.); #347338=ADVANCED_FACE('',(#37913),#20323,.F.); #347339=ADVANCED_FACE('',(#37914),#20324,.T.); #347340=ADVANCED_FACE('',(#37915),#20325,.T.); #347341=ADVANCED_FACE('',(#37916),#20326,.F.); #347342=ADVANCED_FACE('',(#37917),#330797,.F.); #347343=ADVANCED_FACE('',(#37918),#330798,.F.); #347344=ADVANCED_FACE('',(#37919),#330799,.F.); #347345=ADVANCED_FACE('',(#37920,#7948),#20327,.F.); #347346=ADVANCED_FACE('',(#37921),#330800,.T.); #347347=ADVANCED_FACE('',(#37922,#7949,#7950),#20328,.F.); #347348=ADVANCED_FACE('',(#37923),#330801,.T.); #347349=ADVANCED_FACE('',(#37924),#330802,.T.); #347350=ADVANCED_FACE('',(#37925),#330803,.F.); #347351=ADVANCED_FACE('',(#37926),#330804,.F.); #347352=ADVANCED_FACE('',(#37927),#330805,.F.); #347353=ADVANCED_FACE('',(#37928),#20329,.F.); #347354=ADVANCED_FACE('',(#37929),#20330,.F.); #347355=ADVANCED_FACE('',(#37930),#330806,.T.); #347356=ADVANCED_FACE('',(#37931),#20331,.F.); #347357=ADVANCED_FACE('',(#37932),#330807,.F.); #347358=ADVANCED_FACE('',(#37933),#20332,.F.); #347359=ADVANCED_FACE('',(#37934),#20333,.F.); #347360=ADVANCED_FACE('',(#37935),#330808,.T.); #347361=ADVANCED_FACE('',(#37936),#20334,.F.); #347362=ADVANCED_FACE('',(#37937),#330809,.F.); #347363=ADVANCED_FACE('',(#37938),#20335,.F.); #347364=ADVANCED_FACE('',(#37939),#330810,.T.); #347365=ADVANCED_FACE('',(#37940),#20336,.F.); #347366=ADVANCED_FACE('',(#37941),#330811,.F.); #347367=ADVANCED_FACE('',(#37942),#330812,.T.); #347368=ADVANCED_FACE('',(#37943),#20337,.F.); #347369=ADVANCED_FACE('',(#37944),#330813,.F.); #347370=ADVANCED_FACE('',(#37945),#20338,.F.); #347371=ADVANCED_FACE('',(#37946),#330814,.F.); #347372=ADVANCED_FACE('',(#37947),#20339,.F.); #347373=ADVANCED_FACE('',(#37948),#330815,.F.); #347374=ADVANCED_FACE('',(#37949),#20340,.F.); #347375=ADVANCED_FACE('',(#37950),#20341,.F.); #347376=ADVANCED_FACE('',(#37951),#330816,.F.); #347377=ADVANCED_FACE('',(#37952),#20342,.F.); #347378=ADVANCED_FACE('',(#37953),#330817,.F.); #347379=ADVANCED_FACE('',(#37954),#20343,.F.); #347380=ADVANCED_FACE('',(#37955),#330818,.T.); #347381=ADVANCED_FACE('',(#37956),#20344,.T.); #347382=ADVANCED_FACE('',(#37957),#330819,.F.); #347383=ADVANCED_FACE('',(#37958),#20345,.T.); #347384=ADVANCED_FACE('',(#37959),#330820,.F.); #347385=ADVANCED_FACE('',(#37960),#20346,.T.); #347386=ADVANCED_FACE('',(#37961),#20347,.T.); #347387=ADVANCED_FACE('',(#37962),#330821,.F.); #347388=ADVANCED_FACE('',(#37963),#20348,.T.); #347389=ADVANCED_FACE('',(#37964),#330822,.F.); #347390=ADVANCED_FACE('',(#37965),#20349,.T.); #347391=ADVANCED_FACE('',(#37966),#330823,.T.); #347392=ADVANCED_FACE('',(#37967),#20350,.T.); #347393=ADVANCED_FACE('',(#37968),#330824,.T.); #347394=ADVANCED_FACE('',(#37969),#20351,.T.); #347395=ADVANCED_FACE('',(#37970),#330825,.T.); #347396=ADVANCED_FACE('',(#37971),#20352,.T.); #347397=ADVANCED_FACE('',(#37972),#330826,.T.); #347398=ADVANCED_FACE('',(#37973),#20353,.T.); #347399=ADVANCED_FACE('',(#37974),#330827,.T.); #347400=ADVANCED_FACE('',(#37975),#20354,.T.); #347401=ADVANCED_FACE('',(#37976),#330828,.T.); #347402=ADVANCED_FACE('',(#37977),#330829,.F.); #347403=ADVANCED_FACE('',(#37978),#330830,.T.); #347404=ADVANCED_FACE('',(#37979),#20355,.T.); #347405=ADVANCED_FACE('',(#37980),#330831,.T.); #347406=ADVANCED_FACE('',(#37981),#20356,.T.); #347407=ADVANCED_FACE('',(#37982),#330832,.F.); #347408=ADVANCED_FACE('',(#37983),#330833,.T.); #347409=ADVANCED_FACE('',(#37984),#20357,.F.); #347410=ADVANCED_FACE('',(#37985),#20358,.F.); #347411=ADVANCED_FACE('',(#37986),#20359,.F.); #347412=ADVANCED_FACE('',(#37987),#330834,.T.); #347413=ADVANCED_FACE('',(#37988),#20360,.F.); #347414=ADVANCED_FACE('',(#37989),#330835,.T.); #347415=ADVANCED_FACE('',(#37990),#20361,.F.); #347416=ADVANCED_FACE('',(#37991),#330836,.T.); #347417=ADVANCED_FACE('',(#37992),#20362,.F.); #347418=ADVANCED_FACE('',(#37993),#330837,.T.); #347419=ADVANCED_FACE('',(#37994),#20363,.F.); #347420=ADVANCED_FACE('',(#37995),#330838,.F.); #347421=ADVANCED_FACE('',(#37996),#330839,.T.); #347422=ADVANCED_FACE('',(#37997),#20364,.F.); #347423=ADVANCED_FACE('',(#37998),#20365,.F.); #347424=ADVANCED_FACE('',(#37999),#330840,.F.); #347425=ADVANCED_FACE('',(#38000),#330841,.F.); #347426=ADVANCED_FACE('',(#38001),#330842,.F.); #347427=ADVANCED_FACE('',(#38002),#20366,.T.); #347428=ADVANCED_FACE('',(#38003),#3554,.F.); #347429=ADVANCED_FACE('',(#38004),#20367,.T.); #347430=ADVANCED_FACE('',(#38005),#3555,.F.); #347431=ADVANCED_FACE('',(#38006),#20368,.T.); #347432=ADVANCED_FACE('',(#38007),#3556,.F.); #347433=ADVANCED_FACE('',(#38008),#20369,.T.); #347434=ADVANCED_FACE('',(#38009),#3557,.F.); #347435=ADVANCED_FACE('',(#38010),#20370,.T.); #347436=ADVANCED_FACE('',(#38011),#20371,.F.); #347437=ADVANCED_FACE('',(#38012),#20372,.F.); #347438=ADVANCED_FACE('',(#38013),#20373,.F.); #347439=ADVANCED_FACE('',(#38014),#20374,.F.); #347440=ADVANCED_FACE('',(#38015),#20375,.F.); #347441=ADVANCED_FACE('',(#38016),#20376,.F.); #347442=ADVANCED_FACE('',(#38017),#330843,.T.); #347443=ADVANCED_FACE('',(#38018),#20377,.F.); #347444=ADVANCED_FACE('',(#38019),#330844,.F.); #347445=ADVANCED_FACE('',(#38020),#20378,.F.); #347446=ADVANCED_FACE('',(#38021),#330845,.F.); #347447=ADVANCED_FACE('',(#38022),#20379,.F.); #347448=ADVANCED_FACE('',(#38023),#330846,.T.); #347449=ADVANCED_FACE('',(#38024),#330847,.F.); #347450=ADVANCED_FACE('',(#38025),#20380,.T.); #347451=ADVANCED_FACE('',(#38026),#330848,.T.); #347452=ADVANCED_FACE('',(#38027),#20381,.T.); #347453=ADVANCED_FACE('',(#38028),#330849,.T.); #347454=ADVANCED_FACE('',(#38029),#20382,.T.); #347455=ADVANCED_FACE('',(#38030),#330850,.F.); #347456=ADVANCED_FACE('',(#38031),#20383,.F.); #347457=ADVANCED_FACE('',(#38032),#20384,.F.); #347458=ADVANCED_FACE('',(#38033),#20385,.F.); #347459=ADVANCED_FACE('',(#38034),#20386,.F.); #347460=ADVANCED_FACE('',(#38035),#20387,.F.); #347461=ADVANCED_FACE('',(#38036),#20388,.F.); #347462=ADVANCED_FACE('',(#38037),#330851,.T.); #347463=ADVANCED_FACE('',(#38038),#20389,.F.); #347464=ADVANCED_FACE('',(#38039),#330852,.F.); #347465=ADVANCED_FACE('',(#38040),#20390,.F.); #347466=ADVANCED_FACE('',(#38041),#330853,.F.); #347467=ADVANCED_FACE('',(#38042),#20391,.F.); #347468=ADVANCED_FACE('',(#38043),#330854,.T.); #347469=ADVANCED_FACE('',(#38044),#330855,.F.); #347470=ADVANCED_FACE('',(#38045),#20392,.T.); #347471=ADVANCED_FACE('',(#38046),#330856,.T.); #347472=ADVANCED_FACE('',(#38047),#20393,.T.); #347473=ADVANCED_FACE('',(#38048),#330857,.T.); #347474=ADVANCED_FACE('',(#38049),#20394,.T.); #347475=ADVANCED_FACE('',(#38050),#330858,.F.); #347476=ADVANCED_FACE('',(#38051),#20395,.F.); #347477=ADVANCED_FACE('',(#38052),#330859,.T.); #347478=ADVANCED_FACE('',(#38053),#20396,.F.); #347479=ADVANCED_FACE('',(#38054),#330860,.T.); #347480=ADVANCED_FACE('',(#38055),#20397,.F.); #347481=ADVANCED_FACE('',(#38056),#330861,.F.); #347482=ADVANCED_FACE('',(#38057),#20398,.T.); #347483=ADVANCED_FACE('',(#38058),#3432,.F.); #347484=ADVANCED_FACE('',(#38059),#330862,.T.); #347485=ADVANCED_FACE('',(#38060),#330863,.F.); #347486=ADVANCED_FACE('',(#38061),#330864,.T.); #347487=ADVANCED_FACE('',(#38062),#20399,.T.); #347488=ADVANCED_FACE('',(#38063),#330865,.T.); #347489=ADVANCED_FACE('',(#38064),#20400,.F.); #347490=ADVANCED_FACE('',(#38065),#39,.T.); #347491=ADVANCED_FACE('',(#38066),#330866,.T.); #347492=ADVANCED_FACE('',(#38067),#40,.T.); #347493=ADVANCED_FACE('',(#38068),#20401,.T.); #347494=ADVANCED_FACE('',(#38069),#20402,.T.); #347495=ADVANCED_FACE('',(#38070),#20403,.T.); #347496=ADVANCED_FACE('',(#38071),#20404,.F.); #347497=ADVANCED_FACE('',(#38072),#20405,.T.); #347498=ADVANCED_FACE('',(#38073),#20406,.T.); #347499=ADVANCED_FACE('',(#38074),#20407,.T.); #347500=ADVANCED_FACE('',(#38075),#330867,.T.); #347501=ADVANCED_FACE('',(#38076),#20408,.T.); #347502=ADVANCED_FACE('',(#38077),#330868,.T.); #347503=ADVANCED_FACE('',(#38078),#330869,.F.); #347504=ADVANCED_FACE('',(#38079),#330870,.T.); #347505=ADVANCED_FACE('',(#38080),#20409,.T.); #347506=ADVANCED_FACE('',(#38081),#330871,.T.); #347507=ADVANCED_FACE('',(#38082),#20410,.T.); #347508=ADVANCED_FACE('',(#38083),#330872,.T.); #347509=ADVANCED_FACE('',(#38084),#20411,.T.); #347510=ADVANCED_FACE('',(#38085),#330873,.T.); #347511=ADVANCED_FACE('',(#38086),#20412,.T.); #347512=ADVANCED_FACE('',(#38087),#330874,.T.); #347513=ADVANCED_FACE('',(#38088),#20413,.F.); #347514=ADVANCED_FACE('',(#38089),#330875,.T.); #347515=ADVANCED_FACE('',(#38090),#330876,.F.); #347516=ADVANCED_FACE('',(#38091),#20414,.F.); #347517=ADVANCED_FACE('',(#38092),#330877,.T.); #347518=ADVANCED_FACE('',(#38093),#20415,.F.); #347519=ADVANCED_FACE('',(#38094),#330878,.T.); #347520=ADVANCED_FACE('',(#38095),#20416,.F.); #347521=ADVANCED_FACE('',(#38096),#330879,.T.); #347522=ADVANCED_FACE('',(#38097),#20417,.F.); #347523=ADVANCED_FACE('',(#38098),#330880,.T.); #347524=ADVANCED_FACE('',(#38099),#20418,.F.); #347525=ADVANCED_FACE('',(#38100),#20419,.F.); #347526=ADVANCED_FACE('',(#38101),#20420,.F.); #347527=ADVANCED_FACE('',(#38102),#330881,.T.); #347528=ADVANCED_FACE('',(#38103),#330882,.F.); #347529=ADVANCED_FACE('',(#38104),#20421,.F.); #347530=ADVANCED_FACE('',(#38105),#330883,.F.); #347531=ADVANCED_FACE('',(#38106),#330884,.F.); #347532=ADVANCED_FACE('',(#38107),#330885,.F.); #347533=ADVANCED_FACE('',(#38108),#20422,.F.); #347534=ADVANCED_FACE('',(#38109),#20423,.F.); #347535=ADVANCED_FACE('',(#38110),#20424,.F.); #347536=ADVANCED_FACE('',(#38111),#20425,.F.); #347537=ADVANCED_FACE('',(#38112),#20426,.F.); #347538=ADVANCED_FACE('',(#38113),#20427,.F.); #347539=ADVANCED_FACE('',(#38114),#330886,.T.); #347540=ADVANCED_FACE('',(#38115),#20428,.F.); #347541=ADVANCED_FACE('',(#38116),#330887,.F.); #347542=ADVANCED_FACE('',(#38117),#20429,.F.); #347543=ADVANCED_FACE('',(#38118),#330888,.F.); #347544=ADVANCED_FACE('',(#38119),#20430,.F.); #347545=ADVANCED_FACE('',(#38120),#330889,.T.); #347546=ADVANCED_FACE('',(#38121),#330890,.F.); #347547=ADVANCED_FACE('',(#38122),#20431,.T.); #347548=ADVANCED_FACE('',(#38123),#330891,.T.); #347549=ADVANCED_FACE('',(#38124),#20432,.T.); #347550=ADVANCED_FACE('',(#38125),#330892,.T.); #347551=ADVANCED_FACE('',(#38126),#20433,.T.); #347552=ADVANCED_FACE('',(#38127),#330893,.F.); #347553=ADVANCED_FACE('',(#38128),#20434,.F.); #347554=ADVANCED_FACE('',(#38129),#20435,.F.); #347555=ADVANCED_FACE('',(#38130),#20436,.F.); #347556=ADVANCED_FACE('',(#38131),#20437,.F.); #347557=ADVANCED_FACE('',(#38132),#20438,.F.); #347558=ADVANCED_FACE('',(#38133),#20439,.F.); #347559=ADVANCED_FACE('',(#38134),#330894,.T.); #347560=ADVANCED_FACE('',(#38135),#20440,.F.); #347561=ADVANCED_FACE('',(#38136),#330895,.F.); #347562=ADVANCED_FACE('',(#38137),#20441,.F.); #347563=ADVANCED_FACE('',(#38138),#330896,.F.); #347564=ADVANCED_FACE('',(#38139),#20442,.F.); #347565=ADVANCED_FACE('',(#38140),#330897,.T.); #347566=ADVANCED_FACE('',(#38141),#330898,.F.); #347567=ADVANCED_FACE('',(#38142),#20443,.T.); #347568=ADVANCED_FACE('',(#38143),#330899,.T.); #347569=ADVANCED_FACE('',(#38144),#20444,.T.); #347570=ADVANCED_FACE('',(#38145),#330900,.T.); #347571=ADVANCED_FACE('',(#38146),#20445,.T.); #347572=ADVANCED_FACE('',(#38147),#330901,.F.); #347573=ADVANCED_FACE('',(#38148),#330902,.T.); #347574=ADVANCED_FACE('',(#38149),#20446,.F.); #347575=ADVANCED_FACE('',(#38150),#330903,.T.); #347576=ADVANCED_FACE('',(#38151),#20447,.F.); #347577=ADVANCED_FACE('',(#38152),#330904,.F.); #347578=ADVANCED_FACE('',(#38153),#20448,.F.); #347579=ADVANCED_FACE('',(#38154),#20449,.T.); #347580=ADVANCED_FACE('',(#38155),#3433,.F.); #347581=ADVANCED_FACE('',(#38156),#330905,.T.); #347582=ADVANCED_FACE('',(#38157),#330906,.F.); #347583=ADVANCED_FACE('',(#38158),#330907,.T.); #347584=ADVANCED_FACE('',(#38159),#330908,.T.); #347585=ADVANCED_FACE('',(#38160),#20450,.T.); #347586=ADVANCED_FACE('',(#38161),#20451,.F.); #347587=ADVANCED_FACE('',(#38162),#41,.T.); #347588=ADVANCED_FACE('',(#38163),#330909,.T.); #347589=ADVANCED_FACE('',(#38164),#42,.T.); #347590=ADVANCED_FACE('',(#38165),#20452,.T.); #347591=ADVANCED_FACE('',(#38166),#20453,.T.); #347592=ADVANCED_FACE('',(#38167),#20454,.T.); #347593=ADVANCED_FACE('',(#38168),#20455,.F.); #347594=ADVANCED_FACE('',(#38169),#20456,.T.); #347595=ADVANCED_FACE('',(#38170),#20457,.T.); #347596=ADVANCED_FACE('',(#38171),#330910,.T.); #347597=ADVANCED_FACE('',(#38172),#20458,.T.); #347598=ADVANCED_FACE('',(#38173),#330911,.T.); #347599=ADVANCED_FACE('',(#38174),#330912,.F.); #347600=ADVANCED_FACE('',(#38175),#330913,.T.); #347601=ADVANCED_FACE('',(#38176),#20459,.T.); #347602=ADVANCED_FACE('',(#38177),#330914,.T.); #347603=ADVANCED_FACE('',(#38178),#330915,.F.); #347604=ADVANCED_FACE('',(#38179),#330916,.T.); #347605=ADVANCED_FACE('',(#38180),#20460,.T.); #347606=ADVANCED_FACE('',(#38181),#330917,.F.); #347607=ADVANCED_FACE('',(#38182),#20461,.T.); #347608=ADVANCED_FACE('',(#38183),#330918,.F.); #347609=ADVANCED_FACE('',(#38184),#20462,.T.); #347610=ADVANCED_FACE('',(#38185),#330919,.T.); #347611=ADVANCED_FACE('',(#38186),#330920,.F.); #347612=ADVANCED_FACE('',(#38187),#330921,.T.); #347613=ADVANCED_FACE('',(#38188),#20463,.T.); #347614=ADVANCED_FACE('',(#38189),#330922,.T.); #347615=ADVANCED_FACE('',(#38190),#330923,.F.); #347616=ADVANCED_FACE('',(#38191),#330924,.T.); #347617=ADVANCED_FACE('',(#38192),#20464,.T.); #347618=ADVANCED_FACE('',(#38193),#330925,.F.); #347619=ADVANCED_FACE('',(#38194),#20465,.T.); #347620=ADVANCED_FACE('',(#38195),#330926,.F.); #347621=ADVANCED_FACE('',(#38196),#20466,.T.); #347622=ADVANCED_FACE('',(#38197),#330927,.T.); #347623=ADVANCED_FACE('',(#38198),#3434,.T.); #347624=ADVANCED_FACE('',(#38199),#3435,.T.); #347625=ADVANCED_FACE('',(#38200),#20467,.T.); #347626=ADVANCED_FACE('',(#38201,#7951),#20468,.F.); #347627=ADVANCED_FACE('',(#38202),#330928,.T.); #347628=ADVANCED_FACE('',(#38203),#20469,.F.); #347629=ADVANCED_FACE('',(#38204,#7952),#20470,.T.); #347630=ADVANCED_FACE('',(#38205,#7953),#20471,.T.); #347631=ADVANCED_FACE('',(#38206),#20472,.F.); #347632=ADVANCED_FACE('',(#38207),#330929,.T.); #347633=ADVANCED_FACE('',(#38208),#3436,.T.); #347634=ADVANCED_FACE('',(#38209,#7954),#20473,.F.); #347635=ADVANCED_FACE('',(#38210),#20474,.T.); #347636=ADVANCED_FACE('',(#38211),#3437,.T.); #347637=ADVANCED_FACE('',(#38212),#330930,.F.); #347638=ADVANCED_FACE('',(#38213),#20475,.T.); #347639=ADVANCED_FACE('',(#38214),#20476,.T.); #347640=ADVANCED_FACE('',(#38215),#330931,.F.); #347641=ADVANCED_FACE('',(#38216),#20477,.T.); #347642=ADVANCED_FACE('',(#38217),#330932,.F.); #347643=ADVANCED_FACE('',(#38218),#330933,.T.); #347644=ADVANCED_FACE('',(#38219),#330934,.T.); #347645=ADVANCED_FACE('',(#38220),#330935,.T.); #347646=ADVANCED_FACE('',(#38221),#20478,.T.); #347647=ADVANCED_FACE('',(#38222),#330936,.T.); #347648=ADVANCED_FACE('',(#38223),#20479,.T.); #347649=ADVANCED_FACE('',(#38224),#330937,.F.); #347650=ADVANCED_FACE('',(#38225),#20480,.T.); #347651=ADVANCED_FACE('',(#38226),#330938,.T.); #347652=ADVANCED_FACE('',(#38227),#330939,.F.); #347653=ADVANCED_FACE('',(#38228),#20481,.T.); #347654=ADVANCED_FACE('',(#38229),#330940,.F.); #347655=ADVANCED_FACE('',(#38230),#330941,.T.); #347656=ADVANCED_FACE('',(#38231),#20482,.T.); #347657=ADVANCED_FACE('',(#38232),#330942,.T.); #347658=ADVANCED_FACE('',(#38233),#330943,.F.); #347659=ADVANCED_FACE('',(#38234),#20483,.T.); #347660=ADVANCED_FACE('',(#38235),#330944,.F.); #347661=ADVANCED_FACE('',(#38236),#330945,.T.); #347662=ADVANCED_FACE('',(#38237),#20484,.T.); #347663=ADVANCED_FACE('',(#38238),#330946,.F.); #347664=ADVANCED_FACE('',(#38239),#20485,.T.); #347665=ADVANCED_FACE('',(#38240),#330947,.T.); #347666=ADVANCED_FACE('',(#38241),#20486,.T.); #347667=ADVANCED_FACE('',(#38242),#330948,.T.); #347668=ADVANCED_FACE('',(#38243),#330949,.T.); #347669=ADVANCED_FACE('',(#38244),#330950,.T.); #347670=ADVANCED_FACE('',(#38245),#330951,.F.); #347671=ADVANCED_FACE('',(#38246),#20487,.T.); #347672=ADVANCED_FACE('',(#38247),#20488,.T.); #347673=ADVANCED_FACE('',(#38248),#330952,.F.); #347674=ADVANCED_FACE('',(#38249),#330953,.F.); #347675=ADVANCED_FACE('',(#38250),#20489,.T.); #347676=ADVANCED_FACE('',(#38251),#20490,.F.); #347677=ADVANCED_FACE('',(#38252),#330954,.F.); #347678=ADVANCED_FACE('',(#38253),#20491,.T.); #347679=ADVANCED_FACE('',(#38254),#330955,.F.); #347680=ADVANCED_FACE('',(#38255),#20492,.T.); #347681=ADVANCED_FACE('',(#38256),#3438,.T.); #347682=ADVANCED_FACE('',(#38257),#20493,.T.); #347683=ADVANCED_FACE('',(#38258),#3439,.T.); #347684=ADVANCED_FACE('',(#38259),#3440,.T.); #347685=ADVANCED_FACE('',(#38260),#330956,.T.); #347686=ADVANCED_FACE('',(#38261),#330957,.F.); #347687=ADVANCED_FACE('',(#38262),#3441,.T.); #347688=ADVANCED_FACE('',(#38263),#3442,.T.); #347689=ADVANCED_FACE('',(#38264),#20494,.T.); #347690=ADVANCED_FACE('',(#38265),#3443,.T.); #347691=ADVANCED_FACE('',(#38266),#330958,.T.); #347692=ADVANCED_FACE('',(#38267),#330959,.F.); #347693=ADVANCED_FACE('',(#38268),#330960,.T.); #347694=ADVANCED_FACE('',(#38269),#20495,.T.); #347695=ADVANCED_FACE('',(#38270),#330961,.F.); #347696=ADVANCED_FACE('',(#38271),#20496,.T.); #347697=ADVANCED_FACE('',(#38272),#330962,.F.); #347698=ADVANCED_FACE('',(#38273),#20497,.T.); #347699=ADVANCED_FACE('',(#38274),#330963,.T.); #347700=ADVANCED_FACE('',(#38275),#330964,.T.); #347701=ADVANCED_FACE('',(#38276),#20498,.F.); #347702=ADVANCED_FACE('',(#38277),#330965,.F.); #347703=ADVANCED_FACE('',(#38278),#20499,.F.); #347704=ADVANCED_FACE('',(#38279),#330966,.F.); #347705=ADVANCED_FACE('',(#38280),#20500,.F.); #347706=ADVANCED_FACE('',(#38281),#330967,.T.); #347707=ADVANCED_FACE('',(#38282),#330968,.F.); #347708=ADVANCED_FACE('',(#38283),#20501,.T.); #347709=ADVANCED_FACE('',(#38284),#330969,.T.); #347710=ADVANCED_FACE('',(#38285),#20502,.T.); #347711=ADVANCED_FACE('',(#38286),#330970,.T.); #347712=ADVANCED_FACE('',(#38287),#20503,.T.); #347713=ADVANCED_FACE('',(#38288),#330971,.F.); #347714=ADVANCED_FACE('',(#38289),#330972,.F.); #347715=ADVANCED_FACE('',(#38290),#20504,.F.); #347716=ADVANCED_FACE('',(#38291),#330973,.T.); #347717=ADVANCED_FACE('',(#38292),#20505,.F.); #347718=ADVANCED_FACE('',(#38293),#330974,.T.); #347719=ADVANCED_FACE('',(#38294),#20506,.F.); #347720=ADVANCED_FACE('',(#38295),#330975,.F.); #347721=ADVANCED_FACE('',(#38296),#20507,.F.); #347722=ADVANCED_FACE('',(#38297),#20508,.F.); #347723=ADVANCED_FACE('',(#38298),#20509,.F.); #347724=ADVANCED_FACE('',(#38299),#20510,.F.); #347725=ADVANCED_FACE('',(#38300),#20511,.F.); #347726=ADVANCED_FACE('',(#38301),#20512,.F.); #347727=ADVANCED_FACE('',(#38302),#20513,.F.); #347728=ADVANCED_FACE('',(#38303),#20514,.T.); #347729=ADVANCED_FACE('',(#38304),#20515,.F.); #347730=ADVANCED_FACE('',(#38305),#20516,.T.); #347731=ADVANCED_FACE('',(#38306),#330976,.F.); #347732=ADVANCED_FACE('',(#38307),#20517,.F.); #347733=ADVANCED_FACE('',(#38308),#330977,.T.); #347734=ADVANCED_FACE('',(#38309),#20518,.F.); #347735=ADVANCED_FACE('',(#38310),#330978,.T.); #347736=ADVANCED_FACE('',(#38311),#20519,.T.); #347737=ADVANCED_FACE('',(#38312),#330979,.F.); #347738=ADVANCED_FACE('',(#38313),#20520,.T.); #347739=ADVANCED_FACE('',(#38314),#20521,.T.); #347740=ADVANCED_FACE('',(#38315),#20522,.F.); #347741=ADVANCED_FACE('',(#38316),#20523,.F.); #347742=ADVANCED_FACE('',(#38317),#20524,.T.); #347743=ADVANCED_FACE('',(#38318),#20525,.T.); #347744=ADVANCED_FACE('',(#38319,#7955),#20526,.T.); #347745=ADVANCED_FACE('',(#38320,#7956),#20527,.T.); #347746=ADVANCED_FACE('',(#38321,#7957,#7958),#20528,.T.); #347747=ADVANCED_FACE('',(#38322),#20529,.F.); #347748=ADVANCED_FACE('',(#38323),#20530,.F.); #347749=ADVANCED_FACE('',(#38324),#20531,.F.); #347750=ADVANCED_FACE('',(#38325),#20532,.F.); #347751=ADVANCED_FACE('',(#38326),#20533,.F.); #347752=ADVANCED_FACE('',(#38327),#20534,.F.); #347753=ADVANCED_FACE('',(#38328),#20535,.T.); #347754=ADVANCED_FACE('',(#38329),#20536,.F.); #347755=ADVANCED_FACE('',(#38330),#20537,.F.); #347756=ADVANCED_FACE('',(#38331),#20538,.F.); #347757=ADVANCED_FACE('',(#38332),#20539,.F.); #347758=ADVANCED_FACE('',(#38333),#20540,.F.); #347759=ADVANCED_FACE('',(#38334),#20541,.F.); #347760=ADVANCED_FACE('',(#38335),#20542,.F.); #347761=ADVANCED_FACE('',(#38336),#20543,.F.); #347762=ADVANCED_FACE('',(#38337),#20544,.F.); #347763=ADVANCED_FACE('',(#38338),#20545,.F.); #347764=ADVANCED_FACE('',(#38339),#20546,.F.); #347765=ADVANCED_FACE('',(#38340),#20547,.F.); #347766=ADVANCED_FACE('',(#38341),#330980,.F.); #347767=ADVANCED_FACE('',(#38342),#20548,.F.); #347768=ADVANCED_FACE('',(#38343),#20549,.F.); #347769=ADVANCED_FACE('',(#38344),#330981,.F.); #347770=ADVANCED_FACE('',(#38345),#20550,.F.); #347771=ADVANCED_FACE('',(#38346),#20551,.F.); #347772=ADVANCED_FACE('',(#38347),#20552,.F.); #347773=ADVANCED_FACE('',(#38348),#20553,.F.); #347774=ADVANCED_FACE('',(#38349),#20554,.F.); #347775=ADVANCED_FACE('',(#38350),#20555,.F.); #347776=ADVANCED_FACE('',(#38351),#20556,.F.); #347777=ADVANCED_FACE('',(#38352),#20557,.F.); #347778=ADVANCED_FACE('',(#38353),#20558,.F.); #347779=ADVANCED_FACE('',(#38354),#20559,.F.); #347780=ADVANCED_FACE('',(#38355),#20560,.F.); #347781=ADVANCED_FACE('',(#38356),#20561,.T.); #347782=ADVANCED_FACE('',(#38357),#20562,.T.); #347783=ADVANCED_FACE('',(#38358),#20563,.T.); #347784=ADVANCED_FACE('',(#38359),#20564,.T.); #347785=ADVANCED_FACE('',(#38360),#20565,.T.); #347786=ADVANCED_FACE('',(#38361),#20566,.F.); #347787=ADVANCED_FACE('',(#38362),#20567,.F.); #347788=ADVANCED_FACE('',(#38363),#20568,.F.); #347789=ADVANCED_FACE('',(#38364),#20569,.F.); #347790=ADVANCED_FACE('',(#38365),#20570,.T.); #347791=ADVANCED_FACE('',(#38366),#20571,.T.); #347792=ADVANCED_FACE('',(#38367),#20572,.T.); #347793=ADVANCED_FACE('',(#38368),#20573,.T.); #347794=ADVANCED_FACE('',(#38369),#20574,.T.); #347795=ADVANCED_FACE('',(#38370),#20575,.F.); #347796=ADVANCED_FACE('',(#38371),#20576,.T.); #347797=ADVANCED_FACE('',(#38372),#20577,.T.); #347798=ADVANCED_FACE('',(#38373),#20578,.F.); #347799=ADVANCED_FACE('',(#38374),#20579,.T.); #347800=ADVANCED_FACE('',(#38375),#20580,.F.); #347801=ADVANCED_FACE('',(#38376),#20581,.T.); #347802=ADVANCED_FACE('',(#38377),#20582,.F.); #347803=ADVANCED_FACE('',(#38378),#20583,.T.); #347804=ADVANCED_FACE('',(#38379),#20584,.F.); #347805=ADVANCED_FACE('',(#38380),#20585,.T.); #347806=ADVANCED_FACE('',(#38381),#20586,.T.); #347807=ADVANCED_FACE('',(#38382),#20587,.F.); #347808=ADVANCED_FACE('',(#38383),#20588,.T.); #347809=ADVANCED_FACE('',(#38384),#20589,.F.); #347810=ADVANCED_FACE('',(#38385),#20590,.T.); #347811=ADVANCED_FACE('',(#38386),#20591,.F.); #347812=ADVANCED_FACE('',(#38387),#20592,.T.); #347813=ADVANCED_FACE('',(#38388),#20593,.F.); #347814=ADVANCED_FACE('',(#38389),#20594,.T.); #347815=ADVANCED_FACE('',(#38390),#20595,.F.); #347816=ADVANCED_FACE('',(#38391),#20596,.T.); #347817=ADVANCED_FACE('',(#38392),#330982,.F.); #347818=ADVANCED_FACE('',(#38393),#330983,.F.); #347819=ADVANCED_FACE('',(#38394),#20597,.T.); #347820=ADVANCED_FACE('',(#38395),#20598,.T.); #347821=ADVANCED_FACE('',(#38396),#20599,.T.); #347822=ADVANCED_FACE('',(#38397),#43,.T.); #347823=ADVANCED_FACE('',(#38398),#44,.T.); #347824=ADVANCED_FACE('',(#38399),#45,.T.); #347825=ADVANCED_FACE('',(#38400),#46,.T.); #347826=ADVANCED_FACE('',(#38401),#47,.T.); #347827=ADVANCED_FACE('',(#38402),#330984,.T.); #347828=ADVANCED_FACE('',(#38403),#48,.T.); #347829=ADVANCED_FACE('',(#38404),#49,.T.); #347830=ADVANCED_FACE('',(#38405),#330985,.T.); #347831=ADVANCED_FACE('',(#38406),#330986,.T.); #347832=ADVANCED_FACE('',(#38407),#50,.T.); #347833=ADVANCED_FACE('',(#38408),#330987,.T.); #347834=ADVANCED_FACE('',(#38409),#330988,.T.); #347835=ADVANCED_FACE('',(#38410),#330989,.T.); #347836=ADVANCED_FACE('',(#38411),#330990,.T.); #347837=ADVANCED_FACE('',(#38412),#330991,.T.); #347838=ADVANCED_FACE('',(#38413),#330992,.T.); #347839=ADVANCED_FACE('',(#38414),#330993,.T.); #347840=ADVANCED_FACE('',(#38415),#330994,.T.); #347841=ADVANCED_FACE('',(#38416),#330995,.T.); #347842=ADVANCED_FACE('',(#38417),#20600,.T.); #347843=ADVANCED_FACE('',(#38418),#20601,.F.); #347844=ADVANCED_FACE('',(#38419),#20602,.T.); #347845=ADVANCED_FACE('',(#38420),#20603,.T.); #347846=ADVANCED_FACE('',(#38421),#20604,.T.); #347847=ADVANCED_FACE('',(#38422),#20605,.T.); #347848=ADVANCED_FACE('',(#38423),#51,.T.); #347849=ADVANCED_FACE('',(#38424),#52,.T.); #347850=ADVANCED_FACE('',(#38425),#53,.T.); #347851=ADVANCED_FACE('',(#38426),#54,.T.); #347852=ADVANCED_FACE('',(#38427),#55,.T.); #347853=ADVANCED_FACE('',(#38428),#330996,.T.); #347854=ADVANCED_FACE('',(#38429),#56,.T.); #347855=ADVANCED_FACE('',(#38430),#57,.T.); #347856=ADVANCED_FACE('',(#38431),#330997,.T.); #347857=ADVANCED_FACE('',(#38432),#330998,.T.); #347858=ADVANCED_FACE('',(#38433),#58,.T.); #347859=ADVANCED_FACE('',(#38434),#330999,.T.); #347860=ADVANCED_FACE('',(#38435),#331000,.T.); #347861=ADVANCED_FACE('',(#38436),#331001,.T.); #347862=ADVANCED_FACE('',(#38437),#331002,.T.); #347863=ADVANCED_FACE('',(#38438),#331003,.T.); #347864=ADVANCED_FACE('',(#38439),#331004,.T.); #347865=ADVANCED_FACE('',(#38440),#331005,.T.); #347866=ADVANCED_FACE('',(#38441),#331006,.T.); #347867=ADVANCED_FACE('',(#38442),#331007,.T.); #347868=ADVANCED_FACE('',(#38443),#20606,.T.); #347869=ADVANCED_FACE('',(#38444),#20607,.F.); #347870=ADVANCED_FACE('',(#38445),#20608,.T.); #347871=ADVANCED_FACE('',(#38446),#20609,.T.); #347872=ADVANCED_FACE('',(#38447),#20610,.T.); #347873=ADVANCED_FACE('',(#38448),#20611,.T.); #347874=ADVANCED_FACE('',(#38449),#20612,.T.); #347875=ADVANCED_FACE('',(#38450),#20613,.T.); #347876=ADVANCED_FACE('',(#38451),#20614,.T.); #347877=ADVANCED_FACE('',(#38452),#20615,.T.); #347878=ADVANCED_FACE('',(#38453),#20616,.T.); #347879=ADVANCED_FACE('',(#38454),#20617,.F.); #347880=ADVANCED_FACE('',(#38455),#59,.T.); #347881=ADVANCED_FACE('',(#38456),#60,.T.); #347882=ADVANCED_FACE('',(#38457),#61,.T.); #347883=ADVANCED_FACE('',(#38458),#62,.T.); #347884=ADVANCED_FACE('',(#38459),#63,.T.); #347885=ADVANCED_FACE('',(#38460),#331008,.T.); #347886=ADVANCED_FACE('',(#38461),#64,.T.); #347887=ADVANCED_FACE('',(#38462),#65,.T.); #347888=ADVANCED_FACE('',(#38463),#331009,.T.); #347889=ADVANCED_FACE('',(#38464),#331010,.T.); #347890=ADVANCED_FACE('',(#38465),#66,.T.); #347891=ADVANCED_FACE('',(#38466),#331011,.T.); #347892=ADVANCED_FACE('',(#38467),#331012,.T.); #347893=ADVANCED_FACE('',(#38468),#331013,.T.); #347894=ADVANCED_FACE('',(#38469),#331014,.T.); #347895=ADVANCED_FACE('',(#38470),#331015,.T.); #347896=ADVANCED_FACE('',(#38471),#331016,.T.); #347897=ADVANCED_FACE('',(#38472),#331017,.T.); #347898=ADVANCED_FACE('',(#38473),#331018,.T.); #347899=ADVANCED_FACE('',(#38474),#331019,.T.); #347900=ADVANCED_FACE('',(#38475),#20618,.T.); #347901=ADVANCED_FACE('',(#38476),#20619,.F.); #347902=ADVANCED_FACE('',(#38477),#20620,.T.); #347903=ADVANCED_FACE('',(#38478),#20621,.T.); #347904=ADVANCED_FACE('',(#38479),#20622,.T.); #347905=ADVANCED_FACE('',(#38480),#20623,.T.); #347906=ADVANCED_FACE('',(#38481),#67,.T.); #347907=ADVANCED_FACE('',(#38482),#68,.T.); #347908=ADVANCED_FACE('',(#38483),#69,.T.); #347909=ADVANCED_FACE('',(#38484),#70,.T.); #347910=ADVANCED_FACE('',(#38485),#71,.T.); #347911=ADVANCED_FACE('',(#38486),#331020,.T.); #347912=ADVANCED_FACE('',(#38487),#72,.T.); #347913=ADVANCED_FACE('',(#38488),#73,.T.); #347914=ADVANCED_FACE('',(#38489),#331021,.T.); #347915=ADVANCED_FACE('',(#38490),#331022,.T.); #347916=ADVANCED_FACE('',(#38491),#74,.T.); #347917=ADVANCED_FACE('',(#38492),#331023,.T.); #347918=ADVANCED_FACE('',(#38493),#331024,.T.); #347919=ADVANCED_FACE('',(#38494),#331025,.T.); #347920=ADVANCED_FACE('',(#38495),#331026,.T.); #347921=ADVANCED_FACE('',(#38496),#331027,.T.); #347922=ADVANCED_FACE('',(#38497),#331028,.T.); #347923=ADVANCED_FACE('',(#38498),#331029,.T.); #347924=ADVANCED_FACE('',(#38499),#331030,.T.); #347925=ADVANCED_FACE('',(#38500),#331031,.T.); #347926=ADVANCED_FACE('',(#38501),#20624,.T.); #347927=ADVANCED_FACE('',(#38502),#20625,.F.); #347928=ADVANCED_FACE('',(#38503),#20626,.T.); #347929=ADVANCED_FACE('',(#38504),#20627,.T.); #347930=ADVANCED_FACE('',(#38505),#20628,.T.); #347931=ADVANCED_FACE('',(#38506),#20629,.T.); #347932=ADVANCED_FACE('',(#38507),#20630,.T.); #347933=ADVANCED_FACE('',(#38508),#20631,.T.); #347934=ADVANCED_FACE('',(#38509),#20632,.T.); #347935=ADVANCED_FACE('',(#38510),#20633,.T.); #347936=ADVANCED_FACE('',(#38511),#20634,.T.); #347937=ADVANCED_FACE('',(#38512),#20635,.F.); #347938=ADVANCED_FACE('',(#38513),#75,.T.); #347939=ADVANCED_FACE('',(#38514),#76,.T.); #347940=ADVANCED_FACE('',(#38515),#77,.T.); #347941=ADVANCED_FACE('',(#38516),#78,.T.); #347942=ADVANCED_FACE('',(#38517),#79,.T.); #347943=ADVANCED_FACE('',(#38518),#331032,.T.); #347944=ADVANCED_FACE('',(#38519),#80,.T.); #347945=ADVANCED_FACE('',(#38520),#81,.T.); #347946=ADVANCED_FACE('',(#38521),#331033,.T.); #347947=ADVANCED_FACE('',(#38522),#331034,.T.); #347948=ADVANCED_FACE('',(#38523),#82,.T.); #347949=ADVANCED_FACE('',(#38524),#331035,.T.); #347950=ADVANCED_FACE('',(#38525),#331036,.T.); #347951=ADVANCED_FACE('',(#38526),#331037,.T.); #347952=ADVANCED_FACE('',(#38527),#331038,.T.); #347953=ADVANCED_FACE('',(#38528),#331039,.T.); #347954=ADVANCED_FACE('',(#38529),#331040,.T.); #347955=ADVANCED_FACE('',(#38530),#331041,.T.); #347956=ADVANCED_FACE('',(#38531),#331042,.T.); #347957=ADVANCED_FACE('',(#38532),#331043,.T.); #347958=ADVANCED_FACE('',(#38533),#20636,.T.); #347959=ADVANCED_FACE('',(#38534),#20637,.F.); #347960=ADVANCED_FACE('',(#38535),#20638,.T.); #347961=ADVANCED_FACE('',(#38536),#20639,.T.); #347962=ADVANCED_FACE('',(#38537),#20640,.T.); #347963=ADVANCED_FACE('',(#38538),#20641,.T.); #347964=ADVANCED_FACE('',(#38539),#83,.T.); #347965=ADVANCED_FACE('',(#38540),#84,.T.); #347966=ADVANCED_FACE('',(#38541),#85,.T.); #347967=ADVANCED_FACE('',(#38542),#86,.T.); #347968=ADVANCED_FACE('',(#38543),#87,.T.); #347969=ADVANCED_FACE('',(#38544),#331044,.T.); #347970=ADVANCED_FACE('',(#38545),#88,.T.); #347971=ADVANCED_FACE('',(#38546),#89,.T.); #347972=ADVANCED_FACE('',(#38547),#331045,.T.); #347973=ADVANCED_FACE('',(#38548),#331046,.T.); #347974=ADVANCED_FACE('',(#38549),#90,.T.); #347975=ADVANCED_FACE('',(#38550),#331047,.T.); #347976=ADVANCED_FACE('',(#38551),#331048,.T.); #347977=ADVANCED_FACE('',(#38552),#331049,.T.); #347978=ADVANCED_FACE('',(#38553),#331050,.T.); #347979=ADVANCED_FACE('',(#38554),#331051,.T.); #347980=ADVANCED_FACE('',(#38555),#331052,.T.); #347981=ADVANCED_FACE('',(#38556),#331053,.T.); #347982=ADVANCED_FACE('',(#38557),#331054,.T.); #347983=ADVANCED_FACE('',(#38558),#331055,.T.); #347984=ADVANCED_FACE('',(#38559),#20642,.T.); #347985=ADVANCED_FACE('',(#38560),#20643,.F.); #347986=ADVANCED_FACE('',(#38561),#20644,.T.); #347987=ADVANCED_FACE('',(#38562),#20645,.T.); #347988=ADVANCED_FACE('',(#38563),#20646,.T.); #347989=ADVANCED_FACE('',(#38564),#20647,.T.); #347990=ADVANCED_FACE('',(#38565),#20648,.T.); #347991=ADVANCED_FACE('',(#38566),#20649,.T.); #347992=ADVANCED_FACE('',(#38567),#20650,.T.); #347993=ADVANCED_FACE('',(#38568),#20651,.T.); #347994=ADVANCED_FACE('',(#38569),#20652,.T.); #347995=ADVANCED_FACE('',(#38570),#20653,.F.); #347996=ADVANCED_FACE('',(#38571),#331056,.F.); #347997=ADVANCED_FACE('',(#38572),#20654,.T.); #347998=ADVANCED_FACE('',(#38573),#20655,.T.); #347999=ADVANCED_FACE('',(#38574),#814,.T.); #348000=ADVANCED_FACE('',(#38575),#331057,.T.); #348001=ADVANCED_FACE('',(#38576),#815,.T.); #348002=ADVANCED_FACE('',(#38577),#816,.F.); #348003=ADVANCED_FACE('',(#38578),#817,.T.); #348004=ADVANCED_FACE('',(#38579),#331058,.T.); #348005=ADVANCED_FACE('',(#38580),#818,.T.); #348006=ADVANCED_FACE('',(#38581,#7959),#20656,.T.); #348007=ADVANCED_FACE('',(#38582),#20657,.F.); #348008=ADVANCED_FACE('',(#38583),#819,.F.); #348009=ADVANCED_FACE('',(#38584),#820,.T.); #348010=ADVANCED_FACE('',(#38585),#331059,.T.); #348011=ADVANCED_FACE('',(#38586),#821,.T.); #348012=ADVANCED_FACE('',(#38587),#20658,.T.); #348013=ADVANCED_FACE('',(#38588),#822,.F.); #348014=ADVANCED_FACE('',(#38589),#331060,.F.); #348015=ADVANCED_FACE('',(#38590),#823,.F.); #348016=ADVANCED_FACE('',(#38591),#824,.T.); #348017=ADVANCED_FACE('',(#38592),#825,.F.); #348018=ADVANCED_FACE('',(#38593),#331061,.F.); #348019=ADVANCED_FACE('',(#38594),#826,.F.); #348020=ADVANCED_FACE('',(#38595),#20659,.T.); #348021=ADVANCED_FACE('',(#38596),#827,.T.); #348022=ADVANCED_FACE('',(#38597),#331062,.T.); #348023=ADVANCED_FACE('',(#38598),#828,.T.); #348024=ADVANCED_FACE('',(#38599),#20660,.T.); #348025=ADVANCED_FACE('',(#38600),#331063,.T.); #348026=ADVANCED_FACE('',(#38601),#20661,.T.); #348027=ADVANCED_FACE('',(#38602),#20662,.F.); #348028=ADVANCED_FACE('',(#38603),#331064,.T.); #348029=ADVANCED_FACE('',(#38604),#20663,.F.); #348030=ADVANCED_FACE('',(#38605),#20664,.T.); #348031=ADVANCED_FACE('',(#38606),#20665,.F.); #348032=ADVANCED_FACE('',(#38607),#20666,.T.); #348033=ADVANCED_FACE('',(#38608),#331065,.T.); #348034=ADVANCED_FACE('',(#38609),#20667,.T.); #348035=ADVANCED_FACE('',(#38610),#331066,.F.); #348036=ADVANCED_FACE('',(#38611),#20668,.T.); #348037=ADVANCED_FACE('',(#38612),#20669,.F.); #348038=ADVANCED_FACE('',(#38613),#20670,.T.); #348039=ADVANCED_FACE('',(#38614),#331067,.T.); #348040=ADVANCED_FACE('',(#38615),#20671,.T.); #348041=ADVANCED_FACE('',(#38616),#331068,.F.); #348042=ADVANCED_FACE('',(#38617),#20672,.T.); #348043=ADVANCED_FACE('',(#38618),#20673,.F.); #348044=ADVANCED_FACE('',(#38619),#20674,.T.); #348045=ADVANCED_FACE('',(#38620),#331069,.T.); #348046=ADVANCED_FACE('',(#38621),#20675,.T.); #348047=ADVANCED_FACE('',(#38622),#331070,.F.); #348048=ADVANCED_FACE('',(#38623),#20676,.T.); #348049=ADVANCED_FACE('',(#38624),#20677,.F.); #348050=ADVANCED_FACE('',(#38625),#20678,.T.); #348051=ADVANCED_FACE('',(#38626),#331071,.T.); #348052=ADVANCED_FACE('',(#38627),#20679,.T.); #348053=ADVANCED_FACE('',(#38628),#331072,.F.); #348054=ADVANCED_FACE('',(#38629),#20680,.T.); #348055=ADVANCED_FACE('',(#38630),#20681,.F.); #348056=ADVANCED_FACE('',(#38631),#20682,.T.); #348057=ADVANCED_FACE('',(#38632),#331073,.T.); #348058=ADVANCED_FACE('',(#38633),#20683,.T.); #348059=ADVANCED_FACE('',(#38634),#331074,.F.); #348060=ADVANCED_FACE('',(#38635),#20684,.T.); #348061=ADVANCED_FACE('',(#38636),#20685,.F.); #348062=ADVANCED_FACE('',(#38637),#20686,.T.); #348063=ADVANCED_FACE('',(#38638),#331075,.T.); #348064=ADVANCED_FACE('',(#38639),#20687,.T.); #348065=ADVANCED_FACE('',(#38640),#331076,.F.); #348066=ADVANCED_FACE('',(#38641),#20688,.T.); #348067=ADVANCED_FACE('',(#38642),#20689,.F.); #348068=ADVANCED_FACE('',(#38643),#20690,.T.); #348069=ADVANCED_FACE('',(#38644),#331077,.T.); #348070=ADVANCED_FACE('',(#38645),#20691,.T.); #348071=ADVANCED_FACE('',(#38646),#331078,.F.); #348072=ADVANCED_FACE('',(#38647),#20692,.T.); #348073=ADVANCED_FACE('',(#38648),#20693,.F.); #348074=ADVANCED_FACE('',(#38649),#20694,.T.); #348075=ADVANCED_FACE('',(#38650),#331079,.T.); #348076=ADVANCED_FACE('',(#38651),#20695,.T.); #348077=ADVANCED_FACE('',(#38652),#331080,.F.); #348078=ADVANCED_FACE('',(#38653),#20696,.T.); #348079=ADVANCED_FACE('',(#38654),#20697,.F.); #348080=ADVANCED_FACE('',(#38655),#20698,.T.); #348081=ADVANCED_FACE('',(#38656),#331081,.T.); #348082=ADVANCED_FACE('',(#38657),#20699,.T.); #348083=ADVANCED_FACE('',(#38658),#331082,.F.); #348084=ADVANCED_FACE('',(#38659),#20700,.T.); #348085=ADVANCED_FACE('',(#38660),#331083,.F.); #348086=ADVANCED_FACE('',(#38661),#20701,.T.); #348087=ADVANCED_FACE('',(#38662),#20702,.T.); #348088=ADVANCED_FACE('',(#38663),#20703,.T.); #348089=ADVANCED_FACE('',(#38664),#20704,.T.); #348090=ADVANCED_FACE('',(#38665),#20705,.T.); #348091=ADVANCED_FACE('',(#38666),#20706,.T.); #348092=ADVANCED_FACE('',(#38667),#20707,.T.); #348093=ADVANCED_FACE('',(#38668,#7960),#20708,.T.); #348094=ADVANCED_FACE('',(#38669),#20709,.T.); #348095=ADVANCED_FACE('',(#38670),#20710,.T.); #348096=ADVANCED_FACE('',(#38671),#20711,.T.); #348097=ADVANCED_FACE('',(#38672),#20712,.T.); #348098=ADVANCED_FACE('',(#38673),#20713,.T.); #348099=ADVANCED_FACE('',(#38674),#20714,.T.); #348100=ADVANCED_FACE('',(#38675),#20715,.T.); #348101=ADVANCED_FACE('',(#38676),#20716,.T.); #348102=ADVANCED_FACE('',(#38677),#20717,.F.); #348103=ADVANCED_FACE('',(#38678),#20718,.T.); #348104=ADVANCED_FACE('',(#38679),#20719,.T.); #348105=ADVANCED_FACE('',(#38680),#331084,.T.); #348106=ADVANCED_FACE('',(#38681),#20720,.T.); #348107=ADVANCED_FACE('',(#38682),#331085,.T.); #348108=ADVANCED_FACE('',(#38683),#20721,.T.); #348109=ADVANCED_FACE('',(#38684),#20722,.T.); #348110=ADVANCED_FACE('',(#38685),#20723,.F.); #348111=ADVANCED_FACE('',(#38686),#20724,.F.); #348112=ADVANCED_FACE('',(#38687),#20725,.F.); #348113=ADVANCED_FACE('',(#38688),#331086,.T.); #348114=ADVANCED_FACE('',(#38689),#20726,.F.); #348115=ADVANCED_FACE('',(#38690),#331087,.T.); #348116=ADVANCED_FACE('',(#38691),#20727,.F.); #348117=ADVANCED_FACE('',(#38692),#20728,.F.); #348118=ADVANCED_FACE('',(#38693),#20729,.T.); #348119=ADVANCED_FACE('',(#38694),#20730,.T.); #348120=ADVANCED_FACE('',(#38695),#20731,.T.); #348121=ADVANCED_FACE('',(#38696),#331088,.T.); #348122=ADVANCED_FACE('',(#38697),#20732,.T.); #348123=ADVANCED_FACE('',(#38698),#331089,.T.); #348124=ADVANCED_FACE('',(#38699),#20733,.T.); #348125=ADVANCED_FACE('',(#38700),#20734,.T.); #348126=ADVANCED_FACE('',(#38701),#20735,.F.); #348127=ADVANCED_FACE('',(#38702),#20736,.T.); #348128=ADVANCED_FACE('',(#38703),#20737,.T.); #348129=ADVANCED_FACE('',(#38704),#331090,.T.); #348130=ADVANCED_FACE('',(#38705),#20738,.T.); #348131=ADVANCED_FACE('',(#38706),#331091,.T.); #348132=ADVANCED_FACE('',(#38707),#20739,.T.); #348133=ADVANCED_FACE('',(#38708),#20740,.T.); #348134=ADVANCED_FACE('',(#38709),#20741,.F.); #348135=ADVANCED_FACE('',(#38710),#20742,.T.); #348136=ADVANCED_FACE('',(#38711),#20743,.T.); #348137=ADVANCED_FACE('',(#38712),#331092,.T.); #348138=ADVANCED_FACE('',(#38713),#20744,.T.); #348139=ADVANCED_FACE('',(#38714),#331093,.T.); #348140=ADVANCED_FACE('',(#38715),#20745,.T.); #348141=ADVANCED_FACE('',(#38716),#20746,.T.); #348142=ADVANCED_FACE('',(#38717),#20747,.F.); #348143=ADVANCED_FACE('',(#38718),#20748,.F.); #348144=ADVANCED_FACE('',(#38719),#20749,.F.); #348145=ADVANCED_FACE('',(#38720),#331094,.T.); #348146=ADVANCED_FACE('',(#38721),#20750,.F.); #348147=ADVANCED_FACE('',(#38722),#331095,.T.); #348148=ADVANCED_FACE('',(#38723),#20751,.F.); #348149=ADVANCED_FACE('',(#38724),#20752,.F.); #348150=ADVANCED_FACE('',(#38725),#20753,.T.); #348151=ADVANCED_FACE('',(#38726),#20754,.F.); #348152=ADVANCED_FACE('',(#38727),#20755,.F.); #348153=ADVANCED_FACE('',(#38728),#331096,.T.); #348154=ADVANCED_FACE('',(#38729),#20756,.F.); #348155=ADVANCED_FACE('',(#38730),#331097,.T.); #348156=ADVANCED_FACE('',(#38731),#20757,.F.); #348157=ADVANCED_FACE('',(#38732),#20758,.F.); #348158=ADVANCED_FACE('',(#38733),#20759,.T.); #348159=ADVANCED_FACE('',(#38734),#20760,.F.); #348160=ADVANCED_FACE('',(#38735),#20761,.F.); #348161=ADVANCED_FACE('',(#38736),#331098,.T.); #348162=ADVANCED_FACE('',(#38737),#20762,.F.); #348163=ADVANCED_FACE('',(#38738),#331099,.T.); #348164=ADVANCED_FACE('',(#38739),#20763,.F.); #348165=ADVANCED_FACE('',(#38740),#20764,.F.); #348166=ADVANCED_FACE('',(#38741),#20765,.T.); #348167=ADVANCED_FACE('',(#38742),#20766,.F.); #348168=ADVANCED_FACE('',(#38743),#20767,.F.); #348169=ADVANCED_FACE('',(#38744),#20768,.F.); #348170=ADVANCED_FACE('',(#38745),#20769,.F.); #348171=ADVANCED_FACE('',(#38746),#20770,.F.); #348172=ADVANCED_FACE('',(#38747),#20771,.T.); #348173=ADVANCED_FACE('',(#38748),#20772,.T.); #348174=ADVANCED_FACE('',(#38749),#20773,.T.); #348175=ADVANCED_FACE('',(#38750),#20774,.T.); #348176=ADVANCED_FACE('',(#38751),#20775,.T.); #348177=ADVANCED_FACE('',(#38752),#20776,.T.); #348178=ADVANCED_FACE('',(#38753),#20777,.F.); #348179=ADVANCED_FACE('',(#38754),#20778,.T.); #348180=ADVANCED_FACE('',(#38755),#20779,.T.); #348181=ADVANCED_FACE('',(#38756),#20780,.T.); #348182=ADVANCED_FACE('',(#38757),#20781,.T.); #348183=ADVANCED_FACE('',(#38758),#20782,.T.); #348184=ADVANCED_FACE('',(#38759),#20783,.F.); #348185=ADVANCED_FACE('',(#38760),#20784,.T.); #348186=ADVANCED_FACE('',(#38761),#20785,.T.); #348187=ADVANCED_FACE('',(#38762),#20786,.T.); #348188=ADVANCED_FACE('',(#38763),#20787,.T.); #348189=ADVANCED_FACE('',(#38764),#20788,.T.); #348190=ADVANCED_FACE('',(#38765),#20789,.F.); #348191=ADVANCED_FACE('',(#38766),#20790,.F.); #348192=ADVANCED_FACE('',(#38767),#20791,.F.); #348193=ADVANCED_FACE('',(#38768),#20792,.F.); #348194=ADVANCED_FACE('',(#38769),#20793,.F.); #348195=ADVANCED_FACE('',(#38770),#20794,.F.); #348196=ADVANCED_FACE('',(#38771),#20795,.T.); #348197=ADVANCED_FACE('',(#38772),#20796,.F.); #348198=ADVANCED_FACE('',(#38773),#20797,.F.); #348199=ADVANCED_FACE('',(#38774),#20798,.F.); #348200=ADVANCED_FACE('',(#38775),#20799,.F.); #348201=ADVANCED_FACE('',(#38776),#20800,.F.); #348202=ADVANCED_FACE('',(#38777),#20801,.T.); #348203=ADVANCED_FACE('',(#38778),#20802,.F.); #348204=ADVANCED_FACE('',(#38779),#20803,.F.); #348205=ADVANCED_FACE('',(#38780),#20804,.F.); #348206=ADVANCED_FACE('',(#38781),#20805,.F.); #348207=ADVANCED_FACE('',(#38782),#20806,.F.); #348208=ADVANCED_FACE('',(#38783),#20807,.T.); #348209=ADVANCED_FACE('',(#38784),#20808,.T.); #348210=ADVANCED_FACE('',(#38785),#20809,.T.); #348211=ADVANCED_FACE('',(#38786),#20810,.T.); #348212=ADVANCED_FACE('',(#38787),#20811,.T.); #348213=ADVANCED_FACE('',(#38788),#20812,.T.); #348214=ADVANCED_FACE('',(#38789),#20813,.F.); #348215=ADVANCED_FACE('',(#38790),#331100,.F.); #348216=ADVANCED_FACE('',(#38791),#20814,.T.); #348217=ADVANCED_FACE('',(#38792),#20815,.T.); #348218=ADVANCED_FACE('',(#38793),#20816,.T.); #348219=ADVANCED_FACE('',(#38794),#20817,.T.); #348220=ADVANCED_FACE('',(#38795),#20818,.T.); #348221=ADVANCED_FACE('',(#38796),#20819,.T.); #348222=ADVANCED_FACE('',(#38797),#20820,.T.); #348223=ADVANCED_FACE('',(#38798,#7961),#20821,.T.); #348224=ADVANCED_FACE('',(#38799),#20822,.T.); #348225=ADVANCED_FACE('',(#38800),#20823,.T.); #348226=ADVANCED_FACE('',(#38801),#20824,.T.); #348227=ADVANCED_FACE('',(#38802),#20825,.T.); #348228=ADVANCED_FACE('',(#38803),#20826,.T.); #348229=ADVANCED_FACE('',(#38804),#20827,.T.); #348230=ADVANCED_FACE('',(#38805),#20828,.T.); #348231=ADVANCED_FACE('',(#38806),#20829,.T.); #348232=ADVANCED_FACE('',(#38807),#20830,.F.); #348233=ADVANCED_FACE('',(#38808),#20831,.T.); #348234=ADVANCED_FACE('',(#38809),#20832,.T.); #348235=ADVANCED_FACE('',(#38810),#331101,.T.); #348236=ADVANCED_FACE('',(#38811),#20833,.T.); #348237=ADVANCED_FACE('',(#38812),#331102,.T.); #348238=ADVANCED_FACE('',(#38813),#20834,.T.); #348239=ADVANCED_FACE('',(#38814),#20835,.T.); #348240=ADVANCED_FACE('',(#38815),#20836,.F.); #348241=ADVANCED_FACE('',(#38816),#20837,.F.); #348242=ADVANCED_FACE('',(#38817),#20838,.F.); #348243=ADVANCED_FACE('',(#38818),#331103,.T.); #348244=ADVANCED_FACE('',(#38819),#20839,.F.); #348245=ADVANCED_FACE('',(#38820),#331104,.T.); #348246=ADVANCED_FACE('',(#38821),#20840,.F.); #348247=ADVANCED_FACE('',(#38822),#20841,.F.); #348248=ADVANCED_FACE('',(#38823),#20842,.T.); #348249=ADVANCED_FACE('',(#38824),#20843,.T.); #348250=ADVANCED_FACE('',(#38825),#20844,.T.); #348251=ADVANCED_FACE('',(#38826),#331105,.T.); #348252=ADVANCED_FACE('',(#38827),#20845,.T.); #348253=ADVANCED_FACE('',(#38828),#331106,.T.); #348254=ADVANCED_FACE('',(#38829),#20846,.T.); #348255=ADVANCED_FACE('',(#38830),#20847,.T.); #348256=ADVANCED_FACE('',(#38831),#20848,.F.); #348257=ADVANCED_FACE('',(#38832),#20849,.T.); #348258=ADVANCED_FACE('',(#38833),#20850,.T.); #348259=ADVANCED_FACE('',(#38834),#331107,.T.); #348260=ADVANCED_FACE('',(#38835),#20851,.T.); #348261=ADVANCED_FACE('',(#38836),#331108,.T.); #348262=ADVANCED_FACE('',(#38837),#20852,.T.); #348263=ADVANCED_FACE('',(#38838),#20853,.T.); #348264=ADVANCED_FACE('',(#38839),#20854,.F.); #348265=ADVANCED_FACE('',(#38840),#20855,.T.); #348266=ADVANCED_FACE('',(#38841),#20856,.T.); #348267=ADVANCED_FACE('',(#38842),#331109,.T.); #348268=ADVANCED_FACE('',(#38843),#20857,.T.); #348269=ADVANCED_FACE('',(#38844),#331110,.T.); #348270=ADVANCED_FACE('',(#38845),#20858,.T.); #348271=ADVANCED_FACE('',(#38846),#20859,.T.); #348272=ADVANCED_FACE('',(#38847),#20860,.F.); #348273=ADVANCED_FACE('',(#38848),#20861,.F.); #348274=ADVANCED_FACE('',(#38849),#20862,.F.); #348275=ADVANCED_FACE('',(#38850),#331111,.T.); #348276=ADVANCED_FACE('',(#38851),#20863,.F.); #348277=ADVANCED_FACE('',(#38852),#331112,.T.); #348278=ADVANCED_FACE('',(#38853),#20864,.F.); #348279=ADVANCED_FACE('',(#38854),#20865,.F.); #348280=ADVANCED_FACE('',(#38855),#20866,.T.); #348281=ADVANCED_FACE('',(#38856),#20867,.F.); #348282=ADVANCED_FACE('',(#38857),#20868,.F.); #348283=ADVANCED_FACE('',(#38858),#331113,.T.); #348284=ADVANCED_FACE('',(#38859),#20869,.F.); #348285=ADVANCED_FACE('',(#38860),#331114,.T.); #348286=ADVANCED_FACE('',(#38861),#20870,.F.); #348287=ADVANCED_FACE('',(#38862),#20871,.F.); #348288=ADVANCED_FACE('',(#38863),#20872,.T.); #348289=ADVANCED_FACE('',(#38864),#20873,.F.); #348290=ADVANCED_FACE('',(#38865),#20874,.F.); #348291=ADVANCED_FACE('',(#38866),#331115,.T.); #348292=ADVANCED_FACE('',(#38867),#20875,.F.); #348293=ADVANCED_FACE('',(#38868),#331116,.T.); #348294=ADVANCED_FACE('',(#38869),#20876,.F.); #348295=ADVANCED_FACE('',(#38870),#20877,.F.); #348296=ADVANCED_FACE('',(#38871),#20878,.T.); #348297=ADVANCED_FACE('',(#38872),#20879,.F.); #348298=ADVANCED_FACE('',(#38873),#20880,.F.); #348299=ADVANCED_FACE('',(#38874),#20881,.F.); #348300=ADVANCED_FACE('',(#38875),#20882,.F.); #348301=ADVANCED_FACE('',(#38876),#20883,.F.); #348302=ADVANCED_FACE('',(#38877),#20884,.T.); #348303=ADVANCED_FACE('',(#38878),#20885,.T.); #348304=ADVANCED_FACE('',(#38879),#20886,.T.); #348305=ADVANCED_FACE('',(#38880),#20887,.T.); #348306=ADVANCED_FACE('',(#38881),#20888,.T.); #348307=ADVANCED_FACE('',(#38882),#20889,.T.); #348308=ADVANCED_FACE('',(#38883),#20890,.F.); #348309=ADVANCED_FACE('',(#38884),#20891,.T.); #348310=ADVANCED_FACE('',(#38885),#20892,.T.); #348311=ADVANCED_FACE('',(#38886),#20893,.T.); #348312=ADVANCED_FACE('',(#38887),#20894,.T.); #348313=ADVANCED_FACE('',(#38888),#20895,.T.); #348314=ADVANCED_FACE('',(#38889),#20896,.F.); #348315=ADVANCED_FACE('',(#38890),#20897,.T.); #348316=ADVANCED_FACE('',(#38891),#20898,.T.); #348317=ADVANCED_FACE('',(#38892),#20899,.T.); #348318=ADVANCED_FACE('',(#38893),#20900,.T.); #348319=ADVANCED_FACE('',(#38894),#20901,.T.); #348320=ADVANCED_FACE('',(#38895),#20902,.F.); #348321=ADVANCED_FACE('',(#38896),#20903,.F.); #348322=ADVANCED_FACE('',(#38897),#20904,.F.); #348323=ADVANCED_FACE('',(#38898),#20905,.F.); #348324=ADVANCED_FACE('',(#38899),#20906,.F.); #348325=ADVANCED_FACE('',(#38900),#20907,.F.); #348326=ADVANCED_FACE('',(#38901),#20908,.T.); #348327=ADVANCED_FACE('',(#38902),#20909,.F.); #348328=ADVANCED_FACE('',(#38903),#20910,.F.); #348329=ADVANCED_FACE('',(#38904),#20911,.F.); #348330=ADVANCED_FACE('',(#38905),#20912,.F.); #348331=ADVANCED_FACE('',(#38906),#20913,.F.); #348332=ADVANCED_FACE('',(#38907),#20914,.T.); #348333=ADVANCED_FACE('',(#38908),#20915,.F.); #348334=ADVANCED_FACE('',(#38909),#20916,.F.); #348335=ADVANCED_FACE('',(#38910),#20917,.F.); #348336=ADVANCED_FACE('',(#38911),#20918,.F.); #348337=ADVANCED_FACE('',(#38912),#20919,.F.); #348338=ADVANCED_FACE('',(#38913),#20920,.T.); #348339=ADVANCED_FACE('',(#38914),#20921,.T.); #348340=ADVANCED_FACE('',(#38915),#20922,.T.); #348341=ADVANCED_FACE('',(#38916),#20923,.T.); #348342=ADVANCED_FACE('',(#38917),#20924,.T.); #348343=ADVANCED_FACE('',(#38918),#20925,.T.); #348344=ADVANCED_FACE('',(#38919),#20926,.F.); #348345=ADVANCED_FACE('',(#38920),#20927,.T.); #348346=ADVANCED_FACE('',(#38921),#20928,.T.); #348347=ADVANCED_FACE('',(#38922),#20929,.T.); #348348=ADVANCED_FACE('',(#38923),#20930,.T.); #348349=ADVANCED_FACE('',(#38924),#20931,.T.); #348350=ADVANCED_FACE('',(#38925),#20932,.T.); #348351=ADVANCED_FACE('',(#38926),#20933,.T.); #348352=ADVANCED_FACE('',(#38927),#20934,.T.); #348353=ADVANCED_FACE('',(#38928),#20935,.T.); #348354=ADVANCED_FACE('',(#38929),#20936,.F.); #348355=ADVANCED_FACE('',(#38930),#20937,.T.); #348356=ADVANCED_FACE('',(#38931),#20938,.T.); #348357=ADVANCED_FACE('',(#38932),#20939,.T.); #348358=ADVANCED_FACE('',(#38933),#20940,.T.); #348359=ADVANCED_FACE('',(#38934),#20941,.T.); #348360=ADVANCED_FACE('',(#38935),#20942,.F.); #348361=ADVANCED_FACE('',(#38936),#20943,.T.); #348362=ADVANCED_FACE('',(#38937),#20944,.T.); #348363=ADVANCED_FACE('',(#38938),#20945,.T.); #348364=ADVANCED_FACE('',(#38939),#20946,.T.); #348365=ADVANCED_FACE('',(#38940),#20947,.T.); #348366=ADVANCED_FACE('',(#38941),#20948,.F.); #348367=ADVANCED_FACE('',(#38942),#20949,.T.); #348368=ADVANCED_FACE('',(#38943),#20950,.T.); #348369=ADVANCED_FACE('',(#38944),#20951,.T.); #348370=ADVANCED_FACE('',(#38945),#20952,.T.); #348371=ADVANCED_FACE('',(#38946),#20953,.T.); #348372=ADVANCED_FACE('',(#38947),#20954,.F.); #348373=ADVANCED_FACE('',(#38948),#20955,.T.); #348374=ADVANCED_FACE('',(#38949),#20956,.T.); #348375=ADVANCED_FACE('',(#38950),#20957,.T.); #348376=ADVANCED_FACE('',(#38951),#20958,.T.); #348377=ADVANCED_FACE('',(#38952),#20959,.T.); #348378=ADVANCED_FACE('',(#38953),#20960,.F.); #348379=ADVANCED_FACE('',(#38954),#331117,.F.); #348380=ADVANCED_FACE('',(#38955),#331118,.T.); #348381=ADVANCED_FACE('',(#38956,#7962),#20961,.T.); #348382=ADVANCED_FACE('',(#38957,#7963),#20962,.F.); #348383=ADVANCED_FACE('',(#38958),#331119,.F.); #348384=ADVANCED_FACE('',(#38959),#331120,.F.); #348385=ADVANCED_FACE('',(#38960),#331121,.F.); #348386=ADVANCED_FACE('',(#38961),#331122,.F.); #348387=ADVANCED_FACE('',(#38962),#331123,.F.); #348388=ADVANCED_FACE('',(#38963),#331124,.F.); #348389=ADVANCED_FACE('',(#38964),#3444,.F.); #348390=ADVANCED_FACE('',(#38965),#3445,.F.); #348391=ADVANCED_FACE('',(#38966),#839,.F.); #348392=ADVANCED_FACE('',(#38967),#840,.F.); #348393=ADVANCED_FACE('',(#38968),#331125,.F.); #348394=ADVANCED_FACE('',(#38969),#331126,.F.); #348395=ADVANCED_FACE('',(#38970,#7964),#20963,.F.); #348396=ADVANCED_FACE('',(#38971),#20964,.F.); #348397=ADVANCED_FACE('',(#38972),#20965,.F.); #348398=ADVANCED_FACE('',(#38973),#20966,.F.); #348399=ADVANCED_FACE('',(#38974),#20967,.F.); #348400=ADVANCED_FACE('',(#38975),#20968,.F.); #348401=ADVANCED_FACE('',(#38976),#20969,.F.); #348402=ADVANCED_FACE('',(#38977),#331127,.T.); #348403=ADVANCED_FACE('',(#38978),#331128,.T.); #348404=ADVANCED_FACE('',(#38979),#331129,.T.); #348405=ADVANCED_FACE('',(#38980),#331130,.T.); #348406=ADVANCED_FACE('',(#38981),#331131,.T.); #348407=ADVANCED_FACE('',(#38982),#331132,.T.); #348408=ADVANCED_FACE('',(#38983),#331133,.T.); #348409=ADVANCED_FACE('',(#38984),#331134,.T.); #348410=ADVANCED_FACE('',(#38985),#331135,.T.); #348411=ADVANCED_FACE('',(#38986),#331136,.T.); #348412=ADVANCED_FACE('',(#38987),#331137,.T.); #348413=ADVANCED_FACE('',(#38988),#331138,.T.); #348414=ADVANCED_FACE('',(#38989),#331139,.T.); #348415=ADVANCED_FACE('',(#38990),#331140,.T.); #348416=ADVANCED_FACE('',(#38991),#20970,.T.); #348417=ADVANCED_FACE('',(#38992),#20971,.T.); #348418=ADVANCED_FACE('',(#38993),#20972,.T.); #348419=ADVANCED_FACE('',(#38994),#20973,.T.); #348420=ADVANCED_FACE('',(#38995),#20974,.T.); #348421=ADVANCED_FACE('',(#38996),#20975,.T.); #348422=ADVANCED_FACE('',(#38997),#20976,.T.); #348423=ADVANCED_FACE('',(#38998),#3446,.T.); #348424=ADVANCED_FACE('',(#38999),#3447,.T.); #348425=ADVANCED_FACE('',(#39000),#20977,.T.); #348426=ADVANCED_FACE('',(#39001),#20978,.F.); #348427=ADVANCED_FACE('',(#39002),#20979,.F.); #348428=ADVANCED_FACE('',(#39003),#20980,.T.); #348429=ADVANCED_FACE('',(#39004),#3448,.T.); #348430=ADVANCED_FACE('',(#39005),#3449,.T.); #348431=ADVANCED_FACE('',(#39006),#20981,.T.); #348432=ADVANCED_FACE('',(#39007),#20982,.F.); #348433=ADVANCED_FACE('',(#39008),#20983,.F.); #348434=ADVANCED_FACE('',(#39009),#20984,.F.); #348435=ADVANCED_FACE('',(#39010),#20985,.F.); #348436=ADVANCED_FACE('',(#39011),#20986,.F.); #348437=ADVANCED_FACE('',(#39012),#20987,.F.); #348438=ADVANCED_FACE('',(#39013),#20988,.F.); #348439=ADVANCED_FACE('',(#39014),#20989,.F.); #348440=ADVANCED_FACE('',(#39015),#20990,.F.); #348441=ADVANCED_FACE('',(#39016),#20991,.F.); #348442=ADVANCED_FACE('',(#39017),#20992,.F.); #348443=ADVANCED_FACE('',(#39018),#20993,.F.); #348444=ADVANCED_FACE('',(#39019),#20994,.F.); #348445=ADVANCED_FACE('',(#39020),#20995,.F.); #348446=ADVANCED_FACE('',(#39021),#20996,.F.); #348447=ADVANCED_FACE('',(#39022),#20997,.F.); #348448=ADVANCED_FACE('',(#39023),#20998,.F.); #348449=ADVANCED_FACE('',(#39024),#3558,.T.); #348450=ADVANCED_FACE('',(#39025),#20999,.T.); #348451=ADVANCED_FACE('',(#39026),#3559,.T.); #348452=ADVANCED_FACE('',(#39027),#3560,.T.); #348453=ADVANCED_FACE('',(#39028),#3561,.T.); #348454=ADVANCED_FACE('',(#39029),#3562,.T.); #348455=ADVANCED_FACE('',(#39030),#3563,.T.); #348456=ADVANCED_FACE('',(#39031),#3564,.T.); #348457=ADVANCED_FACE('',(#39032),#3565,.T.); #348458=ADVANCED_FACE('',(#39033),#21000,.F.); #348459=ADVANCED_FACE('',(#39034),#21001,.F.); #348460=ADVANCED_FACE('',(#39035),#21002,.F.); #348461=ADVANCED_FACE('',(#39036),#21003,.F.); #348462=ADVANCED_FACE('',(#39037),#21004,.F.); #348463=ADVANCED_FACE('',(#39038),#21005,.F.); #348464=ADVANCED_FACE('',(#39039),#21006,.F.); #348465=ADVANCED_FACE('',(#39040),#21007,.F.); #348466=ADVANCED_FACE('',(#39041),#21008,.F.); #348467=ADVANCED_FACE('',(#39042),#21009,.F.); #348468=ADVANCED_FACE('',(#39043),#21010,.F.); #348469=ADVANCED_FACE('',(#39044),#21011,.F.); #348470=ADVANCED_FACE('',(#39045),#21012,.F.); #348471=ADVANCED_FACE('',(#39046),#21013,.F.); #348472=ADVANCED_FACE('',(#39047),#3566,.T.); #348473=ADVANCED_FACE('',(#39048),#3567,.T.); #348474=ADVANCED_FACE('',(#39049),#3568,.T.); #348475=ADVANCED_FACE('',(#39050),#3569,.T.); #348476=ADVANCED_FACE('',(#39051),#3570,.T.); #348477=ADVANCED_FACE('',(#39052),#3571,.T.); #348478=ADVANCED_FACE('',(#39053),#3572,.T.); #348479=ADVANCED_FACE('',(#39054),#21014,.T.); #348480=ADVANCED_FACE('',(#39055),#3573,.T.); #348481=ADVANCED_FACE('',(#39056),#21015,.F.); #348482=ADVANCED_FACE('',(#39057),#21016,.F.); #348483=ADVANCED_FACE('',(#39058),#21017,.F.); #348484=ADVANCED_FACE('',(#39059),#21018,.F.); #348485=ADVANCED_FACE('',(#39060),#21019,.F.); #348486=ADVANCED_FACE('',(#39061),#21020,.F.); #348487=ADVANCED_FACE('',(#39062),#21021,.F.); #348488=ADVANCED_FACE('',(#39063),#21022,.F.); #348489=ADVANCED_FACE('',(#39064),#21023,.F.); #348490=ADVANCED_FACE('',(#39065),#21024,.F.); #348491=ADVANCED_FACE('',(#39066),#21025,.F.); #348492=ADVANCED_FACE('',(#39067),#21026,.F.); #348493=ADVANCED_FACE('',(#39068),#21027,.F.); #348494=ADVANCED_FACE('',(#39069),#21028,.F.); #348495=ADVANCED_FACE('',(#39070),#21029,.F.); #348496=ADVANCED_FACE('',(#39071),#21030,.F.); #348497=ADVANCED_FACE('',(#39072),#331141,.T.); #348498=ADVANCED_FACE('',(#39073),#21031,.T.); #348499=ADVANCED_FACE('',(#39074),#21032,.T.); #348500=ADVANCED_FACE('',(#39075),#331142,.F.); #348501=ADVANCED_FACE('',(#39076),#331143,.T.); #348502=ADVANCED_FACE('',(#39077),#21033,.F.); #348503=ADVANCED_FACE('',(#39078),#21034,.T.); #348504=ADVANCED_FACE('',(#39079),#21035,.F.); #348505=ADVANCED_FACE('',(#39080),#21036,.F.); #348506=ADVANCED_FACE('',(#39081),#21037,.F.); #348507=ADVANCED_FACE('',(#39082),#331144,.T.); #348508=ADVANCED_FACE('',(#39083),#21038,.F.); #348509=ADVANCED_FACE('',(#39084),#331145,.T.); #348510=ADVANCED_FACE('',(#39085),#331146,.T.); #348511=ADVANCED_FACE('',(#39086),#21039,.F.); #348512=ADVANCED_FACE('',(#39087),#21040,.F.); #348513=ADVANCED_FACE('',(#39088),#331147,.T.); #348514=ADVANCED_FACE('',(#39089),#21041,.T.); #348515=ADVANCED_FACE('',(#39090),#21042,.F.); #348516=ADVANCED_FACE('',(#39091),#21043,.F.); #348517=ADVANCED_FACE('',(#39092),#21044,.F.); #348518=ADVANCED_FACE('',(#39093),#331148,.T.); #348519=ADVANCED_FACE('',(#39094),#21045,.F.); #348520=ADVANCED_FACE('',(#39095),#331149,.T.); #348521=ADVANCED_FACE('',(#39096),#331150,.T.); #348522=ADVANCED_FACE('',(#39097),#21046,.F.); #348523=ADVANCED_FACE('',(#39098),#331151,.T.); #348524=ADVANCED_FACE('',(#39099),#21047,.F.); #348525=ADVANCED_FACE('',(#39100),#21048,.T.); #348526=ADVANCED_FACE('',(#39101),#21049,.F.); #348527=ADVANCED_FACE('',(#39102),#21050,.T.); #348528=ADVANCED_FACE('',(#39103),#21051,.F.); #348529=ADVANCED_FACE('',(#39104),#331152,.T.); #348530=ADVANCED_FACE('',(#39105),#331153,.F.); #348531=ADVANCED_FACE('',(#39106),#21052,.F.); #348532=ADVANCED_FACE('',(#39107),#21053,.F.); #348533=ADVANCED_FACE('',(#39108),#21054,.T.); #348534=ADVANCED_FACE('',(#39109),#331154,.T.); #348535=ADVANCED_FACE('',(#39110),#21055,.F.); #348536=ADVANCED_FACE('',(#39111),#21056,.F.); #348537=ADVANCED_FACE('',(#39112),#331155,.T.); #348538=ADVANCED_FACE('',(#39113),#331156,.T.); #348539=ADVANCED_FACE('',(#39114),#21057,.F.); #348540=ADVANCED_FACE('',(#39115),#331157,.T.); #348541=ADVANCED_FACE('',(#39116),#21058,.F.); #348542=ADVANCED_FACE('',(#39117),#21059,.F.); #348543=ADVANCED_FACE('',(#39118),#21060,.T.); #348544=ADVANCED_FACE('',(#39119),#21061,.F.); #348545=ADVANCED_FACE('',(#39120),#21062,.T.); #348546=ADVANCED_FACE('',(#39121),#21063,.T.); #348547=ADVANCED_FACE('',(#39122),#331158,.F.); #348548=ADVANCED_FACE('',(#39123),#331159,.T.); #348549=ADVANCED_FACE('',(#39124),#21064,.F.); #348550=ADVANCED_FACE('',(#39125),#21065,.T.); #348551=ADVANCED_FACE('',(#39126),#21066,.F.); #348552=ADVANCED_FACE('',(#39127),#21067,.F.); #348553=ADVANCED_FACE('',(#39128),#21068,.F.); #348554=ADVANCED_FACE('',(#39129),#331160,.T.); #348555=ADVANCED_FACE('',(#39130),#21069,.F.); #348556=ADVANCED_FACE('',(#39131),#331161,.T.); #348557=ADVANCED_FACE('',(#39132),#331162,.T.); #348558=ADVANCED_FACE('',(#39133),#21070,.F.); #348559=ADVANCED_FACE('',(#39134),#21071,.F.); #348560=ADVANCED_FACE('',(#39135),#331163,.T.); #348561=ADVANCED_FACE('',(#39136),#21072,.T.); #348562=ADVANCED_FACE('',(#39137),#21073,.F.); #348563=ADVANCED_FACE('',(#39138),#21074,.F.); #348564=ADVANCED_FACE('',(#39139),#21075,.F.); #348565=ADVANCED_FACE('',(#39140),#21076,.T.); #348566=ADVANCED_FACE('',(#39141),#21077,.F.); #348567=ADVANCED_FACE('',(#39142),#21078,.F.); #348568=ADVANCED_FACE('',(#39143),#21079,.F.); #348569=ADVANCED_FACE('',(#39144),#331164,.T.); #348570=ADVANCED_FACE('',(#39145),#21080,.F.); #348571=ADVANCED_FACE('',(#39146),#331165,.T.); #348572=ADVANCED_FACE('',(#39147),#331166,.T.); #348573=ADVANCED_FACE('',(#39148),#21081,.F.); #348574=ADVANCED_FACE('',(#39149),#331167,.T.); #348575=ADVANCED_FACE('',(#39150),#21082,.T.); #348576=ADVANCED_FACE('',(#39151),#21083,.T.); #348577=ADVANCED_FACE('',(#39152),#21084,.T.); #348578=ADVANCED_FACE('',(#39153),#21085,.T.); #348579=ADVANCED_FACE('',(#39154,#7965,#7966),#21086,.T.); #348580=ADVANCED_FACE('',(#39155),#3450,.F.); #348581=ADVANCED_FACE('',(#39156),#331168,.T.); #348582=ADVANCED_FACE('',(#39157),#3451,.F.); #348583=ADVANCED_FACE('',(#39158),#331169,.T.); #348584=ADVANCED_FACE('',(#39159),#21087,.T.); #348585=ADVANCED_FACE('',(#39160),#21088,.T.); #348586=ADVANCED_FACE('',(#39161),#21089,.T.); #348587=ADVANCED_FACE('',(#39162),#21090,.T.); #348588=ADVANCED_FACE('',(#39163),#21091,.T.); #348589=ADVANCED_FACE('',(#39164),#21092,.T.); #348590=ADVANCED_FACE('',(#39165),#21093,.F.); #348591=ADVANCED_FACE('',(#39166,#7967),#21094,.T.); #348592=ADVANCED_FACE('',(#39167),#21095,.F.); #348593=ADVANCED_FACE('',(#39168),#21096,.F.); #348594=ADVANCED_FACE('',(#39169),#21097,.T.); #348595=ADVANCED_FACE('',(#39170),#21098,.F.); #348596=ADVANCED_FACE('',(#39171),#21099,.F.); #348597=ADVANCED_FACE('',(#39172),#21100,.F.); #348598=ADVANCED_FACE('',(#39173),#331170,.T.); #348599=ADVANCED_FACE('',(#39174),#21101,.F.); #348600=ADVANCED_FACE('',(#39175),#21102,.T.); #348601=ADVANCED_FACE('',(#39176),#21103,.F.); #348602=ADVANCED_FACE('',(#39177),#21104,.F.); #348603=ADVANCED_FACE('',(#39178),#21105,.F.); #348604=ADVANCED_FACE('',(#39179),#331171,.T.); #348605=ADVANCED_FACE('',(#39180),#21106,.F.); #348606=ADVANCED_FACE('',(#39181),#331172,.T.); #348607=ADVANCED_FACE('',(#39182),#21107,.F.); #348608=ADVANCED_FACE('',(#39183),#21108,.F.); #348609=ADVANCED_FACE('',(#39184),#21109,.F.); #348610=ADVANCED_FACE('',(#39185),#21110,.F.); #348611=ADVANCED_FACE('',(#39186),#331173,.T.); #348612=ADVANCED_FACE('',(#39187,#7968),#21111,.F.); #348613=ADVANCED_FACE('',(#39188),#331174,.T.); #348614=ADVANCED_FACE('',(#39189),#21112,.T.); #348615=ADVANCED_FACE('',(#39190),#21113,.T.); #348616=ADVANCED_FACE('',(#39191),#21114,.F.); #348617=ADVANCED_FACE('',(#39192),#21115,.T.); #348618=ADVANCED_FACE('',(#39193),#21116,.F.); #348619=ADVANCED_FACE('',(#39194),#21117,.T.); #348620=ADVANCED_FACE('',(#39195),#21118,.F.); #348621=ADVANCED_FACE('',(#39196),#21119,.T.); #348622=ADVANCED_FACE('',(#39197),#331175,.T.); #348623=ADVANCED_FACE('',(#39198),#21120,.T.); #348624=ADVANCED_FACE('',(#39199),#331176,.T.); #348625=ADVANCED_FACE('',(#39200),#21121,.T.); #348626=ADVANCED_FACE('',(#39201),#21122,.F.); #348627=ADVANCED_FACE('',(#39202),#21123,.F.); #348628=ADVANCED_FACE('',(#39203),#21124,.F.); #348629=ADVANCED_FACE('',(#39204),#21125,.F.); #348630=ADVANCED_FACE('',(#39205),#21126,.T.); #348631=ADVANCED_FACE('',(#39206),#331177,.T.); #348632=ADVANCED_FACE('',(#39207),#21127,.T.); #348633=ADVANCED_FACE('',(#39208),#331178,.T.); #348634=ADVANCED_FACE('',(#39209),#21128,.T.); #348635=ADVANCED_FACE('',(#39210),#21129,.F.); #348636=ADVANCED_FACE('',(#39211),#21130,.T.); #348637=ADVANCED_FACE('',(#39212),#331179,.T.); #348638=ADVANCED_FACE('',(#39213),#21131,.F.); #348639=ADVANCED_FACE('',(#39214),#21132,.T.); #348640=ADVANCED_FACE('',(#39215),#331180,.T.); #348641=ADVANCED_FACE('',(#39216),#21133,.T.); #348642=ADVANCED_FACE('',(#39217),#331181,.T.); #348643=ADVANCED_FACE('',(#39218),#331182,.T.); #348644=ADVANCED_FACE('',(#39219,#7969,#7970,#7971),#21134,.F.); #348645=ADVANCED_FACE('',(#39220),#331183,.T.); #348646=ADVANCED_FACE('',(#39221),#21135,.F.); #348647=ADVANCED_FACE('',(#39222),#21136,.T.); #348648=ADVANCED_FACE('',(#39223),#331184,.T.); #348649=ADVANCED_FACE('',(#39224),#21137,.F.); #348650=ADVANCED_FACE('',(#39225),#21138,.F.); #348651=ADVANCED_FACE('',(#39226),#331185,.T.); #348652=ADVANCED_FACE('',(#39227),#21139,.T.); #348653=ADVANCED_FACE('',(#39228),#331186,.T.); #348654=ADVANCED_FACE('',(#39229),#21140,.F.); #348655=ADVANCED_FACE('',(#39230),#331187,.T.); #348656=ADVANCED_FACE('',(#39231),#21141,.T.); #348657=ADVANCED_FACE('',(#39232),#331188,.T.); #348658=ADVANCED_FACE('',(#39233),#21142,.F.); #348659=ADVANCED_FACE('',(#39234),#331189,.T.); #348660=ADVANCED_FACE('',(#39235),#21143,.F.); #348661=ADVANCED_FACE('',(#39236),#331190,.T.); #348662=ADVANCED_FACE('',(#39237),#21144,.F.); #348663=ADVANCED_FACE('',(#39238),#21145,.T.); #348664=ADVANCED_FACE('',(#39239),#21146,.F.); #348665=ADVANCED_FACE('',(#39240),#21147,.T.); #348666=ADVANCED_FACE('',(#39241),#21148,.T.); #348667=ADVANCED_FACE('',(#39242),#21149,.T.); #348668=ADVANCED_FACE('',(#39243),#21150,.F.); #348669=ADVANCED_FACE('',(#39244),#21151,.F.); #348670=ADVANCED_FACE('',(#39245),#21152,.F.); #348671=ADVANCED_FACE('',(#39246),#21153,.F.); #348672=ADVANCED_FACE('',(#39247),#331191,.F.); #348673=ADVANCED_FACE('',(#39248),#331192,.T.); #348674=ADVANCED_FACE('',(#39249),#21154,.F.); #348675=ADVANCED_FACE('',(#39250),#21155,.F.); #348676=ADVANCED_FACE('',(#39251),#21156,.F.); #348677=ADVANCED_FACE('',(#39252),#21157,.T.); #348678=ADVANCED_FACE('',(#39253),#331193,.T.); #348679=ADVANCED_FACE('',(#39254),#21158,.F.); #348680=ADVANCED_FACE('',(#39255),#21159,.F.); #348681=ADVANCED_FACE('',(#39256),#331194,.T.); #348682=ADVANCED_FACE('',(#39257),#21160,.F.); #348683=ADVANCED_FACE('',(#39258),#21161,.T.); #348684=ADVANCED_FACE('',(#39259),#21162,.T.); #348685=ADVANCED_FACE('',(#39260),#21163,.T.); #348686=ADVANCED_FACE('',(#39261),#331195,.F.); #348687=ADVANCED_FACE('',(#39262),#331196,.T.); #348688=ADVANCED_FACE('',(#39263),#21164,.T.); #348689=ADVANCED_FACE('',(#39264),#21165,.T.); #348690=ADVANCED_FACE('',(#39265),#21166,.T.); #348691=ADVANCED_FACE('',(#39266),#21167,.T.); #348692=ADVANCED_FACE('',(#39267),#331197,.T.); #348693=ADVANCED_FACE('',(#39268),#21168,.F.); #348694=ADVANCED_FACE('',(#39269),#21169,.F.); #348695=ADVANCED_FACE('',(#39270),#331198,.T.); #348696=ADVANCED_FACE('',(#39271),#21170,.F.); #348697=ADVANCED_FACE('',(#39272),#21171,.F.); #348698=ADVANCED_FACE('',(#39273),#21172,.F.); #348699=ADVANCED_FACE('',(#39274),#21173,.F.); #348700=ADVANCED_FACE('',(#39275),#21174,.F.); #348701=ADVANCED_FACE('',(#39276),#331199,.F.); #348702=ADVANCED_FACE('',(#39277),#21175,.F.); #348703=ADVANCED_FACE('',(#39278),#331200,.T.); #348704=ADVANCED_FACE('',(#39279),#21176,.F.); #348705=ADVANCED_FACE('',(#39280),#21177,.F.); #348706=ADVANCED_FACE('',(#39281),#331201,.F.); #348707=ADVANCED_FACE('',(#39282),#21178,.F.); #348708=ADVANCED_FACE('',(#39283),#331202,.T.); #348709=ADVANCED_FACE('',(#39284),#21179,.T.); #348710=ADVANCED_FACE('',(#39285),#331203,.T.); #348711=ADVANCED_FACE('',(#39286),#21180,.T.); #348712=ADVANCED_FACE('',(#39287),#21181,.F.); #348713=ADVANCED_FACE('',(#39288),#331204,.F.); #348714=ADVANCED_FACE('',(#39289),#331205,.T.); #348715=ADVANCED_FACE('',(#39290),#21182,.F.); #348716=ADVANCED_FACE('',(#39291),#21183,.F.); #348717=ADVANCED_FACE('',(#39292),#331206,.F.); #348718=ADVANCED_FACE('',(#39293),#331207,.F.); #348719=ADVANCED_FACE('',(#39294),#21184,.F.); #348720=ADVANCED_FACE('',(#39295),#331208,.T.); #348721=ADVANCED_FACE('',(#39296),#21185,.F.); #348722=ADVANCED_FACE('',(#39297),#21186,.F.); #348723=ADVANCED_FACE('',(#39298),#21187,.F.); #348724=ADVANCED_FACE('',(#39299),#331209,.T.); #348725=ADVANCED_FACE('',(#39300),#21188,.F.); #348726=ADVANCED_FACE('',(#39301),#331210,.F.); #348727=ADVANCED_FACE('',(#39302),#21189,.T.); #348728=ADVANCED_FACE('',(#39303),#21190,.T.); #348729=ADVANCED_FACE('',(#39304),#21191,.F.); #348730=ADVANCED_FACE('',(#39305),#21192,.T.); #348731=ADVANCED_FACE('',(#39306),#21193,.T.); #348732=ADVANCED_FACE('',(#39307),#3452,.T.); #348733=ADVANCED_FACE('',(#39308),#331211,.F.); #348734=ADVANCED_FACE('',(#39309),#3453,.T.); #348735=ADVANCED_FACE('',(#39310),#331212,.F.); #348736=ADVANCED_FACE('',(#39311),#331213,.F.); #348737=ADVANCED_FACE('',(#39312),#331214,.F.); #348738=ADVANCED_FACE('',(#39313),#331215,.T.); #348739=ADVANCED_FACE('',(#39314),#331216,.T.); #348740=ADVANCED_FACE('',(#39315),#21194,.T.); #348741=ADVANCED_FACE('',(#39316),#21195,.T.); #348742=ADVANCED_FACE('',(#39317),#331217,.T.); #348743=ADVANCED_FACE('',(#39318),#331218,.F.); #348744=ADVANCED_FACE('',(#39319),#21196,.F.); #348745=ADVANCED_FACE('',(#39320),#331219,.T.); #348746=ADVANCED_FACE('',(#39321),#21197,.F.); #348747=ADVANCED_FACE('',(#39322),#331220,.F.); #348748=ADVANCED_FACE('',(#39323),#21198,.T.); #348749=ADVANCED_FACE('',(#39324),#21199,.T.); #348750=ADVANCED_FACE('',(#39325),#21200,.T.); #348751=ADVANCED_FACE('',(#39326),#21201,.T.); #348752=ADVANCED_FACE('',(#39327),#21202,.T.); #348753=ADVANCED_FACE('',(#39328),#331221,.F.); #348754=ADVANCED_FACE('',(#39329),#21203,.T.); #348755=ADVANCED_FACE('',(#39330),#331222,.T.); #348756=ADVANCED_FACE('',(#39331),#21204,.F.); #348757=ADVANCED_FACE('',(#39332),#21205,.T.); #348758=ADVANCED_FACE('',(#39333),#21206,.T.); #348759=ADVANCED_FACE('',(#39334),#21207,.T.); #348760=ADVANCED_FACE('',(#39335),#21208,.T.); #348761=ADVANCED_FACE('',(#39336),#21209,.T.); #348762=ADVANCED_FACE('',(#39337),#21210,.T.); #348763=ADVANCED_FACE('',(#39338),#21211,.T.); #348764=ADVANCED_FACE('',(#39339),#21212,.T.); #348765=ADVANCED_FACE('',(#39340),#331223,.T.); #348766=ADVANCED_FACE('',(#39341),#331224,.T.); #348767=ADVANCED_FACE('',(#39342),#21213,.T.); #348768=ADVANCED_FACE('',(#39343),#21214,.T.); #348769=ADVANCED_FACE('',(#39344),#331225,.T.); #348770=ADVANCED_FACE('',(#39345),#331226,.F.); #348771=ADVANCED_FACE('',(#39346),#21215,.T.); #348772=ADVANCED_FACE('',(#39347),#331227,.T.); #348773=ADVANCED_FACE('',(#39348),#21216,.T.); #348774=ADVANCED_FACE('',(#39349),#21217,.F.); #348775=ADVANCED_FACE('',(#39350),#21218,.T.); #348776=ADVANCED_FACE('',(#39351),#21219,.T.); #348777=ADVANCED_FACE('',(#39352),#21220,.T.); #348778=ADVANCED_FACE('',(#39353),#21221,.F.); #348779=ADVANCED_FACE('',(#39354),#331228,.F.); #348780=ADVANCED_FACE('',(#39355),#21222,.F.); #348781=ADVANCED_FACE('',(#39356),#21223,.T.); #348782=ADVANCED_FACE('',(#39357),#21224,.T.); #348783=ADVANCED_FACE('',(#39358),#21225,.T.); #348784=ADVANCED_FACE('',(#39359),#21226,.T.); #348785=ADVANCED_FACE('',(#39360),#21227,.T.); #348786=ADVANCED_FACE('',(#39361),#21228,.T.); #348787=ADVANCED_FACE('',(#39362),#21229,.T.); #348788=ADVANCED_FACE('',(#39363),#21230,.T.); #348789=ADVANCED_FACE('',(#39364),#331229,.T.); #348790=ADVANCED_FACE('',(#39365),#21231,.T.); #348791=ADVANCED_FACE('',(#39366),#331230,.F.); #348792=ADVANCED_FACE('',(#39367),#331231,.F.); #348793=ADVANCED_FACE('',(#39368),#21232,.T.); #348794=ADVANCED_FACE('',(#39369),#21233,.T.); #348795=ADVANCED_FACE('',(#39370),#21234,.T.); #348796=ADVANCED_FACE('',(#39371),#21235,.T.); #348797=ADVANCED_FACE('',(#39372),#21236,.T.); #348798=ADVANCED_FACE('',(#39373),#21237,.T.); #348799=ADVANCED_FACE('',(#39374),#21238,.T.); #348800=ADVANCED_FACE('',(#39375,#7972),#21239,.T.); #348801=ADVANCED_FACE('',(#39376),#21240,.T.); #348802=ADVANCED_FACE('',(#39377),#21241,.T.); #348803=ADVANCED_FACE('',(#39378),#21242,.T.); #348804=ADVANCED_FACE('',(#39379),#21243,.T.); #348805=ADVANCED_FACE('',(#39380),#331232,.T.); #348806=ADVANCED_FACE('',(#39381),#21244,.T.); #348807=ADVANCED_FACE('',(#39382),#21245,.T.); #348808=ADVANCED_FACE('',(#39383),#21246,.T.); #348809=ADVANCED_FACE('',(#39384),#21247,.T.); #348810=ADVANCED_FACE('',(#39385),#21248,.T.); #348811=ADVANCED_FACE('',(#39386),#21249,.F.); #348812=ADVANCED_FACE('',(#39387),#21250,.F.); #348813=ADVANCED_FACE('',(#39388),#331233,.T.); #348814=ADVANCED_FACE('',(#39389),#21251,.F.); #348815=ADVANCED_FACE('',(#39390),#21252,.F.); #348816=ADVANCED_FACE('',(#39391),#21253,.F.); #348817=ADVANCED_FACE('',(#39392),#21254,.F.); #348818=ADVANCED_FACE('',(#39393),#21255,.F.); #348819=ADVANCED_FACE('',(#39394),#21256,.T.); #348820=ADVANCED_FACE('',(#39395),#21257,.T.); #348821=ADVANCED_FACE('',(#39396),#331234,.T.); #348822=ADVANCED_FACE('',(#39397),#21258,.T.); #348823=ADVANCED_FACE('',(#39398),#21259,.T.); #348824=ADVANCED_FACE('',(#39399),#21260,.T.); #348825=ADVANCED_FACE('',(#39400),#21261,.T.); #348826=ADVANCED_FACE('',(#39401),#21262,.T.); #348827=ADVANCED_FACE('',(#39402),#21263,.F.); #348828=ADVANCED_FACE('',(#39403),#21264,.T.); #348829=ADVANCED_FACE('',(#39404),#331235,.T.); #348830=ADVANCED_FACE('',(#39405),#21265,.T.); #348831=ADVANCED_FACE('',(#39406),#21266,.T.); #348832=ADVANCED_FACE('',(#39407),#21267,.T.); #348833=ADVANCED_FACE('',(#39408),#21268,.T.); #348834=ADVANCED_FACE('',(#39409),#21269,.T.); #348835=ADVANCED_FACE('',(#39410),#21270,.F.); #348836=ADVANCED_FACE('',(#39411),#21271,.T.); #348837=ADVANCED_FACE('',(#39412),#331236,.T.); #348838=ADVANCED_FACE('',(#39413),#21272,.T.); #348839=ADVANCED_FACE('',(#39414),#21273,.T.); #348840=ADVANCED_FACE('',(#39415),#21274,.T.); #348841=ADVANCED_FACE('',(#39416),#21275,.T.); #348842=ADVANCED_FACE('',(#39417),#21276,.T.); #348843=ADVANCED_FACE('',(#39418),#21277,.F.); #348844=ADVANCED_FACE('',(#39419),#21278,.T.); #348845=ADVANCED_FACE('',(#39420),#331237,.T.); #348846=ADVANCED_FACE('',(#39421),#21279,.T.); #348847=ADVANCED_FACE('',(#39422),#21280,.T.); #348848=ADVANCED_FACE('',(#39423),#21281,.T.); #348849=ADVANCED_FACE('',(#39424),#21282,.T.); #348850=ADVANCED_FACE('',(#39425),#21283,.T.); #348851=ADVANCED_FACE('',(#39426),#21284,.F.); #348852=ADVANCED_FACE('',(#39427),#21285,.T.); #348853=ADVANCED_FACE('',(#39428),#331238,.T.); #348854=ADVANCED_FACE('',(#39429),#21286,.T.); #348855=ADVANCED_FACE('',(#39430),#21287,.T.); #348856=ADVANCED_FACE('',(#39431),#21288,.T.); #348857=ADVANCED_FACE('',(#39432),#21289,.T.); #348858=ADVANCED_FACE('',(#39433),#21290,.T.); #348859=ADVANCED_FACE('',(#39434),#21291,.F.); #348860=ADVANCED_FACE('',(#39435),#21292,.T.); #348861=ADVANCED_FACE('',(#39436),#331239,.T.); #348862=ADVANCED_FACE('',(#39437),#21293,.T.); #348863=ADVANCED_FACE('',(#39438),#21294,.T.); #348864=ADVANCED_FACE('',(#39439),#21295,.T.); #348865=ADVANCED_FACE('',(#39440),#21296,.T.); #348866=ADVANCED_FACE('',(#39441),#21297,.T.); #348867=ADVANCED_FACE('',(#39442),#21298,.F.); #348868=ADVANCED_FACE('',(#39443),#21299,.T.); #348869=ADVANCED_FACE('',(#39444),#331240,.T.); #348870=ADVANCED_FACE('',(#39445),#21300,.T.); #348871=ADVANCED_FACE('',(#39446),#21301,.T.); #348872=ADVANCED_FACE('',(#39447),#21302,.T.); #348873=ADVANCED_FACE('',(#39448),#21303,.T.); #348874=ADVANCED_FACE('',(#39449),#21304,.T.); #348875=ADVANCED_FACE('',(#39450),#21305,.F.); #348876=ADVANCED_FACE('',(#39451),#21306,.T.); #348877=ADVANCED_FACE('',(#39452),#331241,.T.); #348878=ADVANCED_FACE('',(#39453),#21307,.T.); #348879=ADVANCED_FACE('',(#39454),#21308,.T.); #348880=ADVANCED_FACE('',(#39455),#21309,.T.); #348881=ADVANCED_FACE('',(#39456),#21310,.T.); #348882=ADVANCED_FACE('',(#39457),#21311,.T.); #348883=ADVANCED_FACE('',(#39458),#21312,.F.); #348884=ADVANCED_FACE('',(#39459),#21313,.T.); #348885=ADVANCED_FACE('',(#39460),#331242,.T.); #348886=ADVANCED_FACE('',(#39461),#21314,.T.); #348887=ADVANCED_FACE('',(#39462),#21315,.T.); #348888=ADVANCED_FACE('',(#39463),#21316,.T.); #348889=ADVANCED_FACE('',(#39464),#21317,.T.); #348890=ADVANCED_FACE('',(#39465),#21318,.T.); #348891=ADVANCED_FACE('',(#39466),#21319,.F.); #348892=ADVANCED_FACE('',(#39467),#21320,.T.); #348893=ADVANCED_FACE('',(#39468),#331243,.T.); #348894=ADVANCED_FACE('',(#39469),#21321,.T.); #348895=ADVANCED_FACE('',(#39470),#21322,.T.); #348896=ADVANCED_FACE('',(#39471),#21323,.T.); #348897=ADVANCED_FACE('',(#39472),#21324,.T.); #348898=ADVANCED_FACE('',(#39473),#21325,.T.); #348899=ADVANCED_FACE('',(#39474),#21326,.F.); #348900=ADVANCED_FACE('',(#39475),#21327,.T.); #348901=ADVANCED_FACE('',(#39476),#331244,.T.); #348902=ADVANCED_FACE('',(#39477),#21328,.T.); #348903=ADVANCED_FACE('',(#39478),#21329,.T.); #348904=ADVANCED_FACE('',(#39479),#21330,.T.); #348905=ADVANCED_FACE('',(#39480),#21331,.T.); #348906=ADVANCED_FACE('',(#39481),#21332,.T.); #348907=ADVANCED_FACE('',(#39482),#21333,.F.); #348908=ADVANCED_FACE('',(#39483),#21334,.F.); #348909=ADVANCED_FACE('',(#39484),#331245,.T.); #348910=ADVANCED_FACE('',(#39485),#21335,.F.); #348911=ADVANCED_FACE('',(#39486),#21336,.F.); #348912=ADVANCED_FACE('',(#39487),#21337,.F.); #348913=ADVANCED_FACE('',(#39488),#21338,.F.); #348914=ADVANCED_FACE('',(#39489),#21339,.F.); #348915=ADVANCED_FACE('',(#39490),#21340,.T.); #348916=ADVANCED_FACE('',(#39491),#21341,.F.); #348917=ADVANCED_FACE('',(#39492),#331246,.T.); #348918=ADVANCED_FACE('',(#39493),#21342,.F.); #348919=ADVANCED_FACE('',(#39494),#21343,.F.); #348920=ADVANCED_FACE('',(#39495),#21344,.F.); #348921=ADVANCED_FACE('',(#39496),#21345,.F.); #348922=ADVANCED_FACE('',(#39497),#21346,.F.); #348923=ADVANCED_FACE('',(#39498),#21347,.T.); #348924=ADVANCED_FACE('',(#39499),#21348,.F.); #348925=ADVANCED_FACE('',(#39500),#331247,.T.); #348926=ADVANCED_FACE('',(#39501),#21349,.F.); #348927=ADVANCED_FACE('',(#39502),#21350,.F.); #348928=ADVANCED_FACE('',(#39503),#21351,.F.); #348929=ADVANCED_FACE('',(#39504),#21352,.F.); #348930=ADVANCED_FACE('',(#39505),#21353,.F.); #348931=ADVANCED_FACE('',(#39506),#21354,.T.); #348932=ADVANCED_FACE('',(#39507),#21355,.F.); #348933=ADVANCED_FACE('',(#39508),#331248,.T.); #348934=ADVANCED_FACE('',(#39509),#21356,.F.); #348935=ADVANCED_FACE('',(#39510),#21357,.F.); #348936=ADVANCED_FACE('',(#39511),#21358,.F.); #348937=ADVANCED_FACE('',(#39512),#21359,.F.); #348938=ADVANCED_FACE('',(#39513),#21360,.F.); #348939=ADVANCED_FACE('',(#39514),#21361,.T.); #348940=ADVANCED_FACE('',(#39515),#21362,.F.); #348941=ADVANCED_FACE('',(#39516),#331249,.T.); #348942=ADVANCED_FACE('',(#39517),#21363,.F.); #348943=ADVANCED_FACE('',(#39518),#21364,.F.); #348944=ADVANCED_FACE('',(#39519),#21365,.F.); #348945=ADVANCED_FACE('',(#39520),#21366,.F.); #348946=ADVANCED_FACE('',(#39521),#21367,.F.); #348947=ADVANCED_FACE('',(#39522),#21368,.T.); #348948=ADVANCED_FACE('',(#39523),#21369,.F.); #348949=ADVANCED_FACE('',(#39524),#331250,.T.); #348950=ADVANCED_FACE('',(#39525),#21370,.F.); #348951=ADVANCED_FACE('',(#39526),#21371,.F.); #348952=ADVANCED_FACE('',(#39527),#21372,.F.); #348953=ADVANCED_FACE('',(#39528),#21373,.F.); #348954=ADVANCED_FACE('',(#39529),#21374,.F.); #348955=ADVANCED_FACE('',(#39530),#21375,.T.); #348956=ADVANCED_FACE('',(#39531),#21376,.F.); #348957=ADVANCED_FACE('',(#39532),#331251,.T.); #348958=ADVANCED_FACE('',(#39533),#21377,.F.); #348959=ADVANCED_FACE('',(#39534),#21378,.F.); #348960=ADVANCED_FACE('',(#39535),#21379,.F.); #348961=ADVANCED_FACE('',(#39536),#21380,.F.); #348962=ADVANCED_FACE('',(#39537),#21381,.F.); #348963=ADVANCED_FACE('',(#39538),#21382,.T.); #348964=ADVANCED_FACE('',(#39539),#21383,.F.); #348965=ADVANCED_FACE('',(#39540),#331252,.T.); #348966=ADVANCED_FACE('',(#39541),#21384,.F.); #348967=ADVANCED_FACE('',(#39542),#21385,.F.); #348968=ADVANCED_FACE('',(#39543),#21386,.F.); #348969=ADVANCED_FACE('',(#39544),#21387,.F.); #348970=ADVANCED_FACE('',(#39545),#21388,.F.); #348971=ADVANCED_FACE('',(#39546),#21389,.T.); #348972=ADVANCED_FACE('',(#39547),#21390,.F.); #348973=ADVANCED_FACE('',(#39548),#331253,.T.); #348974=ADVANCED_FACE('',(#39549),#21391,.F.); #348975=ADVANCED_FACE('',(#39550),#21392,.F.); #348976=ADVANCED_FACE('',(#39551),#21393,.F.); #348977=ADVANCED_FACE('',(#39552),#21394,.F.); #348978=ADVANCED_FACE('',(#39553),#21395,.F.); #348979=ADVANCED_FACE('',(#39554),#21396,.T.); #348980=ADVANCED_FACE('',(#39555),#21397,.F.); #348981=ADVANCED_FACE('',(#39556),#331254,.T.); #348982=ADVANCED_FACE('',(#39557),#21398,.F.); #348983=ADVANCED_FACE('',(#39558),#21399,.F.); #348984=ADVANCED_FACE('',(#39559),#21400,.F.); #348985=ADVANCED_FACE('',(#39560),#21401,.F.); #348986=ADVANCED_FACE('',(#39561),#21402,.F.); #348987=ADVANCED_FACE('',(#39562),#21403,.T.); #348988=ADVANCED_FACE('',(#39563),#21404,.F.); #348989=ADVANCED_FACE('',(#39564),#331255,.T.); #348990=ADVANCED_FACE('',(#39565),#21405,.F.); #348991=ADVANCED_FACE('',(#39566),#21406,.F.); #348992=ADVANCED_FACE('',(#39567),#21407,.F.); #348993=ADVANCED_FACE('',(#39568),#21408,.F.); #348994=ADVANCED_FACE('',(#39569),#21409,.F.); #348995=ADVANCED_FACE('',(#39570),#21410,.T.); #348996=ADVANCED_FACE('',(#39571),#21411,.T.); #348997=ADVANCED_FACE('',(#39572),#331256,.T.); #348998=ADVANCED_FACE('',(#39573),#21412,.T.); #348999=ADVANCED_FACE('',(#39574),#21413,.T.); #349000=ADVANCED_FACE('',(#39575),#21414,.T.); #349001=ADVANCED_FACE('',(#39576),#21415,.T.); #349002=ADVANCED_FACE('',(#39577),#21416,.T.); #349003=ADVANCED_FACE('',(#39578),#21417,.F.); #349004=ADVANCED_FACE('',(#39579),#21418,.F.); #349005=ADVANCED_FACE('',(#39580),#331257,.T.); #349006=ADVANCED_FACE('',(#39581),#21419,.F.); #349007=ADVANCED_FACE('',(#39582),#21420,.F.); #349008=ADVANCED_FACE('',(#39583),#21421,.F.); #349009=ADVANCED_FACE('',(#39584),#21422,.F.); #349010=ADVANCED_FACE('',(#39585),#21423,.F.); #349011=ADVANCED_FACE('',(#39586),#21424,.T.); #349012=ADVANCED_FACE('',(#39587),#21425,.T.); #349013=ADVANCED_FACE('',(#39588),#331258,.T.); #349014=ADVANCED_FACE('',(#39589),#21426,.T.); #349015=ADVANCED_FACE('',(#39590),#21427,.T.); #349016=ADVANCED_FACE('',(#39591),#21428,.T.); #349017=ADVANCED_FACE('',(#39592),#21429,.T.); #349018=ADVANCED_FACE('',(#39593),#21430,.T.); #349019=ADVANCED_FACE('',(#39594),#21431,.F.); #349020=ADVANCED_FACE('',(#39595),#21432,.T.); #349021=ADVANCED_FACE('',(#39596),#331259,.T.); #349022=ADVANCED_FACE('',(#39597),#21433,.T.); #349023=ADVANCED_FACE('',(#39598),#21434,.T.); #349024=ADVANCED_FACE('',(#39599),#21435,.T.); #349025=ADVANCED_FACE('',(#39600),#21436,.T.); #349026=ADVANCED_FACE('',(#39601),#21437,.T.); #349027=ADVANCED_FACE('',(#39602),#21438,.F.); #349028=ADVANCED_FACE('',(#39603),#21439,.T.); #349029=ADVANCED_FACE('',(#39604),#331260,.T.); #349030=ADVANCED_FACE('',(#39605),#21440,.T.); #349031=ADVANCED_FACE('',(#39606),#21441,.T.); #349032=ADVANCED_FACE('',(#39607),#21442,.T.); #349033=ADVANCED_FACE('',(#39608),#21443,.T.); #349034=ADVANCED_FACE('',(#39609),#21444,.T.); #349035=ADVANCED_FACE('',(#39610),#21445,.F.); #349036=ADVANCED_FACE('',(#39611),#21446,.T.); #349037=ADVANCED_FACE('',(#39612),#331261,.T.); #349038=ADVANCED_FACE('',(#39613),#21447,.T.); #349039=ADVANCED_FACE('',(#39614),#21448,.T.); #349040=ADVANCED_FACE('',(#39615),#21449,.T.); #349041=ADVANCED_FACE('',(#39616),#21450,.T.); #349042=ADVANCED_FACE('',(#39617),#21451,.T.); #349043=ADVANCED_FACE('',(#39618),#21452,.F.); #349044=ADVANCED_FACE('',(#39619),#21453,.T.); #349045=ADVANCED_FACE('',(#39620),#331262,.T.); #349046=ADVANCED_FACE('',(#39621),#21454,.T.); #349047=ADVANCED_FACE('',(#39622),#21455,.T.); #349048=ADVANCED_FACE('',(#39623),#21456,.T.); #349049=ADVANCED_FACE('',(#39624),#21457,.T.); #349050=ADVANCED_FACE('',(#39625),#21458,.T.); #349051=ADVANCED_FACE('',(#39626),#21459,.F.); #349052=ADVANCED_FACE('',(#39627),#21460,.T.); #349053=ADVANCED_FACE('',(#39628),#331263,.T.); #349054=ADVANCED_FACE('',(#39629),#21461,.T.); #349055=ADVANCED_FACE('',(#39630),#21462,.T.); #349056=ADVANCED_FACE('',(#39631),#21463,.T.); #349057=ADVANCED_FACE('',(#39632),#21464,.T.); #349058=ADVANCED_FACE('',(#39633),#21465,.T.); #349059=ADVANCED_FACE('',(#39634),#21466,.F.); #349060=ADVANCED_FACE('',(#39635),#21467,.T.); #349061=ADVANCED_FACE('',(#39636),#331264,.T.); #349062=ADVANCED_FACE('',(#39637),#21468,.T.); #349063=ADVANCED_FACE('',(#39638),#21469,.T.); #349064=ADVANCED_FACE('',(#39639),#21470,.T.); #349065=ADVANCED_FACE('',(#39640),#21471,.T.); #349066=ADVANCED_FACE('',(#39641),#21472,.T.); #349067=ADVANCED_FACE('',(#39642),#21473,.F.); #349068=ADVANCED_FACE('',(#39643),#21474,.T.); #349069=ADVANCED_FACE('',(#39644),#331265,.T.); #349070=ADVANCED_FACE('',(#39645),#21475,.T.); #349071=ADVANCED_FACE('',(#39646),#21476,.T.); #349072=ADVANCED_FACE('',(#39647),#21477,.T.); #349073=ADVANCED_FACE('',(#39648),#21478,.T.); #349074=ADVANCED_FACE('',(#39649),#21479,.T.); #349075=ADVANCED_FACE('',(#39650),#21480,.F.); #349076=ADVANCED_FACE('',(#39651),#21481,.T.); #349077=ADVANCED_FACE('',(#39652),#331266,.T.); #349078=ADVANCED_FACE('',(#39653),#21482,.T.); #349079=ADVANCED_FACE('',(#39654),#21483,.T.); #349080=ADVANCED_FACE('',(#39655),#21484,.T.); #349081=ADVANCED_FACE('',(#39656),#21485,.T.); #349082=ADVANCED_FACE('',(#39657),#21486,.T.); #349083=ADVANCED_FACE('',(#39658),#21487,.F.); #349084=ADVANCED_FACE('',(#39659),#21488,.T.); #349085=ADVANCED_FACE('',(#39660),#331267,.T.); #349086=ADVANCED_FACE('',(#39661),#21489,.T.); #349087=ADVANCED_FACE('',(#39662),#21490,.T.); #349088=ADVANCED_FACE('',(#39663),#21491,.T.); #349089=ADVANCED_FACE('',(#39664),#21492,.T.); #349090=ADVANCED_FACE('',(#39665),#21493,.T.); #349091=ADVANCED_FACE('',(#39666),#21494,.F.); #349092=ADVANCED_FACE('',(#39667),#21495,.T.); #349093=ADVANCED_FACE('',(#39668),#331268,.T.); #349094=ADVANCED_FACE('',(#39669),#21496,.T.); #349095=ADVANCED_FACE('',(#39670),#21497,.T.); #349096=ADVANCED_FACE('',(#39671),#21498,.T.); #349097=ADVANCED_FACE('',(#39672),#21499,.T.); #349098=ADVANCED_FACE('',(#39673),#21500,.T.); #349099=ADVANCED_FACE('',(#39674),#21501,.F.); #349100=ADVANCED_FACE('',(#39675),#21502,.F.); #349101=ADVANCED_FACE('',(#39676),#331269,.T.); #349102=ADVANCED_FACE('',(#39677),#21503,.F.); #349103=ADVANCED_FACE('',(#39678),#21504,.F.); #349104=ADVANCED_FACE('',(#39679),#21505,.F.); #349105=ADVANCED_FACE('',(#39680),#21506,.F.); #349106=ADVANCED_FACE('',(#39681),#21507,.F.); #349107=ADVANCED_FACE('',(#39682),#21508,.T.); #349108=ADVANCED_FACE('',(#39683),#21509,.F.); #349109=ADVANCED_FACE('',(#39684),#331270,.T.); #349110=ADVANCED_FACE('',(#39685),#21510,.F.); #349111=ADVANCED_FACE('',(#39686),#21511,.F.); #349112=ADVANCED_FACE('',(#39687),#21512,.F.); #349113=ADVANCED_FACE('',(#39688),#21513,.F.); #349114=ADVANCED_FACE('',(#39689),#21514,.F.); #349115=ADVANCED_FACE('',(#39690),#21515,.T.); #349116=ADVANCED_FACE('',(#39691),#21516,.F.); #349117=ADVANCED_FACE('',(#39692),#331271,.T.); #349118=ADVANCED_FACE('',(#39693),#21517,.F.); #349119=ADVANCED_FACE('',(#39694),#21518,.F.); #349120=ADVANCED_FACE('',(#39695),#21519,.F.); #349121=ADVANCED_FACE('',(#39696),#21520,.F.); #349122=ADVANCED_FACE('',(#39697),#21521,.F.); #349123=ADVANCED_FACE('',(#39698),#21522,.T.); #349124=ADVANCED_FACE('',(#39699),#21523,.F.); #349125=ADVANCED_FACE('',(#39700),#331272,.T.); #349126=ADVANCED_FACE('',(#39701),#21524,.F.); #349127=ADVANCED_FACE('',(#39702),#21525,.F.); #349128=ADVANCED_FACE('',(#39703),#21526,.F.); #349129=ADVANCED_FACE('',(#39704),#21527,.F.); #349130=ADVANCED_FACE('',(#39705),#21528,.F.); #349131=ADVANCED_FACE('',(#39706),#21529,.T.); #349132=ADVANCED_FACE('',(#39707),#21530,.F.); #349133=ADVANCED_FACE('',(#39708),#331273,.T.); #349134=ADVANCED_FACE('',(#39709),#21531,.F.); #349135=ADVANCED_FACE('',(#39710),#21532,.F.); #349136=ADVANCED_FACE('',(#39711),#21533,.F.); #349137=ADVANCED_FACE('',(#39712),#21534,.F.); #349138=ADVANCED_FACE('',(#39713),#21535,.F.); #349139=ADVANCED_FACE('',(#39714),#21536,.T.); #349140=ADVANCED_FACE('',(#39715),#21537,.F.); #349141=ADVANCED_FACE('',(#39716),#331274,.T.); #349142=ADVANCED_FACE('',(#39717),#21538,.F.); #349143=ADVANCED_FACE('',(#39718),#21539,.F.); #349144=ADVANCED_FACE('',(#39719),#21540,.F.); #349145=ADVANCED_FACE('',(#39720),#21541,.F.); #349146=ADVANCED_FACE('',(#39721),#21542,.F.); #349147=ADVANCED_FACE('',(#39722),#21543,.T.); #349148=ADVANCED_FACE('',(#39723),#21544,.F.); #349149=ADVANCED_FACE('',(#39724),#331275,.T.); #349150=ADVANCED_FACE('',(#39725),#21545,.F.); #349151=ADVANCED_FACE('',(#39726),#21546,.F.); #349152=ADVANCED_FACE('',(#39727),#21547,.F.); #349153=ADVANCED_FACE('',(#39728),#21548,.F.); #349154=ADVANCED_FACE('',(#39729),#21549,.F.); #349155=ADVANCED_FACE('',(#39730),#21550,.T.); #349156=ADVANCED_FACE('',(#39731),#21551,.F.); #349157=ADVANCED_FACE('',(#39732),#331276,.T.); #349158=ADVANCED_FACE('',(#39733),#21552,.F.); #349159=ADVANCED_FACE('',(#39734),#21553,.F.); #349160=ADVANCED_FACE('',(#39735),#21554,.F.); #349161=ADVANCED_FACE('',(#39736),#21555,.F.); #349162=ADVANCED_FACE('',(#39737),#21556,.F.); #349163=ADVANCED_FACE('',(#39738),#21557,.T.); #349164=ADVANCED_FACE('',(#39739),#21558,.F.); #349165=ADVANCED_FACE('',(#39740),#331277,.T.); #349166=ADVANCED_FACE('',(#39741),#21559,.F.); #349167=ADVANCED_FACE('',(#39742),#21560,.F.); #349168=ADVANCED_FACE('',(#39743),#21561,.F.); #349169=ADVANCED_FACE('',(#39744),#21562,.F.); #349170=ADVANCED_FACE('',(#39745),#21563,.F.); #349171=ADVANCED_FACE('',(#39746),#21564,.T.); #349172=ADVANCED_FACE('',(#39747),#21565,.F.); #349173=ADVANCED_FACE('',(#39748),#331278,.T.); #349174=ADVANCED_FACE('',(#39749),#21566,.F.); #349175=ADVANCED_FACE('',(#39750),#21567,.F.); #349176=ADVANCED_FACE('',(#39751),#21568,.F.); #349177=ADVANCED_FACE('',(#39752),#21569,.F.); #349178=ADVANCED_FACE('',(#39753),#21570,.F.); #349179=ADVANCED_FACE('',(#39754),#21571,.T.); #349180=ADVANCED_FACE('',(#39755),#21572,.F.); #349181=ADVANCED_FACE('',(#39756),#331279,.T.); #349182=ADVANCED_FACE('',(#39757),#21573,.F.); #349183=ADVANCED_FACE('',(#39758),#21574,.F.); #349184=ADVANCED_FACE('',(#39759),#21575,.F.); #349185=ADVANCED_FACE('',(#39760),#21576,.F.); #349186=ADVANCED_FACE('',(#39761),#21577,.F.); #349187=ADVANCED_FACE('',(#39762),#21578,.T.); #349188=ADVANCED_FACE('',(#39763),#21579,.T.); #349189=ADVANCED_FACE('',(#39764),#21580,.T.); #349190=ADVANCED_FACE('',(#39765),#21581,.T.); #349191=ADVANCED_FACE('',(#39766),#21582,.T.); #349192=ADVANCED_FACE('',(#39767),#21583,.T.); #349193=ADVANCED_FACE('',(#39768),#21584,.F.); #349194=ADVANCED_FACE('',(#39769),#91,.T.); #349195=ADVANCED_FACE('',(#39770),#92,.T.); #349196=ADVANCED_FACE('',(#39771),#93,.T.); #349197=ADVANCED_FACE('',(#39772),#94,.T.); #349198=ADVANCED_FACE('',(#39773),#95,.T.); #349199=ADVANCED_FACE('',(#39774),#331280,.T.); #349200=ADVANCED_FACE('',(#39775),#96,.T.); #349201=ADVANCED_FACE('',(#39776),#97,.T.); #349202=ADVANCED_FACE('',(#39777),#331281,.T.); #349203=ADVANCED_FACE('',(#39778),#331282,.T.); #349204=ADVANCED_FACE('',(#39779),#98,.T.); #349205=ADVANCED_FACE('',(#39780),#331283,.T.); #349206=ADVANCED_FACE('',(#39781),#331284,.T.); #349207=ADVANCED_FACE('',(#39782),#331285,.T.); #349208=ADVANCED_FACE('',(#39783),#331286,.T.); #349209=ADVANCED_FACE('',(#39784),#331287,.T.); #349210=ADVANCED_FACE('',(#39785),#331288,.T.); #349211=ADVANCED_FACE('',(#39786),#331289,.T.); #349212=ADVANCED_FACE('',(#39787),#331290,.T.); #349213=ADVANCED_FACE('',(#39788),#331291,.T.); #349214=ADVANCED_FACE('',(#39789),#21585,.T.); #349215=ADVANCED_FACE('',(#39790),#21586,.F.); #349216=ADVANCED_FACE('',(#39791),#21587,.T.); #349217=ADVANCED_FACE('',(#39792),#21588,.T.); #349218=ADVANCED_FACE('',(#39793),#21589,.T.); #349219=ADVANCED_FACE('',(#39794),#21590,.T.); #349220=ADVANCED_FACE('',(#39795),#99,.T.); #349221=ADVANCED_FACE('',(#39796),#100,.T.); #349222=ADVANCED_FACE('',(#39797),#101,.T.); #349223=ADVANCED_FACE('',(#39798),#102,.T.); #349224=ADVANCED_FACE('',(#39799),#103,.T.); #349225=ADVANCED_FACE('',(#39800),#331292,.T.); #349226=ADVANCED_FACE('',(#39801),#104,.T.); #349227=ADVANCED_FACE('',(#39802),#105,.T.); #349228=ADVANCED_FACE('',(#39803),#331293,.T.); #349229=ADVANCED_FACE('',(#39804),#331294,.T.); #349230=ADVANCED_FACE('',(#39805),#106,.T.); #349231=ADVANCED_FACE('',(#39806),#331295,.T.); #349232=ADVANCED_FACE('',(#39807),#331296,.T.); #349233=ADVANCED_FACE('',(#39808),#331297,.T.); #349234=ADVANCED_FACE('',(#39809),#331298,.T.); #349235=ADVANCED_FACE('',(#39810),#331299,.T.); #349236=ADVANCED_FACE('',(#39811),#331300,.T.); #349237=ADVANCED_FACE('',(#39812),#331301,.T.); #349238=ADVANCED_FACE('',(#39813),#331302,.T.); #349239=ADVANCED_FACE('',(#39814),#331303,.T.); #349240=ADVANCED_FACE('',(#39815),#21591,.T.); #349241=ADVANCED_FACE('',(#39816),#21592,.F.); #349242=ADVANCED_FACE('',(#39817),#21593,.T.); #349243=ADVANCED_FACE('',(#39818),#21594,.T.); #349244=ADVANCED_FACE('',(#39819),#21595,.T.); #349245=ADVANCED_FACE('',(#39820),#21596,.T.); #349246=ADVANCED_FACE('',(#39821),#21597,.T.); #349247=ADVANCED_FACE('',(#39822),#21598,.T.); #349248=ADVANCED_FACE('',(#39823),#21599,.T.); #349249=ADVANCED_FACE('',(#39824),#21600,.T.); #349250=ADVANCED_FACE('',(#39825),#21601,.T.); #349251=ADVANCED_FACE('',(#39826),#21602,.F.); #349252=ADVANCED_FACE('',(#39827),#21603,.T.); #349253=ADVANCED_FACE('',(#39828),#21604,.T.); #349254=ADVANCED_FACE('',(#39829),#21605,.T.); #349255=ADVANCED_FACE('',(#39830),#21606,.T.); #349256=ADVANCED_FACE('',(#39831),#21607,.T.); #349257=ADVANCED_FACE('',(#39832),#21608,.T.); #349258=ADVANCED_FACE('',(#39833),#21609,.T.); #349259=ADVANCED_FACE('',(#39834),#21610,.T.); #349260=ADVANCED_FACE('',(#39835),#21611,.T.); #349261=ADVANCED_FACE('',(#39836),#21612,.F.); #349262=ADVANCED_FACE('',(#39837),#21613,.T.); #349263=ADVANCED_FACE('',(#39838),#21614,.T.); #349264=ADVANCED_FACE('',(#39839),#21615,.T.); #349265=ADVANCED_FACE('',(#39840),#21616,.T.); #349266=ADVANCED_FACE('',(#39841),#21617,.T.); #349267=ADVANCED_FACE('',(#39842),#21618,.F.); #349268=ADVANCED_FACE('',(#39843),#21619,.T.); #349269=ADVANCED_FACE('',(#39844),#21620,.T.); #349270=ADVANCED_FACE('',(#39845),#21621,.T.); #349271=ADVANCED_FACE('',(#39846),#21622,.T.); #349272=ADVANCED_FACE('',(#39847),#21623,.T.); #349273=ADVANCED_FACE('',(#39848),#21624,.F.); #349274=ADVANCED_FACE('',(#39849),#21625,.T.); #349275=ADVANCED_FACE('',(#39850),#21626,.T.); #349276=ADVANCED_FACE('',(#39851),#21627,.T.); #349277=ADVANCED_FACE('',(#39852),#21628,.T.); #349278=ADVANCED_FACE('',(#39853),#21629,.T.); #349279=ADVANCED_FACE('',(#39854),#21630,.F.); #349280=ADVANCED_FACE('',(#39855),#21631,.T.); #349281=ADVANCED_FACE('',(#39856),#21632,.T.); #349282=ADVANCED_FACE('',(#39857),#21633,.T.); #349283=ADVANCED_FACE('',(#39858),#21634,.T.); #349284=ADVANCED_FACE('',(#39859),#21635,.T.); #349285=ADVANCED_FACE('',(#39860),#21636,.F.); #349286=ADVANCED_FACE('',(#39861),#21637,.T.); #349287=ADVANCED_FACE('',(#39862),#21638,.T.); #349288=ADVANCED_FACE('',(#39863),#21639,.T.); #349289=ADVANCED_FACE('',(#39864),#21640,.T.); #349290=ADVANCED_FACE('',(#39865),#21641,.T.); #349291=ADVANCED_FACE('',(#39866),#21642,.F.); #349292=ADVANCED_FACE('',(#39867),#331304,.F.); #349293=ADVANCED_FACE('',(#39868),#21643,.T.); #349294=ADVANCED_FACE('',(#39869),#21644,.T.); #349295=ADVANCED_FACE('',(#39870),#21645,.T.); #349296=ADVANCED_FACE('',(#39871),#21646,.T.); #349297=ADVANCED_FACE('',(#39872),#21647,.T.); #349298=ADVANCED_FACE('',(#39873),#21648,.T.); #349299=ADVANCED_FACE('',(#39874),#21649,.T.); #349300=ADVANCED_FACE('',(#39875,#7973),#21650,.T.); #349301=ADVANCED_FACE('',(#39876),#21651,.T.); #349302=ADVANCED_FACE('',(#39877),#21652,.T.); #349303=ADVANCED_FACE('',(#39878),#21653,.T.); #349304=ADVANCED_FACE('',(#39879),#21654,.T.); #349305=ADVANCED_FACE('',(#39880),#21655,.T.); #349306=ADVANCED_FACE('',(#39881),#21656,.F.); #349307=ADVANCED_FACE('',(#39882),#21657,.T.); #349308=ADVANCED_FACE('',(#39883),#21658,.F.); #349309=ADVANCED_FACE('',(#39884),#21659,.T.); #349310=ADVANCED_FACE('',(#39885),#331305,.F.); #349311=ADVANCED_FACE('',(#39886),#21660,.T.); #349312=ADVANCED_FACE('',(#39887),#21661,.T.); #349313=ADVANCED_FACE('',(#39888),#21662,.T.); #349314=ADVANCED_FACE('',(#39889),#331306,.T.); #349315=ADVANCED_FACE('',(#39890),#21663,.T.); #349316=ADVANCED_FACE('',(#39891),#331307,.F.); #349317=ADVANCED_FACE('',(#39892),#21664,.T.); #349318=ADVANCED_FACE('',(#39893),#21665,.T.); #349319=ADVANCED_FACE('',(#39894),#21666,.T.); #349320=ADVANCED_FACE('',(#39895),#331308,.T.); #349321=ADVANCED_FACE('',(#39896),#21667,.T.); #349322=ADVANCED_FACE('',(#39897),#21668,.T.); #349323=ADVANCED_FACE('',(#39898),#21669,.F.); #349324=ADVANCED_FACE('',(#39899),#331309,.F.); #349325=ADVANCED_FACE('',(#39900),#21670,.F.); #349326=ADVANCED_FACE('',(#39901),#21671,.F.); #349327=ADVANCED_FACE('',(#39902),#21672,.F.); #349328=ADVANCED_FACE('',(#39903),#331310,.T.); #349329=ADVANCED_FACE('',(#39904),#21673,.F.); #349330=ADVANCED_FACE('',(#39905),#331311,.F.); #349331=ADVANCED_FACE('',(#39906),#21674,.F.); #349332=ADVANCED_FACE('',(#39907),#21675,.F.); #349333=ADVANCED_FACE('',(#39908),#21676,.F.); #349334=ADVANCED_FACE('',(#39909),#331312,.T.); #349335=ADVANCED_FACE('',(#39910),#21677,.F.); #349336=ADVANCED_FACE('',(#39911),#21678,.F.); #349337=ADVANCED_FACE('',(#39912),#21679,.T.); #349338=ADVANCED_FACE('',(#39913),#331313,.F.); #349339=ADVANCED_FACE('',(#39914),#21680,.T.); #349340=ADVANCED_FACE('',(#39915),#21681,.T.); #349341=ADVANCED_FACE('',(#39916),#21682,.T.); #349342=ADVANCED_FACE('',(#39917),#331314,.T.); #349343=ADVANCED_FACE('',(#39918),#21683,.T.); #349344=ADVANCED_FACE('',(#39919),#331315,.F.); #349345=ADVANCED_FACE('',(#39920),#21684,.T.); #349346=ADVANCED_FACE('',(#39921),#21685,.T.); #349347=ADVANCED_FACE('',(#39922),#21686,.T.); #349348=ADVANCED_FACE('',(#39923),#331316,.T.); #349349=ADVANCED_FACE('',(#39924),#21687,.T.); #349350=ADVANCED_FACE('',(#39925),#21688,.F.); #349351=ADVANCED_FACE('',(#39926),#21689,.T.); #349352=ADVANCED_FACE('',(#39927),#331317,.F.); #349353=ADVANCED_FACE('',(#39928),#21690,.T.); #349354=ADVANCED_FACE('',(#39929),#21691,.T.); #349355=ADVANCED_FACE('',(#39930),#21692,.T.); #349356=ADVANCED_FACE('',(#39931),#331318,.T.); #349357=ADVANCED_FACE('',(#39932),#21693,.T.); #349358=ADVANCED_FACE('',(#39933),#331319,.F.); #349359=ADVANCED_FACE('',(#39934),#21694,.T.); #349360=ADVANCED_FACE('',(#39935),#21695,.T.); #349361=ADVANCED_FACE('',(#39936),#21696,.T.); #349362=ADVANCED_FACE('',(#39937),#331320,.T.); #349363=ADVANCED_FACE('',(#39938),#21697,.T.); #349364=ADVANCED_FACE('',(#39939),#21698,.F.); #349365=ADVANCED_FACE('',(#39940),#21699,.T.); #349366=ADVANCED_FACE('',(#39941),#331321,.F.); #349367=ADVANCED_FACE('',(#39942),#21700,.T.); #349368=ADVANCED_FACE('',(#39943),#21701,.T.); #349369=ADVANCED_FACE('',(#39944),#21702,.T.); #349370=ADVANCED_FACE('',(#39945),#331322,.T.); #349371=ADVANCED_FACE('',(#39946),#21703,.T.); #349372=ADVANCED_FACE('',(#39947),#331323,.F.); #349373=ADVANCED_FACE('',(#39948),#21704,.T.); #349374=ADVANCED_FACE('',(#39949),#21705,.T.); #349375=ADVANCED_FACE('',(#39950),#21706,.T.); #349376=ADVANCED_FACE('',(#39951),#331324,.T.); #349377=ADVANCED_FACE('',(#39952),#21707,.T.); #349378=ADVANCED_FACE('',(#39953),#21708,.T.); #349379=ADVANCED_FACE('',(#39954),#21709,.F.); #349380=ADVANCED_FACE('',(#39955),#331325,.F.); #349381=ADVANCED_FACE('',(#39956),#21710,.F.); #349382=ADVANCED_FACE('',(#39957),#21711,.F.); #349383=ADVANCED_FACE('',(#39958),#21712,.F.); #349384=ADVANCED_FACE('',(#39959),#331326,.T.); #349385=ADVANCED_FACE('',(#39960),#21713,.F.); #349386=ADVANCED_FACE('',(#39961),#331327,.F.); #349387=ADVANCED_FACE('',(#39962),#21714,.F.); #349388=ADVANCED_FACE('',(#39963),#21715,.F.); #349389=ADVANCED_FACE('',(#39964),#21716,.F.); #349390=ADVANCED_FACE('',(#39965),#331328,.T.); #349391=ADVANCED_FACE('',(#39966),#21717,.F.); #349392=ADVANCED_FACE('',(#39967),#21718,.T.); #349393=ADVANCED_FACE('',(#39968),#21719,.F.); #349394=ADVANCED_FACE('',(#39969),#331329,.F.); #349395=ADVANCED_FACE('',(#39970),#21720,.F.); #349396=ADVANCED_FACE('',(#39971),#21721,.F.); #349397=ADVANCED_FACE('',(#39972),#21722,.F.); #349398=ADVANCED_FACE('',(#39973),#331330,.T.); #349399=ADVANCED_FACE('',(#39974),#21723,.F.); #349400=ADVANCED_FACE('',(#39975),#331331,.F.); #349401=ADVANCED_FACE('',(#39976),#21724,.F.); #349402=ADVANCED_FACE('',(#39977),#21725,.F.); #349403=ADVANCED_FACE('',(#39978),#21726,.F.); #349404=ADVANCED_FACE('',(#39979),#331332,.T.); #349405=ADVANCED_FACE('',(#39980),#21727,.F.); #349406=ADVANCED_FACE('',(#39981),#21728,.T.); #349407=ADVANCED_FACE('',(#39982),#21729,.F.); #349408=ADVANCED_FACE('',(#39983),#331333,.F.); #349409=ADVANCED_FACE('',(#39984),#21730,.F.); #349410=ADVANCED_FACE('',(#39985),#21731,.F.); #349411=ADVANCED_FACE('',(#39986),#21732,.F.); #349412=ADVANCED_FACE('',(#39987),#331334,.T.); #349413=ADVANCED_FACE('',(#39988),#21733,.F.); #349414=ADVANCED_FACE('',(#39989),#331335,.F.); #349415=ADVANCED_FACE('',(#39990),#21734,.F.); #349416=ADVANCED_FACE('',(#39991),#21735,.F.); #349417=ADVANCED_FACE('',(#39992),#21736,.F.); #349418=ADVANCED_FACE('',(#39993),#331336,.T.); #349419=ADVANCED_FACE('',(#39994),#21737,.F.); #349420=ADVANCED_FACE('',(#39995),#331337,.F.); #349421=ADVANCED_FACE('',(#39996),#21738,.T.); #349422=ADVANCED_FACE('',(#39997),#21739,.T.); #349423=ADVANCED_FACE('',(#39998),#21740,.T.); #349424=ADVANCED_FACE('',(#39999),#21741,.T.); #349425=ADVANCED_FACE('',(#40000),#21742,.T.); #349426=ADVANCED_FACE('',(#40001),#21743,.T.); #349427=ADVANCED_FACE('',(#40002),#21744,.T.); #349428=ADVANCED_FACE('',(#40003,#7974),#21745,.T.); #349429=ADVANCED_FACE('',(#40004),#21746,.T.); #349430=ADVANCED_FACE('',(#40005),#21747,.T.); #349431=ADVANCED_FACE('',(#40006),#21748,.T.); #349432=ADVANCED_FACE('',(#40007),#21749,.T.); #349433=ADVANCED_FACE('',(#40008),#21750,.T.); #349434=ADVANCED_FACE('',(#40009),#21751,.F.); #349435=ADVANCED_FACE('',(#40010),#21752,.T.); #349436=ADVANCED_FACE('',(#40011),#21753,.T.); #349437=ADVANCED_FACE('',(#40012),#21754,.T.); #349438=ADVANCED_FACE('',(#40013),#21755,.T.); #349439=ADVANCED_FACE('',(#40014),#21756,.T.); #349440=ADVANCED_FACE('',(#40015),#331338,.F.); #349441=ADVANCED_FACE('',(#40016),#21757,.T.); #349442=ADVANCED_FACE('',(#40017),#331339,.T.); #349443=ADVANCED_FACE('',(#40018),#21758,.T.); #349444=ADVANCED_FACE('',(#40019),#21759,.T.); #349445=ADVANCED_FACE('',(#40020),#21760,.T.); #349446=ADVANCED_FACE('',(#40021),#331340,.F.); #349447=ADVANCED_FACE('',(#40022),#21761,.T.); #349448=ADVANCED_FACE('',(#40023),#331341,.T.); #349449=ADVANCED_FACE('',(#40024),#21762,.F.); #349450=ADVANCED_FACE('',(#40025),#21763,.T.); #349451=ADVANCED_FACE('',(#40026),#21764,.T.); #349452=ADVANCED_FACE('',(#40027),#21765,.T.); #349453=ADVANCED_FACE('',(#40028),#21766,.T.); #349454=ADVANCED_FACE('',(#40029),#331342,.F.); #349455=ADVANCED_FACE('',(#40030),#21767,.T.); #349456=ADVANCED_FACE('',(#40031),#331343,.T.); #349457=ADVANCED_FACE('',(#40032),#21768,.T.); #349458=ADVANCED_FACE('',(#40033),#21769,.T.); #349459=ADVANCED_FACE('',(#40034),#21770,.T.); #349460=ADVANCED_FACE('',(#40035),#331344,.F.); #349461=ADVANCED_FACE('',(#40036),#21771,.T.); #349462=ADVANCED_FACE('',(#40037),#331345,.T.); #349463=ADVANCED_FACE('',(#40038),#21772,.F.); #349464=ADVANCED_FACE('',(#40039),#21773,.T.); #349465=ADVANCED_FACE('',(#40040),#21774,.T.); #349466=ADVANCED_FACE('',(#40041),#21775,.T.); #349467=ADVANCED_FACE('',(#40042),#21776,.T.); #349468=ADVANCED_FACE('',(#40043),#331346,.F.); #349469=ADVANCED_FACE('',(#40044),#21777,.T.); #349470=ADVANCED_FACE('',(#40045),#331347,.T.); #349471=ADVANCED_FACE('',(#40046),#21778,.T.); #349472=ADVANCED_FACE('',(#40047),#21779,.T.); #349473=ADVANCED_FACE('',(#40048),#21780,.T.); #349474=ADVANCED_FACE('',(#40049),#331348,.F.); #349475=ADVANCED_FACE('',(#40050),#21781,.T.); #349476=ADVANCED_FACE('',(#40051),#331349,.T.); #349477=ADVANCED_FACE('',(#40052),#21782,.F.); #349478=ADVANCED_FACE('',(#40053),#21783,.T.); #349479=ADVANCED_FACE('',(#40054),#21784,.T.); #349480=ADVANCED_FACE('',(#40055),#21785,.T.); #349481=ADVANCED_FACE('',(#40056),#21786,.T.); #349482=ADVANCED_FACE('',(#40057),#331350,.F.); #349483=ADVANCED_FACE('',(#40058),#21787,.T.); #349484=ADVANCED_FACE('',(#40059),#331351,.T.); #349485=ADVANCED_FACE('',(#40060),#21788,.T.); #349486=ADVANCED_FACE('',(#40061),#21789,.T.); #349487=ADVANCED_FACE('',(#40062),#21790,.T.); #349488=ADVANCED_FACE('',(#40063),#331352,.F.); #349489=ADVANCED_FACE('',(#40064),#21791,.T.); #349490=ADVANCED_FACE('',(#40065),#331353,.T.); #349491=ADVANCED_FACE('',(#40066),#21792,.F.); #349492=ADVANCED_FACE('',(#40067),#21793,.T.); #349493=ADVANCED_FACE('',(#40068),#21794,.T.); #349494=ADVANCED_FACE('',(#40069),#21795,.T.); #349495=ADVANCED_FACE('',(#40070),#21796,.T.); #349496=ADVANCED_FACE('',(#40071),#331354,.F.); #349497=ADVANCED_FACE('',(#40072),#21797,.T.); #349498=ADVANCED_FACE('',(#40073),#331355,.T.); #349499=ADVANCED_FACE('',(#40074),#21798,.T.); #349500=ADVANCED_FACE('',(#40075),#21799,.T.); #349501=ADVANCED_FACE('',(#40076),#21800,.T.); #349502=ADVANCED_FACE('',(#40077),#331356,.F.); #349503=ADVANCED_FACE('',(#40078),#21801,.T.); #349504=ADVANCED_FACE('',(#40079),#331357,.T.); #349505=ADVANCED_FACE('',(#40080),#21802,.F.); #349506=ADVANCED_FACE('',(#40081),#107,.T.); #349507=ADVANCED_FACE('',(#40082),#108,.T.); #349508=ADVANCED_FACE('',(#40083),#109,.T.); #349509=ADVANCED_FACE('',(#40084),#110,.T.); #349510=ADVANCED_FACE('',(#40085),#111,.T.); #349511=ADVANCED_FACE('',(#40086),#331358,.T.); #349512=ADVANCED_FACE('',(#40087),#112,.T.); #349513=ADVANCED_FACE('',(#40088),#113,.T.); #349514=ADVANCED_FACE('',(#40089),#331359,.T.); #349515=ADVANCED_FACE('',(#40090),#331360,.T.); #349516=ADVANCED_FACE('',(#40091),#114,.T.); #349517=ADVANCED_FACE('',(#40092),#331361,.T.); #349518=ADVANCED_FACE('',(#40093),#331362,.T.); #349519=ADVANCED_FACE('',(#40094),#331363,.T.); #349520=ADVANCED_FACE('',(#40095),#331364,.T.); #349521=ADVANCED_FACE('',(#40096),#331365,.T.); #349522=ADVANCED_FACE('',(#40097),#331366,.T.); #349523=ADVANCED_FACE('',(#40098),#331367,.T.); #349524=ADVANCED_FACE('',(#40099),#331368,.T.); #349525=ADVANCED_FACE('',(#40100),#331369,.T.); #349526=ADVANCED_FACE('',(#40101),#21803,.T.); #349527=ADVANCED_FACE('',(#40102),#21804,.F.); #349528=ADVANCED_FACE('',(#40103),#21805,.T.); #349529=ADVANCED_FACE('',(#40104),#21806,.T.); #349530=ADVANCED_FACE('',(#40105),#21807,.T.); #349531=ADVANCED_FACE('',(#40106),#21808,.T.); #349532=ADVANCED_FACE('',(#40107),#115,.T.); #349533=ADVANCED_FACE('',(#40108),#116,.T.); #349534=ADVANCED_FACE('',(#40109),#117,.T.); #349535=ADVANCED_FACE('',(#40110),#118,.T.); #349536=ADVANCED_FACE('',(#40111),#119,.T.); #349537=ADVANCED_FACE('',(#40112),#331370,.T.); #349538=ADVANCED_FACE('',(#40113),#120,.T.); #349539=ADVANCED_FACE('',(#40114),#121,.T.); #349540=ADVANCED_FACE('',(#40115),#331371,.T.); #349541=ADVANCED_FACE('',(#40116),#331372,.T.); #349542=ADVANCED_FACE('',(#40117),#122,.T.); #349543=ADVANCED_FACE('',(#40118),#331373,.T.); #349544=ADVANCED_FACE('',(#40119),#331374,.T.); #349545=ADVANCED_FACE('',(#40120),#331375,.T.); #349546=ADVANCED_FACE('',(#40121),#331376,.T.); #349547=ADVANCED_FACE('',(#40122),#331377,.T.); #349548=ADVANCED_FACE('',(#40123),#331378,.T.); #349549=ADVANCED_FACE('',(#40124),#331379,.T.); #349550=ADVANCED_FACE('',(#40125),#331380,.T.); #349551=ADVANCED_FACE('',(#40126),#331381,.T.); #349552=ADVANCED_FACE('',(#40127),#21809,.T.); #349553=ADVANCED_FACE('',(#40128),#21810,.F.); #349554=ADVANCED_FACE('',(#40129),#21811,.T.); #349555=ADVANCED_FACE('',(#40130),#21812,.T.); #349556=ADVANCED_FACE('',(#40131),#21813,.T.); #349557=ADVANCED_FACE('',(#40132),#21814,.T.); #349558=ADVANCED_FACE('',(#40133),#21815,.T.); #349559=ADVANCED_FACE('',(#40134),#21816,.T.); #349560=ADVANCED_FACE('',(#40135),#21817,.T.); #349561=ADVANCED_FACE('',(#40136),#21818,.T.); #349562=ADVANCED_FACE('',(#40137),#21819,.T.); #349563=ADVANCED_FACE('',(#40138),#21820,.F.); #349564=ADVANCED_FACE('',(#40139),#331382,.F.); #349565=ADVANCED_FACE('',(#40140),#331383,.F.); #349566=ADVANCED_FACE('',(#40141),#21821,.T.); #349567=ADVANCED_FACE('',(#40142),#21822,.T.); #349568=ADVANCED_FACE('',(#40143),#21823,.T.); #349569=ADVANCED_FACE('',(#40144),#21824,.T.); #349570=ADVANCED_FACE('',(#40145),#21825,.T.); #349571=ADVANCED_FACE('',(#40146),#21826,.T.); #349572=ADVANCED_FACE('',(#40147),#21827,.T.); #349573=ADVANCED_FACE('',(#40148),#21828,.T.); #349574=ADVANCED_FACE('',(#40149),#21829,.T.); #349575=ADVANCED_FACE('',(#40150),#21830,.T.); #349576=ADVANCED_FACE('',(#40151),#21831,.T.); #349577=ADVANCED_FACE('',(#40152),#21832,.T.); #349578=ADVANCED_FACE('',(#40153,#7975,#7976),#21833,.T.); #349579=ADVANCED_FACE('',(#40154,#7977,#7978),#21834,.F.); #349580=ADVANCED_FACE('',(#40155),#331384,.F.); #349581=ADVANCED_FACE('',(#40156),#331385,.F.); #349582=ADVANCED_FACE('',(#40157),#21835,.T.); #349583=ADVANCED_FACE('',(#40158),#21836,.T.); #349584=ADVANCED_FACE('',(#40159),#21837,.T.); #349585=ADVANCED_FACE('',(#40160),#21838,.T.); #349586=ADVANCED_FACE('',(#40161),#21839,.T.); #349587=ADVANCED_FACE('',(#40162),#21840,.T.); #349588=ADVANCED_FACE('',(#40163),#21841,.T.); #349589=ADVANCED_FACE('',(#40164),#21842,.T.); #349590=ADVANCED_FACE('',(#40165),#21843,.T.); #349591=ADVANCED_FACE('',(#40166),#21844,.T.); #349592=ADVANCED_FACE('',(#40167),#21845,.T.); #349593=ADVANCED_FACE('',(#40168),#21846,.T.); #349594=ADVANCED_FACE('',(#40169,#7979,#7980),#21847,.T.); #349595=ADVANCED_FACE('',(#40170,#7981,#7982),#21848,.F.); #349596=ADVANCED_FACE('',(#40171),#331386,.F.); #349597=ADVANCED_FACE('',(#40172),#331387,.F.); #349598=ADVANCED_FACE('',(#40173),#21849,.T.); #349599=ADVANCED_FACE('',(#40174),#21850,.T.); #349600=ADVANCED_FACE('',(#40175),#21851,.T.); #349601=ADVANCED_FACE('',(#40176),#21852,.T.); #349602=ADVANCED_FACE('',(#40177),#21853,.T.); #349603=ADVANCED_FACE('',(#40178),#21854,.T.); #349604=ADVANCED_FACE('',(#40179),#21855,.T.); #349605=ADVANCED_FACE('',(#40180),#21856,.T.); #349606=ADVANCED_FACE('',(#40181),#21857,.T.); #349607=ADVANCED_FACE('',(#40182),#21858,.T.); #349608=ADVANCED_FACE('',(#40183),#21859,.T.); #349609=ADVANCED_FACE('',(#40184),#21860,.T.); #349610=ADVANCED_FACE('',(#40185,#7983,#7984),#21861,.T.); #349611=ADVANCED_FACE('',(#40186,#7985,#7986),#21862,.T.); #349612=ADVANCED_FACE('',(#40187),#21863,.F.); #349613=ADVANCED_FACE('',(#40188),#21864,.T.); #349614=ADVANCED_FACE('',(#40189),#21865,.T.); #349615=ADVANCED_FACE('',(#40190),#21866,.T.); #349616=ADVANCED_FACE('',(#40191),#21867,.T.); #349617=ADVANCED_FACE('',(#40192),#21868,.F.); #349618=ADVANCED_FACE('',(#40193),#3574,.F.); #349619=ADVANCED_FACE('',(#40194,#7987),#21869,.F.); #349620=ADVANCED_FACE('',(#40195),#331388,.F.); #349621=ADVANCED_FACE('',(#40196),#21870,.T.); #349622=ADVANCED_FACE('',(#40197),#21871,.T.); #349623=ADVANCED_FACE('',(#40198),#21872,.T.); #349624=ADVANCED_FACE('',(#40199),#331389,.T.); #349625=ADVANCED_FACE('',(#40200),#3575,.T.); #349626=ADVANCED_FACE('',(#40201,#7988),#21873,.T.); #349627=ADVANCED_FACE('',(#40202,#7989,#7990,#7991),#331390,.T.); #349628=ADVANCED_FACE('',(#40203),#3576,.F.); #349629=ADVANCED_FACE('',(#40204),#331391,.F.); #349630=ADVANCED_FACE('',(#40205),#3577,.F.); #349631=ADVANCED_FACE('',(#40206),#21874,.T.); #349632=ADVANCED_FACE('',(#40207),#21875,.T.); #349633=ADVANCED_FACE('',(#40208),#21876,.T.); #349634=ADVANCED_FACE('',(#40209),#21877,.T.); #349635=ADVANCED_FACE('',(#40210),#21878,.T.); #349636=ADVANCED_FACE('',(#40211),#21879,.T.); #349637=ADVANCED_FACE('',(#40212),#3578,.T.); #349638=ADVANCED_FACE('',(#40213),#3579,.T.); #349639=ADVANCED_FACE('',(#40214),#331392,.T.); #349640=ADVANCED_FACE('',(#40215),#3580,.T.); #349641=ADVANCED_FACE('',(#40216),#3581,.T.); #349642=ADVANCED_FACE('',(#40217),#331393,.T.); #349643=ADVANCED_FACE('',(#40218),#3582,.T.); #349644=ADVANCED_FACE('',(#40219),#3583,.T.); #349645=ADVANCED_FACE('',(#40220),#331394,.T.); #349646=ADVANCED_FACE('',(#40221),#3584,.T.); #349647=ADVANCED_FACE('',(#40222,#7992),#21880,.T.); #349648=ADVANCED_FACE('',(#40223),#21881,.T.); #349649=ADVANCED_FACE('',(#40224),#3585,.T.); #349650=ADVANCED_FACE('',(#40225),#21882,.T.); #349651=ADVANCED_FACE('',(#40226),#3586,.T.); #349652=ADVANCED_FACE('',(#40227),#21883,.F.); #349653=ADVANCED_FACE('',(#40228),#21884,.F.); #349654=ADVANCED_FACE('',(#40229),#331395,.T.); #349655=ADVANCED_FACE('',(#40230),#21885,.F.); #349656=ADVANCED_FACE('',(#40231),#21886,.F.); #349657=ADVANCED_FACE('',(#40232),#21887,.F.); #349658=ADVANCED_FACE('',(#40233),#21888,.F.); #349659=ADVANCED_FACE('',(#40234),#331396,.T.); #349660=ADVANCED_FACE('',(#40235),#331397,.T.); #349661=ADVANCED_FACE('',(#40236),#331398,.T.); #349662=ADVANCED_FACE('',(#40237),#331399,.T.); #349663=ADVANCED_FACE('',(#40238),#21889,.F.); #349664=ADVANCED_FACE('',(#40239),#331400,.F.); #349665=ADVANCED_FACE('',(#40240),#21890,.F.); #349666=ADVANCED_FACE('',(#40241),#331401,.F.); #349667=ADVANCED_FACE('',(#40242),#331402,.F.); #349668=ADVANCED_FACE('',(#40243,#7993),#21891,.T.); #349669=ADVANCED_FACE('',(#40244),#331403,.F.); #349670=ADVANCED_FACE('',(#40245),#331404,.F.); #349671=ADVANCED_FACE('',(#40246),#21892,.F.); #349672=ADVANCED_FACE('',(#40247),#331405,.F.); #349673=ADVANCED_FACE('',(#40248),#331406,.F.); #349674=ADVANCED_FACE('',(#40249),#21893,.F.); #349675=ADVANCED_FACE('',(#40250),#331407,.F.); #349676=ADVANCED_FACE('',(#40251),#21894,.F.); #349677=ADVANCED_FACE('',(#40252),#331408,.F.); #349678=ADVANCED_FACE('',(#40253),#21895,.F.); #349679=ADVANCED_FACE('',(#40254),#21896,.F.); #349680=ADVANCED_FACE('',(#40255),#21897,.F.); #349681=ADVANCED_FACE('',(#40256),#21898,.F.); #349682=ADVANCED_FACE('',(#40257),#21899,.F.); #349683=ADVANCED_FACE('',(#40258),#331409,.F.); #349684=ADVANCED_FACE('',(#40259,#7994),#21900,.F.); #349685=ADVANCED_FACE('',(#40260),#331410,.F.); #349686=ADVANCED_FACE('',(#40261,#7995),#21901,.T.); #349687=ADVANCED_FACE('',(#40262),#21902,.F.); #349688=ADVANCED_FACE('',(#40263),#21903,.F.); #349689=ADVANCED_FACE('',(#40264),#331411,.F.); #349690=ADVANCED_FACE('',(#40265),#21904,.T.); #349691=ADVANCED_FACE('',(#40266),#21905,.T.); #349692=ADVANCED_FACE('',(#40267),#21906,.T.); #349693=ADVANCED_FACE('',(#40268),#21907,.T.); #349694=ADVANCED_FACE('',(#40269),#21908,.T.); #349695=ADVANCED_FACE('',(#40270),#21909,.T.); #349696=ADVANCED_FACE('',(#40271),#21910,.F.); #349697=ADVANCED_FACE('',(#40272),#21911,.F.); #349698=ADVANCED_FACE('',(#40273),#21912,.F.); #349699=ADVANCED_FACE('',(#40274),#331412,.F.); #349700=ADVANCED_FACE('',(#40275,#7996,#7997),#331413,.T.); #349701=ADVANCED_FACE('',(#40276),#21913,.T.); #349702=ADVANCED_FACE('',(#40277),#331414,.F.); #349703=ADVANCED_FACE('',(#40278),#21914,.T.); #349704=ADVANCED_FACE('',(#40279),#21915,.F.); #349705=ADVANCED_FACE('',(#40280),#21916,.T.); #349706=ADVANCED_FACE('',(#40281),#21917,.F.); #349707=ADVANCED_FACE('',(#40282),#21918,.T.); #349708=ADVANCED_FACE('',(#40283),#331415,.F.); #349709=ADVANCED_FACE('',(#40284),#3587,.F.); #349710=ADVANCED_FACE('',(#40285,#7998,#7999,#8000,#8001,#8002,#8003),#21919, .T.); #349711=ADVANCED_FACE('',(#40286),#331416,.F.); #349712=ADVANCED_FACE('',(#40287),#3588,.F.); #349713=ADVANCED_FACE('',(#40288),#331417,.F.); #349714=ADVANCED_FACE('',(#40289),#3589,.F.); #349715=ADVANCED_FACE('',(#40290,#8004,#8005,#8006,#8007,#8008,#8009),#21920, .F.); #349716=ADVANCED_FACE('',(#40291),#331418,.F.); #349717=ADVANCED_FACE('',(#40292),#3590,.F.); #349718=ADVANCED_FACE('',(#40293),#331419,.F.); #349719=ADVANCED_FACE('',(#40294),#21921,.T.); #349720=ADVANCED_FACE('',(#40295),#3591,.F.); #349721=ADVANCED_FACE('',(#40296),#21922,.T.); #349722=ADVANCED_FACE('',(#40297),#3592,.F.); #349723=ADVANCED_FACE('',(#40298),#21923,.T.); #349724=ADVANCED_FACE('',(#40299),#331420,.F.); #349725=ADVANCED_FACE('',(#40300),#3593,.F.); #349726=ADVANCED_FACE('',(#40301),#21924,.T.); #349727=ADVANCED_FACE('',(#40302),#331421,.F.); #349728=ADVANCED_FACE('',(#40303),#21925,.T.); #349729=ADVANCED_FACE('',(#40304),#3594,.F.); #349730=ADVANCED_FACE('',(#40305),#21926,.T.); #349731=ADVANCED_FACE('',(#40306,#8010),#21927,.T.); #349732=ADVANCED_FACE('',(#40307,#8011),#21928,.T.); #349733=ADVANCED_FACE('',(#40308),#21929,.T.); #349734=ADVANCED_FACE('',(#40309),#21930,.T.); #349735=ADVANCED_FACE('',(#40310),#331422,.F.); #349736=ADVANCED_FACE('',(#40311),#21931,.T.); #349737=ADVANCED_FACE('',(#40312),#331423,.T.); #349738=ADVANCED_FACE('',(#40313),#21932,.T.); #349739=ADVANCED_FACE('',(#40314),#21933,.T.); #349740=ADVANCED_FACE('',(#40315),#331424,.F.); #349741=ADVANCED_FACE('',(#40316),#21934,.T.); #349742=ADVANCED_FACE('',(#40317),#331425,.T.); #349743=ADVANCED_FACE('',(#40318,#8012),#21935,.F.); #349744=ADVANCED_FACE('',(#40319),#331426,.T.); #349745=ADVANCED_FACE('',(#40320),#21936,.T.); #349746=ADVANCED_FACE('',(#40321),#331427,.T.); #349747=ADVANCED_FACE('',(#40322),#21937,.T.); #349748=ADVANCED_FACE('',(#40323),#21938,.T.); #349749=ADVANCED_FACE('',(#40324),#21939,.F.); #349750=ADVANCED_FACE('',(#40325),#21940,.T.); #349751=ADVANCED_FACE('',(#40326),#21941,.T.); #349752=ADVANCED_FACE('',(#40327),#21942,.F.); #349753=ADVANCED_FACE('',(#40328),#21943,.T.); #349754=ADVANCED_FACE('',(#40329),#21944,.T.); #349755=ADVANCED_FACE('',(#40330),#21945,.T.); #349756=ADVANCED_FACE('',(#40331),#21946,.F.); #349757=ADVANCED_FACE('',(#40332),#21947,.T.); #349758=ADVANCED_FACE('',(#40333),#21948,.F.); #349759=ADVANCED_FACE('',(#40334),#21949,.T.); #349760=ADVANCED_FACE('',(#40335),#21950,.T.); #349761=ADVANCED_FACE('',(#40336),#21951,.T.); #349762=ADVANCED_FACE('',(#40337),#21952,.F.); #349763=ADVANCED_FACE('',(#40338),#21953,.F.); #349764=ADVANCED_FACE('',(#40339),#21954,.F.); #349765=ADVANCED_FACE('',(#40340),#21955,.F.); #349766=ADVANCED_FACE('',(#40341),#21956,.T.); #349767=ADVANCED_FACE('',(#40342),#21957,.F.); #349768=ADVANCED_FACE('',(#40343),#21958,.T.); #349769=ADVANCED_FACE('',(#40344),#21959,.F.); #349770=ADVANCED_FACE('',(#40345),#21960,.F.); #349771=ADVANCED_FACE('',(#40346),#21961,.F.); #349772=ADVANCED_FACE('',(#40347),#21962,.F.); #349773=ADVANCED_FACE('',(#40348),#21963,.F.); #349774=ADVANCED_FACE('',(#40349),#21964,.F.); #349775=ADVANCED_FACE('',(#40350),#21965,.T.); #349776=ADVANCED_FACE('',(#40351),#21966,.F.); #349777=ADVANCED_FACE('',(#40352),#21967,.T.); #349778=ADVANCED_FACE('',(#40353),#21968,.T.); #349779=ADVANCED_FACE('',(#40354),#21969,.T.); #349780=ADVANCED_FACE('',(#40355),#21970,.T.); #349781=ADVANCED_FACE('',(#40356),#21971,.T.); #349782=ADVANCED_FACE('',(#40357),#21972,.T.); #349783=ADVANCED_FACE('',(#40358),#21973,.F.); #349784=ADVANCED_FACE('',(#40359),#21974,.T.); #349785=ADVANCED_FACE('',(#40360),#21975,.T.); #349786=ADVANCED_FACE('',(#40361),#21976,.T.); #349787=ADVANCED_FACE('',(#40362),#21977,.F.); #349788=ADVANCED_FACE('',(#40363),#331428,.F.); #349789=ADVANCED_FACE('',(#40364),#331429,.F.); #349790=ADVANCED_FACE('',(#40365),#21978,.T.); #349791=ADVANCED_FACE('',(#40366),#21979,.T.); #349792=ADVANCED_FACE('',(#40367,#8013),#21980,.F.); #349793=ADVANCED_FACE('',(#40368),#21981,.T.); #349794=ADVANCED_FACE('',(#40369,#8014),#21982,.F.); #349795=ADVANCED_FACE('',(#40370,#8015),#21983,.F.); #349796=ADVANCED_FACE('',(#40371,#8016),#21984,.T.); #349797=ADVANCED_FACE('',(#40372),#21985,.T.); #349798=ADVANCED_FACE('',(#40373,#8017),#21986,.F.); #349799=ADVANCED_FACE('',(#40374),#331430,.F.); #349800=ADVANCED_FACE('',(#40375),#21987,.F.); #349801=ADVANCED_FACE('',(#40376),#21988,.F.); #349802=ADVANCED_FACE('',(#40377),#331431,.T.); #349803=ADVANCED_FACE('',(#40378),#21989,.F.); #349804=ADVANCED_FACE('',(#40379),#21990,.F.); #349805=ADVANCED_FACE('',(#40380),#21991,.T.); #349806=ADVANCED_FACE('',(#40381),#331432,.F.); #349807=ADVANCED_FACE('',(#40382),#331433,.F.); #349808=ADVANCED_FACE('',(#40383),#21992,.T.); #349809=ADVANCED_FACE('',(#40384),#21993,.F.); #349810=ADVANCED_FACE('',(#40385),#21994,.F.); #349811=ADVANCED_FACE('',(#40386,#8018),#21995,.F.); #349812=ADVANCED_FACE('',(#40387),#21996,.T.); #349813=ADVANCED_FACE('',(#40388),#331434,.F.); #349814=ADVANCED_FACE('',(#40389),#21997,.T.); #349815=ADVANCED_FACE('',(#40390),#21998,.F.); #349816=ADVANCED_FACE('',(#40391),#21999,.T.); #349817=ADVANCED_FACE('',(#40392),#331435,.F.); #349818=ADVANCED_FACE('',(#40393),#22000,.T.); #349819=ADVANCED_FACE('',(#40394),#22001,.F.); #349820=ADVANCED_FACE('',(#40395),#22002,.T.); #349821=ADVANCED_FACE('',(#40396),#22003,.T.); #349822=ADVANCED_FACE('',(#40397),#22004,.T.); #349823=ADVANCED_FACE('',(#40398),#22005,.T.); #349824=ADVANCED_FACE('',(#40399),#331436,.F.); #349825=ADVANCED_FACE('',(#40400),#22006,.T.); #349826=ADVANCED_FACE('',(#40401),#331437,.T.); #349827=ADVANCED_FACE('',(#40402),#22007,.T.); #349828=ADVANCED_FACE('',(#40403),#331438,.T.); #349829=ADVANCED_FACE('',(#40404,#8019,#8020,#8021,#8022),#22008,.T.); #349830=ADVANCED_FACE('',(#40405,#8023,#8024,#8025,#8026),#22009,.F.); #349831=ADVANCED_FACE('',(#40406),#3454,.F.); #349832=ADVANCED_FACE('',(#40407),#3455,.F.); #349833=ADVANCED_FACE('',(#40408),#3456,.F.); #349834=ADVANCED_FACE('',(#40409),#3457,.F.); #349835=ADVANCED_FACE('',(#40410),#3458,.F.); #349836=ADVANCED_FACE('',(#40411),#3459,.F.); #349837=ADVANCED_FACE('',(#40412),#3460,.F.); #349838=ADVANCED_FACE('',(#40413),#3461,.F.); #349839=ADVANCED_FACE('',(#40414,#8027),#22010,.T.); #349840=ADVANCED_FACE('',(#40415),#3462,.F.); #349841=ADVANCED_FACE('',(#40416),#3463,.F.); #349842=ADVANCED_FACE('',(#40417),#3464,.F.); #349843=ADVANCED_FACE('',(#40418),#3465,.F.); #349844=ADVANCED_FACE('',(#40419),#3466,.F.); #349845=ADVANCED_FACE('',(#40420),#3467,.F.); #349846=ADVANCED_FACE('',(#40421),#3468,.F.); #349847=ADVANCED_FACE('',(#40422),#3469,.F.); #349848=ADVANCED_FACE('',(#40423),#3595,.T.); #349849=ADVANCED_FACE('',(#40424),#331439,.T.); #349850=ADVANCED_FACE('',(#40425,#8028),#22011,.F.); #349851=ADVANCED_FACE('',(#40426),#331440,.T.); #349852=ADVANCED_FACE('',(#40427,#8029),#22012,.F.); #349853=ADVANCED_FACE('',(#40428),#331441,.F.); #349854=ADVANCED_FACE('',(#40429),#331442,.F.); #349855=ADVANCED_FACE('',(#40430),#22013,.T.); #349856=ADVANCED_FACE('',(#40431),#22014,.F.); #349857=ADVANCED_FACE('',(#40432),#331443,.F.); #349858=ADVANCED_FACE('',(#40433),#22015,.T.); #349859=ADVANCED_FACE('',(#40434),#22016,.F.); #349860=ADVANCED_FACE('',(#40435),#331444,.F.); #349861=ADVANCED_FACE('',(#40436),#22017,.T.); #349862=ADVANCED_FACE('',(#40437),#22018,.F.); #349863=ADVANCED_FACE('',(#40438),#331445,.F.); #349864=ADVANCED_FACE('',(#40439),#22019,.T.); #349865=ADVANCED_FACE('',(#40440),#22020,.F.); #349866=CLOSED_SHELL('',(#331446,#331447,#331448,#331449,#331450,#331451, #331452,#331453,#331454,#331455,#331456,#331457,#331458,#331459,#331460, #331461,#331462,#331463,#331464,#331465,#331466,#331467,#331468,#331469, #331470,#331471,#331472,#331473,#331474,#331475,#331476,#331477,#331478, #331479,#331480,#331481,#331482,#331483,#331484,#331485,#331486,#331487, #331488,#331489,#331490,#331491,#331492,#331493,#331494,#331495,#331496, #331497,#331498,#331499,#331500,#331501,#331502,#331503,#331504,#331505, #331506,#331507,#331508,#331509,#331510,#331511,#331512,#331513,#331514, #331515,#331516,#331517,#331518,#331519,#331520,#331521,#331522,#331523, #331524,#331525,#331526,#331527,#331528,#331529,#331530,#331531,#331532, #331533,#331534,#331535,#331536,#331537,#331538,#331539,#331540,#331541, #331542,#331543,#331544,#331545,#331546,#331547,#331548,#331549,#331550, #331551,#331552,#331553,#331554,#331555,#331556,#331557,#331558,#331559, #331560,#331561,#331562,#331563,#331564,#331565,#331566,#331567,#331568, #331569,#331570,#331571,#331572,#331573,#331574,#331575,#331576,#331577, #331578,#331579,#331580,#331581,#331582,#331583,#331584,#331585,#331586, #331587,#331588,#331589,#331590,#331591,#331592,#331593,#331594,#331595, #331596,#331597,#331598,#331599,#331600,#331601,#331602,#331603,#331604, #331605,#331606,#331607,#331608,#331609,#331610,#331611,#331612,#331613, #331614,#331615,#331616,#331617,#331618,#331619,#331620,#331621,#331622, #331623,#331624,#331625,#331626,#331627,#331628,#331629,#331630,#331631, #331632,#331633,#331634,#331635,#331636,#331637,#331638,#331639,#331640, #331641,#331642,#331643,#331644,#331645,#331646,#331647,#331648,#331649, #331650,#331651,#331652,#331653,#331654,#331655,#331656,#331657,#331658, #331659,#331660,#331661,#331662,#331663,#331664,#331665,#331666,#331667, #331668,#331669,#331670,#331671,#331672,#331673,#331674,#331675,#331676, #331677,#331678,#331679,#331680,#331681,#331682,#331683,#331684,#331685, #331686,#331687,#331688,#331689,#331690,#331691,#331692,#331693,#331694, #331695,#331696,#331697,#331698,#331699,#331700,#331701,#331702,#331703, #331704,#331705,#331706,#331707,#331708,#331709,#331710,#331711,#331712, #331713,#331714,#331715,#331716,#331717,#331718,#331719,#331720,#331721, #331722,#331723,#331724,#331725,#331726,#331727,#331728,#331729,#331730, #331731,#331732,#331733,#331734,#331735,#331736,#331737,#331738,#331739, #331740,#331741,#331742,#331743,#331744,#331745,#331746,#331747,#331748, #331749,#331750,#331751,#331752,#331753,#331754,#331755,#331756,#331757, #331758,#331759,#331760,#331761,#331762,#331763,#331764,#331765,#331766, #331767,#331768,#331769,#331770,#331771,#331772,#331773,#331774,#331775, #331776,#331777,#331778,#331779,#331780,#331781,#331782,#331783,#331784, #331785,#331786,#331787,#331788,#331789,#331790,#331791)); #349867=CLOSED_SHELL('',(#331792,#331793,#331794,#331795,#331796,#331797, #331798,#331799,#331800,#331801,#331802,#331803,#331804,#331805,#331806, #331807,#331808,#331809,#331810,#331811,#331812,#331813,#331814,#331815, #331816,#331817,#331818,#331819,#331820,#331821,#331822,#331823,#331824, #331825,#331826,#331827,#331828,#331829,#331830,#331831,#331832,#331833, #331834,#331835,#331836,#331837,#331838,#331839,#331840,#331841,#331842, #331843,#331844,#331845)); #349868=CLOSED_SHELL('',(#331846,#331847,#331848,#331849,#331850,#331851, #331852,#331853,#331854,#331855,#331856,#331857,#331858,#331859,#331860, #331861,#331862,#331863,#331864,#331865,#331866,#331867,#331868,#331869, #331870,#331871,#331872,#331873,#331874,#331875,#331876,#331877,#331878, #331879,#331880,#331881,#331882,#331883,#331884,#331885,#331886,#331887, #331888,#331889,#331890,#331891,#331892,#331893,#331894,#331895,#331896, #331897,#331898,#331899,#331900,#331901,#331902,#331903,#331904,#331905, #331906,#331907,#331908,#331909,#331910,#331911,#331912,#331913,#331914, #331915,#331916,#331917,#331918,#331919,#331920,#331921,#331922,#331923, #331924,#331925,#331926,#331927,#331928,#331929,#331930,#331931,#331932, #331933,#331934,#331935,#331936,#331937,#331938,#331939,#331940,#331941, #331942,#331943,#331944,#331945,#331946,#331947,#331948,#331949,#331950)); #349869=CLOSED_SHELL('',(#331951,#331952,#331953,#331954,#331955,#331956, #331957,#331958,#331959,#331960,#331961,#331962,#331963,#331964,#331965, #331966,#331967,#331968,#331969,#331970,#331971,#331972,#331973)); #349870=CLOSED_SHELL('',(#331974,#331975,#331976,#331977,#331978,#331979, #331980,#331981,#331982,#331983,#331984,#331985,#331986,#331987,#331988, #331989)); #349871=CLOSED_SHELL('',(#331990,#331991,#331992,#331993,#331994,#331995, #331996,#331997,#331998,#331999,#332000,#332001,#332002,#332003,#332004, #332005,#332006,#332007,#332008,#332009,#332010,#332011,#332012,#332013, #332014,#332015,#332016,#332017,#332018,#332019,#332020,#332021,#332022, #332023,#332024,#332025,#332026,#332027,#332028,#332029,#332030,#332031, #332032,#332033,#332034,#332035,#332036,#332037,#332038,#332039,#332040, #332041,#332042,#332043,#332044,#332045,#332046,#332047,#332048,#332049, #332050,#332051,#332052,#332053,#332054,#332055,#332056,#332057,#332058, #332059,#332060,#332061,#332062,#332063,#332064,#332065,#332066,#332067, #332068,#332069,#332070,#332071,#332072,#332073,#332074,#332075,#332076, #332077,#332078,#332079,#332080,#332081,#332082,#332083,#332084,#332085, #332086,#332087,#332088,#332089,#332090,#332091,#332092,#332093,#332094, #332095,#332096,#332097,#332098,#332099,#332100,#332101,#332102,#332103, #332104,#332105,#332106,#332107,#332108,#332109,#332110,#332111,#332112, #332113,#332114,#332115,#332116,#332117,#332118,#332119,#332120,#332121, #332122,#332123,#332124,#332125,#332126,#332127,#332128,#332129,#332130, #332131,#332132,#332133,#332134,#332135,#332136,#332137,#332138,#332139, #332140,#332141,#332142,#332143,#332144,#332145,#332146,#332147,#332148, #332149,#332150,#332151,#332152,#332153,#332154,#332155,#332156,#332157, #332158,#332159,#332160,#332161,#332162,#332163,#332164,#332165,#332166, #332167,#332168,#332169,#332170,#332171,#332172,#332173,#332174,#332175, #332176,#332177,#332178,#332179,#332180,#332181,#332182,#332183,#332184, #332185,#332186,#332187,#332188,#332189,#332190,#332191,#332192,#332193, #332194,#332195,#332196,#332197,#332198,#332199,#332200,#332201,#332202, #332203,#332204,#332205,#332206,#332207,#332208,#332209,#332210,#332211, #332212,#332213,#332214,#332215,#332216,#332217,#332218,#332219,#332220, #332221,#332222,#332223,#332224,#332225,#332226,#332227,#332228,#332229, #332230,#332231,#332232,#332233,#332234,#332235,#332236,#332237,#332238, #332239,#332240,#332241,#332242,#332243,#332244,#332245,#332246,#332247, #332248,#332249,#332250,#332251,#332252,#332253,#332254,#332255,#332256, #332257,#332258,#332259,#332260,#332261,#332262,#332263,#332264,#332265, #332266,#332267,#332268,#332269,#332270,#332271,#332272,#332273,#332274, #332275,#332276,#332277,#332278,#332279,#332280,#332281,#332282,#332283, #332284,#332285,#332286,#332287,#332288,#332289,#332290,#332291,#332292, #332293,#332294,#332295,#332296,#332297,#332298,#332299,#332300,#332301, #332302,#332303,#332304,#332305,#332306,#332307,#332308,#332309,#332310, #332311,#332312,#332313,#332314,#332315,#332316,#332317,#332318,#332319, #332320,#332321,#332322,#332323,#332324,#332325,#332326,#332327,#332328, #332329,#332330,#332331,#332332,#332333,#332334,#332335,#332336,#332337, #332338,#332339,#332340,#332341,#332342,#332343,#332344,#332345,#332346, #332347,#332348,#332349,#332350,#332351,#332352,#332353,#332354,#332355, #332356,#332357,#332358,#332359,#332360,#332361,#332362)); #349872=CLOSED_SHELL('',(#332363,#332364,#332365,#332366,#332367,#332368, #332369,#332370,#332371,#332372,#332373,#332374,#332375,#332376,#332377, #332378,#332379,#332380,#332381)); #349873=CLOSED_SHELL('',(#332382,#332383,#332384,#332385,#332386,#332387, #332388,#332389,#332390,#332391,#332392,#332393,#332394,#332395,#332396, #332397,#332398,#332399,#332400,#332401,#332402,#332403,#332404,#332405, #332406,#332407,#332408,#332409,#332410,#332411,#332412,#332413,#332414, #332415,#332416,#332417,#332418,#332419,#332420,#332421,#332422,#332423, #332424,#332425,#332426,#332427,#332428,#332429,#332430,#332431,#332432, #332433,#332434,#332435,#332436,#332437,#332438,#332439,#332440,#332441, #332442,#332443,#332444,#332445,#332446,#332447,#332448,#332449,#332450, #332451,#332452,#332453,#332454,#332455,#332456,#332457,#332458,#332459, #332460,#332461,#332462,#332463,#332464,#332465,#332466,#332467,#332468, #332469,#332470,#332471,#332472,#332473,#332474,#332475,#332476,#332477, #332478,#332479,#332480,#332481,#332482,#332483,#332484,#332485,#332486, #332487,#332488,#332489,#332490,#332491,#332492,#332493,#332494,#332495, #332496,#332497,#332498,#332499,#332500,#332501,#332502,#332503,#332504, #332505,#332506)); #349874=CLOSED_SHELL('',(#332507,#332508,#332509,#332510,#332511,#332512, #332513,#332514,#332515,#332516,#332517,#332518,#332519,#332520,#332521, #332522,#332523,#332524,#332525,#332526,#332527,#332528,#332529,#332530, #332531,#332532,#332533,#332534,#332535,#332536,#332537,#332538,#332539, #332540,#332541,#332542,#332543,#332544,#332545,#332546,#332547,#332548, #332549,#332550,#332551,#332552,#332553,#332554,#332555,#332556,#332557, #332558,#332559,#332560,#332561,#332562,#332563,#332564,#332565,#332566, #332567,#332568,#332569,#332570,#332571,#332572,#332573,#332574,#332575, #332576,#332577,#332578,#332579,#332580,#332581,#332582,#332583,#332584, #332585,#332586,#332587,#332588,#332589,#332590,#332591,#332592,#332593, #332594,#332595,#332596,#332597,#332598,#332599,#332600,#332601,#332602, #332603,#332604,#332605,#332606,#332607,#332608,#332609,#332610,#332611, #332612,#332613,#332614,#332615,#332616,#332617,#332618,#332619,#332620, #332621,#332622,#332623,#332624,#332625,#332626,#332627,#332628,#332629, #332630,#332631,#332632,#332633,#332634,#332635,#332636,#332637,#332638, #332639,#332640,#332641,#332642,#332643,#332644,#332645,#332646,#332647, #332648,#332649,#332650,#332651,#332652,#332653,#332654,#332655,#332656, #332657,#332658,#332659,#332660,#332661,#332662,#332663,#332664,#332665, #332666,#332667,#332668,#332669,#332670,#332671,#332672,#332673,#332674, #332675,#332676,#332677,#332678,#332679,#332680,#332681,#332682,#332683, #332684,#332685,#332686,#332687,#332688,#332689,#332690,#332691,#332692, #332693,#332694,#332695,#332696,#332697,#332698,#332699,#332700,#332701, #332702,#332703,#332704,#332705,#332706,#332707,#332708,#332709,#332710, #332711,#332712,#332713,#332714,#332715,#332716,#332717,#332718,#332719, #332720,#332721,#332722,#332723,#332724,#332725,#332726,#332727,#332728, #332729,#332730,#332731,#332732,#332733,#332734,#332735,#332736,#332737, #332738,#332739,#332740,#332741,#332742,#332743,#332744,#332745,#332746, #332747,#332748,#332749,#332750,#332751,#332752,#332753,#332754,#332755, #332756,#332757,#332758,#332759,#332760,#332761,#332762,#332763,#332764, #332765,#332766,#332767,#332768,#332769,#332770,#332771,#332772,#332773, #332774,#332775,#332776,#332777,#332778,#332779,#332780,#332781,#332782, #332783,#332784,#332785,#332786,#332787,#332788,#332789,#332790,#332791, #332792,#332793,#332794,#332795,#332796,#332797,#332798,#332799,#332800, #332801,#332802,#332803,#332804,#332805,#332806,#332807,#332808,#332809, #332810,#332811,#332812,#332813,#332814,#332815,#332816,#332817,#332818, #332819,#332820,#332821,#332822,#332823,#332824,#332825,#332826,#332827, #332828,#332829,#332830,#332831,#332832,#332833,#332834,#332835,#332836, #332837,#332838,#332839,#332840,#332841,#332842,#332843,#332844)); #349875=CLOSED_SHELL('',(#332845,#332846,#332847,#332848)); #349876=CLOSED_SHELL('',(#332849,#332850,#332851,#332852)); #349877=CLOSED_SHELL('',(#332853,#332854,#332855,#332856)); #349878=CLOSED_SHELL('',(#332857,#332858,#332859,#332860)); #349879=CLOSED_SHELL('',(#332861,#332862,#332863,#332864)); #349880=CLOSED_SHELL('',(#332865,#332866,#332867,#332868)); #349881=CLOSED_SHELL('',(#332869,#332870,#332871,#332872)); #349882=CLOSED_SHELL('',(#332873,#332874,#332875,#332876)); #349883=CLOSED_SHELL('',(#332877,#332878,#332879,#332880)); #349884=CLOSED_SHELL('',(#332881,#332882,#332883,#332884)); #349885=CLOSED_SHELL('',(#332885,#332886,#332887,#332888)); #349886=CLOSED_SHELL('',(#332889,#332890,#332891,#332892)); #349887=CLOSED_SHELL('',(#332893,#332894,#332895,#332896)); #349888=CLOSED_SHELL('',(#332897,#332898,#332899,#332900)); #349889=CLOSED_SHELL('',(#332901,#332902,#332903,#332904)); #349890=CLOSED_SHELL('',(#332905,#332906,#332907,#332908)); #349891=CLOSED_SHELL('',(#332909,#332910,#332911,#332912)); #349892=CLOSED_SHELL('',(#332913,#332914,#332915,#332916)); #349893=CLOSED_SHELL('',(#332917,#332918,#332919,#332920)); #349894=CLOSED_SHELL('',(#332921,#332922,#332923,#332924)); #349895=CLOSED_SHELL('',(#332925,#332926,#332927,#332928)); #349896=CLOSED_SHELL('',(#332929,#332930,#332931,#332932)); #349897=CLOSED_SHELL('',(#332933,#332934,#332935,#332936)); #349898=CLOSED_SHELL('',(#332937,#332938,#332939,#332940)); #349899=CLOSED_SHELL('',(#332941,#332942,#332943,#332944)); #349900=CLOSED_SHELL('',(#332945,#332946,#332947,#332948)); #349901=CLOSED_SHELL('',(#332949,#332950,#332951,#332952)); #349902=CLOSED_SHELL('',(#332953,#332954,#332955,#332956)); #349903=CLOSED_SHELL('',(#332957,#332958,#332959,#332960)); #349904=CLOSED_SHELL('',(#332961,#332962,#332963,#332964)); #349905=CLOSED_SHELL('',(#332965,#332966,#332967,#332968)); #349906=CLOSED_SHELL('',(#332969,#332970,#332971,#332972)); #349907=CLOSED_SHELL('',(#332973,#332974,#332975,#332976)); #349908=CLOSED_SHELL('',(#332977,#332978,#332979,#332980)); #349909=CLOSED_SHELL('',(#332981,#332982,#332983,#332984)); #349910=CLOSED_SHELL('',(#332985,#332986,#332987,#332988)); #349911=CLOSED_SHELL('',(#332989,#332990,#332991,#332992)); #349912=CLOSED_SHELL('',(#332993,#332994,#332995,#332996)); #349913=CLOSED_SHELL('',(#332997,#332998,#332999,#333000)); #349914=CLOSED_SHELL('',(#333001,#333002,#333003,#333004)); #349915=CLOSED_SHELL('',(#333005,#333006,#333007,#333008)); #349916=CLOSED_SHELL('',(#333009,#333010,#333011,#333012)); #349917=CLOSED_SHELL('',(#333013,#333014,#333015,#333016)); #349918=CLOSED_SHELL('',(#333017,#333018,#333019,#333020)); #349919=CLOSED_SHELL('',(#333021,#333022,#333023,#333024)); #349920=CLOSED_SHELL('',(#333025,#333026,#333027,#333028)); #349921=CLOSED_SHELL('',(#333029,#333030,#333031,#333032)); #349922=CLOSED_SHELL('',(#333033,#333034,#333035,#333036)); #349923=CLOSED_SHELL('',(#333037,#333038,#333039,#333040)); #349924=CLOSED_SHELL('',(#333041,#333042,#333043,#333044)); #349925=CLOSED_SHELL('',(#333045,#333046,#333047,#333048)); #349926=CLOSED_SHELL('',(#333049,#333050,#333051,#333052)); #349927=CLOSED_SHELL('',(#333053,#333054,#333055,#333056)); #349928=CLOSED_SHELL('',(#333057,#333058,#333059,#333060)); #349929=CLOSED_SHELL('',(#333061,#333062,#333063,#333064)); #349930=CLOSED_SHELL('',(#333065,#333066,#333067,#333068)); #349931=CLOSED_SHELL('',(#333069,#333070,#333071,#333072)); #349932=CLOSED_SHELL('',(#333073,#333074,#333075,#333076)); #349933=CLOSED_SHELL('',(#333077,#333078,#333079,#333080)); #349934=CLOSED_SHELL('',(#333081,#333082,#333083,#333084)); #349935=CLOSED_SHELL('',(#333085,#333086,#333087,#333088)); #349936=CLOSED_SHELL('',(#333089,#333090,#333091,#333092)); #349937=CLOSED_SHELL('',(#333093,#333094,#333095,#333096)); #349938=CLOSED_SHELL('',(#333097,#333098,#333099,#333100)); #349939=CLOSED_SHELL('',(#333101,#333102,#333103,#333104)); #349940=CLOSED_SHELL('',(#333105,#333106,#333107,#333108)); #349941=CLOSED_SHELL('',(#333109,#333110,#333111,#333112)); #349942=CLOSED_SHELL('',(#333113,#333114,#333115,#333116)); #349943=CLOSED_SHELL('',(#333117,#333118,#333119,#333120)); #349944=CLOSED_SHELL('',(#333121,#333122,#333123,#333124)); #349945=CLOSED_SHELL('',(#333125,#333126,#333127,#333128)); #349946=CLOSED_SHELL('',(#333129,#333130,#333131,#333132)); #349947=CLOSED_SHELL('',(#333133,#333134,#333135,#333136)); #349948=CLOSED_SHELL('',(#333137,#333138,#333139,#333140)); #349949=CLOSED_SHELL('',(#333141,#333142,#333143,#333144)); #349950=CLOSED_SHELL('',(#333145,#333146,#333147,#333148)); #349951=CLOSED_SHELL('',(#333149,#333150,#333151,#333152)); #349952=CLOSED_SHELL('',(#333153,#333154,#333155,#333156)); #349953=CLOSED_SHELL('',(#333157,#333158,#333159,#333160)); #349954=CLOSED_SHELL('',(#333161,#333162,#333163,#333164)); #349955=CLOSED_SHELL('',(#333165,#333166,#333167,#333168)); #349956=CLOSED_SHELL('',(#333169,#333170,#333171,#333172)); #349957=CLOSED_SHELL('',(#333173,#333174,#333175,#333176)); #349958=CLOSED_SHELL('',(#333177,#333178,#333179,#333180)); #349959=CLOSED_SHELL('',(#333181,#333182,#333183,#333184)); #349960=CLOSED_SHELL('',(#333185,#333186,#333187,#333188)); #349961=CLOSED_SHELL('',(#333189,#333190,#333191,#333192)); #349962=CLOSED_SHELL('',(#333193,#333194,#333195,#333196)); #349963=CLOSED_SHELL('',(#333197,#333198,#333199,#333200)); #349964=CLOSED_SHELL('',(#333201,#333202,#333203,#333204)); #349965=CLOSED_SHELL('',(#333205,#333206,#333207,#333208)); #349966=CLOSED_SHELL('',(#333209,#333210,#333211,#333212)); #349967=CLOSED_SHELL('',(#333213,#333214,#333215,#333216)); #349968=CLOSED_SHELL('',(#333217,#333218,#333219,#333220)); #349969=CLOSED_SHELL('',(#333221,#333222,#333223,#333224)); #349970=CLOSED_SHELL('',(#333225,#333226,#333227,#333228)); #349971=CLOSED_SHELL('',(#333229,#333230,#333231,#333232)); #349972=CLOSED_SHELL('',(#333233,#333234,#333235,#333236)); #349973=CLOSED_SHELL('',(#333237,#333238,#333239,#333240)); #349974=CLOSED_SHELL('',(#333241,#333242,#333243,#333244)); #349975=CLOSED_SHELL('',(#333245,#333246,#333247,#333248)); #349976=CLOSED_SHELL('',(#333249,#333250,#333251,#333252)); #349977=CLOSED_SHELL('',(#333253,#333254,#333255,#333256)); #349978=CLOSED_SHELL('',(#333257,#333258,#333259,#333260)); #349979=CLOSED_SHELL('',(#333261,#333262,#333263,#333264)); #349980=CLOSED_SHELL('',(#333265,#333266,#333267,#333268)); #349981=CLOSED_SHELL('',(#333269,#333270,#333271,#333272)); #349982=CLOSED_SHELL('',(#333273,#333274,#333275,#333276)); #349983=CLOSED_SHELL('',(#333277,#333278,#333279,#333280)); #349984=CLOSED_SHELL('',(#333281,#333282,#333283,#333284)); #349985=CLOSED_SHELL('',(#333285,#333286,#333287,#333288)); #349986=CLOSED_SHELL('',(#333289,#333290,#333291,#333292)); #349987=CLOSED_SHELL('',(#333293,#333294,#333295,#333296)); #349988=CLOSED_SHELL('',(#333297,#333298,#333299,#333300)); #349989=CLOSED_SHELL('',(#333301,#333302,#333303,#333304)); #349990=CLOSED_SHELL('',(#333305,#333306,#333307,#333308)); #349991=CLOSED_SHELL('',(#333309,#333310,#333311,#333312)); #349992=CLOSED_SHELL('',(#333313,#333314,#333315,#333316)); #349993=CLOSED_SHELL('',(#333317,#333318,#333319,#333320)); #349994=CLOSED_SHELL('',(#333321,#333322,#333323,#333324)); #349995=CLOSED_SHELL('',(#333325,#333326,#333327,#333328)); #349996=CLOSED_SHELL('',(#333329,#333330,#333331,#333332)); #349997=CLOSED_SHELL('',(#333333,#333334,#333335,#333336)); #349998=CLOSED_SHELL('',(#333337,#333338,#333339,#333340)); #349999=CLOSED_SHELL('',(#333341,#333342,#333343,#333344)); #350000=CLOSED_SHELL('',(#333345,#333346,#333347,#333348)); #350001=CLOSED_SHELL('',(#333349,#333350,#333351,#333352)); #350002=CLOSED_SHELL('',(#333353,#333354,#333355,#333356)); #350003=CLOSED_SHELL('',(#333357,#333358,#333359,#333360)); #350004=CLOSED_SHELL('',(#333361,#333362,#333363,#333364)); #350005=CLOSED_SHELL('',(#333365,#333366,#333367,#333368)); #350006=CLOSED_SHELL('',(#333369,#333370,#333371,#333372)); #350007=CLOSED_SHELL('',(#333373,#333374,#333375,#333376,#333377,#333378, #333379,#333380,#333381,#333382)); #350008=CLOSED_SHELL('',(#333383,#333384,#333385,#333386)); #350009=CLOSED_SHELL('',(#333387,#333388,#333389,#333390,#333391,#333392, #333393,#333394,#333395,#333396)); #350010=CLOSED_SHELL('',(#333397,#333398,#333399,#333400)); #350011=CLOSED_SHELL('',(#333401,#333402,#333403,#333404,#333405,#333406, #333407,#333408,#333409,#333410)); #350012=CLOSED_SHELL('',(#333411,#333412,#333413,#333414)); #350013=CLOSED_SHELL('',(#333415,#333416,#333417,#333418)); #350014=CLOSED_SHELL('',(#333419,#333420,#333421,#333422)); #350015=CLOSED_SHELL('',(#333423,#333424,#333425,#333426)); #350016=CLOSED_SHELL('',(#333427,#333428,#333429,#333430)); #350017=CLOSED_SHELL('',(#333431,#333432,#333433,#333434)); #350018=CLOSED_SHELL('',(#333435,#333436,#333437,#333438)); #350019=CLOSED_SHELL('',(#333439,#333440,#333441,#333442)); #350020=CLOSED_SHELL('',(#333443,#333444,#333445,#333446)); #350021=CLOSED_SHELL('',(#333447,#333448,#333449,#333450)); #350022=CLOSED_SHELL('',(#333451,#333452,#333453,#333454,#333455,#333456, #333457,#333458,#333459,#333460)); #350023=CLOSED_SHELL('',(#333461,#333462,#333463,#333464)); #350024=CLOSED_SHELL('',(#333465,#333466,#333467,#333468)); #350025=CLOSED_SHELL('',(#333469,#333470,#333471,#333472)); #350026=CLOSED_SHELL('',(#333473,#333474,#333475,#333476,#333477,#333478, #333479,#333480,#333481,#333482)); #350027=CLOSED_SHELL('',(#333483,#333484,#333485,#333486)); #350028=CLOSED_SHELL('',(#333487,#333488,#333489,#333490,#333491,#333492, #333493,#333494,#333495,#333496)); #350029=CLOSED_SHELL('',(#333497,#333498,#333499,#333500)); #350030=CLOSED_SHELL('',(#333501,#333502,#333503,#333504)); #350031=CLOSED_SHELL('',(#333505,#333506,#333507,#333508)); #350032=CLOSED_SHELL('',(#333509,#333510,#333511,#333512)); #350033=CLOSED_SHELL('',(#333513,#333514,#333515,#333516)); #350034=CLOSED_SHELL('',(#333517,#333518,#333519,#333520)); #350035=CLOSED_SHELL('',(#333521,#333522,#333523,#333524)); #350036=CLOSED_SHELL('',(#333525,#333526,#333527,#333528)); #350037=CLOSED_SHELL('',(#333529,#333530,#333531,#333532)); #350038=CLOSED_SHELL('',(#333533,#333534,#333535,#333536)); #350039=CLOSED_SHELL('',(#333537,#333538,#333539,#333540)); #350040=CLOSED_SHELL('',(#333541,#333542,#333543,#333544)); #350041=CLOSED_SHELL('',(#333545,#333546,#333547,#333548)); #350042=CLOSED_SHELL('',(#333549,#333550,#333551,#333552)); #350043=CLOSED_SHELL('',(#333553,#333554,#333555,#333556)); #350044=CLOSED_SHELL('',(#333557,#333558,#333559,#333560)); #350045=CLOSED_SHELL('',(#333561,#333562,#333563,#333564)); #350046=CLOSED_SHELL('',(#333565,#333566,#333567,#333568)); #350047=CLOSED_SHELL('',(#333569,#333570,#333571,#333572)); #350048=CLOSED_SHELL('',(#333573,#333574,#333575,#333576)); #350049=CLOSED_SHELL('',(#333577,#333578,#333579,#333580)); #350050=CLOSED_SHELL('',(#333581,#333582,#333583,#333584)); #350051=CLOSED_SHELL('',(#333585,#333586,#333587,#333588)); #350052=CLOSED_SHELL('',(#333589,#333590,#333591,#333592)); #350053=CLOSED_SHELL('',(#333593,#333594,#333595,#333596)); #350054=CLOSED_SHELL('',(#333597,#333598,#333599,#333600)); #350055=CLOSED_SHELL('',(#333601,#333602,#333603,#333604)); #350056=CLOSED_SHELL('',(#333605,#333606,#333607,#333608)); #350057=CLOSED_SHELL('',(#333609,#333610,#333611,#333612)); #350058=CLOSED_SHELL('',(#333613,#333614,#333615,#333616)); #350059=CLOSED_SHELL('',(#333617,#333618,#333619,#333620)); #350060=CLOSED_SHELL('',(#333621,#333622,#333623,#333624)); #350061=CLOSED_SHELL('',(#333625,#333626,#333627,#333628)); #350062=CLOSED_SHELL('',(#333629,#333630,#333631,#333632)); #350063=CLOSED_SHELL('',(#333633,#333634,#333635,#333636)); #350064=CLOSED_SHELL('',(#333637,#333638,#333639,#333640)); #350065=CLOSED_SHELL('',(#333641,#333642,#333643,#333644)); #350066=CLOSED_SHELL('',(#333645,#333646,#333647,#333648)); #350067=CLOSED_SHELL('',(#333649,#333650,#333651,#333652)); #350068=CLOSED_SHELL('',(#333653,#333654,#333655,#333656)); #350069=CLOSED_SHELL('',(#333657,#333658,#333659,#333660)); #350070=CLOSED_SHELL('',(#333661,#333662,#333663,#333664)); #350071=CLOSED_SHELL('',(#333665,#333666,#333667,#333668)); #350072=CLOSED_SHELL('',(#333669,#333670,#333671,#333672)); #350073=CLOSED_SHELL('',(#333673,#333674,#333675,#333676)); #350074=CLOSED_SHELL('',(#333677,#333678,#333679,#333680)); #350075=CLOSED_SHELL('',(#333681,#333682,#333683,#333684)); #350076=CLOSED_SHELL('',(#333685,#333686,#333687,#333688)); #350077=CLOSED_SHELL('',(#333689,#333690,#333691,#333692)); #350078=CLOSED_SHELL('',(#333693,#333694,#333695,#333696)); #350079=CLOSED_SHELL('',(#333697,#333698,#333699,#333700)); #350080=CLOSED_SHELL('',(#333701,#333702,#333703,#333704)); #350081=CLOSED_SHELL('',(#333705,#333706,#333707,#333708)); #350082=CLOSED_SHELL('',(#333709,#333710,#333711,#333712)); #350083=CLOSED_SHELL('',(#333713,#333714,#333715,#333716)); #350084=CLOSED_SHELL('',(#333717,#333718,#333719,#333720)); #350085=CLOSED_SHELL('',(#333721,#333722,#333723,#333724)); #350086=CLOSED_SHELL('',(#333725,#333726,#333727,#333728)); #350087=CLOSED_SHELL('',(#333729,#333730,#333731,#333732)); #350088=CLOSED_SHELL('',(#333733,#333734,#333735,#333736)); #350089=CLOSED_SHELL('',(#333737,#333738,#333739,#333740)); #350090=CLOSED_SHELL('',(#333741,#333742,#333743,#333744)); #350091=CLOSED_SHELL('',(#333745,#333746,#333747,#333748)); #350092=CLOSED_SHELL('',(#333749,#333750,#333751,#333752)); #350093=CLOSED_SHELL('',(#333753,#333754,#333755,#333756)); #350094=CLOSED_SHELL('',(#333757,#333758,#333759,#333760)); #350095=CLOSED_SHELL('',(#333761,#333762,#333763,#333764)); #350096=CLOSED_SHELL('',(#333765,#333766,#333767,#333768)); #350097=CLOSED_SHELL('',(#333769,#333770,#333771,#333772)); #350098=CLOSED_SHELL('',(#333773,#333774,#333775,#333776)); #350099=CLOSED_SHELL('',(#333777,#333778,#333779,#333780)); #350100=CLOSED_SHELL('',(#333781,#333782,#333783,#333784)); #350101=CLOSED_SHELL('',(#333785,#333786,#333787,#333788)); #350102=CLOSED_SHELL('',(#333789,#333790,#333791,#333792)); #350103=CLOSED_SHELL('',(#333793,#333794,#333795,#333796)); #350104=CLOSED_SHELL('',(#333797,#333798,#333799,#333800)); #350105=CLOSED_SHELL('',(#333801,#333802,#333803,#333804)); #350106=CLOSED_SHELL('',(#333805,#333806,#333807,#333808)); #350107=CLOSED_SHELL('',(#333809,#333810,#333811,#333812)); #350108=CLOSED_SHELL('',(#333813,#333814,#333815,#333816)); #350109=CLOSED_SHELL('',(#333817,#333818,#333819,#333820)); #350110=CLOSED_SHELL('',(#333821,#333822,#333823,#333824)); #350111=CLOSED_SHELL('',(#333825,#333826,#333827,#333828)); #350112=CLOSED_SHELL('',(#333829,#333830,#333831,#333832)); #350113=CLOSED_SHELL('',(#333833,#333834,#333835,#333836)); #350114=CLOSED_SHELL('',(#333837,#333838,#333839,#333840)); #350115=CLOSED_SHELL('',(#333841,#333842,#333843,#333844)); #350116=CLOSED_SHELL('',(#333845,#333846,#333847,#333848)); #350117=CLOSED_SHELL('',(#333849,#333850,#333851,#333852)); #350118=CLOSED_SHELL('',(#333853,#333854,#333855,#333856)); #350119=CLOSED_SHELL('',(#333857,#333858,#333859,#333860)); #350120=CLOSED_SHELL('',(#333861,#333862,#333863,#333864)); #350121=CLOSED_SHELL('',(#333865,#333866,#333867,#333868)); #350122=CLOSED_SHELL('',(#333869,#333870,#333871,#333872)); #350123=CLOSED_SHELL('',(#333873,#333874,#333875,#333876)); #350124=CLOSED_SHELL('',(#333877,#333878,#333879,#333880)); #350125=CLOSED_SHELL('',(#333881,#333882,#333883,#333884)); #350126=CLOSED_SHELL('',(#333885,#333886,#333887,#333888)); #350127=CLOSED_SHELL('',(#333889,#333890,#333891,#333892)); #350128=CLOSED_SHELL('',(#333893,#333894,#333895,#333896)); #350129=CLOSED_SHELL('',(#333897,#333898,#333899,#333900)); #350130=CLOSED_SHELL('',(#333901,#333902,#333903,#333904)); #350131=CLOSED_SHELL('',(#333905,#333906,#333907,#333908)); #350132=CLOSED_SHELL('',(#333909,#333910,#333911,#333912)); #350133=CLOSED_SHELL('',(#333913,#333914,#333915,#333916)); #350134=CLOSED_SHELL('',(#333917,#333918,#333919,#333920)); #350135=CLOSED_SHELL('',(#333921,#333922,#333923,#333924)); #350136=CLOSED_SHELL('',(#333925,#333926,#333927,#333928)); #350137=CLOSED_SHELL('',(#333929,#333930,#333931,#333932)); #350138=CLOSED_SHELL('',(#333933,#333934,#333935,#333936)); #350139=CLOSED_SHELL('',(#333937,#333938,#333939,#333940)); #350140=CLOSED_SHELL('',(#333941,#333942,#333943,#333944)); #350141=CLOSED_SHELL('',(#333945,#333946,#333947,#333948)); #350142=CLOSED_SHELL('',(#333949,#333950,#333951,#333952)); #350143=CLOSED_SHELL('',(#333953,#333954,#333955,#333956)); #350144=CLOSED_SHELL('',(#333957,#333958,#333959,#333960)); #350145=CLOSED_SHELL('',(#333961,#333962,#333963,#333964)); #350146=CLOSED_SHELL('',(#333965,#333966,#333967,#333968)); #350147=CLOSED_SHELL('',(#333969,#333970,#333971,#333972)); #350148=CLOSED_SHELL('',(#333973,#333974,#333975,#333976)); #350149=CLOSED_SHELL('',(#333977,#333978,#333979,#333980)); #350150=CLOSED_SHELL('',(#333981,#333982,#333983,#333984)); #350151=CLOSED_SHELL('',(#333985,#333986,#333987,#333988)); #350152=CLOSED_SHELL('',(#333989,#333990,#333991,#333992)); #350153=CLOSED_SHELL('',(#333993,#333994,#333995,#333996)); #350154=CLOSED_SHELL('',(#333997,#333998,#333999,#334000)); #350155=CLOSED_SHELL('',(#334001,#334002,#334003,#334004)); #350156=CLOSED_SHELL('',(#334005,#334006,#334007,#334008)); #350157=CLOSED_SHELL('',(#334009,#334010,#334011,#334012)); #350158=CLOSED_SHELL('',(#334013,#334014,#334015,#334016)); #350159=CLOSED_SHELL('',(#334017,#334018,#334019,#334020)); #350160=CLOSED_SHELL('',(#334021,#334022,#334023,#334024)); #350161=CLOSED_SHELL('',(#334025,#334026,#334027,#334028)); #350162=CLOSED_SHELL('',(#334029,#334030,#334031,#334032)); #350163=CLOSED_SHELL('',(#334033,#334034,#334035,#334036)); #350164=CLOSED_SHELL('',(#334037,#334038,#334039,#334040)); #350165=CLOSED_SHELL('',(#334041,#334042,#334043,#334044)); #350166=CLOSED_SHELL('',(#334045,#334046,#334047,#334048)); #350167=CLOSED_SHELL('',(#334049,#334050,#334051,#334052)); #350168=CLOSED_SHELL('',(#334053,#334054,#334055,#334056)); #350169=CLOSED_SHELL('',(#334057,#334058,#334059,#334060)); #350170=CLOSED_SHELL('',(#334061,#334062,#334063,#334064)); #350171=CLOSED_SHELL('',(#334065,#334066,#334067,#334068)); #350172=CLOSED_SHELL('',(#334069,#334070,#334071,#334072)); #350173=CLOSED_SHELL('',(#334073,#334074,#334075,#334076)); #350174=CLOSED_SHELL('',(#334077,#334078,#334079,#334080)); #350175=CLOSED_SHELL('',(#334081,#334082,#334083,#334084)); #350176=CLOSED_SHELL('',(#334085,#334086,#334087,#334088)); #350177=CLOSED_SHELL('',(#334089,#334090,#334091,#334092)); #350178=CLOSED_SHELL('',(#334093,#334094,#334095,#334096)); #350179=CLOSED_SHELL('',(#334097,#334098,#334099,#334100)); #350180=CLOSED_SHELL('',(#334101,#334102,#334103,#334104)); #350181=CLOSED_SHELL('',(#334105,#334106,#334107,#334108)); #350182=CLOSED_SHELL('',(#334109,#334110,#334111,#334112)); #350183=CLOSED_SHELL('',(#334113,#334114,#334115,#334116)); #350184=CLOSED_SHELL('',(#334117,#334118,#334119,#334120)); #350185=CLOSED_SHELL('',(#334121,#334122,#334123,#334124)); #350186=CLOSED_SHELL('',(#334125,#334126,#334127,#334128)); #350187=CLOSED_SHELL('',(#334129,#334130,#334131,#334132)); #350188=CLOSED_SHELL('',(#334133,#334134,#334135,#334136)); #350189=CLOSED_SHELL('',(#334137,#334138,#334139,#334140)); #350190=CLOSED_SHELL('',(#334141,#334142,#334143,#334144,#334145,#334146)); #350191=CLOSED_SHELL('',(#334147,#334148,#334149,#334150,#334151,#334152)); #350192=CLOSED_SHELL('',(#334153,#334154,#334155,#334156,#334157,#334158)); #350193=CLOSED_SHELL('',(#334159,#334160,#334161,#334162,#334163,#334164)); #350194=CLOSED_SHELL('',(#334165,#334166,#334167,#334168,#334169,#334170)); #350195=CLOSED_SHELL('',(#334171,#334172,#334173,#334174,#334175,#334176)); #350196=CLOSED_SHELL('',(#334177,#334178,#334179,#334180,#334181,#334182)); #350197=CLOSED_SHELL('',(#334183,#334184,#334185,#334186,#334187,#334188)); #350198=CLOSED_SHELL('',(#334189,#334190,#334191,#334192,#334193,#334194)); #350199=CLOSED_SHELL('',(#334195,#334196,#334197,#334198,#334199,#334200)); #350200=CLOSED_SHELL('',(#334201,#334202,#334203,#334204,#334205,#334206)); #350201=CLOSED_SHELL('',(#334207,#334208,#334209,#334210,#334211,#334212)); #350202=CLOSED_SHELL('',(#334213,#334214,#334215,#334216,#334217,#334218, #334219,#334220,#334221,#334222,#334223,#334224)); #350203=CLOSED_SHELL('',(#334225,#334226,#334227,#334228,#334229,#334230)); #350204=CLOSED_SHELL('',(#334231,#334232,#334233,#334234,#334235,#334236)); #350205=CLOSED_SHELL('',(#334237,#334238,#334239,#334240,#334241,#334242, #334243,#334244,#334245,#334246,#334247,#334248,#334249,#334250,#334251, #334252)); #350206=CLOSED_SHELL('',(#334253,#334254,#334255,#334256,#334257,#334258, #334259,#334260,#334261,#334262,#334263,#334264,#334265)); #350207=CLOSED_SHELL('',(#334266,#334267,#334268,#334269,#334270,#334271, #334272,#334273,#334274,#334275,#334276,#334277,#334278,#334279,#334280, #334281,#334282,#334283,#334284,#334285,#334286,#334287)); #350208=CLOSED_SHELL('',(#334288,#334289,#334290,#334291,#334292,#334293, #334294,#334295,#334296,#334297,#334298,#334299,#334300,#334301,#334302, #334303,#334304,#334305,#334306,#334307,#334308,#334309,#334310,#334311, #334312,#334313,#334314,#334315,#334316,#334317,#334318,#334319,#334320, #334321,#334322,#334323,#334324,#334325)); #350209=CLOSED_SHELL('',(#334326,#334327,#334328,#334329,#334330,#334331, #334332,#334333,#334334,#334335,#334336,#334337,#334338,#334339,#334340, #334341,#334342,#334343,#334344,#334345,#334346,#334347,#334348,#334349, #334350,#334351,#334352,#334353,#334354,#334355,#334356,#334357,#334358, #334359,#334360,#334361,#334362,#334363)); #350210=CLOSED_SHELL('',(#334364,#334365,#334366,#334367,#334368,#334369, #334370,#334371,#334372,#334373,#334374,#334375,#334376,#334377,#334378, #334379,#334380,#334381,#334382,#334383,#334384,#334385,#334386,#334387, #334388)); #350211=CLOSED_SHELL('',(#334389,#334390,#334391,#334392,#334393,#334394, #334395,#334396,#334397,#334398,#334399,#334400,#334401,#334402,#334403, #334404,#334405,#334406,#334407)); #350212=CLOSED_SHELL('',(#334408,#334409,#334410,#334411,#334412,#334413, #334414,#334415,#334416,#334417,#334418,#334419,#334420,#334421,#334422)); #350213=CLOSED_SHELL('',(#334423,#334424,#334425,#334426,#334427,#334428, #334429,#334430,#334431,#334432,#334433,#334434,#334435,#334436,#334437, #334438,#334439)); #350214=CLOSED_SHELL('',(#334440,#334441,#334442,#334443,#334444,#334445, #334446,#334447,#334448,#334449,#334450,#334451)); #350215=CLOSED_SHELL('',(#334452,#334453,#334454,#334455,#334456,#334457, #334458,#334459,#334460,#334461,#334462,#334463,#334464,#334465,#334466, #334467,#334468,#334469,#334470)); #350216=CLOSED_SHELL('',(#334471,#334472,#334473,#334474,#334475,#334476, #334477,#334478,#334479,#334480,#334481,#334482,#334483,#334484,#334485, #334486,#334487,#334488,#334489,#334490,#334491,#334492,#334493,#334494, #334495)); #350217=CLOSED_SHELL('',(#334496,#334497,#334498,#334499,#334500,#334501, #334502,#334503,#334504,#334505,#334506,#334507,#334508,#334509,#334510, #334511,#334512,#334513,#334514,#334515,#334516,#334517,#334518,#334519, #334520,#334521,#334522,#334523)); #350218=CLOSED_SHELL('',(#334524,#334525,#334526,#334527,#334528,#334529, #334530,#334531,#334532,#334533,#334534,#334535,#334536,#334537,#334538, #334539,#334540,#334541,#334542,#334543,#334544,#334545,#334546,#334547, #334548,#334549,#334550)); #350219=CLOSED_SHELL('',(#334551,#334552,#334553,#334554,#334555,#334556, #334557,#334558,#334559,#334560,#334561,#334562,#334563,#334564)); #350220=CLOSED_SHELL('',(#334565,#334566,#334567,#334568,#334569,#334570, #334571,#334572,#334573,#334574,#334575,#334576,#334577,#334578,#334579, #334580,#334581,#334582,#334583,#334584,#334585,#334586,#334587,#334588, #334589,#334590,#334591,#334592,#334593,#334594,#334595,#334596,#334597, #334598,#334599,#334600,#334601,#334602,#334603,#334604)); #350221=CLOSED_SHELL('',(#334605,#334606,#334607,#334608,#334609,#334610, #334611,#334612,#334613,#334614,#334615,#334616,#334617,#334618,#334619, #334620,#334621,#334622,#334623,#334624,#334625,#334626,#334627,#334628, #334629,#334630,#334631,#334632,#334633,#334634,#334635,#334636,#334637, #334638,#334639,#334640,#334641,#334642,#334643,#334644)); #350222=CLOSED_SHELL('',(#334645,#334646,#334647,#334648,#334649,#334650, #334651,#334652,#334653,#334654,#334655,#334656,#334657,#334658,#334659, #334660,#334661,#334662,#334663,#334664,#334665,#334666)); #350223=CLOSED_SHELL('',(#334667,#334668,#334669,#334670,#334671,#334672, #334673,#334674,#334675,#334676,#334677,#334678,#334679,#334680,#334681, #334682,#334683,#334684,#334685,#334686,#334687,#334688,#334689,#334690)); #350224=CLOSED_SHELL('',(#334691,#334692,#334693,#334694,#334695,#334696, #334697,#334698,#334699,#334700,#334701,#334702,#334703,#334704,#334705, #334706,#334707,#334708,#334709)); #350225=CLOSED_SHELL('',(#334710,#334711,#334712,#334713,#334714,#334715, #334716,#334717,#334718,#334719,#334720,#334721,#334722,#334723,#334724, #334725)); #350226=CLOSED_SHELL('',(#334726,#334727,#334728,#334729,#334730,#334731, #334732,#334733,#334734,#334735,#334736,#334737,#334738,#334739,#334740, #334741,#334742,#334743,#334744,#334745,#334746)); #350227=CLOSED_SHELL('',(#334747,#334748,#334749,#334750,#334751,#334752, #334753,#334754,#334755,#334756,#334757,#334758,#334759,#334760,#334761, #334762)); #350228=CLOSED_SHELL('',(#334763,#334764,#334765,#334766,#334767,#334768, #334769,#334770,#334771,#334772,#334773,#334774,#334775,#334776,#334777, #334778,#334779,#334780,#334781,#334782,#334783)); #350229=CLOSED_SHELL('',(#334784,#334785,#334786,#334787,#334788,#334789, #334790,#334791,#334792,#334793,#334794,#334795,#334796,#334797)); #350230=CLOSED_SHELL('',(#334798,#334799,#334800,#334801,#334802,#334803, #334804,#334805,#334806,#334807,#334808,#334809,#334810,#334811)); #350231=CLOSED_SHELL('',(#334812,#334813,#334814,#334815,#334816,#334817, #334818,#334819,#334820,#334821,#334822,#334823,#334824,#334825,#334826, #334827,#334828,#334829,#334830,#334831,#334832,#334833,#334834,#334835, #334836,#334837)); #350232=CLOSED_SHELL('',(#334838,#334839,#334840,#334841,#334842,#334843, #334844,#334845,#334846,#334847,#334848,#334849,#334850,#334851,#334852, #334853,#334854,#334855,#334856,#334857)); #350233=CLOSED_SHELL('',(#334858,#334859,#334860,#334861,#334862,#334863, #334864,#334865,#334866,#334867,#334868,#334869,#334870,#334871,#334872, #334873,#334874,#334875,#334876,#334877,#334878,#334879,#334880,#334881, #334882,#334883)); #350234=CLOSED_SHELL('',(#334884,#334885,#334886,#334887,#334888,#334889, #334890,#334891,#334892,#334893,#334894,#334895,#334896,#334897,#334898, #334899,#334900,#334901,#334902,#334903,#334904,#334905,#334906,#334907, #334908,#334909)); #350235=CLOSED_SHELL('',(#334910,#334911,#334912,#334913,#334914,#334915, #334916)); #350236=CLOSED_SHELL('',(#334917,#334918,#334919,#334920,#334921,#334922, #334923,#334924,#334925,#334926,#334927,#334928,#334929,#334930,#334931, #334932,#334933,#334934,#334935,#334936,#334937,#334938,#334939,#334940, #334941,#334942,#334943)); #350237=CLOSED_SHELL('',(#334944,#334945,#334946,#334947,#334948,#334949, #334950,#334951,#334952,#334953,#334954,#334955,#334956,#334957,#334958, #334959,#334960,#334961)); #350238=CLOSED_SHELL('',(#334962,#334963,#334964,#334965,#334966,#334967, #334968,#334969,#334970,#334971,#334972,#334973,#334974,#334975,#334976, #334977,#334978,#334979,#334980,#334981,#334982,#334983,#334984,#334985, #334986,#334987,#334988,#334989,#334990,#334991,#334992,#334993,#334994, #334995,#334996,#334997,#334998,#334999,#335000,#335001,#335002,#335003, #335004,#335005,#335006,#335007)); #350239=CLOSED_SHELL('',(#335008,#335009,#335010,#335011,#335012,#335013, #335014,#335015,#335016,#335017,#335018,#335019,#335020,#335021,#335022, #335023,#335024,#335025,#335026,#335027,#335028,#335029,#335030,#335031)); #350240=CLOSED_SHELL('',(#335032,#335033,#335034,#335035,#335036,#335037, #335038)); #350241=CLOSED_SHELL('',(#335039,#335040,#335041,#335042,#335043,#335044, #335045)); #350242=CLOSED_SHELL('',(#335046,#335047,#335048,#335049,#335050,#335051, #335052,#335053,#335054,#335055,#335056,#335057,#335058,#335059,#335060, #335061,#335062)); #350243=CLOSED_SHELL('',(#335063,#335064,#335065,#335066,#335067,#335068)); #350244=CLOSED_SHELL('',(#335069,#335070,#335071,#335072,#335073,#335074, #335075,#335076,#335077,#335078,#335079)); #350245=CLOSED_SHELL('',(#335080,#335081,#335082,#335083,#335084,#335085)); #350246=CLOSED_SHELL('',(#335086,#335087,#335088,#335089,#335090,#335091, #335092)); #350247=CLOSED_SHELL('',(#335093,#335094,#335095,#335096,#335097,#335098, #335099,#335100,#335101,#335102,#335103,#335104,#335105,#335106,#335107, #335108,#335109,#335110,#335111,#335112,#335113,#335114,#335115,#335116, #335117,#335118,#335119,#335120,#335121,#335122,#335123,#335124,#335125, #335126,#335127,#335128,#335129,#335130,#335131,#335132,#335133,#335134, #335135,#335136,#335137,#335138)); #350248=CLOSED_SHELL('',(#335139,#335140,#335141,#335142,#335143,#335144, #335145,#335146,#335147,#335148,#335149,#335150,#335151,#335152,#335153, #335154,#335155,#335156,#335157,#335158,#335159,#335160,#335161,#335162)); #350249=CLOSED_SHELL('',(#335163,#335164,#335165,#335166,#335167,#335168, #335169,#335170,#335171,#335172,#335173,#335174,#335175,#335176)); #350250=CLOSED_SHELL('',(#335177,#335178,#335179,#335180,#335181,#335182, #335183,#335184,#335185,#335186,#335187,#335188,#335189,#335190)); #350251=CLOSED_SHELL('',(#335191,#335192,#335193,#335194,#335195,#335196, #335197,#335198,#335199,#335200,#335201,#335202,#335203,#335204,#335205, #335206,#335207,#335208,#335209,#335210)); #350252=CLOSED_SHELL('',(#335211,#335212,#335213,#335214,#335215,#335216, #335217,#335218,#335219,#335220,#335221,#335222,#335223,#335224,#335225, #335226,#335227,#335228,#335229,#335230,#335231,#335232,#335233,#335234, #335235)); #350253=CLOSED_SHELL('',(#335236,#335237,#335238,#335239,#335240,#335241, #335242,#335243,#335244,#335245,#335246,#335247,#335248,#335249,#335250)); #350254=CLOSED_SHELL('',(#335251,#335252,#335253,#335254,#335255,#335256, #335257,#335258,#335259,#335260,#335261,#335262,#335263,#335264,#335265, #335266,#335267,#335268,#335269,#335270,#335271,#335272,#335273,#335274, #335275,#335276,#335277,#335278,#335279,#335280,#335281,#335282,#335283, #335284,#335285,#335286,#335287,#335288)); #350255=CLOSED_SHELL('',(#335289,#335290,#335291,#335292,#335293,#335294, #335295,#335296,#335297,#335298,#335299,#335300,#335301)); #350256=CLOSED_SHELL('',(#335302,#335303,#335304,#335305,#335306,#335307, #335308,#335309,#335310,#335311,#335312,#335313,#335314,#335315,#335316, #335317,#335318,#335319,#335320,#335321,#335322,#335323,#335324,#335325, #335326)); #350257=CLOSED_SHELL('',(#335327,#335328,#335329,#335330,#335331,#335332, #335333,#335334,#335335,#335336,#335337,#335338,#335339,#335340,#335341, #335342)); #350258=CLOSED_SHELL('',(#335343,#335344,#335345,#335346,#335347,#335348, #335349,#335350,#335351,#335352,#335353,#335354,#335355,#335356,#335357, #335358,#335359,#335360,#335361,#335362,#335363,#335364,#335365,#335366, #335367,#335368,#335369,#335370,#335371,#335372,#335373,#335374,#335375, #335376,#335377,#335378,#335379,#335380,#335381,#335382,#335383,#335384, #335385,#335386,#335387,#335388,#335389,#335390,#335391,#335392,#335393, #335394,#335395,#335396,#335397,#335398,#335399,#335400,#335401,#335402, #335403,#335404,#335405,#335406,#335407,#335408,#335409,#335410,#335411, #335412,#335413,#335414,#335415,#335416,#335417,#335418,#335419,#335420, #335421,#335422,#335423,#335424,#335425,#335426,#335427,#335428,#335429, #335430,#335431,#335432,#335433,#335434,#335435,#335436,#335437,#335438, #335439,#335440,#335441,#335442,#335443,#335444,#335445,#335446,#335447, #335448,#335449,#335450,#335451,#335452,#335453,#335454,#335455,#335456, #335457,#335458,#335459,#335460,#335461,#335462,#335463,#335464,#335465, #335466,#335467,#335468,#335469,#335470,#335471,#335472,#335473,#335474, #335475,#335476,#335477,#335478,#335479,#335480,#335481,#335482,#335483, #335484,#335485,#335486,#335487,#335488)); #350259=CLOSED_SHELL('',(#335489,#335490,#335491,#335492,#335493,#335494)); #350260=CLOSED_SHELL('',(#335495,#335496,#335497,#335498,#335499,#335500, #335501,#335502,#335503,#335504,#335505,#335506,#335507,#335508,#335509, #335510,#335511,#335512,#335513,#335514,#335515,#335516)); #350261=CLOSED_SHELL('',(#335517,#335518,#335519,#335520,#335521,#335522, #335523,#335524,#335525,#335526,#335527,#335528,#335529,#335530,#335531, #335532,#335533,#335534,#335535,#335536,#335537,#335538,#335539)); #350262=CLOSED_SHELL('',(#335540,#335541,#335542,#335543,#335544,#335545, #335546,#335547,#335548,#335549,#335550,#335551,#335552,#335553,#335554, #335555,#335556,#335557,#335558,#335559,#335560,#335561)); #350263=CLOSED_SHELL('',(#335562,#335563,#335564,#335565,#335566,#335567, #335568,#335569,#335570,#335571,#335572,#335573,#335574,#335575,#335576, #335577,#335578,#335579,#335580,#335581,#335582,#335583,#335584)); #350264=CLOSED_SHELL('',(#335585,#335586,#335587,#335588,#335589,#335590, #335591,#335592,#335593,#335594,#335595,#335596,#335597,#335598,#335599, #335600,#335601,#335602,#335603,#335604,#335605,#335606,#335607,#335608, #335609,#335610,#335611,#335612,#335613,#335614,#335615,#335616,#335617, #335618,#335619,#335620,#335621,#335622,#335623,#335624,#335625,#335626, #335627,#335628,#335629,#335630,#335631,#335632,#335633,#335634,#335635, #335636,#335637,#335638,#335639,#335640,#335641,#335642,#335643,#335644, #335645,#335646,#335647,#335648,#335649,#335650,#335651,#335652,#335653, #335654,#335655,#335656,#335657,#335658,#335659,#335660,#335661,#335662, #335663,#335664,#335665,#335666,#335667,#335668,#335669,#335670,#335671, #335672,#335673,#335674,#335675,#335676,#335677,#335678,#335679)); #350265=CLOSED_SHELL('',(#335680,#335681,#335682,#335683,#335684,#335685, #335686,#335687,#335688,#335689,#335690,#335691,#335692,#335693,#335694, #335695,#335696,#335697,#335698,#335699,#335700,#335701,#335702,#335703, #335704,#335705,#335706,#335707,#335708,#335709,#335710,#335711,#335712, #335713,#335714,#335715)); #350266=CLOSED_SHELL('',(#335716,#335717,#335718,#335719,#335720,#335721, #335722,#335723,#335724,#335725,#335726,#335727,#335728,#335729,#335730, #335731,#335732,#335733,#335734,#335735,#335736,#335737)); #350267=CLOSED_SHELL('',(#335738,#335739,#335740,#335741,#335742,#335743, #335744,#335745,#335746,#335747,#335748,#335749,#335750,#335751,#335752, #335753,#335754,#335755,#335756,#335757,#335758,#335759,#335760)); #350268=CLOSED_SHELL('',(#335761,#335762,#335763,#335764,#335765,#335766, #335767,#335768,#335769,#335770,#335771,#335772,#335773,#335774,#335775, #335776,#335777,#335778,#335779,#335780,#335781,#335782,#335783,#335784, #335785,#335786,#335787,#335788,#335789,#335790,#335791,#335792,#335793, #335794,#335795,#335796,#335797,#335798,#335799,#335800,#335801,#335802, #335803,#335804,#335805,#335806,#335807,#335808,#335809,#335810,#335811, #335812,#335813,#335814,#335815,#335816,#335817,#335818,#335819,#335820, #335821,#335822,#335823,#335824,#335825,#335826,#335827,#335828,#335829, #335830,#335831,#335832,#335833,#335834,#335835,#335836,#335837,#335838, #335839,#335840,#335841,#335842,#335843,#335844,#335845,#335846,#335847, #335848,#335849,#335850,#335851,#335852,#335853,#335854,#335855,#335856, #335857,#335858,#335859,#335860,#335861,#335862,#335863,#335864,#335865, #335866,#335867,#335868,#335869,#335870,#335871,#335872,#335873,#335874, #335875,#335876,#335877,#335878,#335879,#335880,#335881,#335882,#335883, #335884,#335885,#335886,#335887,#335888,#335889,#335890,#335891,#335892, #335893,#335894,#335895,#335896,#335897,#335898,#335899,#335900,#335901, #335902,#335903,#335904,#335905,#335906,#335907,#335908,#335909,#335910, #335911,#335912,#335913,#335914,#335915,#335916,#335917,#335918,#335919, #335920,#335921,#335922,#335923,#335924,#335925,#335926,#335927,#335928, #335929,#335930,#335931,#335932,#335933,#335934,#335935,#335936,#335937, #335938,#335939,#335940,#335941,#335942,#335943,#335944,#335945,#335946, #335947,#335948,#335949,#335950,#335951,#335952,#335953,#335954,#335955, #335956,#335957,#335958,#335959,#335960,#335961,#335962,#335963,#335964, #335965,#335966,#335967,#335968,#335969,#335970,#335971,#335972,#335973, #335974,#335975,#335976,#335977,#335978,#335979,#335980,#335981,#335982, #335983,#335984,#335985,#335986,#335987,#335988,#335989,#335990,#335991, #335992,#335993,#335994,#335995,#335996,#335997,#335998,#335999,#336000, #336001,#336002,#336003)); #350269=CLOSED_SHELL('',(#336004,#336005,#336006,#336007,#336008,#336009, #336010,#336011,#336012,#336013,#336014,#336015,#336016,#336017,#336018, #336019,#336020,#336021,#336022,#336023,#336024,#336025,#336026)); #350270=CLOSED_SHELL('',(#336027,#336028,#336029,#336030,#336031,#336032, #336033,#336034,#336035,#336036,#336037,#336038,#336039,#336040,#336041, #336042,#336043,#336044,#336045,#336046,#336047,#336048)); #350271=CLOSED_SHELL('',(#336049,#336050,#336051,#336052,#336053,#336054, #336055,#336056,#336057,#336058,#336059,#336060,#336061,#336062,#336063, #336064,#336065,#336066,#336067,#336068,#336069,#336070,#336071,#336072, #336073,#336074,#336075,#336076,#336077,#336078,#336079,#336080,#336081, #336082,#336083,#336084,#336085,#336086,#336087,#336088,#336089,#336090, #336091,#336092,#336093,#336094,#336095,#336096,#336097,#336098,#336099, #336100,#336101,#336102,#336103,#336104,#336105,#336106,#336107,#336108, #336109,#336110,#336111,#336112,#336113,#336114,#336115,#336116,#336117, #336118,#336119,#336120,#336121,#336122,#336123,#336124,#336125,#336126, #336127,#336128,#336129,#336130,#336131,#336132,#336133,#336134,#336135, #336136,#336137,#336138,#336139,#336140,#336141,#336142,#336143,#336144, #336145,#336146,#336147,#336148,#336149,#336150,#336151,#336152,#336153, #336154,#336155,#336156,#336157,#336158,#336159,#336160,#336161,#336162, #336163,#336164,#336165,#336166,#336167,#336168,#336169,#336170,#336171, #336172,#336173,#336174,#336175,#336176,#336177,#336178,#336179,#336180, #336181,#336182,#336183,#336184,#336185,#336186,#336187,#336188,#336189, #336190,#336191,#336192,#336193,#336194,#336195,#336196,#336197,#336198, #336199,#336200,#336201,#336202,#336203,#336204,#336205,#336206,#336207, #336208,#336209,#336210,#336211,#336212,#336213,#336214,#336215,#336216, #336217,#336218,#336219,#336220,#336221,#336222,#336223,#336224,#336225, #336226,#336227,#336228,#336229,#336230,#336231,#336232,#336233,#336234, #336235,#336236,#336237,#336238,#336239,#336240,#336241,#336242,#336243, #336244,#336245,#336246,#336247,#336248,#336249,#336250,#336251,#336252, #336253,#336254,#336255,#336256,#336257,#336258,#336259,#336260,#336261, #336262,#336263,#336264,#336265,#336266,#336267,#336268,#336269,#336270, #336271,#336272,#336273,#336274,#336275,#336276,#336277,#336278,#336279, #336280,#336281,#336282,#336283,#336284,#336285,#336286,#336287,#336288, #336289,#336290,#336291,#336292,#336293,#336294,#336295,#336296,#336297, #336298,#336299,#336300,#336301,#336302,#336303,#336304,#336305,#336306, #336307,#336308,#336309,#336310,#336311,#336312,#336313,#336314,#336315, #336316,#336317,#336318,#336319,#336320,#336321,#336322,#336323,#336324, #336325,#336326,#336327,#336328,#336329,#336330,#336331,#336332,#336333, #336334,#336335,#336336,#336337,#336338,#336339,#336340,#336341,#336342, #336343,#336344,#336345,#336346,#336347,#336348,#336349,#336350,#336351, #336352,#336353,#336354,#336355,#336356,#336357,#336358,#336359,#336360, #336361,#336362,#336363,#336364,#336365,#336366,#336367,#336368,#336369, #336370,#336371,#336372,#336373,#336374,#336375,#336376,#336377,#336378, #336379,#336380,#336381,#336382,#336383,#336384,#336385,#336386,#336387, #336388,#336389,#336390,#336391,#336392,#336393,#336394,#336395,#336396, #336397,#336398,#336399,#336400,#336401,#336402,#336403,#336404,#336405, #336406,#336407,#336408,#336409,#336410,#336411,#336412,#336413,#336414, #336415,#336416,#336417,#336418,#336419,#336420,#336421,#336422,#336423, #336424,#336425,#336426,#336427,#336428,#336429,#336430,#336431,#336432, #336433,#336434,#336435,#336436,#336437,#336438,#336439,#336440,#336441, #336442,#336443,#336444,#336445,#336446,#336447,#336448,#336449,#336450, #336451,#336452,#336453,#336454,#336455,#336456,#336457,#336458,#336459, #336460,#336461,#336462,#336463,#336464,#336465,#336466,#336467,#336468, #336469,#336470,#336471,#336472,#336473,#336474,#336475,#336476,#336477, #336478,#336479,#336480,#336481,#336482,#336483,#336484,#336485,#336486, #336487,#336488,#336489,#336490,#336491,#336492,#336493,#336494,#336495, #336496,#336497,#336498,#336499,#336500,#336501,#336502,#336503,#336504, #336505,#336506,#336507,#336508,#336509,#336510,#336511,#336512,#336513, #336514,#336515,#336516,#336517,#336518,#336519,#336520,#336521,#336522, #336523,#336524,#336525,#336526,#336527,#336528,#336529,#336530,#336531, #336532,#336533,#336534,#336535,#336536,#336537,#336538,#336539,#336540, #336541,#336542,#336543,#336544,#336545,#336546,#336547,#336548,#336549, #336550,#336551,#336552,#336553,#336554,#336555,#336556,#336557,#336558, #336559,#336560,#336561,#336562,#336563,#336564,#336565,#336566,#336567, #336568,#336569,#336570,#336571,#336572,#336573,#336574,#336575,#336576, #336577,#336578,#336579,#336580,#336581,#336582,#336583,#336584,#336585, #336586,#336587,#336588,#336589,#336590,#336591,#336592,#336593,#336594, #336595,#336596,#336597,#336598,#336599,#336600,#336601,#336602,#336603, #336604,#336605,#336606,#336607,#336608,#336609,#336610,#336611,#336612, #336613,#336614,#336615,#336616,#336617,#336618,#336619,#336620,#336621, #336622,#336623,#336624,#336625,#336626,#336627,#336628,#336629,#336630, #336631,#336632,#336633,#336634,#336635,#336636,#336637,#336638,#336639, #336640,#336641,#336642,#336643,#336644,#336645,#336646,#336647,#336648, #336649,#336650,#336651,#336652,#336653,#336654,#336655,#336656,#336657, #336658,#336659,#336660,#336661,#336662,#336663,#336664,#336665,#336666, #336667,#336668,#336669,#336670,#336671,#336672,#336673,#336674,#336675, #336676,#336677,#336678,#336679,#336680,#336681,#336682,#336683,#336684, #336685,#336686,#336687,#336688,#336689,#336690,#336691,#336692,#336693, #336694,#336695,#336696,#336697,#336698,#336699,#336700,#336701,#336702, #336703,#336704,#336705,#336706,#336707,#336708,#336709,#336710,#336711, #336712,#336713,#336714,#336715,#336716,#336717,#336718,#336719,#336720, #336721,#336722,#336723,#336724,#336725,#336726,#336727,#336728,#336729, #336730,#336731,#336732,#336733,#336734,#336735,#336736,#336737,#336738, #336739,#336740,#336741,#336742,#336743,#336744,#336745,#336746,#336747, #336748,#336749,#336750,#336751,#336752,#336753,#336754,#336755,#336756, #336757,#336758,#336759,#336760,#336761,#336762,#336763,#336764,#336765, #336766,#336767,#336768,#336769,#336770,#336771,#336772,#336773,#336774, #336775,#336776,#336777,#336778,#336779,#336780,#336781,#336782,#336783, #336784,#336785,#336786,#336787,#336788,#336789,#336790,#336791,#336792, #336793,#336794,#336795,#336796,#336797,#336798,#336799,#336800,#336801, #336802,#336803,#336804,#336805,#336806,#336807,#336808,#336809,#336810, #336811,#336812,#336813,#336814,#336815,#336816,#336817,#336818,#336819, #336820,#336821,#336822,#336823,#336824,#336825,#336826,#336827,#336828, #336829,#336830,#336831,#336832,#336833,#336834,#336835,#336836,#336837, #336838,#336839,#336840,#336841,#336842,#336843,#336844,#336845,#336846, #336847,#336848,#336849,#336850,#336851,#336852,#336853,#336854,#336855, #336856,#336857,#336858,#336859,#336860,#336861,#336862,#336863,#336864, #336865,#336866,#336867,#336868,#336869,#336870,#336871,#336872,#336873, #336874,#336875,#336876,#336877,#336878,#336879,#336880,#336881,#336882, #336883,#336884,#336885,#336886,#336887,#336888,#336889,#336890,#336891, #336892,#336893,#336894,#336895,#336896,#336897,#336898,#336899,#336900, #336901,#336902,#336903,#336904,#336905,#336906,#336907,#336908,#336909, #336910,#336911,#336912,#336913,#336914,#336915,#336916,#336917,#336918, #336919,#336920,#336921,#336922,#336923,#336924,#336925,#336926,#336927, #336928,#336929,#336930,#336931,#336932,#336933,#336934,#336935,#336936, #336937,#336938,#336939,#336940,#336941,#336942,#336943,#336944,#336945, #336946,#336947,#336948,#336949,#336950,#336951,#336952,#336953,#336954, #336955,#336956,#336957,#336958,#336959,#336960,#336961,#336962,#336963, #336964,#336965,#336966,#336967,#336968,#336969,#336970,#336971,#336972, #336973,#336974,#336975,#336976,#336977,#336978,#336979,#336980,#336981, #336982,#336983,#336984,#336985,#336986,#336987,#336988,#336989,#336990, #336991,#336992,#336993,#336994,#336995,#336996,#336997,#336998,#336999, #337000,#337001,#337002,#337003,#337004,#337005,#337006,#337007,#337008, #337009,#337010,#337011,#337012,#337013,#337014,#337015,#337016,#337017, #337018,#337019,#337020,#337021,#337022,#337023,#337024,#337025,#337026, #337027,#337028,#337029,#337030,#337031,#337032,#337033,#337034,#337035, #337036,#337037,#337038,#337039,#337040,#337041,#337042,#337043,#337044, #337045,#337046,#337047,#337048,#337049,#337050,#337051,#337052,#337053, #337054,#337055,#337056,#337057,#337058,#337059,#337060,#337061)); #350272=CLOSED_SHELL('',(#337062,#337063,#337064,#337065,#337066,#337067, #337068,#337069,#337070,#337071,#337072,#337073,#337074,#337075,#337076, #337077,#337078,#337079,#337080,#337081,#337082,#337083,#337084)); #350273=CLOSED_SHELL('',(#337085,#337086,#337087,#337088,#337089,#337090, #337091,#337092,#337093,#337094,#337095,#337096,#337097,#337098,#337099, #337100,#337101,#337102,#337103,#337104,#337105,#337106,#337107,#337108, #337109,#337110,#337111,#337112)); #350274=CLOSED_SHELL('',(#337113,#337114,#337115,#337116,#337117,#337118, #337119,#337120,#337121,#337122,#337123,#337124,#337125,#337126,#337127, #337128,#337129,#337130,#337131,#337132,#337133,#337134)); #350275=CLOSED_SHELL('',(#337135,#337136,#337137,#337138,#337139,#337140, #337141,#337142,#337143,#337144,#337145,#337146,#337147,#337148,#337149, #337150,#337151,#337152,#337153,#337154,#337155,#337156,#337157,#337158, #337159)); #350276=CLOSED_SHELL('',(#337160,#337161,#337162,#337163,#337164,#337165, #337166,#337167,#337168,#337169,#337170,#337171,#337172,#337173,#337174, #337175,#337176,#337177,#337178,#337179,#337180,#337181,#337182)); #350277=CLOSED_SHELL('',(#337183,#337184,#337185,#337186,#337187,#337188, #337189,#337190,#337191,#337192,#337193,#337194,#337195,#337196,#337197, #337198,#337199,#337200,#337201,#337202,#337203,#337204,#337205,#337206, #337207,#337208,#337209,#337210,#337211,#337212,#337213,#337214)); #350278=CLOSED_SHELL('',(#337215,#337216,#337217,#337218,#337219,#337220, #337221,#337222,#337223,#337224,#337225,#337226,#337227,#337228,#337229, #337230,#337231,#337232,#337233,#337234,#337235,#337236)); #350279=CLOSED_SHELL('',(#337237,#337238,#337239,#337240,#337241,#337242, #337243,#337244,#337245,#337246,#337247,#337248,#337249,#337250,#337251, #337252,#337253,#337254,#337255,#337256,#337257,#337258,#337259,#337260, #337261,#337262,#337263,#337264,#337265,#337266,#337267,#337268,#337269, #337270,#337271)); #350280=CLOSED_SHELL('',(#337272,#337273,#337274,#337275,#337276,#337277, #337278,#337279,#337280,#337281,#337282,#337283,#337284,#337285,#337286, #337287,#337288,#337289,#337290,#337291,#337292,#337293,#337294,#337295, #337296,#337297,#337298,#337299,#337300,#337301,#337302,#337303,#337304, #337305,#337306,#337307,#337308,#337309,#337310,#337311,#337312,#337313, #337314,#337315,#337316,#337317,#337318,#337319,#337320,#337321,#337322, #337323,#337324,#337325,#337326,#337327,#337328,#337329,#337330,#337331, #337332,#337333,#337334,#337335,#337336,#337337,#337338,#337339,#337340, #337341,#337342,#337343,#337344,#337345,#337346,#337347,#337348,#337349, #337350,#337351,#337352,#337353,#337354,#337355,#337356,#337357,#337358, #337359,#337360,#337361,#337362,#337363)); #350281=CLOSED_SHELL('',(#337364,#337365,#337366,#337367,#337368,#337369, #337370,#337371,#337372,#337373,#337374,#337375,#337376,#337377,#337378, #337379,#337380,#337381,#337382,#337383,#337384,#337385,#337386,#337387, #337388,#337389,#337390,#337391,#337392,#337393,#337394)); #350282=CLOSED_SHELL('',(#337395,#337396,#337397,#337398,#337399,#337400, #337401,#337402,#337403,#337404,#337405,#337406,#337407,#337408,#337409, #337410,#337411,#337412,#337413,#337414,#337415)); #350283=CLOSED_SHELL('',(#337416,#337417,#337418,#337419,#337420,#337421, #337422,#337423,#337424,#337425,#337426,#337427,#337428,#337429,#337430, #337431,#337432,#337433,#337434,#337435,#337436,#337437,#337438,#337439, #337440,#337441,#337442,#337443,#337444,#337445,#337446,#337447,#337448, #337449,#337450,#337451,#337452,#337453,#337454,#337455,#337456,#337457, #337458,#337459,#337460,#337461,#337462,#337463,#337464,#337465,#337466, #337467,#337468,#337469,#337470,#337471,#337472,#337473,#337474,#337475, #337476,#337477,#337478,#337479,#337480,#337481,#337482,#337483,#337484, #337485,#337486,#337487,#337488,#337489,#337490,#337491,#337492,#337493, #337494,#337495,#337496,#337497,#337498,#337499,#337500,#337501,#337502, #337503,#337504,#337505,#337506,#337507,#337508,#337509,#337510,#337511, #337512,#337513,#337514,#337515,#337516,#337517,#337518,#337519,#337520, #337521,#337522,#337523,#337524,#337525,#337526,#337527,#337528,#337529, #337530,#337531,#337532,#337533,#337534,#337535,#337536,#337537,#337538, #337539,#337540,#337541,#337542,#337543,#337544,#337545,#337546,#337547, #337548,#337549,#337550,#337551,#337552,#337553,#337554,#337555,#337556, #337557,#337558,#337559,#337560,#337561,#337562,#337563,#337564,#337565, #337566,#337567,#337568,#337569,#337570,#337571,#337572,#337573,#337574, #337575,#337576,#337577,#337578,#337579,#337580,#337581,#337582,#337583, #337584,#337585,#337586,#337587,#337588,#337589,#337590,#337591,#337592, #337593,#337594,#337595,#337596,#337597,#337598,#337599,#337600,#337601, #337602,#337603,#337604,#337605,#337606,#337607,#337608,#337609,#337610, #337611,#337612,#337613,#337614,#337615,#337616,#337617,#337618,#337619, #337620,#337621,#337622,#337623,#337624,#337625,#337626,#337627,#337628, #337629,#337630,#337631,#337632,#337633,#337634,#337635,#337636,#337637, #337638,#337639,#337640,#337641,#337642,#337643,#337644,#337645,#337646, #337647,#337648,#337649,#337650,#337651,#337652,#337653,#337654,#337655, #337656,#337657,#337658,#337659,#337660,#337661,#337662,#337663,#337664, #337665,#337666,#337667,#337668,#337669,#337670,#337671,#337672,#337673, #337674,#337675,#337676,#337677,#337678,#337679,#337680,#337681,#337682, #337683,#337684,#337685,#337686,#337687,#337688,#337689,#337690,#337691, #337692,#337693,#337694,#337695,#337696,#337697,#337698,#337699,#337700, #337701,#337702,#337703,#337704,#337705,#337706,#337707,#337708,#337709, #337710,#337711,#337712,#337713,#337714,#337715,#337716,#337717,#337718, #337719,#337720,#337721,#337722,#337723,#337724,#337725,#337726,#337727, #337728,#337729,#337730,#337731,#337732,#337733,#337734,#337735,#337736, #337737,#337738,#337739,#337740,#337741,#337742,#337743,#337744,#337745, #337746,#337747,#337748,#337749,#337750,#337751,#337752,#337753,#337754, #337755,#337756,#337757,#337758,#337759,#337760,#337761,#337762)); #350284=CLOSED_SHELL('',(#337763,#337764,#337765,#337766,#337767,#337768, #337769,#337770,#337771,#337772,#337773,#337774,#337775,#337776,#337777, #337778,#337779,#337780,#337781,#337782,#337783,#337784,#337785)); #350285=CLOSED_SHELL('',(#337786,#337787,#337788,#337789,#337790,#337791, #337792,#337793,#337794,#337795,#337796,#337797,#337798,#337799,#337800, #337801,#337802,#337803,#337804,#337805,#337806,#337807,#337808)); #350286=CLOSED_SHELL('',(#337809,#337810,#337811,#337812,#337813,#337814, #337815,#337816,#337817,#337818,#337819,#337820,#337821,#337822,#337823, #337824,#337825,#337826,#337827,#337828,#337829)); #350287=CLOSED_SHELL('',(#337830,#337831,#337832,#337833,#337834,#337835, #337836,#337837,#337838,#337839,#337840,#337841,#337842,#337843,#337844, #337845,#337846,#337847,#337848,#337849,#337850)); #350288=CLOSED_SHELL('',(#337851,#337852,#337853,#337854,#337855,#337856, #337857,#337858,#337859,#337860,#337861,#337862,#337863,#337864,#337865, #337866,#337867,#337868,#337869,#337870,#337871)); #350289=CLOSED_SHELL('',(#337872,#337873,#337874,#337875,#337876,#337877, #337878,#337879,#337880,#337881,#337882,#337883,#337884,#337885,#337886, #337887,#337888,#337889,#337890,#337891,#337892,#337893,#337894,#337895, #337896,#337897,#337898,#337899,#337900,#337901,#337902,#337903,#337904, #337905,#337906,#337907,#337908,#337909,#337910)); #350290=CLOSED_SHELL('',(#337911,#337912,#337913,#337914,#337915,#337916, #337917,#337918,#337919,#337920,#337921,#337922,#337923,#337924,#337925, #337926,#337927,#337928,#337929,#337930,#337931,#337932)); #350291=CLOSED_SHELL('',(#337933,#337934,#337935,#337936,#337937,#337938, #337939,#337940,#337941,#337942,#337943,#337944,#337945,#337946,#337947, #337948,#337949,#337950,#337951,#337952,#337953,#337954,#337955,#337956, #337957,#337958,#337959,#337960,#337961,#337962,#337963,#337964,#337965, #337966,#337967,#337968,#337969,#337970,#337971,#337972,#337973,#337974, #337975,#337976,#337977,#337978,#337979,#337980,#337981,#337982)); #350292=CLOSED_SHELL('',(#337983,#337984,#337985,#337986,#337987,#337988, #337989,#337990,#337991,#337992,#337993,#337994,#337995,#337996,#337997, #337998,#337999,#338000,#338001,#338002,#338003,#338004)); #350293=CLOSED_SHELL('',(#338005,#338006,#338007,#338008,#338009,#338010, #338011,#338012,#338013,#338014,#338015,#338016,#338017,#338018,#338019, #338020,#338021,#338022,#338023,#338024,#338025,#338026,#338027,#338028, #338029,#338030,#338031,#338032,#338033,#338034,#338035,#338036,#338037)); #350294=CLOSED_SHELL('',(#338038,#338039,#338040,#338041,#338042,#338043, #338044,#338045,#338046,#338047,#338048,#338049,#338050,#338051,#338052, #338053,#338054,#338055,#338056,#338057,#338058,#338059)); #350295=CLOSED_SHELL('',(#338060,#338061,#338062,#338063,#338064,#338065, #338066,#338067,#338068,#338069,#338070,#338071,#338072,#338073,#338074, #338075,#338076,#338077,#338078,#338079,#338080,#338081,#338082,#338083, #338084,#338085,#338086,#338087,#338088,#338089,#338090,#338091,#338092)); #350296=CLOSED_SHELL('',(#338093,#338094,#338095,#338096,#338097,#338098, #338099,#338100,#338101,#338102,#338103,#338104,#338105,#338106,#338107, #338108,#338109,#338110,#338111,#338112,#338113,#338114,#338115)); #350297=CLOSED_SHELL('',(#338116,#338117,#338118,#338119,#338120,#338121, #338122,#338123,#338124,#338125,#338126,#338127,#338128,#338129,#338130, #338131,#338132,#338133,#338134,#338135,#338136,#338137,#338138,#338139, #338140,#338141,#338142,#338143,#338144,#338145,#338146,#338147,#338148, #338149,#338150,#338151,#338152,#338153,#338154,#338155,#338156,#338157)); #350298=CLOSED_SHELL('',(#338158,#338159,#338160,#338161,#338162,#338163, #338164,#338165,#338166,#338167,#338168,#338169,#338170,#338171,#338172, #338173,#338174,#338175,#338176,#338177,#338178,#338179)); #350299=CLOSED_SHELL('',(#338180,#338181,#338182,#338183,#338184,#338185, #338186,#338187,#338188,#338189,#338190,#338191,#338192,#338193,#338194, #338195,#338196,#338197,#338198,#338199,#338200,#338201)); #350300=CLOSED_SHELL('',(#338202,#338203,#338204,#338205,#338206,#338207, #338208,#338209,#338210,#338211,#338212,#338213,#338214,#338215,#338216, #338217,#338218,#338219,#338220,#338221,#338222,#338223)); #350301=CLOSED_SHELL('',(#338224,#338225,#338226,#338227,#338228,#338229, #338230,#338231,#338232,#338233,#338234,#338235,#338236,#338237,#338238, #338239,#338240,#338241,#338242,#338243,#338244,#338245,#338246,#338247, #338248,#338249,#338250,#338251,#338252,#338253,#338254,#338255,#338256, #338257,#338258,#338259,#338260,#338261,#338262,#338263,#338264,#338265)); #350302=CLOSED_SHELL('',(#338266,#338267,#338268,#338269,#338270,#338271, #338272,#338273,#338274,#338275,#338276,#338277,#338278,#338279,#338280, #338281,#338282,#338283,#338284,#338285,#338286,#338287,#338288,#338289, #338290,#338291,#338292,#338293,#338294,#338295,#338296,#338297,#338298, #338299,#338300,#338301,#338302,#338303,#338304,#338305,#338306,#338307, #338308,#338309,#338310,#338311,#338312,#338313,#338314,#338315,#338316, #338317,#338318,#338319,#338320,#338321,#338322,#338323,#338324,#338325, #338326,#338327,#338328,#338329,#338330,#338331,#338332,#338333,#338334, #338335,#338336,#338337,#338338,#338339,#338340,#338341,#338342,#338343, #338344,#338345,#338346,#338347,#338348,#338349,#338350,#338351,#338352, #338353,#338354,#338355,#338356,#338357,#338358,#338359,#338360,#338361, #338362,#338363,#338364,#338365)); #350303=CLOSED_SHELL('',(#338366,#338367,#338368,#338369,#338370,#338371, #338372,#338373,#338374,#338375,#338376,#338377,#338378,#338379,#338380, #338381,#338382,#338383,#338384,#338385,#338386,#338387)); #350304=CLOSED_SHELL('',(#338388,#338389,#338390,#338391,#338392,#338393, #338394,#338395,#338396,#338397,#338398,#338399,#338400,#338401,#338402, #338403,#338404,#338405,#338406,#338407,#338408,#338409,#338410,#338411, #338412,#338413,#338414,#338415,#338416,#338417,#338418,#338419,#338420, #338421,#338422,#338423,#338424,#338425,#338426,#338427,#338428,#338429, #338430,#338431,#338432,#338433,#338434,#338435,#338436,#338437,#338438, #338439,#338440,#338441,#338442,#338443,#338444,#338445)); #350305=CLOSED_SHELL('',(#338446,#338447,#338448,#338449,#338450,#338451, #338452,#338453,#338454,#338455,#338456,#338457,#338458,#338459,#338460, #338461,#338462,#338463,#338464,#338465,#338466,#338467,#338468,#338469, #338470,#338471,#338472,#338473,#338474,#338475,#338476,#338477,#338478, #338479,#338480,#338481,#338482,#338483,#338484,#338485,#338486,#338487, #338488,#338489,#338490)); #350306=CLOSED_SHELL('',(#338491,#338492,#338493,#338494,#338495,#338496, #338497,#338498,#338499,#338500,#338501,#338502,#338503,#338504,#338505, #338506,#338507,#338508,#338509,#338510,#338511,#338512,#338513,#338514, #338515,#338516,#338517,#338518,#338519,#338520,#338521,#338522,#338523, #338524,#338525,#338526,#338527,#338528,#338529,#338530,#338531,#338532, #338533,#338534,#338535,#338536,#338537,#338538,#338539,#338540,#338541, #338542,#338543,#338544,#338545,#338546,#338547,#338548,#338549,#338550, #338551,#338552,#338553,#338554,#338555,#338556)); #350307=CLOSED_SHELL('',(#338557,#338558,#338559,#338560,#338561,#338562, #338563,#338564,#338565,#338566,#338567,#338568,#338569,#338570,#338571, #338572,#338573,#338574,#338575,#338576,#338577,#338578,#338579,#338580, #338581,#338582,#338583,#338584,#338585,#338586,#338587,#338588,#338589, #338590,#338591,#338592,#338593,#338594,#338595,#338596,#338597,#338598, #338599,#338600,#338601)); #350308=CLOSED_SHELL('',(#338602,#338603,#338604,#338605,#338606,#338607, #338608,#338609,#338610,#338611,#338612,#338613,#338614,#338615,#338616, #338617,#338618,#338619,#338620,#338621,#338622,#338623,#338624,#338625, #338626,#338627,#338628,#338629,#338630,#338631,#338632,#338633,#338634, #338635,#338636,#338637,#338638,#338639,#338640,#338641,#338642,#338643, #338644,#338645,#338646,#338647,#338648,#338649,#338650,#338651,#338652, #338653,#338654,#338655,#338656,#338657,#338658,#338659,#338660,#338661, #338662,#338663,#338664,#338665,#338666,#338667,#338668,#338669,#338670, #338671,#338672,#338673,#338674,#338675,#338676,#338677,#338678,#338679, #338680,#338681,#338682,#338683,#338684,#338685,#338686,#338687,#338688, #338689,#338690,#338691,#338692,#338693,#338694,#338695,#338696,#338697, #338698,#338699,#338700,#338701,#338702,#338703,#338704,#338705,#338706, #338707,#338708,#338709,#338710,#338711,#338712,#338713,#338714,#338715, #338716,#338717,#338718,#338719)); #350309=CLOSED_SHELL('',(#338720,#338721,#338722,#338723,#338724,#338725, #338726,#338727,#338728,#338729,#338730,#338731,#338732,#338733,#338734, #338735,#338736,#338737,#338738,#338739,#338740,#338741,#338742,#338743)); #350310=CLOSED_SHELL('',(#338744,#338745,#338746,#338747,#338748,#338749, #338750,#338751,#338752,#338753,#338754,#338755,#338756,#338757,#338758, #338759,#338760,#338761,#338762,#338763,#338764,#338765,#338766,#338767, #338768,#338769,#338770,#338771,#338772,#338773,#338774,#338775,#338776, #338777,#338778,#338779,#338780,#338781,#338782,#338783,#338784,#338785, #338786,#338787,#338788,#338789,#338790,#338791,#338792,#338793,#338794, #338795,#338796,#338797,#338798,#338799,#338800,#338801,#338802,#338803, #338804,#338805,#338806,#338807,#338808,#338809,#338810,#338811,#338812, #338813,#338814,#338815,#338816,#338817,#338818,#338819,#338820,#338821, #338822,#338823,#338824,#338825,#338826,#338827,#338828,#338829,#338830, #338831,#338832,#338833,#338834,#338835,#338836,#338837,#338838,#338839, #338840,#338841,#338842,#338843,#338844,#338845,#338846,#338847,#338848, #338849,#338850,#338851,#338852,#338853,#338854,#338855,#338856,#338857, #338858,#338859,#338860,#338861,#338862,#338863,#338864,#338865,#338866, #338867,#338868,#338869,#338870,#338871,#338872,#338873,#338874,#338875, #338876,#338877,#338878,#338879,#338880)); #350311=CLOSED_SHELL('',(#338881,#338882,#338883,#338884,#338885,#338886, #338887,#338888,#338889,#338890,#338891,#338892,#338893,#338894,#338895, #338896,#338897,#338898,#338899,#338900,#338901,#338902,#338903,#338904, #338905,#338906,#338907,#338908,#338909,#338910,#338911,#338912,#338913, #338914,#338915,#338916,#338917,#338918,#338919,#338920,#338921,#338922, #338923,#338924,#338925,#338926,#338927,#338928,#338929,#338930,#338931, #338932,#338933,#338934,#338935,#338936,#338937,#338938,#338939,#338940, #338941,#338942,#338943,#338944,#338945,#338946,#338947,#338948,#338949, #338950,#338951,#338952,#338953,#338954,#338955,#338956,#338957,#338958, #338959,#338960,#338961,#338962,#338963,#338964,#338965,#338966,#338967, #338968,#338969,#338970,#338971,#338972,#338973,#338974,#338975,#338976, #338977,#338978,#338979,#338980,#338981,#338982,#338983,#338984,#338985, #338986,#338987,#338988,#338989,#338990,#338991,#338992,#338993)); #350312=CLOSED_SHELL('',(#338994,#338995,#338996,#338997,#338998,#338999, #339000,#339001,#339002,#339003,#339004,#339005,#339006,#339007,#339008, #339009,#339010,#339011,#339012,#339013,#339014,#339015,#339016,#339017)); #350313=CLOSED_SHELL('',(#339018,#339019,#339020,#339021,#339022,#339023, #339024,#339025,#339026,#339027,#339028,#339029,#339030,#339031,#339032, #339033,#339034,#339035,#339036,#339037,#339038,#339039,#339040,#339041, #339042,#339043,#339044,#339045,#339046,#339047,#339048,#339049,#339050, #339051,#339052,#339053,#339054,#339055,#339056,#339057,#339058,#339059, #339060,#339061,#339062,#339063,#339064,#339065,#339066,#339067,#339068, #339069,#339070,#339071,#339072,#339073,#339074,#339075,#339076,#339077, #339078,#339079,#339080,#339081,#339082,#339083,#339084,#339085,#339086, #339087,#339088,#339089,#339090,#339091,#339092,#339093,#339094,#339095, #339096,#339097,#339098,#339099,#339100,#339101,#339102,#339103,#339104, #339105,#339106,#339107,#339108,#339109,#339110,#339111,#339112,#339113, #339114,#339115,#339116,#339117,#339118,#339119,#339120,#339121,#339122, #339123,#339124,#339125,#339126,#339127,#339128,#339129,#339130,#339131, #339132,#339133,#339134,#339135,#339136,#339137,#339138,#339139,#339140, #339141,#339142,#339143,#339144,#339145,#339146,#339147,#339148,#339149, #339150,#339151,#339152,#339153,#339154,#339155,#339156,#339157,#339158, #339159,#339160,#339161,#339162,#339163,#339164,#339165,#339166,#339167, #339168,#339169,#339170,#339171,#339172,#339173,#339174,#339175,#339176, #339177,#339178,#339179,#339180,#339181,#339182,#339183,#339184,#339185, #339186,#339187,#339188,#339189,#339190,#339191,#339192,#339193,#339194, #339195,#339196,#339197,#339198,#339199,#339200,#339201,#339202,#339203, #339204,#339205,#339206,#339207,#339208,#339209,#339210,#339211,#339212, #339213,#339214,#339215,#339216,#339217,#339218,#339219,#339220,#339221, #339222,#339223,#339224,#339225,#339226,#339227,#339228,#339229,#339230, #339231,#339232,#339233,#339234,#339235,#339236,#339237,#339238,#339239, #339240,#339241,#339242,#339243,#339244,#339245,#339246,#339247,#339248, #339249,#339250,#339251,#339252,#339253,#339254,#339255,#339256,#339257, #339258,#339259,#339260,#339261,#339262,#339263,#339264,#339265,#339266, #339267,#339268,#339269,#339270,#339271,#339272,#339273,#339274,#339275, #339276,#339277,#339278,#339279,#339280,#339281,#339282,#339283,#339284, #339285,#339286,#339287,#339288,#339289,#339290,#339291,#339292,#339293, #339294,#339295,#339296,#339297,#339298,#339299,#339300,#339301,#339302, #339303,#339304,#339305,#339306,#339307,#339308,#339309,#339310,#339311, #339312,#339313,#339314,#339315,#339316,#339317,#339318,#339319,#339320, #339321,#339322,#339323,#339324,#339325,#339326,#339327,#339328,#339329, #339330,#339331,#339332,#339333,#339334,#339335,#339336,#339337,#339338, #339339,#339340,#339341,#339342,#339343,#339344,#339345,#339346,#339347, #339348,#339349,#339350,#339351,#339352,#339353,#339354,#339355,#339356, #339357)); #350314=CLOSED_SHELL('',(#339358,#339359,#339360,#339361,#339362,#339363, #339364,#339365,#339366,#339367,#339368,#339369,#339370,#339371,#339372, #339373,#339374,#339375,#339376,#339377,#339378,#339379)); #350315=CLOSED_SHELL('',(#339380,#339381,#339382,#339383,#339384,#339385, #339386,#339387,#339388,#339389,#339390,#339391,#339392,#339393,#339394, #339395,#339396,#339397,#339398,#339399,#339400,#339401)); #350316=CLOSED_SHELL('',(#339402,#339403,#339404,#339405,#339406,#339407, #339408,#339409,#339410,#339411,#339412,#339413,#339414,#339415,#339416, #339417,#339418,#339419,#339420,#339421,#339422,#339423)); #350317=CLOSED_SHELL('',(#339424,#339425,#339426,#339427,#339428,#339429, #339430,#339431,#339432,#339433,#339434,#339435,#339436,#339437,#339438, #339439,#339440,#339441,#339442,#339443,#339444,#339445,#339446,#339447, #339448)); #350318=CLOSED_SHELL('',(#339449,#339450,#339451,#339452,#339453,#339454, #339455,#339456,#339457,#339458,#339459,#339460,#339461,#339462,#339463, #339464,#339465,#339466,#339467,#339468,#339469,#339470)); #350319=CLOSED_SHELL('',(#339471,#339472,#339473,#339474,#339475,#339476, #339477,#339478,#339479,#339480,#339481,#339482,#339483,#339484,#339485, #339486,#339487,#339488,#339489,#339490,#339491,#339492,#339493)); #350320=CLOSED_SHELL('',(#339494,#339495,#339496,#339497,#339498,#339499)); #350321=CLOSED_SHELL('',(#339500,#339501,#339502,#339503,#339504,#339505)); #350322=CLOSED_SHELL('',(#339506,#339507,#339508,#339509,#339510,#339511)); #350323=CLOSED_SHELL('',(#339512,#339513,#339514,#339515,#339516,#339517)); #350324=CLOSED_SHELL('',(#339518,#339519,#339520,#339521,#339522,#339523, #339524)); #350325=CLOSED_SHELL('',(#339525,#339526,#339527,#339528,#339529,#339530)); #350326=CLOSED_SHELL('',(#339531,#339532,#339533,#339534,#339535,#339536)); #350327=CLOSED_SHELL('',(#339537,#339538,#339539,#339540,#339541,#339542)); #350328=CLOSED_SHELL('',(#339543,#339544,#339545,#339546,#339547,#339548)); #350329=CLOSED_SHELL('',(#339549,#339550,#339551,#339552,#339553,#339554, #339555,#339556,#339557,#339558,#339559,#339560,#339561,#339562,#339563, #339564,#339565,#339566,#339567,#339568,#339569,#339570,#339571,#339572, #339573,#339574,#339575,#339576,#339577,#339578,#339579,#339580,#339581, #339582,#339583,#339584,#339585,#339586,#339587,#339588,#339589,#339590, #339591,#339592,#339593,#339594,#339595,#339596,#339597,#339598,#339599, #339600,#339601,#339602,#339603,#339604,#339605,#339606,#339607,#339608, #339609,#339610)); #350330=CLOSED_SHELL('',(#339611,#339612,#339613,#339614,#339615,#339616, #339617,#339618,#339619,#339620,#339621,#339622,#339623,#339624,#339625, #339626,#339627,#339628,#339629,#339630,#339631,#339632,#339633,#339634, #339635,#339636,#339637,#339638,#339639,#339640,#339641,#339642,#339643, #339644,#339645,#339646,#339647,#339648,#339649,#339650,#339651,#339652, #339653,#339654,#339655,#339656,#339657,#339658,#339659,#339660,#339661, #339662,#339663,#339664,#339665,#339666,#339667,#339668,#339669,#339670, #339671,#339672,#339673,#339674,#339675,#339676,#339677,#339678,#339679, #339680,#339681,#339682,#339683,#339684,#339685,#339686,#339687,#339688)); #350331=CLOSED_SHELL('',(#339689,#339690,#339691,#339692,#339693,#339694, #339695,#339696,#339697,#339698,#339699,#339700,#339701,#339702,#339703, #339704,#339705,#339706,#339707,#339708,#339709,#339710,#339711,#339712, #339713,#339714,#339715,#339716,#339717,#339718,#339719,#339720,#339721, #339722,#339723,#339724,#339725,#339726,#339727,#339728,#339729,#339730, #339731,#339732,#339733,#339734,#339735,#339736,#339737,#339738,#339739, #339740,#339741,#339742,#339743,#339744,#339745,#339746,#339747,#339748, #339749,#339750)); #350332=CLOSED_SHELL('',(#339751,#339752,#339753,#339754,#339755,#339756, #339757)); #350333=CLOSED_SHELL('',(#339758,#339759,#339760,#339761,#339762,#339763, #339764,#339765,#339766,#339767,#339768,#339769,#339770,#339771,#339772, #339773,#339774,#339775,#339776,#339777,#339778,#339779,#339780,#339781, #339782,#339783,#339784,#339785,#339786,#339787,#339788,#339789,#339790, #339791,#339792,#339793,#339794,#339795,#339796,#339797,#339798,#339799, #339800,#339801,#339802,#339803,#339804,#339805,#339806,#339807,#339808, #339809,#339810,#339811,#339812,#339813,#339814,#339815,#339816,#339817, #339818,#339819)); #350334=CLOSED_SHELL('',(#339820,#339821,#339822,#339823,#339824,#339825, #339826,#339827,#339828,#339829,#339830,#339831,#339832,#339833,#339834, #339835,#339836,#339837,#339838,#339839,#339840,#339841,#339842,#339843, #339844,#339845,#339846,#339847,#339848,#339849,#339850,#339851,#339852, #339853,#339854,#339855,#339856,#339857,#339858,#339859,#339860,#339861, #339862,#339863,#339864,#339865,#339866,#339867,#339868,#339869,#339870, #339871,#339872,#339873,#339874,#339875,#339876,#339877,#339878,#339879, #339880,#339881,#339882,#339883,#339884,#339885,#339886,#339887,#339888, #339889,#339890,#339891,#339892,#339893,#339894,#339895,#339896,#339897)); #350335=CLOSED_SHELL('',(#339898,#339899,#339900,#339901,#339902,#339903)); #350336=CLOSED_SHELL('',(#339904,#339905,#339906,#339907,#339908,#339909)); #350337=CLOSED_SHELL('',(#339910,#339911,#339912,#339913,#339914,#339915, #339916,#339917,#339918,#339919,#339920,#339921,#339922,#339923,#339924, #339925,#339926,#339927,#339928,#339929,#339930,#339931,#339932,#339933, #339934,#339935,#339936,#339937,#339938,#339939,#339940,#339941,#339942, #339943,#339944,#339945,#339946,#339947,#339948,#339949,#339950,#339951, #339952,#339953,#339954,#339955,#339956,#339957,#339958,#339959,#339960, #339961,#339962,#339963,#339964,#339965,#339966,#339967,#339968,#339969, #339970,#339971)); #350338=CLOSED_SHELL('',(#339972,#339973,#339974,#339975,#339976,#339977)); #350339=CLOSED_SHELL('',(#339978,#339979,#339980,#339981,#339982,#339983)); #350340=CLOSED_SHELL('',(#339984,#339985,#339986,#339987,#339988,#339989)); #350341=CLOSED_SHELL('',(#339990,#339991,#339992,#339993,#339994,#339995, #339996)); #350342=CLOSED_SHELL('',(#339997,#339998,#339999,#340000,#340001,#340002)); #350343=CLOSED_SHELL('',(#340003,#340004,#340005,#340006,#340007,#340008)); #350344=CLOSED_SHELL('',(#340009,#340010,#340011,#340012,#340013,#340014)); #350345=CLOSED_SHELL('',(#340015,#340016,#340017,#340018,#340019,#340020)); #350346=CLOSED_SHELL('',(#340021,#340022,#340023,#340024,#340025,#340026)); #350347=CLOSED_SHELL('',(#340027,#340028,#340029,#340030,#340031,#340032)); #350348=CLOSED_SHELL('',(#340033,#340034,#340035,#340036,#340037,#340038)); #350349=CLOSED_SHELL('',(#340039,#340040,#340041,#340042,#340043,#340044)); #350350=CLOSED_SHELL('',(#340045,#340046,#340047,#340048,#340049,#340050)); #350351=CLOSED_SHELL('',(#340051,#340052,#340053,#340054,#340055,#340056)); #350352=CLOSED_SHELL('',(#340057,#340058,#340059,#340060,#340061,#340062)); #350353=CLOSED_SHELL('',(#340063,#340064,#340065,#340066,#340067,#340068)); #350354=CLOSED_SHELL('',(#340069,#340070,#340071,#340072,#340073,#340074)); #350355=CLOSED_SHELL('',(#340075,#340076,#340077,#340078,#340079,#340080)); #350356=CLOSED_SHELL('',(#340081,#340082,#340083,#340084,#340085,#340086, #340087,#340088,#340089,#340090,#340091,#340092)); #350357=CLOSED_SHELL('',(#340093,#340094,#340095,#340096,#340097,#340098)); #350358=CLOSED_SHELL('',(#340099,#340100,#340101,#340102,#340103,#340104)); #350359=CLOSED_SHELL('',(#340105,#340106,#340107,#340108,#340109,#340110)); #350360=CLOSED_SHELL('',(#340111,#340112,#340113,#340114,#340115,#340116)); #350361=CLOSED_SHELL('',(#340117,#340118,#340119,#340120,#340121,#340122)); #350362=CLOSED_SHELL('',(#340123,#340124,#340125,#340126,#340127,#340128)); #350363=CLOSED_SHELL('',(#340129,#340130,#340131,#340132,#340133,#340134)); #350364=CLOSED_SHELL('',(#340135,#340136,#340137,#340138,#340139,#340140)); #350365=CLOSED_SHELL('',(#340141,#340142,#340143,#340144,#340145,#340146)); #350366=CLOSED_SHELL('',(#340147,#340148,#340149,#340150,#340151,#340152)); #350367=CLOSED_SHELL('',(#340153,#340154,#340155,#340156,#340157,#340158)); #350368=CLOSED_SHELL('',(#340159,#340160,#340161,#340162,#340163,#340164)); #350369=CLOSED_SHELL('',(#340165,#340166,#340167,#340168,#340169,#340170)); #350370=CLOSED_SHELL('',(#340171,#340172,#340173,#340174,#340175,#340176)); #350371=CLOSED_SHELL('',(#340177,#340178,#340179,#340180,#340181,#340182)); #350372=CLOSED_SHELL('',(#340183,#340184,#340185,#340186,#340187,#340188)); #350373=CLOSED_SHELL('',(#340189,#340190,#340191,#340192,#340193,#340194)); #350374=CLOSED_SHELL('',(#340195,#340196,#340197,#340198,#340199,#340200)); #350375=CLOSED_SHELL('',(#340201,#340202,#340203,#340204,#340205,#340206)); #350376=CLOSED_SHELL('',(#340207,#340208,#340209,#340210,#340211,#340212)); #350377=CLOSED_SHELL('',(#340213,#340214,#340215,#340216,#340217,#340218)); #350378=CLOSED_SHELL('',(#340219,#340220,#340221,#340222,#340223,#340224)); #350379=CLOSED_SHELL('',(#340225,#340226,#340227,#340228,#340229,#340230)); #350380=CLOSED_SHELL('',(#340231,#340232,#340233,#340234,#340235,#340236)); #350381=CLOSED_SHELL('',(#340237,#340238,#340239,#340240,#340241,#340242)); #350382=CLOSED_SHELL('',(#340243,#340244,#340245,#340246,#340247,#340248)); #350383=CLOSED_SHELL('',(#340249,#340250,#340251,#340252,#340253,#340254, #340255,#340256,#340257,#340258,#340259,#340260)); #350384=CLOSED_SHELL('',(#340261,#340262,#340263,#340264,#340265,#340266)); #350385=CLOSED_SHELL('',(#340267,#340268,#340269,#340270,#340271,#340272)); #350386=CLOSED_SHELL('',(#340273,#340274,#340275,#340276,#340277,#340278)); #350387=CLOSED_SHELL('',(#340279,#340280,#340281,#340282,#340283,#340284)); #350388=CLOSED_SHELL('',(#340285,#340286,#340287,#340288,#340289,#340290)); #350389=CLOSED_SHELL('',(#340291,#340292,#340293,#340294,#340295,#340296)); #350390=CLOSED_SHELL('',(#340297,#340298,#340299,#340300,#340301,#340302, #340303,#340304,#340305,#340306,#340307,#340308)); #350391=CLOSED_SHELL('',(#340309,#340310,#340311,#340312,#340313,#340314)); #350392=CLOSED_SHELL('',(#340315,#340316,#340317,#340318,#340319,#340320)); #350393=CLOSED_SHELL('',(#340321,#340322,#340323,#340324,#340325,#340326, #340327,#340328,#340329,#340330,#340331,#340332,#340333,#340334,#340335, #340336,#340337,#340338,#340339,#340340,#340341,#340342,#340343,#340344, #340345,#340346,#340347,#340348,#340349,#340350,#340351,#340352,#340353, #340354,#340355,#340356,#340357,#340358,#340359,#340360,#340361,#340362, #340363,#340364,#340365,#340366,#340367,#340368,#340369,#340370,#340371, #340372,#340373,#340374,#340375)); #350394=CLOSED_SHELL('',(#340376,#340377,#340378,#340379,#340380,#340381)); #350395=CLOSED_SHELL('',(#340382,#340383,#340384,#340385,#340386,#340387)); #350396=CLOSED_SHELL('',(#340388,#340389,#340390,#340391,#340392,#340393)); #350397=CLOSED_SHELL('',(#340394,#340395,#340396,#340397,#340398,#340399)); #350398=CLOSED_SHELL('',(#340400,#340401,#340402,#340403,#340404,#340405)); #350399=CLOSED_SHELL('',(#340406,#340407,#340408,#340409,#340410,#340411)); #350400=CLOSED_SHELL('',(#340412,#340413,#340414,#340415,#340416,#340417)); #350401=CLOSED_SHELL('',(#340418,#340419,#340420,#340421,#340422,#340423)); #350402=CLOSED_SHELL('',(#340424,#340425,#340426,#340427,#340428,#340429)); #350403=CLOSED_SHELL('',(#340430,#340431,#340432,#340433,#340434,#340435)); #350404=CLOSED_SHELL('',(#340436,#340437,#340438,#340439,#340440,#340441)); #350405=CLOSED_SHELL('',(#340442,#340443,#340444,#340445,#340446,#340447)); #350406=CLOSED_SHELL('',(#340448,#340449,#340450,#340451,#340452,#340453)); #350407=CLOSED_SHELL('',(#340454,#340455,#340456,#340457,#340458,#340459)); #350408=CLOSED_SHELL('',(#340460,#340461,#340462,#340463,#340464,#340465)); #350409=CLOSED_SHELL('',(#340466,#340467,#340468,#340469,#340470,#340471)); #350410=CLOSED_SHELL('',(#340472,#340473,#340474,#340475,#340476,#340477)); #350411=CLOSED_SHELL('',(#340478,#340479,#340480,#340481,#340482,#340483)); #350412=CLOSED_SHELL('',(#340484,#340485,#340486,#340487,#340488,#340489, #340490)); #350413=CLOSED_SHELL('',(#340491,#340492,#340493,#340494,#340495,#340496)); #350414=CLOSED_SHELL('',(#340497,#340498,#340499,#340500,#340501,#340502)); #350415=CLOSED_SHELL('',(#340503,#340504,#340505,#340506,#340507,#340508, #340509)); #350416=CLOSED_SHELL('',(#340510,#340511,#340512,#340513,#340514,#340515)); #350417=CLOSED_SHELL('',(#340516,#340517,#340518,#340519,#340520,#340521)); #350418=CLOSED_SHELL('',(#340522,#340523,#340524,#340525,#340526,#340527)); #350419=CLOSED_SHELL('',(#340528,#340529,#340530,#340531,#340532,#340533)); #350420=CLOSED_SHELL('',(#340534,#340535,#340536,#340537,#340538,#340539)); #350421=CLOSED_SHELL('',(#340540,#340541,#340542,#340543,#340544,#340545)); #350422=CLOSED_SHELL('',(#340546,#340547,#340548,#340549,#340550,#340551)); #350423=CLOSED_SHELL('',(#340552,#340553,#340554,#340555,#340556,#340557)); #350424=CLOSED_SHELL('',(#340558,#340559,#340560,#340561,#340562,#340563)); #350425=CLOSED_SHELL('',(#340564,#340565,#340566,#340567,#340568,#340569)); #350426=CLOSED_SHELL('',(#340570,#340571,#340572,#340573,#340574,#340575)); #350427=CLOSED_SHELL('',(#340576,#340577,#340578,#340579,#340580,#340581, #340582,#340583,#340584,#340585,#340586,#340587,#340588,#340589,#340590, #340591,#340592,#340593,#340594,#340595,#340596)); #350428=CLOSED_SHELL('',(#340597,#340598,#340599,#340600,#340601,#340602, #340603)); #350429=CLOSED_SHELL('',(#340604,#340605,#340606,#340607,#340608,#340609)); #350430=CLOSED_SHELL('',(#340610,#340611,#340612,#340613,#340614,#340615)); #350431=CLOSED_SHELL('',(#340616,#340617,#340618,#340619,#340620,#340621)); #350432=CLOSED_SHELL('',(#340622,#340623,#340624,#340625,#340626,#340627)); #350433=CLOSED_SHELL('',(#340628,#340629,#340630,#340631,#340632,#340633)); #350434=CLOSED_SHELL('',(#340634,#340635,#340636,#340637,#340638,#340639, #340640)); #350435=CLOSED_SHELL('',(#340641,#340642,#340643,#340644,#340645,#340646, #340647)); #350436=CLOSED_SHELL('',(#340648,#340649,#340650,#340651,#340652,#340653)); #350437=CLOSED_SHELL('',(#340654,#340655,#340656,#340657,#340658,#340659)); #350438=CLOSED_SHELL('',(#340660,#340661,#340662,#340663,#340664,#340665)); #350439=CLOSED_SHELL('',(#340666,#340667,#340668,#340669,#340670,#340671)); #350440=CLOSED_SHELL('',(#340672,#340673,#340674,#340675,#340676,#340677)); #350441=CLOSED_SHELL('',(#340678,#340679,#340680,#340681,#340682,#340683)); #350442=CLOSED_SHELL('',(#340684,#340685,#340686,#340687,#340688,#340689)); #350443=CLOSED_SHELL('',(#340690,#340691,#340692,#340693,#340694,#340695)); #350444=CLOSED_SHELL('',(#340696,#340697,#340698,#340699,#340700,#340701)); #350445=CLOSED_SHELL('',(#340702,#340703,#340704,#340705,#340706,#340707)); #350446=CLOSED_SHELL('',(#340708,#340709,#340710,#340711,#340712,#340713)); #350447=CLOSED_SHELL('',(#340714,#340715,#340716,#340717,#340718,#340719, #340720,#340721,#340722,#340723,#340724,#340725)); #350448=CLOSED_SHELL('',(#340726,#340727,#340728,#340729,#340730,#340731)); #350449=CLOSED_SHELL('',(#340732,#340733,#340734,#340735,#340736,#340737)); #350450=CLOSED_SHELL('',(#340738,#340739,#340740,#340741,#340742,#340743)); #350451=CLOSED_SHELL('',(#340744,#340745,#340746,#340747,#340748,#340749, #340750,#340751,#340752,#340753,#340754,#340755,#340756,#340757,#340758, #340759)); #350452=CLOSED_SHELL('',(#340760,#340761,#340762,#340763,#340764,#340765)); #350453=CLOSED_SHELL('',(#340766,#340767,#340768,#340769,#340770,#340771)); #350454=CLOSED_SHELL('',(#340772,#340773,#340774,#340775,#340776,#340777)); #350455=CLOSED_SHELL('',(#340778,#340779,#340780,#340781,#340782,#340783)); #350456=CLOSED_SHELL('',(#340784,#340785,#340786,#340787,#340788,#340789)); #350457=CLOSED_SHELL('',(#340790,#340791,#340792,#340793,#340794,#340795)); #350458=CLOSED_SHELL('',(#340796,#340797,#340798,#340799,#340800,#340801)); #350459=CLOSED_SHELL('',(#340802,#340803,#340804,#340805,#340806,#340807)); #350460=CLOSED_SHELL('',(#340808,#340809,#340810,#340811,#340812,#340813)); #350461=CLOSED_SHELL('',(#340814,#340815,#340816,#340817,#340818,#340819)); #350462=CLOSED_SHELL('',(#340820,#340821,#340822,#340823,#340824,#340825)); #350463=CLOSED_SHELL('',(#340826,#340827,#340828,#340829,#340830,#340831)); #350464=CLOSED_SHELL('',(#340832,#340833,#340834,#340835,#340836,#340837)); #350465=CLOSED_SHELL('',(#340838,#340839,#340840,#340841,#340842,#340843)); #350466=CLOSED_SHELL('',(#340844,#340845,#340846,#340847,#340848,#340849)); #350467=CLOSED_SHELL('',(#340850,#340851,#340852,#340853,#340854,#340855)); #350468=CLOSED_SHELL('',(#340856,#340857,#340858,#340859,#340860,#340861)); #350469=CLOSED_SHELL('',(#340862,#340863,#340864,#340865,#340866,#340867)); #350470=CLOSED_SHELL('',(#340868,#340869,#340870,#340871,#340872,#340873)); #350471=CLOSED_SHELL('',(#340874,#340875,#340876,#340877,#340878,#340879)); #350472=CLOSED_SHELL('',(#340880,#340881,#340882,#340883,#340884,#340885)); #350473=CLOSED_SHELL('',(#340886,#340887,#340888,#340889,#340890,#340891)); #350474=CLOSED_SHELL('',(#340892,#340893,#340894,#340895,#340896,#340897)); #350475=CLOSED_SHELL('',(#340898,#340899,#340900,#340901,#340902,#340903)); #350476=CLOSED_SHELL('',(#340904,#340905,#340906,#340907,#340908,#340909, #340910,#340911)); #350477=CLOSED_SHELL('',(#340912,#340913,#340914,#340915,#340916,#340917)); #350478=CLOSED_SHELL('',(#340918,#340919,#340920,#340921,#340922,#340923, #340924,#340925)); #350479=CLOSED_SHELL('',(#340926,#340927,#340928,#340929,#340930,#340931)); #350480=CLOSED_SHELL('',(#340932,#340933,#340934,#340935,#340936,#340937, #340938,#340939)); #350481=CLOSED_SHELL('',(#340940,#340941,#340942,#340943,#340944,#340945)); #350482=CLOSED_SHELL('',(#340946,#340947,#340948,#340949,#340950,#340951, #340952,#340953)); #350483=CLOSED_SHELL('',(#340954,#340955,#340956,#340957,#340958,#340959)); #350484=CLOSED_SHELL('',(#340960,#340961,#340962,#340963,#340964,#340965, #340966,#340967,#340968,#340969,#340970,#340971,#340972,#340973,#340974, #340975,#340976,#340977,#340978,#340979,#340980,#340981,#340982,#340983, #340984,#340985,#340986,#340987,#340988,#340989,#340990,#340991,#340992, #340993,#340994,#340995,#340996,#340997,#340998,#340999,#341000,#341001, #341002,#341003,#341004,#341005,#341006,#341007,#341008,#341009,#341010, #341011,#341012,#341013,#341014,#341015,#341016,#341017,#341018,#341019)); #350485=CLOSED_SHELL('',(#341020,#341021,#341022,#341023,#341024,#341025, #341026,#341027,#341028,#341029,#341030,#341031)); #350486=CLOSED_SHELL('',(#341032,#341033,#341034,#341035,#341036,#341037, #341038,#341039,#341040,#341041,#341042,#341043,#341044)); #350487=CLOSED_SHELL('',(#341045,#341046,#341047,#341048,#341049,#341050, #341051,#341052,#341053,#341054,#341055,#341056,#341057,#341058,#341059, #341060)); #350488=CLOSED_SHELL('',(#341061,#341062,#341063,#341064,#341065,#341066, #341067,#341068,#341069,#341070,#341071,#341072,#341073,#341074,#341075, #341076,#341077,#341078,#341079,#341080,#341081,#341082,#341083,#341084, #341085,#341086,#341087,#341088,#341089,#341090,#341091,#341092,#341093, #341094,#341095,#341096,#341097,#341098,#341099,#341100,#341101,#341102, #341103,#341104,#341105,#341106,#341107,#341108)); #350489=CLOSED_SHELL('',(#341109,#341110,#341111,#341112,#341113,#341114, #341115,#341116,#341117,#341118,#341119,#341120,#341121,#341122,#341123)); #350490=CLOSED_SHELL('',(#341124,#341125,#341126,#341127,#341128,#341129, #341130,#341131,#341132,#341133,#341134,#341135,#341136,#341137,#341138, #341139,#341140,#341141,#341142,#341143,#341144,#341145,#341146,#341147, #341148,#341149,#341150)); #350491=CLOSED_SHELL('',(#341151,#341152,#341153,#341154,#341155,#341156, #341157,#341158,#341159,#341160,#341161,#341162,#341163,#341164,#341165, #341166,#341167,#341168,#341169,#341170,#341171,#341172,#341173,#341174, #341175,#341176,#341177,#341178,#341179)); #350492=CLOSED_SHELL('',(#341180,#341181,#341182,#341183,#341184,#341185, #341186,#341187,#341188,#341189,#341190,#341191,#341192,#341193,#341194, #341195,#341196,#341197,#341198,#341199,#341200,#341201,#341202,#341203, #341204,#341205,#341206,#341207,#341208,#341209,#341210,#341211,#341212, #341213,#341214,#341215,#341216,#341217,#341218,#341219,#341220,#341221, #341222,#341223,#341224,#341225,#341226,#341227,#341228,#341229,#341230, #341231,#341232,#341233,#341234,#341235,#341236,#341237,#341238,#341239, #341240,#341241,#341242,#341243,#341244)); #350493=CLOSED_SHELL('',(#341245,#341246,#341247,#341248,#341249,#341250, #341251,#341252,#341253,#341254,#341255,#341256)); #350494=CLOSED_SHELL('',(#341257,#341258,#341259,#341260,#341261,#341262, #341263,#341264,#341265,#341266,#341267,#341268)); #350495=CLOSED_SHELL('',(#341269,#341270,#341271,#341272,#341273,#341274, #341275,#341276,#341277,#341278,#341279,#341280)); #350496=CLOSED_SHELL('',(#341281,#341282,#341283,#341284,#341285,#341286, #341287,#341288,#341289,#341290,#341291,#341292,#341293,#341294,#341295, #341296,#341297)); #350497=CLOSED_SHELL('',(#341298,#341299,#341300,#341301,#341302,#341303, #341304)); #350498=CLOSED_SHELL('',(#341305,#341306,#341307,#341308,#341309,#341310, #341311)); #350499=CLOSED_SHELL('',(#341312,#341313,#341314,#341315,#341316,#341317, #341318)); #350500=CLOSED_SHELL('',(#341319,#341320,#341321,#341322,#341323,#341324, #341325,#341326,#341327,#341328,#341329,#341330,#341331)); #350501=CLOSED_SHELL('',(#341332,#341333,#341334,#341335,#341336,#341337, #341338,#341339,#341340,#341341,#341342,#341343,#341344,#341345,#341346, #341347,#341348,#341349,#341350,#341351,#341352,#341353,#341354,#341355, #341356,#341357,#341358,#341359,#341360,#341361,#341362,#341363,#341364, #341365,#341366,#341367,#341368,#341369,#341370,#341371,#341372,#341373, #341374,#341375,#341376,#341377,#341378,#341379,#341380,#341381,#341382, #341383,#341384,#341385,#341386,#341387,#341388,#341389,#341390,#341391, #341392,#341393,#341394,#341395,#341396)); #350502=CLOSED_SHELL('',(#341397,#341398,#341399,#341400,#341401,#341402, #341403,#341404,#341405,#341406,#341407)); #350503=CLOSED_SHELL('',(#341408,#341409,#341410,#341411,#341412,#341413, #341414,#341415,#341416,#341417,#341418,#341419,#341420)); #350504=CLOSED_SHELL('',(#341421,#341422,#341423,#341424,#341425,#341426, #341427,#341428,#341429,#341430,#341431,#341432,#341433)); #350505=CLOSED_SHELL('',(#341434,#341435,#341436,#341437,#341438,#341439, #341440,#341441,#341442,#341443,#341444,#341445,#341446,#341447,#341448, #341449,#341450,#341451,#341452,#341453)); #350506=CLOSED_SHELL('',(#341454,#341455,#341456,#341457,#341458,#341459, #341460,#341461,#341462,#341463,#341464,#341465,#341466,#341467,#341468, #341469,#341470,#341471)); #350507=CLOSED_SHELL('',(#341472,#341473,#341474,#341475,#341476,#341477)); #350508=CLOSED_SHELL('',(#341478,#341479,#341480,#341481,#341482,#341483, #341484,#341485,#341486,#341487,#341488,#341489,#341490,#341491,#341492, #341493,#341494,#341495,#341496,#341497,#341498,#341499,#341500,#341501)); #350509=CLOSED_SHELL('',(#341502,#341503,#341504,#341505,#341506,#341507, #341508,#341509,#341510,#341511,#341512,#341513,#341514,#341515,#341516, #341517,#341518,#341519)); #350510=CLOSED_SHELL('',(#341520,#341521,#341522,#341523,#341524,#341525, #341526,#341527,#341528,#341529,#341530,#341531,#341532,#341533,#341534, #341535)); #350511=CLOSED_SHELL('',(#341536,#341537,#341538,#341539,#341540,#341541, #341542,#341543,#341544,#341545,#341546,#341547,#341548,#341549,#341550, #341551)); #350512=CLOSED_SHELL('',(#341552,#341553,#341554,#341555,#341556,#341557, #341558,#341559,#341560,#341561,#341562,#341563,#341564,#341565,#341566, #341567,#341568,#341569,#341570,#341571,#341572,#341573,#341574,#341575, #341576,#341577,#341578,#341579,#341580,#341581,#341582,#341583,#341584, #341585,#341586,#341587,#341588,#341589,#341590,#341591,#341592,#341593)); #350513=CLOSED_SHELL('',(#341594,#341595,#341596,#341597,#341598,#341599, #341600,#341601,#341602,#341603,#341604)); #350514=CLOSED_SHELL('',(#341605,#341606,#341607,#341608,#341609,#341610, #341611)); #350515=CLOSED_SHELL('',(#341612,#341613,#341614,#341615,#341616,#341617, #341618,#341619,#341620,#341621,#341622,#341623,#341624,#341625,#341626, #341627,#341628,#341629,#341630,#341631,#341632,#341633,#341634,#341635, #341636,#341637,#341638)); #350516=CLOSED_SHELL('',(#341639,#341640,#341641,#341642,#341643,#341644, #341645,#341646,#341647,#341648,#341649,#341650)); #350517=CLOSED_SHELL('',(#341651,#341652,#341653,#341654,#341655,#341656, #341657)); #350518=CLOSED_SHELL('',(#341658,#341659,#341660,#341661,#341662,#341663, #341664,#341665,#341666,#341667,#341668,#341669,#341670,#341671,#341672, #341673,#341674,#341675,#341676,#341677,#341678,#341679,#341680,#341681, #341682,#341683,#341684,#341685,#341686,#341687,#341688,#341689,#341690, #341691,#341692,#341693,#341694,#341695,#341696,#341697,#341698,#341699, #341700,#341701,#341702,#341703,#341704,#341705,#341706,#341707,#341708, #341709,#341710,#341711,#341712,#341713,#341714,#341715,#341716,#341717, #341718,#341719,#341720,#341721,#341722,#341723,#341724,#341725,#341726, #341727,#341728,#341729,#341730,#341731,#341732,#341733,#341734,#341735, #341736,#341737,#341738,#341739,#341740,#341741,#341742,#341743,#341744, #341745,#341746,#341747,#341748,#341749,#341750,#341751,#341752,#341753)); #350519=CLOSED_SHELL('',(#341754,#341755,#341756,#341757,#341758,#341759, #341760,#341761,#341762,#341763,#341764,#341765,#341766,#341767,#341768, #341769,#341770,#341771,#341772,#341773,#341774,#341775,#341776,#341777, #341778,#341779,#341780,#341781,#341782,#341783,#341784,#341785,#341786, #341787,#341788,#341789,#341790,#341791,#341792,#341793,#341794,#341795, #341796,#341797,#341798,#341799,#341800,#341801,#341802,#341803,#341804)); #350520=CLOSED_SHELL('',(#341805,#341806,#341807,#341808,#341809,#341810, #341811,#341812,#341813,#341814,#341815,#341816,#341817,#341818,#341819, #341820,#341821,#341822,#341823,#341824,#341825,#341826,#341827,#341828, #341829,#341830,#341831,#341832,#341833,#341834,#341835,#341836,#341837, #341838,#341839,#341840,#341841,#341842,#341843,#341844,#341845,#341846, #341847,#341848,#341849,#341850,#341851,#341852,#341853,#341854,#341855, #341856,#341857,#341858,#341859,#341860,#341861,#341862,#341863,#341864, #341865,#341866,#341867,#341868,#341869,#341870,#341871,#341872,#341873, #341874,#341875,#341876,#341877,#341878,#341879,#341880,#341881,#341882, #341883,#341884,#341885,#341886,#341887,#341888,#341889,#341890,#341891, #341892,#341893,#341894,#341895,#341896,#341897,#341898,#341899,#341900, #341901,#341902,#341903,#341904,#341905,#341906,#341907,#341908,#341909, #341910,#341911,#341912,#341913,#341914,#341915)); #350521=CLOSED_SHELL('',(#341916,#341917,#341918,#341919,#341920,#341921, #341922,#341923,#341924,#341925,#341926,#341927,#341928,#341929,#341930, #341931,#341932,#341933,#341934,#341935,#341936,#341937,#341938)); #350522=CLOSED_SHELL('',(#341939,#341940,#341941,#341942,#341943,#341944, #341945,#341946,#341947,#341948,#341949,#341950,#341951,#341952,#341953, #341954,#341955,#341956,#341957,#341958,#341959,#341960)); #350523=CLOSED_SHELL('',(#341961,#341962,#341963,#341964,#341965,#341966, #341967,#341968,#341969,#341970,#341971,#341972,#341973,#341974,#341975, #341976,#341977,#341978,#341979,#341980,#341981,#341982,#341983,#341984, #341985,#341986)); #350524=CLOSED_SHELL('',(#341987,#341988,#341989,#341990,#341991,#341992, #341993,#341994,#341995,#341996,#341997,#341998,#341999,#342000,#342001, #342002,#342003,#342004,#342005,#342006,#342007,#342008,#342009)); #350525=CLOSED_SHELL('',(#342010,#342011,#342012,#342013,#342014,#342015, #342016,#342017,#342018,#342019,#342020,#342021,#342022,#342023,#342024, #342025,#342026,#342027,#342028,#342029,#342030,#342031,#342032,#342033, #342034,#342035,#342036,#342037,#342038,#342039,#342040,#342041,#342042, #342043,#342044,#342045,#342046)); #350526=CLOSED_SHELL('',(#342047,#342048,#342049,#342050,#342051,#342052, #342053,#342054,#342055,#342056,#342057,#342058,#342059,#342060,#342061, #342062,#342063,#342064,#342065,#342066,#342067,#342068,#342069)); #350527=CLOSED_SHELL('',(#342070,#342071,#342072,#342073,#342074,#342075, #342076,#342077,#342078,#342079,#342080,#342081,#342082,#342083,#342084, #342085,#342086,#342087,#342088,#342089,#342090,#342091,#342092,#342093, #342094,#342095,#342096,#342097,#342098,#342099,#342100,#342101,#342102)); #350528=CLOSED_SHELL('',(#342103,#342104,#342105,#342106,#342107,#342108, #342109,#342110,#342111,#342112,#342113,#342114,#342115,#342116,#342117, #342118,#342119,#342120,#342121,#342122,#342123,#342124,#342125,#342126)); #350529=CLOSED_SHELL('',(#342127,#342128,#342129,#342130,#342131,#342132, #342133,#342134,#342135,#342136,#342137,#342138,#342139,#342140,#342141, #342142,#342143,#342144,#342145,#342146,#342147,#342148)); #350530=CLOSED_SHELL('',(#342149,#342150,#342151,#342152,#342153,#342154, #342155,#342156,#342157,#342158,#342159,#342160,#342161,#342162,#342163, #342164,#342165,#342166,#342167,#342168,#342169,#342170,#342171,#342172, #342173,#342174,#342175,#342176,#342177,#342178,#342179,#342180,#342181, #342182,#342183,#342184,#342185,#342186,#342187,#342188,#342189,#342190, #342191,#342192,#342193,#342194,#342195,#342196,#342197,#342198,#342199, #342200,#342201,#342202,#342203,#342204,#342205,#342206,#342207,#342208, #342209,#342210,#342211,#342212,#342213,#342214,#342215,#342216,#342217, #342218,#342219,#342220,#342221,#342222,#342223,#342224,#342225,#342226, #342227,#342228,#342229,#342230,#342231,#342232,#342233,#342234,#342235, #342236,#342237,#342238,#342239,#342240,#342241,#342242,#342243,#342244, #342245,#342246,#342247,#342248,#342249,#342250,#342251,#342252,#342253, #342254,#342255,#342256,#342257,#342258,#342259,#342260,#342261,#342262, #342263,#342264,#342265,#342266,#342267,#342268,#342269,#342270,#342271, #342272,#342273,#342274,#342275,#342276,#342277,#342278,#342279,#342280, #342281,#342282,#342283,#342284,#342285,#342286,#342287,#342288,#342289, #342290,#342291,#342292,#342293,#342294,#342295,#342296,#342297,#342298, #342299,#342300,#342301,#342302,#342303,#342304,#342305,#342306,#342307, #342308,#342309,#342310,#342311,#342312,#342313,#342314,#342315,#342316, #342317,#342318,#342319,#342320,#342321,#342322,#342323,#342324,#342325, #342326,#342327,#342328,#342329,#342330,#342331,#342332,#342333,#342334, #342335,#342336,#342337,#342338,#342339,#342340,#342341,#342342,#342343, #342344,#342345,#342346,#342347,#342348,#342349,#342350,#342351,#342352, #342353,#342354,#342355,#342356,#342357,#342358,#342359,#342360,#342361, #342362,#342363,#342364,#342365,#342366,#342367,#342368,#342369,#342370, #342371,#342372,#342373,#342374,#342375,#342376,#342377,#342378,#342379, #342380,#342381,#342382,#342383,#342384,#342385,#342386,#342387,#342388, #342389,#342390,#342391,#342392,#342393,#342394,#342395,#342396,#342397, #342398,#342399,#342400,#342401,#342402,#342403,#342404,#342405,#342406, #342407,#342408,#342409,#342410,#342411,#342412,#342413,#342414,#342415, #342416,#342417,#342418,#342419,#342420,#342421,#342422,#342423,#342424, #342425,#342426,#342427,#342428,#342429,#342430,#342431,#342432,#342433, #342434,#342435,#342436,#342437,#342438,#342439,#342440,#342441,#342442, #342443,#342444,#342445,#342446,#342447,#342448,#342449,#342450,#342451, #342452,#342453,#342454,#342455,#342456,#342457,#342458,#342459,#342460, #342461,#342462,#342463,#342464,#342465,#342466,#342467,#342468,#342469, #342470,#342471,#342472,#342473,#342474,#342475,#342476,#342477,#342478, #342479,#342480,#342481,#342482,#342483,#342484,#342485,#342486,#342487, #342488,#342489,#342490,#342491,#342492,#342493,#342494,#342495,#342496, #342497,#342498,#342499,#342500,#342501,#342502,#342503,#342504,#342505, #342506,#342507,#342508,#342509,#342510,#342511,#342512,#342513,#342514, #342515,#342516,#342517,#342518,#342519,#342520,#342521,#342522,#342523, #342524,#342525,#342526,#342527,#342528,#342529,#342530,#342531,#342532, #342533,#342534,#342535,#342536,#342537,#342538,#342539,#342540,#342541, #342542,#342543,#342544,#342545,#342546,#342547,#342548,#342549,#342550, #342551,#342552,#342553,#342554,#342555,#342556,#342557,#342558,#342559, #342560,#342561,#342562,#342563,#342564,#342565,#342566,#342567,#342568, #342569,#342570,#342571,#342572,#342573,#342574,#342575,#342576,#342577, #342578,#342579,#342580,#342581,#342582,#342583,#342584,#342585,#342586, #342587,#342588,#342589,#342590,#342591,#342592,#342593,#342594,#342595, #342596,#342597,#342598,#342599,#342600,#342601,#342602,#342603,#342604, #342605,#342606,#342607,#342608,#342609,#342610,#342611,#342612,#342613, #342614,#342615,#342616,#342617,#342618,#342619,#342620,#342621,#342622, #342623,#342624,#342625,#342626,#342627,#342628,#342629,#342630,#342631, #342632,#342633,#342634,#342635,#342636,#342637,#342638,#342639,#342640, #342641,#342642,#342643,#342644,#342645,#342646,#342647,#342648,#342649, #342650,#342651,#342652,#342653,#342654,#342655,#342656,#342657,#342658, #342659,#342660,#342661,#342662,#342663,#342664,#342665,#342666,#342667, #342668,#342669,#342670,#342671,#342672,#342673,#342674,#342675,#342676, #342677,#342678,#342679,#342680,#342681,#342682,#342683,#342684,#342685, #342686,#342687,#342688,#342689,#342690,#342691,#342692,#342693,#342694, #342695,#342696,#342697,#342698,#342699,#342700,#342701,#342702,#342703, #342704,#342705,#342706,#342707,#342708,#342709,#342710,#342711,#342712, #342713,#342714,#342715,#342716,#342717,#342718,#342719,#342720,#342721, #342722,#342723,#342724,#342725,#342726,#342727,#342728,#342729,#342730, #342731,#342732,#342733,#342734,#342735,#342736,#342737,#342738,#342739, #342740,#342741,#342742,#342743,#342744,#342745,#342746,#342747,#342748, #342749,#342750,#342751,#342752,#342753,#342754,#342755,#342756,#342757, #342758,#342759,#342760,#342761,#342762,#342763,#342764,#342765,#342766, #342767,#342768,#342769,#342770,#342771,#342772,#342773,#342774,#342775, #342776,#342777,#342778,#342779,#342780,#342781,#342782,#342783,#342784, #342785,#342786,#342787,#342788,#342789,#342790,#342791,#342792,#342793, #342794,#342795,#342796,#342797,#342798,#342799,#342800,#342801,#342802, #342803,#342804,#342805,#342806,#342807,#342808,#342809,#342810,#342811, #342812,#342813,#342814,#342815,#342816,#342817,#342818,#342819,#342820, #342821,#342822,#342823,#342824,#342825,#342826,#342827,#342828,#342829, #342830,#342831,#342832,#342833,#342834,#342835,#342836,#342837,#342838, #342839,#342840,#342841,#342842,#342843,#342844,#342845,#342846,#342847, #342848,#342849,#342850,#342851,#342852,#342853,#342854,#342855,#342856, #342857,#342858,#342859,#342860,#342861,#342862,#342863,#342864,#342865, #342866,#342867,#342868,#342869,#342870,#342871,#342872,#342873,#342874, #342875,#342876,#342877,#342878,#342879,#342880,#342881,#342882,#342883, #342884,#342885,#342886,#342887,#342888,#342889,#342890,#342891,#342892, #342893,#342894,#342895,#342896,#342897,#342898,#342899,#342900,#342901, #342902,#342903,#342904,#342905,#342906,#342907,#342908,#342909,#342910, #342911,#342912,#342913,#342914,#342915,#342916,#342917,#342918,#342919, #342920,#342921,#342922,#342923,#342924,#342925,#342926,#342927,#342928, #342929,#342930,#342931,#342932,#342933,#342934,#342935,#342936,#342937, #342938,#342939,#342940,#342941,#342942,#342943,#342944,#342945,#342946, #342947,#342948,#342949,#342950,#342951,#342952,#342953,#342954,#342955, #342956,#342957,#342958,#342959,#342960,#342961,#342962,#342963,#342964, #342965,#342966,#342967,#342968,#342969,#342970,#342971,#342972,#342973, #342974,#342975,#342976,#342977,#342978,#342979,#342980,#342981,#342982, #342983,#342984,#342985,#342986,#342987,#342988,#342989,#342990,#342991, #342992,#342993,#342994,#342995,#342996,#342997,#342998,#342999,#343000, #343001,#343002,#343003,#343004,#343005,#343006,#343007,#343008,#343009, #343010,#343011,#343012,#343013,#343014,#343015,#343016,#343017,#343018, #343019,#343020,#343021,#343022,#343023,#343024,#343025,#343026,#343027, #343028,#343029,#343030,#343031,#343032,#343033,#343034,#343035,#343036, #343037,#343038,#343039,#343040,#343041,#343042,#343043,#343044,#343045, #343046,#343047,#343048,#343049,#343050,#343051,#343052,#343053,#343054, #343055,#343056,#343057,#343058,#343059,#343060,#343061,#343062,#343063, #343064,#343065,#343066,#343067,#343068,#343069,#343070,#343071,#343072, #343073,#343074,#343075,#343076,#343077,#343078,#343079,#343080,#343081, #343082,#343083,#343084,#343085,#343086,#343087,#343088,#343089,#343090, #343091,#343092,#343093,#343094,#343095,#343096,#343097,#343098,#343099, #343100,#343101,#343102,#343103,#343104,#343105,#343106,#343107,#343108, #343109,#343110,#343111,#343112,#343113,#343114,#343115,#343116,#343117, #343118,#343119,#343120,#343121,#343122,#343123,#343124,#343125,#343126, #343127,#343128,#343129,#343130,#343131,#343132,#343133,#343134,#343135, #343136,#343137,#343138,#343139,#343140,#343141,#343142,#343143,#343144, #343145,#343146,#343147,#343148,#343149,#343150,#343151,#343152,#343153, #343154,#343155,#343156,#343157,#343158,#343159,#343160,#343161,#343162, #343163,#343164,#343165,#343166,#343167,#343168,#343169,#343170,#343171, #343172,#343173,#343174,#343175,#343176,#343177,#343178,#343179,#343180, #343181,#343182,#343183,#343184,#343185,#343186,#343187,#343188,#343189, #343190,#343191,#343192,#343193,#343194,#343195,#343196,#343197,#343198, #343199,#343200,#343201,#343202,#343203,#343204,#343205,#343206,#343207, #343208,#343209,#343210,#343211,#343212,#343213,#343214,#343215,#343216, #343217,#343218,#343219,#343220,#343221,#343222,#343223,#343224,#343225, #343226,#343227,#343228,#343229,#343230,#343231,#343232,#343233,#343234, #343235,#343236,#343237,#343238,#343239,#343240,#343241,#343242,#343243, #343244,#343245,#343246,#343247,#343248,#343249,#343250,#343251,#343252, #343253,#343254,#343255,#343256,#343257,#343258,#343259,#343260,#343261, #343262,#343263,#343264,#343265,#343266,#343267,#343268,#343269,#343270, #343271,#343272,#343273,#343274,#343275,#343276,#343277,#343278,#343279, #343280,#343281,#343282,#343283,#343284,#343285,#343286,#343287,#343288, #343289,#343290,#343291,#343292,#343293,#343294,#343295,#343296,#343297, #343298,#343299,#343300,#343301,#343302,#343303,#343304,#343305,#343306, #343307,#343308,#343309,#343310,#343311,#343312,#343313,#343314,#343315, #343316,#343317,#343318,#343319,#343320,#343321,#343322,#343323,#343324, #343325,#343326,#343327,#343328,#343329,#343330,#343331,#343332,#343333, #343334,#343335,#343336,#343337,#343338,#343339,#343340,#343341,#343342, #343343,#343344,#343345,#343346,#343347,#343348,#343349,#343350,#343351, #343352,#343353,#343354,#343355,#343356,#343357,#343358,#343359,#343360, #343361,#343362,#343363,#343364,#343365,#343366,#343367,#343368,#343369, #343370,#343371,#343372,#343373,#343374,#343375,#343376,#343377,#343378, #343379,#343380,#343381,#343382,#343383,#343384,#343385,#343386,#343387, #343388,#343389,#343390,#343391,#343392,#343393,#343394,#343395,#343396, #343397,#343398,#343399,#343400,#343401,#343402,#343403,#343404,#343405, #343406,#343407,#343408,#343409,#343410,#343411,#343412,#343413,#343414, #343415,#343416,#343417,#343418,#343419,#343420,#343421,#343422,#343423, #343424,#343425,#343426,#343427,#343428,#343429,#343430,#343431,#343432, #343433,#343434,#343435,#343436,#343437,#343438,#343439,#343440,#343441, #343442,#343443,#343444,#343445,#343446,#343447,#343448,#343449,#343450, #343451,#343452,#343453,#343454,#343455,#343456,#343457,#343458,#343459, #343460,#343461,#343462,#343463,#343464,#343465,#343466,#343467,#343468, #343469,#343470,#343471,#343472,#343473,#343474,#343475,#343476,#343477, #343478,#343479,#343480,#343481,#343482,#343483,#343484,#343485,#343486, #343487,#343488,#343489,#343490,#343491,#343492,#343493,#343494,#343495, #343496,#343497,#343498,#343499,#343500,#343501,#343502,#343503,#343504, #343505,#343506,#343507,#343508,#343509,#343510,#343511,#343512,#343513, #343514,#343515,#343516,#343517,#343518,#343519,#343520,#343521,#343522, #343523,#343524,#343525,#343526,#343527,#343528,#343529,#343530,#343531, #343532,#343533,#343534,#343535,#343536,#343537,#343538,#343539,#343540, #343541,#343542,#343543,#343544,#343545,#343546,#343547,#343548,#343549, #343550,#343551,#343552,#343553,#343554,#343555,#343556,#343557,#343558, #343559,#343560,#343561,#343562,#343563,#343564,#343565,#343566,#343567, #343568,#343569,#343570,#343571,#343572,#343573,#343574,#343575,#343576, #343577,#343578,#343579,#343580,#343581,#343582,#343583,#343584,#343585, #343586,#343587,#343588,#343589,#343590,#343591,#343592,#343593,#343594, #343595,#343596,#343597,#343598,#343599,#343600,#343601,#343602,#343603, #343604,#343605,#343606,#343607,#343608,#343609,#343610,#343611,#343612, #343613,#343614,#343615,#343616,#343617,#343618,#343619,#343620,#343621, #343622,#343623,#343624,#343625,#343626,#343627,#343628,#343629,#343630, #343631,#343632,#343633,#343634,#343635,#343636,#343637,#343638,#343639, #343640,#343641,#343642,#343643,#343644,#343645,#343646,#343647,#343648, #343649,#343650,#343651,#343652,#343653,#343654,#343655,#343656,#343657, #343658,#343659,#343660,#343661,#343662,#343663,#343664,#343665,#343666, #343667,#343668,#343669,#343670,#343671,#343672,#343673,#343674,#343675, #343676,#343677,#343678,#343679,#343680,#343681,#343682,#343683,#343684, #343685,#343686,#343687,#343688,#343689,#343690,#343691,#343692,#343693, #343694,#343695,#343696,#343697,#343698,#343699,#343700,#343701,#343702, #343703,#343704,#343705,#343706,#343707,#343708,#343709,#343710,#343711, #343712,#343713,#343714,#343715,#343716,#343717,#343718,#343719,#343720, #343721,#343722,#343723,#343724,#343725,#343726,#343727,#343728,#343729, #343730,#343731,#343732,#343733,#343734,#343735,#343736,#343737,#343738, #343739,#343740,#343741,#343742,#343743,#343744,#343745,#343746,#343747, #343748,#343749,#343750,#343751,#343752,#343753,#343754,#343755,#343756, #343757,#343758,#343759,#343760,#343761,#343762,#343763,#343764,#343765, #343766,#343767,#343768,#343769,#343770,#343771,#343772,#343773,#343774, #343775,#343776,#343777,#343778,#343779,#343780,#343781,#343782,#343783, #343784,#343785,#343786,#343787,#343788,#343789,#343790,#343791,#343792, #343793,#343794,#343795,#343796,#343797,#343798,#343799,#343800,#343801, #343802,#343803,#343804,#343805,#343806,#343807,#343808,#343809,#343810, #343811,#343812,#343813,#343814,#343815,#343816,#343817,#343818,#343819, #343820,#343821,#343822,#343823,#343824,#343825,#343826,#343827,#343828, #343829,#343830,#343831)); #350531=CLOSED_SHELL('',(#343832,#343833,#343834,#343835,#343836,#343837, #343838,#343839,#343840,#343841,#343842,#343843,#343844,#343845,#343846, #343847,#343848,#343849,#343850,#343851,#343852,#343853,#343854,#343855, #343856,#343857,#343858,#343859,#343860)); #350532=CLOSED_SHELL('',(#343861,#343862,#343863,#343864,#343865,#343866, #343867,#343868,#343869,#343870,#343871,#343872,#343873,#343874,#343875, #343876,#343877,#343878,#343879,#343880,#343881,#343882,#343883)); #350533=CLOSED_SHELL('',(#343884,#343885,#343886,#343887,#343888,#343889, #343890,#343891,#343892,#343893,#343894,#343895,#343896,#343897,#343898, #343899,#343900,#343901,#343902,#343903,#343904,#343905)); #350534=CLOSED_SHELL('',(#343906,#343907,#343908,#343909,#343910,#343911, #343912,#343913,#343914,#343915,#343916,#343917,#343918,#343919,#343920, #343921,#343922,#343923,#343924,#343925,#343926,#343927,#343928,#343929, #343930,#343931,#343932,#343933,#343934,#343935,#343936,#343937,#343938, #343939,#343940,#343941,#343942,#343943,#343944,#343945,#343946,#343947, #343948,#343949,#343950,#343951,#343952,#343953,#343954)); #350535=CLOSED_SHELL('',(#343955,#343956,#343957,#343958,#343959,#343960, #343961,#343962,#343963,#343964,#343965,#343966,#343967,#343968,#343969, #343970,#343971,#343972,#343973,#343974,#343975,#343976,#343977,#343978, #343979,#343980,#343981,#343982,#343983,#343984,#343985,#343986,#343987, #343988,#343989,#343990)); #350536=CLOSED_SHELL('',(#343991,#343992,#343993,#343994,#343995,#343996, #343997,#343998,#343999,#344000,#344001,#344002,#344003,#344004,#344005, #344006,#344007,#344008,#344009,#344010,#344011,#344012,#344013,#344014)); #350537=CLOSED_SHELL('',(#344015,#344016,#344017,#344018,#344019,#344020, #344021,#344022,#344023,#344024,#344025,#344026,#344027,#344028,#344029, #344030,#344031,#344032,#344033,#344034,#344035,#344036)); #350538=CLOSED_SHELL('',(#344037,#344038,#344039,#344040,#344041,#344042, #344043,#344044,#344045,#344046,#344047,#344048,#344049,#344050,#344051, #344052,#344053,#344054,#344055,#344056,#344057,#344058,#344059,#344060, #344061,#344062,#344063,#344064,#344065,#344066,#344067,#344068,#344069, #344070,#344071,#344072,#344073,#344074,#344075,#344076,#344077,#344078, #344079,#344080,#344081,#344082,#344083,#344084,#344085,#344086,#344087, #344088,#344089,#344090,#344091,#344092,#344093,#344094,#344095,#344096, #344097,#344098,#344099,#344100,#344101,#344102,#344103,#344104,#344105, #344106,#344107,#344108,#344109,#344110)); #350539=CLOSED_SHELL('',(#344111,#344112,#344113,#344114,#344115,#344116, #344117,#344118,#344119,#344120,#344121,#344122,#344123,#344124,#344125, #344126,#344127,#344128,#344129,#344130,#344131,#344132,#344133,#344134, #344135,#344136,#344137,#344138,#344139,#344140)); #350540=CLOSED_SHELL('',(#344141,#344142,#344143,#344144,#344145,#344146, #344147,#344148,#344149,#344150,#344151,#344152,#344153,#344154,#344155, #344156,#344157,#344158,#344159,#344160,#344161,#344162,#344163,#344164, #344165,#344166,#344167,#344168,#344169,#344170,#344171,#344172,#344173, #344174,#344175,#344176,#344177,#344178,#344179,#344180,#344181,#344182, #344183,#344184,#344185,#344186,#344187,#344188,#344189,#344190,#344191, #344192,#344193,#344194,#344195,#344196,#344197,#344198,#344199,#344200, #344201,#344202,#344203,#344204,#344205,#344206,#344207,#344208,#344209, #344210,#344211,#344212,#344213,#344214,#344215,#344216,#344217,#344218, #344219,#344220,#344221,#344222,#344223,#344224,#344225,#344226,#344227, #344228,#344229,#344230,#344231,#344232)); #350541=CLOSED_SHELL('',(#344233,#344234,#344235,#344236,#344237,#344238, #344239,#344240,#344241,#344242,#344243,#344244,#344245,#344246,#344247, #344248,#344249,#344250,#344251,#344252,#344253,#344254,#344255,#344256, #344257,#344258,#344259,#344260,#344261,#344262,#344263,#344264,#344265, #344266,#344267,#344268,#344269,#344270,#344271,#344272,#344273,#344274, #344275,#344276,#344277,#344278,#344279,#344280,#344281,#344282,#344283, #344284,#344285,#344286,#344287,#344288,#344289,#344290,#344291,#344292, #344293,#344294,#344295,#344296,#344297,#344298,#344299,#344300,#344301, #344302,#344303,#344304,#344305,#344306,#344307,#344308,#344309,#344310, #344311,#344312,#344313,#344314,#344315,#344316,#344317,#344318,#344319, #344320)); #350542=CLOSED_SHELL('',(#344321,#344322,#344323,#344324,#344325,#344326, #344327,#344328,#344329,#344330,#344331,#344332,#344333,#344334,#344335, #344336,#344337,#344338,#344339,#344340,#344341,#344342,#344343,#344344, #344345,#344346,#344347,#344348,#344349,#344350,#344351,#344352,#344353, #344354,#344355,#344356,#344357,#344358,#344359,#344360,#344361,#344362, #344363,#344364,#344365,#344366,#344367,#344368,#344369,#344370,#344371, #344372,#344373,#344374,#344375,#344376,#344377,#344378,#344379,#344380, #344381,#344382,#344383,#344384,#344385,#344386,#344387,#344388,#344389, #344390,#344391,#344392,#344393,#344394,#344395,#344396,#344397)); #350543=CLOSED_SHELL('',(#344398,#344399,#344400,#344401,#344402,#344403, #344404,#344405,#344406,#344407,#344408)); #350544=CLOSED_SHELL('',(#344409,#344410,#344411,#344412,#344413,#344414, #344415,#344416,#344417,#344418,#344419,#344420,#344421,#344422,#344423, #344424,#344425,#344426,#344427,#344428,#344429,#344430,#344431,#344432, #344433,#344434,#344435,#344436,#344437,#344438,#344439,#344440,#344441, #344442,#344443,#344444)); #350545=CLOSED_SHELL('',(#344445,#344446,#344447,#344448,#344449,#344450)); #350546=CLOSED_SHELL('',(#344451,#344452,#344453,#344454,#344455,#344456, #344457,#344458,#344459,#344460,#344461,#344462,#344463,#344464,#344465, #344466,#344467,#344468,#344469,#344470,#344471,#344472,#344473,#344474, #344475,#344476,#344477,#344478,#344479,#344480,#344481,#344482,#344483, #344484,#344485,#344486,#344487,#344488,#344489,#344490,#344491,#344492, #344493,#344494,#344495,#344496,#344497,#344498,#344499,#344500,#344501, #344502,#344503,#344504,#344505,#344506,#344507,#344508,#344509,#344510, #344511,#344512,#344513,#344514,#344515,#344516,#344517,#344518,#344519, #344520,#344521,#344522,#344523,#344524,#344525,#344526,#344527)); #350547=CLOSED_SHELL('',(#344528,#344529,#344530,#344531,#344532,#344533, #344534,#344535,#344536,#344537,#344538)); #350548=CLOSED_SHELL('',(#344539,#344540,#344541,#344542,#344543,#344544)); #350549=CLOSED_SHELL('',(#344545,#344546,#344547,#344548,#344549,#344550, #344551,#344552,#344553,#344554,#344555,#344556,#344557,#344558,#344559, #344560,#344561,#344562,#344563,#344564,#344565,#344566,#344567,#344568, #344569,#344570,#344571,#344572,#344573,#344574,#344575,#344576,#344577, #344578,#344579,#344580,#344581,#344582,#344583,#344584,#344585,#344586, #344587,#344588,#344589,#344590,#344591,#344592,#344593,#344594,#344595, #344596,#344597,#344598,#344599,#344600,#344601,#344602,#344603,#344604, #344605,#344606,#344607,#344608,#344609,#344610,#344611,#344612,#344613, #344614,#344615,#344616,#344617,#344618,#344619,#344620,#344621,#344622, #344623,#344624,#344625,#344626,#344627,#344628,#344629,#344630,#344631, #344632,#344633,#344634,#344635,#344636,#344637,#344638,#344639,#344640, #344641,#344642,#344643,#344644,#344645,#344646,#344647,#344648,#344649, #344650,#344651,#344652,#344653,#344654,#344655,#344656,#344657,#344658, #344659,#344660,#344661,#344662,#344663,#344664,#344665,#344666,#344667, #344668,#344669,#344670,#344671,#344672,#344673,#344674,#344675,#344676, #344677,#344678,#344679,#344680,#344681,#344682,#344683,#344684,#344685, #344686,#344687,#344688,#344689,#344690,#344691,#344692,#344693,#344694, #344695,#344696,#344697,#344698,#344699,#344700,#344701,#344702,#344703, #344704,#344705,#344706,#344707,#344708,#344709,#344710,#344711,#344712, #344713,#344714,#344715,#344716,#344717,#344718,#344719,#344720,#344721, #344722,#344723,#344724,#344725,#344726,#344727,#344728)); #350550=CLOSED_SHELL('',(#344729,#344730,#344731,#344732,#344733,#344734)); #350551=CLOSED_SHELL('',(#344735,#344736,#344737,#344738,#344739,#344740)); #350552=CLOSED_SHELL('',(#344741,#344742,#344743,#344744,#344745,#344746)); #350553=CLOSED_SHELL('',(#344747,#344748,#344749,#344750,#344751,#344752)); #350554=CLOSED_SHELL('',(#344753,#344754,#344755,#344756,#344757,#344758, #344759)); #350555=CLOSED_SHELL('',(#344760,#344761,#344762,#344763,#344764,#344765)); #350556=CLOSED_SHELL('',(#344766,#344767,#344768,#344769,#344770,#344771)); #350557=CLOSED_SHELL('',(#344772,#344773,#344774,#344775,#344776,#344777)); #350558=CLOSED_SHELL('',(#344778,#344779,#344780,#344781,#344782,#344783)); #350559=CLOSED_SHELL('',(#344784,#344785,#344786,#344787,#344788,#344789, #344790,#344791,#344792,#344793,#344794,#344795,#344796,#344797,#344798, #344799,#344800,#344801,#344802,#344803,#344804,#344805,#344806,#344807, #344808,#344809,#344810,#344811,#344812,#344813,#344814,#344815,#344816, #344817,#344818,#344819,#344820,#344821,#344822,#344823,#344824,#344825, #344826,#344827,#344828,#344829,#344830,#344831,#344832,#344833,#344834, #344835,#344836,#344837,#344838,#344839,#344840,#344841,#344842,#344843, #344844,#344845)); #350560=CLOSED_SHELL('',(#344846,#344847,#344848,#344849,#344850,#344851, #344852,#344853,#344854,#344855,#344856,#344857,#344858,#344859,#344860, #344861,#344862,#344863,#344864,#344865,#344866,#344867,#344868,#344869, #344870,#344871,#344872,#344873,#344874,#344875,#344876,#344877,#344878, #344879,#344880,#344881,#344882,#344883,#344884,#344885,#344886,#344887, #344888,#344889,#344890,#344891,#344892,#344893,#344894,#344895,#344896, #344897,#344898,#344899,#344900,#344901,#344902,#344903,#344904,#344905, #344906,#344907,#344908,#344909,#344910,#344911,#344912,#344913,#344914, #344915,#344916,#344917,#344918,#344919,#344920,#344921,#344922,#344923)); #350561=CLOSED_SHELL('',(#344924,#344925,#344926,#344927,#344928,#344929, #344930,#344931,#344932,#344933,#344934,#344935,#344936,#344937,#344938, #344939,#344940,#344941,#344942,#344943,#344944,#344945,#344946,#344947, #344948,#344949,#344950,#344951,#344952,#344953,#344954,#344955,#344956, #344957,#344958,#344959,#344960,#344961,#344962,#344963,#344964,#344965, #344966,#344967,#344968,#344969,#344970,#344971,#344972,#344973,#344974, #344975,#344976,#344977,#344978,#344979,#344980,#344981,#344982,#344983, #344984,#344985)); #350562=CLOSED_SHELL('',(#344986,#344987,#344988,#344989,#344990,#344991, #344992)); #350563=CLOSED_SHELL('',(#344993,#344994,#344995,#344996,#344997,#344998, #344999,#345000,#345001,#345002,#345003,#345004,#345005,#345006,#345007, #345008,#345009,#345010,#345011,#345012,#345013,#345014,#345015,#345016, #345017,#345018,#345019,#345020,#345021,#345022,#345023,#345024,#345025, #345026,#345027,#345028,#345029,#345030,#345031,#345032,#345033,#345034, #345035,#345036,#345037,#345038,#345039,#345040,#345041,#345042,#345043, #345044,#345045,#345046,#345047,#345048,#345049,#345050,#345051,#345052, #345053,#345054)); #350564=CLOSED_SHELL('',(#345055,#345056,#345057,#345058,#345059,#345060, #345061,#345062,#345063,#345064,#345065,#345066,#345067,#345068,#345069, #345070,#345071,#345072,#345073,#345074,#345075,#345076,#345077,#345078, #345079,#345080,#345081,#345082,#345083,#345084,#345085,#345086,#345087, #345088,#345089,#345090,#345091,#345092,#345093,#345094,#345095,#345096, #345097,#345098,#345099,#345100,#345101,#345102,#345103,#345104,#345105, #345106,#345107,#345108,#345109,#345110,#345111,#345112,#345113,#345114, #345115,#345116,#345117,#345118,#345119,#345120,#345121,#345122,#345123, #345124,#345125,#345126,#345127,#345128,#345129,#345130,#345131,#345132)); #350565=CLOSED_SHELL('',(#345133,#345134,#345135,#345136,#345137,#345138)); #350566=CLOSED_SHELL('',(#345139,#345140,#345141,#345142,#345143,#345144)); #350567=CLOSED_SHELL('',(#345145,#345146,#345147,#345148,#345149,#345150, #345151,#345152,#345153,#345154,#345155,#345156,#345157,#345158,#345159, #345160,#345161,#345162,#345163,#345164,#345165,#345166,#345167,#345168, #345169,#345170,#345171,#345172,#345173,#345174,#345175,#345176,#345177, #345178,#345179,#345180,#345181,#345182,#345183,#345184,#345185,#345186, #345187,#345188,#345189,#345190,#345191,#345192,#345193,#345194,#345195, #345196,#345197,#345198,#345199,#345200,#345201,#345202,#345203,#345204, #345205,#345206)); #350568=CLOSED_SHELL('',(#345207,#345208,#345209,#345210,#345211,#345212)); #350569=CLOSED_SHELL('',(#345213,#345214,#345215,#345216,#345217,#345218)); #350570=CLOSED_SHELL('',(#345219,#345220,#345221,#345222,#345223,#345224)); #350571=CLOSED_SHELL('',(#345225,#345226,#345227,#345228,#345229,#345230, #345231)); #350572=CLOSED_SHELL('',(#345232,#345233,#345234,#345235,#345236,#345237)); #350573=CLOSED_SHELL('',(#345238,#345239,#345240,#345241,#345242,#345243)); #350574=CLOSED_SHELL('',(#345244,#345245,#345246,#345247,#345248,#345249)); #350575=CLOSED_SHELL('',(#345250,#345251,#345252,#345253,#345254,#345255)); #350576=CLOSED_SHELL('',(#345256,#345257,#345258,#345259,#345260,#345261)); #350577=CLOSED_SHELL('',(#345262,#345263,#345264,#345265,#345266,#345267)); #350578=CLOSED_SHELL('',(#345268,#345269,#345270,#345271,#345272,#345273)); #350579=CLOSED_SHELL('',(#345274,#345275,#345276,#345277,#345278,#345279)); #350580=CLOSED_SHELL('',(#345280,#345281,#345282,#345283,#345284,#345285)); #350581=CLOSED_SHELL('',(#345286,#345287,#345288,#345289,#345290,#345291)); #350582=CLOSED_SHELL('',(#345292,#345293,#345294,#345295,#345296,#345297)); #350583=CLOSED_SHELL('',(#345298,#345299,#345300,#345301,#345302,#345303)); #350584=CLOSED_SHELL('',(#345304,#345305,#345306,#345307,#345308,#345309)); #350585=CLOSED_SHELL('',(#345310,#345311,#345312,#345313,#345314,#345315)); #350586=CLOSED_SHELL('',(#345316,#345317,#345318,#345319,#345320,#345321)); #350587=CLOSED_SHELL('',(#345322,#345323,#345324,#345325,#345326,#345327)); #350588=CLOSED_SHELL('',(#345328,#345329,#345330,#345331,#345332,#345333)); #350589=CLOSED_SHELL('',(#345334,#345335,#345336,#345337,#345338,#345339)); #350590=CLOSED_SHELL('',(#345340,#345341,#345342,#345343,#345344,#345345)); #350591=CLOSED_SHELL('',(#345346,#345347,#345348,#345349,#345350,#345351)); #350592=CLOSED_SHELL('',(#345352,#345353,#345354,#345355,#345356,#345357)); #350593=CLOSED_SHELL('',(#345358,#345359,#345360,#345361,#345362,#345363)); #350594=CLOSED_SHELL('',(#345364,#345365,#345366,#345367,#345368,#345369)); #350595=CLOSED_SHELL('',(#345370,#345371,#345372,#345373,#345374,#345375)); #350596=CLOSED_SHELL('',(#345376,#345377,#345378,#345379,#345380,#345381, #345382,#345383)); #350597=CLOSED_SHELL('',(#345384,#345385,#345386,#345387,#345388,#345389)); #350598=CLOSED_SHELL('',(#345390,#345391,#345392,#345393,#345394,#345395, #345396,#345397)); #350599=CLOSED_SHELL('',(#345398,#345399,#345400,#345401,#345402,#345403)); #350600=CLOSED_SHELL('',(#345404,#345405,#345406,#345407,#345408,#345409)); #350601=CLOSED_SHELL('',(#345410,#345411,#345412,#345413,#345414,#345415)); #350602=CLOSED_SHELL('',(#345416,#345417,#345418,#345419,#345420,#345421)); #350603=CLOSED_SHELL('',(#345422,#345423,#345424,#345425,#345426,#345427)); #350604=CLOSED_SHELL('',(#345428,#345429,#345430,#345431,#345432,#345433)); #350605=CLOSED_SHELL('',(#345434,#345435,#345436,#345437,#345438,#345439)); #350606=CLOSED_SHELL('',(#345440,#345441,#345442,#345443,#345444,#345445)); #350607=CLOSED_SHELL('',(#345446,#345447,#345448,#345449,#345450,#345451)); #350608=CLOSED_SHELL('',(#345452,#345453,#345454,#345455,#345456,#345457)); #350609=CLOSED_SHELL('',(#345458,#345459,#345460,#345461,#345462,#345463)); #350610=CLOSED_SHELL('',(#345464,#345465,#345466,#345467,#345468,#345469)); #350611=CLOSED_SHELL('',(#345470,#345471,#345472,#345473,#345474,#345475)); #350612=CLOSED_SHELL('',(#345476,#345477,#345478,#345479,#345480,#345481)); #350613=CLOSED_SHELL('',(#345482,#345483,#345484,#345485,#345486,#345487)); #350614=CLOSED_SHELL('',(#345488,#345489,#345490,#345491,#345492,#345493)); #350615=CLOSED_SHELL('',(#345494,#345495,#345496,#345497,#345498,#345499, #345500)); #350616=CLOSED_SHELL('',(#345501,#345502,#345503,#345504,#345505,#345506)); #350617=CLOSED_SHELL('',(#345507,#345508,#345509,#345510,#345511,#345512)); #350618=CLOSED_SHELL('',(#345513,#345514,#345515,#345516,#345517,#345518)); #350619=CLOSED_SHELL('',(#345519,#345520,#345521,#345522,#345523,#345524)); #350620=CLOSED_SHELL('',(#345525,#345526,#345527,#345528,#345529,#345530, #345531,#345532,#345533,#345534,#345535,#345536,#345537,#345538,#345539, #345540,#345541,#345542,#345543,#345544,#345545)); #350621=CLOSED_SHELL('',(#345546,#345547,#345548,#345549,#345550,#345551)); #350622=CLOSED_SHELL('',(#345552,#345553,#345554,#345555,#345556,#345557)); #350623=CLOSED_SHELL('',(#345558,#345559,#345560,#345561,#345562,#345563)); #350624=CLOSED_SHELL('',(#345564,#345565,#345566,#345567,#345568,#345569, #345570)); #350625=CLOSED_SHELL('',(#345571,#345572,#345573,#345574,#345575,#345576)); #350626=CLOSED_SHELL('',(#345577,#345578,#345579,#345580,#345581,#345582)); #350627=CLOSED_SHELL('',(#345583,#345584,#345585,#345586,#345587,#345588)); #350628=CLOSED_SHELL('',(#345589,#345590,#345591,#345592,#345593,#345594)); #350629=CLOSED_SHELL('',(#345595,#345596,#345597,#345598,#345599,#345600, #345601,#345602,#345603,#345604,#345605,#345606,#345607,#345608,#345609, #345610,#345611,#345612,#345613,#345614,#345615)); #350630=CLOSED_SHELL('',(#345616,#345617,#345618,#345619,#345620,#345621)); #350631=CLOSED_SHELL('',(#345622,#345623,#345624,#345625,#345626,#345627)); #350632=CLOSED_SHELL('',(#345628,#345629,#345630,#345631,#345632,#345633)); #350633=CLOSED_SHELL('',(#345634,#345635,#345636,#345637,#345638,#345639, #345640)); #350634=CLOSED_SHELL('',(#345641,#345642,#345643,#345644,#345645,#345646, #345647)); #350635=CLOSED_SHELL('',(#345648,#345649,#345650,#345651,#345652,#345653, #345654)); #350636=CLOSED_SHELL('',(#345655,#345656,#345657,#345658,#345659,#345660, #345661,#345662,#345663,#345664,#345665,#345666,#345667,#345668,#345669, #345670,#345671,#345672,#345673,#345674,#345675)); #350637=CLOSED_SHELL('',(#345676,#345677,#345678,#345679,#345680,#345681)); #350638=CLOSED_SHELL('',(#345682,#345683,#345684,#345685,#345686,#345687)); #350639=CLOSED_SHELL('',(#345688,#345689,#345690,#345691,#345692,#345693)); #350640=CLOSED_SHELL('',(#345694,#345695,#345696,#345697,#345698,#345699)); #350641=CLOSED_SHELL('',(#345700,#345701,#345702,#345703,#345704,#345705)); #350642=CLOSED_SHELL('',(#345706,#345707,#345708,#345709,#345710,#345711)); #350643=CLOSED_SHELL('',(#345712,#345713,#345714,#345715,#345716,#345717)); #350644=CLOSED_SHELL('',(#345718,#345719,#345720,#345721,#345722,#345723)); #350645=CLOSED_SHELL('',(#345724,#345725,#345726,#345727,#345728,#345729)); #350646=CLOSED_SHELL('',(#345730,#345731,#345732,#345733,#345734,#345735)); #350647=CLOSED_SHELL('',(#345736,#345737,#345738,#345739,#345740,#345741)); #350648=CLOSED_SHELL('',(#345742,#345743,#345744,#345745,#345746,#345747)); #350649=CLOSED_SHELL('',(#345748,#345749,#345750,#345751,#345752,#345753)); #350650=CLOSED_SHELL('',(#345754,#345755,#345756,#345757,#345758,#345759)); #350651=CLOSED_SHELL('',(#345760,#345761,#345762,#345763,#345764,#345765)); #350652=CLOSED_SHELL('',(#345766,#345767,#345768,#345769,#345770,#345771)); #350653=CLOSED_SHELL('',(#345772,#345773,#345774,#345775,#345776,#345777)); #350654=CLOSED_SHELL('',(#345778,#345779,#345780,#345781,#345782,#345783)); #350655=CLOSED_SHELL('',(#345784,#345785,#345786,#345787,#345788,#345789)); #350656=CLOSED_SHELL('',(#345790,#345791,#345792,#345793,#345794,#345795)); #350657=CLOSED_SHELL('',(#345796,#345797,#345798,#345799,#345800,#345801, #345802,#345803,#345804,#345805,#345806,#345807,#345808,#345809,#345810, #345811,#345812,#345813,#345814,#345815,#345816)); #350658=CLOSED_SHELL('',(#345817,#345818,#345819,#345820,#345821,#345822)); #350659=CLOSED_SHELL('',(#345823,#345824,#345825,#345826,#345827,#345828)); #350660=CLOSED_SHELL('',(#345829,#345830,#345831,#345832,#345833,#345834)); #350661=CLOSED_SHELL('',(#345835,#345836,#345837,#345838,#345839,#345840)); #350662=CLOSED_SHELL('',(#345841,#345842,#345843,#345844,#345845,#345846)); #350663=CLOSED_SHELL('',(#345847,#345848,#345849,#345850,#345851,#345852)); #350664=CLOSED_SHELL('',(#345853,#345854,#345855,#345856,#345857,#345858, #345859)); #350665=CLOSED_SHELL('',(#345860,#345861,#345862,#345863,#345864,#345865, #345866,#345867)); #350666=CLOSED_SHELL('',(#345868,#345869,#345870,#345871,#345872,#345873, #345874,#345875)); #350667=CLOSED_SHELL('',(#345876,#345877,#345878,#345879,#345880,#345881, #345882)); #350668=CLOSED_SHELL('',(#345883,#345884,#345885,#345886,#345887,#345888, #345889,#345890,#345891,#345892,#345893,#345894,#345895,#345896,#345897, #345898,#345899,#345900,#345901,#345902,#345903,#345904,#345905,#345906, #345907,#345908,#345909,#345910,#345911,#345912,#345913,#345914,#345915, #345916,#345917,#345918,#345919,#345920,#345921,#345922,#345923,#345924, #345925,#345926,#345927,#345928,#345929,#345930,#345931,#345932,#345933, #345934,#345935,#345936,#345937,#345938,#345939,#345940,#345941,#345942, #345943,#345944,#345945,#345946,#345947,#345948,#345949,#345950,#345951, #345952,#345953,#345954,#345955,#345956,#345957,#345958,#345959,#345960, #345961,#345962,#345963,#345964,#345965,#345966,#345967,#345968,#345969, #345970,#345971,#345972,#345973,#345974,#345975,#345976,#345977,#345978, #345979,#345980,#345981,#345982,#345983,#345984,#345985,#345986,#345987, #345988,#345989,#345990,#345991,#345992,#345993,#345994,#345995,#345996, #345997,#345998,#345999,#346000,#346001,#346002,#346003,#346004,#346005, #346006,#346007,#346008,#346009,#346010,#346011,#346012,#346013,#346014, #346015,#346016,#346017,#346018,#346019,#346020,#346021,#346022,#346023, #346024,#346025,#346026,#346027,#346028,#346029,#346030,#346031,#346032, #346033,#346034,#346035,#346036,#346037,#346038,#346039,#346040,#346041, #346042,#346043,#346044,#346045,#346046,#346047,#346048,#346049,#346050, #346051,#346052,#346053,#346054,#346055,#346056,#346057,#346058,#346059, #346060,#346061,#346062,#346063,#346064,#346065,#346066,#346067,#346068, #346069,#346070,#346071,#346072,#346073,#346074,#346075,#346076,#346077, #346078,#346079,#346080,#346081,#346082,#346083,#346084,#346085,#346086, #346087,#346088,#346089,#346090,#346091,#346092,#346093,#346094,#346095, #346096,#346097,#346098,#346099,#346100,#346101,#346102,#346103,#346104, #346105,#346106,#346107,#346108,#346109,#346110,#346111,#346112,#346113, #346114,#346115,#346116,#346117,#346118,#346119,#346120,#346121,#346122, #346123,#346124,#346125,#346126,#346127,#346128,#346129,#346130,#346131, #346132,#346133,#346134,#346135,#346136,#346137,#346138,#346139,#346140, #346141,#346142,#346143,#346144,#346145,#346146,#346147,#346148,#346149, #346150,#346151,#346152,#346153,#346154,#346155,#346156,#346157,#346158, #346159,#346160,#346161,#346162,#346163,#346164,#346165,#346166,#346167, #346168,#346169,#346170,#346171,#346172,#346173,#346174,#346175,#346176, #346177,#346178,#346179,#346180,#346181,#346182,#346183,#346184,#346185, #346186,#346187,#346188,#346189,#346190,#346191,#346192,#346193,#346194, #346195,#346196,#346197,#346198,#346199,#346200,#346201,#346202,#346203, #346204,#346205,#346206,#346207,#346208,#346209,#346210,#346211,#346212, #346213,#346214,#346215,#346216,#346217,#346218,#346219,#346220)); #350669=CLOSED_SHELL('',(#346221,#346222,#346223,#346224,#346225,#346226, #346227,#346228,#346229,#346230,#346231,#346232,#346233,#346234,#346235, #346236,#346237,#346238,#346239,#346240,#346241,#346242,#346243,#346244, #346245,#346246,#346247,#346248,#346249,#346250,#346251,#346252,#346253, #346254,#346255,#346256,#346257,#346258,#346259,#346260,#346261,#346262, #346263,#346264,#346265,#346266,#346267,#346268,#346269,#346270,#346271, #346272,#346273,#346274,#346275,#346276,#346277,#346278,#346279,#346280, #346281,#346282,#346283,#346284,#346285,#346286,#346287,#346288,#346289, #346290,#346291,#346292,#346293,#346294,#346295,#346296,#346297,#346298, #346299,#346300,#346301,#346302,#346303,#346304,#346305,#346306,#346307, #346308,#346309,#346310,#346311,#346312,#346313,#346314,#346315,#346316, #346317,#346318,#346319,#346320,#346321,#346322,#346323,#346324,#346325, #346326,#346327,#346328,#346329,#346330,#346331,#346332,#346333,#346334, #346335,#346336,#346337,#346338,#346339,#346340,#346341,#346342,#346343, #346344,#346345,#346346,#346347,#346348,#346349,#346350,#346351,#346352, #346353,#346354,#346355,#346356,#346357,#346358,#346359,#346360,#346361, #346362,#346363,#346364,#346365,#346366,#346367,#346368,#346369,#346370, #346371,#346372,#346373,#346374,#346375,#346376,#346377,#346378,#346379, #346380,#346381,#346382,#346383,#346384,#346385,#346386,#346387,#346388, #346389,#346390,#346391,#346392,#346393,#346394,#346395,#346396,#346397, #346398,#346399,#346400,#346401,#346402,#346403,#346404,#346405,#346406, #346407,#346408,#346409,#346410,#346411,#346412,#346413,#346414,#346415, #346416,#346417,#346418,#346419,#346420,#346421,#346422,#346423,#346424, #346425,#346426,#346427,#346428,#346429,#346430,#346431,#346432,#346433, #346434,#346435,#346436,#346437,#346438,#346439,#346440,#346441,#346442, #346443,#346444,#346445,#346446,#346447,#346448,#346449,#346450,#346451, #346452,#346453,#346454,#346455,#346456,#346457,#346458,#346459,#346460, #346461,#346462,#346463,#346464,#346465,#346466,#346467,#346468,#346469, #346470,#346471,#346472,#346473,#346474,#346475,#346476,#346477,#346478, #346479,#346480,#346481,#346482,#346483,#346484,#346485,#346486,#346487, #346488,#346489,#346490,#346491,#346492,#346493,#346494,#346495,#346496, #346497,#346498,#346499,#346500,#346501,#346502,#346503,#346504,#346505, #346506,#346507,#346508,#346509,#346510,#346511,#346512,#346513,#346514, #346515,#346516,#346517,#346518,#346519,#346520,#346521,#346522,#346523, #346524,#346525,#346526,#346527,#346528,#346529,#346530,#346531,#346532, #346533,#346534,#346535,#346536,#346537,#346538,#346539,#346540,#346541, #346542,#346543,#346544,#346545,#346546,#346547,#346548,#346549,#346550, #346551,#346552,#346553,#346554,#346555,#346556,#346557,#346558)); #350670=CLOSED_SHELL('',(#346559,#346560,#346561,#346562,#346563,#346564, #346565,#346566,#346567,#346568,#346569,#346570,#346571,#346572,#346573, #346574,#346575,#346576,#346577,#346578,#346579,#346580,#346581,#346582, #346583,#346584,#346585,#346586,#346587,#346588,#346589,#346590,#346591, #346592,#346593,#346594,#346595,#346596,#346597,#346598,#346599,#346600, #346601,#346602,#346603,#346604,#346605,#346606,#346607,#346608,#346609, #346610,#346611,#346612,#346613,#346614,#346615,#346616,#346617,#346618, #346619,#346620,#346621,#346622,#346623,#346624,#346625,#346626,#346627, #346628,#346629,#346630,#346631,#346632,#346633,#346634,#346635,#346636, #346637,#346638,#346639,#346640,#346641,#346642,#346643,#346644,#346645, #346646,#346647,#346648,#346649,#346650,#346651,#346652,#346653,#346654, #346655,#346656,#346657,#346658,#346659,#346660,#346661,#346662)); #350671=CLOSED_SHELL('',(#346663,#346664,#346665,#346666,#346667,#346668, #346669,#346670,#346671,#346672,#346673,#346674,#346675,#346676,#346677, #346678,#346679,#346680,#346681,#346682,#346683,#346684,#346685,#346686, #346687,#346688)); #350672=CLOSED_SHELL('',(#346689,#346690,#346691,#346692,#346693,#346694, #346695,#346696,#346697,#346698,#346699,#346700,#346701,#346702,#346703, #346704,#346705,#346706,#346707,#346708,#346709,#346710,#346711,#346712, #346713,#346714)); #350673=CLOSED_SHELL('',(#346715,#346716,#346717,#346718,#346719,#346720)); #350674=CLOSED_SHELL('',(#346721,#346722,#346723,#346724,#346725,#346726)); #350675=CLOSED_SHELL('',(#346727,#346728,#346729,#346730,#346731,#346732)); #350676=CLOSED_SHELL('',(#346733,#346734,#346735,#346736,#346737,#346738, #346739,#346740,#346741,#346742,#346743,#346744,#346745,#346746,#346747, #346748,#346749,#346750,#346751,#346752,#346753,#346754,#346755,#346756, #346757,#346758,#346759,#346760,#346761,#346762,#346763,#346764,#346765, #346766,#346767,#346768,#346769,#346770,#346771,#346772,#346773,#346774, #346775,#346776,#346777,#346778,#346779,#346780,#346781,#346782,#346783, #346784,#346785,#346786,#346787,#346788,#346789,#346790,#346791,#346792, #346793,#346794,#346795,#346796,#346797,#346798,#346799,#346800,#346801, #346802,#346803,#346804,#346805,#346806,#346807,#346808,#346809,#346810, #346811,#346812,#346813,#346814,#346815,#346816,#346817,#346818,#346819, #346820,#346821,#346822,#346823,#346824,#346825,#346826,#346827,#346828, #346829,#346830,#346831,#346832,#346833,#346834,#346835,#346836,#346837, #346838,#346839,#346840,#346841,#346842,#346843,#346844,#346845,#346846, #346847,#346848,#346849,#346850,#346851,#346852,#346853,#346854,#346855, #346856,#346857,#346858,#346859,#346860,#346861,#346862,#346863,#346864, #346865,#346866,#346867,#346868,#346869,#346870,#346871,#346872,#346873, #346874,#346875,#346876,#346877,#346878,#346879,#346880,#346881,#346882, #346883,#346884,#346885,#346886,#346887,#346888,#346889,#346890,#346891, #346892,#346893,#346894,#346895,#346896,#346897,#346898,#346899,#346900, #346901,#346902,#346903,#346904,#346905,#346906,#346907,#346908,#346909, #346910,#346911,#346912,#346913,#346914,#346915,#346916,#346917,#346918, #346919,#346920,#346921,#346922,#346923,#346924,#346925,#346926,#346927, #346928,#346929,#346930,#346931,#346932,#346933,#346934,#346935,#346936, #346937,#346938,#346939,#346940,#346941,#346942,#346943,#346944,#346945, #346946,#346947,#346948,#346949,#346950,#346951,#346952,#346953,#346954, #346955,#346956,#346957,#346958,#346959,#346960,#346961,#346962,#346963, #346964,#346965,#346966,#346967,#346968,#346969,#346970,#346971,#346972, #346973,#346974,#346975,#346976,#346977,#346978,#346979,#346980,#346981, #346982,#346983,#346984,#346985)); #350677=CLOSED_SHELL('',(#346986,#346987,#346988,#346989,#346990,#346991, #346992,#346993,#346994,#346995,#346996,#346997,#346998,#346999,#347000, #347001,#347002,#347003,#347004,#347005)); #350678=CLOSED_SHELL('',(#347006,#347007,#347008,#347009,#347010,#347011, #347012,#347013,#347014,#347015,#347016,#347017,#347018,#347019,#347020, #347021,#347022,#347023,#347024,#347025)); #350679=CLOSED_SHELL('',(#347026,#347027,#347028,#347029,#347030,#347031, #347032,#347033,#347034,#347035,#347036,#347037,#347038,#347039,#347040, #347041,#347042,#347043,#347044,#347045)); #350680=CLOSED_SHELL('',(#347046,#347047,#347048,#347049,#347050,#347051, #347052,#347053,#347054,#347055,#347056,#347057,#347058,#347059,#347060, #347061,#347062,#347063,#347064,#347065)); #350681=CLOSED_SHELL('',(#347066,#347067,#347068,#347069,#347070,#347071, #347072,#347073,#347074,#347075,#347076,#347077,#347078,#347079,#347080, #347081,#347082,#347083,#347084,#347085)); #350682=CLOSED_SHELL('',(#347086,#347087,#347088,#347089,#347090,#347091, #347092,#347093,#347094,#347095,#347096,#347097,#347098,#347099,#347100, #347101,#347102,#347103,#347104,#347105)); #350683=CLOSED_SHELL('',(#347106,#347107,#347108,#347109,#347110,#347111, #347112,#347113,#347114,#347115,#347116,#347117,#347118,#347119,#347120, #347121,#347122,#347123,#347124,#347125)); #350684=CLOSED_SHELL('',(#347126,#347127,#347128,#347129,#347130,#347131, #347132,#347133,#347134,#347135,#347136,#347137,#347138,#347139,#347140, #347141,#347142,#347143,#347144,#347145)); #350685=CLOSED_SHELL('',(#347146,#347147,#347148,#347149,#347150,#347151, #347152,#347153,#347154,#347155,#347156,#347157,#347158,#347159,#347160, #347161,#347162,#347163,#347164,#347165)); #350686=CLOSED_SHELL('',(#347166,#347167,#347168,#347169,#347170,#347171, #347172,#347173,#347174,#347175,#347176,#347177,#347178,#347179,#347180, #347181,#347182,#347183,#347184,#347185)); #350687=CLOSED_SHELL('',(#347186,#347187,#347188,#347189,#347190,#347191, #347192,#347193,#347194,#347195,#347196,#347197,#347198,#347199,#347200, #347201,#347202,#347203,#347204,#347205)); #350688=CLOSED_SHELL('',(#347206,#347207,#347208,#347209,#347210,#347211, #347212,#347213,#347214,#347215,#347216,#347217,#347218,#347219,#347220, #347221,#347222,#347223,#347224,#347225)); #350689=CLOSED_SHELL('',(#347226,#347227,#347228,#347229,#347230,#347231, #347232,#347233,#347234,#347235,#347236,#347237,#347238,#347239,#347240, #347241,#347242,#347243,#347244,#347245)); #350690=CLOSED_SHELL('',(#347246,#347247,#347248,#347249,#347250,#347251, #347252,#347253,#347254,#347255,#347256,#347257,#347258,#347259,#347260, #347261,#347262,#347263,#347264,#347265)); #350691=CLOSED_SHELL('',(#347266,#347267,#347268,#347269,#347270,#347271, #347272,#347273,#347274,#347275,#347276,#347277,#347278,#347279,#347280, #347281,#347282,#347283,#347284,#347285,#347286,#347287,#347288,#347289, #347290,#347291,#347292,#347293,#347294,#347295,#347296,#347297,#347298, #347299,#347300,#347301,#347302,#347303)); #350692=CLOSED_SHELL('',(#347304,#347305,#347306,#347307,#347308,#347309, #347310,#347311,#347312,#347313,#347314,#347315,#347316,#347317,#347318, #347319,#347320,#347321,#347322,#347323,#347324,#347325,#347326,#347327, #347328,#347329,#347330,#347331,#347332,#347333,#347334,#347335,#347336, #347337,#347338,#347339,#347340,#347341,#347342,#347343,#347344,#347345, #347346,#347347,#347348,#347349,#347350,#347351,#347352,#347353,#347354, #347355,#347356,#347357,#347358,#347359,#347360,#347361,#347362,#347363, #347364,#347365,#347366,#347367,#347368,#347369,#347370,#347371,#347372, #347373,#347374,#347375,#347376,#347377,#347378,#347379,#347380,#347381, #347382,#347383,#347384,#347385,#347386,#347387,#347388,#347389,#347390, #347391,#347392,#347393,#347394,#347395,#347396,#347397,#347398,#347399, #347400,#347401,#347402,#347403,#347404,#347405,#347406,#347407,#347408, #347409,#347410,#347411,#347412,#347413,#347414,#347415,#347416,#347417, #347418,#347419,#347420,#347421,#347422,#347423,#347424,#347425,#347426, #347427,#347428,#347429,#347430,#347431,#347432,#347433,#347434,#347435, #347436,#347437,#347438,#347439,#347440,#347441,#347442,#347443,#347444, #347445,#347446,#347447,#347448,#347449,#347450,#347451,#347452,#347453, #347454,#347455,#347456,#347457,#347458,#347459,#347460,#347461,#347462, #347463,#347464,#347465,#347466,#347467,#347468,#347469,#347470,#347471, #347472,#347473,#347474,#347475,#347476,#347477,#347478,#347479,#347480, #347481,#347482,#347483,#347484,#347485,#347486,#347487,#347488,#347489, #347490,#347491,#347492,#347493,#347494,#347495,#347496,#347497,#347498, #347499,#347500,#347501,#347502,#347503,#347504,#347505,#347506,#347507, #347508,#347509,#347510,#347511,#347512,#347513,#347514,#347515,#347516, #347517,#347518,#347519,#347520,#347521,#347522,#347523,#347524,#347525, #347526,#347527,#347528,#347529,#347530,#347531,#347532,#347533,#347534, #347535,#347536,#347537,#347538,#347539,#347540,#347541,#347542,#347543, #347544,#347545,#347546,#347547,#347548,#347549,#347550,#347551,#347552, #347553,#347554,#347555,#347556,#347557,#347558,#347559,#347560,#347561, #347562,#347563,#347564,#347565,#347566,#347567,#347568,#347569,#347570, #347571,#347572,#347573,#347574,#347575,#347576,#347577,#347578,#347579, #347580,#347581,#347582,#347583,#347584,#347585,#347586,#347587,#347588, #347589,#347590,#347591,#347592,#347593,#347594,#347595,#347596,#347597, #347598,#347599,#347600,#347601,#347602,#347603,#347604,#347605,#347606, #347607,#347608,#347609,#347610,#347611,#347612,#347613,#347614,#347615, #347616,#347617,#347618,#347619,#347620,#347621,#347622,#347623,#347624, #347625,#347626,#347627,#347628,#347629,#347630,#347631,#347632,#347633, #347634,#347635,#347636,#347637,#347638,#347639,#347640,#347641,#347642, #347643,#347644,#347645,#347646,#347647,#347648,#347649,#347650,#347651, #347652,#347653,#347654,#347655,#347656,#347657,#347658,#347659,#347660, #347661,#347662,#347663,#347664,#347665,#347666,#347667,#347668,#347669, #347670,#347671,#347672,#347673,#347674,#347675,#347676,#347677,#347678, #347679,#347680,#347681,#347682,#347683,#347684,#347685,#347686,#347687, #347688,#347689,#347690,#347691,#347692,#347693,#347694,#347695,#347696, #347697,#347698,#347699,#347700,#347701,#347702,#347703,#347704,#347705, #347706,#347707,#347708,#347709,#347710,#347711,#347712,#347713,#347714, #347715,#347716,#347717,#347718,#347719,#347720)); #350693=CLOSED_SHELL('',(#347721,#347722,#347723,#347724,#347725,#347726, #347727,#347728,#347729,#347730,#347731,#347732,#347733,#347734,#347735, #347736,#347737,#347738,#347739,#347740)); #350694=CLOSED_SHELL('',(#347741,#347742,#347743,#347744,#347745,#347746, #347747,#347748,#347749,#347750,#347751,#347752,#347753,#347754,#347755, #347756,#347757,#347758,#347759,#347760,#347761,#347762,#347763,#347764, #347765,#347766,#347767,#347768,#347769,#347770,#347771,#347772,#347773, #347774,#347775,#347776,#347777,#347778,#347779,#347780,#347781,#347782, #347783,#347784,#347785,#347786,#347787,#347788,#347789,#347790,#347791, #347792,#347793,#347794,#347795,#347796,#347797,#347798,#347799,#347800, #347801,#347802,#347803,#347804,#347805,#347806,#347807,#347808,#347809, #347810,#347811,#347812,#347813,#347814,#347815,#347816,#347817,#347818, #347819,#347820,#347821)); #350695=CLOSED_SHELL('',(#347822,#347823,#347824,#347825,#347826,#347827, #347828,#347829,#347830,#347831,#347832,#347833,#347834,#347835,#347836, #347837,#347838,#347839,#347840,#347841,#347842,#347843,#347844,#347845, #347846,#347847)); #350696=CLOSED_SHELL('',(#347848,#347849,#347850,#347851,#347852,#347853, #347854,#347855,#347856,#347857,#347858,#347859,#347860,#347861,#347862, #347863,#347864,#347865,#347866,#347867,#347868,#347869,#347870,#347871, #347872,#347873)); #350697=CLOSED_SHELL('',(#347874,#347875,#347876,#347877,#347878,#347879)); #350698=CLOSED_SHELL('',(#347880,#347881,#347882,#347883,#347884,#347885, #347886,#347887,#347888,#347889,#347890,#347891,#347892,#347893,#347894, #347895,#347896,#347897,#347898,#347899,#347900,#347901,#347902,#347903, #347904,#347905)); #350699=CLOSED_SHELL('',(#347906,#347907,#347908,#347909,#347910,#347911, #347912,#347913,#347914,#347915,#347916,#347917,#347918,#347919,#347920, #347921,#347922,#347923,#347924,#347925,#347926,#347927,#347928,#347929, #347930,#347931)); #350700=CLOSED_SHELL('',(#347932,#347933,#347934,#347935,#347936,#347937)); #350701=CLOSED_SHELL('',(#347938,#347939,#347940,#347941,#347942,#347943, #347944,#347945,#347946,#347947,#347948,#347949,#347950,#347951,#347952, #347953,#347954,#347955,#347956,#347957,#347958,#347959,#347960,#347961, #347962,#347963)); #350702=CLOSED_SHELL('',(#347964,#347965,#347966,#347967,#347968,#347969, #347970,#347971,#347972,#347973,#347974,#347975,#347976,#347977,#347978, #347979,#347980,#347981,#347982,#347983,#347984,#347985,#347986,#347987, #347988,#347989)); #350703=CLOSED_SHELL('',(#347990,#347991,#347992,#347993,#347994,#347995)); #350704=CLOSED_SHELL('',(#347996,#347997,#347998,#347999,#348000,#348001, #348002,#348003,#348004,#348005,#348006)); #350705=CLOSED_SHELL('',(#348007,#348008,#348009,#348010,#348011,#348012, #348013,#348014,#348015,#348016,#348017,#348018,#348019,#348020,#348021, #348022,#348023,#348024)); #350706=CLOSED_SHELL('',(#348025,#348026,#348027)); #350707=CLOSED_SHELL('',(#348028,#348029,#348030)); #350708=CLOSED_SHELL('',(#348031,#348032,#348033,#348034,#348035,#348036)); #350709=CLOSED_SHELL('',(#348037,#348038,#348039,#348040,#348041,#348042)); #350710=CLOSED_SHELL('',(#348043,#348044,#348045,#348046,#348047,#348048)); #350711=CLOSED_SHELL('',(#348049,#348050,#348051,#348052,#348053,#348054)); #350712=CLOSED_SHELL('',(#348055,#348056,#348057,#348058,#348059,#348060)); #350713=CLOSED_SHELL('',(#348061,#348062,#348063,#348064,#348065,#348066)); #350714=CLOSED_SHELL('',(#348067,#348068,#348069,#348070,#348071,#348072)); #350715=CLOSED_SHELL('',(#348073,#348074,#348075,#348076,#348077,#348078)); #350716=CLOSED_SHELL('',(#348079,#348080,#348081,#348082,#348083,#348084)); #350717=CLOSED_SHELL('',(#348085,#348086,#348087,#348088,#348089,#348090, #348091,#348092,#348093,#348094,#348095,#348096)); #350718=CLOSED_SHELL('',(#348097,#348098,#348099,#348100,#348101,#348102)); #350719=CLOSED_SHELL('',(#348103,#348104,#348105,#348106,#348107,#348108, #348109,#348110)); #350720=CLOSED_SHELL('',(#348111,#348112,#348113,#348114,#348115,#348116, #348117,#348118)); #350721=CLOSED_SHELL('',(#348119,#348120,#348121,#348122,#348123,#348124, #348125,#348126)); #350722=CLOSED_SHELL('',(#348127,#348128,#348129,#348130,#348131,#348132, #348133,#348134)); #350723=CLOSED_SHELL('',(#348135,#348136,#348137,#348138,#348139,#348140, #348141,#348142)); #350724=CLOSED_SHELL('',(#348143,#348144,#348145,#348146,#348147,#348148, #348149,#348150)); #350725=CLOSED_SHELL('',(#348151,#348152,#348153,#348154,#348155,#348156, #348157,#348158)); #350726=CLOSED_SHELL('',(#348159,#348160,#348161,#348162,#348163,#348164, #348165,#348166)); #350727=CLOSED_SHELL('',(#348167,#348168,#348169,#348170,#348171,#348172)); #350728=CLOSED_SHELL('',(#348173,#348174,#348175,#348176,#348177,#348178)); #350729=CLOSED_SHELL('',(#348179,#348180,#348181,#348182,#348183,#348184)); #350730=CLOSED_SHELL('',(#348185,#348186,#348187,#348188,#348189,#348190)); #350731=CLOSED_SHELL('',(#348191,#348192,#348193,#348194,#348195,#348196)); #350732=CLOSED_SHELL('',(#348197,#348198,#348199,#348200,#348201,#348202)); #350733=CLOSED_SHELL('',(#348203,#348204,#348205,#348206,#348207,#348208)); #350734=CLOSED_SHELL('',(#348209,#348210,#348211,#348212,#348213,#348214)); #350735=CLOSED_SHELL('',(#348215,#348216,#348217,#348218,#348219,#348220, #348221,#348222,#348223,#348224,#348225,#348226)); #350736=CLOSED_SHELL('',(#348227,#348228,#348229,#348230,#348231,#348232)); #350737=CLOSED_SHELL('',(#348233,#348234,#348235,#348236,#348237,#348238, #348239,#348240)); #350738=CLOSED_SHELL('',(#348241,#348242,#348243,#348244,#348245,#348246, #348247,#348248)); #350739=CLOSED_SHELL('',(#348249,#348250,#348251,#348252,#348253,#348254, #348255,#348256)); #350740=CLOSED_SHELL('',(#348257,#348258,#348259,#348260,#348261,#348262, #348263,#348264)); #350741=CLOSED_SHELL('',(#348265,#348266,#348267,#348268,#348269,#348270, #348271,#348272)); #350742=CLOSED_SHELL('',(#348273,#348274,#348275,#348276,#348277,#348278, #348279,#348280)); #350743=CLOSED_SHELL('',(#348281,#348282,#348283,#348284,#348285,#348286, #348287,#348288)); #350744=CLOSED_SHELL('',(#348289,#348290,#348291,#348292,#348293,#348294, #348295,#348296)); #350745=CLOSED_SHELL('',(#348297,#348298,#348299,#348300,#348301,#348302)); #350746=CLOSED_SHELL('',(#348303,#348304,#348305,#348306,#348307,#348308)); #350747=CLOSED_SHELL('',(#348309,#348310,#348311,#348312,#348313,#348314)); #350748=CLOSED_SHELL('',(#348315,#348316,#348317,#348318,#348319,#348320)); #350749=CLOSED_SHELL('',(#348321,#348322,#348323,#348324,#348325,#348326)); #350750=CLOSED_SHELL('',(#348327,#348328,#348329,#348330,#348331,#348332)); #350751=CLOSED_SHELL('',(#348333,#348334,#348335,#348336,#348337,#348338)); #350752=CLOSED_SHELL('',(#348339,#348340,#348341,#348342,#348343,#348344)); #350753=CLOSED_SHELL('',(#348345,#348346,#348347,#348348,#348349,#348350, #348351,#348352,#348353,#348354)); #350754=CLOSED_SHELL('',(#348355,#348356,#348357,#348358,#348359,#348360)); #350755=CLOSED_SHELL('',(#348361,#348362,#348363,#348364,#348365,#348366)); #350756=CLOSED_SHELL('',(#348367,#348368,#348369,#348370,#348371,#348372)); #350757=CLOSED_SHELL('',(#348373,#348374,#348375,#348376,#348377,#348378)); #350758=CLOSED_SHELL('',(#348379,#348380,#348381,#348382)); #350759=CLOSED_SHELL('',(#348383,#348384,#348385,#348386,#348387,#348388, #348389,#348390,#348391,#348392,#348393,#348394,#348395,#348396,#348397, #348398,#348399,#348400,#348401)); #350760=CLOSED_SHELL('',(#348402,#348403,#348404,#348405,#348406,#348407, #348408,#348409,#348410,#348411,#348412,#348413,#348414,#348415,#348416, #348417,#348418,#348419,#348420,#348421,#348422,#348423,#348424,#348425, #348426,#348427,#348428,#348429,#348430,#348431,#348432,#348433,#348434, #348435,#348436,#348437,#348438,#348439,#348440,#348441,#348442,#348443, #348444,#348445,#348446,#348447,#348448,#348449,#348450,#348451,#348452, #348453,#348454,#348455,#348456,#348457,#348458,#348459,#348460,#348461, #348462,#348463,#348464,#348465,#348466,#348467,#348468,#348469,#348470, #348471,#348472,#348473,#348474,#348475,#348476,#348477,#348478,#348479, #348480,#348481,#348482,#348483,#348484,#348485,#348486,#348487,#348488, #348489,#348490,#348491,#348492,#348493,#348494,#348495,#348496,#348497, #348498,#348499,#348500,#348501,#348502,#348503,#348504,#348505,#348506, #348507,#348508,#348509,#348510,#348511,#348512,#348513,#348514,#348515, #348516,#348517,#348518,#348519,#348520,#348521,#348522,#348523,#348524, #348525,#348526,#348527,#348528,#348529,#348530,#348531,#348532,#348533, #348534,#348535,#348536,#348537,#348538,#348539,#348540,#348541,#348542, #348543,#348544,#348545,#348546,#348547,#348548,#348549,#348550,#348551, #348552,#348553,#348554,#348555,#348556,#348557,#348558,#348559,#348560, #348561,#348562,#348563,#348564,#348565,#348566,#348567,#348568,#348569, #348570,#348571,#348572,#348573,#348574,#348575,#348576,#348577,#348578, #348579,#348580,#348581,#348582,#348583,#348584,#348585,#348586,#348587, #348588,#348589,#348590,#348591,#348592,#348593,#348594,#348595,#348596, #348597,#348598,#348599,#348600,#348601,#348602,#348603,#348604,#348605, #348606,#348607,#348608,#348609,#348610,#348611,#348612,#348613,#348614, #348615,#348616,#348617,#348618,#348619,#348620,#348621,#348622,#348623, #348624,#348625,#348626,#348627,#348628,#348629,#348630,#348631,#348632, #348633,#348634,#348635,#348636,#348637,#348638,#348639,#348640,#348641, #348642,#348643,#348644,#348645,#348646,#348647,#348648,#348649,#348650, #348651,#348652,#348653,#348654,#348655,#348656,#348657,#348658,#348659, #348660,#348661,#348662,#348663,#348664,#348665,#348666,#348667,#348668, #348669,#348670,#348671,#348672,#348673,#348674,#348675,#348676,#348677, #348678,#348679,#348680,#348681,#348682,#348683,#348684,#348685,#348686, #348687,#348688,#348689,#348690,#348691,#348692,#348693,#348694,#348695, #348696,#348697,#348698,#348699,#348700,#348701,#348702,#348703,#348704, #348705,#348706,#348707,#348708,#348709,#348710,#348711,#348712,#348713, #348714,#348715,#348716,#348717,#348718,#348719,#348720,#348721,#348722, #348723,#348724,#348725,#348726,#348727,#348728,#348729,#348730,#348731, #348732,#348733,#348734,#348735,#348736,#348737,#348738,#348739,#348740, #348741,#348742,#348743,#348744,#348745,#348746,#348747,#348748,#348749, #348750,#348751,#348752,#348753,#348754,#348755,#348756,#348757,#348758, #348759,#348760,#348761,#348762,#348763,#348764,#348765,#348766,#348767, #348768,#348769,#348770,#348771,#348772,#348773,#348774,#348775,#348776, #348777,#348778,#348779,#348780,#348781,#348782,#348783,#348784,#348785, #348786,#348787,#348788,#348789,#348790,#348791)); #350761=CLOSED_SHELL('',(#348792,#348793,#348794,#348795,#348796,#348797, #348798,#348799,#348800,#348801,#348802,#348803)); #350762=CLOSED_SHELL('',(#348804,#348805,#348806,#348807,#348808,#348809, #348810,#348811)); #350763=CLOSED_SHELL('',(#348812,#348813,#348814,#348815,#348816,#348817, #348818,#348819)); #350764=CLOSED_SHELL('',(#348820,#348821,#348822,#348823,#348824,#348825, #348826,#348827)); #350765=CLOSED_SHELL('',(#348828,#348829,#348830,#348831,#348832,#348833, #348834,#348835)); #350766=CLOSED_SHELL('',(#348836,#348837,#348838,#348839,#348840,#348841, #348842,#348843)); #350767=CLOSED_SHELL('',(#348844,#348845,#348846,#348847,#348848,#348849, #348850,#348851)); #350768=CLOSED_SHELL('',(#348852,#348853,#348854,#348855,#348856,#348857, #348858,#348859)); #350769=CLOSED_SHELL('',(#348860,#348861,#348862,#348863,#348864,#348865, #348866,#348867)); #350770=CLOSED_SHELL('',(#348868,#348869,#348870,#348871,#348872,#348873, #348874,#348875)); #350771=CLOSED_SHELL('',(#348876,#348877,#348878,#348879,#348880,#348881, #348882,#348883)); #350772=CLOSED_SHELL('',(#348884,#348885,#348886,#348887,#348888,#348889, #348890,#348891)); #350773=CLOSED_SHELL('',(#348892,#348893,#348894,#348895,#348896,#348897, #348898,#348899)); #350774=CLOSED_SHELL('',(#348900,#348901,#348902,#348903,#348904,#348905, #348906,#348907)); #350775=CLOSED_SHELL('',(#348908,#348909,#348910,#348911,#348912,#348913, #348914,#348915)); #350776=CLOSED_SHELL('',(#348916,#348917,#348918,#348919,#348920,#348921, #348922,#348923)); #350777=CLOSED_SHELL('',(#348924,#348925,#348926,#348927,#348928,#348929, #348930,#348931)); #350778=CLOSED_SHELL('',(#348932,#348933,#348934,#348935,#348936,#348937, #348938,#348939)); #350779=CLOSED_SHELL('',(#348940,#348941,#348942,#348943,#348944,#348945, #348946,#348947)); #350780=CLOSED_SHELL('',(#348948,#348949,#348950,#348951,#348952,#348953, #348954,#348955)); #350781=CLOSED_SHELL('',(#348956,#348957,#348958,#348959,#348960,#348961, #348962,#348963)); #350782=CLOSED_SHELL('',(#348964,#348965,#348966,#348967,#348968,#348969, #348970,#348971)); #350783=CLOSED_SHELL('',(#348972,#348973,#348974,#348975,#348976,#348977, #348978,#348979)); #350784=CLOSED_SHELL('',(#348980,#348981,#348982,#348983,#348984,#348985, #348986,#348987)); #350785=CLOSED_SHELL('',(#348988,#348989,#348990,#348991,#348992,#348993, #348994,#348995)); #350786=CLOSED_SHELL('',(#348996,#348997,#348998,#348999,#349000,#349001, #349002,#349003)); #350787=CLOSED_SHELL('',(#349004,#349005,#349006,#349007,#349008,#349009, #349010,#349011)); #350788=CLOSED_SHELL('',(#349012,#349013,#349014,#349015,#349016,#349017, #349018,#349019)); #350789=CLOSED_SHELL('',(#349020,#349021,#349022,#349023,#349024,#349025, #349026,#349027)); #350790=CLOSED_SHELL('',(#349028,#349029,#349030,#349031,#349032,#349033, #349034,#349035)); #350791=CLOSED_SHELL('',(#349036,#349037,#349038,#349039,#349040,#349041, #349042,#349043)); #350792=CLOSED_SHELL('',(#349044,#349045,#349046,#349047,#349048,#349049, #349050,#349051)); #350793=CLOSED_SHELL('',(#349052,#349053,#349054,#349055,#349056,#349057, #349058,#349059)); #350794=CLOSED_SHELL('',(#349060,#349061,#349062,#349063,#349064,#349065, #349066,#349067)); #350795=CLOSED_SHELL('',(#349068,#349069,#349070,#349071,#349072,#349073, #349074,#349075)); #350796=CLOSED_SHELL('',(#349076,#349077,#349078,#349079,#349080,#349081, #349082,#349083)); #350797=CLOSED_SHELL('',(#349084,#349085,#349086,#349087,#349088,#349089, #349090,#349091)); #350798=CLOSED_SHELL('',(#349092,#349093,#349094,#349095,#349096,#349097, #349098,#349099)); #350799=CLOSED_SHELL('',(#349100,#349101,#349102,#349103,#349104,#349105, #349106,#349107)); #350800=CLOSED_SHELL('',(#349108,#349109,#349110,#349111,#349112,#349113, #349114,#349115)); #350801=CLOSED_SHELL('',(#349116,#349117,#349118,#349119,#349120,#349121, #349122,#349123)); #350802=CLOSED_SHELL('',(#349124,#349125,#349126,#349127,#349128,#349129, #349130,#349131)); #350803=CLOSED_SHELL('',(#349132,#349133,#349134,#349135,#349136,#349137, #349138,#349139)); #350804=CLOSED_SHELL('',(#349140,#349141,#349142,#349143,#349144,#349145, #349146,#349147)); #350805=CLOSED_SHELL('',(#349148,#349149,#349150,#349151,#349152,#349153, #349154,#349155)); #350806=CLOSED_SHELL('',(#349156,#349157,#349158,#349159,#349160,#349161, #349162,#349163)); #350807=CLOSED_SHELL('',(#349164,#349165,#349166,#349167,#349168,#349169, #349170,#349171)); #350808=CLOSED_SHELL('',(#349172,#349173,#349174,#349175,#349176,#349177, #349178,#349179)); #350809=CLOSED_SHELL('',(#349180,#349181,#349182,#349183,#349184,#349185, #349186,#349187)); #350810=CLOSED_SHELL('',(#349188,#349189,#349190,#349191,#349192,#349193)); #350811=CLOSED_SHELL('',(#349194,#349195,#349196,#349197,#349198,#349199, #349200,#349201,#349202,#349203,#349204,#349205,#349206,#349207,#349208, #349209,#349210,#349211,#349212,#349213,#349214,#349215,#349216,#349217, #349218,#349219)); #350812=CLOSED_SHELL('',(#349220,#349221,#349222,#349223,#349224,#349225, #349226,#349227,#349228,#349229,#349230,#349231,#349232,#349233,#349234, #349235,#349236,#349237,#349238,#349239,#349240,#349241,#349242,#349243, #349244,#349245)); #350813=CLOSED_SHELL('',(#349246,#349247,#349248,#349249,#349250,#349251)); #350814=CLOSED_SHELL('',(#349252,#349253,#349254,#349255,#349256,#349257, #349258,#349259,#349260,#349261)); #350815=CLOSED_SHELL('',(#349262,#349263,#349264,#349265,#349266,#349267)); #350816=CLOSED_SHELL('',(#349268,#349269,#349270,#349271,#349272,#349273)); #350817=CLOSED_SHELL('',(#349274,#349275,#349276,#349277,#349278,#349279)); #350818=CLOSED_SHELL('',(#349280,#349281,#349282,#349283,#349284,#349285)); #350819=CLOSED_SHELL('',(#349286,#349287,#349288,#349289,#349290,#349291)); #350820=CLOSED_SHELL('',(#349292,#349293,#349294,#349295,#349296,#349297, #349298,#349299,#349300,#349301,#349302,#349303,#349304,#349305,#349306, #349307)); #350821=CLOSED_SHELL('',(#349308,#349309,#349310,#349311,#349312,#349313, #349314,#349315,#349316,#349317,#349318,#349319,#349320,#349321)); #350822=CLOSED_SHELL('',(#349322,#349323,#349324,#349325,#349326,#349327, #349328,#349329,#349330,#349331,#349332,#349333,#349334,#349335)); #350823=CLOSED_SHELL('',(#349336,#349337,#349338,#349339,#349340,#349341, #349342,#349343,#349344,#349345,#349346,#349347,#349348,#349349)); #350824=CLOSED_SHELL('',(#349350,#349351,#349352,#349353,#349354,#349355, #349356,#349357,#349358,#349359,#349360,#349361,#349362,#349363)); #350825=CLOSED_SHELL('',(#349364,#349365,#349366,#349367,#349368,#349369, #349370,#349371,#349372,#349373,#349374,#349375,#349376,#349377)); #350826=CLOSED_SHELL('',(#349378,#349379,#349380,#349381,#349382,#349383, #349384,#349385,#349386,#349387,#349388,#349389,#349390,#349391)); #350827=CLOSED_SHELL('',(#349392,#349393,#349394,#349395,#349396,#349397, #349398,#349399,#349400,#349401,#349402,#349403,#349404,#349405)); #350828=CLOSED_SHELL('',(#349406,#349407,#349408,#349409,#349410,#349411, #349412,#349413,#349414,#349415,#349416,#349417,#349418,#349419)); #350829=CLOSED_SHELL('',(#349420,#349421,#349422,#349423,#349424,#349425, #349426,#349427,#349428,#349429,#349430,#349431,#349432,#349433,#349434, #349435)); #350830=CLOSED_SHELL('',(#349436,#349437,#349438,#349439,#349440,#349441, #349442,#349443,#349444,#349445,#349446,#349447,#349448,#349449)); #350831=CLOSED_SHELL('',(#349450,#349451,#349452,#349453,#349454,#349455, #349456,#349457,#349458,#349459,#349460,#349461,#349462,#349463)); #350832=CLOSED_SHELL('',(#349464,#349465,#349466,#349467,#349468,#349469, #349470,#349471,#349472,#349473,#349474,#349475,#349476,#349477)); #350833=CLOSED_SHELL('',(#349478,#349479,#349480,#349481,#349482,#349483, #349484,#349485,#349486,#349487,#349488,#349489,#349490,#349491)); #350834=CLOSED_SHELL('',(#349492,#349493,#349494,#349495,#349496,#349497, #349498,#349499,#349500,#349501,#349502,#349503,#349504,#349505)); #350835=CLOSED_SHELL('',(#349506,#349507,#349508,#349509,#349510,#349511, #349512,#349513,#349514,#349515,#349516,#349517,#349518,#349519,#349520, #349521,#349522,#349523,#349524,#349525,#349526,#349527,#349528,#349529, #349530,#349531)); #350836=CLOSED_SHELL('',(#349532,#349533,#349534,#349535,#349536,#349537, #349538,#349539,#349540,#349541,#349542,#349543,#349544,#349545,#349546, #349547,#349548,#349549,#349550,#349551,#349552,#349553,#349554,#349555, #349556,#349557)); #350837=CLOSED_SHELL('',(#349558,#349559,#349560,#349561,#349562,#349563)); #350838=CLOSED_SHELL('',(#349564,#349565,#349566,#349567,#349568,#349569, #349570,#349571,#349572,#349573,#349574,#349575,#349576,#349577,#349578, #349579)); #350839=CLOSED_SHELL('',(#349580,#349581,#349582,#349583,#349584,#349585, #349586,#349587,#349588,#349589,#349590,#349591,#349592,#349593,#349594, #349595)); #350840=CLOSED_SHELL('',(#349596,#349597,#349598,#349599,#349600,#349601, #349602,#349603,#349604,#349605,#349606,#349607,#349608,#349609,#349610, #349611)); #350841=CLOSED_SHELL('',(#349612,#349613,#349614,#349615,#349616,#349617)); #350842=CLOSED_SHELL('',(#349618,#349619,#349620,#349621,#349622,#349623, #349624,#349625,#349626,#349627,#349628,#349629,#349630,#349631,#349632, #349633,#349634,#349635,#349636,#349637,#349638,#349639,#349640,#349641, #349642,#349643,#349644,#349645,#349646,#349647)); #350843=CLOSED_SHELL('',(#349648,#349649,#349650,#349651,#349652,#349653, #349654,#349655,#349656,#349657,#349658,#349659,#349660,#349661,#349662, #349663,#349664,#349665,#349666,#349667,#349668,#349669,#349670,#349671, #349672,#349673,#349674,#349675,#349676,#349677,#349678,#349679,#349680, #349681,#349682,#349683,#349684,#349685,#349686,#349687,#349688,#349689, #349690,#349691,#349692,#349693,#349694,#349695,#349696,#349697,#349698, #349699,#349700)); #350844=CLOSED_SHELL('',(#349701,#349702,#349703,#349704,#349705,#349706, #349707,#349708,#349709,#349710,#349711,#349712,#349713,#349714,#349715, #349716,#349717,#349718,#349719,#349720,#349721,#349722,#349723,#349724, #349725,#349726,#349727,#349728,#349729,#349730,#349731,#349732,#349733, #349734,#349735,#349736,#349737,#349738,#349739,#349740,#349741,#349742, #349743,#349744,#349745,#349746,#349747)); #350845=CLOSED_SHELL('',(#349748,#349749,#349750,#349751,#349752,#349753, #349754,#349755,#349756,#349757,#349758,#349759,#349760,#349761,#349762, #349763,#349764,#349765,#349766,#349767,#349768,#349769,#349770,#349771, #349772,#349773,#349774,#349775,#349776,#349777,#349778,#349779,#349780, #349781,#349782,#349783,#349784,#349785,#349786,#349787,#349788,#349789, #349790,#349791,#349792,#349793,#349794,#349795,#349796,#349797,#349798, #349799,#349800,#349801,#349802,#349803,#349804,#349805,#349806,#349807, #349808,#349809,#349810,#349811)); #350846=CLOSED_SHELL('',(#349812,#349813,#349814,#349815,#349816,#349817, #349818,#349819,#349820,#349821,#349822,#349823,#349824,#349825,#349826, #349827,#349828,#349829,#349830)); #350847=CLOSED_SHELL('',(#349831,#349832,#349833,#349834,#349835,#349836, #349837,#349838,#349839,#349840,#349841,#349842,#349843,#349844,#349845, #349846,#349847,#349848,#349849,#349850,#349851,#349852,#349853)); #350848=CLOSED_SHELL('',(#349854,#349855,#349856)); #350849=CLOSED_SHELL('',(#349857,#349858,#349859)); #350850=CLOSED_SHELL('',(#349860,#349861,#349862)); #350851=CLOSED_SHELL('',(#349863,#349864,#349865)); #350852=DERIVED_UNIT_ELEMENT(#350944,1.); #350853=DERIVED_UNIT_ELEMENT(#597653,-3.); #350854=DERIVED_UNIT_ELEMENT(#350944,1.); #350855=DERIVED_UNIT_ELEMENT(#597653,-3.); #350856=DERIVED_UNIT_ELEMENT(#350944,1.); #350857=DERIVED_UNIT_ELEMENT(#597653,-3.); #350858=DERIVED_UNIT_ELEMENT(#350944,1.); #350859=DERIVED_UNIT_ELEMENT(#597653,-3.); #350860=DERIVED_UNIT_ELEMENT(#350944,1.); #350861=DERIVED_UNIT_ELEMENT(#597653,-3.); #350862=DERIVED_UNIT_ELEMENT(#350944,1.); #350863=DERIVED_UNIT_ELEMENT(#597653,-3.); #350864=DERIVED_UNIT_ELEMENT(#350944,1.); #350865=DERIVED_UNIT_ELEMENT(#597653,-3.); #350866=DERIVED_UNIT_ELEMENT(#350944,1.); #350867=DERIVED_UNIT_ELEMENT(#597653,-3.); #350868=DERIVED_UNIT_ELEMENT(#350944,1.); #350869=DERIVED_UNIT_ELEMENT(#597653,-3.); #350870=DERIVED_UNIT_ELEMENT(#350944,1.); #350871=DERIVED_UNIT_ELEMENT(#597653,-3.); #350872=DERIVED_UNIT_ELEMENT(#350944,1.); #350873=DERIVED_UNIT_ELEMENT(#597653,-3.); #350874=DERIVED_UNIT_ELEMENT(#350944,1.); #350875=DERIVED_UNIT_ELEMENT(#597653,-3.); #350876=DERIVED_UNIT_ELEMENT(#350944,1.); #350877=DERIVED_UNIT_ELEMENT(#597653,-3.); #350878=DERIVED_UNIT_ELEMENT(#350944,1.); #350879=DERIVED_UNIT_ELEMENT(#597653,-3.); #350880=DERIVED_UNIT_ELEMENT(#350944,1.); #350881=DERIVED_UNIT_ELEMENT(#597653,-3.); #350882=DERIVED_UNIT_ELEMENT(#350944,1.); #350883=DERIVED_UNIT_ELEMENT(#597653,-3.); #350884=DERIVED_UNIT_ELEMENT(#350944,1.); #350885=DERIVED_UNIT_ELEMENT(#597653,-3.); #350886=DERIVED_UNIT_ELEMENT(#350944,1.); #350887=DERIVED_UNIT_ELEMENT(#597653,-3.); #350888=DERIVED_UNIT_ELEMENT(#350944,1.); #350889=DERIVED_UNIT_ELEMENT(#597653,-3.); #350890=DERIVED_UNIT_ELEMENT(#350944,1.); #350891=DERIVED_UNIT_ELEMENT(#597653,-3.); #350892=DERIVED_UNIT_ELEMENT(#350944,1.); #350893=DERIVED_UNIT_ELEMENT(#597653,-3.); #350894=DERIVED_UNIT_ELEMENT(#350944,1.); #350895=DERIVED_UNIT_ELEMENT(#597653,-3.); #350896=DERIVED_UNIT_ELEMENT(#350944,1.); #350897=DERIVED_UNIT_ELEMENT(#597653,-3.); #350898=DERIVED_UNIT_ELEMENT(#350944,1.); #350899=DERIVED_UNIT_ELEMENT(#597653,-3.); #350900=DERIVED_UNIT_ELEMENT(#350944,1.); #350901=DERIVED_UNIT_ELEMENT(#597653,-3.); #350902=DERIVED_UNIT_ELEMENT(#350944,1.); #350903=DERIVED_UNIT_ELEMENT(#597653,-3.); #350904=DERIVED_UNIT_ELEMENT(#350944,1.); #350905=DERIVED_UNIT_ELEMENT(#597653,-3.); #350906=DERIVED_UNIT_ELEMENT(#350944,1.); #350907=DERIVED_UNIT_ELEMENT(#597653,-3.); #350908=DERIVED_UNIT_ELEMENT(#350944,1.); #350909=DERIVED_UNIT_ELEMENT(#597653,-3.); #350910=DERIVED_UNIT_ELEMENT(#350944,1.); #350911=DERIVED_UNIT_ELEMENT(#597653,-3.); #350912=DERIVED_UNIT_ELEMENT(#350944,1.); #350913=DERIVED_UNIT_ELEMENT(#597653,-3.); #350914=DERIVED_UNIT_ELEMENT(#350944,1.); #350915=DERIVED_UNIT_ELEMENT(#597653,-3.); #350916=DERIVED_UNIT_ELEMENT(#350944,1.); #350917=DERIVED_UNIT_ELEMENT(#597653,-3.); #350918=DERIVED_UNIT_ELEMENT(#350944,1.); #350919=DERIVED_UNIT_ELEMENT(#597653,-3.); #350920=DERIVED_UNIT_ELEMENT(#350944,1.); #350921=DERIVED_UNIT_ELEMENT(#597653,-3.); #350922=DERIVED_UNIT_ELEMENT(#350944,1.); #350923=DERIVED_UNIT_ELEMENT(#597653,-3.); #350924=DERIVED_UNIT_ELEMENT(#350944,1.); #350925=DERIVED_UNIT_ELEMENT(#597653,-3.); #350926=DERIVED_UNIT_ELEMENT(#350944,1.); #350927=DERIVED_UNIT_ELEMENT(#597653,-3.); #350928=DERIVED_UNIT_ELEMENT(#350944,1.); #350929=DERIVED_UNIT_ELEMENT(#597653,-3.); #350930=DERIVED_UNIT_ELEMENT(#350944,1.); #350931=DERIVED_UNIT_ELEMENT(#597653,-3.); #350932=DERIVED_UNIT_ELEMENT(#350944,1.); #350933=DERIVED_UNIT_ELEMENT(#597653,-3.); #350934=DERIVED_UNIT_ELEMENT(#350944,1.); #350935=DERIVED_UNIT_ELEMENT(#597653,-3.); #350936=DERIVED_UNIT_ELEMENT(#350944,1.); #350937=DERIVED_UNIT_ELEMENT(#597653,-3.); #350938=DERIVED_UNIT_ELEMENT(#350944,1.); #350939=DERIVED_UNIT_ELEMENT(#597653,-3.); #350940=DERIVED_UNIT_ELEMENT(#350944,1.); #350941=DERIVED_UNIT_ELEMENT(#597653,-3.); #350942=DERIVED_UNIT_ELEMENT(#350944,1.); #350943=DERIVED_UNIT_ELEMENT(#597653,-3.); #350944=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #350945=DERIVED_UNIT((#350852,#350853)); #350946=DERIVED_UNIT((#350854,#350855)); #350947=DERIVED_UNIT((#350856,#350857)); #350948=DERIVED_UNIT((#350858,#350859)); #350949=DERIVED_UNIT((#350860,#350861)); #350950=DERIVED_UNIT((#350862,#350863)); #350951=DERIVED_UNIT((#350864,#350865)); #350952=DERIVED_UNIT((#350866,#350867)); #350953=DERIVED_UNIT((#350868,#350869)); #350954=DERIVED_UNIT((#350870,#350871)); #350955=DERIVED_UNIT((#350872,#350873)); #350956=DERIVED_UNIT((#350874,#350875)); #350957=DERIVED_UNIT((#350876,#350877)); #350958=DERIVED_UNIT((#350878,#350879)); #350959=DERIVED_UNIT((#350880,#350881)); #350960=DERIVED_UNIT((#350882,#350883)); #350961=DERIVED_UNIT((#350884,#350885)); #350962=DERIVED_UNIT((#350886,#350887)); #350963=DERIVED_UNIT((#350888,#350889)); #350964=DERIVED_UNIT((#350890,#350891)); #350965=DERIVED_UNIT((#350892,#350893)); #350966=DERIVED_UNIT((#350894,#350895)); #350967=DERIVED_UNIT((#350896,#350897)); #350968=DERIVED_UNIT((#350898,#350899)); #350969=DERIVED_UNIT((#350900,#350901)); #350970=DERIVED_UNIT((#350902,#350903)); #350971=DERIVED_UNIT((#350904,#350905)); #350972=DERIVED_UNIT((#350906,#350907)); #350973=DERIVED_UNIT((#350908,#350909)); #350974=DERIVED_UNIT((#350910,#350911)); #350975=DERIVED_UNIT((#350912,#350913)); #350976=DERIVED_UNIT((#350914,#350915)); #350977=DERIVED_UNIT((#350916,#350917)); #350978=DERIVED_UNIT((#350918,#350919)); #350979=DERIVED_UNIT((#350920,#350921)); #350980=DERIVED_UNIT((#350922,#350923)); #350981=DERIVED_UNIT((#350924,#350925)); #350982=DERIVED_UNIT((#350926,#350927)); #350983=DERIVED_UNIT((#350928,#350929)); #350984=DERIVED_UNIT((#350930,#350931)); #350985=DERIVED_UNIT((#350932,#350933)); #350986=DERIVED_UNIT((#350934,#350935)); #350987=DERIVED_UNIT((#350936,#350937)); #350988=DERIVED_UNIT((#350938,#350939)); #350989=DERIVED_UNIT((#350940,#350941)); #350990=DERIVED_UNIT((#350942,#350943)); #350991=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350945); #350992=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350946); #350993=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350947); #350994=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350948); #350995=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350949); #350996=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350950); #350997=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350951); #350998=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350952); #350999=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350953); #351000=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350954); #351001=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350955); #351002=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350956); #351003=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350957); #351004=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350958); #351005=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350959); #351006=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350960); #351007=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350961); #351008=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350962); #351009=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350963); #351010=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350964); #351011=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350965); #351012=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350966); #351013=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350967); #351014=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350968); #351015=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350969); #351016=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350970); #351017=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350971); #351018=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350972); #351019=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350973); #351020=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350974); #351021=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350975); #351022=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350976); #351023=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350977); #351024=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350978); #351025=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350979); #351026=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350980); #351027=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350981); #351028=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350982); #351029=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350983); #351030=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350984); #351031=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350985); #351032=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#350986); #351033=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350987); #351034=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350988); #351035=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350989); #351036=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#350990); #351037=PROPERTY_DEFINITION_REPRESENTATION(#351267,#351129); #351038=PROPERTY_DEFINITION_REPRESENTATION(#351268,#351130); #351039=PROPERTY_DEFINITION_REPRESENTATION(#351269,#351131); #351040=PROPERTY_DEFINITION_REPRESENTATION(#351270,#351132); #351041=PROPERTY_DEFINITION_REPRESENTATION(#351271,#351133); #351042=PROPERTY_DEFINITION_REPRESENTATION(#351272,#351134); #351043=PROPERTY_DEFINITION_REPRESENTATION(#351273,#351135); #351044=PROPERTY_DEFINITION_REPRESENTATION(#351274,#351136); #351045=PROPERTY_DEFINITION_REPRESENTATION(#351275,#351137); #351046=PROPERTY_DEFINITION_REPRESENTATION(#351276,#351138); #351047=PROPERTY_DEFINITION_REPRESENTATION(#351277,#351139); #351048=PROPERTY_DEFINITION_REPRESENTATION(#351278,#351140); #351049=PROPERTY_DEFINITION_REPRESENTATION(#351279,#351141); #351050=PROPERTY_DEFINITION_REPRESENTATION(#351280,#351142); #351051=PROPERTY_DEFINITION_REPRESENTATION(#351281,#351143); #351052=PROPERTY_DEFINITION_REPRESENTATION(#351282,#351144); #351053=PROPERTY_DEFINITION_REPRESENTATION(#351283,#351145); #351054=PROPERTY_DEFINITION_REPRESENTATION(#351284,#351146); #351055=PROPERTY_DEFINITION_REPRESENTATION(#351285,#351147); #351056=PROPERTY_DEFINITION_REPRESENTATION(#351286,#351148); #351057=PROPERTY_DEFINITION_REPRESENTATION(#351287,#351149); #351058=PROPERTY_DEFINITION_REPRESENTATION(#351288,#351150); #351059=PROPERTY_DEFINITION_REPRESENTATION(#351289,#351151); #351060=PROPERTY_DEFINITION_REPRESENTATION(#351290,#351152); #351061=PROPERTY_DEFINITION_REPRESENTATION(#351291,#351153); #351062=PROPERTY_DEFINITION_REPRESENTATION(#351292,#351154); #351063=PROPERTY_DEFINITION_REPRESENTATION(#351293,#351155); #351064=PROPERTY_DEFINITION_REPRESENTATION(#351294,#351156); #351065=PROPERTY_DEFINITION_REPRESENTATION(#351295,#351157); #351066=PROPERTY_DEFINITION_REPRESENTATION(#351296,#351158); #351067=PROPERTY_DEFINITION_REPRESENTATION(#351297,#351159); #351068=PROPERTY_DEFINITION_REPRESENTATION(#351298,#351160); #351069=PROPERTY_DEFINITION_REPRESENTATION(#351299,#351161); #351070=PROPERTY_DEFINITION_REPRESENTATION(#351300,#351162); #351071=PROPERTY_DEFINITION_REPRESENTATION(#351301,#351163); #351072=PROPERTY_DEFINITION_REPRESENTATION(#351302,#351164); #351073=PROPERTY_DEFINITION_REPRESENTATION(#351303,#351165); #351074=PROPERTY_DEFINITION_REPRESENTATION(#351304,#351166); #351075=PROPERTY_DEFINITION_REPRESENTATION(#351305,#351167); #351076=PROPERTY_DEFINITION_REPRESENTATION(#351306,#351168); #351077=PROPERTY_DEFINITION_REPRESENTATION(#351307,#351169); #351078=PROPERTY_DEFINITION_REPRESENTATION(#351308,#351170); #351079=PROPERTY_DEFINITION_REPRESENTATION(#351309,#351171); #351080=PROPERTY_DEFINITION_REPRESENTATION(#351310,#351172); #351081=PROPERTY_DEFINITION_REPRESENTATION(#351311,#351173); #351082=PROPERTY_DEFINITION_REPRESENTATION(#351312,#351174); #351083=PROPERTY_DEFINITION_REPRESENTATION(#351313,#351175); #351084=PROPERTY_DEFINITION_REPRESENTATION(#351314,#351176); #351085=PROPERTY_DEFINITION_REPRESENTATION(#351315,#351177); #351086=PROPERTY_DEFINITION_REPRESENTATION(#351316,#351178); #351087=PROPERTY_DEFINITION_REPRESENTATION(#351317,#351179); #351088=PROPERTY_DEFINITION_REPRESENTATION(#351318,#351180); #351089=PROPERTY_DEFINITION_REPRESENTATION(#351319,#351181); #351090=PROPERTY_DEFINITION_REPRESENTATION(#351320,#351182); #351091=PROPERTY_DEFINITION_REPRESENTATION(#351321,#351183); #351092=PROPERTY_DEFINITION_REPRESENTATION(#351322,#351184); #351093=PROPERTY_DEFINITION_REPRESENTATION(#351323,#351185); #351094=PROPERTY_DEFINITION_REPRESENTATION(#351324,#351186); #351095=PROPERTY_DEFINITION_REPRESENTATION(#351325,#351187); #351096=PROPERTY_DEFINITION_REPRESENTATION(#351326,#351188); #351097=PROPERTY_DEFINITION_REPRESENTATION(#351327,#351189); #351098=PROPERTY_DEFINITION_REPRESENTATION(#351328,#351190); #351099=PROPERTY_DEFINITION_REPRESENTATION(#351329,#351191); #351100=PROPERTY_DEFINITION_REPRESENTATION(#351330,#351192); #351101=PROPERTY_DEFINITION_REPRESENTATION(#351331,#351193); #351102=PROPERTY_DEFINITION_REPRESENTATION(#351332,#351194); #351103=PROPERTY_DEFINITION_REPRESENTATION(#351333,#351195); #351104=PROPERTY_DEFINITION_REPRESENTATION(#351334,#351196); #351105=PROPERTY_DEFINITION_REPRESENTATION(#351335,#351197); #351106=PROPERTY_DEFINITION_REPRESENTATION(#351336,#351198); #351107=PROPERTY_DEFINITION_REPRESENTATION(#351337,#351199); #351108=PROPERTY_DEFINITION_REPRESENTATION(#351338,#351200); #351109=PROPERTY_DEFINITION_REPRESENTATION(#351339,#351201); #351110=PROPERTY_DEFINITION_REPRESENTATION(#351340,#351202); #351111=PROPERTY_DEFINITION_REPRESENTATION(#351341,#351203); #351112=PROPERTY_DEFINITION_REPRESENTATION(#351342,#351204); #351113=PROPERTY_DEFINITION_REPRESENTATION(#351343,#351205); #351114=PROPERTY_DEFINITION_REPRESENTATION(#351344,#351206); #351115=PROPERTY_DEFINITION_REPRESENTATION(#351345,#351207); #351116=PROPERTY_DEFINITION_REPRESENTATION(#351346,#351208); #351117=PROPERTY_DEFINITION_REPRESENTATION(#351347,#351209); #351118=PROPERTY_DEFINITION_REPRESENTATION(#351348,#351210); #351119=PROPERTY_DEFINITION_REPRESENTATION(#351349,#351211); #351120=PROPERTY_DEFINITION_REPRESENTATION(#351350,#351212); #351121=PROPERTY_DEFINITION_REPRESENTATION(#351351,#351213); #351122=PROPERTY_DEFINITION_REPRESENTATION(#351352,#351214); #351123=PROPERTY_DEFINITION_REPRESENTATION(#351353,#351215); #351124=PROPERTY_DEFINITION_REPRESENTATION(#351354,#351216); #351125=PROPERTY_DEFINITION_REPRESENTATION(#351355,#351217); #351126=PROPERTY_DEFINITION_REPRESENTATION(#351356,#351218); #351127=PROPERTY_DEFINITION_REPRESENTATION(#351357,#351219); #351128=PROPERTY_DEFINITION_REPRESENTATION(#351358,#351220); #351129=REPRESENTATION('material name',(#351221),#597555); #351130=REPRESENTATION('density',(#350991),#597555); #351131=REPRESENTATION('material name',(#351222),#597556); #351132=REPRESENTATION('density',(#350992),#597556); #351133=REPRESENTATION('material name',(#351223),#597559); #351134=REPRESENTATION('density',(#350993),#597559); #351135=REPRESENTATION('material name',(#351224),#597560); #351136=REPRESENTATION('density',(#350994),#597560); #351137=REPRESENTATION('material name',(#351225),#597561); #351138=REPRESENTATION('density',(#350995),#597561); #351139=REPRESENTATION('material name',(#351226),#597562); #351140=REPRESENTATION('density',(#350996),#597562); #351141=REPRESENTATION('material name',(#351227),#597563); #351142=REPRESENTATION('density',(#350997),#597563); #351143=REPRESENTATION('material name',(#351228),#597566); #351144=REPRESENTATION('density',(#350998),#597566); #351145=REPRESENTATION('material name',(#351229),#597570); #351146=REPRESENTATION('density',(#350999),#597570); #351147=REPRESENTATION('material name',(#351230),#597596); #351148=REPRESENTATION('density',(#351000),#597596); #351149=REPRESENTATION('material name',(#351231),#597597); #351150=REPRESENTATION('density',(#351001),#597597); #351151=REPRESENTATION('material name',(#351232),#597598); #351152=REPRESENTATION('density',(#351002),#597598); #351153=REPRESENTATION('material name',(#351233),#597599); #351154=REPRESENTATION('density',(#351003),#597599); #351155=REPRESENTATION('material name',(#351234),#597600); #351156=REPRESENTATION('density',(#351004),#597600); #351157=REPRESENTATION('material name',(#351235),#597601); #351158=REPRESENTATION('density',(#351005),#597601); #351159=REPRESENTATION('material name',(#351236),#597602); #351160=REPRESENTATION('density',(#351006),#597602); #351161=REPRESENTATION('material name',(#351237),#597603); #351162=REPRESENTATION('density',(#351007),#597603); #351163=REPRESENTATION('material name',(#351238),#597604); #351164=REPRESENTATION('density',(#351008),#597604); #351165=REPRESENTATION('material name',(#351239),#597605); #351166=REPRESENTATION('density',(#351009),#597605); #351167=REPRESENTATION('material name',(#351240),#597606); #351168=REPRESENTATION('density',(#351010),#597606); #351169=REPRESENTATION('material name',(#351241),#597607); #351170=REPRESENTATION('density',(#351011),#597607); #351171=REPRESENTATION('material name',(#351242),#597608); #351172=REPRESENTATION('density',(#351012),#597608); #351173=REPRESENTATION('material name',(#351243),#597609); #351174=REPRESENTATION('density',(#351013),#597609); #351175=REPRESENTATION('material name',(#351244),#597610); #351176=REPRESENTATION('density',(#351014),#597610); #351177=REPRESENTATION('material name',(#351245),#597611); #351178=REPRESENTATION('density',(#351015),#597611); #351179=REPRESENTATION('material name',(#351246),#597612); #351180=REPRESENTATION('density',(#351016),#597612); #351181=REPRESENTATION('material name',(#351247),#597613); #351182=REPRESENTATION('density',(#351017),#597613); #351183=REPRESENTATION('material name',(#351248),#597616); #351184=REPRESENTATION('density',(#351018),#597616); #351185=REPRESENTATION('material name',(#351249),#597618); #351186=REPRESENTATION('density',(#351019),#597618); #351187=REPRESENTATION('material name',(#351250),#597620); #351188=REPRESENTATION('density',(#351020),#597620); #351189=REPRESENTATION('material name',(#351251),#597622); #351190=REPRESENTATION('density',(#351021),#597622); #351191=REPRESENTATION('material name',(#351252),#597624); #351192=REPRESENTATION('density',(#351022),#597624); #351193=REPRESENTATION('material name',(#351253),#597626); #351194=REPRESENTATION('density',(#351023),#597626); #351195=REPRESENTATION('material name',(#351254),#597628); #351196=REPRESENTATION('density',(#351024),#597628); #351197=REPRESENTATION('material name',(#351255),#597630); #351198=REPRESENTATION('density',(#351025),#597630); #351199=REPRESENTATION('material name',(#351256),#597632); #351200=REPRESENTATION('density',(#351026),#597632); #351201=REPRESENTATION('material name',(#351257),#597634); #351202=REPRESENTATION('density',(#351027),#597634); #351203=REPRESENTATION('material name',(#351258),#597636); #351204=REPRESENTATION('density',(#351028),#597636); #351205=REPRESENTATION('material name',(#351259),#597638); #351206=REPRESENTATION('density',(#351029),#597638); #351207=REPRESENTATION('material name',(#351260),#597640); #351208=REPRESENTATION('density',(#351030),#597640); #351209=REPRESENTATION('material name',(#351261),#597642); #351210=REPRESENTATION('density',(#351031),#597642); #351211=REPRESENTATION('material name',(#351262),#597644); #351212=REPRESENTATION('density',(#351032),#597644); #351213=REPRESENTATION('material name',(#351263),#597646); #351214=REPRESENTATION('density',(#351033),#597646); #351215=REPRESENTATION('material name',(#351264),#597647); #351216=REPRESENTATION('density',(#351034),#597647); #351217=REPRESENTATION('material name',(#351265),#597648); #351218=REPRESENTATION('density',(#351035),#597648); #351219=REPRESENTATION('material name',(#351266),#597650); #351220=REPRESENTATION('density',(#351036),#597650); #351221=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351222=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351223=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351224=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351225=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351226=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351227=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351228=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351229=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351230=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351231=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351232=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351233=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351234=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351235=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351236=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351237=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351238=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351239=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351240=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351241=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351242=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351243=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351244=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351245=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351246=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351247=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351248=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351249=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351250=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351251=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351252=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351253=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351254=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351255=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351256=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351257=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351258=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351259=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351260=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351261=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351262=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #351263=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351264=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351265=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351266=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #351267=PROPERTY_DEFINITION('material property','material name',#598101); #351268=PROPERTY_DEFINITION('material property','density of part',#598101); #351269=PROPERTY_DEFINITION('material property','material name',#598102); #351270=PROPERTY_DEFINITION('material property','density of part',#598102); #351271=PROPERTY_DEFINITION('material property','material name',#598105); #351272=PROPERTY_DEFINITION('material property','density of part',#598105); #351273=PROPERTY_DEFINITION('material property','material name',#598106); #351274=PROPERTY_DEFINITION('material property','density of part',#598106); #351275=PROPERTY_DEFINITION('material property','material name',#598107); #351276=PROPERTY_DEFINITION('material property','density of part',#598107); #351277=PROPERTY_DEFINITION('material property','material name',#598108); #351278=PROPERTY_DEFINITION('material property','density of part',#598108); #351279=PROPERTY_DEFINITION('material property','material name',#598109); #351280=PROPERTY_DEFINITION('material property','density of part',#598109); #351281=PROPERTY_DEFINITION('material property','material name',#598112); #351282=PROPERTY_DEFINITION('material property','density of part',#598112); #351283=PROPERTY_DEFINITION('material property','material name',#598116); #351284=PROPERTY_DEFINITION('material property','density of part',#598116); #351285=PROPERTY_DEFINITION('material property','material name',#598142); #351286=PROPERTY_DEFINITION('material property','density of part',#598142); #351287=PROPERTY_DEFINITION('material property','material name',#598143); #351288=PROPERTY_DEFINITION('material property','density of part',#598143); #351289=PROPERTY_DEFINITION('material property','material name',#598144); #351290=PROPERTY_DEFINITION('material property','density of part',#598144); #351291=PROPERTY_DEFINITION('material property','material name',#598145); #351292=PROPERTY_DEFINITION('material property','density of part',#598145); #351293=PROPERTY_DEFINITION('material property','material name',#598146); #351294=PROPERTY_DEFINITION('material property','density of part',#598146); #351295=PROPERTY_DEFINITION('material property','material name',#598147); #351296=PROPERTY_DEFINITION('material property','density of part',#598147); #351297=PROPERTY_DEFINITION('material property','material name',#598148); #351298=PROPERTY_DEFINITION('material property','density of part',#598148); #351299=PROPERTY_DEFINITION('material property','material name',#598149); #351300=PROPERTY_DEFINITION('material property','density of part',#598149); #351301=PROPERTY_DEFINITION('material property','material name',#598150); #351302=PROPERTY_DEFINITION('material property','density of part',#598150); #351303=PROPERTY_DEFINITION('material property','material name',#598151); #351304=PROPERTY_DEFINITION('material property','density of part',#598151); #351305=PROPERTY_DEFINITION('material property','material name',#598152); #351306=PROPERTY_DEFINITION('material property','density of part',#598152); #351307=PROPERTY_DEFINITION('material property','material name',#598153); #351308=PROPERTY_DEFINITION('material property','density of part',#598153); #351309=PROPERTY_DEFINITION('material property','material name',#598154); #351310=PROPERTY_DEFINITION('material property','density of part',#598154); #351311=PROPERTY_DEFINITION('material property','material name',#598155); #351312=PROPERTY_DEFINITION('material property','density of part',#598155); #351313=PROPERTY_DEFINITION('material property','material name',#598156); #351314=PROPERTY_DEFINITION('material property','density of part',#598156); #351315=PROPERTY_DEFINITION('material property','material name',#598157); #351316=PROPERTY_DEFINITION('material property','density of part',#598157); #351317=PROPERTY_DEFINITION('material property','material name',#598158); #351318=PROPERTY_DEFINITION('material property','density of part',#598158); #351319=PROPERTY_DEFINITION('material property','material name',#598159); #351320=PROPERTY_DEFINITION('material property','density of part',#598159); #351321=PROPERTY_DEFINITION('material property','material name',#598162); #351322=PROPERTY_DEFINITION('material property','density of part',#598162); #351323=PROPERTY_DEFINITION('material property','material name',#598164); #351324=PROPERTY_DEFINITION('material property','density of part',#598164); #351325=PROPERTY_DEFINITION('material property','material name',#598166); #351326=PROPERTY_DEFINITION('material property','density of part',#598166); #351327=PROPERTY_DEFINITION('material property','material name',#598168); #351328=PROPERTY_DEFINITION('material property','density of part',#598168); #351329=PROPERTY_DEFINITION('material property','material name',#598170); #351330=PROPERTY_DEFINITION('material property','density of part',#598170); #351331=PROPERTY_DEFINITION('material property','material name',#598172); #351332=PROPERTY_DEFINITION('material property','density of part',#598172); #351333=PROPERTY_DEFINITION('material property','material name',#598174); #351334=PROPERTY_DEFINITION('material property','density of part',#598174); #351335=PROPERTY_DEFINITION('material property','material name',#598176); #351336=PROPERTY_DEFINITION('material property','density of part',#598176); #351337=PROPERTY_DEFINITION('material property','material name',#598178); #351338=PROPERTY_DEFINITION('material property','density of part',#598178); #351339=PROPERTY_DEFINITION('material property','material name',#598180); #351340=PROPERTY_DEFINITION('material property','density of part',#598180); #351341=PROPERTY_DEFINITION('material property','material name',#598182); #351342=PROPERTY_DEFINITION('material property','density of part',#598182); #351343=PROPERTY_DEFINITION('material property','material name',#598184); #351344=PROPERTY_DEFINITION('material property','density of part',#598184); #351345=PROPERTY_DEFINITION('material property','material name',#598186); #351346=PROPERTY_DEFINITION('material property','density of part',#598186); #351347=PROPERTY_DEFINITION('material property','material name',#598188); #351348=PROPERTY_DEFINITION('material property','density of part',#598188); #351349=PROPERTY_DEFINITION('material property','material name',#598190); #351350=PROPERTY_DEFINITION('material property','density of part',#598190); #351351=PROPERTY_DEFINITION('material property','material name',#598192); #351352=PROPERTY_DEFINITION('material property','density of part',#598192); #351353=PROPERTY_DEFINITION('material property','material name',#598193); #351354=PROPERTY_DEFINITION('material property','density of part',#598193); #351355=PROPERTY_DEFINITION('material property','material name',#598194); #351356=PROPERTY_DEFINITION('material property','density of part',#598194); #351357=PROPERTY_DEFINITION('material property','material name',#598196); #351358=PROPERTY_DEFINITION('material property','density of part',#598196); #351359=AXIS2_PLACEMENT_3D('',#471263,#378322,#378323); #351360=AXIS2_PLACEMENT_3D('',#471264,#378324,#378325); #351361=AXIS2_PLACEMENT_3D('',#471265,#378326,#378327); #351362=AXIS2_PLACEMENT_3D('',#471266,#378328,#378329); #351363=AXIS2_PLACEMENT_3D('',#471271,#378331,#378332); #351364=AXIS2_PLACEMENT_3D('',#471299,#378333,#378334); #351365=AXIS2_PLACEMENT_3D('',#471304,#378336,#378337); #351366=AXIS2_PLACEMENT_3D('',#471307,#378339,#378340); #351367=AXIS2_PLACEMENT_3D('',#471308,#378341,#378342); #351368=AXIS2_PLACEMENT_3D('',#471322,#378349,#378350); #351369=AXIS2_PLACEMENT_3D('',#471332,#378356,#378357); #351370=AXIS2_PLACEMENT_3D('',#471334,#378358,#378359); #351371=AXIS2_PLACEMENT_3D('',#471336,#378361,#378362); #351372=AXIS2_PLACEMENT_3D('',#471360,#378373,#378374); #351373=AXIS2_PLACEMENT_3D('',#471366,#378378,#378379); #351374=AXIS2_PLACEMENT_3D('',#471392,#378391,#378392); #351375=AXIS2_PLACEMENT_3D('',#471397,#378394,#378395); #351376=AXIS2_PLACEMENT_3D('',#471401,#378397,#378398); #351377=AXIS2_PLACEMENT_3D('',#471405,#378400,#378401); #351378=AXIS2_PLACEMENT_3D('',#471409,#378403,#378404); #351379=AXIS2_PLACEMENT_3D('',#471413,#378406,#378407); #351380=AXIS2_PLACEMENT_3D('',#471417,#378409,#378410); #351381=AXIS2_PLACEMENT_3D('',#471423,#378413,#378414); #351382=AXIS2_PLACEMENT_3D('',#471427,#378416,#378417); #351383=AXIS2_PLACEMENT_3D('',#471431,#378419,#378420); #351384=AXIS2_PLACEMENT_3D('',#471433,#378421,#378422); #351385=AXIS2_PLACEMENT_3D('',#471435,#378423,#378424); #351386=AXIS2_PLACEMENT_3D('',#471439,#378426,#378427); #351387=AXIS2_PLACEMENT_3D('',#471443,#378429,#378430); #351388=AXIS2_PLACEMENT_3D('',#471451,#378434,#378435); #351389=AXIS2_PLACEMENT_3D('',#471455,#378437,#378438); #351390=AXIS2_PLACEMENT_3D('',#471459,#378440,#378441); #351391=AXIS2_PLACEMENT_3D('',#471461,#378442,#378443); #351392=AXIS2_PLACEMENT_3D('',#471463,#378444,#378445); #351393=AXIS2_PLACEMENT_3D('',#471466,#378447,#378448); #351394=AXIS2_PLACEMENT_3D('',#471467,#378449,#378450); #351395=AXIS2_PLACEMENT_3D('',#471469,#378451,#378452); #351396=AXIS2_PLACEMENT_3D('',#471473,#378454,#378455); #351397=AXIS2_PLACEMENT_3D('',#471477,#378457,#378458); #351398=AXIS2_PLACEMENT_3D('',#471479,#378459,#378460); #351399=AXIS2_PLACEMENT_3D('',#471481,#378461,#378462); #351400=AXIS2_PLACEMENT_3D('',#471485,#378464,#378465); #351401=AXIS2_PLACEMENT_3D('',#471489,#378467,#378468); #351402=AXIS2_PLACEMENT_3D('',#471497,#378472,#378473); #351403=AXIS2_PLACEMENT_3D('',#471501,#378475,#378476); #351404=AXIS2_PLACEMENT_3D('',#471505,#378478,#378479); #351405=AXIS2_PLACEMENT_3D('',#471507,#378480,#378481); #351406=AXIS2_PLACEMENT_3D('',#471509,#378482,#378483); #351407=AXIS2_PLACEMENT_3D('',#471513,#378485,#378486); #351408=AXIS2_PLACEMENT_3D('',#471517,#378488,#378489); #351409=AXIS2_PLACEMENT_3D('',#471527,#378494,#378495); #351410=AXIS2_PLACEMENT_3D('',#471533,#378498,#378499); #351411=AXIS2_PLACEMENT_3D('',#471534,#378500,#378501); #351412=AXIS2_PLACEMENT_3D('',#471536,#378502,#378503); #351413=AXIS2_PLACEMENT_3D('',#471538,#378504,#378505); #351414=AXIS2_PLACEMENT_3D('',#471540,#378506,#378507); #351415=AXIS2_PLACEMENT_3D('',#471542,#378508,#378509); #351416=AXIS2_PLACEMENT_3D('',#471543,#378510,#378511); #351417=AXIS2_PLACEMENT_3D('',#471549,#378515,#378516); #351418=AXIS2_PLACEMENT_3D('',#471553,#378518,#378519); #351419=AXIS2_PLACEMENT_3D('',#471555,#378520,#378521); #351420=AXIS2_PLACEMENT_3D('',#471557,#378522,#378523); #351421=AXIS2_PLACEMENT_3D('',#471563,#378526,#378527); #351422=AXIS2_PLACEMENT_3D('',#471569,#378530,#378531); #351423=AXIS2_PLACEMENT_3D('',#471573,#378533,#378534); #351424=AXIS2_PLACEMENT_3D('',#471577,#378536,#378537); #351425=AXIS2_PLACEMENT_3D('',#471581,#378539,#378540); #351426=AXIS2_PLACEMENT_3D('',#471584,#378542,#378543); #351427=AXIS2_PLACEMENT_3D('',#471587,#378544,#378545); #351428=AXIS2_PLACEMENT_3D('',#471589,#378546,#378547); #351429=AXIS2_PLACEMENT_3D('',#471591,#378548,#378549); #351430=AXIS2_PLACEMENT_3D('',#471595,#378551,#378552); #351431=AXIS2_PLACEMENT_3D('',#471597,#378553,#378554); #351432=AXIS2_PLACEMENT_3D('',#471599,#378555,#378556); #351433=AXIS2_PLACEMENT_3D('',#471603,#378558,#378559); #351434=AXIS2_PLACEMENT_3D('',#471605,#378560,#378561); #351435=AXIS2_PLACEMENT_3D('',#471607,#378562,#378563); #351436=AXIS2_PLACEMENT_3D('',#471611,#378565,#378566); #351437=AXIS2_PLACEMENT_3D('',#471613,#378567,#378568); #351438=AXIS2_PLACEMENT_3D('',#471615,#378569,#378570); #351439=AXIS2_PLACEMENT_3D('',#471618,#378572,#378573); #351440=AXIS2_PLACEMENT_3D('',#471620,#378574,#378575); #351441=AXIS2_PLACEMENT_3D('',#471622,#378576,#378577); #351442=AXIS2_PLACEMENT_3D('',#471624,#378578,#378579); #351443=AXIS2_PLACEMENT_3D('',#471625,#378580,#378581); #351444=AXIS2_PLACEMENT_3D('',#471628,#378584,#378585); #351445=AXIS2_PLACEMENT_3D('',#471630,#378587,#378588); #351446=AXIS2_PLACEMENT_3D('',#471632,#378590,#378591); #351447=AXIS2_PLACEMENT_3D('',#471634,#378593,#378594); #351448=AXIS2_PLACEMENT_3D('',#471636,#378596,#378597); #351449=AXIS2_PLACEMENT_3D('',#471638,#378599,#378600); #351450=AXIS2_PLACEMENT_3D('',#471640,#378602,#378603); #351451=AXIS2_PLACEMENT_3D('',#471642,#378605,#378606); #351452=AXIS2_PLACEMENT_3D('',#471644,#378608,#378609); #351453=AXIS2_PLACEMENT_3D('',#471646,#378611,#378612); #351454=AXIS2_PLACEMENT_3D('',#471648,#378614,#378615); #351455=AXIS2_PLACEMENT_3D('',#471650,#378617,#378618); #351456=AXIS2_PLACEMENT_3D('',#471652,#378620,#378621); #351457=AXIS2_PLACEMENT_3D('',#471654,#378623,#378624); #351458=AXIS2_PLACEMENT_3D('',#471685,#378641,#378642); #351459=AXIS2_PLACEMENT_3D('',#471689,#378644,#378645); #351460=AXIS2_PLACEMENT_3D('',#471690,#378646,#378647); #351461=AXIS2_PLACEMENT_3D('',#471691,#378648,#378649); #351462=AXIS2_PLACEMENT_3D('',#471712,#378661,#378662); #351463=AXIS2_PLACEMENT_3D('',#471713,#378663,#378664); #351464=AXIS2_PLACEMENT_3D('',#471714,#378665,#378666); #351465=AXIS2_PLACEMENT_3D('',#471715,#378667,#378668); #351466=AXIS2_PLACEMENT_3D('',#471716,#378669,#378670); #351467=AXIS2_PLACEMENT_3D('',#471717,#378671,#378672); #351468=AXIS2_PLACEMENT_3D('',#471718,#378673,#378674); #351469=AXIS2_PLACEMENT_3D('',#471719,#378675,#378676); #351470=AXIS2_PLACEMENT_3D('',#471720,#378677,#378678); #351471=AXIS2_PLACEMENT_3D('',#471721,#378679,#378680); #351472=AXIS2_PLACEMENT_3D('',#471722,#378681,#378682); #351473=AXIS2_PLACEMENT_3D('',#471723,#378683,#378684); #351474=AXIS2_PLACEMENT_3D('',#471724,#378685,#378686); #351475=AXIS2_PLACEMENT_3D('',#471725,#378687,#378688); #351476=AXIS2_PLACEMENT_3D('',#471726,#378689,#378690); #351477=AXIS2_PLACEMENT_3D('',#471727,#378691,#378692); #351478=AXIS2_PLACEMENT_3D('',#471728,#378693,#378694); #351479=AXIS2_PLACEMENT_3D('',#471733,#378696,#378697); #351480=AXIS2_PLACEMENT_3D('',#471737,#378699,#378700); #351481=AXIS2_PLACEMENT_3D('',#471738,#378701,#378702); #351482=AXIS2_PLACEMENT_3D('',#471744,#378705,#378706); #351483=AXIS2_PLACEMENT_3D('',#471748,#378708,#378709); #351484=AXIS2_PLACEMENT_3D('',#471752,#378711,#378712); #351485=AXIS2_PLACEMENT_3D('',#471756,#378714,#378715); #351486=AXIS2_PLACEMENT_3D('',#471760,#378717,#378718); #351487=AXIS2_PLACEMENT_3D('',#471768,#378722,#378723); #351488=AXIS2_PLACEMENT_3D('',#471772,#378725,#378726); #351489=AXIS2_PLACEMENT_3D('',#471776,#378728,#378729); #351490=AXIS2_PLACEMENT_3D('',#471780,#378731,#378732); #351491=AXIS2_PLACEMENT_3D('',#471783,#378734,#378735); #351492=AXIS2_PLACEMENT_3D('',#471784,#378736,#378737); #351493=AXIS2_PLACEMENT_3D('',#471786,#378738,#378739); #351494=AXIS2_PLACEMENT_3D('',#471790,#378741,#378742); #351495=AXIS2_PLACEMENT_3D('',#471794,#378744,#378745); #351496=AXIS2_PLACEMENT_3D('',#471798,#378747,#378748); #351497=AXIS2_PLACEMENT_3D('',#471806,#378752,#378753); #351498=AXIS2_PLACEMENT_3D('',#471810,#378755,#378756); #351499=AXIS2_PLACEMENT_3D('',#471814,#378758,#378759); #351500=AXIS2_PLACEMENT_3D('',#471817,#378761,#378762); #351501=AXIS2_PLACEMENT_3D('',#471821,#378764,#378765); #351502=AXIS2_PLACEMENT_3D('',#471827,#378768,#378769); #351503=AXIS2_PLACEMENT_3D('',#471831,#378771,#378772); #351504=AXIS2_PLACEMENT_3D('',#471835,#378774,#378775); #351505=AXIS2_PLACEMENT_3D('',#471839,#378777,#378778); #351506=AXIS2_PLACEMENT_3D('',#471842,#378780,#378781); #351507=AXIS2_PLACEMENT_3D('',#471844,#378782,#378783); #351508=AXIS2_PLACEMENT_3D('',#471846,#378784,#378785); #351509=AXIS2_PLACEMENT_3D('',#471848,#378786,#378787); #351510=AXIS2_PLACEMENT_3D('',#471850,#378788,#378789); #351511=AXIS2_PLACEMENT_3D('',#471851,#378790,#378791); #351512=AXIS2_PLACEMENT_3D('',#471857,#378795,#378796); #351513=AXIS2_PLACEMENT_3D('',#471861,#378798,#378799); #351514=AXIS2_PLACEMENT_3D('',#471863,#378800,#378801); #351515=AXIS2_PLACEMENT_3D('',#471867,#378803,#378804); #351516=AXIS2_PLACEMENT_3D('',#471871,#378806,#378807); #351517=AXIS2_PLACEMENT_3D('',#471875,#378809,#378810); #351518=AXIS2_PLACEMENT_3D('',#471879,#378812,#378813); #351519=AXIS2_PLACEMENT_3D('',#471885,#378816,#378817); #351520=AXIS2_PLACEMENT_3D('',#471888,#378819,#378820); #351521=AXIS2_PLACEMENT_3D('',#471889,#378821,#378822); #351522=AXIS2_PLACEMENT_3D('',#471892,#378823,#378824); #351523=AXIS2_PLACEMENT_3D('',#471894,#378825,#378826); #351524=AXIS2_PLACEMENT_3D('',#471896,#378827,#378828); #351525=AXIS2_PLACEMENT_3D('',#471900,#378830,#378831); #351526=AXIS2_PLACEMENT_3D('',#471902,#378832,#378833); #351527=AXIS2_PLACEMENT_3D('',#471904,#378834,#378835); #351528=AXIS2_PLACEMENT_3D('',#471908,#378837,#378838); #351529=AXIS2_PLACEMENT_3D('',#471910,#378839,#378840); #351530=AXIS2_PLACEMENT_3D('',#471912,#378841,#378842); #351531=AXIS2_PLACEMENT_3D('',#471916,#378844,#378845); #351532=AXIS2_PLACEMENT_3D('',#471918,#378846,#378847); #351533=AXIS2_PLACEMENT_3D('',#471920,#378848,#378849); #351534=AXIS2_PLACEMENT_3D('',#471923,#378851,#378852); #351535=AXIS2_PLACEMENT_3D('',#471925,#378853,#378854); #351536=AXIS2_PLACEMENT_3D('',#471927,#378855,#378856); #351537=AXIS2_PLACEMENT_3D('',#471929,#378857,#378858); #351538=AXIS2_PLACEMENT_3D('',#471930,#378859,#378860); #351539=AXIS2_PLACEMENT_3D('',#471933,#378863,#378864); #351540=AXIS2_PLACEMENT_3D('',#471935,#378866,#378867); #351541=AXIS2_PLACEMENT_3D('',#471936,#378868,#378869); #351542=AXIS2_PLACEMENT_3D('',#471937,#378870,#378871); #351543=AXIS2_PLACEMENT_3D('',#471939,#378873,#378874); #351544=AXIS2_PLACEMENT_3D('',#471941,#378876,#378877); #351545=AXIS2_PLACEMENT_3D('',#471943,#378879,#378880); #351546=AXIS2_PLACEMENT_3D('',#471945,#378882,#378883); #351547=AXIS2_PLACEMENT_3D('',#471947,#378885,#378886); #351548=AXIS2_PLACEMENT_3D('',#471949,#378888,#378889); #351549=AXIS2_PLACEMENT_3D('',#471951,#378891,#378892); #351550=AXIS2_PLACEMENT_3D('',#471953,#378894,#378895); #351551=AXIS2_PLACEMENT_3D('',#471955,#378897,#378898); #351552=AXIS2_PLACEMENT_3D('',#471957,#378900,#378901); #351553=AXIS2_PLACEMENT_3D('',#471959,#378903,#378904); #351554=AXIS2_PLACEMENT_3D('',#471961,#378906,#378907); #351555=AXIS2_PLACEMENT_3D('',#471962,#378908,#378909); #351556=AXIS2_PLACEMENT_3D('',#471986,#378910,#378911); #351557=AXIS2_PLACEMENT_3D('',#471990,#378912,#378913); #351558=AXIS2_PLACEMENT_3D('',#471991,#378914,#378915); #351559=AXIS2_PLACEMENT_3D('',#471995,#378916,#378917); #351560=AXIS2_PLACEMENT_3D('',#472015,#378918,#378919); #351561=AXIS2_PLACEMENT_3D('',#472019,#378920,#378921); #351562=AXIS2_PLACEMENT_3D('',#472020,#378922,#378923); #351563=AXIS2_PLACEMENT_3D('',#472024,#378924,#378925); #351564=AXIS2_PLACEMENT_3D('',#472044,#378926,#378927); #351565=AXIS2_PLACEMENT_3D('',#472048,#378928,#378929); #351566=AXIS2_PLACEMENT_3D('',#472049,#378930,#378931); #351567=AXIS2_PLACEMENT_3D('',#472053,#378932,#378933); #351568=AXIS2_PLACEMENT_3D('',#472072,#378934,#378935); #351569=AXIS2_PLACEMENT_3D('',#472076,#378936,#378937); #351570=AXIS2_PLACEMENT_3D('',#472077,#378938,#378939); #351571=AXIS2_PLACEMENT_3D('',#472078,#378940,#378941); #351572=AXIS2_PLACEMENT_3D('',#472081,#378942,#378943); #351573=AXIS2_PLACEMENT_3D('',#472204,#378944,#378945); #351574=AXIS2_PLACEMENT_3D('',#472321,#378946,#378947); #351575=AXIS2_PLACEMENT_3D('',#472323,#378948,#378949); #351576=AXIS2_PLACEMENT_3D('',#472391,#378950,#378951); #351577=AXIS2_PLACEMENT_3D('',#472393,#378953,#378954); #351578=AXIS2_PLACEMENT_3D('',#472397,#378956,#378957); #351579=AXIS2_PLACEMENT_3D('',#472400,#378959,#378960); #351580=AXIS2_PLACEMENT_3D('',#472468,#378961,#378962); #351581=AXIS2_PLACEMENT_3D('',#472470,#378963,#378964); #351582=AXIS2_PLACEMENT_3D('',#472537,#378965,#378966); #351583=AXIS2_PLACEMENT_3D('',#473538,#378967,#378968); #351584=AXIS2_PLACEMENT_3D('',#473541,#378969,#378970); #351585=AXIS2_PLACEMENT_3D('',#473549,#378971,#378972); #351586=AXIS2_PLACEMENT_3D('',#473551,#378973,#378974); #351587=AXIS2_PLACEMENT_3D('',#473552,#378975,#378976); #351588=AXIS2_PLACEMENT_3D('',#473571,#378977,#378978); #351589=AXIS2_PLACEMENT_3D('',#473730,#378979,#378980); #351590=AXIS2_PLACEMENT_3D('',#473743,#378982,#378983); #351591=AXIS2_PLACEMENT_3D('',#473851,#378984,#378985); #351592=AXIS2_PLACEMENT_3D('',#473988,#378986,#378987); #351593=AXIS2_PLACEMENT_3D('',#474078,#378988,#378989); #351594=AXIS2_PLACEMENT_3D('',#474356,#378990,#378991); #351595=AXIS2_PLACEMENT_3D('',#474530,#378992,#378993); #351596=AXIS2_PLACEMENT_3D('',#474637,#378994,#378995); #351597=AXIS2_PLACEMENT_3D('',#474811,#378996,#378997); #351598=AXIS2_PLACEMENT_3D('',#474918,#378998,#378999); #351599=AXIS2_PLACEMENT_3D('',#474919,#379000,#379001); #351600=AXIS2_PLACEMENT_3D('',#474930,#379002,#379003); #351601=AXIS2_PLACEMENT_3D('',#474941,#379004,#379005); #351602=AXIS2_PLACEMENT_3D('',#474952,#379006,#379007); #351603=AXIS2_PLACEMENT_3D('',#475191,#379008,#379009); #351604=AXIS2_PLACEMENT_3D('',#475290,#379010,#379011); #351605=AXIS2_PLACEMENT_3D('',#475405,#379012,#379013); #351606=AXIS2_PLACEMENT_3D('',#475442,#379014,#379015); #351607=AXIS2_PLACEMENT_3D('',#475543,#379016,#379017); #351608=AXIS2_PLACEMENT_3D('',#475744,#379018,#379019); #351609=AXIS2_PLACEMENT_3D('',#475855,#379020,#379021); #351610=AXIS2_PLACEMENT_3D('',#475875,#379022,#379023); #351611=AXIS2_PLACEMENT_3D('',#476105,#379024,#379025); #351612=AXIS2_PLACEMENT_3D('',#476239,#379026,#379027); #351613=AXIS2_PLACEMENT_3D('',#476397,#379028,#379029); #351614=AXIS2_PLACEMENT_3D('',#476443,#379030,#379031); #351615=AXIS2_PLACEMENT_3D('',#476666,#379032,#379033); #351616=AXIS2_PLACEMENT_3D('',#476667,#379034,#379035); #351617=AXIS2_PLACEMENT_3D('',#476735,#379036,#379037); #351618=AXIS2_PLACEMENT_3D('',#476948,#379038,#379039); #351619=AXIS2_PLACEMENT_3D('',#477427,#379040,#379041); #351620=AXIS2_PLACEMENT_3D('',#477457,#379042,#379043); #351621=AXIS2_PLACEMENT_3D('',#477461,#379044,#379045); #351622=AXIS2_PLACEMENT_3D('',#477462,#379046,#379047); #351623=AXIS2_PLACEMENT_3D('',#477484,#379048,#379049); #351624=AXIS2_PLACEMENT_3D('',#477740,#379050,#379051); #351625=AXIS2_PLACEMENT_3D('',#477786,#379052,#379053); #351626=AXIS2_PLACEMENT_3D('',#477827,#379054,#379055); #351627=AXIS2_PLACEMENT_3D('',#478042,#379056,#379057); #351628=AXIS2_PLACEMENT_3D('',#478141,#379058,#379059); #351629=AXIS2_PLACEMENT_3D('',#478256,#379060,#379061); #351630=AXIS2_PLACEMENT_3D('',#478293,#379062,#379063); #351631=AXIS2_PLACEMENT_3D('',#478394,#379064,#379065); #351632=AXIS2_PLACEMENT_3D('',#478595,#379066,#379067); #351633=AXIS2_PLACEMENT_3D('',#479408,#379068,#379069); #351634=AXIS2_PLACEMENT_3D('',#479563,#379070,#379071); #351635=AXIS2_PLACEMENT_3D('',#479608,#379072,#379073); #351636=AXIS2_PLACEMENT_3D('',#479752,#379074,#379075); #351637=AXIS2_PLACEMENT_3D('',#479819,#379076,#379077); #351638=AXIS2_PLACEMENT_3D('',#480024,#379078,#379079); #351639=AXIS2_PLACEMENT_3D('',#480080,#379080,#379081); #351640=AXIS2_PLACEMENT_3D('',#480100,#379082,#379083); #351641=AXIS2_PLACEMENT_3D('',#480330,#379084,#379085); #351642=AXIS2_PLACEMENT_3D('',#480464,#379086,#379087); #351643=AXIS2_PLACEMENT_3D('',#480620,#379088,#379089); #351644=AXIS2_PLACEMENT_3D('',#480680,#379090,#379091); #351645=AXIS2_PLACEMENT_3D('',#480902,#379092,#379093); #351646=AXIS2_PLACEMENT_3D('',#480970,#379094,#379095); #351647=AXIS2_PLACEMENT_3D('',#481183,#379096,#379097); #351648=AXIS2_PLACEMENT_3D('',#481268,#379098,#379099); #351649=AXIS2_PLACEMENT_3D('',#481494,#379100,#379101); #351650=AXIS2_PLACEMENT_3D('',#481596,#379102,#379103); #351651=AXIS2_PLACEMENT_3D('',#481711,#379104,#379105); #351652=AXIS2_PLACEMENT_3D('',#481748,#379106,#379107); #351653=AXIS2_PLACEMENT_3D('',#481849,#379108,#379109); #351654=AXIS2_PLACEMENT_3D('',#482256,#379110,#379111); #351655=AXIS2_PLACEMENT_3D('',#482258,#379113,#379114); #351656=AXIS2_PLACEMENT_3D('',#482260,#379116,#379117); #351657=AXIS2_PLACEMENT_3D('',#482261,#379118,#379119); #351658=AXIS2_PLACEMENT_3D('',#482286,#379120,#379121); #351659=AXIS2_PLACEMENT_3D('',#482290,#379122,#379123); #351660=AXIS2_PLACEMENT_3D('',#482291,#379124,#379125); #351661=AXIS2_PLACEMENT_3D('',#482317,#379126,#379127); #351662=AXIS2_PLACEMENT_3D('',#482540,#379128,#379129); #351663=AXIS2_PLACEMENT_3D('',#482710,#379130,#379131); #351664=AXIS2_PLACEMENT_3D('',#482868,#379132,#379133); #351665=AXIS2_PLACEMENT_3D('',#483053,#379134,#379135); #351666=AXIS2_PLACEMENT_3D('',#483354,#379136,#379137); #351667=AXIS2_PLACEMENT_3D('',#483439,#379138,#379139); #351668=AXIS2_PLACEMENT_3D('',#483665,#379140,#379141); #351669=AXIS2_PLACEMENT_3D('',#483767,#379142,#379143); #351670=AXIS2_PLACEMENT_3D('',#483889,#379144,#379145); #351671=AXIS2_PLACEMENT_3D('',#483956,#379146,#379147); #351672=AXIS2_PLACEMENT_3D('',#484123,#379148,#379149); #351673=AXIS2_PLACEMENT_3D('',#484191,#379150,#379151); #351674=AXIS2_PLACEMENT_3D('',#484703,#379152,#379153); #351675=AXIS2_PLACEMENT_3D('',#484707,#379156,#379157); #351676=AXIS2_PLACEMENT_3D('',#484709,#379159,#379160); #351677=AXIS2_PLACEMENT_3D('',#484711,#379161,#379162); #351678=AXIS2_PLACEMENT_3D('',#484713,#379164,#379165); #351679=AXIS2_PLACEMENT_3D('',#484714,#379166,#379167); #351680=AXIS2_PLACEMENT_3D('',#484715,#379168,#379169); #351681=AXIS2_PLACEMENT_3D('',#484716,#379170,#379171); #351682=AXIS2_PLACEMENT_3D('',#484717,#379172,#379173); #351683=AXIS2_PLACEMENT_3D('',#484718,#379174,#379175); #351684=AXIS2_PLACEMENT_3D('',#484937,#379176,#379177); #351685=AXIS2_PLACEMENT_3D('',#484938,#379178,#379179); #351686=AXIS2_PLACEMENT_3D('',#484939,#379180,#379181); #351687=AXIS2_PLACEMENT_3D('',#485167,#379182,#379183); #351688=AXIS2_PLACEMENT_3D('',#485168,#379184,#379185); #351689=AXIS2_PLACEMENT_3D('',#485268,#379186,#379187); #351690=AXIS2_PLACEMENT_3D('',#485299,#379188,#379189); #351691=AXIS2_PLACEMENT_3D('',#485380,#379190,#379191); #351692=AXIS2_PLACEMENT_3D('',#485476,#379192,#379193); #351693=AXIS2_PLACEMENT_3D('',#485586,#379194,#379195); #351694=AXIS2_PLACEMENT_3D('',#485587,#379196,#379197); #351695=AXIS2_PLACEMENT_3D('',#485720,#379198,#379199); #351696=AXIS2_PLACEMENT_3D('',#485787,#379200,#379201); #351697=AXIS2_PLACEMENT_3D('',#485931,#379202,#379203); #351698=AXIS2_PLACEMENT_3D('',#485976,#379204,#379205); #351699=AXIS2_PLACEMENT_3D('',#486120,#379206,#379207); #351700=AXIS2_PLACEMENT_3D('',#486231,#379208,#379209); #351701=AXIS2_PLACEMENT_3D('',#486386,#379210,#379211); #351702=AXIS2_PLACEMENT_3D('',#486387,#379212,#379213); #351703=AXIS2_PLACEMENT_3D('',#486389,#379215,#379216); #351704=AXIS2_PLACEMENT_3D('',#486391,#379218,#379219); #351705=AXIS2_PLACEMENT_3D('',#486393,#379221,#379222); #351706=AXIS2_PLACEMENT_3D('',#486395,#379224,#379225); #351707=AXIS2_PLACEMENT_3D('',#486397,#379227,#379228); #351708=AXIS2_PLACEMENT_3D('',#486426,#379238,#379239); #351709=AXIS2_PLACEMENT_3D('',#486430,#379241,#379242); #351710=AXIS2_PLACEMENT_3D('',#486431,#379243,#379244); #351711=AXIS2_PLACEMENT_3D('',#486432,#379245,#379246); #351712=AXIS2_PLACEMENT_3D('',#486459,#379256,#379257); #351713=AXIS2_PLACEMENT_3D('',#486460,#379258,#379259); #351714=AXIS2_PLACEMENT_3D('',#486461,#379260,#379261); #351715=AXIS2_PLACEMENT_3D('',#486462,#379262,#379263); #351716=AXIS2_PLACEMENT_3D('',#486463,#379264,#379265); #351717=AXIS2_PLACEMENT_3D('',#486464,#379266,#379267); #351718=AXIS2_PLACEMENT_3D('',#486465,#379268,#379269); #351719=AXIS2_PLACEMENT_3D('',#486466,#379270,#379271); #351720=AXIS2_PLACEMENT_3D('',#486467,#379272,#379273); #351721=AXIS2_PLACEMENT_3D('',#486468,#379274,#379275); #351722=AXIS2_PLACEMENT_3D('',#486469,#379276,#379277); #351723=AXIS2_PLACEMENT_3D('',#486470,#379278,#379279); #351724=AXIS2_PLACEMENT_3D('',#486471,#379280,#379281); #351725=AXIS2_PLACEMENT_3D('',#486490,#379282,#379283); #351726=AXIS2_PLACEMENT_3D('',#486491,#379284,#379285); #351727=AXIS2_PLACEMENT_3D('',#486493,#379287,#379288); #351728=AXIS2_PLACEMENT_3D('',#486509,#379296,#379297); #351729=AXIS2_PLACEMENT_3D('',#486513,#379300,#379301); #351730=AXIS2_PLACEMENT_3D('',#486515,#379302,#379303); #351731=AXIS2_PLACEMENT_3D('',#486518,#379305,#379306); #351732=AXIS2_PLACEMENT_3D('',#486519,#379307,#379308); #351733=AXIS2_PLACEMENT_3D('',#486522,#379310,#379311); #351734=AXIS2_PLACEMENT_3D('',#486523,#379312,#379313); #351735=AXIS2_PLACEMENT_3D('',#486526,#379315,#379316); #351736=AXIS2_PLACEMENT_3D('',#486527,#379317,#379318); #351737=AXIS2_PLACEMENT_3D('',#486529,#379319,#379320); #351738=AXIS2_PLACEMENT_3D('',#486531,#379322,#379323); #351739=AXIS2_PLACEMENT_3D('',#486534,#379325,#379326); #351740=AXIS2_PLACEMENT_3D('',#486535,#379327,#379328); #351741=AXIS2_PLACEMENT_3D('',#486538,#379330,#379331); #351742=AXIS2_PLACEMENT_3D('',#486539,#379332,#379333); #351743=AXIS2_PLACEMENT_3D('',#486542,#379335,#379336); #351744=AXIS2_PLACEMENT_3D('',#486543,#379337,#379338); #351745=AXIS2_PLACEMENT_3D('',#486547,#379339,#379340); #351746=AXIS2_PLACEMENT_3D('',#486552,#379344,#379345); #351747=AXIS2_PLACEMENT_3D('',#486554,#379347,#379348); #351748=AXIS2_PLACEMENT_3D('',#486576,#379358,#379359); #351749=AXIS2_PLACEMENT_3D('',#486578,#379360,#379361); #351750=AXIS2_PLACEMENT_3D('',#486579,#379362,#379363); #351751=AXIS2_PLACEMENT_3D('',#486580,#379364,#379365); #351752=AXIS2_PLACEMENT_3D('',#486599,#379376,#379377); #351753=AXIS2_PLACEMENT_3D('',#486600,#379378,#379379); #351754=AXIS2_PLACEMENT_3D('',#486601,#379380,#379381); #351755=AXIS2_PLACEMENT_3D('',#486602,#379382,#379383); #351756=AXIS2_PLACEMENT_3D('',#486603,#379384,#379385); #351757=AXIS2_PLACEMENT_3D('',#486604,#379386,#379387); #351758=AXIS2_PLACEMENT_3D('',#486605,#379388,#379389); #351759=AXIS2_PLACEMENT_3D('',#486606,#379390,#379391); #351760=AXIS2_PLACEMENT_3D('',#486607,#379392,#379393); #351761=AXIS2_PLACEMENT_3D('',#486608,#379394,#379395); #351762=AXIS2_PLACEMENT_3D('',#486609,#379396,#379397); #351763=AXIS2_PLACEMENT_3D('',#486610,#379398,#379399); #351764=AXIS2_PLACEMENT_3D('',#486611,#379400,#379401); #351765=AXIS2_PLACEMENT_3D('',#486612,#379402,#379403); #351766=AXIS2_PLACEMENT_3D('',#486613,#379404,#379405); #351767=AXIS2_PLACEMENT_3D('',#486614,#379406,#379407); #351768=AXIS2_PLACEMENT_3D('',#486615,#379408,#379409); #351769=AXIS2_PLACEMENT_3D('',#486616,#379410,#379411); #351770=AXIS2_PLACEMENT_3D('',#486618,#379413,#379414); #351771=AXIS2_PLACEMENT_3D('',#486647,#379424,#379425); #351772=AXIS2_PLACEMENT_3D('',#486651,#379427,#379428); #351773=AXIS2_PLACEMENT_3D('',#486652,#379429,#379430); #351774=AXIS2_PLACEMENT_3D('',#486653,#379431,#379432); #351775=AXIS2_PLACEMENT_3D('',#486680,#379442,#379443); #351776=AXIS2_PLACEMENT_3D('',#486681,#379444,#379445); #351777=AXIS2_PLACEMENT_3D('',#486682,#379446,#379447); #351778=AXIS2_PLACEMENT_3D('',#486683,#379448,#379449); #351779=AXIS2_PLACEMENT_3D('',#486684,#379450,#379451); #351780=AXIS2_PLACEMENT_3D('',#486685,#379452,#379453); #351781=AXIS2_PLACEMENT_3D('',#486686,#379454,#379455); #351782=AXIS2_PLACEMENT_3D('',#486687,#379456,#379457); #351783=AXIS2_PLACEMENT_3D('',#486688,#379458,#379459); #351784=AXIS2_PLACEMENT_3D('',#486689,#379460,#379461); #351785=AXIS2_PLACEMENT_3D('',#486690,#379462,#379463); #351786=AXIS2_PLACEMENT_3D('',#486691,#379464,#379465); #351787=AXIS2_PLACEMENT_3D('',#486692,#379466,#379467); #351788=AXIS2_PLACEMENT_3D('',#486711,#379468,#379469); #351789=AXIS2_PLACEMENT_3D('',#486712,#379470,#379471); #351790=AXIS2_PLACEMENT_3D('',#486713,#379472,#379473); #351791=AXIS2_PLACEMENT_3D('',#486714,#379474,#379475); #351792=AXIS2_PLACEMENT_3D('',#486715,#379476,#379477); #351793=AXIS2_PLACEMENT_3D('',#486716,#379478,#379479); #351794=AXIS2_PLACEMENT_3D('',#486717,#379480,#379481); #351795=AXIS2_PLACEMENT_3D('',#486718,#379482,#379483); #351796=AXIS2_PLACEMENT_3D('',#486719,#379484,#379485); #351797=AXIS2_PLACEMENT_3D('',#486720,#379486,#379487); #351798=AXIS2_PLACEMENT_3D('',#486721,#379488,#379489); #351799=AXIS2_PLACEMENT_3D('',#486722,#379490,#379491); #351800=AXIS2_PLACEMENT_3D('',#486723,#379492,#379493); #351801=AXIS2_PLACEMENT_3D('',#486726,#379495,#379496); #351802=AXIS2_PLACEMENT_3D('',#486727,#379497,#379498); #351803=AXIS2_PLACEMENT_3D('',#486738,#379504,#379505); #351804=AXIS2_PLACEMENT_3D('',#486746,#379510,#379511); #351805=AXIS2_PLACEMENT_3D('',#486747,#379512,#379513); #351806=AXIS2_PLACEMENT_3D('',#486748,#379514,#379515); #351807=AXIS2_PLACEMENT_3D('',#486749,#379516,#379517); #351808=AXIS2_PLACEMENT_3D('',#486750,#379518,#379519); #351809=AXIS2_PLACEMENT_3D('',#486751,#379520,#379521); #351810=AXIS2_PLACEMENT_3D('',#486752,#379522,#379523); #351811=AXIS2_PLACEMENT_3D('',#486753,#379524,#379525); #351812=AXIS2_PLACEMENT_3D('',#486754,#379526,#379527); #351813=AXIS2_PLACEMENT_3D('',#486755,#379528,#379529); #351814=AXIS2_PLACEMENT_3D('',#486756,#379530,#379531); #351815=AXIS2_PLACEMENT_3D('',#486757,#379532,#379533); #351816=AXIS2_PLACEMENT_3D('',#486758,#379534,#379535); #351817=AXIS2_PLACEMENT_3D('',#486759,#379536,#379537); #351818=AXIS2_PLACEMENT_3D('',#486760,#379538,#379539); #351819=AXIS2_PLACEMENT_3D('',#486761,#379540,#379541); #351820=AXIS2_PLACEMENT_3D('',#486762,#379542,#379543); #351821=AXIS2_PLACEMENT_3D('',#486763,#379544,#379545); #351822=AXIS2_PLACEMENT_3D('',#486765,#379547,#379548); #351823=AXIS2_PLACEMENT_3D('',#486794,#379558,#379559); #351824=AXIS2_PLACEMENT_3D('',#486798,#379561,#379562); #351825=AXIS2_PLACEMENT_3D('',#486799,#379563,#379564); #351826=AXIS2_PLACEMENT_3D('',#486800,#379565,#379566); #351827=AXIS2_PLACEMENT_3D('',#486827,#379576,#379577); #351828=AXIS2_PLACEMENT_3D('',#486828,#379578,#379579); #351829=AXIS2_PLACEMENT_3D('',#486829,#379580,#379581); #351830=AXIS2_PLACEMENT_3D('',#486830,#379582,#379583); #351831=AXIS2_PLACEMENT_3D('',#486831,#379584,#379585); #351832=AXIS2_PLACEMENT_3D('',#486832,#379586,#379587); #351833=AXIS2_PLACEMENT_3D('',#486833,#379588,#379589); #351834=AXIS2_PLACEMENT_3D('',#486834,#379590,#379591); #351835=AXIS2_PLACEMENT_3D('',#486835,#379592,#379593); #351836=AXIS2_PLACEMENT_3D('',#486836,#379594,#379595); #351837=AXIS2_PLACEMENT_3D('',#486837,#379596,#379597); #351838=AXIS2_PLACEMENT_3D('',#486838,#379598,#379599); #351839=AXIS2_PLACEMENT_3D('',#486839,#379600,#379601); #351840=AXIS2_PLACEMENT_3D('',#486858,#379602,#379603); #351841=AXIS2_PLACEMENT_3D('',#486859,#379604,#379605); #351842=AXIS2_PLACEMENT_3D('',#486861,#379607,#379608); #351843=AXIS2_PLACEMENT_3D('',#486877,#379616,#379617); #351844=AXIS2_PLACEMENT_3D('',#486881,#379620,#379621); #351845=AXIS2_PLACEMENT_3D('',#486883,#379622,#379623); #351846=AXIS2_PLACEMENT_3D('',#486886,#379625,#379626); #351847=AXIS2_PLACEMENT_3D('',#486887,#379627,#379628); #351848=AXIS2_PLACEMENT_3D('',#486890,#379630,#379631); #351849=AXIS2_PLACEMENT_3D('',#486891,#379632,#379633); #351850=AXIS2_PLACEMENT_3D('',#486894,#379635,#379636); #351851=AXIS2_PLACEMENT_3D('',#486895,#379637,#379638); #351852=AXIS2_PLACEMENT_3D('',#486897,#379639,#379640); #351853=AXIS2_PLACEMENT_3D('',#486899,#379642,#379643); #351854=AXIS2_PLACEMENT_3D('',#486902,#379645,#379646); #351855=AXIS2_PLACEMENT_3D('',#486903,#379647,#379648); #351856=AXIS2_PLACEMENT_3D('',#486906,#379650,#379651); #351857=AXIS2_PLACEMENT_3D('',#486907,#379652,#379653); #351858=AXIS2_PLACEMENT_3D('',#486910,#379655,#379656); #351859=AXIS2_PLACEMENT_3D('',#486911,#379657,#379658); #351860=AXIS2_PLACEMENT_3D('',#486915,#379659,#379660); #351861=AXIS2_PLACEMENT_3D('',#486920,#379664,#379665); #351862=AXIS2_PLACEMENT_3D('',#486922,#379667,#379668); #351863=AXIS2_PLACEMENT_3D('',#486944,#379678,#379679); #351864=AXIS2_PLACEMENT_3D('',#486946,#379680,#379681); #351865=AXIS2_PLACEMENT_3D('',#486947,#379682,#379683); #351866=AXIS2_PLACEMENT_3D('',#486948,#379684,#379685); #351867=AXIS2_PLACEMENT_3D('',#486967,#379696,#379697); #351868=AXIS2_PLACEMENT_3D('',#486968,#379698,#379699); #351869=AXIS2_PLACEMENT_3D('',#486969,#379700,#379701); #351870=AXIS2_PLACEMENT_3D('',#486970,#379702,#379703); #351871=AXIS2_PLACEMENT_3D('',#486971,#379704,#379705); #351872=AXIS2_PLACEMENT_3D('',#486972,#379706,#379707); #351873=AXIS2_PLACEMENT_3D('',#486973,#379708,#379709); #351874=AXIS2_PLACEMENT_3D('',#486974,#379710,#379711); #351875=AXIS2_PLACEMENT_3D('',#486975,#379712,#379713); #351876=AXIS2_PLACEMENT_3D('',#486976,#379714,#379715); #351877=AXIS2_PLACEMENT_3D('',#486977,#379716,#379717); #351878=AXIS2_PLACEMENT_3D('',#486978,#379718,#379719); #351879=AXIS2_PLACEMENT_3D('',#486979,#379720,#379721); #351880=AXIS2_PLACEMENT_3D('',#486980,#379722,#379723); #351881=AXIS2_PLACEMENT_3D('',#486981,#379724,#379725); #351882=AXIS2_PLACEMENT_3D('',#486982,#379726,#379727); #351883=AXIS2_PLACEMENT_3D('',#486983,#379728,#379729); #351884=AXIS2_PLACEMENT_3D('',#486984,#379730,#379731); #351885=AXIS2_PLACEMENT_3D('',#486986,#379733,#379734); #351886=AXIS2_PLACEMENT_3D('',#487015,#379744,#379745); #351887=AXIS2_PLACEMENT_3D('',#487019,#379747,#379748); #351888=AXIS2_PLACEMENT_3D('',#487020,#379749,#379750); #351889=AXIS2_PLACEMENT_3D('',#487021,#379751,#379752); #351890=AXIS2_PLACEMENT_3D('',#487048,#379762,#379763); #351891=AXIS2_PLACEMENT_3D('',#487049,#379764,#379765); #351892=AXIS2_PLACEMENT_3D('',#487050,#379766,#379767); #351893=AXIS2_PLACEMENT_3D('',#487051,#379768,#379769); #351894=AXIS2_PLACEMENT_3D('',#487052,#379770,#379771); #351895=AXIS2_PLACEMENT_3D('',#487053,#379772,#379773); #351896=AXIS2_PLACEMENT_3D('',#487054,#379774,#379775); #351897=AXIS2_PLACEMENT_3D('',#487055,#379776,#379777); #351898=AXIS2_PLACEMENT_3D('',#487056,#379778,#379779); #351899=AXIS2_PLACEMENT_3D('',#487057,#379780,#379781); #351900=AXIS2_PLACEMENT_3D('',#487058,#379782,#379783); #351901=AXIS2_PLACEMENT_3D('',#487059,#379784,#379785); #351902=AXIS2_PLACEMENT_3D('',#487060,#379786,#379787); #351903=AXIS2_PLACEMENT_3D('',#487079,#379788,#379789); #351904=AXIS2_PLACEMENT_3D('',#487080,#379790,#379791); #351905=AXIS2_PLACEMENT_3D('',#487082,#379793,#379794); #351906=AXIS2_PLACEMENT_3D('',#487098,#379802,#379803); #351907=AXIS2_PLACEMENT_3D('',#487102,#379806,#379807); #351908=AXIS2_PLACEMENT_3D('',#487104,#379808,#379809); #351909=AXIS2_PLACEMENT_3D('',#487107,#379811,#379812); #351910=AXIS2_PLACEMENT_3D('',#487108,#379813,#379814); #351911=AXIS2_PLACEMENT_3D('',#487111,#379816,#379817); #351912=AXIS2_PLACEMENT_3D('',#487112,#379818,#379819); #351913=AXIS2_PLACEMENT_3D('',#487115,#379821,#379822); #351914=AXIS2_PLACEMENT_3D('',#487116,#379823,#379824); #351915=AXIS2_PLACEMENT_3D('',#487118,#379825,#379826); #351916=AXIS2_PLACEMENT_3D('',#487120,#379828,#379829); #351917=AXIS2_PLACEMENT_3D('',#487123,#379831,#379832); #351918=AXIS2_PLACEMENT_3D('',#487124,#379833,#379834); #351919=AXIS2_PLACEMENT_3D('',#487127,#379836,#379837); #351920=AXIS2_PLACEMENT_3D('',#487128,#379838,#379839); #351921=AXIS2_PLACEMENT_3D('',#487131,#379841,#379842); #351922=AXIS2_PLACEMENT_3D('',#487132,#379843,#379844); #351923=AXIS2_PLACEMENT_3D('',#487136,#379845,#379846); #351924=AXIS2_PLACEMENT_3D('',#487141,#379850,#379851); #351925=AXIS2_PLACEMENT_3D('',#487142,#379852,#379853); #351926=AXIS2_PLACEMENT_3D('',#487143,#379854,#379855); #351927=AXIS2_PLACEMENT_3D('',#487144,#379856,#379857); #351928=AXIS2_PLACEMENT_3D('',#487145,#379858,#379859); #351929=AXIS2_PLACEMENT_3D('',#487146,#379860,#379861); #351930=AXIS2_PLACEMENT_3D('',#487147,#379862,#379863); #351931=AXIS2_PLACEMENT_3D('',#487148,#379864,#379865); #351932=AXIS2_PLACEMENT_3D('',#487149,#379866,#379867); #351933=AXIS2_PLACEMENT_3D('',#487150,#379868,#379869); #351934=AXIS2_PLACEMENT_3D('',#487151,#379870,#379871); #351935=AXIS2_PLACEMENT_3D('',#487152,#379872,#379873); #351936=AXIS2_PLACEMENT_3D('',#487153,#379874,#379875); #351937=AXIS2_PLACEMENT_3D('',#487154,#379876,#379877); #351938=AXIS2_PLACEMENT_3D('',#487156,#379879,#379880); #351939=AXIS2_PLACEMENT_3D('',#487157,#379881,#379882); #351940=AXIS2_PLACEMENT_3D('',#487158,#379883,#379884); #351941=AXIS2_PLACEMENT_3D('',#487160,#379886,#379887); #351942=AXIS2_PLACEMENT_3D('',#487162,#379889,#379890); #351943=AXIS2_PLACEMENT_3D('',#487164,#379892,#379893); #351944=AXIS2_PLACEMENT_3D('',#487166,#379895,#379896); #351945=AXIS2_PLACEMENT_3D('',#487167,#379897,#379898); #351946=AXIS2_PLACEMENT_3D('',#487168,#379899,#379900); #351947=AXIS2_PLACEMENT_3D('',#487169,#379901,#379902); #351948=AXIS2_PLACEMENT_3D('',#487170,#379903,#379904); #351949=AXIS2_PLACEMENT_3D('',#487171,#379905,#379906); #351950=AXIS2_PLACEMENT_3D('',#487172,#379907,#379908); #351951=AXIS2_PLACEMENT_3D('',#487173,#379909,#379910); #351952=AXIS2_PLACEMENT_3D('',#487174,#379911,#379912); #351953=AXIS2_PLACEMENT_3D('',#487175,#379913,#379914); #351954=AXIS2_PLACEMENT_3D('',#487176,#379915,#379916); #351955=AXIS2_PLACEMENT_3D('',#487177,#379917,#379918); #351956=AXIS2_PLACEMENT_3D('',#487178,#379919,#379920); #351957=AXIS2_PLACEMENT_3D('',#487289,#379921,#379922); #351958=AXIS2_PLACEMENT_3D('',#487298,#379923,#379924); #351959=AXIS2_PLACEMENT_3D('',#487300,#379925,#379926); #351960=AXIS2_PLACEMENT_3D('',#487311,#379927,#379928); #351961=AXIS2_PLACEMENT_3D('',#487314,#379929,#379930); #351962=AXIS2_PLACEMENT_3D('',#487555,#379931,#379932); #351963=AXIS2_PLACEMENT_3D('',#487718,#379934,#379935); #351964=AXIS2_PLACEMENT_3D('',#487721,#379937,#379938); #351965=AXIS2_PLACEMENT_3D('',#487730,#379939,#379940); #351966=AXIS2_PLACEMENT_3D('',#487777,#379941,#379942); #351967=AXIS2_PLACEMENT_3D('',#487824,#379943,#379944); #351968=AXIS2_PLACEMENT_3D('',#487871,#379945,#379946); #351969=AXIS2_PLACEMENT_3D('',#488048,#379948,#379949); #351970=AXIS2_PLACEMENT_3D('',#488050,#379950,#379951); #351971=AXIS2_PLACEMENT_3D('',#488058,#379952,#379953); #351972=AXIS2_PLACEMENT_3D('',#488684,#379956,#379957); #351973=AXIS2_PLACEMENT_3D('',#488798,#379959,#379960); #351974=AXIS2_PLACEMENT_3D('',#488800,#379961,#379962); #351975=AXIS2_PLACEMENT_3D('',#488850,#379964,#379965); #351976=AXIS2_PLACEMENT_3D('',#489090,#379967,#379968); #351977=AXIS2_PLACEMENT_3D('',#489092,#379969,#379970); #351978=AXIS2_PLACEMENT_3D('',#489094,#379971,#379972); #351979=AXIS2_PLACEMENT_3D('',#489580,#379975,#379976); #351980=AXIS2_PLACEMENT_3D('',#489582,#379977,#379978); #351981=AXIS2_PLACEMENT_3D('',#489584,#379979,#379980); #351982=AXIS2_PLACEMENT_3D('',#490036,#379984,#379985); #351983=AXIS2_PLACEMENT_3D('',#490053,#379986,#379987); #351984=AXIS2_PLACEMENT_3D('',#490152,#379989,#379990); #351985=AXIS2_PLACEMENT_3D('',#490401,#379993,#379994); #351986=AXIS2_PLACEMENT_3D('',#490403,#379995,#379996); #351987=AXIS2_PLACEMENT_3D('',#490405,#379997,#379998); #351988=AXIS2_PLACEMENT_3D('',#490637,#380000,#380001); #351989=AXIS2_PLACEMENT_3D('',#490714,#380003,#380004); #351990=AXIS2_PLACEMENT_3D('',#490730,#380005,#380006); #351991=AXIS2_PLACEMENT_3D('',#490731,#380007,#380008); #351992=AXIS2_PLACEMENT_3D('',#491027,#380009,#380010); #351993=AXIS2_PLACEMENT_3D('',#491029,#380011,#380012); #351994=AXIS2_PLACEMENT_3D('',#491031,#380013,#380014); #351995=AXIS2_PLACEMENT_3D('',#491032,#380015,#380016); #351996=AXIS2_PLACEMENT_3D('',#491033,#380017,#380018); #351997=AXIS2_PLACEMENT_3D('',#491034,#380019,#380020); #351998=AXIS2_PLACEMENT_3D('',#491035,#380021,#380022); #351999=AXIS2_PLACEMENT_3D('',#491036,#380023,#380024); #352000=AXIS2_PLACEMENT_3D('',#491037,#380025,#380026); #352001=AXIS2_PLACEMENT_3D('',#491060,#380027,#380028); #352002=AXIS2_PLACEMENT_3D('',#491411,#380030,#380031); #352003=AXIS2_PLACEMENT_3D('',#491412,#380032,#380033); #352004=AXIS2_PLACEMENT_3D('',#491413,#380034,#380035); #352005=AXIS2_PLACEMENT_3D('',#491448,#380036,#380037); #352006=AXIS2_PLACEMENT_3D('',#491449,#380038,#380039); #352007=AXIS2_PLACEMENT_3D('',#491450,#380040,#380041); #352008=AXIS2_PLACEMENT_3D('',#491467,#380042,#380043); #352009=AXIS2_PLACEMENT_3D('',#491788,#380045,#380046); #352010=AXIS2_PLACEMENT_3D('',#491829,#380047,#380048); #352011=AXIS2_PLACEMENT_3D('',#491830,#380049,#380050); #352012=AXIS2_PLACEMENT_3D('',#491832,#380051,#380052); #352013=AXIS2_PLACEMENT_3D('',#491833,#380053,#380054); #352014=AXIS2_PLACEMENT_3D('',#491834,#380055,#380056); #352015=AXIS2_PLACEMENT_3D('',#491835,#380057,#380058); #352016=AXIS2_PLACEMENT_3D('',#491836,#380059,#380060); #352017=AXIS2_PLACEMENT_3D('',#491844,#380064,#380065); #352018=AXIS2_PLACEMENT_3D('',#491845,#380066,#380067); #352019=AXIS2_PLACEMENT_3D('',#491851,#380070,#380071); #352020=AXIS2_PLACEMENT_3D('',#491852,#380072,#380073); #352021=AXIS2_PLACEMENT_3D('',#491860,#380077,#380078); #352022=AXIS2_PLACEMENT_3D('',#491861,#380079,#380080); #352023=AXIS2_PLACEMENT_3D('',#491866,#380082,#380083); #352024=AXIS2_PLACEMENT_3D('',#491868,#380085,#380086); #352025=AXIS2_PLACEMENT_3D('',#491872,#380088,#380089); #352026=AXIS2_PLACEMENT_3D('',#491873,#380090,#380091); #352027=AXIS2_PLACEMENT_3D('',#491878,#380093,#380094); #352028=AXIS2_PLACEMENT_3D('',#491879,#380095,#380096); #352029=AXIS2_PLACEMENT_3D('',#491880,#380097,#380098); #352030=AXIS2_PLACEMENT_3D('',#491886,#380102,#380103); #352031=AXIS2_PLACEMENT_3D('',#491890,#380105,#380106); #352032=AXIS2_PLACEMENT_3D('',#491891,#380107,#380108); #352033=AXIS2_PLACEMENT_3D('',#491902,#380115,#380116); #352034=AXIS2_PLACEMENT_3D('',#491911,#380121,#380122); #352035=AXIS2_PLACEMENT_3D('',#491920,#380127,#380128); #352036=AXIS2_PLACEMENT_3D('',#491923,#380131,#380132); #352037=AXIS2_PLACEMENT_3D('',#491933,#380138,#380139); #352038=AXIS2_PLACEMENT_3D('',#491943,#380145,#380146); #352039=AXIS2_PLACEMENT_3D('',#491949,#380150,#380151); #352040=AXIS2_PLACEMENT_3D('',#491952,#380152,#380153); #352041=AXIS2_PLACEMENT_3D('',#491956,#380155,#380156); #352042=AXIS2_PLACEMENT_3D('',#491958,#380158,#380159); #352043=AXIS2_PLACEMENT_3D('',#491960,#380160,#380161); #352044=AXIS2_PLACEMENT_3D('',#491964,#380163,#380164); #352045=AXIS2_PLACEMENT_3D('',#491968,#380166,#380167); #352046=AXIS2_PLACEMENT_3D('',#491970,#380169,#380170); #352047=AXIS2_PLACEMENT_3D('',#491972,#380171,#380172); #352048=AXIS2_PLACEMENT_3D('',#491978,#380176,#380177); #352049=AXIS2_PLACEMENT_3D('',#491981,#380178,#380179); #352050=AXIS2_PLACEMENT_3D('',#491985,#380181,#380182); #352051=AXIS2_PLACEMENT_3D('',#491987,#380184,#380185); #352052=AXIS2_PLACEMENT_3D('',#491995,#380191,#380192); #352053=AXIS2_PLACEMENT_3D('',#492004,#380197,#380198); #352054=AXIS2_PLACEMENT_3D('',#492007,#380200,#380201); #352055=AXIS2_PLACEMENT_3D('',#492013,#380204,#380205); #352056=AXIS2_PLACEMENT_3D('',#492015,#380207,#380208); #352057=AXIS2_PLACEMENT_3D('',#492024,#380213,#380214); #352058=AXIS2_PLACEMENT_3D('',#492028,#380216,#380217); #352059=AXIS2_PLACEMENT_3D('',#492032,#380219,#380220); #352060=AXIS2_PLACEMENT_3D('',#492034,#380222,#380223); #352061=AXIS2_PLACEMENT_3D('',#492037,#380224,#380225); #352062=AXIS2_PLACEMENT_3D('',#492041,#380227,#380228); #352063=AXIS2_PLACEMENT_3D('',#492043,#380230,#380231); #352064=AXIS2_PLACEMENT_3D('',#492057,#380239,#380240); #352065=AXIS2_PLACEMENT_3D('',#492066,#380245,#380246); #352066=AXIS2_PLACEMENT_3D('',#492070,#380248,#380249); #352067=AXIS2_PLACEMENT_3D('',#492073,#380252,#380253); #352068=AXIS2_PLACEMENT_3D('',#492076,#380254,#380255); #352069=AXIS2_PLACEMENT_3D('',#492080,#380257,#380258); #352070=AXIS2_PLACEMENT_3D('',#492082,#380260,#380261); #352071=AXIS2_PLACEMENT_3D('',#492094,#380268,#380269); #352072=AXIS2_PLACEMENT_3D('',#492103,#380274,#380275); #352073=AXIS2_PLACEMENT_3D('',#492107,#380277,#380278); #352074=AXIS2_PLACEMENT_3D('',#492115,#380282,#380283); #352075=AXIS2_PLACEMENT_3D('',#492117,#380285,#380286); #352076=AXIS2_PLACEMENT_3D('',#492121,#380288,#380289); #352077=AXIS2_PLACEMENT_3D('',#492123,#380291,#380292); #352078=AXIS2_PLACEMENT_3D('',#492128,#380295,#380296); #352079=AXIS2_PLACEMENT_3D('',#492129,#380297,#380298); #352080=AXIS2_PLACEMENT_3D('',#492133,#380300,#380301); #352081=AXIS2_PLACEMENT_3D('',#492136,#380303,#380304); #352082=AXIS2_PLACEMENT_3D('',#492138,#380305,#380306); #352083=AXIS2_PLACEMENT_3D('',#492140,#380308,#380309); #352084=AXIS2_PLACEMENT_3D('',#492143,#380312,#380313); #352085=AXIS2_PLACEMENT_3D('',#492149,#380317,#380318); #352086=AXIS2_PLACEMENT_3D('',#492153,#380321,#380322); #352087=AXIS2_PLACEMENT_3D('',#492159,#380326,#380327); #352088=AXIS2_PLACEMENT_3D('',#492163,#380329,#380330); #352089=AXIS2_PLACEMENT_3D('',#492170,#380334,#380335); #352090=AXIS2_PLACEMENT_3D('',#492171,#380336,#380337); #352091=AXIS2_PLACEMENT_3D('',#492173,#380339,#380340); #352092=AXIS2_PLACEMENT_3D('',#492175,#380342,#380343); #352093=AXIS2_PLACEMENT_3D('',#492177,#380345,#380346); #352094=AXIS2_PLACEMENT_3D('',#492180,#380348,#380349); #352095=AXIS2_PLACEMENT_3D('',#492181,#380350,#380351); #352096=AXIS2_PLACEMENT_3D('',#492182,#380352,#380353); #352097=AXIS2_PLACEMENT_3D('',#492186,#380356,#380357); #352098=AXIS2_PLACEMENT_3D('',#492188,#380358,#380359); #352099=AXIS2_PLACEMENT_3D('',#492191,#380361,#380362); #352100=AXIS2_PLACEMENT_3D('',#492192,#380363,#380364); #352101=AXIS2_PLACEMENT_3D('',#492197,#380367,#380368); #352102=AXIS2_PLACEMENT_3D('',#492198,#380369,#380370); #352103=AXIS2_PLACEMENT_3D('',#492204,#380374,#380375); #352104=AXIS2_PLACEMENT_3D('',#492210,#380379,#380380); #352105=AXIS2_PLACEMENT_3D('',#492217,#380385,#380386); #352106=AXIS2_PLACEMENT_3D('',#492223,#380390,#380391); #352107=AXIS2_PLACEMENT_3D('',#492225,#380392,#380393); #352108=AXIS2_PLACEMENT_3D('',#492232,#380397,#380398); #352109=AXIS2_PLACEMENT_3D('',#492233,#380399,#380400); #352110=AXIS2_PLACEMENT_3D('',#492234,#380401,#380402); #352111=AXIS2_PLACEMENT_3D('',#492236,#380404,#380405); #352112=AXIS2_PLACEMENT_3D('',#492238,#380407,#380408); #352113=AXIS2_PLACEMENT_3D('',#492240,#380410,#380411); #352114=AXIS2_PLACEMENT_3D('',#492242,#380413,#380414); #352115=AXIS2_PLACEMENT_3D('',#492246,#380417,#380418); #352116=AXIS2_PLACEMENT_3D('',#492249,#380420,#380421); #352117=AXIS2_PLACEMENT_3D('',#492250,#380422,#380423); #352118=AXIS2_PLACEMENT_3D('',#492251,#380424,#380425); #352119=AXIS2_PLACEMENT_3D('',#492255,#380428,#380429); #352120=AXIS2_PLACEMENT_3D('',#492257,#380430,#380431); #352121=AXIS2_PLACEMENT_3D('',#492259,#380433,#380434); #352122=AXIS2_PLACEMENT_3D('',#492260,#380435,#380436); #352123=AXIS2_PLACEMENT_3D('',#492265,#380438,#380439); #352124=AXIS2_PLACEMENT_3D('',#492268,#380441,#380442); #352125=AXIS2_PLACEMENT_3D('',#492269,#380443,#380444); #352126=AXIS2_PLACEMENT_3D('',#492273,#380446,#380447); #352127=AXIS2_PLACEMENT_3D('',#492279,#380451,#380452); #352128=AXIS2_PLACEMENT_3D('',#492281,#380453,#380454); #352129=AXIS2_PLACEMENT_3D('',#492284,#380457,#380458); #352130=AXIS2_PLACEMENT_3D('',#492288,#380461,#380462); #352131=AXIS2_PLACEMENT_3D('',#492290,#380464,#380465); #352132=AXIS2_PLACEMENT_3D('',#492291,#380466,#380467); #352133=AXIS2_PLACEMENT_3D('',#492292,#380468,#380469); #352134=AXIS2_PLACEMENT_3D('',#492301,#380475,#380476); #352135=AXIS2_PLACEMENT_3D('',#492303,#380477,#380478); #352136=AXIS2_PLACEMENT_3D('',#492306,#380480,#380481); #352137=AXIS2_PLACEMENT_3D('',#492307,#380482,#380483); #352138=AXIS2_PLACEMENT_3D('',#492311,#380485,#380486); #352139=AXIS2_PLACEMENT_3D('',#492312,#380487,#380488); #352140=AXIS2_PLACEMENT_3D('',#492313,#380489,#380490); #352141=AXIS2_PLACEMENT_3D('',#492317,#380492,#380493); #352142=AXIS2_PLACEMENT_3D('',#492321,#380496,#380497); #352143=AXIS2_PLACEMENT_3D('',#492323,#380498,#380499); #352144=AXIS2_PLACEMENT_3D('',#492326,#380502,#380503); #352145=AXIS2_PLACEMENT_3D('',#492330,#380506,#380507); #352146=AXIS2_PLACEMENT_3D('',#492332,#380509,#380510); #352147=AXIS2_PLACEMENT_3D('',#492333,#380511,#380512); #352148=AXIS2_PLACEMENT_3D('',#492334,#380513,#380514); #352149=AXIS2_PLACEMENT_3D('',#492338,#380517,#380518); #352150=AXIS2_PLACEMENT_3D('',#492342,#380521,#380522); #352151=AXIS2_PLACEMENT_3D('',#492344,#380524,#380525); #352152=AXIS2_PLACEMENT_3D('',#492345,#380526,#380527); #352153=AXIS2_PLACEMENT_3D('',#492346,#380528,#380529); #352154=AXIS2_PLACEMENT_3D('',#492348,#380531,#380532); #352155=AXIS2_PLACEMENT_3D('',#492349,#380533,#380534); #352156=AXIS2_PLACEMENT_3D('',#492354,#380538,#380539); #352157=AXIS2_PLACEMENT_3D('',#492363,#380545,#380546); #352158=AXIS2_PLACEMENT_3D('',#492367,#380549,#380550); #352159=AXIS2_PLACEMENT_3D('',#492370,#380553,#380554); #352160=AXIS2_PLACEMENT_3D('',#492373,#380557,#380558); #352161=AXIS2_PLACEMENT_3D('',#492374,#380559,#380560); #352162=AXIS2_PLACEMENT_3D('',#492375,#380561,#380562); #352163=AXIS2_PLACEMENT_3D('',#492377,#380563,#380564); #352164=AXIS2_PLACEMENT_3D('',#492378,#380565,#380566); #352165=AXIS2_PLACEMENT_3D('',#492379,#380567,#380568); #352166=AXIS2_PLACEMENT_3D('',#492382,#380569,#380570); #352167=AXIS2_PLACEMENT_3D('',#492388,#380573,#380574); #352168=AXIS2_PLACEMENT_3D('',#492390,#380576,#380577); #352169=AXIS2_PLACEMENT_3D('',#492399,#380582,#380583); #352170=AXIS2_PLACEMENT_3D('',#492404,#380587,#380588); #352171=AXIS2_PLACEMENT_3D('',#492407,#380591,#380592); #352172=AXIS2_PLACEMENT_3D('',#492413,#380596,#380597); #352173=AXIS2_PLACEMENT_3D('',#492420,#380602,#380603); #352174=AXIS2_PLACEMENT_3D('',#492423,#380606,#380607); #352175=AXIS2_PLACEMENT_3D('',#492429,#380611,#380612); #352176=AXIS2_PLACEMENT_3D('',#492432,#380615,#380616); #352177=AXIS2_PLACEMENT_3D('',#492433,#380617,#380618); #352178=AXIS2_PLACEMENT_3D('',#492434,#380619,#380620); #352179=AXIS2_PLACEMENT_3D('',#492436,#380621,#380622); #352180=AXIS2_PLACEMENT_3D('',#492437,#380623,#380624); #352181=AXIS2_PLACEMENT_3D('',#492438,#380625,#380626); #352182=AXIS2_PLACEMENT_3D('',#492441,#380628,#380629); #352183=AXIS2_PLACEMENT_3D('',#492442,#380630,#380631); #352184=AXIS2_PLACEMENT_3D('',#492443,#380632,#380633); #352185=AXIS2_PLACEMENT_3D('',#492444,#380634,#380635); #352186=AXIS2_PLACEMENT_3D('',#492445,#380636,#380637); #352187=AXIS2_PLACEMENT_3D('',#492446,#380638,#380639); #352188=AXIS2_PLACEMENT_3D('',#492448,#380640,#380641); #352189=AXIS2_PLACEMENT_3D('',#492449,#380642,#380643); #352190=AXIS2_PLACEMENT_3D('',#492450,#380644,#380645); #352191=AXIS2_PLACEMENT_3D('',#492452,#380647,#380648); #352192=AXIS2_PLACEMENT_3D('',#492455,#380650,#380651); #352193=AXIS2_PLACEMENT_3D('',#492456,#380652,#380653); #352194=AXIS2_PLACEMENT_3D('',#492457,#380654,#380655); #352195=AXIS2_PLACEMENT_3D('',#492458,#380656,#380657); #352196=AXIS2_PLACEMENT_3D('',#492467,#380662,#380663); #352197=AXIS2_PLACEMENT_3D('',#492473,#380667,#380668); #352198=AXIS2_PLACEMENT_3D('',#492479,#380672,#380673); #352199=AXIS2_PLACEMENT_3D('',#492489,#380679,#380680); #352200=AXIS2_PLACEMENT_3D('',#492502,#380686,#380687); #352201=AXIS2_PLACEMENT_3D('',#492506,#380689,#380690); #352202=AXIS2_PLACEMENT_3D('',#492509,#380692,#380693); #352203=AXIS2_PLACEMENT_3D('',#492512,#380695,#380696); #352204=AXIS2_PLACEMENT_3D('',#492516,#380698,#380699); #352205=AXIS2_PLACEMENT_3D('',#492519,#380701,#380702); #352206=AXIS2_PLACEMENT_3D('',#492520,#380703,#380704); #352207=AXIS2_PLACEMENT_3D('',#492521,#380705,#380706); #352208=AXIS2_PLACEMENT_3D('',#492527,#380710,#380711); #352209=AXIS2_PLACEMENT_3D('',#492531,#380714,#380715); #352210=AXIS2_PLACEMENT_3D('',#492537,#380719,#380720); #352211=AXIS2_PLACEMENT_3D('',#492541,#380723,#380724); #352212=AXIS2_PLACEMENT_3D('',#492544,#380725,#380726); #352213=AXIS2_PLACEMENT_3D('',#492547,#380728,#380729); #352214=AXIS2_PLACEMENT_3D('',#492549,#380730,#380731); #352215=AXIS2_PLACEMENT_3D('',#492551,#380733,#380734); #352216=AXIS2_PLACEMENT_3D('',#492554,#380736,#380737); #352217=AXIS2_PLACEMENT_3D('',#492558,#380739,#380740); #352218=AXIS2_PLACEMENT_3D('',#492561,#380742,#380743); #352219=AXIS2_PLACEMENT_3D('',#492562,#380744,#380745); #352220=AXIS2_PLACEMENT_3D('',#492565,#380748,#380749); #352221=AXIS2_PLACEMENT_3D('',#492567,#380751,#380752); #352222=AXIS2_PLACEMENT_3D('',#492568,#380753,#380754); #352223=AXIS2_PLACEMENT_3D('',#492571,#380757,#380758); #352224=AXIS2_PLACEMENT_3D('',#492573,#380760,#380761); #352225=AXIS2_PLACEMENT_3D('',#492575,#380763,#380764); #352226=AXIS2_PLACEMENT_3D('',#492576,#380765,#380766); #352227=AXIS2_PLACEMENT_3D('',#492578,#380768,#380769); #352228=AXIS2_PLACEMENT_3D('',#492579,#380770,#380771); #352229=AXIS2_PLACEMENT_3D('',#492580,#380772,#380773); #352230=AXIS2_PLACEMENT_3D('',#492581,#380774,#380775); #352231=AXIS2_PLACEMENT_3D('',#492590,#380780,#380781); #352232=AXIS2_PLACEMENT_3D('',#492596,#380785,#380786); #352233=AXIS2_PLACEMENT_3D('',#492600,#380788,#380789); #352234=AXIS2_PLACEMENT_3D('',#492604,#380791,#380792); #352235=AXIS2_PLACEMENT_3D('',#492608,#380794,#380795); #352236=AXIS2_PLACEMENT_3D('',#492620,#380802,#380803); #352237=AXIS2_PLACEMENT_3D('',#492626,#380807,#380808); #352238=AXIS2_PLACEMENT_3D('',#492630,#380811,#380812); #352239=AXIS2_PLACEMENT_3D('',#492636,#380816,#380817); #352240=AXIS2_PLACEMENT_3D('',#492638,#380819,#380820); #352241=AXIS2_PLACEMENT_3D('',#492640,#380822,#380823); #352242=AXIS2_PLACEMENT_3D('',#492644,#380826,#380827); #352243=AXIS2_PLACEMENT_3D('',#492646,#380828,#380829); #352244=AXIS2_PLACEMENT_3D('',#492648,#380831,#380832); #352245=AXIS2_PLACEMENT_3D('',#492652,#380835,#380836); #352246=AXIS2_PLACEMENT_3D('',#492654,#380837,#380838); #352247=AXIS2_PLACEMENT_3D('',#492656,#380840,#380841); #352248=AXIS2_PLACEMENT_3D('',#492660,#380844,#380845); #352249=AXIS2_PLACEMENT_3D('',#492662,#380846,#380847); #352250=AXIS2_PLACEMENT_3D('',#492664,#380849,#380850); #352251=AXIS2_PLACEMENT_3D('',#492666,#380852,#380853); #352252=AXIS2_PLACEMENT_3D('',#492667,#380854,#380855); #352253=AXIS2_PLACEMENT_3D('',#492680,#380860,#380861); #352254=AXIS2_PLACEMENT_3D('',#492682,#380862,#380863); #352255=AXIS2_PLACEMENT_3D('',#492736,#380865,#380866); #352256=AXIS2_PLACEMENT_3D('',#492744,#380871,#380872); #352257=AXIS2_PLACEMENT_3D('',#492750,#380876,#380877); #352258=AXIS2_PLACEMENT_3D('',#492756,#380881,#380882); #352259=AXIS2_PLACEMENT_3D('',#492762,#380886,#380887); #352260=AXIS2_PLACEMENT_3D('',#492768,#380891,#380892); #352261=AXIS2_PLACEMENT_3D('',#492774,#380896,#380897); #352262=AXIS2_PLACEMENT_3D('',#492780,#380901,#380902); #352263=AXIS2_PLACEMENT_3D('',#492786,#380906,#380907); #352264=AXIS2_PLACEMENT_3D('',#492792,#380911,#380912); #352265=AXIS2_PLACEMENT_3D('',#492798,#380916,#380917); #352266=AXIS2_PLACEMENT_3D('',#492804,#380921,#380922); #352267=AXIS2_PLACEMENT_3D('',#492806,#380923,#380924); #352268=AXIS2_PLACEMENT_3D('',#492808,#380926,#380927); #352269=AXIS2_PLACEMENT_3D('',#492810,#380928,#380929); #352270=AXIS2_PLACEMENT_3D('',#492812,#380931,#380932); #352271=AXIS2_PLACEMENT_3D('',#492813,#380933,#380934); #352272=AXIS2_PLACEMENT_3D('',#492814,#380935,#380936); #352273=AXIS2_PLACEMENT_3D('',#492816,#380937,#380938); #352274=AXIS2_PLACEMENT_3D('',#492818,#380940,#380941); #352275=AXIS2_PLACEMENT_3D('',#492819,#380942,#380943); #352276=AXIS2_PLACEMENT_3D('',#492820,#380944,#380945); #352277=AXIS2_PLACEMENT_3D('',#492822,#380946,#380947); #352278=AXIS2_PLACEMENT_3D('',#492824,#380949,#380950); #352279=AXIS2_PLACEMENT_3D('',#492826,#380951,#380952); #352280=AXIS2_PLACEMENT_3D('',#492828,#380954,#380955); #352281=AXIS2_PLACEMENT_3D('',#492830,#380957,#380958); #352282=AXIS2_PLACEMENT_3D('',#492832,#380959,#380960); #352283=AXIS2_PLACEMENT_3D('',#492834,#380962,#380963); #352284=AXIS2_PLACEMENT_3D('',#492835,#380964,#380965); #352285=AXIS2_PLACEMENT_3D('',#492836,#380966,#380967); #352286=AXIS2_PLACEMENT_3D('',#492838,#380968,#380969); #352287=AXIS2_PLACEMENT_3D('',#492840,#380971,#380972); #352288=AXIS2_PLACEMENT_3D('',#492841,#380973,#380974); #352289=AXIS2_PLACEMENT_3D('',#492842,#380975,#380976); #352290=AXIS2_PLACEMENT_3D('',#492844,#380977,#380978); #352291=AXIS2_PLACEMENT_3D('',#492846,#380980,#380981); #352292=AXIS2_PLACEMENT_3D('',#492847,#380982,#380983); #352293=AXIS2_PLACEMENT_3D('',#492848,#380984,#380985); #352294=AXIS2_PLACEMENT_3D('',#492850,#380986,#380987); #352295=AXIS2_PLACEMENT_3D('',#492852,#380989,#380990); #352296=AXIS2_PLACEMENT_3D('',#492853,#380991,#380992); #352297=AXIS2_PLACEMENT_3D('',#492854,#380993,#380994); #352298=AXIS2_PLACEMENT_3D('',#492856,#380995,#380996); #352299=AXIS2_PLACEMENT_3D('',#492858,#380998,#380999); #352300=AXIS2_PLACEMENT_3D('',#492859,#381000,#381001); #352301=AXIS2_PLACEMENT_3D('',#492860,#381002,#381003); #352302=AXIS2_PLACEMENT_3D('',#492862,#381004,#381005); #352303=AXIS2_PLACEMENT_3D('',#492864,#381007,#381008); #352304=AXIS2_PLACEMENT_3D('',#492865,#381009,#381010); #352305=AXIS2_PLACEMENT_3D('',#492866,#381011,#381012); #352306=AXIS2_PLACEMENT_3D('',#492868,#381013,#381014); #352307=AXIS2_PLACEMENT_3D('',#492870,#381016,#381017); #352308=AXIS2_PLACEMENT_3D('',#492871,#381018,#381019); #352309=AXIS2_PLACEMENT_3D('',#492872,#381020,#381021); #352310=AXIS2_PLACEMENT_3D('',#492874,#381022,#381023); #352311=AXIS2_PLACEMENT_3D('',#492876,#381025,#381026); #352312=AXIS2_PLACEMENT_3D('',#492877,#381027,#381028); #352313=AXIS2_PLACEMENT_3D('',#492878,#381029,#381030); #352314=AXIS2_PLACEMENT_3D('',#492880,#381031,#381032); #352315=AXIS2_PLACEMENT_3D('',#492882,#381034,#381035); #352316=AXIS2_PLACEMENT_3D('',#492883,#381036,#381037); #352317=AXIS2_PLACEMENT_3D('',#492884,#381038,#381039); #352318=AXIS2_PLACEMENT_3D('',#492887,#381041,#381042); #352319=AXIS2_PLACEMENT_3D('',#492888,#381043,#381044); #352320=AXIS2_PLACEMENT_3D('',#492900,#381045,#381046); #352321=AXIS2_PLACEMENT_3D('',#492903,#381048,#381049); #352322=AXIS2_PLACEMENT_3D('',#492904,#381050,#381051); #352323=AXIS2_PLACEMENT_3D('',#492910,#381055,#381056); #352324=AXIS2_PLACEMENT_3D('',#492914,#381058,#381059); #352325=AXIS2_PLACEMENT_3D('',#492915,#381060,#381061); #352326=AXIS2_PLACEMENT_3D('',#492916,#381062,#381063); #352327=AXIS2_PLACEMENT_3D('',#492922,#381067,#381068); #352328=AXIS2_PLACEMENT_3D('',#492926,#381070,#381071); #352329=AXIS2_PLACEMENT_3D('',#492927,#381072,#381073); #352330=AXIS2_PLACEMENT_3D('',#492928,#381074,#381075); #352331=AXIS2_PLACEMENT_3D('',#492934,#381079,#381080); #352332=AXIS2_PLACEMENT_3D('',#492945,#381081,#381082); #352333=AXIS2_PLACEMENT_3D('',#492946,#381083,#381084); #352334=AXIS2_PLACEMENT_3D('',#492947,#381085,#381086); #352335=AXIS2_PLACEMENT_3D('',#492949,#381087,#381088); #352336=AXIS2_PLACEMENT_3D('',#492951,#381089,#381090); #352337=AXIS2_PLACEMENT_3D('',#492955,#381092,#381093); #352338=AXIS2_PLACEMENT_3D('',#492959,#381095,#381096); #352339=AXIS2_PLACEMENT_3D('',#492963,#381098,#381099); #352340=AXIS2_PLACEMENT_3D('',#492964,#381100,#381101); #352341=AXIS2_PLACEMENT_3D('',#492965,#381102,#381103); #352342=AXIS2_PLACEMENT_3D('',#492977,#381105,#381106); #352343=AXIS2_PLACEMENT_3D('',#492978,#381107,#381108); #352344=AXIS2_PLACEMENT_3D('',#492982,#381111,#381112); #352345=AXIS2_PLACEMENT_3D('',#492985,#381114,#381115); #352346=AXIS2_PLACEMENT_3D('',#492986,#381116,#381117); #352347=AXIS2_PLACEMENT_3D('',#492990,#381120,#381121); #352348=AXIS2_PLACEMENT_3D('',#492993,#381123,#381124); #352349=AXIS2_PLACEMENT_3D('',#492994,#381125,#381126); #352350=AXIS2_PLACEMENT_3D('',#492998,#381129,#381130); #352351=AXIS2_PLACEMENT_3D('',#493008,#381131,#381132); #352352=AXIS2_PLACEMENT_3D('',#493009,#381133,#381134); #352353=AXIS2_PLACEMENT_3D('',#493021,#381135,#381136); #352354=AXIS2_PLACEMENT_3D('',#493024,#381138,#381139); #352355=AXIS2_PLACEMENT_3D('',#493025,#381140,#381141); #352356=AXIS2_PLACEMENT_3D('',#493031,#381145,#381146); #352357=AXIS2_PLACEMENT_3D('',#493035,#381148,#381149); #352358=AXIS2_PLACEMENT_3D('',#493036,#381150,#381151); #352359=AXIS2_PLACEMENT_3D('',#493037,#381152,#381153); #352360=AXIS2_PLACEMENT_3D('',#493043,#381157,#381158); #352361=AXIS2_PLACEMENT_3D('',#493047,#381160,#381161); #352362=AXIS2_PLACEMENT_3D('',#493048,#381162,#381163); #352363=AXIS2_PLACEMENT_3D('',#493049,#381164,#381165); #352364=AXIS2_PLACEMENT_3D('',#493055,#381169,#381170); #352365=AXIS2_PLACEMENT_3D('',#493066,#381171,#381172); #352366=AXIS2_PLACEMENT_3D('',#493067,#381173,#381174); #352367=AXIS2_PLACEMENT_3D('',#493068,#381175,#381176); #352368=AXIS2_PLACEMENT_3D('',#493070,#381177,#381178); #352369=AXIS2_PLACEMENT_3D('',#493072,#381179,#381180); #352370=AXIS2_PLACEMENT_3D('',#493076,#381182,#381183); #352371=AXIS2_PLACEMENT_3D('',#493080,#381185,#381186); #352372=AXIS2_PLACEMENT_3D('',#493084,#381188,#381189); #352373=AXIS2_PLACEMENT_3D('',#493085,#381190,#381191); #352374=AXIS2_PLACEMENT_3D('',#493086,#381192,#381193); #352375=AXIS2_PLACEMENT_3D('',#493098,#381195,#381196); #352376=AXIS2_PLACEMENT_3D('',#493099,#381197,#381198); #352377=AXIS2_PLACEMENT_3D('',#493103,#381201,#381202); #352378=AXIS2_PLACEMENT_3D('',#493106,#381204,#381205); #352379=AXIS2_PLACEMENT_3D('',#493107,#381206,#381207); #352380=AXIS2_PLACEMENT_3D('',#493111,#381210,#381211); #352381=AXIS2_PLACEMENT_3D('',#493114,#381213,#381214); #352382=AXIS2_PLACEMENT_3D('',#493115,#381215,#381216); #352383=AXIS2_PLACEMENT_3D('',#493119,#381219,#381220); #352384=AXIS2_PLACEMENT_3D('',#493129,#381221,#381222); #352385=AXIS2_PLACEMENT_3D('',#493130,#381223,#381224); #352386=AXIS2_PLACEMENT_3D('',#493142,#381225,#381226); #352387=AXIS2_PLACEMENT_3D('',#493145,#381228,#381229); #352388=AXIS2_PLACEMENT_3D('',#493146,#381230,#381231); #352389=AXIS2_PLACEMENT_3D('',#493152,#381235,#381236); #352390=AXIS2_PLACEMENT_3D('',#493156,#381238,#381239); #352391=AXIS2_PLACEMENT_3D('',#493157,#381240,#381241); #352392=AXIS2_PLACEMENT_3D('',#493158,#381242,#381243); #352393=AXIS2_PLACEMENT_3D('',#493164,#381247,#381248); #352394=AXIS2_PLACEMENT_3D('',#493168,#381250,#381251); #352395=AXIS2_PLACEMENT_3D('',#493169,#381252,#381253); #352396=AXIS2_PLACEMENT_3D('',#493170,#381254,#381255); #352397=AXIS2_PLACEMENT_3D('',#493176,#381259,#381260); #352398=AXIS2_PLACEMENT_3D('',#493187,#381261,#381262); #352399=AXIS2_PLACEMENT_3D('',#493188,#381263,#381264); #352400=AXIS2_PLACEMENT_3D('',#493189,#381265,#381266); #352401=AXIS2_PLACEMENT_3D('',#493191,#381267,#381268); #352402=AXIS2_PLACEMENT_3D('',#493193,#381269,#381270); #352403=AXIS2_PLACEMENT_3D('',#493197,#381272,#381273); #352404=AXIS2_PLACEMENT_3D('',#493201,#381275,#381276); #352405=AXIS2_PLACEMENT_3D('',#493205,#381278,#381279); #352406=AXIS2_PLACEMENT_3D('',#493206,#381280,#381281); #352407=AXIS2_PLACEMENT_3D('',#493207,#381282,#381283); #352408=AXIS2_PLACEMENT_3D('',#493219,#381285,#381286); #352409=AXIS2_PLACEMENT_3D('',#493220,#381287,#381288); #352410=AXIS2_PLACEMENT_3D('',#493224,#381291,#381292); #352411=AXIS2_PLACEMENT_3D('',#493227,#381294,#381295); #352412=AXIS2_PLACEMENT_3D('',#493228,#381296,#381297); #352413=AXIS2_PLACEMENT_3D('',#493232,#381300,#381301); #352414=AXIS2_PLACEMENT_3D('',#493235,#381303,#381304); #352415=AXIS2_PLACEMENT_3D('',#493236,#381305,#381306); #352416=AXIS2_PLACEMENT_3D('',#493240,#381309,#381310); #352417=AXIS2_PLACEMENT_3D('',#493250,#381311,#381312); #352418=AXIS2_PLACEMENT_3D('',#493251,#381313,#381314); #352419=AXIS2_PLACEMENT_3D('',#493263,#381315,#381316); #352420=AXIS2_PLACEMENT_3D('',#493266,#381318,#381319); #352421=AXIS2_PLACEMENT_3D('',#493267,#381320,#381321); #352422=AXIS2_PLACEMENT_3D('',#493273,#381325,#381326); #352423=AXIS2_PLACEMENT_3D('',#493277,#381328,#381329); #352424=AXIS2_PLACEMENT_3D('',#493278,#381330,#381331); #352425=AXIS2_PLACEMENT_3D('',#493279,#381332,#381333); #352426=AXIS2_PLACEMENT_3D('',#493285,#381337,#381338); #352427=AXIS2_PLACEMENT_3D('',#493289,#381340,#381341); #352428=AXIS2_PLACEMENT_3D('',#493290,#381342,#381343); #352429=AXIS2_PLACEMENT_3D('',#493291,#381344,#381345); #352430=AXIS2_PLACEMENT_3D('',#493297,#381349,#381350); #352431=AXIS2_PLACEMENT_3D('',#493308,#381351,#381352); #352432=AXIS2_PLACEMENT_3D('',#493309,#381353,#381354); #352433=AXIS2_PLACEMENT_3D('',#493310,#381355,#381356); #352434=AXIS2_PLACEMENT_3D('',#493312,#381357,#381358); #352435=AXIS2_PLACEMENT_3D('',#493314,#381359,#381360); #352436=AXIS2_PLACEMENT_3D('',#493318,#381362,#381363); #352437=AXIS2_PLACEMENT_3D('',#493322,#381365,#381366); #352438=AXIS2_PLACEMENT_3D('',#493326,#381368,#381369); #352439=AXIS2_PLACEMENT_3D('',#493327,#381370,#381371); #352440=AXIS2_PLACEMENT_3D('',#493328,#381372,#381373); #352441=AXIS2_PLACEMENT_3D('',#493340,#381375,#381376); #352442=AXIS2_PLACEMENT_3D('',#493341,#381377,#381378); #352443=AXIS2_PLACEMENT_3D('',#493345,#381381,#381382); #352444=AXIS2_PLACEMENT_3D('',#493348,#381384,#381385); #352445=AXIS2_PLACEMENT_3D('',#493349,#381386,#381387); #352446=AXIS2_PLACEMENT_3D('',#493353,#381390,#381391); #352447=AXIS2_PLACEMENT_3D('',#493356,#381393,#381394); #352448=AXIS2_PLACEMENT_3D('',#493357,#381395,#381396); #352449=AXIS2_PLACEMENT_3D('',#493361,#381399,#381400); #352450=AXIS2_PLACEMENT_3D('',#493371,#381401,#381402); #352451=AXIS2_PLACEMENT_3D('',#493372,#381403,#381404); #352452=AXIS2_PLACEMENT_3D('',#493375,#381407,#381408); #352453=AXIS2_PLACEMENT_3D('',#493377,#381410,#381411); #352454=AXIS2_PLACEMENT_3D('',#493380,#381414,#381415); #352455=AXIS2_PLACEMENT_3D('',#493382,#381417,#381418); #352456=AXIS2_PLACEMENT_3D('',#493385,#381421,#381422); #352457=AXIS2_PLACEMENT_3D('',#493387,#381424,#381425); #352458=AXIS2_PLACEMENT_3D('',#493390,#381428,#381429); #352459=AXIS2_PLACEMENT_3D('',#493392,#381431,#381432); #352460=AXIS2_PLACEMENT_3D('',#493395,#381435,#381436); #352461=AXIS2_PLACEMENT_3D('',#493397,#381438,#381439); #352462=AXIS2_PLACEMENT_3D('',#493399,#381441,#381442); #352463=AXIS2_PLACEMENT_3D('',#493401,#381444,#381445); #352464=AXIS2_PLACEMENT_3D('',#493403,#381447,#381448); #352465=AXIS2_PLACEMENT_3D('',#493405,#381450,#381451); #352466=AXIS2_PLACEMENT_3D('',#493408,#381454,#381455); #352467=AXIS2_PLACEMENT_3D('',#493409,#381456,#381457); #352468=AXIS2_PLACEMENT_3D('',#493411,#381459,#381460); #352469=AXIS2_PLACEMENT_3D('',#493414,#381463,#381464); #352470=AXIS2_PLACEMENT_3D('',#493416,#381466,#381467); #352471=AXIS2_PLACEMENT_3D('',#493419,#381470,#381471); #352472=AXIS2_PLACEMENT_3D('',#493420,#381472,#381473); #352473=AXIS2_PLACEMENT_3D('',#493422,#381475,#381476); #352474=AXIS2_PLACEMENT_3D('',#493424,#381478,#381479); #352475=AXIS2_PLACEMENT_3D('',#493427,#381482,#381483); #352476=AXIS2_PLACEMENT_3D('',#493428,#381484,#381485); #352477=AXIS2_PLACEMENT_3D('',#493430,#381487,#381488); #352478=AXIS2_PLACEMENT_3D('',#493431,#381489,#381490); #352479=AXIS2_PLACEMENT_3D('',#493432,#381491,#381492); #352480=AXIS2_PLACEMENT_3D('',#493449,#381493,#381494); #352481=AXIS2_PLACEMENT_3D('',#493453,#381496,#381497); #352482=AXIS2_PLACEMENT_3D('',#493456,#381499,#381500); #352483=AXIS2_PLACEMENT_3D('',#493457,#381501,#381502); #352484=AXIS2_PLACEMENT_3D('',#493466,#381503,#381504); #352485=AXIS2_PLACEMENT_3D('',#493473,#381505,#381506); #352486=AXIS2_PLACEMENT_3D('',#493506,#381508,#381509); #352487=AXIS2_PLACEMENT_3D('',#493545,#381512,#381513); #352488=AXIS2_PLACEMENT_3D('',#493585,#381516,#381517); #352489=AXIS2_PLACEMENT_3D('',#493625,#381520,#381521); #352490=AXIS2_PLACEMENT_3D('',#493666,#381524,#381525); #352491=AXIS2_PLACEMENT_3D('',#493707,#381528,#381529); #352492=AXIS2_PLACEMENT_3D('',#493750,#381532,#381533); #352493=AXIS2_PLACEMENT_3D('',#493791,#381536,#381537); #352494=AXIS2_PLACEMENT_3D('',#493832,#381540,#381541); #352495=AXIS2_PLACEMENT_3D('',#493859,#381544,#381545); #352496=AXIS2_PLACEMENT_3D('',#493863,#381548,#381549); #352497=AXIS2_PLACEMENT_3D('',#493885,#381551,#381552); #352498=AXIS2_PLACEMENT_3D('',#493928,#381555,#381556); #352499=AXIS2_PLACEMENT_3D('',#493970,#381559,#381560); #352500=AXIS2_PLACEMENT_3D('',#494010,#381563,#381564); #352501=AXIS2_PLACEMENT_3D('',#494049,#381567,#381568); #352502=AXIS2_PLACEMENT_3D('',#494088,#381571,#381572); #352503=AXIS2_PLACEMENT_3D('',#494128,#381575,#381576); #352504=AXIS2_PLACEMENT_3D('',#494149,#381578,#381579); #352505=AXIS2_PLACEMENT_3D('',#494150,#381580,#381581); #352506=AXIS2_PLACEMENT_3D('',#494151,#381582,#381583); #352507=AXIS2_PLACEMENT_3D('',#494153,#381584,#381585); #352508=AXIS2_PLACEMENT_3D('',#494155,#381587,#381588); #352509=AXIS2_PLACEMENT_3D('',#494166,#381592,#381593); #352510=AXIS2_PLACEMENT_3D('',#494168,#381594,#381595); #352511=AXIS2_PLACEMENT_3D('',#494169,#381596,#381597); #352512=AXIS2_PLACEMENT_3D('',#494170,#381598,#381599); #352513=AXIS2_PLACEMENT_3D('',#494171,#381600,#381601); #352514=AXIS2_PLACEMENT_3D('',#494177,#381604,#381605); #352515=AXIS2_PLACEMENT_3D('',#494180,#381607,#381608); #352516=AXIS2_PLACEMENT_3D('',#494181,#381609,#381610); #352517=AXIS2_PLACEMENT_3D('',#494192,#381612,#381613); #352518=AXIS2_PLACEMENT_3D('',#494196,#381614,#381615); #352519=AXIS2_PLACEMENT_3D('',#494207,#381617,#381618); #352520=AXIS2_PLACEMENT_3D('',#494211,#381619,#381620); #352521=AXIS2_PLACEMENT_3D('',#494213,#381621,#381622); #352522=AXIS2_PLACEMENT_3D('',#494215,#381623,#381624); #352523=AXIS2_PLACEMENT_3D('',#494217,#381625,#381626); #352524=AXIS2_PLACEMENT_3D('',#494219,#381627,#381628); #352525=AXIS2_PLACEMENT_3D('',#494221,#381629,#381630); #352526=AXIS2_PLACEMENT_3D('',#494223,#381631,#381632); #352527=AXIS2_PLACEMENT_3D('',#494224,#381633,#381634); #352528=AXIS2_PLACEMENT_3D('',#494225,#381635,#381636); #352529=AXIS2_PLACEMENT_3D('',#494227,#381637,#381638); #352530=AXIS2_PLACEMENT_3D('',#494229,#381640,#381641); #352531=AXIS2_PLACEMENT_3D('',#494235,#381645,#381646); #352532=AXIS2_PLACEMENT_3D('',#494239,#381649,#381650); #352533=AXIS2_PLACEMENT_3D('',#494240,#381651,#381652); #352534=AXIS2_PLACEMENT_3D('',#494241,#381653,#381654); #352535=AXIS2_PLACEMENT_3D('',#494245,#381657,#381658); #352536=AXIS2_PLACEMENT_3D('',#494252,#381663,#381664); #352537=AXIS2_PLACEMENT_3D('',#494254,#381665,#381666); #352538=AXIS2_PLACEMENT_3D('',#494257,#381668,#381669); #352539=AXIS2_PLACEMENT_3D('',#494258,#381670,#381671); #352540=AXIS2_PLACEMENT_3D('',#494260,#381672,#381673); #352541=AXIS2_PLACEMENT_3D('',#494261,#381674,#381675); #352542=AXIS2_PLACEMENT_3D('',#494263,#381676,#381677); #352543=AXIS2_PLACEMENT_3D('',#494266,#381679,#381680); #352544=AXIS2_PLACEMENT_3D('',#494267,#381681,#381682); #352545=AXIS2_PLACEMENT_3D('',#494269,#381683,#381684); #352546=AXIS2_PLACEMENT_3D('',#494270,#381685,#381686); #352547=AXIS2_PLACEMENT_3D('',#494272,#381687,#381688); #352548=AXIS2_PLACEMENT_3D('',#494275,#381690,#381691); #352549=AXIS2_PLACEMENT_3D('',#494276,#381692,#381693); #352550=AXIS2_PLACEMENT_3D('',#494278,#381694,#381695); #352551=AXIS2_PLACEMENT_3D('',#494279,#381696,#381697); #352552=AXIS2_PLACEMENT_3D('',#494281,#381698,#381699); #352553=AXIS2_PLACEMENT_3D('',#494284,#381701,#381702); #352554=AXIS2_PLACEMENT_3D('',#494285,#381703,#381704); #352555=AXIS2_PLACEMENT_3D('',#494287,#381705,#381706); #352556=AXIS2_PLACEMENT_3D('',#494288,#381707,#381708); #352557=AXIS2_PLACEMENT_3D('',#494291,#381710,#381711); #352558=AXIS2_PLACEMENT_3D('',#494292,#381712,#381713); #352559=AXIS2_PLACEMENT_3D('',#494293,#381714,#381715); #352560=AXIS2_PLACEMENT_3D('',#494296,#381717,#381718); #352561=AXIS2_PLACEMENT_3D('',#494297,#381719,#381720); #352562=AXIS2_PLACEMENT_3D('',#494298,#381721,#381722); #352563=AXIS2_PLACEMENT_3D('',#494301,#381724,#381725); #352564=AXIS2_PLACEMENT_3D('',#494302,#381726,#381727); #352565=AXIS2_PLACEMENT_3D('',#494303,#381728,#381729); #352566=AXIS2_PLACEMENT_3D('',#494306,#381731,#381732); #352567=AXIS2_PLACEMENT_3D('',#494307,#381733,#381734); #352568=AXIS2_PLACEMENT_3D('',#494308,#381735,#381736); #352569=AXIS2_PLACEMENT_3D('',#494310,#381737,#381738); #352570=AXIS2_PLACEMENT_3D('',#494311,#381739,#381740); #352571=AXIS2_PLACEMENT_3D('',#494313,#381742,#381743); #352572=AXIS2_PLACEMENT_3D('',#494386,#381748,#381749); #352573=AXIS2_PLACEMENT_3D('',#494460,#381752,#381753); #352574=AXIS2_PLACEMENT_3D('',#494481,#381756,#381757); #352575=AXIS2_PLACEMENT_3D('',#494485,#381760,#381761); #352576=AXIS2_PLACEMENT_3D('',#494578,#381766,#381767); #352577=AXIS2_PLACEMENT_3D('',#494663,#381771,#381772); #352578=AXIS2_PLACEMENT_3D('',#494665,#381774,#381775); #352579=AXIS2_PLACEMENT_3D('',#494694,#381779,#381780); #352580=AXIS2_PLACEMENT_3D('',#495203,#381810,#381811); #352581=AXIS2_PLACEMENT_3D('',#495204,#381812,#381813); #352582=AXIS2_PLACEMENT_3D('',#495282,#381818,#381819); #352583=AXIS2_PLACEMENT_3D('',#495361,#381822,#381823); #352584=AXIS2_PLACEMENT_3D('',#495379,#381826,#381827); #352585=AXIS2_PLACEMENT_3D('',#495383,#381830,#381831); #352586=AXIS2_PLACEMENT_3D('',#495468,#381835,#381836); #352587=AXIS2_PLACEMENT_3D('',#495523,#381839,#381840); #352588=AXIS2_PLACEMENT_3D('',#495525,#381842,#381843); #352589=AXIS2_PLACEMENT_3D('',#495526,#381844,#381845); #352590=AXIS2_PLACEMENT_3D('',#495604,#381850,#381851); #352591=AXIS2_PLACEMENT_3D('',#495688,#381854,#381855); #352592=AXIS2_PLACEMENT_3D('',#495706,#381858,#381859); #352593=AXIS2_PLACEMENT_3D('',#495710,#381862,#381863); #352594=AXIS2_PLACEMENT_3D('',#495775,#381867,#381868); #352595=AXIS2_PLACEMENT_3D('',#495830,#381871,#381872); #352596=AXIS2_PLACEMENT_3D('',#495832,#381874,#381875); #352597=AXIS2_PLACEMENT_3D('',#495833,#381876,#381877); #352598=AXIS2_PLACEMENT_3D('',#495906,#381882,#381883); #352599=AXIS2_PLACEMENT_3D('',#495980,#381886,#381887); #352600=AXIS2_PLACEMENT_3D('',#495998,#381890,#381891); #352601=AXIS2_PLACEMENT_3D('',#496002,#381894,#381895); #352602=AXIS2_PLACEMENT_3D('',#496077,#381899,#381900); #352603=AXIS2_PLACEMENT_3D('',#496132,#381903,#381904); #352604=AXIS2_PLACEMENT_3D('',#496134,#381906,#381907); #352605=AXIS2_PLACEMENT_3D('',#496135,#381908,#381909); #352606=AXIS2_PLACEMENT_3D('',#496213,#381914,#381915); #352607=AXIS2_PLACEMENT_3D('',#496287,#381918,#381919); #352608=AXIS2_PLACEMENT_3D('',#496308,#381922,#381923); #352609=AXIS2_PLACEMENT_3D('',#496312,#381926,#381927); #352610=AXIS2_PLACEMENT_3D('',#496392,#381931,#381932); #352611=AXIS2_PLACEMENT_3D('',#496459,#381935,#381936); #352612=AXIS2_PLACEMENT_3D('',#496461,#381938,#381939); #352613=AXIS2_PLACEMENT_3D('',#496462,#381940,#381941); #352614=AXIS2_PLACEMENT_3D('',#496540,#381946,#381947); #352615=AXIS2_PLACEMENT_3D('',#496614,#381950,#381951); #352616=AXIS2_PLACEMENT_3D('',#496632,#381954,#381955); #352617=AXIS2_PLACEMENT_3D('',#496636,#381958,#381959); #352618=AXIS2_PLACEMENT_3D('',#496716,#381963,#381964); #352619=AXIS2_PLACEMENT_3D('',#496771,#381967,#381968); #352620=AXIS2_PLACEMENT_3D('',#496773,#381970,#381971); #352621=AXIS2_PLACEMENT_3D('',#496774,#381972,#381973); #352622=AXIS2_PLACEMENT_3D('',#496847,#381978,#381979); #352623=AXIS2_PLACEMENT_3D('',#496931,#381982,#381983); #352624=AXIS2_PLACEMENT_3D('',#496950,#381986,#381987); #352625=AXIS2_PLACEMENT_3D('',#496954,#381990,#381991); #352626=AXIS2_PLACEMENT_3D('',#497034,#381995,#381996); #352627=AXIS2_PLACEMENT_3D('',#497093,#381999,#382000); #352628=AXIS2_PLACEMENT_3D('',#497095,#382002,#382003); #352629=AXIS2_PLACEMENT_3D('',#497096,#382004,#382005); #352630=AXIS2_PLACEMENT_3D('',#497179,#382010,#382011); #352631=AXIS2_PLACEMENT_3D('',#497253,#382014,#382015); #352632=AXIS2_PLACEMENT_3D('',#497273,#382018,#382019); #352633=AXIS2_PLACEMENT_3D('',#497277,#382022,#382023); #352634=AXIS2_PLACEMENT_3D('',#497352,#382027,#382028); #352635=AXIS2_PLACEMENT_3D('',#497415,#382031,#382032); #352636=AXIS2_PLACEMENT_3D('',#497417,#382034,#382035); #352637=AXIS2_PLACEMENT_3D('',#497418,#382036,#382037); #352638=AXIS2_PLACEMENT_3D('',#497501,#382042,#382043); #352639=AXIS2_PLACEMENT_3D('',#497585,#382046,#382047); #352640=AXIS2_PLACEMENT_3D('',#497604,#382050,#382051); #352641=AXIS2_PLACEMENT_3D('',#497608,#382054,#382055); #352642=AXIS2_PLACEMENT_3D('',#497683,#382059,#382060); #352643=AXIS2_PLACEMENT_3D('',#497742,#382063,#382064); #352644=AXIS2_PLACEMENT_3D('',#497744,#382066,#382067); #352645=AXIS2_PLACEMENT_3D('',#497745,#382068,#382069); #352646=AXIS2_PLACEMENT_3D('',#497818,#382074,#382075); #352647=AXIS2_PLACEMENT_3D('',#497902,#382078,#382079); #352648=AXIS2_PLACEMENT_3D('',#497920,#382082,#382083); #352649=AXIS2_PLACEMENT_3D('',#497924,#382086,#382087); #352650=AXIS2_PLACEMENT_3D('',#498004,#382091,#382092); #352651=AXIS2_PLACEMENT_3D('',#498059,#382095,#382096); #352652=AXIS2_PLACEMENT_3D('',#498061,#382098,#382099); #352653=AXIS2_PLACEMENT_3D('',#498062,#382100,#382101); #352654=AXIS2_PLACEMENT_3D('',#498140,#382106,#382107); #352655=AXIS2_PLACEMENT_3D('',#498219,#382110,#382111); #352656=AXIS2_PLACEMENT_3D('',#498240,#382114,#382115); #352657=AXIS2_PLACEMENT_3D('',#498244,#382118,#382119); #352658=AXIS2_PLACEMENT_3D('',#498314,#382123,#382124); #352659=AXIS2_PLACEMENT_3D('',#498381,#382127,#382128); #352660=AXIS2_PLACEMENT_3D('',#498383,#382130,#382131); #352661=AXIS2_PLACEMENT_3D('',#498384,#382132,#382133); #352662=AXIS2_PLACEMENT_3D('',#498457,#382138,#382139); #352663=AXIS2_PLACEMENT_3D('',#498536,#382142,#382143); #352664=AXIS2_PLACEMENT_3D('',#498556,#382146,#382147); #352665=AXIS2_PLACEMENT_3D('',#498560,#382150,#382151); #352666=AXIS2_PLACEMENT_3D('',#498640,#382155,#382156); #352667=AXIS2_PLACEMENT_3D('',#498703,#382159,#382160); #352668=AXIS2_PLACEMENT_3D('',#498705,#382162,#382163); #352669=AXIS2_PLACEMENT_3D('',#498706,#382164,#382165); #352670=AXIS2_PLACEMENT_3D('',#498794,#382170,#382171); #352671=AXIS2_PLACEMENT_3D('',#498873,#382174,#382175); #352672=AXIS2_PLACEMENT_3D('',#498893,#382178,#382179); #352673=AXIS2_PLACEMENT_3D('',#498897,#382182,#382183); #352674=AXIS2_PLACEMENT_3D('',#498977,#382187,#382188); #352675=AXIS2_PLACEMENT_3D('',#499040,#382191,#382192); #352676=AXIS2_PLACEMENT_3D('',#499042,#382194,#382195); #352677=AXIS2_PLACEMENT_3D('',#499043,#382196,#382197); #352678=AXIS2_PLACEMENT_3D('',#499121,#382202,#382203); #352679=AXIS2_PLACEMENT_3D('',#499205,#382206,#382207); #352680=AXIS2_PLACEMENT_3D('',#499226,#382210,#382211); #352681=AXIS2_PLACEMENT_3D('',#499230,#382214,#382215); #352682=AXIS2_PLACEMENT_3D('',#499305,#382219,#382220); #352683=AXIS2_PLACEMENT_3D('',#499372,#382223,#382224); #352684=AXIS2_PLACEMENT_3D('',#499374,#382226,#382227); #352685=AXIS2_PLACEMENT_3D('',#499375,#382228,#382229); #352686=AXIS2_PLACEMENT_3D('',#499453,#382234,#382235); #352687=AXIS2_PLACEMENT_3D('',#499527,#382238,#382239); #352688=AXIS2_PLACEMENT_3D('',#499548,#382242,#382243); #352689=AXIS2_PLACEMENT_3D('',#499552,#382246,#382247); #352690=AXIS2_PLACEMENT_3D('',#499637,#382251,#382252); #352691=AXIS2_PLACEMENT_3D('',#499704,#382255,#382256); #352692=AXIS2_PLACEMENT_3D('',#499706,#382258,#382259); #352693=AXIS2_PLACEMENT_3D('',#499707,#382260,#382261); #352694=AXIS2_PLACEMENT_3D('',#499780,#382266,#382267); #352695=AXIS2_PLACEMENT_3D('',#499854,#382270,#382271); #352696=AXIS2_PLACEMENT_3D('',#499873,#382274,#382275); #352697=AXIS2_PLACEMENT_3D('',#499877,#382278,#382279); #352698=AXIS2_PLACEMENT_3D('',#499952,#382283,#382284); #352699=AXIS2_PLACEMENT_3D('',#500011,#382287,#382288); #352700=AXIS2_PLACEMENT_3D('',#500013,#382290,#382291); #352701=AXIS2_PLACEMENT_3D('',#500014,#382292,#382293); #352702=AXIS2_PLACEMENT_3D('',#500016,#382295,#382296); #352703=AXIS2_PLACEMENT_3D('',#500017,#382297,#382298); #352704=AXIS2_PLACEMENT_3D('',#500020,#382299,#382300); #352705=AXIS2_PLACEMENT_3D('',#500023,#382303,#382304); #352706=AXIS2_PLACEMENT_3D('',#500026,#382305,#382306); #352707=AXIS2_PLACEMENT_3D('',#500029,#382309,#382310); #352708=AXIS2_PLACEMENT_3D('',#500032,#382312,#382313); #352709=AXIS2_PLACEMENT_3D('',#500033,#382314,#382315); #352710=AXIS2_PLACEMENT_3D('',#500035,#382317,#382318); #352711=AXIS2_PLACEMENT_3D('',#500037,#382320,#382321); #352712=AXIS2_PLACEMENT_3D('',#500039,#382322,#382323); #352713=AXIS2_PLACEMENT_3D('',#500041,#382325,#382326); #352714=AXIS2_PLACEMENT_3D('',#500045,#382328,#382329); #352715=AXIS2_PLACEMENT_3D('',#500047,#382331,#382332); #352716=AXIS2_PLACEMENT_3D('',#500049,#382334,#382335); #352717=AXIS2_PLACEMENT_3D('',#500053,#382337,#382338); #352718=AXIS2_PLACEMENT_3D('',#500055,#382340,#382341); #352719=AXIS2_PLACEMENT_3D('',#500057,#382343,#382344); #352720=AXIS2_PLACEMENT_3D('',#500060,#382346,#382347); #352721=AXIS2_PLACEMENT_3D('',#500061,#382348,#382349); #352722=AXIS2_PLACEMENT_3D('',#500063,#382351,#382352); #352723=AXIS2_PLACEMENT_3D('',#500064,#382353,#382354); #352724=AXIS2_PLACEMENT_3D('',#500066,#382355,#382356); #352725=AXIS2_PLACEMENT_3D('',#500069,#382358,#382359); #352726=AXIS2_PLACEMENT_3D('',#500070,#382360,#382361); #352727=AXIS2_PLACEMENT_3D('',#500072,#382362,#382363); #352728=AXIS2_PLACEMENT_3D('',#500074,#382365,#382366); #352729=AXIS2_PLACEMENT_3D('',#500076,#382367,#382368); #352730=AXIS2_PLACEMENT_3D('',#500078,#382369,#382370); #352731=AXIS2_PLACEMENT_3D('',#500080,#382371,#382372); #352732=AXIS2_PLACEMENT_3D('',#500082,#382373,#382374); #352733=AXIS2_PLACEMENT_3D('',#500084,#382375,#382376); #352734=AXIS2_PLACEMENT_3D('',#500085,#382377,#382378); #352735=AXIS2_PLACEMENT_3D('',#500087,#382379,#382380); #352736=AXIS2_PLACEMENT_3D('',#500088,#382381,#382382); #352737=AXIS2_PLACEMENT_3D('',#500090,#382383,#382384); #352738=AXIS2_PLACEMENT_3D('',#500093,#382386,#382387); #352739=AXIS2_PLACEMENT_3D('',#500094,#382388,#382389); #352740=AXIS2_PLACEMENT_3D('',#500096,#382390,#382391); #352741=AXIS2_PLACEMENT_3D('',#500099,#382393,#382394); #352742=AXIS2_PLACEMENT_3D('',#500100,#382395,#382396); #352743=AXIS2_PLACEMENT_3D('',#500102,#382397,#382398); #352744=AXIS2_PLACEMENT_3D('',#500105,#382400,#382401); #352745=AXIS2_PLACEMENT_3D('',#500106,#382402,#382403); #352746=AXIS2_PLACEMENT_3D('',#500108,#382404,#382405); #352747=AXIS2_PLACEMENT_3D('',#500111,#382407,#382408); #352748=AXIS2_PLACEMENT_3D('',#500112,#382409,#382410); #352749=AXIS2_PLACEMENT_3D('',#500115,#382412,#382413); #352750=AXIS2_PLACEMENT_3D('',#500116,#382414,#382415); #352751=AXIS2_PLACEMENT_3D('',#500117,#382416,#382417); #352752=AXIS2_PLACEMENT_3D('',#500120,#382419,#382420); #352753=AXIS2_PLACEMENT_3D('',#500121,#382421,#382422); #352754=AXIS2_PLACEMENT_3D('',#500122,#382423,#382424); #352755=AXIS2_PLACEMENT_3D('',#500125,#382426,#382427); #352756=AXIS2_PLACEMENT_3D('',#500126,#382428,#382429); #352757=AXIS2_PLACEMENT_3D('',#500127,#382430,#382431); #352758=AXIS2_PLACEMENT_3D('',#500130,#382433,#382434); #352759=AXIS2_PLACEMENT_3D('',#500131,#382435,#382436); #352760=AXIS2_PLACEMENT_3D('',#500132,#382437,#382438); #352761=AXIS2_PLACEMENT_3D('',#500135,#382440,#382441); #352762=AXIS2_PLACEMENT_3D('',#500136,#382442,#382443); #352763=AXIS2_PLACEMENT_3D('',#500139,#382445,#382446); #352764=AXIS2_PLACEMENT_3D('',#500140,#382447,#382448); #352765=AXIS2_PLACEMENT_3D('',#500141,#382449,#382450); #352766=AXIS2_PLACEMENT_3D('',#500146,#382452,#382453); #352767=AXIS2_PLACEMENT_3D('',#500149,#382455,#382456); #352768=AXIS2_PLACEMENT_3D('',#500150,#382457,#382458); #352769=AXIS2_PLACEMENT_3D('',#500152,#382459,#382460); #352770=AXIS2_PLACEMENT_3D('',#500156,#382462,#382463); #352771=AXIS2_PLACEMENT_3D('',#500158,#382464,#382465); #352772=AXIS2_PLACEMENT_3D('',#500160,#382466,#382467); #352773=AXIS2_PLACEMENT_3D('',#500162,#382468,#382469); #352774=AXIS2_PLACEMENT_3D('',#500164,#382470,#382471); #352775=AXIS2_PLACEMENT_3D('',#500166,#382472,#382473); #352776=AXIS2_PLACEMENT_3D('',#500168,#382474,#382475); #352777=AXIS2_PLACEMENT_3D('',#500170,#382476,#382477); #352778=AXIS2_PLACEMENT_3D('',#500172,#382478,#382479); #352779=AXIS2_PLACEMENT_3D('',#500174,#382480,#382481); #352780=AXIS2_PLACEMENT_3D('',#500176,#382482,#382483); #352781=AXIS2_PLACEMENT_3D('',#500178,#382484,#382485); #352782=AXIS2_PLACEMENT_3D('',#500180,#382486,#382487); #352783=AXIS2_PLACEMENT_3D('',#500182,#382488,#382489); #352784=AXIS2_PLACEMENT_3D('',#500184,#382490,#382491); #352785=AXIS2_PLACEMENT_3D('',#500186,#382492,#382493); #352786=AXIS2_PLACEMENT_3D('',#500188,#382494,#382495); #352787=AXIS2_PLACEMENT_3D('',#500190,#382496,#382497); #352788=AXIS2_PLACEMENT_3D('',#500192,#382498,#382499); #352789=AXIS2_PLACEMENT_3D('',#500194,#382500,#382501); #352790=AXIS2_PLACEMENT_3D('',#500196,#382502,#382503); #352791=AXIS2_PLACEMENT_3D('',#500198,#382504,#382505); #352792=AXIS2_PLACEMENT_3D('',#500200,#382506,#382507); #352793=AXIS2_PLACEMENT_3D('',#500201,#382508,#382509); #352794=AXIS2_PLACEMENT_3D('',#500202,#382510,#382511); #352795=AXIS2_PLACEMENT_3D('',#500214,#382516,#382517); #352796=AXIS2_PLACEMENT_3D('',#500226,#382522,#382523); #352797=AXIS2_PLACEMENT_3D('',#500232,#382526,#382527); #352798=AXIS2_PLACEMENT_3D('',#500248,#382529,#382530); #352799=AXIS2_PLACEMENT_3D('',#500275,#382531,#382532); #352800=AXIS2_PLACEMENT_3D('',#500279,#382535,#382536); #352801=AXIS2_PLACEMENT_3D('',#500283,#382539,#382540); #352802=AXIS2_PLACEMENT_3D('',#500287,#382543,#382544); #352803=AXIS2_PLACEMENT_3D('',#500291,#382547,#382548); #352804=AXIS2_PLACEMENT_3D('',#500295,#382551,#382552); #352805=AXIS2_PLACEMENT_3D('',#500299,#382555,#382556); #352806=AXIS2_PLACEMENT_3D('',#500301,#382558,#382559); #352807=AXIS2_PLACEMENT_3D('',#500303,#382561,#382562); #352808=AXIS2_PLACEMENT_3D('',#500305,#382564,#382565); #352809=AXIS2_PLACEMENT_3D('',#500308,#382568,#382569); #352810=AXIS2_PLACEMENT_3D('',#500312,#382572,#382573); #352811=AXIS2_PLACEMENT_3D('',#500316,#382576,#382577); #352812=AXIS2_PLACEMENT_3D('',#500318,#382579,#382580); #352813=AXIS2_PLACEMENT_3D('',#500320,#382582,#382583); #352814=AXIS2_PLACEMENT_3D('',#500322,#382585,#382586); #352815=AXIS2_PLACEMENT_3D('',#500324,#382588,#382589); #352816=AXIS2_PLACEMENT_3D('',#500326,#382591,#382592); #352817=AXIS2_PLACEMENT_3D('',#500328,#382594,#382595); #352818=AXIS2_PLACEMENT_3D('',#500330,#382597,#382598); #352819=AXIS2_PLACEMENT_3D('',#500331,#382599,#382600); #352820=AXIS2_PLACEMENT_3D('',#500332,#382601,#382602); #352821=AXIS2_PLACEMENT_3D('',#500333,#382603,#382604); #352822=AXIS2_PLACEMENT_3D('',#500335,#382606,#382607); #352823=AXIS2_PLACEMENT_3D('',#500337,#382609,#382610); #352824=AXIS2_PLACEMENT_3D('',#500340,#382613,#382614); #352825=AXIS2_PLACEMENT_3D('',#500342,#382616,#382617); #352826=AXIS2_PLACEMENT_3D('',#500344,#382619,#382620); #352827=AXIS2_PLACEMENT_3D('',#500346,#382622,#382623); #352828=AXIS2_PLACEMENT_3D('',#500348,#382625,#382626); #352829=AXIS2_PLACEMENT_3D('',#500349,#382627,#382628); #352830=AXIS2_PLACEMENT_3D('',#500351,#382630,#382631); #352831=AXIS2_PLACEMENT_3D('',#500353,#382633,#382634); #352832=AXIS2_PLACEMENT_3D('',#500354,#382635,#382636); #352833=AXIS2_PLACEMENT_3D('',#500357,#382638,#382639); #352834=AXIS2_PLACEMENT_3D('',#500358,#382640,#382641); #352835=AXIS2_PLACEMENT_3D('',#500367,#382646,#382647); #352836=AXIS2_PLACEMENT_3D('',#500376,#382652,#382653); #352837=AXIS2_PLACEMENT_3D('',#500390,#382661,#382662); #352838=AXIS2_PLACEMENT_3D('',#500396,#382666,#382667); #352839=AXIS2_PLACEMENT_3D('',#500405,#382672,#382673); #352840=AXIS2_PLACEMENT_3D('',#500416,#382680,#382681); #352841=AXIS2_PLACEMENT_3D('',#500418,#382682,#382683); #352842=AXIS2_PLACEMENT_3D('',#500424,#382687,#382688); #352843=AXIS2_PLACEMENT_3D('',#500425,#382689,#382690); #352844=AXIS2_PLACEMENT_3D('',#500426,#382691,#382692); #352845=AXIS2_PLACEMENT_3D('',#500427,#382693,#382694); #352846=AXIS2_PLACEMENT_3D('',#500433,#382698,#382699); #352847=AXIS2_PLACEMENT_3D('',#500442,#382705,#382706); #352848=AXIS2_PLACEMENT_3D('',#500450,#382711,#382712); #352849=AXIS2_PLACEMENT_3D('',#500456,#382716,#382717); #352850=AXIS2_PLACEMENT_3D('',#500463,#382722,#382723); #352851=AXIS2_PLACEMENT_3D('',#500465,#382725,#382726); #352852=AXIS2_PLACEMENT_3D('',#500467,#382728,#382729); #352853=AXIS2_PLACEMENT_3D('',#500468,#382730,#382731); #352854=AXIS2_PLACEMENT_3D('',#500470,#382733,#382734); #352855=AXIS2_PLACEMENT_3D('',#500472,#382736,#382737); #352856=AXIS2_PLACEMENT_3D('',#500474,#382738,#382739); #352857=AXIS2_PLACEMENT_3D('',#500482,#382743,#382744); #352858=AXIS2_PLACEMENT_3D('',#500486,#382746,#382747); #352859=AXIS2_PLACEMENT_3D('',#500490,#382749,#382750); #352860=AXIS2_PLACEMENT_3D('',#500494,#382753,#382754); #352861=AXIS2_PLACEMENT_3D('',#500498,#382757,#382758); #352862=AXIS2_PLACEMENT_3D('',#500511,#382765,#382766); #352863=AXIS2_PLACEMENT_3D('',#500524,#382773,#382774); #352864=AXIS2_PLACEMENT_3D('',#500537,#382781,#382782); #352865=AXIS2_PLACEMENT_3D('',#500539,#382783,#382784); #352866=AXIS2_PLACEMENT_3D('',#500542,#382786,#382787); #352867=AXIS2_PLACEMENT_3D('',#500543,#382788,#382789); #352868=AXIS2_PLACEMENT_3D('',#500552,#382794,#382795); #352869=AXIS2_PLACEMENT_3D('',#500554,#382796,#382797); #352870=AXIS2_PLACEMENT_3D('',#500557,#382799,#382800); #352871=AXIS2_PLACEMENT_3D('',#500558,#382801,#382802); #352872=AXIS2_PLACEMENT_3D('',#500559,#382803,#382804); #352873=AXIS2_PLACEMENT_3D('',#500561,#382805,#382806); #352874=AXIS2_PLACEMENT_3D('',#500563,#382808,#382809); #352875=AXIS2_PLACEMENT_3D('',#500564,#382810,#382811); #352876=AXIS2_PLACEMENT_3D('',#500566,#382812,#382813); #352877=AXIS2_PLACEMENT_3D('',#500568,#382815,#382816); #352878=AXIS2_PLACEMENT_3D('',#500577,#382821,#382822); #352879=AXIS2_PLACEMENT_3D('',#500579,#382823,#382824); #352880=AXIS2_PLACEMENT_3D('',#500582,#382826,#382827); #352881=AXIS2_PLACEMENT_3D('',#500583,#382828,#382829); #352882=AXIS2_PLACEMENT_3D('',#500584,#382830,#382831); #352883=AXIS2_PLACEMENT_3D('',#500586,#382832,#382833); #352884=AXIS2_PLACEMENT_3D('',#500588,#382835,#382836); #352885=AXIS2_PLACEMENT_3D('',#500589,#382837,#382838); #352886=AXIS2_PLACEMENT_3D('',#500591,#382839,#382840); #352887=AXIS2_PLACEMENT_3D('',#500593,#382842,#382843); #352888=AXIS2_PLACEMENT_3D('',#500595,#382844,#382845); #352889=AXIS2_PLACEMENT_3D('',#500599,#382847,#382848); #352890=AXIS2_PLACEMENT_3D('',#500602,#382850,#382851); #352891=AXIS2_PLACEMENT_3D('',#500603,#382852,#382853); #352892=AXIS2_PLACEMENT_3D('',#500605,#382854,#382855); #352893=AXIS2_PLACEMENT_3D('',#500607,#382857,#382858); #352894=AXIS2_PLACEMENT_3D('',#500611,#382861,#382862); #352895=AXIS2_PLACEMENT_3D('',#500613,#382863,#382864); #352896=AXIS2_PLACEMENT_3D('',#500616,#382866,#382867); #352897=AXIS2_PLACEMENT_3D('',#500617,#382868,#382869); #352898=AXIS2_PLACEMENT_3D('',#500620,#382870,#382871); #352899=AXIS2_PLACEMENT_3D('',#500624,#382873,#382874); #352900=AXIS2_PLACEMENT_3D('',#500626,#382876,#382877); #352901=AXIS2_PLACEMENT_3D('',#500637,#382880,#382881); #352902=AXIS2_PLACEMENT_3D('',#500640,#382882,#382883); #352903=AXIS2_PLACEMENT_3D('',#500644,#382885,#382886); #352904=AXIS2_PLACEMENT_3D('',#500646,#382888,#382889); #352905=AXIS2_PLACEMENT_3D('',#500658,#382893,#382894); #352906=AXIS2_PLACEMENT_3D('',#500659,#382895,#382896); #352907=AXIS2_PLACEMENT_3D('',#500662,#382897,#382898); #352908=AXIS2_PLACEMENT_3D('',#500666,#382900,#382901); #352909=AXIS2_PLACEMENT_3D('',#500668,#382903,#382904); #352910=AXIS2_PLACEMENT_3D('',#500679,#382907,#382908); #352911=AXIS2_PLACEMENT_3D('',#500680,#382909,#382910); #352912=AXIS2_PLACEMENT_3D('',#500681,#382911,#382912); #352913=AXIS2_PLACEMENT_3D('',#500686,#382914,#382915); #352914=AXIS2_PLACEMENT_3D('',#500689,#382917,#382918); #352915=AXIS2_PLACEMENT_3D('',#500690,#382919,#382920); #352916=AXIS2_PLACEMENT_3D('',#500694,#382921,#382922); #352917=AXIS2_PLACEMENT_3D('',#500701,#382923,#382924); #352918=AXIS2_PLACEMENT_3D('',#500705,#382925,#382926); #352919=AXIS2_PLACEMENT_3D('',#500706,#382927,#382928); #352920=AXIS2_PLACEMENT_3D('',#500711,#382929,#382930); #352921=AXIS2_PLACEMENT_3D('',#500713,#382931,#382932); #352922=AXIS2_PLACEMENT_3D('',#500720,#382933,#382934); #352923=AXIS2_PLACEMENT_3D('',#500725,#382935,#382936); #352924=AXIS2_PLACEMENT_3D('',#500726,#382937,#382938); #352925=AXIS2_PLACEMENT_3D('',#500727,#382939,#382940); #352926=AXIS2_PLACEMENT_3D('',#500728,#382941,#382942); #352927=AXIS2_PLACEMENT_3D('',#500729,#382943,#382944); #352928=AXIS2_PLACEMENT_3D('',#500730,#382945,#382946); #352929=AXIS2_PLACEMENT_3D('',#500731,#382947,#382948); #352930=AXIS2_PLACEMENT_3D('',#500732,#382949,#382950); #352931=AXIS2_PLACEMENT_3D('',#500733,#382951,#382952); #352932=AXIS2_PLACEMENT_3D('',#500734,#382953,#382954); #352933=AXIS2_PLACEMENT_3D('',#500735,#382955,#382956); #352934=AXIS2_PLACEMENT_3D('',#500737,#382957,#382958); #352935=AXIS2_PLACEMENT_3D('',#500739,#382960,#382961); #352936=AXIS2_PLACEMENT_3D('',#500744,#382964,#382965); #352937=AXIS2_PLACEMENT_3D('',#500745,#382966,#382967); #352938=AXIS2_PLACEMENT_3D('',#500747,#382968,#382969); #352939=AXIS2_PLACEMENT_3D('',#500750,#382971,#382972); #352940=AXIS2_PLACEMENT_3D('',#500751,#382973,#382974); #352941=AXIS2_PLACEMENT_3D('',#500756,#382976,#382977); #352942=AXIS2_PLACEMENT_3D('',#500759,#382979,#382980); #352943=AXIS2_PLACEMENT_3D('',#500760,#382981,#382982); #352944=AXIS2_PLACEMENT_3D('',#500762,#382983,#382984); #352945=AXIS2_PLACEMENT_3D('',#500767,#382987,#382988); #352946=AXIS2_PLACEMENT_3D('',#500771,#382990,#382991); #352947=AXIS2_PLACEMENT_3D('',#500776,#382994,#382995); #352948=AXIS2_PLACEMENT_3D('',#500780,#382997,#382998); #352949=AXIS2_PLACEMENT_3D('',#500784,#383000,#383001); #352950=AXIS2_PLACEMENT_3D('',#500787,#383004,#383005); #352951=AXIS2_PLACEMENT_3D('',#500798,#383006,#383007); #352952=AXIS2_PLACEMENT_3D('',#500802,#383008,#383009); #352953=AXIS2_PLACEMENT_3D('',#500809,#383010,#383011); #352954=AXIS2_PLACEMENT_3D('',#500813,#383012,#383013); #352955=AXIS2_PLACEMENT_3D('',#500823,#383014,#383015); #352956=AXIS2_PLACEMENT_3D('',#500830,#383016,#383017); #352957=AXIS2_PLACEMENT_3D('',#500835,#383018,#383019); #352958=AXIS2_PLACEMENT_3D('',#500840,#383020,#383021); #352959=AXIS2_PLACEMENT_3D('',#500841,#383022,#383023); #352960=AXIS2_PLACEMENT_3D('',#500843,#383024,#383025); #352961=AXIS2_PLACEMENT_3D('',#500845,#383027,#383028); #352962=AXIS2_PLACEMENT_3D('',#500850,#383029,#383030); #352963=AXIS2_PLACEMENT_3D('',#500851,#383031,#383032); #352964=AXIS2_PLACEMENT_3D('',#500856,#383033,#383034); #352965=AXIS2_PLACEMENT_3D('',#500857,#383035,#383036); #352966=AXIS2_PLACEMENT_3D('',#500858,#383037,#383038); #352967=AXIS2_PLACEMENT_3D('',#500862,#383040,#383041); #352968=AXIS2_PLACEMENT_3D('',#500864,#383043,#383044); #352969=AXIS2_PLACEMENT_3D('',#500868,#383047,#383048); #352970=AXIS2_PLACEMENT_3D('',#500871,#383050,#383051); #352971=AXIS2_PLACEMENT_3D('',#500872,#383052,#383053); #352972=AXIS2_PLACEMENT_3D('',#500876,#383056,#383057); #352973=AXIS2_PLACEMENT_3D('',#500879,#383059,#383060); #352974=AXIS2_PLACEMENT_3D('',#500880,#383061,#383062); #352975=AXIS2_PLACEMENT_3D('',#500884,#383065,#383066); #352976=AXIS2_PLACEMENT_3D('',#500886,#383068,#383069); #352977=AXIS2_PLACEMENT_3D('',#500890,#383072,#383073); #352978=AXIS2_PLACEMENT_3D('',#500892,#383075,#383076); #352979=AXIS2_PLACEMENT_3D('',#500893,#383077,#383078); #352980=AXIS2_PLACEMENT_3D('',#500894,#383079,#383080); #352981=AXIS2_PLACEMENT_3D('',#500895,#383081,#383082); #352982=AXIS2_PLACEMENT_3D('',#500896,#383083,#383084); #352983=AXIS2_PLACEMENT_3D('',#500897,#383085,#383086); #352984=AXIS2_PLACEMENT_3D('',#500898,#383087,#383088); #352985=AXIS2_PLACEMENT_3D('',#500899,#383089,#383090); #352986=AXIS2_PLACEMENT_3D('',#500901,#383091,#383092); #352987=AXIS2_PLACEMENT_3D('',#500904,#383094,#383095); #352988=AXIS2_PLACEMENT_3D('',#500905,#383096,#383097); #352989=AXIS2_PLACEMENT_3D('',#500907,#383098,#383099); #352990=AXIS2_PLACEMENT_3D('',#500910,#383101,#383102); #352991=AXIS2_PLACEMENT_3D('',#500911,#383103,#383104); #352992=AXIS2_PLACEMENT_3D('',#500913,#383105,#383106); #352993=AXIS2_PLACEMENT_3D('',#500916,#383108,#383109); #352994=AXIS2_PLACEMENT_3D('',#500917,#383110,#383111); #352995=AXIS2_PLACEMENT_3D('',#500919,#383112,#383113); #352996=AXIS2_PLACEMENT_3D('',#500922,#383115,#383116); #352997=AXIS2_PLACEMENT_3D('',#500923,#383117,#383118); #352998=AXIS2_PLACEMENT_3D('',#500925,#383119,#383120); #352999=AXIS2_PLACEMENT_3D('',#500928,#383122,#383123); #353000=AXIS2_PLACEMENT_3D('',#500929,#383124,#383125); #353001=AXIS2_PLACEMENT_3D('',#500931,#383126,#383127); #353002=AXIS2_PLACEMENT_3D('',#500934,#383129,#383130); #353003=AXIS2_PLACEMENT_3D('',#500935,#383131,#383132); #353004=AXIS2_PLACEMENT_3D('',#500937,#383133,#383134); #353005=AXIS2_PLACEMENT_3D('',#500940,#383136,#383137); #353006=AXIS2_PLACEMENT_3D('',#500941,#383138,#383139); #353007=AXIS2_PLACEMENT_3D('',#500943,#383140,#383141); #353008=AXIS2_PLACEMENT_3D('',#500946,#383143,#383144); #353009=AXIS2_PLACEMENT_3D('',#500947,#383145,#383146); #353010=AXIS2_PLACEMENT_3D('',#500949,#383147,#383148); #353011=AXIS2_PLACEMENT_3D('',#500952,#383150,#383151); #353012=AXIS2_PLACEMENT_3D('',#500953,#383152,#383153); #353013=AXIS2_PLACEMENT_3D('',#500955,#383154,#383155); #353014=AXIS2_PLACEMENT_3D('',#500958,#383157,#383158); #353015=AXIS2_PLACEMENT_3D('',#500959,#383159,#383160); #353016=AXIS2_PLACEMENT_3D('',#500961,#383161,#383162); #353017=AXIS2_PLACEMENT_3D('',#500964,#383164,#383165); #353018=AXIS2_PLACEMENT_3D('',#500965,#383166,#383167); #353019=AXIS2_PLACEMENT_3D('',#500967,#383168,#383169); #353020=AXIS2_PLACEMENT_3D('',#500970,#383171,#383172); #353021=AXIS2_PLACEMENT_3D('',#500971,#383173,#383174); #353022=AXIS2_PLACEMENT_3D('',#500973,#383175,#383176); #353023=AXIS2_PLACEMENT_3D('',#500976,#383178,#383179); #353024=AXIS2_PLACEMENT_3D('',#500977,#383180,#383181); #353025=AXIS2_PLACEMENT_3D('',#500979,#383182,#383183); #353026=AXIS2_PLACEMENT_3D('',#500982,#383185,#383186); #353027=AXIS2_PLACEMENT_3D('',#500983,#383187,#383188); #353028=AXIS2_PLACEMENT_3D('',#500985,#383189,#383190); #353029=AXIS2_PLACEMENT_3D('',#500988,#383192,#383193); #353030=AXIS2_PLACEMENT_3D('',#500989,#383194,#383195); #353031=AXIS2_PLACEMENT_3D('',#500991,#383196,#383197); #353032=AXIS2_PLACEMENT_3D('',#500994,#383199,#383200); #353033=AXIS2_PLACEMENT_3D('',#500995,#383201,#383202); #353034=AXIS2_PLACEMENT_3D('',#500997,#383203,#383204); #353035=AXIS2_PLACEMENT_3D('',#501000,#383206,#383207); #353036=AXIS2_PLACEMENT_3D('',#501001,#383208,#383209); #353037=AXIS2_PLACEMENT_3D('',#501003,#383210,#383211); #353038=AXIS2_PLACEMENT_3D('',#501006,#383213,#383214); #353039=AXIS2_PLACEMENT_3D('',#501007,#383215,#383216); #353040=AXIS2_PLACEMENT_3D('',#501009,#383217,#383218); #353041=AXIS2_PLACEMENT_3D('',#501012,#383220,#383221); #353042=AXIS2_PLACEMENT_3D('',#501013,#383222,#383223); #353043=AXIS2_PLACEMENT_3D('',#501015,#383224,#383225); #353044=AXIS2_PLACEMENT_3D('',#501018,#383227,#383228); #353045=AXIS2_PLACEMENT_3D('',#501019,#383229,#383230); #353046=AXIS2_PLACEMENT_3D('',#501021,#383231,#383232); #353047=AXIS2_PLACEMENT_3D('',#501024,#383234,#383235); #353048=AXIS2_PLACEMENT_3D('',#501025,#383236,#383237); #353049=AXIS2_PLACEMENT_3D('',#501027,#383238,#383239); #353050=AXIS2_PLACEMENT_3D('',#501030,#383241,#383242); #353051=AXIS2_PLACEMENT_3D('',#501031,#383243,#383244); #353052=AXIS2_PLACEMENT_3D('',#501033,#383245,#383246); #353053=AXIS2_PLACEMENT_3D('',#501036,#383248,#383249); #353054=AXIS2_PLACEMENT_3D('',#501037,#383250,#383251); #353055=AXIS2_PLACEMENT_3D('',#501039,#383252,#383253); #353056=AXIS2_PLACEMENT_3D('',#501042,#383255,#383256); #353057=AXIS2_PLACEMENT_3D('',#501043,#383257,#383258); #353058=AXIS2_PLACEMENT_3D('',#501045,#383259,#383260); #353059=AXIS2_PLACEMENT_3D('',#501048,#383262,#383263); #353060=AXIS2_PLACEMENT_3D('',#501049,#383264,#383265); #353061=AXIS2_PLACEMENT_3D('',#501051,#383266,#383267); #353062=AXIS2_PLACEMENT_3D('',#501054,#383269,#383270); #353063=AXIS2_PLACEMENT_3D('',#501055,#383271,#383272); #353064=AXIS2_PLACEMENT_3D('',#501057,#383273,#383274); #353065=AXIS2_PLACEMENT_3D('',#501060,#383276,#383277); #353066=AXIS2_PLACEMENT_3D('',#501061,#383278,#383279); #353067=AXIS2_PLACEMENT_3D('',#501063,#383280,#383281); #353068=AXIS2_PLACEMENT_3D('',#501066,#383283,#383284); #353069=AXIS2_PLACEMENT_3D('',#501067,#383285,#383286); #353070=AXIS2_PLACEMENT_3D('',#501069,#383287,#383288); #353071=AXIS2_PLACEMENT_3D('',#501072,#383290,#383291); #353072=AXIS2_PLACEMENT_3D('',#501073,#383292,#383293); #353073=AXIS2_PLACEMENT_3D('',#501075,#383294,#383295); #353074=AXIS2_PLACEMENT_3D('',#501078,#383297,#383298); #353075=AXIS2_PLACEMENT_3D('',#501079,#383299,#383300); #353076=AXIS2_PLACEMENT_3D('',#501081,#383301,#383302); #353077=AXIS2_PLACEMENT_3D('',#501084,#383304,#383305); #353078=AXIS2_PLACEMENT_3D('',#501085,#383306,#383307); #353079=AXIS2_PLACEMENT_3D('',#501087,#383308,#383309); #353080=AXIS2_PLACEMENT_3D('',#501090,#383311,#383312); #353081=AXIS2_PLACEMENT_3D('',#501091,#383313,#383314); #353082=AXIS2_PLACEMENT_3D('',#501093,#383315,#383316); #353083=AXIS2_PLACEMENT_3D('',#501096,#383318,#383319); #353084=AXIS2_PLACEMENT_3D('',#501097,#383320,#383321); #353085=AXIS2_PLACEMENT_3D('',#501099,#383322,#383323); #353086=AXIS2_PLACEMENT_3D('',#501102,#383325,#383326); #353087=AXIS2_PLACEMENT_3D('',#501103,#383327,#383328); #353088=AXIS2_PLACEMENT_3D('',#501105,#383329,#383330); #353089=AXIS2_PLACEMENT_3D('',#501108,#383332,#383333); #353090=AXIS2_PLACEMENT_3D('',#501109,#383334,#383335); #353091=AXIS2_PLACEMENT_3D('',#501111,#383336,#383337); #353092=AXIS2_PLACEMENT_3D('',#501114,#383339,#383340); #353093=AXIS2_PLACEMENT_3D('',#501115,#383341,#383342); #353094=AXIS2_PLACEMENT_3D('',#501117,#383343,#383344); #353095=AXIS2_PLACEMENT_3D('',#501120,#383346,#383347); #353096=AXIS2_PLACEMENT_3D('',#501121,#383348,#383349); #353097=AXIS2_PLACEMENT_3D('',#501123,#383350,#383351); #353098=AXIS2_PLACEMENT_3D('',#501126,#383353,#383354); #353099=AXIS2_PLACEMENT_3D('',#501127,#383355,#383356); #353100=AXIS2_PLACEMENT_3D('',#501129,#383357,#383358); #353101=AXIS2_PLACEMENT_3D('',#501132,#383360,#383361); #353102=AXIS2_PLACEMENT_3D('',#501133,#383362,#383363); #353103=AXIS2_PLACEMENT_3D('',#501135,#383364,#383365); #353104=AXIS2_PLACEMENT_3D('',#501138,#383367,#383368); #353105=AXIS2_PLACEMENT_3D('',#501139,#383369,#383370); #353106=AXIS2_PLACEMENT_3D('',#501141,#383371,#383372); #353107=AXIS2_PLACEMENT_3D('',#501144,#383374,#383375); #353108=AXIS2_PLACEMENT_3D('',#501145,#383376,#383377); #353109=AXIS2_PLACEMENT_3D('',#501147,#383378,#383379); #353110=AXIS2_PLACEMENT_3D('',#501150,#383381,#383382); #353111=AXIS2_PLACEMENT_3D('',#501151,#383383,#383384); #353112=AXIS2_PLACEMENT_3D('',#501153,#383385,#383386); #353113=AXIS2_PLACEMENT_3D('',#501156,#383388,#383389); #353114=AXIS2_PLACEMENT_3D('',#501157,#383390,#383391); #353115=AXIS2_PLACEMENT_3D('',#501159,#383392,#383393); #353116=AXIS2_PLACEMENT_3D('',#501162,#383395,#383396); #353117=AXIS2_PLACEMENT_3D('',#501163,#383397,#383398); #353118=AXIS2_PLACEMENT_3D('',#501165,#383399,#383400); #353119=AXIS2_PLACEMENT_3D('',#501168,#383402,#383403); #353120=AXIS2_PLACEMENT_3D('',#501169,#383404,#383405); #353121=AXIS2_PLACEMENT_3D('',#501171,#383406,#383407); #353122=AXIS2_PLACEMENT_3D('',#501174,#383409,#383410); #353123=AXIS2_PLACEMENT_3D('',#501175,#383411,#383412); #353124=AXIS2_PLACEMENT_3D('',#501177,#383413,#383414); #353125=AXIS2_PLACEMENT_3D('',#501180,#383416,#383417); #353126=AXIS2_PLACEMENT_3D('',#501181,#383418,#383419); #353127=AXIS2_PLACEMENT_3D('',#501183,#383420,#383421); #353128=AXIS2_PLACEMENT_3D('',#501186,#383423,#383424); #353129=AXIS2_PLACEMENT_3D('',#501187,#383425,#383426); #353130=AXIS2_PLACEMENT_3D('',#501189,#383427,#383428); #353131=AXIS2_PLACEMENT_3D('',#501192,#383430,#383431); #353132=AXIS2_PLACEMENT_3D('',#501193,#383432,#383433); #353133=AXIS2_PLACEMENT_3D('',#501195,#383434,#383435); #353134=AXIS2_PLACEMENT_3D('',#501198,#383437,#383438); #353135=AXIS2_PLACEMENT_3D('',#501199,#383439,#383440); #353136=AXIS2_PLACEMENT_3D('',#501201,#383441,#383442); #353137=AXIS2_PLACEMENT_3D('',#501204,#383444,#383445); #353138=AXIS2_PLACEMENT_3D('',#501205,#383446,#383447); #353139=AXIS2_PLACEMENT_3D('',#501207,#383448,#383449); #353140=AXIS2_PLACEMENT_3D('',#501210,#383451,#383452); #353141=AXIS2_PLACEMENT_3D('',#501211,#383453,#383454); #353142=AXIS2_PLACEMENT_3D('',#501213,#383455,#383456); #353143=AXIS2_PLACEMENT_3D('',#501216,#383458,#383459); #353144=AXIS2_PLACEMENT_3D('',#501217,#383460,#383461); #353145=AXIS2_PLACEMENT_3D('',#501219,#383462,#383463); #353146=AXIS2_PLACEMENT_3D('',#501222,#383465,#383466); #353147=AXIS2_PLACEMENT_3D('',#501223,#383467,#383468); #353148=AXIS2_PLACEMENT_3D('',#501225,#383469,#383470); #353149=AXIS2_PLACEMENT_3D('',#501228,#383472,#383473); #353150=AXIS2_PLACEMENT_3D('',#501229,#383474,#383475); #353151=AXIS2_PLACEMENT_3D('',#501231,#383476,#383477); #353152=AXIS2_PLACEMENT_3D('',#501234,#383479,#383480); #353153=AXIS2_PLACEMENT_3D('',#501235,#383481,#383482); #353154=AXIS2_PLACEMENT_3D('',#501237,#383483,#383484); #353155=AXIS2_PLACEMENT_3D('',#501240,#383486,#383487); #353156=AXIS2_PLACEMENT_3D('',#501241,#383488,#383489); #353157=AXIS2_PLACEMENT_3D('',#501243,#383490,#383491); #353158=AXIS2_PLACEMENT_3D('',#501246,#383493,#383494); #353159=AXIS2_PLACEMENT_3D('',#501247,#383495,#383496); #353160=AXIS2_PLACEMENT_3D('',#501249,#383497,#383498); #353161=AXIS2_PLACEMENT_3D('',#501252,#383500,#383501); #353162=AXIS2_PLACEMENT_3D('',#501253,#383502,#383503); #353163=AXIS2_PLACEMENT_3D('',#501255,#383504,#383505); #353164=AXIS2_PLACEMENT_3D('',#501258,#383507,#383508); #353165=AXIS2_PLACEMENT_3D('',#501259,#383509,#383510); #353166=AXIS2_PLACEMENT_3D('',#501261,#383511,#383512); #353167=AXIS2_PLACEMENT_3D('',#501264,#383514,#383515); #353168=AXIS2_PLACEMENT_3D('',#501265,#383516,#383517); #353169=AXIS2_PLACEMENT_3D('',#501267,#383518,#383519); #353170=AXIS2_PLACEMENT_3D('',#501270,#383521,#383522); #353171=AXIS2_PLACEMENT_3D('',#501271,#383523,#383524); #353172=AXIS2_PLACEMENT_3D('',#501273,#383525,#383526); #353173=AXIS2_PLACEMENT_3D('',#501276,#383528,#383529); #353174=AXIS2_PLACEMENT_3D('',#501277,#383530,#383531); #353175=AXIS2_PLACEMENT_3D('',#501279,#383532,#383533); #353176=AXIS2_PLACEMENT_3D('',#501282,#383535,#383536); #353177=AXIS2_PLACEMENT_3D('',#501283,#383537,#383538); #353178=AXIS2_PLACEMENT_3D('',#501285,#383539,#383540); #353179=AXIS2_PLACEMENT_3D('',#501288,#383542,#383543); #353180=AXIS2_PLACEMENT_3D('',#501289,#383544,#383545); #353181=AXIS2_PLACEMENT_3D('',#501291,#383546,#383547); #353182=AXIS2_PLACEMENT_3D('',#501294,#383549,#383550); #353183=AXIS2_PLACEMENT_3D('',#501295,#383551,#383552); #353184=AXIS2_PLACEMENT_3D('',#501297,#383553,#383554); #353185=AXIS2_PLACEMENT_3D('',#501300,#383556,#383557); #353186=AXIS2_PLACEMENT_3D('',#501301,#383558,#383559); #353187=AXIS2_PLACEMENT_3D('',#501303,#383560,#383561); #353188=AXIS2_PLACEMENT_3D('',#501306,#383563,#383564); #353189=AXIS2_PLACEMENT_3D('',#501307,#383565,#383566); #353190=AXIS2_PLACEMENT_3D('',#501309,#383567,#383568); #353191=AXIS2_PLACEMENT_3D('',#501312,#383570,#383571); #353192=AXIS2_PLACEMENT_3D('',#501313,#383572,#383573); #353193=AXIS2_PLACEMENT_3D('',#501315,#383574,#383575); #353194=AXIS2_PLACEMENT_3D('',#501318,#383577,#383578); #353195=AXIS2_PLACEMENT_3D('',#501319,#383579,#383580); #353196=AXIS2_PLACEMENT_3D('',#501321,#383581,#383582); #353197=AXIS2_PLACEMENT_3D('',#501324,#383584,#383585); #353198=AXIS2_PLACEMENT_3D('',#501325,#383586,#383587); #353199=AXIS2_PLACEMENT_3D('',#501327,#383588,#383589); #353200=AXIS2_PLACEMENT_3D('',#501330,#383591,#383592); #353201=AXIS2_PLACEMENT_3D('',#501331,#383593,#383594); #353202=AXIS2_PLACEMENT_3D('',#501333,#383595,#383596); #353203=AXIS2_PLACEMENT_3D('',#501336,#383598,#383599); #353204=AXIS2_PLACEMENT_3D('',#501337,#383600,#383601); #353205=AXIS2_PLACEMENT_3D('',#501339,#383602,#383603); #353206=AXIS2_PLACEMENT_3D('',#501342,#383605,#383606); #353207=AXIS2_PLACEMENT_3D('',#501343,#383607,#383608); #353208=AXIS2_PLACEMENT_3D('',#501345,#383609,#383610); #353209=AXIS2_PLACEMENT_3D('',#501348,#383612,#383613); #353210=AXIS2_PLACEMENT_3D('',#501349,#383614,#383615); #353211=AXIS2_PLACEMENT_3D('',#501351,#383616,#383617); #353212=AXIS2_PLACEMENT_3D('',#501354,#383619,#383620); #353213=AXIS2_PLACEMENT_3D('',#501355,#383621,#383622); #353214=AXIS2_PLACEMENT_3D('',#501357,#383623,#383624); #353215=AXIS2_PLACEMENT_3D('',#501360,#383626,#383627); #353216=AXIS2_PLACEMENT_3D('',#501361,#383628,#383629); #353217=AXIS2_PLACEMENT_3D('',#501363,#383630,#383631); #353218=AXIS2_PLACEMENT_3D('',#501366,#383633,#383634); #353219=AXIS2_PLACEMENT_3D('',#501367,#383635,#383636); #353220=AXIS2_PLACEMENT_3D('',#501369,#383637,#383638); #353221=AXIS2_PLACEMENT_3D('',#501372,#383640,#383641); #353222=AXIS2_PLACEMENT_3D('',#501373,#383642,#383643); #353223=AXIS2_PLACEMENT_3D('',#501375,#383644,#383645); #353224=AXIS2_PLACEMENT_3D('',#501378,#383647,#383648); #353225=AXIS2_PLACEMENT_3D('',#501379,#383649,#383650); #353226=AXIS2_PLACEMENT_3D('',#501381,#383651,#383652); #353227=AXIS2_PLACEMENT_3D('',#501384,#383654,#383655); #353228=AXIS2_PLACEMENT_3D('',#501385,#383656,#383657); #353229=AXIS2_PLACEMENT_3D('',#501387,#383658,#383659); #353230=AXIS2_PLACEMENT_3D('',#501390,#383661,#383662); #353231=AXIS2_PLACEMENT_3D('',#501391,#383663,#383664); #353232=AXIS2_PLACEMENT_3D('',#501393,#383665,#383666); #353233=AXIS2_PLACEMENT_3D('',#501396,#383668,#383669); #353234=AXIS2_PLACEMENT_3D('',#501397,#383670,#383671); #353235=AXIS2_PLACEMENT_3D('',#501399,#383672,#383673); #353236=AXIS2_PLACEMENT_3D('',#501402,#383675,#383676); #353237=AXIS2_PLACEMENT_3D('',#501403,#383677,#383678); #353238=AXIS2_PLACEMENT_3D('',#501405,#383679,#383680); #353239=AXIS2_PLACEMENT_3D('',#501408,#383682,#383683); #353240=AXIS2_PLACEMENT_3D('',#501409,#383684,#383685); #353241=AXIS2_PLACEMENT_3D('',#501411,#383686,#383687); #353242=AXIS2_PLACEMENT_3D('',#501414,#383689,#383690); #353243=AXIS2_PLACEMENT_3D('',#501415,#383691,#383692); #353244=AXIS2_PLACEMENT_3D('',#501417,#383693,#383694); #353245=AXIS2_PLACEMENT_3D('',#501420,#383696,#383697); #353246=AXIS2_PLACEMENT_3D('',#501421,#383698,#383699); #353247=AXIS2_PLACEMENT_3D('',#501423,#383700,#383701); #353248=AXIS2_PLACEMENT_3D('',#501426,#383703,#383704); #353249=AXIS2_PLACEMENT_3D('',#501427,#383705,#383706); #353250=AXIS2_PLACEMENT_3D('',#501429,#383707,#383708); #353251=AXIS2_PLACEMENT_3D('',#501432,#383710,#383711); #353252=AXIS2_PLACEMENT_3D('',#501433,#383712,#383713); #353253=AXIS2_PLACEMENT_3D('',#501435,#383714,#383715); #353254=AXIS2_PLACEMENT_3D('',#501438,#383717,#383718); #353255=AXIS2_PLACEMENT_3D('',#501439,#383719,#383720); #353256=AXIS2_PLACEMENT_3D('',#501441,#383721,#383722); #353257=AXIS2_PLACEMENT_3D('',#501444,#383724,#383725); #353258=AXIS2_PLACEMENT_3D('',#501445,#383726,#383727); #353259=AXIS2_PLACEMENT_3D('',#501447,#383728,#383729); #353260=AXIS2_PLACEMENT_3D('',#501450,#383731,#383732); #353261=AXIS2_PLACEMENT_3D('',#501451,#383733,#383734); #353262=AXIS2_PLACEMENT_3D('',#501453,#383735,#383736); #353263=AXIS2_PLACEMENT_3D('',#501456,#383738,#383739); #353264=AXIS2_PLACEMENT_3D('',#501457,#383740,#383741); #353265=AXIS2_PLACEMENT_3D('',#501459,#383742,#383743); #353266=AXIS2_PLACEMENT_3D('',#501462,#383745,#383746); #353267=AXIS2_PLACEMENT_3D('',#501463,#383747,#383748); #353268=AXIS2_PLACEMENT_3D('',#501465,#383749,#383750); #353269=AXIS2_PLACEMENT_3D('',#501468,#383752,#383753); #353270=AXIS2_PLACEMENT_3D('',#501469,#383754,#383755); #353271=AXIS2_PLACEMENT_3D('',#501471,#383756,#383757); #353272=AXIS2_PLACEMENT_3D('',#501474,#383759,#383760); #353273=AXIS2_PLACEMENT_3D('',#501475,#383761,#383762); #353274=AXIS2_PLACEMENT_3D('',#501477,#383763,#383764); #353275=AXIS2_PLACEMENT_3D('',#501480,#383766,#383767); #353276=AXIS2_PLACEMENT_3D('',#501481,#383768,#383769); #353277=AXIS2_PLACEMENT_3D('',#501483,#383770,#383771); #353278=AXIS2_PLACEMENT_3D('',#501486,#383773,#383774); #353279=AXIS2_PLACEMENT_3D('',#501487,#383775,#383776); #353280=AXIS2_PLACEMENT_3D('',#501489,#383777,#383778); #353281=AXIS2_PLACEMENT_3D('',#501492,#383780,#383781); #353282=AXIS2_PLACEMENT_3D('',#501493,#383782,#383783); #353283=AXIS2_PLACEMENT_3D('',#501495,#383784,#383785); #353284=AXIS2_PLACEMENT_3D('',#501498,#383787,#383788); #353285=AXIS2_PLACEMENT_3D('',#501499,#383789,#383790); #353286=AXIS2_PLACEMENT_3D('',#501501,#383791,#383792); #353287=AXIS2_PLACEMENT_3D('',#501504,#383794,#383795); #353288=AXIS2_PLACEMENT_3D('',#501505,#383796,#383797); #353289=AXIS2_PLACEMENT_3D('',#501507,#383798,#383799); #353290=AXIS2_PLACEMENT_3D('',#501510,#383801,#383802); #353291=AXIS2_PLACEMENT_3D('',#501511,#383803,#383804); #353292=AXIS2_PLACEMENT_3D('',#501513,#383805,#383806); #353293=AXIS2_PLACEMENT_3D('',#501516,#383808,#383809); #353294=AXIS2_PLACEMENT_3D('',#501517,#383810,#383811); #353295=AXIS2_PLACEMENT_3D('',#501519,#383812,#383813); #353296=AXIS2_PLACEMENT_3D('',#501522,#383815,#383816); #353297=AXIS2_PLACEMENT_3D('',#501523,#383817,#383818); #353298=AXIS2_PLACEMENT_3D('',#501525,#383819,#383820); #353299=AXIS2_PLACEMENT_3D('',#501528,#383822,#383823); #353300=AXIS2_PLACEMENT_3D('',#501529,#383824,#383825); #353301=AXIS2_PLACEMENT_3D('',#501531,#383826,#383827); #353302=AXIS2_PLACEMENT_3D('',#501534,#383829,#383830); #353303=AXIS2_PLACEMENT_3D('',#501535,#383831,#383832); #353304=AXIS2_PLACEMENT_3D('',#501537,#383833,#383834); #353305=AXIS2_PLACEMENT_3D('',#501540,#383836,#383837); #353306=AXIS2_PLACEMENT_3D('',#501541,#383838,#383839); #353307=AXIS2_PLACEMENT_3D('',#501543,#383840,#383841); #353308=AXIS2_PLACEMENT_3D('',#501546,#383843,#383844); #353309=AXIS2_PLACEMENT_3D('',#501547,#383845,#383846); #353310=AXIS2_PLACEMENT_3D('',#501549,#383847,#383848); #353311=AXIS2_PLACEMENT_3D('',#501552,#383850,#383851); #353312=AXIS2_PLACEMENT_3D('',#501553,#383852,#383853); #353313=AXIS2_PLACEMENT_3D('',#501555,#383854,#383855); #353314=AXIS2_PLACEMENT_3D('',#501558,#383857,#383858); #353315=AXIS2_PLACEMENT_3D('',#501559,#383859,#383860); #353316=AXIS2_PLACEMENT_3D('',#501561,#383861,#383862); #353317=AXIS2_PLACEMENT_3D('',#501564,#383864,#383865); #353318=AXIS2_PLACEMENT_3D('',#501565,#383866,#383867); #353319=AXIS2_PLACEMENT_3D('',#501567,#383868,#383869); #353320=AXIS2_PLACEMENT_3D('',#501570,#383871,#383872); #353321=AXIS2_PLACEMENT_3D('',#501571,#383873,#383874); #353322=AXIS2_PLACEMENT_3D('',#501573,#383875,#383876); #353323=AXIS2_PLACEMENT_3D('',#501576,#383878,#383879); #353324=AXIS2_PLACEMENT_3D('',#501577,#383880,#383881); #353325=AXIS2_PLACEMENT_3D('',#501579,#383882,#383883); #353326=AXIS2_PLACEMENT_3D('',#501582,#383885,#383886); #353327=AXIS2_PLACEMENT_3D('',#501583,#383887,#383888); #353328=AXIS2_PLACEMENT_3D('',#501585,#383889,#383890); #353329=AXIS2_PLACEMENT_3D('',#501588,#383892,#383893); #353330=AXIS2_PLACEMENT_3D('',#501589,#383894,#383895); #353331=AXIS2_PLACEMENT_3D('',#501591,#383896,#383897); #353332=AXIS2_PLACEMENT_3D('',#501594,#383899,#383900); #353333=AXIS2_PLACEMENT_3D('',#501595,#383901,#383902); #353334=AXIS2_PLACEMENT_3D('',#501597,#383903,#383904); #353335=AXIS2_PLACEMENT_3D('',#501600,#383906,#383907); #353336=AXIS2_PLACEMENT_3D('',#501601,#383908,#383909); #353337=AXIS2_PLACEMENT_3D('',#501603,#383910,#383911); #353338=AXIS2_PLACEMENT_3D('',#501606,#383913,#383914); #353339=AXIS2_PLACEMENT_3D('',#501607,#383915,#383916); #353340=AXIS2_PLACEMENT_3D('',#501609,#383917,#383918); #353341=AXIS2_PLACEMENT_3D('',#501612,#383920,#383921); #353342=AXIS2_PLACEMENT_3D('',#501613,#383922,#383923); #353343=AXIS2_PLACEMENT_3D('',#501615,#383924,#383925); #353344=AXIS2_PLACEMENT_3D('',#501618,#383927,#383928); #353345=AXIS2_PLACEMENT_3D('',#501619,#383929,#383930); #353346=AXIS2_PLACEMENT_3D('',#501621,#383931,#383932); #353347=AXIS2_PLACEMENT_3D('',#501624,#383934,#383935); #353348=AXIS2_PLACEMENT_3D('',#501625,#383936,#383937); #353349=AXIS2_PLACEMENT_3D('',#501627,#383938,#383939); #353350=AXIS2_PLACEMENT_3D('',#501630,#383941,#383942); #353351=AXIS2_PLACEMENT_3D('',#501631,#383943,#383944); #353352=AXIS2_PLACEMENT_3D('',#501633,#383945,#383946); #353353=AXIS2_PLACEMENT_3D('',#501636,#383948,#383949); #353354=AXIS2_PLACEMENT_3D('',#501637,#383950,#383951); #353355=AXIS2_PLACEMENT_3D('',#501639,#383952,#383953); #353356=AXIS2_PLACEMENT_3D('',#501642,#383955,#383956); #353357=AXIS2_PLACEMENT_3D('',#501643,#383957,#383958); #353358=AXIS2_PLACEMENT_3D('',#501645,#383959,#383960); #353359=AXIS2_PLACEMENT_3D('',#501648,#383962,#383963); #353360=AXIS2_PLACEMENT_3D('',#501649,#383964,#383965); #353361=AXIS2_PLACEMENT_3D('',#501651,#383966,#383967); #353362=AXIS2_PLACEMENT_3D('',#501654,#383969,#383970); #353363=AXIS2_PLACEMENT_3D('',#501655,#383971,#383972); #353364=AXIS2_PLACEMENT_3D('',#501657,#383973,#383974); #353365=AXIS2_PLACEMENT_3D('',#501660,#383976,#383977); #353366=AXIS2_PLACEMENT_3D('',#501661,#383978,#383979); #353367=AXIS2_PLACEMENT_3D('',#501663,#383980,#383981); #353368=AXIS2_PLACEMENT_3D('',#501666,#383983,#383984); #353369=AXIS2_PLACEMENT_3D('',#501667,#383985,#383986); #353370=AXIS2_PLACEMENT_3D('',#501669,#383987,#383988); #353371=AXIS2_PLACEMENT_3D('',#501672,#383990,#383991); #353372=AXIS2_PLACEMENT_3D('',#501673,#383992,#383993); #353373=AXIS2_PLACEMENT_3D('',#501675,#383994,#383995); #353374=AXIS2_PLACEMENT_3D('',#501678,#383997,#383998); #353375=AXIS2_PLACEMENT_3D('',#501679,#383999,#384000); #353376=AXIS2_PLACEMENT_3D('',#501681,#384001,#384002); #353377=AXIS2_PLACEMENT_3D('',#501684,#384004,#384005); #353378=AXIS2_PLACEMENT_3D('',#501685,#384006,#384007); #353379=AXIS2_PLACEMENT_3D('',#501687,#384008,#384009); #353380=AXIS2_PLACEMENT_3D('',#501690,#384011,#384012); #353381=AXIS2_PLACEMENT_3D('',#501691,#384013,#384014); #353382=AXIS2_PLACEMENT_3D('',#501693,#384015,#384016); #353383=AXIS2_PLACEMENT_3D('',#501696,#384018,#384019); #353384=AXIS2_PLACEMENT_3D('',#501697,#384020,#384021); #353385=AXIS2_PLACEMENT_3D('',#501699,#384022,#384023); #353386=AXIS2_PLACEMENT_3D('',#501702,#384025,#384026); #353387=AXIS2_PLACEMENT_3D('',#501703,#384027,#384028); #353388=AXIS2_PLACEMENT_3D('',#501705,#384029,#384030); #353389=AXIS2_PLACEMENT_3D('',#501708,#384032,#384033); #353390=AXIS2_PLACEMENT_3D('',#501709,#384034,#384035); #353391=AXIS2_PLACEMENT_3D('',#501711,#384036,#384037); #353392=AXIS2_PLACEMENT_3D('',#501714,#384039,#384040); #353393=AXIS2_PLACEMENT_3D('',#501715,#384041,#384042); #353394=AXIS2_PLACEMENT_3D('',#501717,#384043,#384044); #353395=AXIS2_PLACEMENT_3D('',#501720,#384046,#384047); #353396=AXIS2_PLACEMENT_3D('',#501721,#384048,#384049); #353397=AXIS2_PLACEMENT_3D('',#501723,#384050,#384051); #353398=AXIS2_PLACEMENT_3D('',#501726,#384053,#384054); #353399=AXIS2_PLACEMENT_3D('',#501727,#384055,#384056); #353400=AXIS2_PLACEMENT_3D('',#501729,#384057,#384058); #353401=AXIS2_PLACEMENT_3D('',#501732,#384060,#384061); #353402=AXIS2_PLACEMENT_3D('',#501733,#384062,#384063); #353403=AXIS2_PLACEMENT_3D('',#501735,#384064,#384065); #353404=AXIS2_PLACEMENT_3D('',#501738,#384067,#384068); #353405=AXIS2_PLACEMENT_3D('',#501739,#384069,#384070); #353406=AXIS2_PLACEMENT_3D('',#501741,#384071,#384072); #353407=AXIS2_PLACEMENT_3D('',#501744,#384074,#384075); #353408=AXIS2_PLACEMENT_3D('',#501745,#384076,#384077); #353409=AXIS2_PLACEMENT_3D('',#501747,#384078,#384079); #353410=AXIS2_PLACEMENT_3D('',#501750,#384081,#384082); #353411=AXIS2_PLACEMENT_3D('',#501751,#384083,#384084); #353412=AXIS2_PLACEMENT_3D('',#501753,#384085,#384086); #353413=AXIS2_PLACEMENT_3D('',#501756,#384088,#384089); #353414=AXIS2_PLACEMENT_3D('',#501757,#384090,#384091); #353415=AXIS2_PLACEMENT_3D('',#501759,#384092,#384093); #353416=AXIS2_PLACEMENT_3D('',#501762,#384095,#384096); #353417=AXIS2_PLACEMENT_3D('',#501763,#384097,#384098); #353418=AXIS2_PLACEMENT_3D('',#501765,#384099,#384100); #353419=AXIS2_PLACEMENT_3D('',#501768,#384102,#384103); #353420=AXIS2_PLACEMENT_3D('',#501769,#384104,#384105); #353421=AXIS2_PLACEMENT_3D('',#501771,#384106,#384107); #353422=AXIS2_PLACEMENT_3D('',#501774,#384109,#384110); #353423=AXIS2_PLACEMENT_3D('',#501775,#384111,#384112); #353424=AXIS2_PLACEMENT_3D('',#501777,#384113,#384114); #353425=AXIS2_PLACEMENT_3D('',#501780,#384116,#384117); #353426=AXIS2_PLACEMENT_3D('',#501781,#384118,#384119); #353427=AXIS2_PLACEMENT_3D('',#501783,#384120,#384121); #353428=AXIS2_PLACEMENT_3D('',#501786,#384123,#384124); #353429=AXIS2_PLACEMENT_3D('',#501787,#384125,#384126); #353430=AXIS2_PLACEMENT_3D('',#501789,#384127,#384128); #353431=AXIS2_PLACEMENT_3D('',#501792,#384130,#384131); #353432=AXIS2_PLACEMENT_3D('',#501793,#384132,#384133); #353433=AXIS2_PLACEMENT_3D('',#501795,#384134,#384135); #353434=AXIS2_PLACEMENT_3D('',#501798,#384137,#384138); #353435=AXIS2_PLACEMENT_3D('',#501799,#384139,#384140); #353436=AXIS2_PLACEMENT_3D('',#501801,#384141,#384142); #353437=AXIS2_PLACEMENT_3D('',#501804,#384144,#384145); #353438=AXIS2_PLACEMENT_3D('',#501805,#384146,#384147); #353439=AXIS2_PLACEMENT_3D('',#501807,#384148,#384149); #353440=AXIS2_PLACEMENT_3D('',#501810,#384151,#384152); #353441=AXIS2_PLACEMENT_3D('',#501811,#384153,#384154); #353442=AXIS2_PLACEMENT_3D('',#501813,#384155,#384156); #353443=AXIS2_PLACEMENT_3D('',#501816,#384158,#384159); #353444=AXIS2_PLACEMENT_3D('',#501817,#384160,#384161); #353445=AXIS2_PLACEMENT_3D('',#501819,#384162,#384163); #353446=AXIS2_PLACEMENT_3D('',#501822,#384165,#384166); #353447=AXIS2_PLACEMENT_3D('',#501823,#384167,#384168); #353448=AXIS2_PLACEMENT_3D('',#501825,#384169,#384170); #353449=AXIS2_PLACEMENT_3D('',#501828,#384172,#384173); #353450=AXIS2_PLACEMENT_3D('',#501829,#384174,#384175); #353451=AXIS2_PLACEMENT_3D('',#501831,#384176,#384177); #353452=AXIS2_PLACEMENT_3D('',#501834,#384179,#384180); #353453=AXIS2_PLACEMENT_3D('',#501835,#384181,#384182); #353454=AXIS2_PLACEMENT_3D('',#501837,#384183,#384184); #353455=AXIS2_PLACEMENT_3D('',#501840,#384186,#384187); #353456=AXIS2_PLACEMENT_3D('',#501841,#384188,#384189); #353457=AXIS2_PLACEMENT_3D('',#501843,#384190,#384191); #353458=AXIS2_PLACEMENT_3D('',#501846,#384193,#384194); #353459=AXIS2_PLACEMENT_3D('',#501847,#384195,#384196); #353460=AXIS2_PLACEMENT_3D('',#501849,#384197,#384198); #353461=AXIS2_PLACEMENT_3D('',#501852,#384200,#384201); #353462=AXIS2_PLACEMENT_3D('',#501853,#384202,#384203); #353463=AXIS2_PLACEMENT_3D('',#501855,#384204,#384205); #353464=AXIS2_PLACEMENT_3D('',#501858,#384207,#384208); #353465=AXIS2_PLACEMENT_3D('',#501859,#384209,#384210); #353466=AXIS2_PLACEMENT_3D('',#501861,#384211,#384212); #353467=AXIS2_PLACEMENT_3D('',#501864,#384214,#384215); #353468=AXIS2_PLACEMENT_3D('',#501865,#384216,#384217); #353469=AXIS2_PLACEMENT_3D('',#501867,#384218,#384219); #353470=AXIS2_PLACEMENT_3D('',#501870,#384221,#384222); #353471=AXIS2_PLACEMENT_3D('',#501871,#384223,#384224); #353472=AXIS2_PLACEMENT_3D('',#501873,#384225,#384226); #353473=AXIS2_PLACEMENT_3D('',#501876,#384228,#384229); #353474=AXIS2_PLACEMENT_3D('',#501877,#384230,#384231); #353475=AXIS2_PLACEMENT_3D('',#501886,#384236,#384237); #353476=AXIS2_PLACEMENT_3D('',#501888,#384238,#384239); #353477=AXIS2_PLACEMENT_3D('',#501890,#384240,#384241); #353478=AXIS2_PLACEMENT_3D('',#501892,#384243,#384244); #353479=AXIS2_PLACEMENT_3D('',#501898,#384248,#384249); #353480=AXIS2_PLACEMENT_3D('',#501899,#384250,#384251); #353481=AXIS2_PLACEMENT_3D('',#501900,#384252,#384253); #353482=AXIS2_PLACEMENT_3D('',#501901,#384254,#384255); #353483=AXIS2_PLACEMENT_3D('',#501903,#384256,#384257); #353484=AXIS2_PLACEMENT_3D('',#501906,#384259,#384260); #353485=AXIS2_PLACEMENT_3D('',#501907,#384261,#384262); #353486=AXIS2_PLACEMENT_3D('',#501916,#384267,#384268); #353487=AXIS2_PLACEMENT_3D('',#501918,#384269,#384270); #353488=AXIS2_PLACEMENT_3D('',#501920,#384271,#384272); #353489=AXIS2_PLACEMENT_3D('',#501922,#384274,#384275); #353490=AXIS2_PLACEMENT_3D('',#501928,#384279,#384280); #353491=AXIS2_PLACEMENT_3D('',#501929,#384281,#384282); #353492=AXIS2_PLACEMENT_3D('',#501930,#384283,#384284); #353493=AXIS2_PLACEMENT_3D('',#501931,#384285,#384286); #353494=AXIS2_PLACEMENT_3D('',#501933,#384287,#384288); #353495=AXIS2_PLACEMENT_3D('',#501936,#384290,#384291); #353496=AXIS2_PLACEMENT_3D('',#501937,#384292,#384293); #353497=AXIS2_PLACEMENT_3D('',#501939,#384294,#384295); #353498=AXIS2_PLACEMENT_3D('',#501942,#384297,#384298); #353499=AXIS2_PLACEMENT_3D('',#501943,#384299,#384300); #353500=AXIS2_PLACEMENT_3D('',#501945,#384301,#384302); #353501=AXIS2_PLACEMENT_3D('',#501948,#384304,#384305); #353502=AXIS2_PLACEMENT_3D('',#501949,#384306,#384307); #353503=AXIS2_PLACEMENT_3D('',#501958,#384312,#384313); #353504=AXIS2_PLACEMENT_3D('',#501960,#384314,#384315); #353505=AXIS2_PLACEMENT_3D('',#501962,#384316,#384317); #353506=AXIS2_PLACEMENT_3D('',#501964,#384319,#384320); #353507=AXIS2_PLACEMENT_3D('',#501970,#384324,#384325); #353508=AXIS2_PLACEMENT_3D('',#501971,#384326,#384327); #353509=AXIS2_PLACEMENT_3D('',#501972,#384328,#384329); #353510=AXIS2_PLACEMENT_3D('',#501973,#384330,#384331); #353511=AXIS2_PLACEMENT_3D('',#501975,#384332,#384333); #353512=AXIS2_PLACEMENT_3D('',#501978,#384335,#384336); #353513=AXIS2_PLACEMENT_3D('',#501979,#384337,#384338); #353514=AXIS2_PLACEMENT_3D('',#501981,#384339,#384340); #353515=AXIS2_PLACEMENT_3D('',#501984,#384342,#384343); #353516=AXIS2_PLACEMENT_3D('',#501985,#384344,#384345); #353517=AXIS2_PLACEMENT_3D('',#501987,#384346,#384347); #353518=AXIS2_PLACEMENT_3D('',#501990,#384349,#384350); #353519=AXIS2_PLACEMENT_3D('',#501991,#384351,#384352); #353520=AXIS2_PLACEMENT_3D('',#501993,#384353,#384354); #353521=AXIS2_PLACEMENT_3D('',#501996,#384356,#384357); #353522=AXIS2_PLACEMENT_3D('',#501997,#384358,#384359); #353523=AXIS2_PLACEMENT_3D('',#501999,#384360,#384361); #353524=AXIS2_PLACEMENT_3D('',#502002,#384363,#384364); #353525=AXIS2_PLACEMENT_3D('',#502003,#384365,#384366); #353526=AXIS2_PLACEMENT_3D('',#502005,#384367,#384368); #353527=AXIS2_PLACEMENT_3D('',#502008,#384370,#384371); #353528=AXIS2_PLACEMENT_3D('',#502009,#384372,#384373); #353529=AXIS2_PLACEMENT_3D('',#502011,#384374,#384375); #353530=AXIS2_PLACEMENT_3D('',#502014,#384377,#384378); #353531=AXIS2_PLACEMENT_3D('',#502015,#384379,#384380); #353532=AXIS2_PLACEMENT_3D('',#502017,#384381,#384382); #353533=AXIS2_PLACEMENT_3D('',#502020,#384384,#384385); #353534=AXIS2_PLACEMENT_3D('',#502021,#384386,#384387); #353535=AXIS2_PLACEMENT_3D('',#502023,#384388,#384389); #353536=AXIS2_PLACEMENT_3D('',#502026,#384391,#384392); #353537=AXIS2_PLACEMENT_3D('',#502027,#384393,#384394); #353538=AXIS2_PLACEMENT_3D('',#502029,#384395,#384396); #353539=AXIS2_PLACEMENT_3D('',#502032,#384398,#384399); #353540=AXIS2_PLACEMENT_3D('',#502033,#384400,#384401); #353541=AXIS2_PLACEMENT_3D('',#502042,#384406,#384407); #353542=AXIS2_PLACEMENT_3D('',#502044,#384408,#384409); #353543=AXIS2_PLACEMENT_3D('',#502046,#384410,#384411); #353544=AXIS2_PLACEMENT_3D('',#502048,#384413,#384414); #353545=AXIS2_PLACEMENT_3D('',#502054,#384418,#384419); #353546=AXIS2_PLACEMENT_3D('',#502055,#384420,#384421); #353547=AXIS2_PLACEMENT_3D('',#502056,#384422,#384423); #353548=AXIS2_PLACEMENT_3D('',#502057,#384424,#384425); #353549=AXIS2_PLACEMENT_3D('',#502059,#384426,#384427); #353550=AXIS2_PLACEMENT_3D('',#502062,#384429,#384430); #353551=AXIS2_PLACEMENT_3D('',#502063,#384431,#384432); #353552=AXIS2_PLACEMENT_3D('',#502072,#384437,#384438); #353553=AXIS2_PLACEMENT_3D('',#502074,#384439,#384440); #353554=AXIS2_PLACEMENT_3D('',#502076,#384441,#384442); #353555=AXIS2_PLACEMENT_3D('',#502078,#384444,#384445); #353556=AXIS2_PLACEMENT_3D('',#502084,#384449,#384450); #353557=AXIS2_PLACEMENT_3D('',#502085,#384451,#384452); #353558=AXIS2_PLACEMENT_3D('',#502086,#384453,#384454); #353559=AXIS2_PLACEMENT_3D('',#502087,#384455,#384456); #353560=AXIS2_PLACEMENT_3D('',#502089,#384457,#384458); #353561=AXIS2_PLACEMENT_3D('',#502092,#384460,#384461); #353562=AXIS2_PLACEMENT_3D('',#502093,#384462,#384463); #353563=AXIS2_PLACEMENT_3D('',#502102,#384468,#384469); #353564=AXIS2_PLACEMENT_3D('',#502104,#384470,#384471); #353565=AXIS2_PLACEMENT_3D('',#502106,#384472,#384473); #353566=AXIS2_PLACEMENT_3D('',#502108,#384475,#384476); #353567=AXIS2_PLACEMENT_3D('',#502114,#384480,#384481); #353568=AXIS2_PLACEMENT_3D('',#502115,#384482,#384483); #353569=AXIS2_PLACEMENT_3D('',#502116,#384484,#384485); #353570=AXIS2_PLACEMENT_3D('',#502117,#384486,#384487); #353571=AXIS2_PLACEMENT_3D('',#502119,#384488,#384489); #353572=AXIS2_PLACEMENT_3D('',#502122,#384491,#384492); #353573=AXIS2_PLACEMENT_3D('',#502123,#384493,#384494); #353574=AXIS2_PLACEMENT_3D('',#502125,#384495,#384496); #353575=AXIS2_PLACEMENT_3D('',#502128,#384498,#384499); #353576=AXIS2_PLACEMENT_3D('',#502129,#384500,#384501); #353577=AXIS2_PLACEMENT_3D('',#502131,#384502,#384503); #353578=AXIS2_PLACEMENT_3D('',#502134,#384505,#384506); #353579=AXIS2_PLACEMENT_3D('',#502135,#384507,#384508); #353580=AXIS2_PLACEMENT_3D('',#502137,#384509,#384510); #353581=AXIS2_PLACEMENT_3D('',#502140,#384512,#384513); #353582=AXIS2_PLACEMENT_3D('',#502141,#384514,#384515); #353583=AXIS2_PLACEMENT_3D('',#502143,#384516,#384517); #353584=AXIS2_PLACEMENT_3D('',#502146,#384519,#384520); #353585=AXIS2_PLACEMENT_3D('',#502147,#384521,#384522); #353586=AXIS2_PLACEMENT_3D('',#502149,#384523,#384524); #353587=AXIS2_PLACEMENT_3D('',#502152,#384526,#384527); #353588=AXIS2_PLACEMENT_3D('',#502153,#384528,#384529); #353589=AXIS2_PLACEMENT_3D('',#502155,#384530,#384531); #353590=AXIS2_PLACEMENT_3D('',#502158,#384533,#384534); #353591=AXIS2_PLACEMENT_3D('',#502159,#384535,#384536); #353592=AXIS2_PLACEMENT_3D('',#502161,#384537,#384538); #353593=AXIS2_PLACEMENT_3D('',#502164,#384540,#384541); #353594=AXIS2_PLACEMENT_3D('',#502165,#384542,#384543); #353595=AXIS2_PLACEMENT_3D('',#502167,#384544,#384545); #353596=AXIS2_PLACEMENT_3D('',#502170,#384547,#384548); #353597=AXIS2_PLACEMENT_3D('',#502171,#384549,#384550); #353598=AXIS2_PLACEMENT_3D('',#502173,#384551,#384552); #353599=AXIS2_PLACEMENT_3D('',#502176,#384554,#384555); #353600=AXIS2_PLACEMENT_3D('',#502177,#384556,#384557); #353601=AXIS2_PLACEMENT_3D('',#502179,#384558,#384559); #353602=AXIS2_PLACEMENT_3D('',#502182,#384561,#384562); #353603=AXIS2_PLACEMENT_3D('',#502183,#384563,#384564); #353604=AXIS2_PLACEMENT_3D('',#502185,#384565,#384566); #353605=AXIS2_PLACEMENT_3D('',#502188,#384568,#384569); #353606=AXIS2_PLACEMENT_3D('',#502189,#384570,#384571); #353607=AXIS2_PLACEMENT_3D('',#502191,#384572,#384573); #353608=AXIS2_PLACEMENT_3D('',#502194,#384575,#384576); #353609=AXIS2_PLACEMENT_3D('',#502195,#384577,#384578); #353610=AXIS2_PLACEMENT_3D('',#502197,#384579,#384580); #353611=AXIS2_PLACEMENT_3D('',#502200,#384582,#384583); #353612=AXIS2_PLACEMENT_3D('',#502201,#384584,#384585); #353613=AXIS2_PLACEMENT_3D('',#502203,#384586,#384587); #353614=AXIS2_PLACEMENT_3D('',#502206,#384589,#384590); #353615=AXIS2_PLACEMENT_3D('',#502207,#384591,#384592); #353616=AXIS2_PLACEMENT_3D('',#502209,#384593,#384594); #353617=AXIS2_PLACEMENT_3D('',#502212,#384596,#384597); #353618=AXIS2_PLACEMENT_3D('',#502213,#384598,#384599); #353619=AXIS2_PLACEMENT_3D('',#502215,#384600,#384601); #353620=AXIS2_PLACEMENT_3D('',#502218,#384603,#384604); #353621=AXIS2_PLACEMENT_3D('',#502219,#384605,#384606); #353622=AXIS2_PLACEMENT_3D('',#502221,#384607,#384608); #353623=AXIS2_PLACEMENT_3D('',#502224,#384610,#384611); #353624=AXIS2_PLACEMENT_3D('',#502225,#384612,#384613); #353625=AXIS2_PLACEMENT_3D('',#502227,#384614,#384615); #353626=AXIS2_PLACEMENT_3D('',#502230,#384617,#384618); #353627=AXIS2_PLACEMENT_3D('',#502231,#384619,#384620); #353628=AXIS2_PLACEMENT_3D('',#502233,#384621,#384622); #353629=AXIS2_PLACEMENT_3D('',#502236,#384624,#384625); #353630=AXIS2_PLACEMENT_3D('',#502237,#384626,#384627); #353631=AXIS2_PLACEMENT_3D('',#502239,#384628,#384629); #353632=AXIS2_PLACEMENT_3D('',#502242,#384631,#384632); #353633=AXIS2_PLACEMENT_3D('',#502243,#384633,#384634); #353634=AXIS2_PLACEMENT_3D('',#502245,#384635,#384636); #353635=AXIS2_PLACEMENT_3D('',#502248,#384638,#384639); #353636=AXIS2_PLACEMENT_3D('',#502249,#384640,#384641); #353637=AXIS2_PLACEMENT_3D('',#502251,#384642,#384643); #353638=AXIS2_PLACEMENT_3D('',#502254,#384645,#384646); #353639=AXIS2_PLACEMENT_3D('',#502255,#384647,#384648); #353640=AXIS2_PLACEMENT_3D('',#502257,#384649,#384650); #353641=AXIS2_PLACEMENT_3D('',#502260,#384652,#384653); #353642=AXIS2_PLACEMENT_3D('',#502261,#384654,#384655); #353643=AXIS2_PLACEMENT_3D('',#502263,#384656,#384657); #353644=AXIS2_PLACEMENT_3D('',#502266,#384659,#384660); #353645=AXIS2_PLACEMENT_3D('',#502267,#384661,#384662); #353646=AXIS2_PLACEMENT_3D('',#502269,#384663,#384664); #353647=AXIS2_PLACEMENT_3D('',#502272,#384666,#384667); #353648=AXIS2_PLACEMENT_3D('',#502273,#384668,#384669); #353649=AXIS2_PLACEMENT_3D('',#502275,#384670,#384671); #353650=AXIS2_PLACEMENT_3D('',#502278,#384673,#384674); #353651=AXIS2_PLACEMENT_3D('',#502279,#384675,#384676); #353652=AXIS2_PLACEMENT_3D('',#502281,#384677,#384678); #353653=AXIS2_PLACEMENT_3D('',#502284,#384680,#384681); #353654=AXIS2_PLACEMENT_3D('',#502285,#384682,#384683); #353655=AXIS2_PLACEMENT_3D('',#502287,#384684,#384685); #353656=AXIS2_PLACEMENT_3D('',#502290,#384687,#384688); #353657=AXIS2_PLACEMENT_3D('',#502291,#384689,#384690); #353658=AXIS2_PLACEMENT_3D('',#502293,#384691,#384692); #353659=AXIS2_PLACEMENT_3D('',#502296,#384694,#384695); #353660=AXIS2_PLACEMENT_3D('',#502297,#384696,#384697); #353661=AXIS2_PLACEMENT_3D('',#502299,#384698,#384699); #353662=AXIS2_PLACEMENT_3D('',#502302,#384701,#384702); #353663=AXIS2_PLACEMENT_3D('',#502303,#384703,#384704); #353664=AXIS2_PLACEMENT_3D('',#502305,#384705,#384706); #353665=AXIS2_PLACEMENT_3D('',#502308,#384708,#384709); #353666=AXIS2_PLACEMENT_3D('',#502309,#384710,#384711); #353667=AXIS2_PLACEMENT_3D('',#502311,#384712,#384713); #353668=AXIS2_PLACEMENT_3D('',#502314,#384715,#384716); #353669=AXIS2_PLACEMENT_3D('',#502315,#384717,#384718); #353670=AXIS2_PLACEMENT_3D('',#502317,#384719,#384720); #353671=AXIS2_PLACEMENT_3D('',#502320,#384722,#384723); #353672=AXIS2_PLACEMENT_3D('',#502321,#384724,#384725); #353673=AXIS2_PLACEMENT_3D('',#502323,#384726,#384727); #353674=AXIS2_PLACEMENT_3D('',#502326,#384729,#384730); #353675=AXIS2_PLACEMENT_3D('',#502327,#384731,#384732); #353676=AXIS2_PLACEMENT_3D('',#502329,#384733,#384734); #353677=AXIS2_PLACEMENT_3D('',#502332,#384736,#384737); #353678=AXIS2_PLACEMENT_3D('',#502333,#384738,#384739); #353679=AXIS2_PLACEMENT_3D('',#502335,#384740,#384741); #353680=AXIS2_PLACEMENT_3D('',#502338,#384743,#384744); #353681=AXIS2_PLACEMENT_3D('',#502339,#384745,#384746); #353682=AXIS2_PLACEMENT_3D('',#502341,#384747,#384748); #353683=AXIS2_PLACEMENT_3D('',#502344,#384750,#384751); #353684=AXIS2_PLACEMENT_3D('',#502345,#384752,#384753); #353685=AXIS2_PLACEMENT_3D('',#502347,#384754,#384755); #353686=AXIS2_PLACEMENT_3D('',#502350,#384757,#384758); #353687=AXIS2_PLACEMENT_3D('',#502351,#384759,#384760); #353688=AXIS2_PLACEMENT_3D('',#502353,#384761,#384762); #353689=AXIS2_PLACEMENT_3D('',#502356,#384764,#384765); #353690=AXIS2_PLACEMENT_3D('',#502357,#384766,#384767); #353691=AXIS2_PLACEMENT_3D('',#502359,#384768,#384769); #353692=AXIS2_PLACEMENT_3D('',#502362,#384771,#384772); #353693=AXIS2_PLACEMENT_3D('',#502363,#384773,#384774); #353694=AXIS2_PLACEMENT_3D('',#502365,#384775,#384776); #353695=AXIS2_PLACEMENT_3D('',#502368,#384778,#384779); #353696=AXIS2_PLACEMENT_3D('',#502369,#384780,#384781); #353697=AXIS2_PLACEMENT_3D('',#502371,#384782,#384783); #353698=AXIS2_PLACEMENT_3D('',#502374,#384785,#384786); #353699=AXIS2_PLACEMENT_3D('',#502375,#384787,#384788); #353700=AXIS2_PLACEMENT_3D('',#502377,#384789,#384790); #353701=AXIS2_PLACEMENT_3D('',#502380,#384792,#384793); #353702=AXIS2_PLACEMENT_3D('',#502381,#384794,#384795); #353703=AXIS2_PLACEMENT_3D('',#502383,#384796,#384797); #353704=AXIS2_PLACEMENT_3D('',#502386,#384799,#384800); #353705=AXIS2_PLACEMENT_3D('',#502387,#384801,#384802); #353706=AXIS2_PLACEMENT_3D('',#502389,#384803,#384804); #353707=AXIS2_PLACEMENT_3D('',#502392,#384806,#384807); #353708=AXIS2_PLACEMENT_3D('',#502393,#384808,#384809); #353709=AXIS2_PLACEMENT_3D('',#502395,#384810,#384811); #353710=AXIS2_PLACEMENT_3D('',#502398,#384813,#384814); #353711=AXIS2_PLACEMENT_3D('',#502399,#384815,#384816); #353712=AXIS2_PLACEMENT_3D('',#502401,#384817,#384818); #353713=AXIS2_PLACEMENT_3D('',#502404,#384820,#384821); #353714=AXIS2_PLACEMENT_3D('',#502405,#384822,#384823); #353715=AXIS2_PLACEMENT_3D('',#502407,#384824,#384825); #353716=AXIS2_PLACEMENT_3D('',#502410,#384827,#384828); #353717=AXIS2_PLACEMENT_3D('',#502411,#384829,#384830); #353718=AXIS2_PLACEMENT_3D('',#502413,#384831,#384832); #353719=AXIS2_PLACEMENT_3D('',#502416,#384834,#384835); #353720=AXIS2_PLACEMENT_3D('',#502417,#384836,#384837); #353721=AXIS2_PLACEMENT_3D('',#502419,#384838,#384839); #353722=AXIS2_PLACEMENT_3D('',#502422,#384841,#384842); #353723=AXIS2_PLACEMENT_3D('',#502423,#384843,#384844); #353724=AXIS2_PLACEMENT_3D('',#502425,#384845,#384846); #353725=AXIS2_PLACEMENT_3D('',#502428,#384848,#384849); #353726=AXIS2_PLACEMENT_3D('',#502429,#384850,#384851); #353727=AXIS2_PLACEMENT_3D('',#502431,#384852,#384853); #353728=AXIS2_PLACEMENT_3D('',#502434,#384855,#384856); #353729=AXIS2_PLACEMENT_3D('',#502435,#384857,#384858); #353730=AXIS2_PLACEMENT_3D('',#502437,#384859,#384860); #353731=AXIS2_PLACEMENT_3D('',#502440,#384862,#384863); #353732=AXIS2_PLACEMENT_3D('',#502441,#384864,#384865); #353733=AXIS2_PLACEMENT_3D('',#502443,#384866,#384867); #353734=AXIS2_PLACEMENT_3D('',#502446,#384869,#384870); #353735=AXIS2_PLACEMENT_3D('',#502447,#384871,#384872); #353736=AXIS2_PLACEMENT_3D('',#502449,#384873,#384874); #353737=AXIS2_PLACEMENT_3D('',#502452,#384876,#384877); #353738=AXIS2_PLACEMENT_3D('',#502453,#384878,#384879); #353739=AXIS2_PLACEMENT_3D('',#502455,#384880,#384881); #353740=AXIS2_PLACEMENT_3D('',#502458,#384883,#384884); #353741=AXIS2_PLACEMENT_3D('',#502459,#384885,#384886); #353742=AXIS2_PLACEMENT_3D('',#502461,#384887,#384888); #353743=AXIS2_PLACEMENT_3D('',#502464,#384890,#384891); #353744=AXIS2_PLACEMENT_3D('',#502465,#384892,#384893); #353745=AXIS2_PLACEMENT_3D('',#502467,#384894,#384895); #353746=AXIS2_PLACEMENT_3D('',#502470,#384897,#384898); #353747=AXIS2_PLACEMENT_3D('',#502471,#384899,#384900); #353748=AXIS2_PLACEMENT_3D('',#502473,#384901,#384902); #353749=AXIS2_PLACEMENT_3D('',#502476,#384904,#384905); #353750=AXIS2_PLACEMENT_3D('',#502477,#384906,#384907); #353751=AXIS2_PLACEMENT_3D('',#502479,#384908,#384909); #353752=AXIS2_PLACEMENT_3D('',#502482,#384911,#384912); #353753=AXIS2_PLACEMENT_3D('',#502483,#384913,#384914); #353754=AXIS2_PLACEMENT_3D('',#502485,#384915,#384916); #353755=AXIS2_PLACEMENT_3D('',#502488,#384918,#384919); #353756=AXIS2_PLACEMENT_3D('',#502489,#384920,#384921); #353757=AXIS2_PLACEMENT_3D('',#502491,#384922,#384923); #353758=AXIS2_PLACEMENT_3D('',#502494,#384925,#384926); #353759=AXIS2_PLACEMENT_3D('',#502495,#384927,#384928); #353760=AXIS2_PLACEMENT_3D('',#502497,#384929,#384930); #353761=AXIS2_PLACEMENT_3D('',#502500,#384932,#384933); #353762=AXIS2_PLACEMENT_3D('',#502501,#384934,#384935); #353763=AXIS2_PLACEMENT_3D('',#502503,#384936,#384937); #353764=AXIS2_PLACEMENT_3D('',#502506,#384939,#384940); #353765=AXIS2_PLACEMENT_3D('',#502507,#384941,#384942); #353766=AXIS2_PLACEMENT_3D('',#502509,#384943,#384944); #353767=AXIS2_PLACEMENT_3D('',#502512,#384946,#384947); #353768=AXIS2_PLACEMENT_3D('',#502513,#384948,#384949); #353769=AXIS2_PLACEMENT_3D('',#502515,#384950,#384951); #353770=AXIS2_PLACEMENT_3D('',#502518,#384953,#384954); #353771=AXIS2_PLACEMENT_3D('',#502519,#384955,#384956); #353772=AXIS2_PLACEMENT_3D('',#502521,#384957,#384958); #353773=AXIS2_PLACEMENT_3D('',#502524,#384960,#384961); #353774=AXIS2_PLACEMENT_3D('',#502525,#384962,#384963); #353775=AXIS2_PLACEMENT_3D('',#502527,#384964,#384965); #353776=AXIS2_PLACEMENT_3D('',#502530,#384967,#384968); #353777=AXIS2_PLACEMENT_3D('',#502531,#384969,#384970); #353778=AXIS2_PLACEMENT_3D('',#502533,#384971,#384972); #353779=AXIS2_PLACEMENT_3D('',#502536,#384974,#384975); #353780=AXIS2_PLACEMENT_3D('',#502537,#384976,#384977); #353781=AXIS2_PLACEMENT_3D('',#502539,#384978,#384979); #353782=AXIS2_PLACEMENT_3D('',#502542,#384981,#384982); #353783=AXIS2_PLACEMENT_3D('',#502543,#384983,#384984); #353784=AXIS2_PLACEMENT_3D('',#502545,#384985,#384986); #353785=AXIS2_PLACEMENT_3D('',#502548,#384988,#384989); #353786=AXIS2_PLACEMENT_3D('',#502549,#384990,#384991); #353787=AXIS2_PLACEMENT_3D('',#502551,#384992,#384993); #353788=AXIS2_PLACEMENT_3D('',#502554,#384995,#384996); #353789=AXIS2_PLACEMENT_3D('',#502555,#384997,#384998); #353790=AXIS2_PLACEMENT_3D('',#502557,#384999,#385000); #353791=AXIS2_PLACEMENT_3D('',#502560,#385002,#385003); #353792=AXIS2_PLACEMENT_3D('',#502561,#385004,#385005); #353793=AXIS2_PLACEMENT_3D('',#502563,#385006,#385007); #353794=AXIS2_PLACEMENT_3D('',#502566,#385009,#385010); #353795=AXIS2_PLACEMENT_3D('',#502567,#385011,#385012); #353796=AXIS2_PLACEMENT_3D('',#502569,#385013,#385014); #353797=AXIS2_PLACEMENT_3D('',#502572,#385016,#385017); #353798=AXIS2_PLACEMENT_3D('',#502573,#385018,#385019); #353799=AXIS2_PLACEMENT_3D('',#502575,#385020,#385021); #353800=AXIS2_PLACEMENT_3D('',#502578,#385023,#385024); #353801=AXIS2_PLACEMENT_3D('',#502579,#385025,#385026); #353802=AXIS2_PLACEMENT_3D('',#502581,#385027,#385028); #353803=AXIS2_PLACEMENT_3D('',#502584,#385030,#385031); #353804=AXIS2_PLACEMENT_3D('',#502585,#385032,#385033); #353805=AXIS2_PLACEMENT_3D('',#502587,#385034,#385035); #353806=AXIS2_PLACEMENT_3D('',#502590,#385037,#385038); #353807=AXIS2_PLACEMENT_3D('',#502591,#385039,#385040); #353808=AXIS2_PLACEMENT_3D('',#502593,#385041,#385042); #353809=AXIS2_PLACEMENT_3D('',#502596,#385044,#385045); #353810=AXIS2_PLACEMENT_3D('',#502597,#385046,#385047); #353811=AXIS2_PLACEMENT_3D('',#502599,#385048,#385049); #353812=AXIS2_PLACEMENT_3D('',#502602,#385051,#385052); #353813=AXIS2_PLACEMENT_3D('',#502603,#385053,#385054); #353814=AXIS2_PLACEMENT_3D('',#502605,#385055,#385056); #353815=AXIS2_PLACEMENT_3D('',#502608,#385058,#385059); #353816=AXIS2_PLACEMENT_3D('',#502609,#385060,#385061); #353817=AXIS2_PLACEMENT_3D('',#502611,#385062,#385063); #353818=AXIS2_PLACEMENT_3D('',#502614,#385065,#385066); #353819=AXIS2_PLACEMENT_3D('',#502615,#385067,#385068); #353820=AXIS2_PLACEMENT_3D('',#502617,#385069,#385070); #353821=AXIS2_PLACEMENT_3D('',#502620,#385072,#385073); #353822=AXIS2_PLACEMENT_3D('',#502621,#385074,#385075); #353823=AXIS2_PLACEMENT_3D('',#502623,#385076,#385077); #353824=AXIS2_PLACEMENT_3D('',#502626,#385079,#385080); #353825=AXIS2_PLACEMENT_3D('',#502627,#385081,#385082); #353826=AXIS2_PLACEMENT_3D('',#502629,#385083,#385084); #353827=AXIS2_PLACEMENT_3D('',#502632,#385086,#385087); #353828=AXIS2_PLACEMENT_3D('',#502633,#385088,#385089); #353829=AXIS2_PLACEMENT_3D('',#502635,#385090,#385091); #353830=AXIS2_PLACEMENT_3D('',#502638,#385093,#385094); #353831=AXIS2_PLACEMENT_3D('',#502639,#385095,#385096); #353832=AXIS2_PLACEMENT_3D('',#502641,#385097,#385098); #353833=AXIS2_PLACEMENT_3D('',#502644,#385100,#385101); #353834=AXIS2_PLACEMENT_3D('',#502645,#385102,#385103); #353835=AXIS2_PLACEMENT_3D('',#502647,#385104,#385105); #353836=AXIS2_PLACEMENT_3D('',#502650,#385107,#385108); #353837=AXIS2_PLACEMENT_3D('',#502651,#385109,#385110); #353838=AXIS2_PLACEMENT_3D('',#502653,#385111,#385112); #353839=AXIS2_PLACEMENT_3D('',#502656,#385114,#385115); #353840=AXIS2_PLACEMENT_3D('',#502657,#385116,#385117); #353841=AXIS2_PLACEMENT_3D('',#502659,#385118,#385119); #353842=AXIS2_PLACEMENT_3D('',#502662,#385121,#385122); #353843=AXIS2_PLACEMENT_3D('',#502663,#385123,#385124); #353844=AXIS2_PLACEMENT_3D('',#502665,#385125,#385126); #353845=AXIS2_PLACEMENT_3D('',#502668,#385128,#385129); #353846=AXIS2_PLACEMENT_3D('',#502669,#385130,#385131); #353847=AXIS2_PLACEMENT_3D('',#502671,#385132,#385133); #353848=AXIS2_PLACEMENT_3D('',#502674,#385135,#385136); #353849=AXIS2_PLACEMENT_3D('',#502675,#385137,#385138); #353850=AXIS2_PLACEMENT_3D('',#502677,#385139,#385140); #353851=AXIS2_PLACEMENT_3D('',#502680,#385142,#385143); #353852=AXIS2_PLACEMENT_3D('',#502681,#385144,#385145); #353853=AXIS2_PLACEMENT_3D('',#502683,#385146,#385147); #353854=AXIS2_PLACEMENT_3D('',#502686,#385149,#385150); #353855=AXIS2_PLACEMENT_3D('',#502687,#385151,#385152); #353856=AXIS2_PLACEMENT_3D('',#502689,#385153,#385154); #353857=AXIS2_PLACEMENT_3D('',#502692,#385156,#385157); #353858=AXIS2_PLACEMENT_3D('',#502693,#385158,#385159); #353859=AXIS2_PLACEMENT_3D('',#502695,#385160,#385161); #353860=AXIS2_PLACEMENT_3D('',#502698,#385163,#385164); #353861=AXIS2_PLACEMENT_3D('',#502699,#385165,#385166); #353862=AXIS2_PLACEMENT_3D('',#502701,#385167,#385168); #353863=AXIS2_PLACEMENT_3D('',#502704,#385170,#385171); #353864=AXIS2_PLACEMENT_3D('',#502705,#385172,#385173); #353865=AXIS2_PLACEMENT_3D('',#502707,#385174,#385175); #353866=AXIS2_PLACEMENT_3D('',#502710,#385177,#385178); #353867=AXIS2_PLACEMENT_3D('',#502711,#385179,#385180); #353868=AXIS2_PLACEMENT_3D('',#502713,#385181,#385182); #353869=AXIS2_PLACEMENT_3D('',#502716,#385184,#385185); #353870=AXIS2_PLACEMENT_3D('',#502717,#385186,#385187); #353871=AXIS2_PLACEMENT_3D('',#502719,#385188,#385189); #353872=AXIS2_PLACEMENT_3D('',#502722,#385191,#385192); #353873=AXIS2_PLACEMENT_3D('',#502723,#385193,#385194); #353874=AXIS2_PLACEMENT_3D('',#502725,#385195,#385196); #353875=AXIS2_PLACEMENT_3D('',#502728,#385198,#385199); #353876=AXIS2_PLACEMENT_3D('',#502729,#385200,#385201); #353877=AXIS2_PLACEMENT_3D('',#502731,#385202,#385203); #353878=AXIS2_PLACEMENT_3D('',#502734,#385205,#385206); #353879=AXIS2_PLACEMENT_3D('',#502735,#385207,#385208); #353880=AXIS2_PLACEMENT_3D('',#502737,#385209,#385210); #353881=AXIS2_PLACEMENT_3D('',#502740,#385212,#385213); #353882=AXIS2_PLACEMENT_3D('',#502741,#385214,#385215); #353883=AXIS2_PLACEMENT_3D('',#502743,#385216,#385217); #353884=AXIS2_PLACEMENT_3D('',#502746,#385219,#385220); #353885=AXIS2_PLACEMENT_3D('',#502747,#385221,#385222); #353886=AXIS2_PLACEMENT_3D('',#502749,#385223,#385224); #353887=AXIS2_PLACEMENT_3D('',#502752,#385226,#385227); #353888=AXIS2_PLACEMENT_3D('',#502753,#385228,#385229); #353889=AXIS2_PLACEMENT_3D('',#502755,#385230,#385231); #353890=AXIS2_PLACEMENT_3D('',#502758,#385233,#385234); #353891=AXIS2_PLACEMENT_3D('',#502759,#385235,#385236); #353892=AXIS2_PLACEMENT_3D('',#502761,#385237,#385238); #353893=AXIS2_PLACEMENT_3D('',#502764,#385240,#385241); #353894=AXIS2_PLACEMENT_3D('',#502765,#385242,#385243); #353895=AXIS2_PLACEMENT_3D('',#502767,#385244,#385245); #353896=AXIS2_PLACEMENT_3D('',#502770,#385247,#385248); #353897=AXIS2_PLACEMENT_3D('',#502771,#385249,#385250); #353898=AXIS2_PLACEMENT_3D('',#502773,#385251,#385252); #353899=AXIS2_PLACEMENT_3D('',#502776,#385254,#385255); #353900=AXIS2_PLACEMENT_3D('',#502777,#385256,#385257); #353901=AXIS2_PLACEMENT_3D('',#502779,#385258,#385259); #353902=AXIS2_PLACEMENT_3D('',#502782,#385261,#385262); #353903=AXIS2_PLACEMENT_3D('',#502783,#385263,#385264); #353904=AXIS2_PLACEMENT_3D('',#502785,#385265,#385266); #353905=AXIS2_PLACEMENT_3D('',#502788,#385268,#385269); #353906=AXIS2_PLACEMENT_3D('',#502789,#385270,#385271); #353907=AXIS2_PLACEMENT_3D('',#502791,#385272,#385273); #353908=AXIS2_PLACEMENT_3D('',#502794,#385275,#385276); #353909=AXIS2_PLACEMENT_3D('',#502795,#385277,#385278); #353910=AXIS2_PLACEMENT_3D('',#502797,#385279,#385280); #353911=AXIS2_PLACEMENT_3D('',#502800,#385282,#385283); #353912=AXIS2_PLACEMENT_3D('',#502801,#385284,#385285); #353913=AXIS2_PLACEMENT_3D('',#502803,#385286,#385287); #353914=AXIS2_PLACEMENT_3D('',#502806,#385289,#385290); #353915=AXIS2_PLACEMENT_3D('',#502807,#385291,#385292); #353916=AXIS2_PLACEMENT_3D('',#502809,#385293,#385294); #353917=AXIS2_PLACEMENT_3D('',#502812,#385296,#385297); #353918=AXIS2_PLACEMENT_3D('',#502813,#385298,#385299); #353919=AXIS2_PLACEMENT_3D('',#502815,#385300,#385301); #353920=AXIS2_PLACEMENT_3D('',#502818,#385303,#385304); #353921=AXIS2_PLACEMENT_3D('',#502819,#385305,#385306); #353922=AXIS2_PLACEMENT_3D('',#502821,#385307,#385308); #353923=AXIS2_PLACEMENT_3D('',#502824,#385310,#385311); #353924=AXIS2_PLACEMENT_3D('',#502825,#385312,#385313); #353925=AXIS2_PLACEMENT_3D('',#502827,#385314,#385315); #353926=AXIS2_PLACEMENT_3D('',#502830,#385317,#385318); #353927=AXIS2_PLACEMENT_3D('',#502831,#385319,#385320); #353928=AXIS2_PLACEMENT_3D('',#502833,#385321,#385322); #353929=AXIS2_PLACEMENT_3D('',#502836,#385324,#385325); #353930=AXIS2_PLACEMENT_3D('',#502837,#385326,#385327); #353931=AXIS2_PLACEMENT_3D('',#502839,#385328,#385329); #353932=AXIS2_PLACEMENT_3D('',#502842,#385331,#385332); #353933=AXIS2_PLACEMENT_3D('',#502843,#385333,#385334); #353934=AXIS2_PLACEMENT_3D('',#502845,#385335,#385336); #353935=AXIS2_PLACEMENT_3D('',#502848,#385338,#385339); #353936=AXIS2_PLACEMENT_3D('',#502849,#385340,#385341); #353937=AXIS2_PLACEMENT_3D('',#502851,#385342,#385343); #353938=AXIS2_PLACEMENT_3D('',#502854,#385345,#385346); #353939=AXIS2_PLACEMENT_3D('',#502855,#385347,#385348); #353940=AXIS2_PLACEMENT_3D('',#502857,#385349,#385350); #353941=AXIS2_PLACEMENT_3D('',#502860,#385352,#385353); #353942=AXIS2_PLACEMENT_3D('',#502861,#385354,#385355); #353943=AXIS2_PLACEMENT_3D('',#502863,#385356,#385357); #353944=AXIS2_PLACEMENT_3D('',#502866,#385359,#385360); #353945=AXIS2_PLACEMENT_3D('',#502867,#385361,#385362); #353946=AXIS2_PLACEMENT_3D('',#502869,#385363,#385364); #353947=AXIS2_PLACEMENT_3D('',#502872,#385366,#385367); #353948=AXIS2_PLACEMENT_3D('',#502873,#385368,#385369); #353949=AXIS2_PLACEMENT_3D('',#502875,#385370,#385371); #353950=AXIS2_PLACEMENT_3D('',#502878,#385373,#385374); #353951=AXIS2_PLACEMENT_3D('',#502879,#385375,#385376); #353952=AXIS2_PLACEMENT_3D('',#502881,#385377,#385378); #353953=AXIS2_PLACEMENT_3D('',#502884,#385380,#385381); #353954=AXIS2_PLACEMENT_3D('',#502885,#385382,#385383); #353955=AXIS2_PLACEMENT_3D('',#502887,#385384,#385385); #353956=AXIS2_PLACEMENT_3D('',#502890,#385387,#385388); #353957=AXIS2_PLACEMENT_3D('',#502891,#385389,#385390); #353958=AXIS2_PLACEMENT_3D('',#502893,#385391,#385392); #353959=AXIS2_PLACEMENT_3D('',#502896,#385394,#385395); #353960=AXIS2_PLACEMENT_3D('',#502897,#385396,#385397); #353961=AXIS2_PLACEMENT_3D('',#502899,#385398,#385399); #353962=AXIS2_PLACEMENT_3D('',#502902,#385401,#385402); #353963=AXIS2_PLACEMENT_3D('',#502903,#385403,#385404); #353964=AXIS2_PLACEMENT_3D('',#502905,#385405,#385406); #353965=AXIS2_PLACEMENT_3D('',#502908,#385408,#385409); #353966=AXIS2_PLACEMENT_3D('',#502909,#385410,#385411); #353967=AXIS2_PLACEMENT_3D('',#502911,#385412,#385413); #353968=AXIS2_PLACEMENT_3D('',#502914,#385415,#385416); #353969=AXIS2_PLACEMENT_3D('',#502915,#385417,#385418); #353970=AXIS2_PLACEMENT_3D('',#502916,#385419,#385420); #353971=AXIS2_PLACEMENT_3D('',#502917,#385421,#385422); #353972=AXIS2_PLACEMENT_3D('',#502919,#385423,#385424); #353973=AXIS2_PLACEMENT_3D('',#502922,#385426,#385427); #353974=AXIS2_PLACEMENT_3D('',#502923,#385428,#385429); #353975=AXIS2_PLACEMENT_3D('',#502925,#385430,#385431); #353976=AXIS2_PLACEMENT_3D('',#502928,#385433,#385434); #353977=AXIS2_PLACEMENT_3D('',#502929,#385435,#385436); #353978=AXIS2_PLACEMENT_3D('',#502930,#385437,#385438); #353979=AXIS2_PLACEMENT_3D('',#502931,#385439,#385440); #353980=AXIS2_PLACEMENT_3D('',#502933,#385441,#385442); #353981=AXIS2_PLACEMENT_3D('',#502936,#385444,#385445); #353982=AXIS2_PLACEMENT_3D('',#502937,#385446,#385447); #353983=AXIS2_PLACEMENT_3D('',#502939,#385448,#385449); #353984=AXIS2_PLACEMENT_3D('',#502942,#385451,#385452); #353985=AXIS2_PLACEMENT_3D('',#502943,#385453,#385454); #353986=AXIS2_PLACEMENT_3D('',#502944,#385455,#385456); #353987=AXIS2_PLACEMENT_3D('',#502945,#385457,#385458); #353988=AXIS2_PLACEMENT_3D('',#502947,#385459,#385460); #353989=AXIS2_PLACEMENT_3D('',#502950,#385462,#385463); #353990=AXIS2_PLACEMENT_3D('',#502951,#385464,#385465); #353991=AXIS2_PLACEMENT_3D('',#502953,#385466,#385467); #353992=AXIS2_PLACEMENT_3D('',#502956,#385469,#385470); #353993=AXIS2_PLACEMENT_3D('',#502957,#385471,#385472); #353994=AXIS2_PLACEMENT_3D('',#502958,#385473,#385474); #353995=AXIS2_PLACEMENT_3D('',#502959,#385475,#385476); #353996=AXIS2_PLACEMENT_3D('',#502961,#385477,#385478); #353997=AXIS2_PLACEMENT_3D('',#502964,#385480,#385481); #353998=AXIS2_PLACEMENT_3D('',#502965,#385482,#385483); #353999=AXIS2_PLACEMENT_3D('',#502967,#385484,#385485); #354000=AXIS2_PLACEMENT_3D('',#502970,#385487,#385488); #354001=AXIS2_PLACEMENT_3D('',#502971,#385489,#385490); #354002=AXIS2_PLACEMENT_3D('',#502972,#385491,#385492); #354003=AXIS2_PLACEMENT_3D('',#502973,#385493,#385494); #354004=AXIS2_PLACEMENT_3D('',#502975,#385495,#385496); #354005=AXIS2_PLACEMENT_3D('',#502978,#385498,#385499); #354006=AXIS2_PLACEMENT_3D('',#502979,#385500,#385501); #354007=AXIS2_PLACEMENT_3D('',#502981,#385502,#385503); #354008=AXIS2_PLACEMENT_3D('',#502984,#385505,#385506); #354009=AXIS2_PLACEMENT_3D('',#502985,#385507,#385508); #354010=AXIS2_PLACEMENT_3D('',#502986,#385509,#385510); #354011=AXIS2_PLACEMENT_3D('',#502987,#385511,#385512); #354012=AXIS2_PLACEMENT_3D('',#502989,#385513,#385514); #354013=AXIS2_PLACEMENT_3D('',#502992,#385516,#385517); #354014=AXIS2_PLACEMENT_3D('',#502993,#385518,#385519); #354015=AXIS2_PLACEMENT_3D('',#502995,#385520,#385521); #354016=AXIS2_PLACEMENT_3D('',#502998,#385523,#385524); #354017=AXIS2_PLACEMENT_3D('',#502999,#385525,#385526); #354018=AXIS2_PLACEMENT_3D('',#503000,#385527,#385528); #354019=AXIS2_PLACEMENT_3D('',#503001,#385529,#385530); #354020=AXIS2_PLACEMENT_3D('',#503003,#385531,#385532); #354021=AXIS2_PLACEMENT_3D('',#503006,#385534,#385535); #354022=AXIS2_PLACEMENT_3D('',#503007,#385536,#385537); #354023=AXIS2_PLACEMENT_3D('',#503009,#385538,#385539); #354024=AXIS2_PLACEMENT_3D('',#503012,#385541,#385542); #354025=AXIS2_PLACEMENT_3D('',#503013,#385543,#385544); #354026=AXIS2_PLACEMENT_3D('',#503014,#385545,#385546); #354027=AXIS2_PLACEMENT_3D('',#503015,#385547,#385548); #354028=AXIS2_PLACEMENT_3D('',#503017,#385549,#385550); #354029=AXIS2_PLACEMENT_3D('',#503020,#385552,#385553); #354030=AXIS2_PLACEMENT_3D('',#503021,#385554,#385555); #354031=AXIS2_PLACEMENT_3D('',#503023,#385556,#385557); #354032=AXIS2_PLACEMENT_3D('',#503026,#385559,#385560); #354033=AXIS2_PLACEMENT_3D('',#503027,#385561,#385562); #354034=AXIS2_PLACEMENT_3D('',#503028,#385563,#385564); #354035=AXIS2_PLACEMENT_3D('',#503029,#385565,#385566); #354036=AXIS2_PLACEMENT_3D('',#503031,#385567,#385568); #354037=AXIS2_PLACEMENT_3D('',#503034,#385570,#385571); #354038=AXIS2_PLACEMENT_3D('',#503035,#385572,#385573); #354039=AXIS2_PLACEMENT_3D('',#503037,#385574,#385575); #354040=AXIS2_PLACEMENT_3D('',#503040,#385577,#385578); #354041=AXIS2_PLACEMENT_3D('',#503041,#385579,#385580); #354042=AXIS2_PLACEMENT_3D('',#503042,#385581,#385582); #354043=AXIS2_PLACEMENT_3D('',#503043,#385583,#385584); #354044=AXIS2_PLACEMENT_3D('',#503045,#385585,#385586); #354045=AXIS2_PLACEMENT_3D('',#503048,#385588,#385589); #354046=AXIS2_PLACEMENT_3D('',#503049,#385590,#385591); #354047=AXIS2_PLACEMENT_3D('',#503051,#385592,#385593); #354048=AXIS2_PLACEMENT_3D('',#503054,#385595,#385596); #354049=AXIS2_PLACEMENT_3D('',#503055,#385597,#385598); #354050=AXIS2_PLACEMENT_3D('',#503056,#385599,#385600); #354051=AXIS2_PLACEMENT_3D('',#503057,#385601,#385602); #354052=AXIS2_PLACEMENT_3D('',#503059,#385603,#385604); #354053=AXIS2_PLACEMENT_3D('',#503062,#385606,#385607); #354054=AXIS2_PLACEMENT_3D('',#503063,#385608,#385609); #354055=AXIS2_PLACEMENT_3D('',#503065,#385610,#385611); #354056=AXIS2_PLACEMENT_3D('',#503068,#385613,#385614); #354057=AXIS2_PLACEMENT_3D('',#503069,#385615,#385616); #354058=AXIS2_PLACEMENT_3D('',#503070,#385617,#385618); #354059=AXIS2_PLACEMENT_3D('',#503071,#385619,#385620); #354060=AXIS2_PLACEMENT_3D('',#503073,#385621,#385622); #354061=AXIS2_PLACEMENT_3D('',#503076,#385624,#385625); #354062=AXIS2_PLACEMENT_3D('',#503077,#385626,#385627); #354063=AXIS2_PLACEMENT_3D('',#503079,#385628,#385629); #354064=AXIS2_PLACEMENT_3D('',#503082,#385631,#385632); #354065=AXIS2_PLACEMENT_3D('',#503083,#385633,#385634); #354066=AXIS2_PLACEMENT_3D('',#503084,#385635,#385636); #354067=AXIS2_PLACEMENT_3D('',#503085,#385637,#385638); #354068=AXIS2_PLACEMENT_3D('',#503087,#385639,#385640); #354069=AXIS2_PLACEMENT_3D('',#503090,#385642,#385643); #354070=AXIS2_PLACEMENT_3D('',#503091,#385644,#385645); #354071=AXIS2_PLACEMENT_3D('',#503093,#385646,#385647); #354072=AXIS2_PLACEMENT_3D('',#503096,#385649,#385650); #354073=AXIS2_PLACEMENT_3D('',#503097,#385651,#385652); #354074=AXIS2_PLACEMENT_3D('',#503098,#385653,#385654); #354075=AXIS2_PLACEMENT_3D('',#503099,#385655,#385656); #354076=AXIS2_PLACEMENT_3D('',#503101,#385657,#385658); #354077=AXIS2_PLACEMENT_3D('',#503104,#385660,#385661); #354078=AXIS2_PLACEMENT_3D('',#503105,#385662,#385663); #354079=AXIS2_PLACEMENT_3D('',#503107,#385664,#385665); #354080=AXIS2_PLACEMENT_3D('',#503110,#385667,#385668); #354081=AXIS2_PLACEMENT_3D('',#503111,#385669,#385670); #354082=AXIS2_PLACEMENT_3D('',#503112,#385671,#385672); #354083=AXIS2_PLACEMENT_3D('',#503113,#385673,#385674); #354084=AXIS2_PLACEMENT_3D('',#503115,#385675,#385676); #354085=AXIS2_PLACEMENT_3D('',#503118,#385678,#385679); #354086=AXIS2_PLACEMENT_3D('',#503119,#385680,#385681); #354087=AXIS2_PLACEMENT_3D('',#503121,#385682,#385683); #354088=AXIS2_PLACEMENT_3D('',#503124,#385685,#385686); #354089=AXIS2_PLACEMENT_3D('',#503125,#385687,#385688); #354090=AXIS2_PLACEMENT_3D('',#503126,#385689,#385690); #354091=AXIS2_PLACEMENT_3D('',#503127,#385691,#385692); #354092=AXIS2_PLACEMENT_3D('',#503129,#385693,#385694); #354093=AXIS2_PLACEMENT_3D('',#503132,#385696,#385697); #354094=AXIS2_PLACEMENT_3D('',#503133,#385698,#385699); #354095=AXIS2_PLACEMENT_3D('',#503135,#385700,#385701); #354096=AXIS2_PLACEMENT_3D('',#503138,#385703,#385704); #354097=AXIS2_PLACEMENT_3D('',#503139,#385705,#385706); #354098=AXIS2_PLACEMENT_3D('',#503140,#385707,#385708); #354099=AXIS2_PLACEMENT_3D('',#503141,#385709,#385710); #354100=AXIS2_PLACEMENT_3D('',#503143,#385711,#385712); #354101=AXIS2_PLACEMENT_3D('',#503146,#385714,#385715); #354102=AXIS2_PLACEMENT_3D('',#503147,#385716,#385717); #354103=AXIS2_PLACEMENT_3D('',#503149,#385718,#385719); #354104=AXIS2_PLACEMENT_3D('',#503152,#385721,#385722); #354105=AXIS2_PLACEMENT_3D('',#503153,#385723,#385724); #354106=AXIS2_PLACEMENT_3D('',#503154,#385725,#385726); #354107=AXIS2_PLACEMENT_3D('',#503155,#385727,#385728); #354108=AXIS2_PLACEMENT_3D('',#503157,#385729,#385730); #354109=AXIS2_PLACEMENT_3D('',#503160,#385732,#385733); #354110=AXIS2_PLACEMENT_3D('',#503161,#385734,#385735); #354111=AXIS2_PLACEMENT_3D('',#503163,#385736,#385737); #354112=AXIS2_PLACEMENT_3D('',#503166,#385739,#385740); #354113=AXIS2_PLACEMENT_3D('',#503167,#385741,#385742); #354114=AXIS2_PLACEMENT_3D('',#503168,#385743,#385744); #354115=AXIS2_PLACEMENT_3D('',#503169,#385745,#385746); #354116=AXIS2_PLACEMENT_3D('',#503171,#385747,#385748); #354117=AXIS2_PLACEMENT_3D('',#503174,#385750,#385751); #354118=AXIS2_PLACEMENT_3D('',#503175,#385752,#385753); #354119=AXIS2_PLACEMENT_3D('',#503177,#385754,#385755); #354120=AXIS2_PLACEMENT_3D('',#503180,#385757,#385758); #354121=AXIS2_PLACEMENT_3D('',#503181,#385759,#385760); #354122=AXIS2_PLACEMENT_3D('',#503182,#385761,#385762); #354123=AXIS2_PLACEMENT_3D('',#503183,#385763,#385764); #354124=AXIS2_PLACEMENT_3D('',#503185,#385765,#385766); #354125=AXIS2_PLACEMENT_3D('',#503188,#385768,#385769); #354126=AXIS2_PLACEMENT_3D('',#503189,#385770,#385771); #354127=AXIS2_PLACEMENT_3D('',#503191,#385772,#385773); #354128=AXIS2_PLACEMENT_3D('',#503194,#385775,#385776); #354129=AXIS2_PLACEMENT_3D('',#503195,#385777,#385778); #354130=AXIS2_PLACEMENT_3D('',#503196,#385779,#385780); #354131=AXIS2_PLACEMENT_3D('',#503197,#385781,#385782); #354132=AXIS2_PLACEMENT_3D('',#503199,#385783,#385784); #354133=AXIS2_PLACEMENT_3D('',#503202,#385786,#385787); #354134=AXIS2_PLACEMENT_3D('',#503203,#385788,#385789); #354135=AXIS2_PLACEMENT_3D('',#503205,#385790,#385791); #354136=AXIS2_PLACEMENT_3D('',#503208,#385793,#385794); #354137=AXIS2_PLACEMENT_3D('',#503209,#385795,#385796); #354138=AXIS2_PLACEMENT_3D('',#503210,#385797,#385798); #354139=AXIS2_PLACEMENT_3D('',#503211,#385799,#385800); #354140=AXIS2_PLACEMENT_3D('',#503213,#385801,#385802); #354141=AXIS2_PLACEMENT_3D('',#503216,#385804,#385805); #354142=AXIS2_PLACEMENT_3D('',#503217,#385806,#385807); #354143=AXIS2_PLACEMENT_3D('',#503219,#385808,#385809); #354144=AXIS2_PLACEMENT_3D('',#503222,#385811,#385812); #354145=AXIS2_PLACEMENT_3D('',#503223,#385813,#385814); #354146=AXIS2_PLACEMENT_3D('',#503224,#385815,#385816); #354147=AXIS2_PLACEMENT_3D('',#503225,#385817,#385818); #354148=AXIS2_PLACEMENT_3D('',#503227,#385819,#385820); #354149=AXIS2_PLACEMENT_3D('',#503230,#385822,#385823); #354150=AXIS2_PLACEMENT_3D('',#503231,#385824,#385825); #354151=AXIS2_PLACEMENT_3D('',#503233,#385826,#385827); #354152=AXIS2_PLACEMENT_3D('',#503236,#385829,#385830); #354153=AXIS2_PLACEMENT_3D('',#503237,#385831,#385832); #354154=AXIS2_PLACEMENT_3D('',#503238,#385833,#385834); #354155=AXIS2_PLACEMENT_3D('',#503239,#385835,#385836); #354156=AXIS2_PLACEMENT_3D('',#503241,#385837,#385838); #354157=AXIS2_PLACEMENT_3D('',#503244,#385840,#385841); #354158=AXIS2_PLACEMENT_3D('',#503245,#385842,#385843); #354159=AXIS2_PLACEMENT_3D('',#503247,#385844,#385845); #354160=AXIS2_PLACEMENT_3D('',#503250,#385847,#385848); #354161=AXIS2_PLACEMENT_3D('',#503251,#385849,#385850); #354162=AXIS2_PLACEMENT_3D('',#503252,#385851,#385852); #354163=AXIS2_PLACEMENT_3D('',#503253,#385853,#385854); #354164=AXIS2_PLACEMENT_3D('',#503255,#385855,#385856); #354165=AXIS2_PLACEMENT_3D('',#503258,#385858,#385859); #354166=AXIS2_PLACEMENT_3D('',#503259,#385860,#385861); #354167=AXIS2_PLACEMENT_3D('',#503261,#385862,#385863); #354168=AXIS2_PLACEMENT_3D('',#503264,#385865,#385866); #354169=AXIS2_PLACEMENT_3D('',#503265,#385867,#385868); #354170=AXIS2_PLACEMENT_3D('',#503266,#385869,#385870); #354171=AXIS2_PLACEMENT_3D('',#503267,#385871,#385872); #354172=AXIS2_PLACEMENT_3D('',#503269,#385873,#385874); #354173=AXIS2_PLACEMENT_3D('',#503272,#385876,#385877); #354174=AXIS2_PLACEMENT_3D('',#503273,#385878,#385879); #354175=AXIS2_PLACEMENT_3D('',#503275,#385880,#385881); #354176=AXIS2_PLACEMENT_3D('',#503278,#385883,#385884); #354177=AXIS2_PLACEMENT_3D('',#503279,#385885,#385886); #354178=AXIS2_PLACEMENT_3D('',#503280,#385887,#385888); #354179=AXIS2_PLACEMENT_3D('',#503281,#385889,#385890); #354180=AXIS2_PLACEMENT_3D('',#503283,#385891,#385892); #354181=AXIS2_PLACEMENT_3D('',#503286,#385894,#385895); #354182=AXIS2_PLACEMENT_3D('',#503287,#385896,#385897); #354183=AXIS2_PLACEMENT_3D('',#503289,#385898,#385899); #354184=AXIS2_PLACEMENT_3D('',#503292,#385901,#385902); #354185=AXIS2_PLACEMENT_3D('',#503293,#385903,#385904); #354186=AXIS2_PLACEMENT_3D('',#503294,#385905,#385906); #354187=AXIS2_PLACEMENT_3D('',#503295,#385907,#385908); #354188=AXIS2_PLACEMENT_3D('',#503297,#385909,#385910); #354189=AXIS2_PLACEMENT_3D('',#503300,#385912,#385913); #354190=AXIS2_PLACEMENT_3D('',#503301,#385914,#385915); #354191=AXIS2_PLACEMENT_3D('',#503303,#385916,#385917); #354192=AXIS2_PLACEMENT_3D('',#503306,#385919,#385920); #354193=AXIS2_PLACEMENT_3D('',#503307,#385921,#385922); #354194=AXIS2_PLACEMENT_3D('',#503308,#385923,#385924); #354195=AXIS2_PLACEMENT_3D('',#503309,#385925,#385926); #354196=AXIS2_PLACEMENT_3D('',#503311,#385927,#385928); #354197=AXIS2_PLACEMENT_3D('',#503314,#385930,#385931); #354198=AXIS2_PLACEMENT_3D('',#503315,#385932,#385933); #354199=AXIS2_PLACEMENT_3D('',#503317,#385934,#385935); #354200=AXIS2_PLACEMENT_3D('',#503320,#385937,#385938); #354201=AXIS2_PLACEMENT_3D('',#503321,#385939,#385940); #354202=AXIS2_PLACEMENT_3D('',#503322,#385941,#385942); #354203=AXIS2_PLACEMENT_3D('',#503323,#385943,#385944); #354204=AXIS2_PLACEMENT_3D('',#503325,#385945,#385946); #354205=AXIS2_PLACEMENT_3D('',#503328,#385948,#385949); #354206=AXIS2_PLACEMENT_3D('',#503329,#385950,#385951); #354207=AXIS2_PLACEMENT_3D('',#503331,#385952,#385953); #354208=AXIS2_PLACEMENT_3D('',#503334,#385955,#385956); #354209=AXIS2_PLACEMENT_3D('',#503335,#385957,#385958); #354210=AXIS2_PLACEMENT_3D('',#503336,#385959,#385960); #354211=AXIS2_PLACEMENT_3D('',#503337,#385961,#385962); #354212=AXIS2_PLACEMENT_3D('',#503339,#385963,#385964); #354213=AXIS2_PLACEMENT_3D('',#503342,#385966,#385967); #354214=AXIS2_PLACEMENT_3D('',#503343,#385968,#385969); #354215=AXIS2_PLACEMENT_3D('',#503345,#385970,#385971); #354216=AXIS2_PLACEMENT_3D('',#503348,#385973,#385974); #354217=AXIS2_PLACEMENT_3D('',#503349,#385975,#385976); #354218=AXIS2_PLACEMENT_3D('',#503350,#385977,#385978); #354219=AXIS2_PLACEMENT_3D('',#503351,#385979,#385980); #354220=AXIS2_PLACEMENT_3D('',#503353,#385981,#385982); #354221=AXIS2_PLACEMENT_3D('',#503356,#385984,#385985); #354222=AXIS2_PLACEMENT_3D('',#503357,#385986,#385987); #354223=AXIS2_PLACEMENT_3D('',#503359,#385988,#385989); #354224=AXIS2_PLACEMENT_3D('',#503362,#385991,#385992); #354225=AXIS2_PLACEMENT_3D('',#503363,#385993,#385994); #354226=AXIS2_PLACEMENT_3D('',#503364,#385995,#385996); #354227=AXIS2_PLACEMENT_3D('',#503365,#385997,#385998); #354228=AXIS2_PLACEMENT_3D('',#503367,#385999,#386000); #354229=AXIS2_PLACEMENT_3D('',#503370,#386002,#386003); #354230=AXIS2_PLACEMENT_3D('',#503371,#386004,#386005); #354231=AXIS2_PLACEMENT_3D('',#503373,#386006,#386007); #354232=AXIS2_PLACEMENT_3D('',#503376,#386009,#386010); #354233=AXIS2_PLACEMENT_3D('',#503377,#386011,#386012); #354234=AXIS2_PLACEMENT_3D('',#503378,#386013,#386014); #354235=AXIS2_PLACEMENT_3D('',#503379,#386015,#386016); #354236=AXIS2_PLACEMENT_3D('',#503381,#386017,#386018); #354237=AXIS2_PLACEMENT_3D('',#503384,#386020,#386021); #354238=AXIS2_PLACEMENT_3D('',#503385,#386022,#386023); #354239=AXIS2_PLACEMENT_3D('',#503387,#386024,#386025); #354240=AXIS2_PLACEMENT_3D('',#503390,#386027,#386028); #354241=AXIS2_PLACEMENT_3D('',#503391,#386029,#386030); #354242=AXIS2_PLACEMENT_3D('',#503392,#386031,#386032); #354243=AXIS2_PLACEMENT_3D('',#503393,#386033,#386034); #354244=AXIS2_PLACEMENT_3D('',#503395,#386035,#386036); #354245=AXIS2_PLACEMENT_3D('',#503398,#386038,#386039); #354246=AXIS2_PLACEMENT_3D('',#503399,#386040,#386041); #354247=AXIS2_PLACEMENT_3D('',#503401,#386042,#386043); #354248=AXIS2_PLACEMENT_3D('',#503404,#386045,#386046); #354249=AXIS2_PLACEMENT_3D('',#503405,#386047,#386048); #354250=AXIS2_PLACEMENT_3D('',#503406,#386049,#386050); #354251=AXIS2_PLACEMENT_3D('',#503407,#386051,#386052); #354252=AXIS2_PLACEMENT_3D('',#503409,#386053,#386054); #354253=AXIS2_PLACEMENT_3D('',#503412,#386056,#386057); #354254=AXIS2_PLACEMENT_3D('',#503413,#386058,#386059); #354255=AXIS2_PLACEMENT_3D('',#503415,#386060,#386061); #354256=AXIS2_PLACEMENT_3D('',#503418,#386063,#386064); #354257=AXIS2_PLACEMENT_3D('',#503419,#386065,#386066); #354258=AXIS2_PLACEMENT_3D('',#503420,#386067,#386068); #354259=AXIS2_PLACEMENT_3D('',#503421,#386069,#386070); #354260=AXIS2_PLACEMENT_3D('',#503423,#386071,#386072); #354261=AXIS2_PLACEMENT_3D('',#503426,#386074,#386075); #354262=AXIS2_PLACEMENT_3D('',#503427,#386076,#386077); #354263=AXIS2_PLACEMENT_3D('',#503429,#386078,#386079); #354264=AXIS2_PLACEMENT_3D('',#503432,#386081,#386082); #354265=AXIS2_PLACEMENT_3D('',#503433,#386083,#386084); #354266=AXIS2_PLACEMENT_3D('',#503434,#386085,#386086); #354267=AXIS2_PLACEMENT_3D('',#503435,#386087,#386088); #354268=AXIS2_PLACEMENT_3D('',#503437,#386089,#386090); #354269=AXIS2_PLACEMENT_3D('',#503440,#386092,#386093); #354270=AXIS2_PLACEMENT_3D('',#503441,#386094,#386095); #354271=AXIS2_PLACEMENT_3D('',#503443,#386096,#386097); #354272=AXIS2_PLACEMENT_3D('',#503446,#386099,#386100); #354273=AXIS2_PLACEMENT_3D('',#503447,#386101,#386102); #354274=AXIS2_PLACEMENT_3D('',#503448,#386103,#386104); #354275=AXIS2_PLACEMENT_3D('',#503449,#386105,#386106); #354276=AXIS2_PLACEMENT_3D('',#503451,#386107,#386108); #354277=AXIS2_PLACEMENT_3D('',#503454,#386110,#386111); #354278=AXIS2_PLACEMENT_3D('',#503455,#386112,#386113); #354279=AXIS2_PLACEMENT_3D('',#503457,#386114,#386115); #354280=AXIS2_PLACEMENT_3D('',#503460,#386117,#386118); #354281=AXIS2_PLACEMENT_3D('',#503461,#386119,#386120); #354282=AXIS2_PLACEMENT_3D('',#503462,#386121,#386122); #354283=AXIS2_PLACEMENT_3D('',#503463,#386123,#386124); #354284=AXIS2_PLACEMENT_3D('',#503465,#386125,#386126); #354285=AXIS2_PLACEMENT_3D('',#503468,#386128,#386129); #354286=AXIS2_PLACEMENT_3D('',#503469,#386130,#386131); #354287=AXIS2_PLACEMENT_3D('',#503471,#386132,#386133); #354288=AXIS2_PLACEMENT_3D('',#503474,#386135,#386136); #354289=AXIS2_PLACEMENT_3D('',#503475,#386137,#386138); #354290=AXIS2_PLACEMENT_3D('',#503476,#386139,#386140); #354291=AXIS2_PLACEMENT_3D('',#503477,#386141,#386142); #354292=AXIS2_PLACEMENT_3D('',#503479,#386143,#386144); #354293=AXIS2_PLACEMENT_3D('',#503482,#386146,#386147); #354294=AXIS2_PLACEMENT_3D('',#503483,#386148,#386149); #354295=AXIS2_PLACEMENT_3D('',#503485,#386150,#386151); #354296=AXIS2_PLACEMENT_3D('',#503488,#386153,#386154); #354297=AXIS2_PLACEMENT_3D('',#503489,#386155,#386156); #354298=AXIS2_PLACEMENT_3D('',#503490,#386157,#386158); #354299=AXIS2_PLACEMENT_3D('',#503491,#386159,#386160); #354300=AXIS2_PLACEMENT_3D('',#503493,#386161,#386162); #354301=AXIS2_PLACEMENT_3D('',#503496,#386164,#386165); #354302=AXIS2_PLACEMENT_3D('',#503497,#386166,#386167); #354303=AXIS2_PLACEMENT_3D('',#503499,#386168,#386169); #354304=AXIS2_PLACEMENT_3D('',#503502,#386171,#386172); #354305=AXIS2_PLACEMENT_3D('',#503503,#386173,#386174); #354306=AXIS2_PLACEMENT_3D('',#503504,#386175,#386176); #354307=AXIS2_PLACEMENT_3D('',#503505,#386177,#386178); #354308=AXIS2_PLACEMENT_3D('',#503507,#386179,#386180); #354309=AXIS2_PLACEMENT_3D('',#503510,#386182,#386183); #354310=AXIS2_PLACEMENT_3D('',#503511,#386184,#386185); #354311=AXIS2_PLACEMENT_3D('',#503513,#386186,#386187); #354312=AXIS2_PLACEMENT_3D('',#503516,#386189,#386190); #354313=AXIS2_PLACEMENT_3D('',#503517,#386191,#386192); #354314=AXIS2_PLACEMENT_3D('',#503518,#386193,#386194); #354315=AXIS2_PLACEMENT_3D('',#503519,#386195,#386196); #354316=AXIS2_PLACEMENT_3D('',#503521,#386197,#386198); #354317=AXIS2_PLACEMENT_3D('',#503524,#386200,#386201); #354318=AXIS2_PLACEMENT_3D('',#503525,#386202,#386203); #354319=AXIS2_PLACEMENT_3D('',#503527,#386204,#386205); #354320=AXIS2_PLACEMENT_3D('',#503530,#386207,#386208); #354321=AXIS2_PLACEMENT_3D('',#503531,#386209,#386210); #354322=AXIS2_PLACEMENT_3D('',#503532,#386211,#386212); #354323=AXIS2_PLACEMENT_3D('',#503533,#386213,#386214); #354324=AXIS2_PLACEMENT_3D('',#503535,#386215,#386216); #354325=AXIS2_PLACEMENT_3D('',#503538,#386218,#386219); #354326=AXIS2_PLACEMENT_3D('',#503539,#386220,#386221); #354327=AXIS2_PLACEMENT_3D('',#503541,#386222,#386223); #354328=AXIS2_PLACEMENT_3D('',#503544,#386225,#386226); #354329=AXIS2_PLACEMENT_3D('',#503545,#386227,#386228); #354330=AXIS2_PLACEMENT_3D('',#503546,#386229,#386230); #354331=AXIS2_PLACEMENT_3D('',#503547,#386231,#386232); #354332=AXIS2_PLACEMENT_3D('',#503549,#386233,#386234); #354333=AXIS2_PLACEMENT_3D('',#503552,#386236,#386237); #354334=AXIS2_PLACEMENT_3D('',#503553,#386238,#386239); #354335=AXIS2_PLACEMENT_3D('',#503555,#386240,#386241); #354336=AXIS2_PLACEMENT_3D('',#503558,#386243,#386244); #354337=AXIS2_PLACEMENT_3D('',#503559,#386245,#386246); #354338=AXIS2_PLACEMENT_3D('',#503560,#386247,#386248); #354339=AXIS2_PLACEMENT_3D('',#503561,#386249,#386250); #354340=AXIS2_PLACEMENT_3D('',#503563,#386251,#386252); #354341=AXIS2_PLACEMENT_3D('',#503566,#386254,#386255); #354342=AXIS2_PLACEMENT_3D('',#503567,#386256,#386257); #354343=AXIS2_PLACEMENT_3D('',#503569,#386258,#386259); #354344=AXIS2_PLACEMENT_3D('',#503572,#386261,#386262); #354345=AXIS2_PLACEMENT_3D('',#503573,#386263,#386264); #354346=AXIS2_PLACEMENT_3D('',#503574,#386265,#386266); #354347=AXIS2_PLACEMENT_3D('',#503575,#386267,#386268); #354348=AXIS2_PLACEMENT_3D('',#503577,#386269,#386270); #354349=AXIS2_PLACEMENT_3D('',#503580,#386272,#386273); #354350=AXIS2_PLACEMENT_3D('',#503581,#386274,#386275); #354351=AXIS2_PLACEMENT_3D('',#503583,#386276,#386277); #354352=AXIS2_PLACEMENT_3D('',#503586,#386279,#386280); #354353=AXIS2_PLACEMENT_3D('',#503587,#386281,#386282); #354354=AXIS2_PLACEMENT_3D('',#503588,#386283,#386284); #354355=AXIS2_PLACEMENT_3D('',#503589,#386285,#386286); #354356=AXIS2_PLACEMENT_3D('',#503591,#386287,#386288); #354357=AXIS2_PLACEMENT_3D('',#503594,#386290,#386291); #354358=AXIS2_PLACEMENT_3D('',#503595,#386292,#386293); #354359=AXIS2_PLACEMENT_3D('',#503597,#386294,#386295); #354360=AXIS2_PLACEMENT_3D('',#503600,#386297,#386298); #354361=AXIS2_PLACEMENT_3D('',#503601,#386299,#386300); #354362=AXIS2_PLACEMENT_3D('',#503602,#386301,#386302); #354363=AXIS2_PLACEMENT_3D('',#503603,#386303,#386304); #354364=AXIS2_PLACEMENT_3D('',#503605,#386305,#386306); #354365=AXIS2_PLACEMENT_3D('',#503608,#386308,#386309); #354366=AXIS2_PLACEMENT_3D('',#503609,#386310,#386311); #354367=AXIS2_PLACEMENT_3D('',#503611,#386312,#386313); #354368=AXIS2_PLACEMENT_3D('',#503614,#386315,#386316); #354369=AXIS2_PLACEMENT_3D('',#503615,#386317,#386318); #354370=AXIS2_PLACEMENT_3D('',#503616,#386319,#386320); #354371=AXIS2_PLACEMENT_3D('',#503617,#386321,#386322); #354372=AXIS2_PLACEMENT_3D('',#503619,#386323,#386324); #354373=AXIS2_PLACEMENT_3D('',#503622,#386326,#386327); #354374=AXIS2_PLACEMENT_3D('',#503623,#386328,#386329); #354375=AXIS2_PLACEMENT_3D('',#503625,#386330,#386331); #354376=AXIS2_PLACEMENT_3D('',#503628,#386333,#386334); #354377=AXIS2_PLACEMENT_3D('',#503629,#386335,#386336); #354378=AXIS2_PLACEMENT_3D('',#503630,#386337,#386338); #354379=AXIS2_PLACEMENT_3D('',#503631,#386339,#386340); #354380=AXIS2_PLACEMENT_3D('',#503633,#386341,#386342); #354381=AXIS2_PLACEMENT_3D('',#503636,#386344,#386345); #354382=AXIS2_PLACEMENT_3D('',#503637,#386346,#386347); #354383=AXIS2_PLACEMENT_3D('',#503639,#386348,#386349); #354384=AXIS2_PLACEMENT_3D('',#503642,#386351,#386352); #354385=AXIS2_PLACEMENT_3D('',#503643,#386353,#386354); #354386=AXIS2_PLACEMENT_3D('',#503644,#386355,#386356); #354387=AXIS2_PLACEMENT_3D('',#503645,#386357,#386358); #354388=AXIS2_PLACEMENT_3D('',#503647,#386359,#386360); #354389=AXIS2_PLACEMENT_3D('',#503650,#386362,#386363); #354390=AXIS2_PLACEMENT_3D('',#503651,#386364,#386365); #354391=AXIS2_PLACEMENT_3D('',#503653,#386366,#386367); #354392=AXIS2_PLACEMENT_3D('',#503656,#386369,#386370); #354393=AXIS2_PLACEMENT_3D('',#503657,#386371,#386372); #354394=AXIS2_PLACEMENT_3D('',#503658,#386373,#386374); #354395=AXIS2_PLACEMENT_3D('',#503659,#386375,#386376); #354396=AXIS2_PLACEMENT_3D('',#503661,#386377,#386378); #354397=AXIS2_PLACEMENT_3D('',#503664,#386380,#386381); #354398=AXIS2_PLACEMENT_3D('',#503665,#386382,#386383); #354399=AXIS2_PLACEMENT_3D('',#503667,#386384,#386385); #354400=AXIS2_PLACEMENT_3D('',#503670,#386387,#386388); #354401=AXIS2_PLACEMENT_3D('',#503671,#386389,#386390); #354402=AXIS2_PLACEMENT_3D('',#503672,#386391,#386392); #354403=AXIS2_PLACEMENT_3D('',#503673,#386393,#386394); #354404=AXIS2_PLACEMENT_3D('',#503675,#386395,#386396); #354405=AXIS2_PLACEMENT_3D('',#503678,#386398,#386399); #354406=AXIS2_PLACEMENT_3D('',#503679,#386400,#386401); #354407=AXIS2_PLACEMENT_3D('',#503681,#386402,#386403); #354408=AXIS2_PLACEMENT_3D('',#503684,#386405,#386406); #354409=AXIS2_PLACEMENT_3D('',#503685,#386407,#386408); #354410=AXIS2_PLACEMENT_3D('',#503686,#386409,#386410); #354411=AXIS2_PLACEMENT_3D('',#503687,#386411,#386412); #354412=AXIS2_PLACEMENT_3D('',#503689,#386413,#386414); #354413=AXIS2_PLACEMENT_3D('',#503692,#386416,#386417); #354414=AXIS2_PLACEMENT_3D('',#503693,#386418,#386419); #354415=AXIS2_PLACEMENT_3D('',#503695,#386420,#386421); #354416=AXIS2_PLACEMENT_3D('',#503698,#386423,#386424); #354417=AXIS2_PLACEMENT_3D('',#503699,#386425,#386426); #354418=AXIS2_PLACEMENT_3D('',#503700,#386427,#386428); #354419=AXIS2_PLACEMENT_3D('',#503701,#386429,#386430); #354420=AXIS2_PLACEMENT_3D('',#503703,#386431,#386432); #354421=AXIS2_PLACEMENT_3D('',#503706,#386434,#386435); #354422=AXIS2_PLACEMENT_3D('',#503707,#386436,#386437); #354423=AXIS2_PLACEMENT_3D('',#503709,#386438,#386439); #354424=AXIS2_PLACEMENT_3D('',#503712,#386441,#386442); #354425=AXIS2_PLACEMENT_3D('',#503713,#386443,#386444); #354426=AXIS2_PLACEMENT_3D('',#503714,#386445,#386446); #354427=AXIS2_PLACEMENT_3D('',#503715,#386447,#386448); #354428=AXIS2_PLACEMENT_3D('',#503717,#386449,#386450); #354429=AXIS2_PLACEMENT_3D('',#503720,#386452,#386453); #354430=AXIS2_PLACEMENT_3D('',#503721,#386454,#386455); #354431=AXIS2_PLACEMENT_3D('',#503723,#386456,#386457); #354432=AXIS2_PLACEMENT_3D('',#503726,#386459,#386460); #354433=AXIS2_PLACEMENT_3D('',#503727,#386461,#386462); #354434=AXIS2_PLACEMENT_3D('',#503728,#386463,#386464); #354435=AXIS2_PLACEMENT_3D('',#503729,#386465,#386466); #354436=AXIS2_PLACEMENT_3D('',#503731,#386467,#386468); #354437=AXIS2_PLACEMENT_3D('',#503734,#386470,#386471); #354438=AXIS2_PLACEMENT_3D('',#503735,#386472,#386473); #354439=AXIS2_PLACEMENT_3D('',#503737,#386474,#386475); #354440=AXIS2_PLACEMENT_3D('',#503740,#386477,#386478); #354441=AXIS2_PLACEMENT_3D('',#503741,#386479,#386480); #354442=AXIS2_PLACEMENT_3D('',#503742,#386481,#386482); #354443=AXIS2_PLACEMENT_3D('',#503743,#386483,#386484); #354444=AXIS2_PLACEMENT_3D('',#503745,#386485,#386486); #354445=AXIS2_PLACEMENT_3D('',#503748,#386488,#386489); #354446=AXIS2_PLACEMENT_3D('',#503749,#386490,#386491); #354447=AXIS2_PLACEMENT_3D('',#503751,#386492,#386493); #354448=AXIS2_PLACEMENT_3D('',#503754,#386495,#386496); #354449=AXIS2_PLACEMENT_3D('',#503755,#386497,#386498); #354450=AXIS2_PLACEMENT_3D('',#503756,#386499,#386500); #354451=AXIS2_PLACEMENT_3D('',#503757,#386501,#386502); #354452=AXIS2_PLACEMENT_3D('',#503759,#386503,#386504); #354453=AXIS2_PLACEMENT_3D('',#503762,#386506,#386507); #354454=AXIS2_PLACEMENT_3D('',#503763,#386508,#386509); #354455=AXIS2_PLACEMENT_3D('',#503765,#386510,#386511); #354456=AXIS2_PLACEMENT_3D('',#503768,#386513,#386514); #354457=AXIS2_PLACEMENT_3D('',#503769,#386515,#386516); #354458=AXIS2_PLACEMENT_3D('',#503770,#386517,#386518); #354459=AXIS2_PLACEMENT_3D('',#503771,#386519,#386520); #354460=AXIS2_PLACEMENT_3D('',#503773,#386521,#386522); #354461=AXIS2_PLACEMENT_3D('',#503776,#386524,#386525); #354462=AXIS2_PLACEMENT_3D('',#503777,#386526,#386527); #354463=AXIS2_PLACEMENT_3D('',#503779,#386528,#386529); #354464=AXIS2_PLACEMENT_3D('',#503782,#386531,#386532); #354465=AXIS2_PLACEMENT_3D('',#503783,#386533,#386534); #354466=AXIS2_PLACEMENT_3D('',#503784,#386535,#386536); #354467=AXIS2_PLACEMENT_3D('',#503785,#386537,#386538); #354468=AXIS2_PLACEMENT_3D('',#503787,#386539,#386540); #354469=AXIS2_PLACEMENT_3D('',#503790,#386542,#386543); #354470=AXIS2_PLACEMENT_3D('',#503791,#386544,#386545); #354471=AXIS2_PLACEMENT_3D('',#503793,#386546,#386547); #354472=AXIS2_PLACEMENT_3D('',#503796,#386549,#386550); #354473=AXIS2_PLACEMENT_3D('',#503797,#386551,#386552); #354474=AXIS2_PLACEMENT_3D('',#503798,#386553,#386554); #354475=AXIS2_PLACEMENT_3D('',#503799,#386555,#386556); #354476=AXIS2_PLACEMENT_3D('',#503801,#386557,#386558); #354477=AXIS2_PLACEMENT_3D('',#503804,#386560,#386561); #354478=AXIS2_PLACEMENT_3D('',#503805,#386562,#386563); #354479=AXIS2_PLACEMENT_3D('',#503807,#386564,#386565); #354480=AXIS2_PLACEMENT_3D('',#503810,#386567,#386568); #354481=AXIS2_PLACEMENT_3D('',#503811,#386569,#386570); #354482=AXIS2_PLACEMENT_3D('',#503812,#386571,#386572); #354483=AXIS2_PLACEMENT_3D('',#503813,#386573,#386574); #354484=AXIS2_PLACEMENT_3D('',#503815,#386575,#386576); #354485=AXIS2_PLACEMENT_3D('',#503818,#386578,#386579); #354486=AXIS2_PLACEMENT_3D('',#503819,#386580,#386581); #354487=AXIS2_PLACEMENT_3D('',#503821,#386582,#386583); #354488=AXIS2_PLACEMENT_3D('',#503824,#386585,#386586); #354489=AXIS2_PLACEMENT_3D('',#503825,#386587,#386588); #354490=AXIS2_PLACEMENT_3D('',#503826,#386589,#386590); #354491=AXIS2_PLACEMENT_3D('',#503827,#386591,#386592); #354492=AXIS2_PLACEMENT_3D('',#503829,#386593,#386594); #354493=AXIS2_PLACEMENT_3D('',#503832,#386596,#386597); #354494=AXIS2_PLACEMENT_3D('',#503833,#386598,#386599); #354495=AXIS2_PLACEMENT_3D('',#503835,#386600,#386601); #354496=AXIS2_PLACEMENT_3D('',#503838,#386603,#386604); #354497=AXIS2_PLACEMENT_3D('',#503839,#386605,#386606); #354498=AXIS2_PLACEMENT_3D('',#503840,#386607,#386608); #354499=AXIS2_PLACEMENT_3D('',#503841,#386609,#386610); #354500=AXIS2_PLACEMENT_3D('',#503843,#386611,#386612); #354501=AXIS2_PLACEMENT_3D('',#503846,#386614,#386615); #354502=AXIS2_PLACEMENT_3D('',#503847,#386616,#386617); #354503=AXIS2_PLACEMENT_3D('',#503849,#386618,#386619); #354504=AXIS2_PLACEMENT_3D('',#503852,#386621,#386622); #354505=AXIS2_PLACEMENT_3D('',#503853,#386623,#386624); #354506=AXIS2_PLACEMENT_3D('',#503854,#386625,#386626); #354507=AXIS2_PLACEMENT_3D('',#503855,#386627,#386628); #354508=AXIS2_PLACEMENT_3D('',#503857,#386629,#386630); #354509=AXIS2_PLACEMENT_3D('',#503860,#386632,#386633); #354510=AXIS2_PLACEMENT_3D('',#503861,#386634,#386635); #354511=AXIS2_PLACEMENT_3D('',#503863,#386636,#386637); #354512=AXIS2_PLACEMENT_3D('',#503866,#386639,#386640); #354513=AXIS2_PLACEMENT_3D('',#503867,#386641,#386642); #354514=AXIS2_PLACEMENT_3D('',#503868,#386643,#386644); #354515=AXIS2_PLACEMENT_3D('',#503869,#386645,#386646); #354516=AXIS2_PLACEMENT_3D('',#503871,#386647,#386648); #354517=AXIS2_PLACEMENT_3D('',#503874,#386650,#386651); #354518=AXIS2_PLACEMENT_3D('',#503875,#386652,#386653); #354519=AXIS2_PLACEMENT_3D('',#503877,#386654,#386655); #354520=AXIS2_PLACEMENT_3D('',#503880,#386657,#386658); #354521=AXIS2_PLACEMENT_3D('',#503881,#386659,#386660); #354522=AXIS2_PLACEMENT_3D('',#503882,#386661,#386662); #354523=AXIS2_PLACEMENT_3D('',#503883,#386663,#386664); #354524=AXIS2_PLACEMENT_3D('',#503885,#386665,#386666); #354525=AXIS2_PLACEMENT_3D('',#503888,#386668,#386669); #354526=AXIS2_PLACEMENT_3D('',#503889,#386670,#386671); #354527=AXIS2_PLACEMENT_3D('',#503891,#386672,#386673); #354528=AXIS2_PLACEMENT_3D('',#503894,#386675,#386676); #354529=AXIS2_PLACEMENT_3D('',#503895,#386677,#386678); #354530=AXIS2_PLACEMENT_3D('',#503896,#386679,#386680); #354531=AXIS2_PLACEMENT_3D('',#503897,#386681,#386682); #354532=AXIS2_PLACEMENT_3D('',#503899,#386683,#386684); #354533=AXIS2_PLACEMENT_3D('',#503902,#386686,#386687); #354534=AXIS2_PLACEMENT_3D('',#503903,#386688,#386689); #354535=AXIS2_PLACEMENT_3D('',#503905,#386690,#386691); #354536=AXIS2_PLACEMENT_3D('',#503908,#386693,#386694); #354537=AXIS2_PLACEMENT_3D('',#503909,#386695,#386696); #354538=AXIS2_PLACEMENT_3D('',#503910,#386697,#386698); #354539=AXIS2_PLACEMENT_3D('',#503911,#386699,#386700); #354540=AXIS2_PLACEMENT_3D('',#503913,#386701,#386702); #354541=AXIS2_PLACEMENT_3D('',#503916,#386704,#386705); #354542=AXIS2_PLACEMENT_3D('',#503917,#386706,#386707); #354543=AXIS2_PLACEMENT_3D('',#503919,#386708,#386709); #354544=AXIS2_PLACEMENT_3D('',#503922,#386711,#386712); #354545=AXIS2_PLACEMENT_3D('',#503923,#386713,#386714); #354546=AXIS2_PLACEMENT_3D('',#503924,#386715,#386716); #354547=AXIS2_PLACEMENT_3D('',#503925,#386717,#386718); #354548=AXIS2_PLACEMENT_3D('',#503927,#386719,#386720); #354549=AXIS2_PLACEMENT_3D('',#503930,#386722,#386723); #354550=AXIS2_PLACEMENT_3D('',#503931,#386724,#386725); #354551=AXIS2_PLACEMENT_3D('',#503933,#386726,#386727); #354552=AXIS2_PLACEMENT_3D('',#503936,#386729,#386730); #354553=AXIS2_PLACEMENT_3D('',#503937,#386731,#386732); #354554=AXIS2_PLACEMENT_3D('',#503938,#386733,#386734); #354555=AXIS2_PLACEMENT_3D('',#503939,#386735,#386736); #354556=AXIS2_PLACEMENT_3D('',#503941,#386737,#386738); #354557=AXIS2_PLACEMENT_3D('',#503944,#386740,#386741); #354558=AXIS2_PLACEMENT_3D('',#503945,#386742,#386743); #354559=AXIS2_PLACEMENT_3D('',#503947,#386744,#386745); #354560=AXIS2_PLACEMENT_3D('',#503950,#386747,#386748); #354561=AXIS2_PLACEMENT_3D('',#503951,#386749,#386750); #354562=AXIS2_PLACEMENT_3D('',#503952,#386751,#386752); #354563=AXIS2_PLACEMENT_3D('',#503953,#386753,#386754); #354564=AXIS2_PLACEMENT_3D('',#503955,#386755,#386756); #354565=AXIS2_PLACEMENT_3D('',#503958,#386758,#386759); #354566=AXIS2_PLACEMENT_3D('',#503959,#386760,#386761); #354567=AXIS2_PLACEMENT_3D('',#503961,#386762,#386763); #354568=AXIS2_PLACEMENT_3D('',#503964,#386765,#386766); #354569=AXIS2_PLACEMENT_3D('',#503965,#386767,#386768); #354570=AXIS2_PLACEMENT_3D('',#503966,#386769,#386770); #354571=AXIS2_PLACEMENT_3D('',#503967,#386771,#386772); #354572=AXIS2_PLACEMENT_3D('',#503969,#386773,#386774); #354573=AXIS2_PLACEMENT_3D('',#503972,#386776,#386777); #354574=AXIS2_PLACEMENT_3D('',#503973,#386778,#386779); #354575=AXIS2_PLACEMENT_3D('',#503975,#386780,#386781); #354576=AXIS2_PLACEMENT_3D('',#503978,#386783,#386784); #354577=AXIS2_PLACEMENT_3D('',#503979,#386785,#386786); #354578=AXIS2_PLACEMENT_3D('',#503980,#386787,#386788); #354579=AXIS2_PLACEMENT_3D('',#503981,#386789,#386790); #354580=AXIS2_PLACEMENT_3D('',#503983,#386791,#386792); #354581=AXIS2_PLACEMENT_3D('',#503986,#386794,#386795); #354582=AXIS2_PLACEMENT_3D('',#503987,#386796,#386797); #354583=AXIS2_PLACEMENT_3D('',#503989,#386798,#386799); #354584=AXIS2_PLACEMENT_3D('',#503992,#386801,#386802); #354585=AXIS2_PLACEMENT_3D('',#503993,#386803,#386804); #354586=AXIS2_PLACEMENT_3D('',#503994,#386805,#386806); #354587=AXIS2_PLACEMENT_3D('',#503995,#386807,#386808); #354588=AXIS2_PLACEMENT_3D('',#503997,#386809,#386810); #354589=AXIS2_PLACEMENT_3D('',#504000,#386812,#386813); #354590=AXIS2_PLACEMENT_3D('',#504001,#386814,#386815); #354591=AXIS2_PLACEMENT_3D('',#504003,#386816,#386817); #354592=AXIS2_PLACEMENT_3D('',#504006,#386819,#386820); #354593=AXIS2_PLACEMENT_3D('',#504007,#386821,#386822); #354594=AXIS2_PLACEMENT_3D('',#504008,#386823,#386824); #354595=AXIS2_PLACEMENT_3D('',#504009,#386825,#386826); #354596=AXIS2_PLACEMENT_3D('',#504011,#386827,#386828); #354597=AXIS2_PLACEMENT_3D('',#504014,#386830,#386831); #354598=AXIS2_PLACEMENT_3D('',#504015,#386832,#386833); #354599=AXIS2_PLACEMENT_3D('',#504017,#386834,#386835); #354600=AXIS2_PLACEMENT_3D('',#504020,#386837,#386838); #354601=AXIS2_PLACEMENT_3D('',#504021,#386839,#386840); #354602=AXIS2_PLACEMENT_3D('',#504022,#386841,#386842); #354603=AXIS2_PLACEMENT_3D('',#504023,#386843,#386844); #354604=AXIS2_PLACEMENT_3D('',#504025,#386845,#386846); #354605=AXIS2_PLACEMENT_3D('',#504028,#386848,#386849); #354606=AXIS2_PLACEMENT_3D('',#504029,#386850,#386851); #354607=AXIS2_PLACEMENT_3D('',#504031,#386852,#386853); #354608=AXIS2_PLACEMENT_3D('',#504034,#386855,#386856); #354609=AXIS2_PLACEMENT_3D('',#504035,#386857,#386858); #354610=AXIS2_PLACEMENT_3D('',#504036,#386859,#386860); #354611=AXIS2_PLACEMENT_3D('',#504037,#386861,#386862); #354612=AXIS2_PLACEMENT_3D('',#504039,#386863,#386864); #354613=AXIS2_PLACEMENT_3D('',#504042,#386866,#386867); #354614=AXIS2_PLACEMENT_3D('',#504043,#386868,#386869); #354615=AXIS2_PLACEMENT_3D('',#504045,#386870,#386871); #354616=AXIS2_PLACEMENT_3D('',#504048,#386873,#386874); #354617=AXIS2_PLACEMENT_3D('',#504049,#386875,#386876); #354618=AXIS2_PLACEMENT_3D('',#504050,#386877,#386878); #354619=AXIS2_PLACEMENT_3D('',#504051,#386879,#386880); #354620=AXIS2_PLACEMENT_3D('',#504053,#386881,#386882); #354621=AXIS2_PLACEMENT_3D('',#504056,#386884,#386885); #354622=AXIS2_PLACEMENT_3D('',#504057,#386886,#386887); #354623=AXIS2_PLACEMENT_3D('',#504059,#386888,#386889); #354624=AXIS2_PLACEMENT_3D('',#504062,#386891,#386892); #354625=AXIS2_PLACEMENT_3D('',#504063,#386893,#386894); #354626=AXIS2_PLACEMENT_3D('',#504064,#386895,#386896); #354627=AXIS2_PLACEMENT_3D('',#504065,#386897,#386898); #354628=AXIS2_PLACEMENT_3D('',#504067,#386899,#386900); #354629=AXIS2_PLACEMENT_3D('',#504070,#386902,#386903); #354630=AXIS2_PLACEMENT_3D('',#504071,#386904,#386905); #354631=AXIS2_PLACEMENT_3D('',#504073,#386906,#386907); #354632=AXIS2_PLACEMENT_3D('',#504076,#386909,#386910); #354633=AXIS2_PLACEMENT_3D('',#504077,#386911,#386912); #354634=AXIS2_PLACEMENT_3D('',#504078,#386913,#386914); #354635=AXIS2_PLACEMENT_3D('',#504079,#386915,#386916); #354636=AXIS2_PLACEMENT_3D('',#504081,#386917,#386918); #354637=AXIS2_PLACEMENT_3D('',#504084,#386920,#386921); #354638=AXIS2_PLACEMENT_3D('',#504085,#386922,#386923); #354639=AXIS2_PLACEMENT_3D('',#504087,#386924,#386925); #354640=AXIS2_PLACEMENT_3D('',#504090,#386927,#386928); #354641=AXIS2_PLACEMENT_3D('',#504091,#386929,#386930); #354642=AXIS2_PLACEMENT_3D('',#504092,#386931,#386932); #354643=AXIS2_PLACEMENT_3D('',#504093,#386933,#386934); #354644=AXIS2_PLACEMENT_3D('',#504095,#386935,#386936); #354645=AXIS2_PLACEMENT_3D('',#504098,#386938,#386939); #354646=AXIS2_PLACEMENT_3D('',#504099,#386940,#386941); #354647=AXIS2_PLACEMENT_3D('',#504101,#386942,#386943); #354648=AXIS2_PLACEMENT_3D('',#504104,#386945,#386946); #354649=AXIS2_PLACEMENT_3D('',#504105,#386947,#386948); #354650=AXIS2_PLACEMENT_3D('',#504106,#386949,#386950); #354651=AXIS2_PLACEMENT_3D('',#504107,#386951,#386952); #354652=AXIS2_PLACEMENT_3D('',#504109,#386953,#386954); #354653=AXIS2_PLACEMENT_3D('',#504112,#386956,#386957); #354654=AXIS2_PLACEMENT_3D('',#504113,#386958,#386959); #354655=AXIS2_PLACEMENT_3D('',#504115,#386960,#386961); #354656=AXIS2_PLACEMENT_3D('',#504118,#386963,#386964); #354657=AXIS2_PLACEMENT_3D('',#504119,#386965,#386966); #354658=AXIS2_PLACEMENT_3D('',#504120,#386967,#386968); #354659=AXIS2_PLACEMENT_3D('',#504121,#386969,#386970); #354660=AXIS2_PLACEMENT_3D('',#504123,#386971,#386972); #354661=AXIS2_PLACEMENT_3D('',#504126,#386974,#386975); #354662=AXIS2_PLACEMENT_3D('',#504127,#386976,#386977); #354663=AXIS2_PLACEMENT_3D('',#504129,#386978,#386979); #354664=AXIS2_PLACEMENT_3D('',#504132,#386981,#386982); #354665=AXIS2_PLACEMENT_3D('',#504133,#386983,#386984); #354666=AXIS2_PLACEMENT_3D('',#504134,#386985,#386986); #354667=AXIS2_PLACEMENT_3D('',#504135,#386987,#386988); #354668=AXIS2_PLACEMENT_3D('',#504137,#386989,#386990); #354669=AXIS2_PLACEMENT_3D('',#504140,#386992,#386993); #354670=AXIS2_PLACEMENT_3D('',#504141,#386994,#386995); #354671=AXIS2_PLACEMENT_3D('',#504143,#386996,#386997); #354672=AXIS2_PLACEMENT_3D('',#504146,#386999,#387000); #354673=AXIS2_PLACEMENT_3D('',#504147,#387001,#387002); #354674=AXIS2_PLACEMENT_3D('',#504148,#387003,#387004); #354675=AXIS2_PLACEMENT_3D('',#504149,#387005,#387006); #354676=AXIS2_PLACEMENT_3D('',#504151,#387007,#387008); #354677=AXIS2_PLACEMENT_3D('',#504154,#387010,#387011); #354678=AXIS2_PLACEMENT_3D('',#504155,#387012,#387013); #354679=AXIS2_PLACEMENT_3D('',#504157,#387014,#387015); #354680=AXIS2_PLACEMENT_3D('',#504160,#387017,#387018); #354681=AXIS2_PLACEMENT_3D('',#504161,#387019,#387020); #354682=AXIS2_PLACEMENT_3D('',#504162,#387021,#387022); #354683=AXIS2_PLACEMENT_3D('',#504163,#387023,#387024); #354684=AXIS2_PLACEMENT_3D('',#504165,#387025,#387026); #354685=AXIS2_PLACEMENT_3D('',#504168,#387028,#387029); #354686=AXIS2_PLACEMENT_3D('',#504169,#387030,#387031); #354687=AXIS2_PLACEMENT_3D('',#504171,#387032,#387033); #354688=AXIS2_PLACEMENT_3D('',#504174,#387035,#387036); #354689=AXIS2_PLACEMENT_3D('',#504175,#387037,#387038); #354690=AXIS2_PLACEMENT_3D('',#504176,#387039,#387040); #354691=AXIS2_PLACEMENT_3D('',#504177,#387041,#387042); #354692=AXIS2_PLACEMENT_3D('',#504179,#387043,#387044); #354693=AXIS2_PLACEMENT_3D('',#504182,#387046,#387047); #354694=AXIS2_PLACEMENT_3D('',#504183,#387048,#387049); #354695=AXIS2_PLACEMENT_3D('',#504185,#387050,#387051); #354696=AXIS2_PLACEMENT_3D('',#504188,#387053,#387054); #354697=AXIS2_PLACEMENT_3D('',#504189,#387055,#387056); #354698=AXIS2_PLACEMENT_3D('',#504190,#387057,#387058); #354699=AXIS2_PLACEMENT_3D('',#504191,#387059,#387060); #354700=AXIS2_PLACEMENT_3D('',#504193,#387061,#387062); #354701=AXIS2_PLACEMENT_3D('',#504196,#387064,#387065); #354702=AXIS2_PLACEMENT_3D('',#504197,#387066,#387067); #354703=AXIS2_PLACEMENT_3D('',#504199,#387068,#387069); #354704=AXIS2_PLACEMENT_3D('',#504202,#387071,#387072); #354705=AXIS2_PLACEMENT_3D('',#504203,#387073,#387074); #354706=AXIS2_PLACEMENT_3D('',#504204,#387075,#387076); #354707=AXIS2_PLACEMENT_3D('',#504205,#387077,#387078); #354708=AXIS2_PLACEMENT_3D('',#504207,#387079,#387080); #354709=AXIS2_PLACEMENT_3D('',#504210,#387082,#387083); #354710=AXIS2_PLACEMENT_3D('',#504211,#387084,#387085); #354711=AXIS2_PLACEMENT_3D('',#504213,#387086,#387087); #354712=AXIS2_PLACEMENT_3D('',#504216,#387089,#387090); #354713=AXIS2_PLACEMENT_3D('',#504217,#387091,#387092); #354714=AXIS2_PLACEMENT_3D('',#504218,#387093,#387094); #354715=AXIS2_PLACEMENT_3D('',#504219,#387095,#387096); #354716=AXIS2_PLACEMENT_3D('',#504221,#387097,#387098); #354717=AXIS2_PLACEMENT_3D('',#504224,#387100,#387101); #354718=AXIS2_PLACEMENT_3D('',#504225,#387102,#387103); #354719=AXIS2_PLACEMENT_3D('',#504227,#387104,#387105); #354720=AXIS2_PLACEMENT_3D('',#504230,#387107,#387108); #354721=AXIS2_PLACEMENT_3D('',#504231,#387109,#387110); #354722=AXIS2_PLACEMENT_3D('',#504232,#387111,#387112); #354723=AXIS2_PLACEMENT_3D('',#504233,#387113,#387114); #354724=AXIS2_PLACEMENT_3D('',#504235,#387115,#387116); #354725=AXIS2_PLACEMENT_3D('',#504238,#387118,#387119); #354726=AXIS2_PLACEMENT_3D('',#504239,#387120,#387121); #354727=AXIS2_PLACEMENT_3D('',#504241,#387122,#387123); #354728=AXIS2_PLACEMENT_3D('',#504244,#387125,#387126); #354729=AXIS2_PLACEMENT_3D('',#504245,#387127,#387128); #354730=AXIS2_PLACEMENT_3D('',#504246,#387129,#387130); #354731=AXIS2_PLACEMENT_3D('',#504247,#387131,#387132); #354732=AXIS2_PLACEMENT_3D('',#504249,#387133,#387134); #354733=AXIS2_PLACEMENT_3D('',#504252,#387136,#387137); #354734=AXIS2_PLACEMENT_3D('',#504253,#387138,#387139); #354735=AXIS2_PLACEMENT_3D('',#504255,#387140,#387141); #354736=AXIS2_PLACEMENT_3D('',#504258,#387143,#387144); #354737=AXIS2_PLACEMENT_3D('',#504259,#387145,#387146); #354738=AXIS2_PLACEMENT_3D('',#504260,#387147,#387148); #354739=AXIS2_PLACEMENT_3D('',#504261,#387149,#387150); #354740=AXIS2_PLACEMENT_3D('',#504263,#387151,#387152); #354741=AXIS2_PLACEMENT_3D('',#504266,#387154,#387155); #354742=AXIS2_PLACEMENT_3D('',#504267,#387156,#387157); #354743=AXIS2_PLACEMENT_3D('',#504269,#387158,#387159); #354744=AXIS2_PLACEMENT_3D('',#504272,#387161,#387162); #354745=AXIS2_PLACEMENT_3D('',#504273,#387163,#387164); #354746=AXIS2_PLACEMENT_3D('',#504274,#387165,#387166); #354747=AXIS2_PLACEMENT_3D('',#504275,#387167,#387168); #354748=AXIS2_PLACEMENT_3D('',#504277,#387169,#387170); #354749=AXIS2_PLACEMENT_3D('',#504280,#387172,#387173); #354750=AXIS2_PLACEMENT_3D('',#504281,#387174,#387175); #354751=AXIS2_PLACEMENT_3D('',#504283,#387176,#387177); #354752=AXIS2_PLACEMENT_3D('',#504286,#387179,#387180); #354753=AXIS2_PLACEMENT_3D('',#504287,#387181,#387182); #354754=AXIS2_PLACEMENT_3D('',#504288,#387183,#387184); #354755=AXIS2_PLACEMENT_3D('',#504289,#387185,#387186); #354756=AXIS2_PLACEMENT_3D('',#504291,#387187,#387188); #354757=AXIS2_PLACEMENT_3D('',#504294,#387190,#387191); #354758=AXIS2_PLACEMENT_3D('',#504295,#387192,#387193); #354759=AXIS2_PLACEMENT_3D('',#504297,#387194,#387195); #354760=AXIS2_PLACEMENT_3D('',#504300,#387197,#387198); #354761=AXIS2_PLACEMENT_3D('',#504301,#387199,#387200); #354762=AXIS2_PLACEMENT_3D('',#504302,#387201,#387202); #354763=AXIS2_PLACEMENT_3D('',#504303,#387203,#387204); #354764=AXIS2_PLACEMENT_3D('',#504305,#387205,#387206); #354765=AXIS2_PLACEMENT_3D('',#504308,#387208,#387209); #354766=AXIS2_PLACEMENT_3D('',#504309,#387210,#387211); #354767=AXIS2_PLACEMENT_3D('',#504311,#387212,#387213); #354768=AXIS2_PLACEMENT_3D('',#504314,#387215,#387216); #354769=AXIS2_PLACEMENT_3D('',#504315,#387217,#387218); #354770=AXIS2_PLACEMENT_3D('',#504316,#387219,#387220); #354771=AXIS2_PLACEMENT_3D('',#504317,#387221,#387222); #354772=AXIS2_PLACEMENT_3D('',#504319,#387223,#387224); #354773=AXIS2_PLACEMENT_3D('',#504322,#387226,#387227); #354774=AXIS2_PLACEMENT_3D('',#504323,#387228,#387229); #354775=AXIS2_PLACEMENT_3D('',#504325,#387230,#387231); #354776=AXIS2_PLACEMENT_3D('',#504328,#387233,#387234); #354777=AXIS2_PLACEMENT_3D('',#504329,#387235,#387236); #354778=AXIS2_PLACEMENT_3D('',#504330,#387237,#387238); #354779=AXIS2_PLACEMENT_3D('',#504331,#387239,#387240); #354780=AXIS2_PLACEMENT_3D('',#504333,#387241,#387242); #354781=AXIS2_PLACEMENT_3D('',#504336,#387244,#387245); #354782=AXIS2_PLACEMENT_3D('',#504337,#387246,#387247); #354783=AXIS2_PLACEMENT_3D('',#504339,#387248,#387249); #354784=AXIS2_PLACEMENT_3D('',#504342,#387251,#387252); #354785=AXIS2_PLACEMENT_3D('',#504343,#387253,#387254); #354786=AXIS2_PLACEMENT_3D('',#504344,#387255,#387256); #354787=AXIS2_PLACEMENT_3D('',#504345,#387257,#387258); #354788=AXIS2_PLACEMENT_3D('',#504347,#387259,#387260); #354789=AXIS2_PLACEMENT_3D('',#504350,#387262,#387263); #354790=AXIS2_PLACEMENT_3D('',#504351,#387264,#387265); #354791=AXIS2_PLACEMENT_3D('',#504353,#387266,#387267); #354792=AXIS2_PLACEMENT_3D('',#504356,#387269,#387270); #354793=AXIS2_PLACEMENT_3D('',#504357,#387271,#387272); #354794=AXIS2_PLACEMENT_3D('',#504358,#387273,#387274); #354795=AXIS2_PLACEMENT_3D('',#504359,#387275,#387276); #354796=AXIS2_PLACEMENT_3D('',#504361,#387277,#387278); #354797=AXIS2_PLACEMENT_3D('',#504364,#387280,#387281); #354798=AXIS2_PLACEMENT_3D('',#504365,#387282,#387283); #354799=AXIS2_PLACEMENT_3D('',#504367,#387284,#387285); #354800=AXIS2_PLACEMENT_3D('',#504370,#387287,#387288); #354801=AXIS2_PLACEMENT_3D('',#504371,#387289,#387290); #354802=AXIS2_PLACEMENT_3D('',#504372,#387291,#387292); #354803=AXIS2_PLACEMENT_3D('',#504373,#387293,#387294); #354804=AXIS2_PLACEMENT_3D('',#504375,#387295,#387296); #354805=AXIS2_PLACEMENT_3D('',#504378,#387298,#387299); #354806=AXIS2_PLACEMENT_3D('',#504379,#387300,#387301); #354807=AXIS2_PLACEMENT_3D('',#504381,#387302,#387303); #354808=AXIS2_PLACEMENT_3D('',#504384,#387305,#387306); #354809=AXIS2_PLACEMENT_3D('',#504385,#387307,#387308); #354810=AXIS2_PLACEMENT_3D('',#504386,#387309,#387310); #354811=AXIS2_PLACEMENT_3D('',#504387,#387311,#387312); #354812=AXIS2_PLACEMENT_3D('',#504389,#387313,#387314); #354813=AXIS2_PLACEMENT_3D('',#504392,#387316,#387317); #354814=AXIS2_PLACEMENT_3D('',#504393,#387318,#387319); #354815=AXIS2_PLACEMENT_3D('',#504395,#387320,#387321); #354816=AXIS2_PLACEMENT_3D('',#504398,#387323,#387324); #354817=AXIS2_PLACEMENT_3D('',#504399,#387325,#387326); #354818=AXIS2_PLACEMENT_3D('',#504400,#387327,#387328); #354819=AXIS2_PLACEMENT_3D('',#504401,#387329,#387330); #354820=AXIS2_PLACEMENT_3D('',#504403,#387331,#387332); #354821=AXIS2_PLACEMENT_3D('',#504406,#387334,#387335); #354822=AXIS2_PLACEMENT_3D('',#504407,#387336,#387337); #354823=AXIS2_PLACEMENT_3D('',#504409,#387338,#387339); #354824=AXIS2_PLACEMENT_3D('',#504412,#387341,#387342); #354825=AXIS2_PLACEMENT_3D('',#504413,#387343,#387344); #354826=AXIS2_PLACEMENT_3D('',#504414,#387345,#387346); #354827=AXIS2_PLACEMENT_3D('',#504415,#387347,#387348); #354828=AXIS2_PLACEMENT_3D('',#504417,#387349,#387350); #354829=AXIS2_PLACEMENT_3D('',#504420,#387352,#387353); #354830=AXIS2_PLACEMENT_3D('',#504421,#387354,#387355); #354831=AXIS2_PLACEMENT_3D('',#504423,#387356,#387357); #354832=AXIS2_PLACEMENT_3D('',#504426,#387359,#387360); #354833=AXIS2_PLACEMENT_3D('',#504427,#387361,#387362); #354834=AXIS2_PLACEMENT_3D('',#504428,#387363,#387364); #354835=AXIS2_PLACEMENT_3D('',#504429,#387365,#387366); #354836=AXIS2_PLACEMENT_3D('',#504431,#387367,#387368); #354837=AXIS2_PLACEMENT_3D('',#504434,#387370,#387371); #354838=AXIS2_PLACEMENT_3D('',#504435,#387372,#387373); #354839=AXIS2_PLACEMENT_3D('',#504437,#387374,#387375); #354840=AXIS2_PLACEMENT_3D('',#504440,#387377,#387378); #354841=AXIS2_PLACEMENT_3D('',#504441,#387379,#387380); #354842=AXIS2_PLACEMENT_3D('',#504442,#387381,#387382); #354843=AXIS2_PLACEMENT_3D('',#504443,#387383,#387384); #354844=AXIS2_PLACEMENT_3D('',#504445,#387385,#387386); #354845=AXIS2_PLACEMENT_3D('',#504448,#387388,#387389); #354846=AXIS2_PLACEMENT_3D('',#504449,#387390,#387391); #354847=AXIS2_PLACEMENT_3D('',#504451,#387392,#387393); #354848=AXIS2_PLACEMENT_3D('',#504454,#387395,#387396); #354849=AXIS2_PLACEMENT_3D('',#504455,#387397,#387398); #354850=AXIS2_PLACEMENT_3D('',#504456,#387399,#387400); #354851=AXIS2_PLACEMENT_3D('',#504457,#387401,#387402); #354852=AXIS2_PLACEMENT_3D('',#504459,#387403,#387404); #354853=AXIS2_PLACEMENT_3D('',#504462,#387406,#387407); #354854=AXIS2_PLACEMENT_3D('',#504463,#387408,#387409); #354855=AXIS2_PLACEMENT_3D('',#504465,#387410,#387411); #354856=AXIS2_PLACEMENT_3D('',#504468,#387413,#387414); #354857=AXIS2_PLACEMENT_3D('',#504469,#387415,#387416); #354858=AXIS2_PLACEMENT_3D('',#504470,#387417,#387418); #354859=AXIS2_PLACEMENT_3D('',#504471,#387419,#387420); #354860=AXIS2_PLACEMENT_3D('',#504473,#387421,#387422); #354861=AXIS2_PLACEMENT_3D('',#504476,#387424,#387425); #354862=AXIS2_PLACEMENT_3D('',#504477,#387426,#387427); #354863=AXIS2_PLACEMENT_3D('',#504479,#387428,#387429); #354864=AXIS2_PLACEMENT_3D('',#504482,#387431,#387432); #354865=AXIS2_PLACEMENT_3D('',#504483,#387433,#387434); #354866=AXIS2_PLACEMENT_3D('',#504484,#387435,#387436); #354867=AXIS2_PLACEMENT_3D('',#504485,#387437,#387438); #354868=AXIS2_PLACEMENT_3D('',#504487,#387439,#387440); #354869=AXIS2_PLACEMENT_3D('',#504490,#387442,#387443); #354870=AXIS2_PLACEMENT_3D('',#504491,#387444,#387445); #354871=AXIS2_PLACEMENT_3D('',#504493,#387446,#387447); #354872=AXIS2_PLACEMENT_3D('',#504496,#387449,#387450); #354873=AXIS2_PLACEMENT_3D('',#504497,#387451,#387452); #354874=AXIS2_PLACEMENT_3D('',#504498,#387453,#387454); #354875=AXIS2_PLACEMENT_3D('',#504499,#387455,#387456); #354876=AXIS2_PLACEMENT_3D('',#504501,#387457,#387458); #354877=AXIS2_PLACEMENT_3D('',#504504,#387460,#387461); #354878=AXIS2_PLACEMENT_3D('',#504505,#387462,#387463); #354879=AXIS2_PLACEMENT_3D('',#504507,#387464,#387465); #354880=AXIS2_PLACEMENT_3D('',#504510,#387467,#387468); #354881=AXIS2_PLACEMENT_3D('',#504511,#387469,#387470); #354882=AXIS2_PLACEMENT_3D('',#504512,#387471,#387472); #354883=AXIS2_PLACEMENT_3D('',#504513,#387473,#387474); #354884=AXIS2_PLACEMENT_3D('',#504515,#387475,#387476); #354885=AXIS2_PLACEMENT_3D('',#504518,#387478,#387479); #354886=AXIS2_PLACEMENT_3D('',#504519,#387480,#387481); #354887=AXIS2_PLACEMENT_3D('',#504521,#387482,#387483); #354888=AXIS2_PLACEMENT_3D('',#504524,#387485,#387486); #354889=AXIS2_PLACEMENT_3D('',#504525,#387487,#387488); #354890=AXIS2_PLACEMENT_3D('',#504526,#387489,#387490); #354891=AXIS2_PLACEMENT_3D('',#504527,#387491,#387492); #354892=AXIS2_PLACEMENT_3D('',#504529,#387493,#387494); #354893=AXIS2_PLACEMENT_3D('',#504532,#387496,#387497); #354894=AXIS2_PLACEMENT_3D('',#504533,#387498,#387499); #354895=AXIS2_PLACEMENT_3D('',#504535,#387500,#387501); #354896=AXIS2_PLACEMENT_3D('',#504538,#387503,#387504); #354897=AXIS2_PLACEMENT_3D('',#504539,#387505,#387506); #354898=AXIS2_PLACEMENT_3D('',#504540,#387507,#387508); #354899=AXIS2_PLACEMENT_3D('',#504541,#387509,#387510); #354900=AXIS2_PLACEMENT_3D('',#504543,#387511,#387512); #354901=AXIS2_PLACEMENT_3D('',#504546,#387514,#387515); #354902=AXIS2_PLACEMENT_3D('',#504547,#387516,#387517); #354903=AXIS2_PLACEMENT_3D('',#504549,#387518,#387519); #354904=AXIS2_PLACEMENT_3D('',#504552,#387521,#387522); #354905=AXIS2_PLACEMENT_3D('',#504553,#387523,#387524); #354906=AXIS2_PLACEMENT_3D('',#504554,#387525,#387526); #354907=AXIS2_PLACEMENT_3D('',#504555,#387527,#387528); #354908=AXIS2_PLACEMENT_3D('',#504557,#387529,#387530); #354909=AXIS2_PLACEMENT_3D('',#504560,#387532,#387533); #354910=AXIS2_PLACEMENT_3D('',#504561,#387534,#387535); #354911=AXIS2_PLACEMENT_3D('',#504563,#387536,#387537); #354912=AXIS2_PLACEMENT_3D('',#504566,#387539,#387540); #354913=AXIS2_PLACEMENT_3D('',#504567,#387541,#387542); #354914=AXIS2_PLACEMENT_3D('',#504568,#387543,#387544); #354915=AXIS2_PLACEMENT_3D('',#504569,#387545,#387546); #354916=AXIS2_PLACEMENT_3D('',#504571,#387547,#387548); #354917=AXIS2_PLACEMENT_3D('',#504574,#387550,#387551); #354918=AXIS2_PLACEMENT_3D('',#504575,#387552,#387553); #354919=AXIS2_PLACEMENT_3D('',#504577,#387554,#387555); #354920=AXIS2_PLACEMENT_3D('',#504580,#387557,#387558); #354921=AXIS2_PLACEMENT_3D('',#504581,#387559,#387560); #354922=AXIS2_PLACEMENT_3D('',#504582,#387561,#387562); #354923=AXIS2_PLACEMENT_3D('',#504583,#387563,#387564); #354924=AXIS2_PLACEMENT_3D('',#504585,#387565,#387566); #354925=AXIS2_PLACEMENT_3D('',#504588,#387568,#387569); #354926=AXIS2_PLACEMENT_3D('',#504589,#387570,#387571); #354927=AXIS2_PLACEMENT_3D('',#504591,#387572,#387573); #354928=AXIS2_PLACEMENT_3D('',#504594,#387575,#387576); #354929=AXIS2_PLACEMENT_3D('',#504595,#387577,#387578); #354930=AXIS2_PLACEMENT_3D('',#504596,#387579,#387580); #354931=AXIS2_PLACEMENT_3D('',#504597,#387581,#387582); #354932=AXIS2_PLACEMENT_3D('',#504599,#387583,#387584); #354933=AXIS2_PLACEMENT_3D('',#504602,#387586,#387587); #354934=AXIS2_PLACEMENT_3D('',#504603,#387588,#387589); #354935=AXIS2_PLACEMENT_3D('',#504605,#387590,#387591); #354936=AXIS2_PLACEMENT_3D('',#504608,#387593,#387594); #354937=AXIS2_PLACEMENT_3D('',#504609,#387595,#387596); #354938=AXIS2_PLACEMENT_3D('',#504610,#387597,#387598); #354939=AXIS2_PLACEMENT_3D('',#504611,#387599,#387600); #354940=AXIS2_PLACEMENT_3D('',#504613,#387601,#387602); #354941=AXIS2_PLACEMENT_3D('',#504616,#387604,#387605); #354942=AXIS2_PLACEMENT_3D('',#504617,#387606,#387607); #354943=AXIS2_PLACEMENT_3D('',#504619,#387608,#387609); #354944=AXIS2_PLACEMENT_3D('',#504622,#387611,#387612); #354945=AXIS2_PLACEMENT_3D('',#504623,#387613,#387614); #354946=AXIS2_PLACEMENT_3D('',#504624,#387615,#387616); #354947=AXIS2_PLACEMENT_3D('',#504625,#387617,#387618); #354948=AXIS2_PLACEMENT_3D('',#504627,#387619,#387620); #354949=AXIS2_PLACEMENT_3D('',#504630,#387622,#387623); #354950=AXIS2_PLACEMENT_3D('',#504631,#387624,#387625); #354951=AXIS2_PLACEMENT_3D('',#504633,#387626,#387627); #354952=AXIS2_PLACEMENT_3D('',#504636,#387629,#387630); #354953=AXIS2_PLACEMENT_3D('',#504637,#387631,#387632); #354954=AXIS2_PLACEMENT_3D('',#504638,#387633,#387634); #354955=AXIS2_PLACEMENT_3D('',#504639,#387635,#387636); #354956=AXIS2_PLACEMENT_3D('',#504641,#387637,#387638); #354957=AXIS2_PLACEMENT_3D('',#504644,#387640,#387641); #354958=AXIS2_PLACEMENT_3D('',#504645,#387642,#387643); #354959=AXIS2_PLACEMENT_3D('',#504647,#387644,#387645); #354960=AXIS2_PLACEMENT_3D('',#504650,#387647,#387648); #354961=AXIS2_PLACEMENT_3D('',#504651,#387649,#387650); #354962=AXIS2_PLACEMENT_3D('',#504652,#387651,#387652); #354963=AXIS2_PLACEMENT_3D('',#504653,#387653,#387654); #354964=AXIS2_PLACEMENT_3D('',#504655,#387655,#387656); #354965=AXIS2_PLACEMENT_3D('',#504658,#387658,#387659); #354966=AXIS2_PLACEMENT_3D('',#504659,#387660,#387661); #354967=AXIS2_PLACEMENT_3D('',#504661,#387662,#387663); #354968=AXIS2_PLACEMENT_3D('',#504664,#387665,#387666); #354969=AXIS2_PLACEMENT_3D('',#504665,#387667,#387668); #354970=AXIS2_PLACEMENT_3D('',#504666,#387669,#387670); #354971=AXIS2_PLACEMENT_3D('',#504667,#387671,#387672); #354972=AXIS2_PLACEMENT_3D('',#504669,#387673,#387674); #354973=AXIS2_PLACEMENT_3D('',#504672,#387676,#387677); #354974=AXIS2_PLACEMENT_3D('',#504673,#387678,#387679); #354975=AXIS2_PLACEMENT_3D('',#504675,#387680,#387681); #354976=AXIS2_PLACEMENT_3D('',#504678,#387683,#387684); #354977=AXIS2_PLACEMENT_3D('',#504679,#387685,#387686); #354978=AXIS2_PLACEMENT_3D('',#504680,#387687,#387688); #354979=AXIS2_PLACEMENT_3D('',#504681,#387689,#387690); #354980=AXIS2_PLACEMENT_3D('',#504683,#387691,#387692); #354981=AXIS2_PLACEMENT_3D('',#504686,#387694,#387695); #354982=AXIS2_PLACEMENT_3D('',#504687,#387696,#387697); #354983=AXIS2_PLACEMENT_3D('',#504689,#387698,#387699); #354984=AXIS2_PLACEMENT_3D('',#504692,#387701,#387702); #354985=AXIS2_PLACEMENT_3D('',#504693,#387703,#387704); #354986=AXIS2_PLACEMENT_3D('',#504694,#387705,#387706); #354987=AXIS2_PLACEMENT_3D('',#504695,#387707,#387708); #354988=AXIS2_PLACEMENT_3D('',#504697,#387709,#387710); #354989=AXIS2_PLACEMENT_3D('',#504700,#387712,#387713); #354990=AXIS2_PLACEMENT_3D('',#504701,#387714,#387715); #354991=AXIS2_PLACEMENT_3D('',#504703,#387716,#387717); #354992=AXIS2_PLACEMENT_3D('',#504706,#387719,#387720); #354993=AXIS2_PLACEMENT_3D('',#504707,#387721,#387722); #354994=AXIS2_PLACEMENT_3D('',#504708,#387723,#387724); #354995=AXIS2_PLACEMENT_3D('',#504709,#387725,#387726); #354996=AXIS2_PLACEMENT_3D('',#504711,#387727,#387728); #354997=AXIS2_PLACEMENT_3D('',#504714,#387730,#387731); #354998=AXIS2_PLACEMENT_3D('',#504715,#387732,#387733); #354999=AXIS2_PLACEMENT_3D('',#504717,#387734,#387735); #355000=AXIS2_PLACEMENT_3D('',#504720,#387737,#387738); #355001=AXIS2_PLACEMENT_3D('',#504721,#387739,#387740); #355002=AXIS2_PLACEMENT_3D('',#504722,#387741,#387742); #355003=AXIS2_PLACEMENT_3D('',#504723,#387743,#387744); #355004=AXIS2_PLACEMENT_3D('',#504725,#387745,#387746); #355005=AXIS2_PLACEMENT_3D('',#504728,#387748,#387749); #355006=AXIS2_PLACEMENT_3D('',#504729,#387750,#387751); #355007=AXIS2_PLACEMENT_3D('',#504731,#387752,#387753); #355008=AXIS2_PLACEMENT_3D('',#504734,#387755,#387756); #355009=AXIS2_PLACEMENT_3D('',#504735,#387757,#387758); #355010=AXIS2_PLACEMENT_3D('',#504736,#387759,#387760); #355011=AXIS2_PLACEMENT_3D('',#504737,#387761,#387762); #355012=AXIS2_PLACEMENT_3D('',#504739,#387763,#387764); #355013=AXIS2_PLACEMENT_3D('',#504742,#387766,#387767); #355014=AXIS2_PLACEMENT_3D('',#504743,#387768,#387769); #355015=AXIS2_PLACEMENT_3D('',#504745,#387770,#387771); #355016=AXIS2_PLACEMENT_3D('',#504748,#387773,#387774); #355017=AXIS2_PLACEMENT_3D('',#504749,#387775,#387776); #355018=AXIS2_PLACEMENT_3D('',#504750,#387777,#387778); #355019=AXIS2_PLACEMENT_3D('',#504751,#387779,#387780); #355020=AXIS2_PLACEMENT_3D('',#504753,#387781,#387782); #355021=AXIS2_PLACEMENT_3D('',#504756,#387784,#387785); #355022=AXIS2_PLACEMENT_3D('',#504757,#387786,#387787); #355023=AXIS2_PLACEMENT_3D('',#504759,#387788,#387789); #355024=AXIS2_PLACEMENT_3D('',#504762,#387791,#387792); #355025=AXIS2_PLACEMENT_3D('',#504763,#387793,#387794); #355026=AXIS2_PLACEMENT_3D('',#504764,#387795,#387796); #355027=AXIS2_PLACEMENT_3D('',#504765,#387797,#387798); #355028=AXIS2_PLACEMENT_3D('',#504774,#387803,#387804); #355029=AXIS2_PLACEMENT_3D('',#504776,#387805,#387806); #355030=AXIS2_PLACEMENT_3D('',#504778,#387807,#387808); #355031=AXIS2_PLACEMENT_3D('',#504780,#387810,#387811); #355032=AXIS2_PLACEMENT_3D('',#504786,#387815,#387816); #355033=AXIS2_PLACEMENT_3D('',#504787,#387817,#387818); #355034=AXIS2_PLACEMENT_3D('',#504788,#387819,#387820); #355035=AXIS2_PLACEMENT_3D('',#504789,#387821,#387822); #355036=AXIS2_PLACEMENT_3D('',#504798,#387827,#387828); #355037=AXIS2_PLACEMENT_3D('',#504800,#387829,#387830); #355038=AXIS2_PLACEMENT_3D('',#504802,#387831,#387832); #355039=AXIS2_PLACEMENT_3D('',#504804,#387834,#387835); #355040=AXIS2_PLACEMENT_3D('',#504810,#387839,#387840); #355041=AXIS2_PLACEMENT_3D('',#504811,#387841,#387842); #355042=AXIS2_PLACEMENT_3D('',#504812,#387843,#387844); #355043=AXIS2_PLACEMENT_3D('',#504813,#387845,#387846); #355044=AXIS2_PLACEMENT_3D('',#504814,#387847,#387848); #355045=AXIS2_PLACEMENT_3D('',#504815,#387849,#387850); #355046=AXIS2_PLACEMENT_3D('',#504817,#387851,#387852); #355047=AXIS2_PLACEMENT_3D('',#504820,#387854,#387855); #355048=AXIS2_PLACEMENT_3D('',#504821,#387856,#387857); #355049=AXIS2_PLACEMENT_3D('',#504823,#387858,#387859); #355050=AXIS2_PLACEMENT_3D('',#504826,#387861,#387862); #355051=AXIS2_PLACEMENT_3D('',#504827,#387863,#387864); #355052=AXIS2_PLACEMENT_3D('',#504828,#387865,#387866); #355053=AXIS2_PLACEMENT_3D('',#504829,#387867,#387868); #355054=AXIS2_PLACEMENT_3D('',#504838,#387873,#387874); #355055=AXIS2_PLACEMENT_3D('',#504840,#387875,#387876); #355056=AXIS2_PLACEMENT_3D('',#504842,#387877,#387878); #355057=AXIS2_PLACEMENT_3D('',#504844,#387880,#387881); #355058=AXIS2_PLACEMENT_3D('',#504850,#387885,#387886); #355059=AXIS2_PLACEMENT_3D('',#504851,#387887,#387888); #355060=AXIS2_PLACEMENT_3D('',#504852,#387889,#387890); #355061=AXIS2_PLACEMENT_3D('',#504853,#387891,#387892); #355062=AXIS2_PLACEMENT_3D('',#504862,#387897,#387898); #355063=AXIS2_PLACEMENT_3D('',#504864,#387899,#387900); #355064=AXIS2_PLACEMENT_3D('',#504866,#387901,#387902); #355065=AXIS2_PLACEMENT_3D('',#504868,#387904,#387905); #355066=AXIS2_PLACEMENT_3D('',#504874,#387909,#387910); #355067=AXIS2_PLACEMENT_3D('',#504875,#387911,#387912); #355068=AXIS2_PLACEMENT_3D('',#504876,#387913,#387914); #355069=AXIS2_PLACEMENT_3D('',#504877,#387915,#387916); #355070=AXIS2_PLACEMENT_3D('',#504878,#387917,#387918); #355071=AXIS2_PLACEMENT_3D('',#504879,#387919,#387920); #355072=AXIS2_PLACEMENT_3D('',#504881,#387921,#387922); #355073=AXIS2_PLACEMENT_3D('',#504884,#387924,#387925); #355074=AXIS2_PLACEMENT_3D('',#504885,#387926,#387927); #355075=AXIS2_PLACEMENT_3D('',#504887,#387928,#387929); #355076=AXIS2_PLACEMENT_3D('',#504890,#387931,#387932); #355077=AXIS2_PLACEMENT_3D('',#504891,#387933,#387934); #355078=AXIS2_PLACEMENT_3D('',#504892,#387935,#387936); #355079=AXIS2_PLACEMENT_3D('',#504893,#387937,#387938); #355080=AXIS2_PLACEMENT_3D('',#504902,#387943,#387944); #355081=AXIS2_PLACEMENT_3D('',#504904,#387945,#387946); #355082=AXIS2_PLACEMENT_3D('',#504906,#387947,#387948); #355083=AXIS2_PLACEMENT_3D('',#504908,#387950,#387951); #355084=AXIS2_PLACEMENT_3D('',#504914,#387955,#387956); #355085=AXIS2_PLACEMENT_3D('',#504915,#387957,#387958); #355086=AXIS2_PLACEMENT_3D('',#504916,#387959,#387960); #355087=AXIS2_PLACEMENT_3D('',#504917,#387961,#387962); #355088=AXIS2_PLACEMENT_3D('',#504926,#387967,#387968); #355089=AXIS2_PLACEMENT_3D('',#504928,#387969,#387970); #355090=AXIS2_PLACEMENT_3D('',#504930,#387971,#387972); #355091=AXIS2_PLACEMENT_3D('',#504932,#387974,#387975); #355092=AXIS2_PLACEMENT_3D('',#504938,#387979,#387980); #355093=AXIS2_PLACEMENT_3D('',#504939,#387981,#387982); #355094=AXIS2_PLACEMENT_3D('',#504940,#387983,#387984); #355095=AXIS2_PLACEMENT_3D('',#504941,#387985,#387986); #355096=AXIS2_PLACEMENT_3D('',#504942,#387987,#387988); #355097=AXIS2_PLACEMENT_3D('',#504943,#387989,#387990); #355098=AXIS2_PLACEMENT_3D('',#504945,#387991,#387992); #355099=AXIS2_PLACEMENT_3D('',#504948,#387994,#387995); #355100=AXIS2_PLACEMENT_3D('',#504949,#387996,#387997); #355101=AXIS2_PLACEMENT_3D('',#504951,#387998,#387999); #355102=AXIS2_PLACEMENT_3D('',#504954,#388001,#388002); #355103=AXIS2_PLACEMENT_3D('',#504955,#388003,#388004); #355104=AXIS2_PLACEMENT_3D('',#504956,#388005,#388006); #355105=AXIS2_PLACEMENT_3D('',#504957,#388007,#388008); #355106=AXIS2_PLACEMENT_3D('',#504959,#388009,#388010); #355107=AXIS2_PLACEMENT_3D('',#504962,#388012,#388013); #355108=AXIS2_PLACEMENT_3D('',#504963,#388014,#388015); #355109=AXIS2_PLACEMENT_3D('',#504965,#388016,#388017); #355110=AXIS2_PLACEMENT_3D('',#504968,#388019,#388020); #355111=AXIS2_PLACEMENT_3D('',#504969,#388021,#388022); #355112=AXIS2_PLACEMENT_3D('',#504970,#388023,#388024); #355113=AXIS2_PLACEMENT_3D('',#504971,#388025,#388026); #355114=AXIS2_PLACEMENT_3D('',#504973,#388027,#388028); #355115=AXIS2_PLACEMENT_3D('',#504976,#388030,#388031); #355116=AXIS2_PLACEMENT_3D('',#504977,#388032,#388033); #355117=AXIS2_PLACEMENT_3D('',#504979,#388034,#388035); #355118=AXIS2_PLACEMENT_3D('',#504982,#388037,#388038); #355119=AXIS2_PLACEMENT_3D('',#504983,#388039,#388040); #355120=AXIS2_PLACEMENT_3D('',#504984,#388041,#388042); #355121=AXIS2_PLACEMENT_3D('',#504985,#388043,#388044); #355122=AXIS2_PLACEMENT_3D('',#504987,#388045,#388046); #355123=AXIS2_PLACEMENT_3D('',#504990,#388048,#388049); #355124=AXIS2_PLACEMENT_3D('',#504991,#388050,#388051); #355125=AXIS2_PLACEMENT_3D('',#504993,#388052,#388053); #355126=AXIS2_PLACEMENT_3D('',#504996,#388055,#388056); #355127=AXIS2_PLACEMENT_3D('',#504997,#388057,#388058); #355128=AXIS2_PLACEMENT_3D('',#504998,#388059,#388060); #355129=AXIS2_PLACEMENT_3D('',#504999,#388061,#388062); #355130=AXIS2_PLACEMENT_3D('',#505001,#388063,#388064); #355131=AXIS2_PLACEMENT_3D('',#505004,#388066,#388067); #355132=AXIS2_PLACEMENT_3D('',#505005,#388068,#388069); #355133=AXIS2_PLACEMENT_3D('',#505007,#388070,#388071); #355134=AXIS2_PLACEMENT_3D('',#505010,#388073,#388074); #355135=AXIS2_PLACEMENT_3D('',#505011,#388075,#388076); #355136=AXIS2_PLACEMENT_3D('',#505012,#388077,#388078); #355137=AXIS2_PLACEMENT_3D('',#505013,#388079,#388080); #355138=AXIS2_PLACEMENT_3D('',#505015,#388081,#388082); #355139=AXIS2_PLACEMENT_3D('',#505018,#388084,#388085); #355140=AXIS2_PLACEMENT_3D('',#505019,#388086,#388087); #355141=AXIS2_PLACEMENT_3D('',#505021,#388088,#388089); #355142=AXIS2_PLACEMENT_3D('',#505024,#388091,#388092); #355143=AXIS2_PLACEMENT_3D('',#505025,#388093,#388094); #355144=AXIS2_PLACEMENT_3D('',#505026,#388095,#388096); #355145=AXIS2_PLACEMENT_3D('',#505027,#388097,#388098); #355146=AXIS2_PLACEMENT_3D('',#505029,#388099,#388100); #355147=AXIS2_PLACEMENT_3D('',#505032,#388102,#388103); #355148=AXIS2_PLACEMENT_3D('',#505033,#388104,#388105); #355149=AXIS2_PLACEMENT_3D('',#505035,#388106,#388107); #355150=AXIS2_PLACEMENT_3D('',#505038,#388109,#388110); #355151=AXIS2_PLACEMENT_3D('',#505039,#388111,#388112); #355152=AXIS2_PLACEMENT_3D('',#505040,#388113,#388114); #355153=AXIS2_PLACEMENT_3D('',#505041,#388115,#388116); #355154=AXIS2_PLACEMENT_3D('',#505043,#388117,#388118); #355155=AXIS2_PLACEMENT_3D('',#505046,#388120,#388121); #355156=AXIS2_PLACEMENT_3D('',#505047,#388122,#388123); #355157=AXIS2_PLACEMENT_3D('',#505049,#388124,#388125); #355158=AXIS2_PLACEMENT_3D('',#505052,#388127,#388128); #355159=AXIS2_PLACEMENT_3D('',#505053,#388129,#388130); #355160=AXIS2_PLACEMENT_3D('',#505054,#388131,#388132); #355161=AXIS2_PLACEMENT_3D('',#505055,#388133,#388134); #355162=AXIS2_PLACEMENT_3D('',#505057,#388135,#388136); #355163=AXIS2_PLACEMENT_3D('',#505060,#388138,#388139); #355164=AXIS2_PLACEMENT_3D('',#505061,#388140,#388141); #355165=AXIS2_PLACEMENT_3D('',#505063,#388142,#388143); #355166=AXIS2_PLACEMENT_3D('',#505066,#388145,#388146); #355167=AXIS2_PLACEMENT_3D('',#505067,#388147,#388148); #355168=AXIS2_PLACEMENT_3D('',#505068,#388149,#388150); #355169=AXIS2_PLACEMENT_3D('',#505069,#388151,#388152); #355170=AXIS2_PLACEMENT_3D('',#505071,#388153,#388154); #355171=AXIS2_PLACEMENT_3D('',#505074,#388156,#388157); #355172=AXIS2_PLACEMENT_3D('',#505075,#388158,#388159); #355173=AXIS2_PLACEMENT_3D('',#505077,#388160,#388161); #355174=AXIS2_PLACEMENT_3D('',#505080,#388163,#388164); #355175=AXIS2_PLACEMENT_3D('',#505081,#388165,#388166); #355176=AXIS2_PLACEMENT_3D('',#505082,#388167,#388168); #355177=AXIS2_PLACEMENT_3D('',#505083,#388169,#388170); #355178=AXIS2_PLACEMENT_3D('',#505092,#388175,#388176); #355179=AXIS2_PLACEMENT_3D('',#505094,#388177,#388178); #355180=AXIS2_PLACEMENT_3D('',#505096,#388179,#388180); #355181=AXIS2_PLACEMENT_3D('',#505098,#388182,#388183); #355182=AXIS2_PLACEMENT_3D('',#505104,#388187,#388188); #355183=AXIS2_PLACEMENT_3D('',#505105,#388189,#388190); #355184=AXIS2_PLACEMENT_3D('',#505106,#388191,#388192); #355185=AXIS2_PLACEMENT_3D('',#505107,#388193,#388194); #355186=AXIS2_PLACEMENT_3D('',#505116,#388199,#388200); #355187=AXIS2_PLACEMENT_3D('',#505118,#388201,#388202); #355188=AXIS2_PLACEMENT_3D('',#505120,#388203,#388204); #355189=AXIS2_PLACEMENT_3D('',#505122,#388206,#388207); #355190=AXIS2_PLACEMENT_3D('',#505128,#388211,#388212); #355191=AXIS2_PLACEMENT_3D('',#505129,#388213,#388214); #355192=AXIS2_PLACEMENT_3D('',#505130,#388215,#388216); #355193=AXIS2_PLACEMENT_3D('',#505131,#388217,#388218); #355194=AXIS2_PLACEMENT_3D('',#505132,#388219,#388220); #355195=AXIS2_PLACEMENT_3D('',#505133,#388221,#388222); #355196=AXIS2_PLACEMENT_3D('',#505135,#388223,#388224); #355197=AXIS2_PLACEMENT_3D('',#505138,#388226,#388227); #355198=AXIS2_PLACEMENT_3D('',#505139,#388228,#388229); #355199=AXIS2_PLACEMENT_3D('',#505141,#388230,#388231); #355200=AXIS2_PLACEMENT_3D('',#505144,#388233,#388234); #355201=AXIS2_PLACEMENT_3D('',#505145,#388235,#388236); #355202=AXIS2_PLACEMENT_3D('',#505146,#388237,#388238); #355203=AXIS2_PLACEMENT_3D('',#505147,#388239,#388240); #355204=AXIS2_PLACEMENT_3D('',#505149,#388241,#388242); #355205=AXIS2_PLACEMENT_3D('',#505152,#388244,#388245); #355206=AXIS2_PLACEMENT_3D('',#505153,#388246,#388247); #355207=AXIS2_PLACEMENT_3D('',#505155,#388248,#388249); #355208=AXIS2_PLACEMENT_3D('',#505158,#388251,#388252); #355209=AXIS2_PLACEMENT_3D('',#505159,#388253,#388254); #355210=AXIS2_PLACEMENT_3D('',#505160,#388255,#388256); #355211=AXIS2_PLACEMENT_3D('',#505161,#388257,#388258); #355212=AXIS2_PLACEMENT_3D('',#505163,#388259,#388260); #355213=AXIS2_PLACEMENT_3D('',#505166,#388262,#388263); #355214=AXIS2_PLACEMENT_3D('',#505167,#388264,#388265); #355215=AXIS2_PLACEMENT_3D('',#505169,#388266,#388267); #355216=AXIS2_PLACEMENT_3D('',#505172,#388269,#388270); #355217=AXIS2_PLACEMENT_3D('',#505173,#388271,#388272); #355218=AXIS2_PLACEMENT_3D('',#505174,#388273,#388274); #355219=AXIS2_PLACEMENT_3D('',#505175,#388275,#388276); #355220=AXIS2_PLACEMENT_3D('',#505184,#388281,#388282); #355221=AXIS2_PLACEMENT_3D('',#505186,#388283,#388284); #355222=AXIS2_PLACEMENT_3D('',#505188,#388285,#388286); #355223=AXIS2_PLACEMENT_3D('',#505190,#388288,#388289); #355224=AXIS2_PLACEMENT_3D('',#505196,#388293,#388294); #355225=AXIS2_PLACEMENT_3D('',#505197,#388295,#388296); #355226=AXIS2_PLACEMENT_3D('',#505198,#388297,#388298); #355227=AXIS2_PLACEMENT_3D('',#505199,#388299,#388300); #355228=AXIS2_PLACEMENT_3D('',#505208,#388305,#388306); #355229=AXIS2_PLACEMENT_3D('',#505210,#388307,#388308); #355230=AXIS2_PLACEMENT_3D('',#505212,#388309,#388310); #355231=AXIS2_PLACEMENT_3D('',#505214,#388312,#388313); #355232=AXIS2_PLACEMENT_3D('',#505220,#388317,#388318); #355233=AXIS2_PLACEMENT_3D('',#505221,#388319,#388320); #355234=AXIS2_PLACEMENT_3D('',#505222,#388321,#388322); #355235=AXIS2_PLACEMENT_3D('',#505223,#388323,#388324); #355236=AXIS2_PLACEMENT_3D('',#505224,#388325,#388326); #355237=AXIS2_PLACEMENT_3D('',#505225,#388327,#388328); #355238=AXIS2_PLACEMENT_3D('',#505227,#388329,#388330); #355239=AXIS2_PLACEMENT_3D('',#505230,#388332,#388333); #355240=AXIS2_PLACEMENT_3D('',#505231,#388334,#388335); #355241=AXIS2_PLACEMENT_3D('',#505233,#388336,#388337); #355242=AXIS2_PLACEMENT_3D('',#505236,#388339,#388340); #355243=AXIS2_PLACEMENT_3D('',#505237,#388341,#388342); #355244=AXIS2_PLACEMENT_3D('',#505238,#388343,#388344); #355245=AXIS2_PLACEMENT_3D('',#505239,#388345,#388346); #355246=AXIS2_PLACEMENT_3D('',#505248,#388351,#388352); #355247=AXIS2_PLACEMENT_3D('',#505250,#388353,#388354); #355248=AXIS2_PLACEMENT_3D('',#505252,#388355,#388356); #355249=AXIS2_PLACEMENT_3D('',#505254,#388358,#388359); #355250=AXIS2_PLACEMENT_3D('',#505260,#388363,#388364); #355251=AXIS2_PLACEMENT_3D('',#505261,#388365,#388366); #355252=AXIS2_PLACEMENT_3D('',#505262,#388367,#388368); #355253=AXIS2_PLACEMENT_3D('',#505263,#388369,#388370); #355254=AXIS2_PLACEMENT_3D('',#505272,#388375,#388376); #355255=AXIS2_PLACEMENT_3D('',#505274,#388377,#388378); #355256=AXIS2_PLACEMENT_3D('',#505276,#388379,#388380); #355257=AXIS2_PLACEMENT_3D('',#505278,#388382,#388383); #355258=AXIS2_PLACEMENT_3D('',#505284,#388387,#388388); #355259=AXIS2_PLACEMENT_3D('',#505285,#388389,#388390); #355260=AXIS2_PLACEMENT_3D('',#505286,#388391,#388392); #355261=AXIS2_PLACEMENT_3D('',#505287,#388393,#388394); #355262=AXIS2_PLACEMENT_3D('',#505288,#388395,#388396); #355263=AXIS2_PLACEMENT_3D('',#505289,#388397,#388398); #355264=AXIS2_PLACEMENT_3D('',#505291,#388399,#388400); #355265=AXIS2_PLACEMENT_3D('',#505294,#388402,#388403); #355266=AXIS2_PLACEMENT_3D('',#505295,#388404,#388405); #355267=AXIS2_PLACEMENT_3D('',#505297,#388406,#388407); #355268=AXIS2_PLACEMENT_3D('',#505300,#388409,#388410); #355269=AXIS2_PLACEMENT_3D('',#505301,#388411,#388412); #355270=AXIS2_PLACEMENT_3D('',#505302,#388413,#388414); #355271=AXIS2_PLACEMENT_3D('',#505303,#388415,#388416); #355272=AXIS2_PLACEMENT_3D('',#505305,#388417,#388418); #355273=AXIS2_PLACEMENT_3D('',#505308,#388420,#388421); #355274=AXIS2_PLACEMENT_3D('',#505309,#388422,#388423); #355275=AXIS2_PLACEMENT_3D('',#505311,#388424,#388425); #355276=AXIS2_PLACEMENT_3D('',#505314,#388427,#388428); #355277=AXIS2_PLACEMENT_3D('',#505315,#388429,#388430); #355278=AXIS2_PLACEMENT_3D('',#505316,#388431,#388432); #355279=AXIS2_PLACEMENT_3D('',#505317,#388433,#388434); #355280=AXIS2_PLACEMENT_3D('',#505319,#388435,#388436); #355281=AXIS2_PLACEMENT_3D('',#505322,#388438,#388439); #355282=AXIS2_PLACEMENT_3D('',#505323,#388440,#388441); #355283=AXIS2_PLACEMENT_3D('',#505325,#388442,#388443); #355284=AXIS2_PLACEMENT_3D('',#505328,#388445,#388446); #355285=AXIS2_PLACEMENT_3D('',#505329,#388447,#388448); #355286=AXIS2_PLACEMENT_3D('',#505330,#388449,#388450); #355287=AXIS2_PLACEMENT_3D('',#505331,#388451,#388452); #355288=AXIS2_PLACEMENT_3D('',#505333,#388453,#388454); #355289=AXIS2_PLACEMENT_3D('',#505336,#388456,#388457); #355290=AXIS2_PLACEMENT_3D('',#505337,#388458,#388459); #355291=AXIS2_PLACEMENT_3D('',#505339,#388460,#388461); #355292=AXIS2_PLACEMENT_3D('',#505342,#388463,#388464); #355293=AXIS2_PLACEMENT_3D('',#505343,#388465,#388466); #355294=AXIS2_PLACEMENT_3D('',#505344,#388467,#388468); #355295=AXIS2_PLACEMENT_3D('',#505345,#388469,#388470); #355296=AXIS2_PLACEMENT_3D('',#505347,#388471,#388472); #355297=AXIS2_PLACEMENT_3D('',#505350,#388474,#388475); #355298=AXIS2_PLACEMENT_3D('',#505351,#388476,#388477); #355299=AXIS2_PLACEMENT_3D('',#505353,#388478,#388479); #355300=AXIS2_PLACEMENT_3D('',#505356,#388481,#388482); #355301=AXIS2_PLACEMENT_3D('',#505357,#388483,#388484); #355302=AXIS2_PLACEMENT_3D('',#505358,#388485,#388486); #355303=AXIS2_PLACEMENT_3D('',#505359,#388487,#388488); #355304=AXIS2_PLACEMENT_3D('',#505361,#388489,#388490); #355305=AXIS2_PLACEMENT_3D('',#505364,#388492,#388493); #355306=AXIS2_PLACEMENT_3D('',#505365,#388494,#388495); #355307=AXIS2_PLACEMENT_3D('',#505367,#388496,#388497); #355308=AXIS2_PLACEMENT_3D('',#505370,#388499,#388500); #355309=AXIS2_PLACEMENT_3D('',#505371,#388501,#388502); #355310=AXIS2_PLACEMENT_3D('',#505372,#388503,#388504); #355311=AXIS2_PLACEMENT_3D('',#505373,#388505,#388506); #355312=AXIS2_PLACEMENT_3D('',#505375,#388507,#388508); #355313=AXIS2_PLACEMENT_3D('',#505378,#388510,#388511); #355314=AXIS2_PLACEMENT_3D('',#505379,#388512,#388513); #355315=AXIS2_PLACEMENT_3D('',#505381,#388514,#388515); #355316=AXIS2_PLACEMENT_3D('',#505384,#388517,#388518); #355317=AXIS2_PLACEMENT_3D('',#505385,#388519,#388520); #355318=AXIS2_PLACEMENT_3D('',#505386,#388521,#388522); #355319=AXIS2_PLACEMENT_3D('',#505387,#388523,#388524); #355320=AXIS2_PLACEMENT_3D('',#505389,#388525,#388526); #355321=AXIS2_PLACEMENT_3D('',#505392,#388528,#388529); #355322=AXIS2_PLACEMENT_3D('',#505393,#388530,#388531); #355323=AXIS2_PLACEMENT_3D('',#505395,#388532,#388533); #355324=AXIS2_PLACEMENT_3D('',#505398,#388535,#388536); #355325=AXIS2_PLACEMENT_3D('',#505399,#388537,#388538); #355326=AXIS2_PLACEMENT_3D('',#505400,#388539,#388540); #355327=AXIS2_PLACEMENT_3D('',#505401,#388541,#388542); #355328=AXIS2_PLACEMENT_3D('',#505403,#388543,#388544); #355329=AXIS2_PLACEMENT_3D('',#505406,#388546,#388547); #355330=AXIS2_PLACEMENT_3D('',#505407,#388548,#388549); #355331=AXIS2_PLACEMENT_3D('',#505409,#388550,#388551); #355332=AXIS2_PLACEMENT_3D('',#505412,#388553,#388554); #355333=AXIS2_PLACEMENT_3D('',#505413,#388555,#388556); #355334=AXIS2_PLACEMENT_3D('',#505414,#388557,#388558); #355335=AXIS2_PLACEMENT_3D('',#505415,#388559,#388560); #355336=AXIS2_PLACEMENT_3D('',#505417,#388561,#388562); #355337=AXIS2_PLACEMENT_3D('',#505420,#388564,#388565); #355338=AXIS2_PLACEMENT_3D('',#505421,#388566,#388567); #355339=AXIS2_PLACEMENT_3D('',#505423,#388568,#388569); #355340=AXIS2_PLACEMENT_3D('',#505426,#388571,#388572); #355341=AXIS2_PLACEMENT_3D('',#505427,#388573,#388574); #355342=AXIS2_PLACEMENT_3D('',#505428,#388575,#388576); #355343=AXIS2_PLACEMENT_3D('',#505429,#388577,#388578); #355344=AXIS2_PLACEMENT_3D('',#505431,#388579,#388580); #355345=AXIS2_PLACEMENT_3D('',#505434,#388582,#388583); #355346=AXIS2_PLACEMENT_3D('',#505435,#388584,#388585); #355347=AXIS2_PLACEMENT_3D('',#505437,#388586,#388587); #355348=AXIS2_PLACEMENT_3D('',#505440,#388589,#388590); #355349=AXIS2_PLACEMENT_3D('',#505441,#388591,#388592); #355350=AXIS2_PLACEMENT_3D('',#505442,#388593,#388594); #355351=AXIS2_PLACEMENT_3D('',#505443,#388595,#388596); #355352=AXIS2_PLACEMENT_3D('',#505445,#388597,#388598); #355353=AXIS2_PLACEMENT_3D('',#505448,#388600,#388601); #355354=AXIS2_PLACEMENT_3D('',#505449,#388602,#388603); #355355=AXIS2_PLACEMENT_3D('',#505451,#388604,#388605); #355356=AXIS2_PLACEMENT_3D('',#505454,#388607,#388608); #355357=AXIS2_PLACEMENT_3D('',#505455,#388609,#388610); #355358=AXIS2_PLACEMENT_3D('',#505456,#388611,#388612); #355359=AXIS2_PLACEMENT_3D('',#505457,#388613,#388614); #355360=AXIS2_PLACEMENT_3D('',#505459,#388615,#388616); #355361=AXIS2_PLACEMENT_3D('',#505462,#388618,#388619); #355362=AXIS2_PLACEMENT_3D('',#505463,#388620,#388621); #355363=AXIS2_PLACEMENT_3D('',#505465,#388622,#388623); #355364=AXIS2_PLACEMENT_3D('',#505468,#388625,#388626); #355365=AXIS2_PLACEMENT_3D('',#505469,#388627,#388628); #355366=AXIS2_PLACEMENT_3D('',#505470,#388629,#388630); #355367=AXIS2_PLACEMENT_3D('',#505471,#388631,#388632); #355368=AXIS2_PLACEMENT_3D('',#505473,#388633,#388634); #355369=AXIS2_PLACEMENT_3D('',#505476,#388636,#388637); #355370=AXIS2_PLACEMENT_3D('',#505477,#388638,#388639); #355371=AXIS2_PLACEMENT_3D('',#505479,#388640,#388641); #355372=AXIS2_PLACEMENT_3D('',#505482,#388643,#388644); #355373=AXIS2_PLACEMENT_3D('',#505483,#388645,#388646); #355374=AXIS2_PLACEMENT_3D('',#505484,#388647,#388648); #355375=AXIS2_PLACEMENT_3D('',#505485,#388649,#388650); #355376=AXIS2_PLACEMENT_3D('',#505487,#388651,#388652); #355377=AXIS2_PLACEMENT_3D('',#505490,#388654,#388655); #355378=AXIS2_PLACEMENT_3D('',#505491,#388656,#388657); #355379=AXIS2_PLACEMENT_3D('',#505493,#388658,#388659); #355380=AXIS2_PLACEMENT_3D('',#505496,#388661,#388662); #355381=AXIS2_PLACEMENT_3D('',#505497,#388663,#388664); #355382=AXIS2_PLACEMENT_3D('',#505498,#388665,#388666); #355383=AXIS2_PLACEMENT_3D('',#505499,#388667,#388668); #355384=AXIS2_PLACEMENT_3D('',#505501,#388669,#388670); #355385=AXIS2_PLACEMENT_3D('',#505504,#388672,#388673); #355386=AXIS2_PLACEMENT_3D('',#505505,#388674,#388675); #355387=AXIS2_PLACEMENT_3D('',#505507,#388676,#388677); #355388=AXIS2_PLACEMENT_3D('',#505510,#388679,#388680); #355389=AXIS2_PLACEMENT_3D('',#505511,#388681,#388682); #355390=AXIS2_PLACEMENT_3D('',#505512,#388683,#388684); #355391=AXIS2_PLACEMENT_3D('',#505513,#388685,#388686); #355392=AXIS2_PLACEMENT_3D('',#505515,#388687,#388688); #355393=AXIS2_PLACEMENT_3D('',#505518,#388690,#388691); #355394=AXIS2_PLACEMENT_3D('',#505519,#388692,#388693); #355395=AXIS2_PLACEMENT_3D('',#505521,#388694,#388695); #355396=AXIS2_PLACEMENT_3D('',#505524,#388697,#388698); #355397=AXIS2_PLACEMENT_3D('',#505525,#388699,#388700); #355398=AXIS2_PLACEMENT_3D('',#505526,#388701,#388702); #355399=AXIS2_PLACEMENT_3D('',#505527,#388703,#388704); #355400=AXIS2_PLACEMENT_3D('',#505529,#388705,#388706); #355401=AXIS2_PLACEMENT_3D('',#505532,#388708,#388709); #355402=AXIS2_PLACEMENT_3D('',#505533,#388710,#388711); #355403=AXIS2_PLACEMENT_3D('',#505535,#388712,#388713); #355404=AXIS2_PLACEMENT_3D('',#505538,#388715,#388716); #355405=AXIS2_PLACEMENT_3D('',#505539,#388717,#388718); #355406=AXIS2_PLACEMENT_3D('',#505540,#388719,#388720); #355407=AXIS2_PLACEMENT_3D('',#505541,#388721,#388722); #355408=AXIS2_PLACEMENT_3D('',#505543,#388723,#388724); #355409=AXIS2_PLACEMENT_3D('',#505546,#388726,#388727); #355410=AXIS2_PLACEMENT_3D('',#505547,#388728,#388729); #355411=AXIS2_PLACEMENT_3D('',#505549,#388730,#388731); #355412=AXIS2_PLACEMENT_3D('',#505552,#388733,#388734); #355413=AXIS2_PLACEMENT_3D('',#505553,#388735,#388736); #355414=AXIS2_PLACEMENT_3D('',#505554,#388737,#388738); #355415=AXIS2_PLACEMENT_3D('',#505555,#388739,#388740); #355416=AXIS2_PLACEMENT_3D('',#505557,#388741,#388742); #355417=AXIS2_PLACEMENT_3D('',#505560,#388744,#388745); #355418=AXIS2_PLACEMENT_3D('',#505561,#388746,#388747); #355419=AXIS2_PLACEMENT_3D('',#505563,#388748,#388749); #355420=AXIS2_PLACEMENT_3D('',#505566,#388751,#388752); #355421=AXIS2_PLACEMENT_3D('',#505567,#388753,#388754); #355422=AXIS2_PLACEMENT_3D('',#505568,#388755,#388756); #355423=AXIS2_PLACEMENT_3D('',#505569,#388757,#388758); #355424=AXIS2_PLACEMENT_3D('',#505571,#388759,#388760); #355425=AXIS2_PLACEMENT_3D('',#505574,#388762,#388763); #355426=AXIS2_PLACEMENT_3D('',#505575,#388764,#388765); #355427=AXIS2_PLACEMENT_3D('',#505577,#388766,#388767); #355428=AXIS2_PLACEMENT_3D('',#505580,#388769,#388770); #355429=AXIS2_PLACEMENT_3D('',#505581,#388771,#388772); #355430=AXIS2_PLACEMENT_3D('',#505582,#388773,#388774); #355431=AXIS2_PLACEMENT_3D('',#505583,#388775,#388776); #355432=AXIS2_PLACEMENT_3D('',#505585,#388777,#388778); #355433=AXIS2_PLACEMENT_3D('',#505588,#388780,#388781); #355434=AXIS2_PLACEMENT_3D('',#505589,#388782,#388783); #355435=AXIS2_PLACEMENT_3D('',#505591,#388784,#388785); #355436=AXIS2_PLACEMENT_3D('',#505594,#388787,#388788); #355437=AXIS2_PLACEMENT_3D('',#505595,#388789,#388790); #355438=AXIS2_PLACEMENT_3D('',#505596,#388791,#388792); #355439=AXIS2_PLACEMENT_3D('',#505597,#388793,#388794); #355440=AXIS2_PLACEMENT_3D('',#505599,#388795,#388796); #355441=AXIS2_PLACEMENT_3D('',#505602,#388798,#388799); #355442=AXIS2_PLACEMENT_3D('',#505603,#388800,#388801); #355443=AXIS2_PLACEMENT_3D('',#505605,#388802,#388803); #355444=AXIS2_PLACEMENT_3D('',#505608,#388805,#388806); #355445=AXIS2_PLACEMENT_3D('',#505609,#388807,#388808); #355446=AXIS2_PLACEMENT_3D('',#505610,#388809,#388810); #355447=AXIS2_PLACEMENT_3D('',#505611,#388811,#388812); #355448=AXIS2_PLACEMENT_3D('',#505613,#388813,#388814); #355449=AXIS2_PLACEMENT_3D('',#505616,#388816,#388817); #355450=AXIS2_PLACEMENT_3D('',#505617,#388818,#388819); #355451=AXIS2_PLACEMENT_3D('',#505619,#388820,#388821); #355452=AXIS2_PLACEMENT_3D('',#505622,#388823,#388824); #355453=AXIS2_PLACEMENT_3D('',#505623,#388825,#388826); #355454=AXIS2_PLACEMENT_3D('',#505624,#388827,#388828); #355455=AXIS2_PLACEMENT_3D('',#505625,#388829,#388830); #355456=AXIS2_PLACEMENT_3D('',#505627,#388831,#388832); #355457=AXIS2_PLACEMENT_3D('',#505630,#388834,#388835); #355458=AXIS2_PLACEMENT_3D('',#505631,#388836,#388837); #355459=AXIS2_PLACEMENT_3D('',#505633,#388838,#388839); #355460=AXIS2_PLACEMENT_3D('',#505636,#388841,#388842); #355461=AXIS2_PLACEMENT_3D('',#505637,#388843,#388844); #355462=AXIS2_PLACEMENT_3D('',#505638,#388845,#388846); #355463=AXIS2_PLACEMENT_3D('',#505639,#388847,#388848); #355464=AXIS2_PLACEMENT_3D('',#505641,#388849,#388850); #355465=AXIS2_PLACEMENT_3D('',#505644,#388852,#388853); #355466=AXIS2_PLACEMENT_3D('',#505645,#388854,#388855); #355467=AXIS2_PLACEMENT_3D('',#505647,#388856,#388857); #355468=AXIS2_PLACEMENT_3D('',#505650,#388859,#388860); #355469=AXIS2_PLACEMENT_3D('',#505651,#388861,#388862); #355470=AXIS2_PLACEMENT_3D('',#505652,#388863,#388864); #355471=AXIS2_PLACEMENT_3D('',#505653,#388865,#388866); #355472=AXIS2_PLACEMENT_3D('',#505655,#388867,#388868); #355473=AXIS2_PLACEMENT_3D('',#505658,#388870,#388871); #355474=AXIS2_PLACEMENT_3D('',#505659,#388872,#388873); #355475=AXIS2_PLACEMENT_3D('',#505661,#388874,#388875); #355476=AXIS2_PLACEMENT_3D('',#505664,#388877,#388878); #355477=AXIS2_PLACEMENT_3D('',#505665,#388879,#388880); #355478=AXIS2_PLACEMENT_3D('',#505666,#388881,#388882); #355479=AXIS2_PLACEMENT_3D('',#505667,#388883,#388884); #355480=AXIS2_PLACEMENT_3D('',#505669,#388885,#388886); #355481=AXIS2_PLACEMENT_3D('',#505672,#388888,#388889); #355482=AXIS2_PLACEMENT_3D('',#505673,#388890,#388891); #355483=AXIS2_PLACEMENT_3D('',#505675,#388892,#388893); #355484=AXIS2_PLACEMENT_3D('',#505678,#388895,#388896); #355485=AXIS2_PLACEMENT_3D('',#505679,#388897,#388898); #355486=AXIS2_PLACEMENT_3D('',#505680,#388899,#388900); #355487=AXIS2_PLACEMENT_3D('',#505681,#388901,#388902); #355488=AXIS2_PLACEMENT_3D('',#505683,#388903,#388904); #355489=AXIS2_PLACEMENT_3D('',#505686,#388906,#388907); #355490=AXIS2_PLACEMENT_3D('',#505687,#388908,#388909); #355491=AXIS2_PLACEMENT_3D('',#505689,#388910,#388911); #355492=AXIS2_PLACEMENT_3D('',#505692,#388913,#388914); #355493=AXIS2_PLACEMENT_3D('',#505693,#388915,#388916); #355494=AXIS2_PLACEMENT_3D('',#505694,#388917,#388918); #355495=AXIS2_PLACEMENT_3D('',#505695,#388919,#388920); #355496=AXIS2_PLACEMENT_3D('',#505697,#388921,#388922); #355497=AXIS2_PLACEMENT_3D('',#505700,#388924,#388925); #355498=AXIS2_PLACEMENT_3D('',#505701,#388926,#388927); #355499=AXIS2_PLACEMENT_3D('',#505703,#388928,#388929); #355500=AXIS2_PLACEMENT_3D('',#505706,#388931,#388932); #355501=AXIS2_PLACEMENT_3D('',#505707,#388933,#388934); #355502=AXIS2_PLACEMENT_3D('',#505708,#388935,#388936); #355503=AXIS2_PLACEMENT_3D('',#505709,#388937,#388938); #355504=AXIS2_PLACEMENT_3D('',#505711,#388939,#388940); #355505=AXIS2_PLACEMENT_3D('',#505714,#388942,#388943); #355506=AXIS2_PLACEMENT_3D('',#505715,#388944,#388945); #355507=AXIS2_PLACEMENT_3D('',#505717,#388946,#388947); #355508=AXIS2_PLACEMENT_3D('',#505720,#388949,#388950); #355509=AXIS2_PLACEMENT_3D('',#505721,#388951,#388952); #355510=AXIS2_PLACEMENT_3D('',#505722,#388953,#388954); #355511=AXIS2_PLACEMENT_3D('',#505723,#388955,#388956); #355512=AXIS2_PLACEMENT_3D('',#505725,#388957,#388958); #355513=AXIS2_PLACEMENT_3D('',#505728,#388960,#388961); #355514=AXIS2_PLACEMENT_3D('',#505729,#388962,#388963); #355515=AXIS2_PLACEMENT_3D('',#505731,#388964,#388965); #355516=AXIS2_PLACEMENT_3D('',#505734,#388967,#388968); #355517=AXIS2_PLACEMENT_3D('',#505735,#388969,#388970); #355518=AXIS2_PLACEMENT_3D('',#505736,#388971,#388972); #355519=AXIS2_PLACEMENT_3D('',#505737,#388973,#388974); #355520=AXIS2_PLACEMENT_3D('',#505739,#388975,#388976); #355521=AXIS2_PLACEMENT_3D('',#505742,#388978,#388979); #355522=AXIS2_PLACEMENT_3D('',#505743,#388980,#388981); #355523=AXIS2_PLACEMENT_3D('',#505745,#388982,#388983); #355524=AXIS2_PLACEMENT_3D('',#505748,#388985,#388986); #355525=AXIS2_PLACEMENT_3D('',#505749,#388987,#388988); #355526=AXIS2_PLACEMENT_3D('',#505750,#388989,#388990); #355527=AXIS2_PLACEMENT_3D('',#505751,#388991,#388992); #355528=AXIS2_PLACEMENT_3D('',#505753,#388993,#388994); #355529=AXIS2_PLACEMENT_3D('',#505756,#388996,#388997); #355530=AXIS2_PLACEMENT_3D('',#505757,#388998,#388999); #355531=AXIS2_PLACEMENT_3D('',#505759,#389000,#389001); #355532=AXIS2_PLACEMENT_3D('',#505762,#389003,#389004); #355533=AXIS2_PLACEMENT_3D('',#505763,#389005,#389006); #355534=AXIS2_PLACEMENT_3D('',#505764,#389007,#389008); #355535=AXIS2_PLACEMENT_3D('',#505765,#389009,#389010); #355536=AXIS2_PLACEMENT_3D('',#505767,#389011,#389012); #355537=AXIS2_PLACEMENT_3D('',#505770,#389014,#389015); #355538=AXIS2_PLACEMENT_3D('',#505771,#389016,#389017); #355539=AXIS2_PLACEMENT_3D('',#505773,#389018,#389019); #355540=AXIS2_PLACEMENT_3D('',#505776,#389021,#389022); #355541=AXIS2_PLACEMENT_3D('',#505777,#389023,#389024); #355542=AXIS2_PLACEMENT_3D('',#505778,#389025,#389026); #355543=AXIS2_PLACEMENT_3D('',#505779,#389027,#389028); #355544=AXIS2_PLACEMENT_3D('',#505781,#389029,#389030); #355545=AXIS2_PLACEMENT_3D('',#505784,#389032,#389033); #355546=AXIS2_PLACEMENT_3D('',#505785,#389034,#389035); #355547=AXIS2_PLACEMENT_3D('',#505787,#389036,#389037); #355548=AXIS2_PLACEMENT_3D('',#505790,#389039,#389040); #355549=AXIS2_PLACEMENT_3D('',#505791,#389041,#389042); #355550=AXIS2_PLACEMENT_3D('',#505792,#389043,#389044); #355551=AXIS2_PLACEMENT_3D('',#505793,#389045,#389046); #355552=AXIS2_PLACEMENT_3D('',#505795,#389047,#389048); #355553=AXIS2_PLACEMENT_3D('',#505798,#389050,#389051); #355554=AXIS2_PLACEMENT_3D('',#505799,#389052,#389053); #355555=AXIS2_PLACEMENT_3D('',#505801,#389054,#389055); #355556=AXIS2_PLACEMENT_3D('',#505804,#389057,#389058); #355557=AXIS2_PLACEMENT_3D('',#505805,#389059,#389060); #355558=AXIS2_PLACEMENT_3D('',#505806,#389061,#389062); #355559=AXIS2_PLACEMENT_3D('',#505807,#389063,#389064); #355560=AXIS2_PLACEMENT_3D('',#505809,#389065,#389066); #355561=AXIS2_PLACEMENT_3D('',#505812,#389068,#389069); #355562=AXIS2_PLACEMENT_3D('',#505813,#389070,#389071); #355563=AXIS2_PLACEMENT_3D('',#505815,#389072,#389073); #355564=AXIS2_PLACEMENT_3D('',#505818,#389075,#389076); #355565=AXIS2_PLACEMENT_3D('',#505819,#389077,#389078); #355566=AXIS2_PLACEMENT_3D('',#505820,#389079,#389080); #355567=AXIS2_PLACEMENT_3D('',#505821,#389081,#389082); #355568=AXIS2_PLACEMENT_3D('',#505823,#389083,#389084); #355569=AXIS2_PLACEMENT_3D('',#505826,#389086,#389087); #355570=AXIS2_PLACEMENT_3D('',#505827,#389088,#389089); #355571=AXIS2_PLACEMENT_3D('',#505829,#389090,#389091); #355572=AXIS2_PLACEMENT_3D('',#505832,#389093,#389094); #355573=AXIS2_PLACEMENT_3D('',#505833,#389095,#389096); #355574=AXIS2_PLACEMENT_3D('',#505834,#389097,#389098); #355575=AXIS2_PLACEMENT_3D('',#505835,#389099,#389100); #355576=AXIS2_PLACEMENT_3D('',#505837,#389101,#389102); #355577=AXIS2_PLACEMENT_3D('',#505840,#389104,#389105); #355578=AXIS2_PLACEMENT_3D('',#505841,#389106,#389107); #355579=AXIS2_PLACEMENT_3D('',#505843,#389108,#389109); #355580=AXIS2_PLACEMENT_3D('',#505846,#389111,#389112); #355581=AXIS2_PLACEMENT_3D('',#505847,#389113,#389114); #355582=AXIS2_PLACEMENT_3D('',#505848,#389115,#389116); #355583=AXIS2_PLACEMENT_3D('',#505849,#389117,#389118); #355584=AXIS2_PLACEMENT_3D('',#505851,#389119,#389120); #355585=AXIS2_PLACEMENT_3D('',#505854,#389122,#389123); #355586=AXIS2_PLACEMENT_3D('',#505855,#389124,#389125); #355587=AXIS2_PLACEMENT_3D('',#505857,#389126,#389127); #355588=AXIS2_PLACEMENT_3D('',#505860,#389129,#389130); #355589=AXIS2_PLACEMENT_3D('',#505861,#389131,#389132); #355590=AXIS2_PLACEMENT_3D('',#505862,#389133,#389134); #355591=AXIS2_PLACEMENT_3D('',#505863,#389135,#389136); #355592=AXIS2_PLACEMENT_3D('',#505865,#389137,#389138); #355593=AXIS2_PLACEMENT_3D('',#505868,#389140,#389141); #355594=AXIS2_PLACEMENT_3D('',#505869,#389142,#389143); #355595=AXIS2_PLACEMENT_3D('',#505871,#389144,#389145); #355596=AXIS2_PLACEMENT_3D('',#505874,#389147,#389148); #355597=AXIS2_PLACEMENT_3D('',#505875,#389149,#389150); #355598=AXIS2_PLACEMENT_3D('',#505876,#389151,#389152); #355599=AXIS2_PLACEMENT_3D('',#505877,#389153,#389154); #355600=AXIS2_PLACEMENT_3D('',#505879,#389155,#389156); #355601=AXIS2_PLACEMENT_3D('',#505882,#389158,#389159); #355602=AXIS2_PLACEMENT_3D('',#505883,#389160,#389161); #355603=AXIS2_PLACEMENT_3D('',#505885,#389162,#389163); #355604=AXIS2_PLACEMENT_3D('',#505888,#389165,#389166); #355605=AXIS2_PLACEMENT_3D('',#505889,#389167,#389168); #355606=AXIS2_PLACEMENT_3D('',#505890,#389169,#389170); #355607=AXIS2_PLACEMENT_3D('',#505891,#389171,#389172); #355608=AXIS2_PLACEMENT_3D('',#505893,#389173,#389174); #355609=AXIS2_PLACEMENT_3D('',#505896,#389176,#389177); #355610=AXIS2_PLACEMENT_3D('',#505897,#389178,#389179); #355611=AXIS2_PLACEMENT_3D('',#505899,#389180,#389181); #355612=AXIS2_PLACEMENT_3D('',#505902,#389183,#389184); #355613=AXIS2_PLACEMENT_3D('',#505903,#389185,#389186); #355614=AXIS2_PLACEMENT_3D('',#505904,#389187,#389188); #355615=AXIS2_PLACEMENT_3D('',#505905,#389189,#389190); #355616=AXIS2_PLACEMENT_3D('',#505907,#389191,#389192); #355617=AXIS2_PLACEMENT_3D('',#505910,#389194,#389195); #355618=AXIS2_PLACEMENT_3D('',#505911,#389196,#389197); #355619=AXIS2_PLACEMENT_3D('',#505913,#389198,#389199); #355620=AXIS2_PLACEMENT_3D('',#505916,#389201,#389202); #355621=AXIS2_PLACEMENT_3D('',#505917,#389203,#389204); #355622=AXIS2_PLACEMENT_3D('',#505918,#389205,#389206); #355623=AXIS2_PLACEMENT_3D('',#505919,#389207,#389208); #355624=AXIS2_PLACEMENT_3D('',#505921,#389209,#389210); #355625=AXIS2_PLACEMENT_3D('',#505924,#389212,#389213); #355626=AXIS2_PLACEMENT_3D('',#505925,#389214,#389215); #355627=AXIS2_PLACEMENT_3D('',#505927,#389216,#389217); #355628=AXIS2_PLACEMENT_3D('',#505930,#389219,#389220); #355629=AXIS2_PLACEMENT_3D('',#505931,#389221,#389222); #355630=AXIS2_PLACEMENT_3D('',#505932,#389223,#389224); #355631=AXIS2_PLACEMENT_3D('',#505933,#389225,#389226); #355632=AXIS2_PLACEMENT_3D('',#505935,#389227,#389228); #355633=AXIS2_PLACEMENT_3D('',#505938,#389230,#389231); #355634=AXIS2_PLACEMENT_3D('',#505939,#389232,#389233); #355635=AXIS2_PLACEMENT_3D('',#505941,#389234,#389235); #355636=AXIS2_PLACEMENT_3D('',#505944,#389237,#389238); #355637=AXIS2_PLACEMENT_3D('',#505945,#389239,#389240); #355638=AXIS2_PLACEMENT_3D('',#505946,#389241,#389242); #355639=AXIS2_PLACEMENT_3D('',#505947,#389243,#389244); #355640=AXIS2_PLACEMENT_3D('',#505949,#389245,#389246); #355641=AXIS2_PLACEMENT_3D('',#505952,#389248,#389249); #355642=AXIS2_PLACEMENT_3D('',#505953,#389250,#389251); #355643=AXIS2_PLACEMENT_3D('',#505955,#389252,#389253); #355644=AXIS2_PLACEMENT_3D('',#505958,#389255,#389256); #355645=AXIS2_PLACEMENT_3D('',#505959,#389257,#389258); #355646=AXIS2_PLACEMENT_3D('',#505960,#389259,#389260); #355647=AXIS2_PLACEMENT_3D('',#505961,#389261,#389262); #355648=AXIS2_PLACEMENT_3D('',#505963,#389263,#389264); #355649=AXIS2_PLACEMENT_3D('',#505966,#389266,#389267); #355650=AXIS2_PLACEMENT_3D('',#505967,#389268,#389269); #355651=AXIS2_PLACEMENT_3D('',#505969,#389270,#389271); #355652=AXIS2_PLACEMENT_3D('',#505972,#389273,#389274); #355653=AXIS2_PLACEMENT_3D('',#505973,#389275,#389276); #355654=AXIS2_PLACEMENT_3D('',#505974,#389277,#389278); #355655=AXIS2_PLACEMENT_3D('',#505975,#389279,#389280); #355656=AXIS2_PLACEMENT_3D('',#505977,#389281,#389282); #355657=AXIS2_PLACEMENT_3D('',#505980,#389284,#389285); #355658=AXIS2_PLACEMENT_3D('',#505981,#389286,#389287); #355659=AXIS2_PLACEMENT_3D('',#505983,#389288,#389289); #355660=AXIS2_PLACEMENT_3D('',#505986,#389291,#389292); #355661=AXIS2_PLACEMENT_3D('',#505987,#389293,#389294); #355662=AXIS2_PLACEMENT_3D('',#505988,#389295,#389296); #355663=AXIS2_PLACEMENT_3D('',#505989,#389297,#389298); #355664=AXIS2_PLACEMENT_3D('',#505991,#389299,#389300); #355665=AXIS2_PLACEMENT_3D('',#505994,#389302,#389303); #355666=AXIS2_PLACEMENT_3D('',#505995,#389304,#389305); #355667=AXIS2_PLACEMENT_3D('',#505997,#389306,#389307); #355668=AXIS2_PLACEMENT_3D('',#506000,#389309,#389310); #355669=AXIS2_PLACEMENT_3D('',#506001,#389311,#389312); #355670=AXIS2_PLACEMENT_3D('',#506002,#389313,#389314); #355671=AXIS2_PLACEMENT_3D('',#506003,#389315,#389316); #355672=AXIS2_PLACEMENT_3D('',#506005,#389317,#389318); #355673=AXIS2_PLACEMENT_3D('',#506008,#389320,#389321); #355674=AXIS2_PLACEMENT_3D('',#506009,#389322,#389323); #355675=AXIS2_PLACEMENT_3D('',#506011,#389324,#389325); #355676=AXIS2_PLACEMENT_3D('',#506014,#389327,#389328); #355677=AXIS2_PLACEMENT_3D('',#506015,#389329,#389330); #355678=AXIS2_PLACEMENT_3D('',#506016,#389331,#389332); #355679=AXIS2_PLACEMENT_3D('',#506017,#389333,#389334); #355680=AXIS2_PLACEMENT_3D('',#506019,#389335,#389336); #355681=AXIS2_PLACEMENT_3D('',#506022,#389338,#389339); #355682=AXIS2_PLACEMENT_3D('',#506023,#389340,#389341); #355683=AXIS2_PLACEMENT_3D('',#506025,#389342,#389343); #355684=AXIS2_PLACEMENT_3D('',#506028,#389345,#389346); #355685=AXIS2_PLACEMENT_3D('',#506029,#389347,#389348); #355686=AXIS2_PLACEMENT_3D('',#506030,#389349,#389350); #355687=AXIS2_PLACEMENT_3D('',#506031,#389351,#389352); #355688=AXIS2_PLACEMENT_3D('',#506033,#389353,#389354); #355689=AXIS2_PLACEMENT_3D('',#506036,#389356,#389357); #355690=AXIS2_PLACEMENT_3D('',#506037,#389358,#389359); #355691=AXIS2_PLACEMENT_3D('',#506039,#389360,#389361); #355692=AXIS2_PLACEMENT_3D('',#506042,#389363,#389364); #355693=AXIS2_PLACEMENT_3D('',#506043,#389365,#389366); #355694=AXIS2_PLACEMENT_3D('',#506044,#389367,#389368); #355695=AXIS2_PLACEMENT_3D('',#506045,#389369,#389370); #355696=AXIS2_PLACEMENT_3D('',#506047,#389371,#389372); #355697=AXIS2_PLACEMENT_3D('',#506050,#389374,#389375); #355698=AXIS2_PLACEMENT_3D('',#506051,#389376,#389377); #355699=AXIS2_PLACEMENT_3D('',#506053,#389378,#389379); #355700=AXIS2_PLACEMENT_3D('',#506056,#389381,#389382); #355701=AXIS2_PLACEMENT_3D('',#506057,#389383,#389384); #355702=AXIS2_PLACEMENT_3D('',#506058,#389385,#389386); #355703=AXIS2_PLACEMENT_3D('',#506059,#389387,#389388); #355704=AXIS2_PLACEMENT_3D('',#506061,#389389,#389390); #355705=AXIS2_PLACEMENT_3D('',#506064,#389392,#389393); #355706=AXIS2_PLACEMENT_3D('',#506065,#389394,#389395); #355707=AXIS2_PLACEMENT_3D('',#506067,#389396,#389397); #355708=AXIS2_PLACEMENT_3D('',#506070,#389399,#389400); #355709=AXIS2_PLACEMENT_3D('',#506071,#389401,#389402); #355710=AXIS2_PLACEMENT_3D('',#506072,#389403,#389404); #355711=AXIS2_PLACEMENT_3D('',#506073,#389405,#389406); #355712=AXIS2_PLACEMENT_3D('',#506075,#389407,#389408); #355713=AXIS2_PLACEMENT_3D('',#506078,#389410,#389411); #355714=AXIS2_PLACEMENT_3D('',#506079,#389412,#389413); #355715=AXIS2_PLACEMENT_3D('',#506081,#389414,#389415); #355716=AXIS2_PLACEMENT_3D('',#506084,#389417,#389418); #355717=AXIS2_PLACEMENT_3D('',#506085,#389419,#389420); #355718=AXIS2_PLACEMENT_3D('',#506086,#389421,#389422); #355719=AXIS2_PLACEMENT_3D('',#506087,#389423,#389424); #355720=AXIS2_PLACEMENT_3D('',#506089,#389425,#389426); #355721=AXIS2_PLACEMENT_3D('',#506092,#389428,#389429); #355722=AXIS2_PLACEMENT_3D('',#506093,#389430,#389431); #355723=AXIS2_PLACEMENT_3D('',#506095,#389432,#389433); #355724=AXIS2_PLACEMENT_3D('',#506098,#389435,#389436); #355725=AXIS2_PLACEMENT_3D('',#506099,#389437,#389438); #355726=AXIS2_PLACEMENT_3D('',#506100,#389439,#389440); #355727=AXIS2_PLACEMENT_3D('',#506101,#389441,#389442); #355728=AXIS2_PLACEMENT_3D('',#506103,#389443,#389444); #355729=AXIS2_PLACEMENT_3D('',#506106,#389446,#389447); #355730=AXIS2_PLACEMENT_3D('',#506107,#389448,#389449); #355731=AXIS2_PLACEMENT_3D('',#506109,#389450,#389451); #355732=AXIS2_PLACEMENT_3D('',#506112,#389453,#389454); #355733=AXIS2_PLACEMENT_3D('',#506113,#389455,#389456); #355734=AXIS2_PLACEMENT_3D('',#506114,#389457,#389458); #355735=AXIS2_PLACEMENT_3D('',#506115,#389459,#389460); #355736=AXIS2_PLACEMENT_3D('',#506117,#389461,#389462); #355737=AXIS2_PLACEMENT_3D('',#506120,#389464,#389465); #355738=AXIS2_PLACEMENT_3D('',#506121,#389466,#389467); #355739=AXIS2_PLACEMENT_3D('',#506123,#389468,#389469); #355740=AXIS2_PLACEMENT_3D('',#506126,#389471,#389472); #355741=AXIS2_PLACEMENT_3D('',#506127,#389473,#389474); #355742=AXIS2_PLACEMENT_3D('',#506128,#389475,#389476); #355743=AXIS2_PLACEMENT_3D('',#506129,#389477,#389478); #355744=AXIS2_PLACEMENT_3D('',#506131,#389479,#389480); #355745=AXIS2_PLACEMENT_3D('',#506134,#389482,#389483); #355746=AXIS2_PLACEMENT_3D('',#506135,#389484,#389485); #355747=AXIS2_PLACEMENT_3D('',#506137,#389486,#389487); #355748=AXIS2_PLACEMENT_3D('',#506140,#389489,#389490); #355749=AXIS2_PLACEMENT_3D('',#506141,#389491,#389492); #355750=AXIS2_PLACEMENT_3D('',#506142,#389493,#389494); #355751=AXIS2_PLACEMENT_3D('',#506143,#389495,#389496); #355752=AXIS2_PLACEMENT_3D('',#506145,#389497,#389498); #355753=AXIS2_PLACEMENT_3D('',#506148,#389500,#389501); #355754=AXIS2_PLACEMENT_3D('',#506149,#389502,#389503); #355755=AXIS2_PLACEMENT_3D('',#506151,#389504,#389505); #355756=AXIS2_PLACEMENT_3D('',#506154,#389507,#389508); #355757=AXIS2_PLACEMENT_3D('',#506155,#389509,#389510); #355758=AXIS2_PLACEMENT_3D('',#506156,#389511,#389512); #355759=AXIS2_PLACEMENT_3D('',#506157,#389513,#389514); #355760=AXIS2_PLACEMENT_3D('',#506159,#389515,#389516); #355761=AXIS2_PLACEMENT_3D('',#506162,#389518,#389519); #355762=AXIS2_PLACEMENT_3D('',#506163,#389520,#389521); #355763=AXIS2_PLACEMENT_3D('',#506165,#389522,#389523); #355764=AXIS2_PLACEMENT_3D('',#506168,#389525,#389526); #355765=AXIS2_PLACEMENT_3D('',#506169,#389527,#389528); #355766=AXIS2_PLACEMENT_3D('',#506170,#389529,#389530); #355767=AXIS2_PLACEMENT_3D('',#506171,#389531,#389532); #355768=AXIS2_PLACEMENT_3D('',#506173,#389533,#389534); #355769=AXIS2_PLACEMENT_3D('',#506176,#389536,#389537); #355770=AXIS2_PLACEMENT_3D('',#506177,#389538,#389539); #355771=AXIS2_PLACEMENT_3D('',#506179,#389540,#389541); #355772=AXIS2_PLACEMENT_3D('',#506182,#389543,#389544); #355773=AXIS2_PLACEMENT_3D('',#506183,#389545,#389546); #355774=AXIS2_PLACEMENT_3D('',#506184,#389547,#389548); #355775=AXIS2_PLACEMENT_3D('',#506185,#389549,#389550); #355776=AXIS2_PLACEMENT_3D('',#506187,#389551,#389552); #355777=AXIS2_PLACEMENT_3D('',#506190,#389554,#389555); #355778=AXIS2_PLACEMENT_3D('',#506191,#389556,#389557); #355779=AXIS2_PLACEMENT_3D('',#506193,#389558,#389559); #355780=AXIS2_PLACEMENT_3D('',#506196,#389561,#389562); #355781=AXIS2_PLACEMENT_3D('',#506197,#389563,#389564); #355782=AXIS2_PLACEMENT_3D('',#506198,#389565,#389566); #355783=AXIS2_PLACEMENT_3D('',#506199,#389567,#389568); #355784=AXIS2_PLACEMENT_3D('',#506201,#389569,#389570); #355785=AXIS2_PLACEMENT_3D('',#506204,#389572,#389573); #355786=AXIS2_PLACEMENT_3D('',#506205,#389574,#389575); #355787=AXIS2_PLACEMENT_3D('',#506207,#389576,#389577); #355788=AXIS2_PLACEMENT_3D('',#506210,#389579,#389580); #355789=AXIS2_PLACEMENT_3D('',#506211,#389581,#389582); #355790=AXIS2_PLACEMENT_3D('',#506212,#389583,#389584); #355791=AXIS2_PLACEMENT_3D('',#506213,#389585,#389586); #355792=AXIS2_PLACEMENT_3D('',#506215,#389587,#389588); #355793=AXIS2_PLACEMENT_3D('',#506218,#389590,#389591); #355794=AXIS2_PLACEMENT_3D('',#506219,#389592,#389593); #355795=AXIS2_PLACEMENT_3D('',#506221,#389594,#389595); #355796=AXIS2_PLACEMENT_3D('',#506224,#389597,#389598); #355797=AXIS2_PLACEMENT_3D('',#506225,#389599,#389600); #355798=AXIS2_PLACEMENT_3D('',#506226,#389601,#389602); #355799=AXIS2_PLACEMENT_3D('',#506227,#389603,#389604); #355800=AXIS2_PLACEMENT_3D('',#506229,#389605,#389606); #355801=AXIS2_PLACEMENT_3D('',#506232,#389608,#389609); #355802=AXIS2_PLACEMENT_3D('',#506233,#389610,#389611); #355803=AXIS2_PLACEMENT_3D('',#506235,#389612,#389613); #355804=AXIS2_PLACEMENT_3D('',#506238,#389615,#389616); #355805=AXIS2_PLACEMENT_3D('',#506239,#389617,#389618); #355806=AXIS2_PLACEMENT_3D('',#506240,#389619,#389620); #355807=AXIS2_PLACEMENT_3D('',#506241,#389621,#389622); #355808=AXIS2_PLACEMENT_3D('',#506243,#389623,#389624); #355809=AXIS2_PLACEMENT_3D('',#506246,#389626,#389627); #355810=AXIS2_PLACEMENT_3D('',#506247,#389628,#389629); #355811=AXIS2_PLACEMENT_3D('',#506249,#389630,#389631); #355812=AXIS2_PLACEMENT_3D('',#506252,#389633,#389634); #355813=AXIS2_PLACEMENT_3D('',#506253,#389635,#389636); #355814=AXIS2_PLACEMENT_3D('',#506254,#389637,#389638); #355815=AXIS2_PLACEMENT_3D('',#506255,#389639,#389640); #355816=AXIS2_PLACEMENT_3D('',#506257,#389641,#389642); #355817=AXIS2_PLACEMENT_3D('',#506260,#389644,#389645); #355818=AXIS2_PLACEMENT_3D('',#506261,#389646,#389647); #355819=AXIS2_PLACEMENT_3D('',#506263,#389648,#389649); #355820=AXIS2_PLACEMENT_3D('',#506266,#389651,#389652); #355821=AXIS2_PLACEMENT_3D('',#506267,#389653,#389654); #355822=AXIS2_PLACEMENT_3D('',#506268,#389655,#389656); #355823=AXIS2_PLACEMENT_3D('',#506269,#389657,#389658); #355824=AXIS2_PLACEMENT_3D('',#506271,#389659,#389660); #355825=AXIS2_PLACEMENT_3D('',#506274,#389662,#389663); #355826=AXIS2_PLACEMENT_3D('',#506275,#389664,#389665); #355827=AXIS2_PLACEMENT_3D('',#506277,#389666,#389667); #355828=AXIS2_PLACEMENT_3D('',#506280,#389669,#389670); #355829=AXIS2_PLACEMENT_3D('',#506281,#389671,#389672); #355830=AXIS2_PLACEMENT_3D('',#506282,#389673,#389674); #355831=AXIS2_PLACEMENT_3D('',#506283,#389675,#389676); #355832=AXIS2_PLACEMENT_3D('',#506285,#389677,#389678); #355833=AXIS2_PLACEMENT_3D('',#506288,#389680,#389681); #355834=AXIS2_PLACEMENT_3D('',#506289,#389682,#389683); #355835=AXIS2_PLACEMENT_3D('',#506291,#389684,#389685); #355836=AXIS2_PLACEMENT_3D('',#506294,#389687,#389688); #355837=AXIS2_PLACEMENT_3D('',#506295,#389689,#389690); #355838=AXIS2_PLACEMENT_3D('',#506296,#389691,#389692); #355839=AXIS2_PLACEMENT_3D('',#506297,#389693,#389694); #355840=AXIS2_PLACEMENT_3D('',#506299,#389695,#389696); #355841=AXIS2_PLACEMENT_3D('',#506302,#389698,#389699); #355842=AXIS2_PLACEMENT_3D('',#506303,#389700,#389701); #355843=AXIS2_PLACEMENT_3D('',#506305,#389702,#389703); #355844=AXIS2_PLACEMENT_3D('',#506308,#389705,#389706); #355845=AXIS2_PLACEMENT_3D('',#506309,#389707,#389708); #355846=AXIS2_PLACEMENT_3D('',#506310,#389709,#389710); #355847=AXIS2_PLACEMENT_3D('',#506311,#389711,#389712); #355848=AXIS2_PLACEMENT_3D('',#506313,#389713,#389714); #355849=AXIS2_PLACEMENT_3D('',#506316,#389716,#389717); #355850=AXIS2_PLACEMENT_3D('',#506317,#389718,#389719); #355851=AXIS2_PLACEMENT_3D('',#506319,#389720,#389721); #355852=AXIS2_PLACEMENT_3D('',#506322,#389723,#389724); #355853=AXIS2_PLACEMENT_3D('',#506323,#389725,#389726); #355854=AXIS2_PLACEMENT_3D('',#506324,#389727,#389728); #355855=AXIS2_PLACEMENT_3D('',#506325,#389729,#389730); #355856=AXIS2_PLACEMENT_3D('',#506327,#389731,#389732); #355857=AXIS2_PLACEMENT_3D('',#506330,#389734,#389735); #355858=AXIS2_PLACEMENT_3D('',#506331,#389736,#389737); #355859=AXIS2_PLACEMENT_3D('',#506333,#389738,#389739); #355860=AXIS2_PLACEMENT_3D('',#506336,#389741,#389742); #355861=AXIS2_PLACEMENT_3D('',#506337,#389743,#389744); #355862=AXIS2_PLACEMENT_3D('',#506338,#389745,#389746); #355863=AXIS2_PLACEMENT_3D('',#506339,#389747,#389748); #355864=AXIS2_PLACEMENT_3D('',#506341,#389749,#389750); #355865=AXIS2_PLACEMENT_3D('',#506344,#389752,#389753); #355866=AXIS2_PLACEMENT_3D('',#506345,#389754,#389755); #355867=AXIS2_PLACEMENT_3D('',#506347,#389756,#389757); #355868=AXIS2_PLACEMENT_3D('',#506350,#389759,#389760); #355869=AXIS2_PLACEMENT_3D('',#506351,#389761,#389762); #355870=AXIS2_PLACEMENT_3D('',#506352,#389763,#389764); #355871=AXIS2_PLACEMENT_3D('',#506353,#389765,#389766); #355872=AXIS2_PLACEMENT_3D('',#506355,#389767,#389768); #355873=AXIS2_PLACEMENT_3D('',#506358,#389770,#389771); #355874=AXIS2_PLACEMENT_3D('',#506359,#389772,#389773); #355875=AXIS2_PLACEMENT_3D('',#506361,#389774,#389775); #355876=AXIS2_PLACEMENT_3D('',#506364,#389777,#389778); #355877=AXIS2_PLACEMENT_3D('',#506365,#389779,#389780); #355878=AXIS2_PLACEMENT_3D('',#506366,#389781,#389782); #355879=AXIS2_PLACEMENT_3D('',#506367,#389783,#389784); #355880=AXIS2_PLACEMENT_3D('',#506369,#389785,#389786); #355881=AXIS2_PLACEMENT_3D('',#506372,#389788,#389789); #355882=AXIS2_PLACEMENT_3D('',#506373,#389790,#389791); #355883=AXIS2_PLACEMENT_3D('',#506375,#389792,#389793); #355884=AXIS2_PLACEMENT_3D('',#506378,#389795,#389796); #355885=AXIS2_PLACEMENT_3D('',#506379,#389797,#389798); #355886=AXIS2_PLACEMENT_3D('',#506380,#389799,#389800); #355887=AXIS2_PLACEMENT_3D('',#506381,#389801,#389802); #355888=AXIS2_PLACEMENT_3D('',#506383,#389803,#389804); #355889=AXIS2_PLACEMENT_3D('',#506386,#389806,#389807); #355890=AXIS2_PLACEMENT_3D('',#506387,#389808,#389809); #355891=AXIS2_PLACEMENT_3D('',#506389,#389810,#389811); #355892=AXIS2_PLACEMENT_3D('',#506392,#389813,#389814); #355893=AXIS2_PLACEMENT_3D('',#506393,#389815,#389816); #355894=AXIS2_PLACEMENT_3D('',#506394,#389817,#389818); #355895=AXIS2_PLACEMENT_3D('',#506395,#389819,#389820); #355896=AXIS2_PLACEMENT_3D('',#506397,#389821,#389822); #355897=AXIS2_PLACEMENT_3D('',#506400,#389824,#389825); #355898=AXIS2_PLACEMENT_3D('',#506401,#389826,#389827); #355899=AXIS2_PLACEMENT_3D('',#506403,#389828,#389829); #355900=AXIS2_PLACEMENT_3D('',#506406,#389831,#389832); #355901=AXIS2_PLACEMENT_3D('',#506407,#389833,#389834); #355902=AXIS2_PLACEMENT_3D('',#506408,#389835,#389836); #355903=AXIS2_PLACEMENT_3D('',#506409,#389837,#389838); #355904=AXIS2_PLACEMENT_3D('',#506411,#389839,#389840); #355905=AXIS2_PLACEMENT_3D('',#506414,#389842,#389843); #355906=AXIS2_PLACEMENT_3D('',#506415,#389844,#389845); #355907=AXIS2_PLACEMENT_3D('',#506417,#389846,#389847); #355908=AXIS2_PLACEMENT_3D('',#506420,#389849,#389850); #355909=AXIS2_PLACEMENT_3D('',#506421,#389851,#389852); #355910=AXIS2_PLACEMENT_3D('',#506422,#389853,#389854); #355911=AXIS2_PLACEMENT_3D('',#506423,#389855,#389856); #355912=AXIS2_PLACEMENT_3D('',#506425,#389857,#389858); #355913=AXIS2_PLACEMENT_3D('',#506428,#389860,#389861); #355914=AXIS2_PLACEMENT_3D('',#506429,#389862,#389863); #355915=AXIS2_PLACEMENT_3D('',#506431,#389864,#389865); #355916=AXIS2_PLACEMENT_3D('',#506434,#389867,#389868); #355917=AXIS2_PLACEMENT_3D('',#506435,#389869,#389870); #355918=AXIS2_PLACEMENT_3D('',#506436,#389871,#389872); #355919=AXIS2_PLACEMENT_3D('',#506437,#389873,#389874); #355920=AXIS2_PLACEMENT_3D('',#506439,#389875,#389876); #355921=AXIS2_PLACEMENT_3D('',#506442,#389878,#389879); #355922=AXIS2_PLACEMENT_3D('',#506443,#389880,#389881); #355923=AXIS2_PLACEMENT_3D('',#506445,#389882,#389883); #355924=AXIS2_PLACEMENT_3D('',#506448,#389885,#389886); #355925=AXIS2_PLACEMENT_3D('',#506449,#389887,#389888); #355926=AXIS2_PLACEMENT_3D('',#506450,#389889,#389890); #355927=AXIS2_PLACEMENT_3D('',#506451,#389891,#389892); #355928=AXIS2_PLACEMENT_3D('',#506453,#389893,#389894); #355929=AXIS2_PLACEMENT_3D('',#506456,#389896,#389897); #355930=AXIS2_PLACEMENT_3D('',#506457,#389898,#389899); #355931=AXIS2_PLACEMENT_3D('',#506459,#389900,#389901); #355932=AXIS2_PLACEMENT_3D('',#506462,#389903,#389904); #355933=AXIS2_PLACEMENT_3D('',#506463,#389905,#389906); #355934=AXIS2_PLACEMENT_3D('',#506464,#389907,#389908); #355935=AXIS2_PLACEMENT_3D('',#506465,#389909,#389910); #355936=AXIS2_PLACEMENT_3D('',#506467,#389911,#389912); #355937=AXIS2_PLACEMENT_3D('',#506470,#389914,#389915); #355938=AXIS2_PLACEMENT_3D('',#506471,#389916,#389917); #355939=AXIS2_PLACEMENT_3D('',#506473,#389918,#389919); #355940=AXIS2_PLACEMENT_3D('',#506476,#389921,#389922); #355941=AXIS2_PLACEMENT_3D('',#506477,#389923,#389924); #355942=AXIS2_PLACEMENT_3D('',#506478,#389925,#389926); #355943=AXIS2_PLACEMENT_3D('',#506479,#389927,#389928); #355944=AXIS2_PLACEMENT_3D('',#506481,#389929,#389930); #355945=AXIS2_PLACEMENT_3D('',#506484,#389932,#389933); #355946=AXIS2_PLACEMENT_3D('',#506485,#389934,#389935); #355947=AXIS2_PLACEMENT_3D('',#506487,#389936,#389937); #355948=AXIS2_PLACEMENT_3D('',#506490,#389939,#389940); #355949=AXIS2_PLACEMENT_3D('',#506491,#389941,#389942); #355950=AXIS2_PLACEMENT_3D('',#506492,#389943,#389944); #355951=AXIS2_PLACEMENT_3D('',#506493,#389945,#389946); #355952=AXIS2_PLACEMENT_3D('',#506495,#389947,#389948); #355953=AXIS2_PLACEMENT_3D('',#506498,#389950,#389951); #355954=AXIS2_PLACEMENT_3D('',#506499,#389952,#389953); #355955=AXIS2_PLACEMENT_3D('',#506501,#389954,#389955); #355956=AXIS2_PLACEMENT_3D('',#506504,#389957,#389958); #355957=AXIS2_PLACEMENT_3D('',#506505,#389959,#389960); #355958=AXIS2_PLACEMENT_3D('',#506506,#389961,#389962); #355959=AXIS2_PLACEMENT_3D('',#506507,#389963,#389964); #355960=AXIS2_PLACEMENT_3D('',#506509,#389965,#389966); #355961=AXIS2_PLACEMENT_3D('',#506512,#389968,#389969); #355962=AXIS2_PLACEMENT_3D('',#506513,#389970,#389971); #355963=AXIS2_PLACEMENT_3D('',#506515,#389972,#389973); #355964=AXIS2_PLACEMENT_3D('',#506518,#389975,#389976); #355965=AXIS2_PLACEMENT_3D('',#506519,#389977,#389978); #355966=AXIS2_PLACEMENT_3D('',#506520,#389979,#389980); #355967=AXIS2_PLACEMENT_3D('',#506521,#389981,#389982); #355968=AXIS2_PLACEMENT_3D('',#506523,#389983,#389984); #355969=AXIS2_PLACEMENT_3D('',#506526,#389986,#389987); #355970=AXIS2_PLACEMENT_3D('',#506527,#389988,#389989); #355971=AXIS2_PLACEMENT_3D('',#506529,#389990,#389991); #355972=AXIS2_PLACEMENT_3D('',#506532,#389993,#389994); #355973=AXIS2_PLACEMENT_3D('',#506533,#389995,#389996); #355974=AXIS2_PLACEMENT_3D('',#506534,#389997,#389998); #355975=AXIS2_PLACEMENT_3D('',#506535,#389999,#390000); #355976=AXIS2_PLACEMENT_3D('',#506537,#390001,#390002); #355977=AXIS2_PLACEMENT_3D('',#506540,#390004,#390005); #355978=AXIS2_PLACEMENT_3D('',#506541,#390006,#390007); #355979=AXIS2_PLACEMENT_3D('',#506543,#390008,#390009); #355980=AXIS2_PLACEMENT_3D('',#506546,#390011,#390012); #355981=AXIS2_PLACEMENT_3D('',#506547,#390013,#390014); #355982=AXIS2_PLACEMENT_3D('',#506548,#390015,#390016); #355983=AXIS2_PLACEMENT_3D('',#506549,#390017,#390018); #355984=AXIS2_PLACEMENT_3D('',#506551,#390019,#390020); #355985=AXIS2_PLACEMENT_3D('',#506554,#390022,#390023); #355986=AXIS2_PLACEMENT_3D('',#506555,#390024,#390025); #355987=AXIS2_PLACEMENT_3D('',#506557,#390026,#390027); #355988=AXIS2_PLACEMENT_3D('',#506560,#390029,#390030); #355989=AXIS2_PLACEMENT_3D('',#506561,#390031,#390032); #355990=AXIS2_PLACEMENT_3D('',#506562,#390033,#390034); #355991=AXIS2_PLACEMENT_3D('',#506563,#390035,#390036); #355992=AXIS2_PLACEMENT_3D('',#506565,#390037,#390038); #355993=AXIS2_PLACEMENT_3D('',#506568,#390040,#390041); #355994=AXIS2_PLACEMENT_3D('',#506569,#390042,#390043); #355995=AXIS2_PLACEMENT_3D('',#506571,#390044,#390045); #355996=AXIS2_PLACEMENT_3D('',#506574,#390047,#390048); #355997=AXIS2_PLACEMENT_3D('',#506575,#390049,#390050); #355998=AXIS2_PLACEMENT_3D('',#506576,#390051,#390052); #355999=AXIS2_PLACEMENT_3D('',#506577,#390053,#390054); #356000=AXIS2_PLACEMENT_3D('',#506579,#390055,#390056); #356001=AXIS2_PLACEMENT_3D('',#506582,#390058,#390059); #356002=AXIS2_PLACEMENT_3D('',#506583,#390060,#390061); #356003=AXIS2_PLACEMENT_3D('',#506585,#390062,#390063); #356004=AXIS2_PLACEMENT_3D('',#506588,#390065,#390066); #356005=AXIS2_PLACEMENT_3D('',#506589,#390067,#390068); #356006=AXIS2_PLACEMENT_3D('',#506590,#390069,#390070); #356007=AXIS2_PLACEMENT_3D('',#506591,#390071,#390072); #356008=AXIS2_PLACEMENT_3D('',#506593,#390073,#390074); #356009=AXIS2_PLACEMENT_3D('',#506596,#390076,#390077); #356010=AXIS2_PLACEMENT_3D('',#506597,#390078,#390079); #356011=AXIS2_PLACEMENT_3D('',#506599,#390080,#390081); #356012=AXIS2_PLACEMENT_3D('',#506602,#390083,#390084); #356013=AXIS2_PLACEMENT_3D('',#506603,#390085,#390086); #356014=AXIS2_PLACEMENT_3D('',#506604,#390087,#390088); #356015=AXIS2_PLACEMENT_3D('',#506605,#390089,#390090); #356016=AXIS2_PLACEMENT_3D('',#506607,#390091,#390092); #356017=AXIS2_PLACEMENT_3D('',#506610,#390094,#390095); #356018=AXIS2_PLACEMENT_3D('',#506611,#390096,#390097); #356019=AXIS2_PLACEMENT_3D('',#506613,#390098,#390099); #356020=AXIS2_PLACEMENT_3D('',#506616,#390101,#390102); #356021=AXIS2_PLACEMENT_3D('',#506617,#390103,#390104); #356022=AXIS2_PLACEMENT_3D('',#506618,#390105,#390106); #356023=AXIS2_PLACEMENT_3D('',#506619,#390107,#390108); #356024=AXIS2_PLACEMENT_3D('',#506621,#390109,#390110); #356025=AXIS2_PLACEMENT_3D('',#506624,#390112,#390113); #356026=AXIS2_PLACEMENT_3D('',#506625,#390114,#390115); #356027=AXIS2_PLACEMENT_3D('',#506627,#390116,#390117); #356028=AXIS2_PLACEMENT_3D('',#506630,#390119,#390120); #356029=AXIS2_PLACEMENT_3D('',#506631,#390121,#390122); #356030=AXIS2_PLACEMENT_3D('',#506632,#390123,#390124); #356031=AXIS2_PLACEMENT_3D('',#506633,#390125,#390126); #356032=AXIS2_PLACEMENT_3D('',#506635,#390127,#390128); #356033=AXIS2_PLACEMENT_3D('',#506638,#390130,#390131); #356034=AXIS2_PLACEMENT_3D('',#506639,#390132,#390133); #356035=AXIS2_PLACEMENT_3D('',#506641,#390134,#390135); #356036=AXIS2_PLACEMENT_3D('',#506644,#390137,#390138); #356037=AXIS2_PLACEMENT_3D('',#506645,#390139,#390140); #356038=AXIS2_PLACEMENT_3D('',#506646,#390141,#390142); #356039=AXIS2_PLACEMENT_3D('',#506647,#390143,#390144); #356040=AXIS2_PLACEMENT_3D('',#506649,#390145,#390146); #356041=AXIS2_PLACEMENT_3D('',#506652,#390148,#390149); #356042=AXIS2_PLACEMENT_3D('',#506653,#390150,#390151); #356043=AXIS2_PLACEMENT_3D('',#506655,#390152,#390153); #356044=AXIS2_PLACEMENT_3D('',#506658,#390155,#390156); #356045=AXIS2_PLACEMENT_3D('',#506659,#390157,#390158); #356046=AXIS2_PLACEMENT_3D('',#506660,#390159,#390160); #356047=AXIS2_PLACEMENT_3D('',#506661,#390161,#390162); #356048=AXIS2_PLACEMENT_3D('',#506663,#390163,#390164); #356049=AXIS2_PLACEMENT_3D('',#506666,#390166,#390167); #356050=AXIS2_PLACEMENT_3D('',#506667,#390168,#390169); #356051=AXIS2_PLACEMENT_3D('',#506669,#390170,#390171); #356052=AXIS2_PLACEMENT_3D('',#506672,#390173,#390174); #356053=AXIS2_PLACEMENT_3D('',#506673,#390175,#390176); #356054=AXIS2_PLACEMENT_3D('',#506674,#390177,#390178); #356055=AXIS2_PLACEMENT_3D('',#506675,#390179,#390180); #356056=AXIS2_PLACEMENT_3D('',#506677,#390181,#390182); #356057=AXIS2_PLACEMENT_3D('',#506680,#390184,#390185); #356058=AXIS2_PLACEMENT_3D('',#506681,#390186,#390187); #356059=AXIS2_PLACEMENT_3D('',#506683,#390188,#390189); #356060=AXIS2_PLACEMENT_3D('',#506686,#390191,#390192); #356061=AXIS2_PLACEMENT_3D('',#506687,#390193,#390194); #356062=AXIS2_PLACEMENT_3D('',#506688,#390195,#390196); #356063=AXIS2_PLACEMENT_3D('',#506689,#390197,#390198); #356064=AXIS2_PLACEMENT_3D('',#506691,#390199,#390200); #356065=AXIS2_PLACEMENT_3D('',#506694,#390202,#390203); #356066=AXIS2_PLACEMENT_3D('',#506695,#390204,#390205); #356067=AXIS2_PLACEMENT_3D('',#506697,#390206,#390207); #356068=AXIS2_PLACEMENT_3D('',#506700,#390209,#390210); #356069=AXIS2_PLACEMENT_3D('',#506701,#390211,#390212); #356070=AXIS2_PLACEMENT_3D('',#506702,#390213,#390214); #356071=AXIS2_PLACEMENT_3D('',#506703,#390215,#390216); #356072=AXIS2_PLACEMENT_3D('',#506705,#390217,#390218); #356073=AXIS2_PLACEMENT_3D('',#506708,#390220,#390221); #356074=AXIS2_PLACEMENT_3D('',#506709,#390222,#390223); #356075=AXIS2_PLACEMENT_3D('',#506711,#390224,#390225); #356076=AXIS2_PLACEMENT_3D('',#506714,#390227,#390228); #356077=AXIS2_PLACEMENT_3D('',#506715,#390229,#390230); #356078=AXIS2_PLACEMENT_3D('',#506716,#390231,#390232); #356079=AXIS2_PLACEMENT_3D('',#506717,#390233,#390234); #356080=AXIS2_PLACEMENT_3D('',#506719,#390235,#390236); #356081=AXIS2_PLACEMENT_3D('',#506722,#390238,#390239); #356082=AXIS2_PLACEMENT_3D('',#506723,#390240,#390241); #356083=AXIS2_PLACEMENT_3D('',#506725,#390242,#390243); #356084=AXIS2_PLACEMENT_3D('',#506728,#390245,#390246); #356085=AXIS2_PLACEMENT_3D('',#506729,#390247,#390248); #356086=AXIS2_PLACEMENT_3D('',#506730,#390249,#390250); #356087=AXIS2_PLACEMENT_3D('',#506731,#390251,#390252); #356088=AXIS2_PLACEMENT_3D('',#506733,#390253,#390254); #356089=AXIS2_PLACEMENT_3D('',#506736,#390256,#390257); #356090=AXIS2_PLACEMENT_3D('',#506737,#390258,#390259); #356091=AXIS2_PLACEMENT_3D('',#506739,#390260,#390261); #356092=AXIS2_PLACEMENT_3D('',#506742,#390263,#390264); #356093=AXIS2_PLACEMENT_3D('',#506743,#390265,#390266); #356094=AXIS2_PLACEMENT_3D('',#506744,#390267,#390268); #356095=AXIS2_PLACEMENT_3D('',#506745,#390269,#390270); #356096=AXIS2_PLACEMENT_3D('',#506747,#390271,#390272); #356097=AXIS2_PLACEMENT_3D('',#506750,#390274,#390275); #356098=AXIS2_PLACEMENT_3D('',#506751,#390276,#390277); #356099=AXIS2_PLACEMENT_3D('',#506753,#390278,#390279); #356100=AXIS2_PLACEMENT_3D('',#506756,#390281,#390282); #356101=AXIS2_PLACEMENT_3D('',#506757,#390283,#390284); #356102=AXIS2_PLACEMENT_3D('',#506758,#390285,#390286); #356103=AXIS2_PLACEMENT_3D('',#506759,#390287,#390288); #356104=AXIS2_PLACEMENT_3D('',#506761,#390289,#390290); #356105=AXIS2_PLACEMENT_3D('',#506764,#390292,#390293); #356106=AXIS2_PLACEMENT_3D('',#506765,#390294,#390295); #356107=AXIS2_PLACEMENT_3D('',#506767,#390296,#390297); #356108=AXIS2_PLACEMENT_3D('',#506770,#390299,#390300); #356109=AXIS2_PLACEMENT_3D('',#506771,#390301,#390302); #356110=AXIS2_PLACEMENT_3D('',#506772,#390303,#390304); #356111=AXIS2_PLACEMENT_3D('',#506773,#390305,#390306); #356112=AXIS2_PLACEMENT_3D('',#506775,#390307,#390308); #356113=AXIS2_PLACEMENT_3D('',#506778,#390310,#390311); #356114=AXIS2_PLACEMENT_3D('',#506779,#390312,#390313); #356115=AXIS2_PLACEMENT_3D('',#506781,#390314,#390315); #356116=AXIS2_PLACEMENT_3D('',#506784,#390317,#390318); #356117=AXIS2_PLACEMENT_3D('',#506785,#390319,#390320); #356118=AXIS2_PLACEMENT_3D('',#506786,#390321,#390322); #356119=AXIS2_PLACEMENT_3D('',#506787,#390323,#390324); #356120=AXIS2_PLACEMENT_3D('',#506789,#390325,#390326); #356121=AXIS2_PLACEMENT_3D('',#506792,#390328,#390329); #356122=AXIS2_PLACEMENT_3D('',#506793,#390330,#390331); #356123=AXIS2_PLACEMENT_3D('',#506795,#390332,#390333); #356124=AXIS2_PLACEMENT_3D('',#506798,#390335,#390336); #356125=AXIS2_PLACEMENT_3D('',#506799,#390337,#390338); #356126=AXIS2_PLACEMENT_3D('',#506800,#390339,#390340); #356127=AXIS2_PLACEMENT_3D('',#506801,#390341,#390342); #356128=AXIS2_PLACEMENT_3D('',#506803,#390343,#390344); #356129=AXIS2_PLACEMENT_3D('',#506806,#390346,#390347); #356130=AXIS2_PLACEMENT_3D('',#506807,#390348,#390349); #356131=AXIS2_PLACEMENT_3D('',#506809,#390350,#390351); #356132=AXIS2_PLACEMENT_3D('',#506812,#390353,#390354); #356133=AXIS2_PLACEMENT_3D('',#506813,#390355,#390356); #356134=AXIS2_PLACEMENT_3D('',#506814,#390357,#390358); #356135=AXIS2_PLACEMENT_3D('',#506815,#390359,#390360); #356136=AXIS2_PLACEMENT_3D('',#506817,#390361,#390362); #356137=AXIS2_PLACEMENT_3D('',#506820,#390364,#390365); #356138=AXIS2_PLACEMENT_3D('',#506821,#390366,#390367); #356139=AXIS2_PLACEMENT_3D('',#506823,#390368,#390369); #356140=AXIS2_PLACEMENT_3D('',#506826,#390371,#390372); #356141=AXIS2_PLACEMENT_3D('',#506827,#390373,#390374); #356142=AXIS2_PLACEMENT_3D('',#506828,#390375,#390376); #356143=AXIS2_PLACEMENT_3D('',#506829,#390377,#390378); #356144=AXIS2_PLACEMENT_3D('',#506831,#390379,#390380); #356145=AXIS2_PLACEMENT_3D('',#506834,#390382,#390383); #356146=AXIS2_PLACEMENT_3D('',#506835,#390384,#390385); #356147=AXIS2_PLACEMENT_3D('',#506837,#390386,#390387); #356148=AXIS2_PLACEMENT_3D('',#506840,#390389,#390390); #356149=AXIS2_PLACEMENT_3D('',#506841,#390391,#390392); #356150=AXIS2_PLACEMENT_3D('',#506842,#390393,#390394); #356151=AXIS2_PLACEMENT_3D('',#506843,#390395,#390396); #356152=AXIS2_PLACEMENT_3D('',#506845,#390397,#390398); #356153=AXIS2_PLACEMENT_3D('',#506848,#390400,#390401); #356154=AXIS2_PLACEMENT_3D('',#506849,#390402,#390403); #356155=AXIS2_PLACEMENT_3D('',#506851,#390404,#390405); #356156=AXIS2_PLACEMENT_3D('',#506854,#390407,#390408); #356157=AXIS2_PLACEMENT_3D('',#506855,#390409,#390410); #356158=AXIS2_PLACEMENT_3D('',#506856,#390411,#390412); #356159=AXIS2_PLACEMENT_3D('',#506857,#390413,#390414); #356160=AXIS2_PLACEMENT_3D('',#506859,#390415,#390416); #356161=AXIS2_PLACEMENT_3D('',#506862,#390418,#390419); #356162=AXIS2_PLACEMENT_3D('',#506863,#390420,#390421); #356163=AXIS2_PLACEMENT_3D('',#506865,#390422,#390423); #356164=AXIS2_PLACEMENT_3D('',#506868,#390425,#390426); #356165=AXIS2_PLACEMENT_3D('',#506869,#390427,#390428); #356166=AXIS2_PLACEMENT_3D('',#506870,#390429,#390430); #356167=AXIS2_PLACEMENT_3D('',#506871,#390431,#390432); #356168=AXIS2_PLACEMENT_3D('',#506873,#390433,#390434); #356169=AXIS2_PLACEMENT_3D('',#506876,#390436,#390437); #356170=AXIS2_PLACEMENT_3D('',#506877,#390438,#390439); #356171=AXIS2_PLACEMENT_3D('',#506879,#390440,#390441); #356172=AXIS2_PLACEMENT_3D('',#506882,#390443,#390444); #356173=AXIS2_PLACEMENT_3D('',#506883,#390445,#390446); #356174=AXIS2_PLACEMENT_3D('',#506884,#390447,#390448); #356175=AXIS2_PLACEMENT_3D('',#506885,#390449,#390450); #356176=AXIS2_PLACEMENT_3D('',#506887,#390451,#390452); #356177=AXIS2_PLACEMENT_3D('',#506890,#390454,#390455); #356178=AXIS2_PLACEMENT_3D('',#506891,#390456,#390457); #356179=AXIS2_PLACEMENT_3D('',#506893,#390458,#390459); #356180=AXIS2_PLACEMENT_3D('',#506896,#390461,#390462); #356181=AXIS2_PLACEMENT_3D('',#506897,#390463,#390464); #356182=AXIS2_PLACEMENT_3D('',#506898,#390465,#390466); #356183=AXIS2_PLACEMENT_3D('',#506899,#390467,#390468); #356184=AXIS2_PLACEMENT_3D('',#506901,#390469,#390470); #356185=AXIS2_PLACEMENT_3D('',#506904,#390472,#390473); #356186=AXIS2_PLACEMENT_3D('',#506905,#390474,#390475); #356187=AXIS2_PLACEMENT_3D('',#506907,#390476,#390477); #356188=AXIS2_PLACEMENT_3D('',#506910,#390479,#390480); #356189=AXIS2_PLACEMENT_3D('',#506911,#390481,#390482); #356190=AXIS2_PLACEMENT_3D('',#506912,#390483,#390484); #356191=AXIS2_PLACEMENT_3D('',#506913,#390485,#390486); #356192=AXIS2_PLACEMENT_3D('',#506915,#390487,#390488); #356193=AXIS2_PLACEMENT_3D('',#506918,#390490,#390491); #356194=AXIS2_PLACEMENT_3D('',#506919,#390492,#390493); #356195=AXIS2_PLACEMENT_3D('',#506921,#390494,#390495); #356196=AXIS2_PLACEMENT_3D('',#506924,#390497,#390498); #356197=AXIS2_PLACEMENT_3D('',#506925,#390499,#390500); #356198=AXIS2_PLACEMENT_3D('',#506926,#390501,#390502); #356199=AXIS2_PLACEMENT_3D('',#506927,#390503,#390504); #356200=AXIS2_PLACEMENT_3D('',#506929,#390505,#390506); #356201=AXIS2_PLACEMENT_3D('',#506932,#390508,#390509); #356202=AXIS2_PLACEMENT_3D('',#506933,#390510,#390511); #356203=AXIS2_PLACEMENT_3D('',#506935,#390512,#390513); #356204=AXIS2_PLACEMENT_3D('',#506938,#390515,#390516); #356205=AXIS2_PLACEMENT_3D('',#506939,#390517,#390518); #356206=AXIS2_PLACEMENT_3D('',#506940,#390519,#390520); #356207=AXIS2_PLACEMENT_3D('',#506941,#390521,#390522); #356208=AXIS2_PLACEMENT_3D('',#506943,#390523,#390524); #356209=AXIS2_PLACEMENT_3D('',#506946,#390526,#390527); #356210=AXIS2_PLACEMENT_3D('',#506947,#390528,#390529); #356211=AXIS2_PLACEMENT_3D('',#506949,#390530,#390531); #356212=AXIS2_PLACEMENT_3D('',#506952,#390533,#390534); #356213=AXIS2_PLACEMENT_3D('',#506953,#390535,#390536); #356214=AXIS2_PLACEMENT_3D('',#506954,#390537,#390538); #356215=AXIS2_PLACEMENT_3D('',#506955,#390539,#390540); #356216=AXIS2_PLACEMENT_3D('',#506957,#390541,#390542); #356217=AXIS2_PLACEMENT_3D('',#506960,#390544,#390545); #356218=AXIS2_PLACEMENT_3D('',#506961,#390546,#390547); #356219=AXIS2_PLACEMENT_3D('',#506963,#390548,#390549); #356220=AXIS2_PLACEMENT_3D('',#506966,#390551,#390552); #356221=AXIS2_PLACEMENT_3D('',#506967,#390553,#390554); #356222=AXIS2_PLACEMENT_3D('',#506968,#390555,#390556); #356223=AXIS2_PLACEMENT_3D('',#506969,#390557,#390558); #356224=AXIS2_PLACEMENT_3D('',#506971,#390559,#390560); #356225=AXIS2_PLACEMENT_3D('',#506974,#390562,#390563); #356226=AXIS2_PLACEMENT_3D('',#506975,#390564,#390565); #356227=AXIS2_PLACEMENT_3D('',#506977,#390566,#390567); #356228=AXIS2_PLACEMENT_3D('',#506980,#390569,#390570); #356229=AXIS2_PLACEMENT_3D('',#506981,#390571,#390572); #356230=AXIS2_PLACEMENT_3D('',#506982,#390573,#390574); #356231=AXIS2_PLACEMENT_3D('',#506983,#390575,#390576); #356232=AXIS2_PLACEMENT_3D('',#506985,#390577,#390578); #356233=AXIS2_PLACEMENT_3D('',#506988,#390580,#390581); #356234=AXIS2_PLACEMENT_3D('',#506989,#390582,#390583); #356235=AXIS2_PLACEMENT_3D('',#506991,#390584,#390585); #356236=AXIS2_PLACEMENT_3D('',#506994,#390587,#390588); #356237=AXIS2_PLACEMENT_3D('',#506995,#390589,#390590); #356238=AXIS2_PLACEMENT_3D('',#506996,#390591,#390592); #356239=AXIS2_PLACEMENT_3D('',#506997,#390593,#390594); #356240=AXIS2_PLACEMENT_3D('',#506999,#390595,#390596); #356241=AXIS2_PLACEMENT_3D('',#507002,#390598,#390599); #356242=AXIS2_PLACEMENT_3D('',#507003,#390600,#390601); #356243=AXIS2_PLACEMENT_3D('',#507005,#390602,#390603); #356244=AXIS2_PLACEMENT_3D('',#507008,#390605,#390606); #356245=AXIS2_PLACEMENT_3D('',#507009,#390607,#390608); #356246=AXIS2_PLACEMENT_3D('',#507010,#390609,#390610); #356247=AXIS2_PLACEMENT_3D('',#507011,#390611,#390612); #356248=AXIS2_PLACEMENT_3D('',#507013,#390613,#390614); #356249=AXIS2_PLACEMENT_3D('',#507016,#390616,#390617); #356250=AXIS2_PLACEMENT_3D('',#507017,#390618,#390619); #356251=AXIS2_PLACEMENT_3D('',#507019,#390620,#390621); #356252=AXIS2_PLACEMENT_3D('',#507022,#390623,#390624); #356253=AXIS2_PLACEMENT_3D('',#507023,#390625,#390626); #356254=AXIS2_PLACEMENT_3D('',#507024,#390627,#390628); #356255=AXIS2_PLACEMENT_3D('',#507025,#390629,#390630); #356256=AXIS2_PLACEMENT_3D('',#507027,#390631,#390632); #356257=AXIS2_PLACEMENT_3D('',#507030,#390634,#390635); #356258=AXIS2_PLACEMENT_3D('',#507031,#390636,#390637); #356259=AXIS2_PLACEMENT_3D('',#507033,#390638,#390639); #356260=AXIS2_PLACEMENT_3D('',#507036,#390641,#390642); #356261=AXIS2_PLACEMENT_3D('',#507037,#390643,#390644); #356262=AXIS2_PLACEMENT_3D('',#507038,#390645,#390646); #356263=AXIS2_PLACEMENT_3D('',#507039,#390647,#390648); #356264=AXIS2_PLACEMENT_3D('',#507041,#390649,#390650); #356265=AXIS2_PLACEMENT_3D('',#507044,#390652,#390653); #356266=AXIS2_PLACEMENT_3D('',#507045,#390654,#390655); #356267=AXIS2_PLACEMENT_3D('',#507047,#390656,#390657); #356268=AXIS2_PLACEMENT_3D('',#507050,#390659,#390660); #356269=AXIS2_PLACEMENT_3D('',#507051,#390661,#390662); #356270=AXIS2_PLACEMENT_3D('',#507052,#390663,#390664); #356271=AXIS2_PLACEMENT_3D('',#507053,#390665,#390666); #356272=AXIS2_PLACEMENT_3D('',#507055,#390667,#390668); #356273=AXIS2_PLACEMENT_3D('',#507058,#390670,#390671); #356274=AXIS2_PLACEMENT_3D('',#507059,#390672,#390673); #356275=AXIS2_PLACEMENT_3D('',#507061,#390674,#390675); #356276=AXIS2_PLACEMENT_3D('',#507064,#390677,#390678); #356277=AXIS2_PLACEMENT_3D('',#507065,#390679,#390680); #356278=AXIS2_PLACEMENT_3D('',#507066,#390681,#390682); #356279=AXIS2_PLACEMENT_3D('',#507067,#390683,#390684); #356280=AXIS2_PLACEMENT_3D('',#507069,#390685,#390686); #356281=AXIS2_PLACEMENT_3D('',#507072,#390688,#390689); #356282=AXIS2_PLACEMENT_3D('',#507073,#390690,#390691); #356283=AXIS2_PLACEMENT_3D('',#507075,#390692,#390693); #356284=AXIS2_PLACEMENT_3D('',#507078,#390695,#390696); #356285=AXIS2_PLACEMENT_3D('',#507079,#390697,#390698); #356286=AXIS2_PLACEMENT_3D('',#507080,#390699,#390700); #356287=AXIS2_PLACEMENT_3D('',#507081,#390701,#390702); #356288=AXIS2_PLACEMENT_3D('',#507083,#390703,#390704); #356289=AXIS2_PLACEMENT_3D('',#507086,#390706,#390707); #356290=AXIS2_PLACEMENT_3D('',#507087,#390708,#390709); #356291=AXIS2_PLACEMENT_3D('',#507089,#390710,#390711); #356292=AXIS2_PLACEMENT_3D('',#507092,#390713,#390714); #356293=AXIS2_PLACEMENT_3D('',#507093,#390715,#390716); #356294=AXIS2_PLACEMENT_3D('',#507094,#390717,#390718); #356295=AXIS2_PLACEMENT_3D('',#507095,#390719,#390720); #356296=AXIS2_PLACEMENT_3D('',#507097,#390721,#390722); #356297=AXIS2_PLACEMENT_3D('',#507100,#390724,#390725); #356298=AXIS2_PLACEMENT_3D('',#507101,#390726,#390727); #356299=AXIS2_PLACEMENT_3D('',#507103,#390728,#390729); #356300=AXIS2_PLACEMENT_3D('',#507106,#390731,#390732); #356301=AXIS2_PLACEMENT_3D('',#507107,#390733,#390734); #356302=AXIS2_PLACEMENT_3D('',#507108,#390735,#390736); #356303=AXIS2_PLACEMENT_3D('',#507109,#390737,#390738); #356304=AXIS2_PLACEMENT_3D('',#507111,#390739,#390740); #356305=AXIS2_PLACEMENT_3D('',#507114,#390742,#390743); #356306=AXIS2_PLACEMENT_3D('',#507115,#390744,#390745); #356307=AXIS2_PLACEMENT_3D('',#507117,#390746,#390747); #356308=AXIS2_PLACEMENT_3D('',#507120,#390749,#390750); #356309=AXIS2_PLACEMENT_3D('',#507121,#390751,#390752); #356310=AXIS2_PLACEMENT_3D('',#507122,#390753,#390754); #356311=AXIS2_PLACEMENT_3D('',#507123,#390755,#390756); #356312=AXIS2_PLACEMENT_3D('',#507125,#390757,#390758); #356313=AXIS2_PLACEMENT_3D('',#507128,#390760,#390761); #356314=AXIS2_PLACEMENT_3D('',#507129,#390762,#390763); #356315=AXIS2_PLACEMENT_3D('',#507131,#390764,#390765); #356316=AXIS2_PLACEMENT_3D('',#507134,#390767,#390768); #356317=AXIS2_PLACEMENT_3D('',#507135,#390769,#390770); #356318=AXIS2_PLACEMENT_3D('',#507136,#390771,#390772); #356319=AXIS2_PLACEMENT_3D('',#507137,#390773,#390774); #356320=AXIS2_PLACEMENT_3D('',#507139,#390775,#390776); #356321=AXIS2_PLACEMENT_3D('',#507142,#390778,#390779); #356322=AXIS2_PLACEMENT_3D('',#507143,#390780,#390781); #356323=AXIS2_PLACEMENT_3D('',#507145,#390782,#390783); #356324=AXIS2_PLACEMENT_3D('',#507148,#390785,#390786); #356325=AXIS2_PLACEMENT_3D('',#507149,#390787,#390788); #356326=AXIS2_PLACEMENT_3D('',#507150,#390789,#390790); #356327=AXIS2_PLACEMENT_3D('',#507151,#390791,#390792); #356328=AXIS2_PLACEMENT_3D('',#507153,#390793,#390794); #356329=AXIS2_PLACEMENT_3D('',#507156,#390796,#390797); #356330=AXIS2_PLACEMENT_3D('',#507157,#390798,#390799); #356331=AXIS2_PLACEMENT_3D('',#507159,#390800,#390801); #356332=AXIS2_PLACEMENT_3D('',#507162,#390803,#390804); #356333=AXIS2_PLACEMENT_3D('',#507163,#390805,#390806); #356334=AXIS2_PLACEMENT_3D('',#507164,#390807,#390808); #356335=AXIS2_PLACEMENT_3D('',#507165,#390809,#390810); #356336=AXIS2_PLACEMENT_3D('',#507167,#390811,#390812); #356337=AXIS2_PLACEMENT_3D('',#507170,#390814,#390815); #356338=AXIS2_PLACEMENT_3D('',#507171,#390816,#390817); #356339=AXIS2_PLACEMENT_3D('',#507173,#390818,#390819); #356340=AXIS2_PLACEMENT_3D('',#507176,#390821,#390822); #356341=AXIS2_PLACEMENT_3D('',#507177,#390823,#390824); #356342=AXIS2_PLACEMENT_3D('',#507178,#390825,#390826); #356343=AXIS2_PLACEMENT_3D('',#507179,#390827,#390828); #356344=AXIS2_PLACEMENT_3D('',#507181,#390829,#390830); #356345=AXIS2_PLACEMENT_3D('',#507184,#390832,#390833); #356346=AXIS2_PLACEMENT_3D('',#507185,#390834,#390835); #356347=AXIS2_PLACEMENT_3D('',#507187,#390836,#390837); #356348=AXIS2_PLACEMENT_3D('',#507190,#390839,#390840); #356349=AXIS2_PLACEMENT_3D('',#507191,#390841,#390842); #356350=AXIS2_PLACEMENT_3D('',#507192,#390843,#390844); #356351=AXIS2_PLACEMENT_3D('',#507193,#390845,#390846); #356352=AXIS2_PLACEMENT_3D('',#507195,#390847,#390848); #356353=AXIS2_PLACEMENT_3D('',#507198,#390850,#390851); #356354=AXIS2_PLACEMENT_3D('',#507199,#390852,#390853); #356355=AXIS2_PLACEMENT_3D('',#507201,#390854,#390855); #356356=AXIS2_PLACEMENT_3D('',#507204,#390857,#390858); #356357=AXIS2_PLACEMENT_3D('',#507205,#390859,#390860); #356358=AXIS2_PLACEMENT_3D('',#507206,#390861,#390862); #356359=AXIS2_PLACEMENT_3D('',#507207,#390863,#390864); #356360=AXIS2_PLACEMENT_3D('',#507209,#390865,#390866); #356361=AXIS2_PLACEMENT_3D('',#507212,#390868,#390869); #356362=AXIS2_PLACEMENT_3D('',#507213,#390870,#390871); #356363=AXIS2_PLACEMENT_3D('',#507215,#390872,#390873); #356364=AXIS2_PLACEMENT_3D('',#507218,#390875,#390876); #356365=AXIS2_PLACEMENT_3D('',#507219,#390877,#390878); #356366=AXIS2_PLACEMENT_3D('',#507220,#390879,#390880); #356367=AXIS2_PLACEMENT_3D('',#507221,#390881,#390882); #356368=AXIS2_PLACEMENT_3D('',#507223,#390883,#390884); #356369=AXIS2_PLACEMENT_3D('',#507226,#390886,#390887); #356370=AXIS2_PLACEMENT_3D('',#507227,#390888,#390889); #356371=AXIS2_PLACEMENT_3D('',#507229,#390890,#390891); #356372=AXIS2_PLACEMENT_3D('',#507232,#390893,#390894); #356373=AXIS2_PLACEMENT_3D('',#507233,#390895,#390896); #356374=AXIS2_PLACEMENT_3D('',#507234,#390897,#390898); #356375=AXIS2_PLACEMENT_3D('',#507235,#390899,#390900); #356376=AXIS2_PLACEMENT_3D('',#507237,#390901,#390902); #356377=AXIS2_PLACEMENT_3D('',#507240,#390904,#390905); #356378=AXIS2_PLACEMENT_3D('',#507241,#390906,#390907); #356379=AXIS2_PLACEMENT_3D('',#507243,#390908,#390909); #356380=AXIS2_PLACEMENT_3D('',#507246,#390911,#390912); #356381=AXIS2_PLACEMENT_3D('',#507247,#390913,#390914); #356382=AXIS2_PLACEMENT_3D('',#507248,#390915,#390916); #356383=AXIS2_PLACEMENT_3D('',#507249,#390917,#390918); #356384=AXIS2_PLACEMENT_3D('',#507251,#390919,#390920); #356385=AXIS2_PLACEMENT_3D('',#507254,#390922,#390923); #356386=AXIS2_PLACEMENT_3D('',#507255,#390924,#390925); #356387=AXIS2_PLACEMENT_3D('',#507257,#390926,#390927); #356388=AXIS2_PLACEMENT_3D('',#507260,#390929,#390930); #356389=AXIS2_PLACEMENT_3D('',#507261,#390931,#390932); #356390=AXIS2_PLACEMENT_3D('',#507262,#390933,#390934); #356391=AXIS2_PLACEMENT_3D('',#507263,#390935,#390936); #356392=AXIS2_PLACEMENT_3D('',#507265,#390937,#390938); #356393=AXIS2_PLACEMENT_3D('',#507268,#390940,#390941); #356394=AXIS2_PLACEMENT_3D('',#507269,#390942,#390943); #356395=AXIS2_PLACEMENT_3D('',#507271,#390944,#390945); #356396=AXIS2_PLACEMENT_3D('',#507274,#390947,#390948); #356397=AXIS2_PLACEMENT_3D('',#507275,#390949,#390950); #356398=AXIS2_PLACEMENT_3D('',#507276,#390951,#390952); #356399=AXIS2_PLACEMENT_3D('',#507277,#390953,#390954); #356400=AXIS2_PLACEMENT_3D('',#507279,#390955,#390956); #356401=AXIS2_PLACEMENT_3D('',#507282,#390958,#390959); #356402=AXIS2_PLACEMENT_3D('',#507283,#390960,#390961); #356403=AXIS2_PLACEMENT_3D('',#507285,#390962,#390963); #356404=AXIS2_PLACEMENT_3D('',#507288,#390965,#390966); #356405=AXIS2_PLACEMENT_3D('',#507289,#390967,#390968); #356406=AXIS2_PLACEMENT_3D('',#507290,#390969,#390970); #356407=AXIS2_PLACEMENT_3D('',#507291,#390971,#390972); #356408=AXIS2_PLACEMENT_3D('',#507293,#390973,#390974); #356409=AXIS2_PLACEMENT_3D('',#507296,#390976,#390977); #356410=AXIS2_PLACEMENT_3D('',#507297,#390978,#390979); #356411=AXIS2_PLACEMENT_3D('',#507299,#390980,#390981); #356412=AXIS2_PLACEMENT_3D('',#507302,#390983,#390984); #356413=AXIS2_PLACEMENT_3D('',#507303,#390985,#390986); #356414=AXIS2_PLACEMENT_3D('',#507304,#390987,#390988); #356415=AXIS2_PLACEMENT_3D('',#507305,#390989,#390990); #356416=AXIS2_PLACEMENT_3D('',#507307,#390991,#390992); #356417=AXIS2_PLACEMENT_3D('',#507310,#390994,#390995); #356418=AXIS2_PLACEMENT_3D('',#507311,#390996,#390997); #356419=AXIS2_PLACEMENT_3D('',#507313,#390998,#390999); #356420=AXIS2_PLACEMENT_3D('',#507316,#391001,#391002); #356421=AXIS2_PLACEMENT_3D('',#507317,#391003,#391004); #356422=AXIS2_PLACEMENT_3D('',#507318,#391005,#391006); #356423=AXIS2_PLACEMENT_3D('',#507319,#391007,#391008); #356424=AXIS2_PLACEMENT_3D('',#507321,#391009,#391010); #356425=AXIS2_PLACEMENT_3D('',#507324,#391012,#391013); #356426=AXIS2_PLACEMENT_3D('',#507325,#391014,#391015); #356427=AXIS2_PLACEMENT_3D('',#507327,#391016,#391017); #356428=AXIS2_PLACEMENT_3D('',#507330,#391019,#391020); #356429=AXIS2_PLACEMENT_3D('',#507331,#391021,#391022); #356430=AXIS2_PLACEMENT_3D('',#507332,#391023,#391024); #356431=AXIS2_PLACEMENT_3D('',#507333,#391025,#391026); #356432=AXIS2_PLACEMENT_3D('',#507335,#391027,#391028); #356433=AXIS2_PLACEMENT_3D('',#507338,#391030,#391031); #356434=AXIS2_PLACEMENT_3D('',#507339,#391032,#391033); #356435=AXIS2_PLACEMENT_3D('',#507341,#391034,#391035); #356436=AXIS2_PLACEMENT_3D('',#507344,#391037,#391038); #356437=AXIS2_PLACEMENT_3D('',#507345,#391039,#391040); #356438=AXIS2_PLACEMENT_3D('',#507346,#391041,#391042); #356439=AXIS2_PLACEMENT_3D('',#507347,#391043,#391044); #356440=AXIS2_PLACEMENT_3D('',#507349,#391045,#391046); #356441=AXIS2_PLACEMENT_3D('',#507352,#391048,#391049); #356442=AXIS2_PLACEMENT_3D('',#507353,#391050,#391051); #356443=AXIS2_PLACEMENT_3D('',#507355,#391052,#391053); #356444=AXIS2_PLACEMENT_3D('',#507358,#391055,#391056); #356445=AXIS2_PLACEMENT_3D('',#507359,#391057,#391058); #356446=AXIS2_PLACEMENT_3D('',#507360,#391059,#391060); #356447=AXIS2_PLACEMENT_3D('',#507361,#391061,#391062); #356448=AXIS2_PLACEMENT_3D('',#507363,#391063,#391064); #356449=AXIS2_PLACEMENT_3D('',#507366,#391066,#391067); #356450=AXIS2_PLACEMENT_3D('',#507367,#391068,#391069); #356451=AXIS2_PLACEMENT_3D('',#507369,#391070,#391071); #356452=AXIS2_PLACEMENT_3D('',#507372,#391073,#391074); #356453=AXIS2_PLACEMENT_3D('',#507373,#391075,#391076); #356454=AXIS2_PLACEMENT_3D('',#507374,#391077,#391078); #356455=AXIS2_PLACEMENT_3D('',#507375,#391079,#391080); #356456=AXIS2_PLACEMENT_3D('',#507377,#391081,#391082); #356457=AXIS2_PLACEMENT_3D('',#507380,#391084,#391085); #356458=AXIS2_PLACEMENT_3D('',#507381,#391086,#391087); #356459=AXIS2_PLACEMENT_3D('',#507383,#391088,#391089); #356460=AXIS2_PLACEMENT_3D('',#507386,#391091,#391092); #356461=AXIS2_PLACEMENT_3D('',#507387,#391093,#391094); #356462=AXIS2_PLACEMENT_3D('',#507388,#391095,#391096); #356463=AXIS2_PLACEMENT_3D('',#507389,#391097,#391098); #356464=AXIS2_PLACEMENT_3D('',#507391,#391099,#391100); #356465=AXIS2_PLACEMENT_3D('',#507394,#391102,#391103); #356466=AXIS2_PLACEMENT_3D('',#507395,#391104,#391105); #356467=AXIS2_PLACEMENT_3D('',#507397,#391106,#391107); #356468=AXIS2_PLACEMENT_3D('',#507400,#391109,#391110); #356469=AXIS2_PLACEMENT_3D('',#507401,#391111,#391112); #356470=AXIS2_PLACEMENT_3D('',#507402,#391113,#391114); #356471=AXIS2_PLACEMENT_3D('',#507403,#391115,#391116); #356472=AXIS2_PLACEMENT_3D('',#507405,#391117,#391118); #356473=AXIS2_PLACEMENT_3D('',#507408,#391120,#391121); #356474=AXIS2_PLACEMENT_3D('',#507409,#391122,#391123); #356475=AXIS2_PLACEMENT_3D('',#507411,#391124,#391125); #356476=AXIS2_PLACEMENT_3D('',#507414,#391127,#391128); #356477=AXIS2_PLACEMENT_3D('',#507415,#391129,#391130); #356478=AXIS2_PLACEMENT_3D('',#507416,#391131,#391132); #356479=AXIS2_PLACEMENT_3D('',#507417,#391133,#391134); #356480=AXIS2_PLACEMENT_3D('',#507419,#391135,#391136); #356481=AXIS2_PLACEMENT_3D('',#507422,#391138,#391139); #356482=AXIS2_PLACEMENT_3D('',#507423,#391140,#391141); #356483=AXIS2_PLACEMENT_3D('',#507425,#391142,#391143); #356484=AXIS2_PLACEMENT_3D('',#507428,#391145,#391146); #356485=AXIS2_PLACEMENT_3D('',#507429,#391147,#391148); #356486=AXIS2_PLACEMENT_3D('',#507430,#391149,#391150); #356487=AXIS2_PLACEMENT_3D('',#507431,#391151,#391152); #356488=AXIS2_PLACEMENT_3D('',#507433,#391153,#391154); #356489=AXIS2_PLACEMENT_3D('',#507436,#391156,#391157); #356490=AXIS2_PLACEMENT_3D('',#507437,#391158,#391159); #356491=AXIS2_PLACEMENT_3D('',#507439,#391160,#391161); #356492=AXIS2_PLACEMENT_3D('',#507442,#391163,#391164); #356493=AXIS2_PLACEMENT_3D('',#507443,#391165,#391166); #356494=AXIS2_PLACEMENT_3D('',#507444,#391167,#391168); #356495=AXIS2_PLACEMENT_3D('',#507445,#391169,#391170); #356496=AXIS2_PLACEMENT_3D('',#507447,#391171,#391172); #356497=AXIS2_PLACEMENT_3D('',#507450,#391174,#391175); #356498=AXIS2_PLACEMENT_3D('',#507451,#391176,#391177); #356499=AXIS2_PLACEMENT_3D('',#507453,#391178,#391179); #356500=AXIS2_PLACEMENT_3D('',#507456,#391181,#391182); #356501=AXIS2_PLACEMENT_3D('',#507457,#391183,#391184); #356502=AXIS2_PLACEMENT_3D('',#507458,#391185,#391186); #356503=AXIS2_PLACEMENT_3D('',#507459,#391187,#391188); #356504=AXIS2_PLACEMENT_3D('',#507461,#391189,#391190); #356505=AXIS2_PLACEMENT_3D('',#507464,#391192,#391193); #356506=AXIS2_PLACEMENT_3D('',#507465,#391194,#391195); #356507=AXIS2_PLACEMENT_3D('',#507467,#391196,#391197); #356508=AXIS2_PLACEMENT_3D('',#507470,#391199,#391200); #356509=AXIS2_PLACEMENT_3D('',#507471,#391201,#391202); #356510=AXIS2_PLACEMENT_3D('',#507472,#391203,#391204); #356511=AXIS2_PLACEMENT_3D('',#507473,#391205,#391206); #356512=AXIS2_PLACEMENT_3D('',#507475,#391207,#391208); #356513=AXIS2_PLACEMENT_3D('',#507478,#391210,#391211); #356514=AXIS2_PLACEMENT_3D('',#507479,#391212,#391213); #356515=AXIS2_PLACEMENT_3D('',#507481,#391214,#391215); #356516=AXIS2_PLACEMENT_3D('',#507484,#391217,#391218); #356517=AXIS2_PLACEMENT_3D('',#507485,#391219,#391220); #356518=AXIS2_PLACEMENT_3D('',#507486,#391221,#391222); #356519=AXIS2_PLACEMENT_3D('',#507487,#391223,#391224); #356520=AXIS2_PLACEMENT_3D('',#507489,#391225,#391226); #356521=AXIS2_PLACEMENT_3D('',#507492,#391228,#391229); #356522=AXIS2_PLACEMENT_3D('',#507493,#391230,#391231); #356523=AXIS2_PLACEMENT_3D('',#507495,#391232,#391233); #356524=AXIS2_PLACEMENT_3D('',#507498,#391235,#391236); #356525=AXIS2_PLACEMENT_3D('',#507499,#391237,#391238); #356526=AXIS2_PLACEMENT_3D('',#507500,#391239,#391240); #356527=AXIS2_PLACEMENT_3D('',#507501,#391241,#391242); #356528=AXIS2_PLACEMENT_3D('',#507503,#391243,#391244); #356529=AXIS2_PLACEMENT_3D('',#507506,#391246,#391247); #356530=AXIS2_PLACEMENT_3D('',#507507,#391248,#391249); #356531=AXIS2_PLACEMENT_3D('',#507509,#391250,#391251); #356532=AXIS2_PLACEMENT_3D('',#507512,#391253,#391254); #356533=AXIS2_PLACEMENT_3D('',#507513,#391255,#391256); #356534=AXIS2_PLACEMENT_3D('',#507514,#391257,#391258); #356535=AXIS2_PLACEMENT_3D('',#507515,#391259,#391260); #356536=AXIS2_PLACEMENT_3D('',#507517,#391261,#391262); #356537=AXIS2_PLACEMENT_3D('',#507520,#391264,#391265); #356538=AXIS2_PLACEMENT_3D('',#507521,#391266,#391267); #356539=AXIS2_PLACEMENT_3D('',#507523,#391268,#391269); #356540=AXIS2_PLACEMENT_3D('',#507526,#391271,#391272); #356541=AXIS2_PLACEMENT_3D('',#507527,#391273,#391274); #356542=AXIS2_PLACEMENT_3D('',#507528,#391275,#391276); #356543=AXIS2_PLACEMENT_3D('',#507529,#391277,#391278); #356544=AXIS2_PLACEMENT_3D('',#507531,#391279,#391280); #356545=AXIS2_PLACEMENT_3D('',#507534,#391282,#391283); #356546=AXIS2_PLACEMENT_3D('',#507535,#391284,#391285); #356547=AXIS2_PLACEMENT_3D('',#507537,#391286,#391287); #356548=AXIS2_PLACEMENT_3D('',#507540,#391289,#391290); #356549=AXIS2_PLACEMENT_3D('',#507541,#391291,#391292); #356550=AXIS2_PLACEMENT_3D('',#507542,#391293,#391294); #356551=AXIS2_PLACEMENT_3D('',#507543,#391295,#391296); #356552=AXIS2_PLACEMENT_3D('',#507544,#391297,#391298); #356553=AXIS2_PLACEMENT_3D('',#507546,#391299,#391300); #356554=AXIS2_PLACEMENT_3D('',#507549,#391302,#391303); #356555=AXIS2_PLACEMENT_3D('',#507550,#391304,#391305); #356556=AXIS2_PLACEMENT_3D('',#507559,#391310,#391311); #356557=AXIS2_PLACEMENT_3D('',#507561,#391312,#391313); #356558=AXIS2_PLACEMENT_3D('',#507563,#391314,#391315); #356559=AXIS2_PLACEMENT_3D('',#507565,#391317,#391318); #356560=AXIS2_PLACEMENT_3D('',#507566,#391319,#391320); #356561=AXIS2_PLACEMENT_3D('',#507567,#391321,#391322); #356562=AXIS2_PLACEMENT_3D('',#507568,#391323,#391324); #356563=AXIS2_PLACEMENT_3D('',#507569,#391325,#391326); #356564=AXIS2_PLACEMENT_3D('',#507570,#391327,#391328); #356565=AXIS2_PLACEMENT_3D('',#507579,#391333,#391334); #356566=AXIS2_PLACEMENT_3D('',#507585,#391338,#391339); #356567=AXIS2_PLACEMENT_3D('',#507591,#391343,#391344); #356568=AXIS2_PLACEMENT_3D('',#507594,#391347,#391348); #356569=AXIS2_PLACEMENT_3D('',#507595,#391349,#391350); #356570=AXIS2_PLACEMENT_3D('',#507596,#391351,#391352); #356571=AXIS2_PLACEMENT_3D('',#507605,#391357,#391358); #356572=AXIS2_PLACEMENT_3D('',#507611,#391362,#391363); #356573=AXIS2_PLACEMENT_3D('',#507617,#391367,#391368); #356574=AXIS2_PLACEMENT_3D('',#507620,#391371,#391372); #356575=AXIS2_PLACEMENT_3D('',#507621,#391373,#391374); #356576=AXIS2_PLACEMENT_3D('',#507622,#391375,#391376); #356577=AXIS2_PLACEMENT_3D('',#507631,#391381,#391382); #356578=AXIS2_PLACEMENT_3D('',#507637,#391386,#391387); #356579=AXIS2_PLACEMENT_3D('',#507643,#391391,#391392); #356580=AXIS2_PLACEMENT_3D('',#507646,#391395,#391396); #356581=AXIS2_PLACEMENT_3D('',#507647,#391397,#391398); #356582=AXIS2_PLACEMENT_3D('',#507648,#391399,#391400); #356583=AXIS2_PLACEMENT_3D('',#507657,#391405,#391406); #356584=AXIS2_PLACEMENT_3D('',#507663,#391410,#391411); #356585=AXIS2_PLACEMENT_3D('',#507669,#391415,#391416); #356586=AXIS2_PLACEMENT_3D('',#507672,#391419,#391420); #356587=AXIS2_PLACEMENT_3D('',#507673,#391421,#391422); #356588=AXIS2_PLACEMENT_3D('',#507674,#391423,#391424); #356589=AXIS2_PLACEMENT_3D('',#507683,#391429,#391430); #356590=AXIS2_PLACEMENT_3D('',#507689,#391434,#391435); #356591=AXIS2_PLACEMENT_3D('',#507695,#391439,#391440); #356592=AXIS2_PLACEMENT_3D('',#507698,#391443,#391444); #356593=AXIS2_PLACEMENT_3D('',#507699,#391445,#391446); #356594=AXIS2_PLACEMENT_3D('',#507700,#391447,#391448); #356595=AXIS2_PLACEMENT_3D('',#507709,#391453,#391454); #356596=AXIS2_PLACEMENT_3D('',#507715,#391458,#391459); #356597=AXIS2_PLACEMENT_3D('',#507721,#391463,#391464); #356598=AXIS2_PLACEMENT_3D('',#507724,#391467,#391468); #356599=AXIS2_PLACEMENT_3D('',#507725,#391469,#391470); #356600=AXIS2_PLACEMENT_3D('',#507726,#391471,#391472); #356601=AXIS2_PLACEMENT_3D('',#507735,#391477,#391478); #356602=AXIS2_PLACEMENT_3D('',#507741,#391482,#391483); #356603=AXIS2_PLACEMENT_3D('',#507747,#391487,#391488); #356604=AXIS2_PLACEMENT_3D('',#507750,#391491,#391492); #356605=AXIS2_PLACEMENT_3D('',#507751,#391493,#391494); #356606=AXIS2_PLACEMENT_3D('',#507752,#391495,#391496); #356607=AXIS2_PLACEMENT_3D('',#507761,#391501,#391502); #356608=AXIS2_PLACEMENT_3D('',#507767,#391506,#391507); #356609=AXIS2_PLACEMENT_3D('',#507773,#391511,#391512); #356610=AXIS2_PLACEMENT_3D('',#507776,#391515,#391516); #356611=AXIS2_PLACEMENT_3D('',#507777,#391517,#391518); #356612=AXIS2_PLACEMENT_3D('',#507778,#391519,#391520); #356613=AXIS2_PLACEMENT_3D('',#507787,#391525,#391526); #356614=AXIS2_PLACEMENT_3D('',#507793,#391530,#391531); #356615=AXIS2_PLACEMENT_3D('',#507799,#391535,#391536); #356616=AXIS2_PLACEMENT_3D('',#507802,#391539,#391540); #356617=AXIS2_PLACEMENT_3D('',#507803,#391541,#391542); #356618=AXIS2_PLACEMENT_3D('',#507804,#391543,#391544); #356619=AXIS2_PLACEMENT_3D('',#507813,#391549,#391550); #356620=AXIS2_PLACEMENT_3D('',#507819,#391554,#391555); #356621=AXIS2_PLACEMENT_3D('',#507825,#391559,#391560); #356622=AXIS2_PLACEMENT_3D('',#507828,#391563,#391564); #356623=AXIS2_PLACEMENT_3D('',#507829,#391565,#391566); #356624=AXIS2_PLACEMENT_3D('',#507830,#391567,#391568); #356625=AXIS2_PLACEMENT_3D('',#507832,#391569,#391570); #356626=AXIS2_PLACEMENT_3D('',#507835,#391572,#391573); #356627=AXIS2_PLACEMENT_3D('',#507836,#391574,#391575); #356628=AXIS2_PLACEMENT_3D('',#507845,#391580,#391581); #356629=AXIS2_PLACEMENT_3D('',#507847,#391582,#391583); #356630=AXIS2_PLACEMENT_3D('',#507849,#391584,#391585); #356631=AXIS2_PLACEMENT_3D('',#507851,#391587,#391588); #356632=AXIS2_PLACEMENT_3D('',#507852,#391589,#391590); #356633=AXIS2_PLACEMENT_3D('',#507853,#391591,#391592); #356634=AXIS2_PLACEMENT_3D('',#507854,#391593,#391594); #356635=AXIS2_PLACEMENT_3D('',#507855,#391595,#391596); #356636=AXIS2_PLACEMENT_3D('',#507856,#391597,#391598); #356637=AXIS2_PLACEMENT_3D('',#507858,#391599,#391600); #356638=AXIS2_PLACEMENT_3D('',#507861,#391602,#391603); #356639=AXIS2_PLACEMENT_3D('',#507862,#391604,#391605); #356640=AXIS2_PLACEMENT_3D('',#507864,#391606,#391607); #356641=AXIS2_PLACEMENT_3D('',#507867,#391609,#391610); #356642=AXIS2_PLACEMENT_3D('',#507868,#391611,#391612); #356643=AXIS2_PLACEMENT_3D('',#507877,#391617,#391618); #356644=AXIS2_PLACEMENT_3D('',#507879,#391619,#391620); #356645=AXIS2_PLACEMENT_3D('',#507881,#391621,#391622); #356646=AXIS2_PLACEMENT_3D('',#507883,#391624,#391625); #356647=AXIS2_PLACEMENT_3D('',#507885,#391626,#391627); #356648=AXIS2_PLACEMENT_3D('',#507887,#391628,#391629); #356649=AXIS2_PLACEMENT_3D('',#507889,#391631,#391632); #356650=AXIS2_PLACEMENT_3D('',#507895,#391636,#391637); #356651=AXIS2_PLACEMENT_3D('',#507897,#391638,#391639); #356652=AXIS2_PLACEMENT_3D('',#507899,#391640,#391641); #356653=AXIS2_PLACEMENT_3D('',#507901,#391643,#391644); #356654=AXIS2_PLACEMENT_3D('',#507903,#391645,#391646); #356655=AXIS2_PLACEMENT_3D('',#507905,#391647,#391648); #356656=AXIS2_PLACEMENT_3D('',#507907,#391650,#391651); #356657=AXIS2_PLACEMENT_3D('',#507913,#391655,#391656); #356658=AXIS2_PLACEMENT_3D('',#507914,#391657,#391658); #356659=AXIS2_PLACEMENT_3D('',#507915,#391659,#391660); #356660=AXIS2_PLACEMENT_3D('',#507916,#391661,#391662); #356661=AXIS2_PLACEMENT_3D('',#507917,#391663,#391664); #356662=AXIS2_PLACEMENT_3D('',#507918,#391665,#391666); #356663=AXIS2_PLACEMENT_3D('',#507920,#391667,#391668); #356664=AXIS2_PLACEMENT_3D('',#507923,#391670,#391671); #356665=AXIS2_PLACEMENT_3D('',#507924,#391672,#391673); #356666=AXIS2_PLACEMENT_3D('',#507933,#391678,#391679); #356667=AXIS2_PLACEMENT_3D('',#507935,#391680,#391681); #356668=AXIS2_PLACEMENT_3D('',#507937,#391682,#391683); #356669=AXIS2_PLACEMENT_3D('',#507939,#391685,#391686); #356670=AXIS2_PLACEMENT_3D('',#507940,#391687,#391688); #356671=AXIS2_PLACEMENT_3D('',#507941,#391689,#391690); #356672=AXIS2_PLACEMENT_3D('',#507942,#391691,#391692); #356673=AXIS2_PLACEMENT_3D('',#507943,#391693,#391694); #356674=AXIS2_PLACEMENT_3D('',#507944,#391695,#391696); #356675=AXIS2_PLACEMENT_3D('',#507946,#391697,#391698); #356676=AXIS2_PLACEMENT_3D('',#507949,#391700,#391701); #356677=AXIS2_PLACEMENT_3D('',#507950,#391702,#391703); #356678=AXIS2_PLACEMENT_3D('',#507959,#391708,#391709); #356679=AXIS2_PLACEMENT_3D('',#507961,#391710,#391711); #356680=AXIS2_PLACEMENT_3D('',#507963,#391712,#391713); #356681=AXIS2_PLACEMENT_3D('',#507965,#391715,#391716); #356682=AXIS2_PLACEMENT_3D('',#507966,#391717,#391718); #356683=AXIS2_PLACEMENT_3D('',#507967,#391719,#391720); #356684=AXIS2_PLACEMENT_3D('',#507968,#391721,#391722); #356685=AXIS2_PLACEMENT_3D('',#507969,#391723,#391724); #356686=AXIS2_PLACEMENT_3D('',#507970,#391725,#391726); #356687=AXIS2_PLACEMENT_3D('',#507972,#391727,#391728); #356688=AXIS2_PLACEMENT_3D('',#507975,#391730,#391731); #356689=AXIS2_PLACEMENT_3D('',#507976,#391732,#391733); #356690=AXIS2_PLACEMENT_3D('',#507985,#391738,#391739); #356691=AXIS2_PLACEMENT_3D('',#507987,#391740,#391741); #356692=AXIS2_PLACEMENT_3D('',#507989,#391742,#391743); #356693=AXIS2_PLACEMENT_3D('',#507991,#391745,#391746); #356694=AXIS2_PLACEMENT_3D('',#507997,#391750,#391751); #356695=AXIS2_PLACEMENT_3D('',#507999,#391752,#391753); #356696=AXIS2_PLACEMENT_3D('',#508001,#391754,#391755); #356697=AXIS2_PLACEMENT_3D('',#508003,#391757,#391758); #356698=AXIS2_PLACEMENT_3D('',#508009,#391762,#391763); #356699=AXIS2_PLACEMENT_3D('',#508015,#391767,#391768); #356700=AXIS2_PLACEMENT_3D('',#508021,#391772,#391773); #356701=AXIS2_PLACEMENT_3D('',#508027,#391777,#391778); #356702=AXIS2_PLACEMENT_3D('',#508033,#391782,#391783); #356703=AXIS2_PLACEMENT_3D('',#508039,#391787,#391788); #356704=AXIS2_PLACEMENT_3D('',#508045,#391792,#391793); #356705=AXIS2_PLACEMENT_3D('',#508047,#391794,#391795); #356706=AXIS2_PLACEMENT_3D('',#508049,#391796,#391797); #356707=AXIS2_PLACEMENT_3D('',#508051,#391799,#391800); #356708=AXIS2_PLACEMENT_3D('',#508052,#391801,#391802); #356709=AXIS2_PLACEMENT_3D('',#508053,#391803,#391804); #356710=AXIS2_PLACEMENT_3D('',#508054,#391805,#391806); #356711=AXIS2_PLACEMENT_3D('',#508055,#391807,#391808); #356712=AXIS2_PLACEMENT_3D('',#508056,#391809,#391810); #356713=AXIS2_PLACEMENT_3D('',#508058,#391811,#391812); #356714=AXIS2_PLACEMENT_3D('',#508061,#391814,#391815); #356715=AXIS2_PLACEMENT_3D('',#508062,#391816,#391817); #356716=AXIS2_PLACEMENT_3D('',#508071,#391822,#391823); #356717=AXIS2_PLACEMENT_3D('',#508073,#391824,#391825); #356718=AXIS2_PLACEMENT_3D('',#508075,#391826,#391827); #356719=AXIS2_PLACEMENT_3D('',#508077,#391829,#391830); #356720=AXIS2_PLACEMENT_3D('',#508083,#391834,#391835); #356721=AXIS2_PLACEMENT_3D('',#508089,#391839,#391840); #356722=AXIS2_PLACEMENT_3D('',#508095,#391844,#391845); #356723=AXIS2_PLACEMENT_3D('',#508101,#391849,#391850); #356724=AXIS2_PLACEMENT_3D('',#508107,#391854,#391855); #356725=AXIS2_PLACEMENT_3D('',#508113,#391859,#391860); #356726=AXIS2_PLACEMENT_3D('',#508119,#391864,#391865); #356727=AXIS2_PLACEMENT_3D('',#508120,#391866,#391867); #356728=AXIS2_PLACEMENT_3D('',#508121,#391868,#391869); #356729=AXIS2_PLACEMENT_3D('',#508122,#391870,#391871); #356730=AXIS2_PLACEMENT_3D('',#508123,#391872,#391873); #356731=AXIS2_PLACEMENT_3D('',#508124,#391874,#391875); #356732=AXIS2_PLACEMENT_3D('',#508133,#391880,#391881); #356733=AXIS2_PLACEMENT_3D('',#508139,#391885,#391886); #356734=AXIS2_PLACEMENT_3D('',#508145,#391890,#391891); #356735=AXIS2_PLACEMENT_3D('',#508151,#391895,#391896); #356736=AXIS2_PLACEMENT_3D('',#508157,#391900,#391901); #356737=AXIS2_PLACEMENT_3D('',#508163,#391905,#391906); #356738=AXIS2_PLACEMENT_3D('',#508169,#391910,#391911); #356739=AXIS2_PLACEMENT_3D('',#508175,#391915,#391916); #356740=AXIS2_PLACEMENT_3D('',#508177,#391917,#391918); #356741=AXIS2_PLACEMENT_3D('',#508179,#391919,#391920); #356742=AXIS2_PLACEMENT_3D('',#508181,#391922,#391923); #356743=AXIS2_PLACEMENT_3D('',#508187,#391927,#391928); #356744=AXIS2_PLACEMENT_3D('',#508189,#391929,#391930); #356745=AXIS2_PLACEMENT_3D('',#508191,#391931,#391932); #356746=AXIS2_PLACEMENT_3D('',#508193,#391934,#391935); #356747=AXIS2_PLACEMENT_3D('',#508199,#391939,#391940); #356748=AXIS2_PLACEMENT_3D('',#508205,#391944,#391945); #356749=AXIS2_PLACEMENT_3D('',#508211,#391949,#391950); #356750=AXIS2_PLACEMENT_3D('',#508217,#391954,#391955); #356751=AXIS2_PLACEMENT_3D('',#508223,#391959,#391960); #356752=AXIS2_PLACEMENT_3D('',#508229,#391964,#391965); #356753=AXIS2_PLACEMENT_3D('',#508235,#391969,#391970); #356754=AXIS2_PLACEMENT_3D('',#508237,#391971,#391972); #356755=AXIS2_PLACEMENT_3D('',#508239,#391973,#391974); #356756=AXIS2_PLACEMENT_3D('',#508241,#391976,#391977); #356757=AXIS2_PLACEMENT_3D('',#508244,#391980,#391981); #356758=AXIS2_PLACEMENT_3D('',#508245,#391982,#391983); #356759=AXIS2_PLACEMENT_3D('',#508246,#391984,#391985); #356760=AXIS2_PLACEMENT_3D('',#508255,#391990,#391991); #356761=AXIS2_PLACEMENT_3D('',#508261,#391995,#391996); #356762=AXIS2_PLACEMENT_3D('',#508267,#392000,#392001); #356763=AXIS2_PLACEMENT_3D('',#508273,#392005,#392006); #356764=AXIS2_PLACEMENT_3D('',#508279,#392010,#392011); #356765=AXIS2_PLACEMENT_3D('',#508281,#392012,#392013); #356766=AXIS2_PLACEMENT_3D('',#508283,#392014,#392015); #356767=AXIS2_PLACEMENT_3D('',#508285,#392017,#392018); #356768=AXIS2_PLACEMENT_3D('',#508291,#392022,#392023); #356769=AXIS2_PLACEMENT_3D('',#508297,#392027,#392028); #356770=AXIS2_PLACEMENT_3D('',#508303,#392032,#392033); #356771=AXIS2_PLACEMENT_3D('',#508309,#392037,#392038); #356772=AXIS2_PLACEMENT_3D('',#508315,#392042,#392043); #356773=AXIS2_PLACEMENT_3D('',#508321,#392047,#392048); #356774=AXIS2_PLACEMENT_3D('',#508327,#392052,#392053); #356775=AXIS2_PLACEMENT_3D('',#508329,#392054,#392055); #356776=AXIS2_PLACEMENT_3D('',#508331,#392056,#392057); #356777=AXIS2_PLACEMENT_3D('',#508333,#392059,#392060); #356778=AXIS2_PLACEMENT_3D('',#508339,#392064,#392065); #356779=AXIS2_PLACEMENT_3D('',#508345,#392069,#392070); #356780=AXIS2_PLACEMENT_3D('',#508347,#392071,#392072); #356781=AXIS2_PLACEMENT_3D('',#508349,#392073,#392074); #356782=AXIS2_PLACEMENT_3D('',#508351,#392076,#392077); #356783=AXIS2_PLACEMENT_3D('',#508357,#392081,#392082); #356784=AXIS2_PLACEMENT_3D('',#508363,#392086,#392087); #356785=AXIS2_PLACEMENT_3D('',#508369,#392091,#392092); #356786=AXIS2_PLACEMENT_3D('',#508375,#392096,#392097); #356787=AXIS2_PLACEMENT_3D('',#508381,#392101,#392102); #356788=AXIS2_PLACEMENT_3D('',#508387,#392106,#392107); #356789=AXIS2_PLACEMENT_3D('',#508393,#392111,#392112); #356790=AXIS2_PLACEMENT_3D('',#508395,#392113,#392114); #356791=AXIS2_PLACEMENT_3D('',#508397,#392115,#392116); #356792=AXIS2_PLACEMENT_3D('',#508399,#392118,#392119); #356793=AXIS2_PLACEMENT_3D('',#508405,#392123,#392124); #356794=AXIS2_PLACEMENT_3D('',#508411,#392128,#392129); #356795=AXIS2_PLACEMENT_3D('',#508417,#392133,#392134); #356796=AXIS2_PLACEMENT_3D('',#508423,#392138,#392139); #356797=AXIS2_PLACEMENT_3D('',#508429,#392143,#392144); #356798=AXIS2_PLACEMENT_3D('',#508431,#392145,#392146); #356799=AXIS2_PLACEMENT_3D('',#508433,#392147,#392148); #356800=AXIS2_PLACEMENT_3D('',#508435,#392150,#392151); #356801=AXIS2_PLACEMENT_3D('',#508441,#392155,#392156); #356802=AXIS2_PLACEMENT_3D('',#508443,#392157,#392158); #356803=AXIS2_PLACEMENT_3D('',#508445,#392159,#392160); #356804=AXIS2_PLACEMENT_3D('',#508447,#392162,#392163); #356805=AXIS2_PLACEMENT_3D('',#508453,#392167,#392168); #356806=AXIS2_PLACEMENT_3D('',#508459,#392172,#392173); #356807=AXIS2_PLACEMENT_3D('',#508462,#392176,#392177); #356808=AXIS2_PLACEMENT_3D('',#508463,#392178,#392179); #356809=AXIS2_PLACEMENT_3D('',#508464,#392180,#392181); #356810=AXIS2_PLACEMENT_3D('',#508473,#392186,#392187); #356811=AXIS2_PLACEMENT_3D('',#508479,#392191,#392192); #356812=AXIS2_PLACEMENT_3D('',#508485,#392196,#392197); #356813=AXIS2_PLACEMENT_3D('',#508491,#392201,#392202); #356814=AXIS2_PLACEMENT_3D('',#508497,#392206,#392207); #356815=AXIS2_PLACEMENT_3D('',#508503,#392211,#392212); #356816=AXIS2_PLACEMENT_3D('',#508505,#392213,#392214); #356817=AXIS2_PLACEMENT_3D('',#508507,#392215,#392216); #356818=AXIS2_PLACEMENT_3D('',#508509,#392218,#392219); #356819=AXIS2_PLACEMENT_3D('',#508515,#392223,#392224); #356820=AXIS2_PLACEMENT_3D('',#508517,#392225,#392226); #356821=AXIS2_PLACEMENT_3D('',#508519,#392227,#392228); #356822=AXIS2_PLACEMENT_3D('',#508521,#392230,#392231); #356823=AXIS2_PLACEMENT_3D('',#508527,#392235,#392236); #356824=AXIS2_PLACEMENT_3D('',#508533,#392240,#392241); #356825=AXIS2_PLACEMENT_3D('',#508539,#392245,#392246); #356826=AXIS2_PLACEMENT_3D('',#508545,#392250,#392251); #356827=AXIS2_PLACEMENT_3D('',#508551,#392255,#392256); #356828=AXIS2_PLACEMENT_3D('',#508553,#392257,#392258); #356829=AXIS2_PLACEMENT_3D('',#508555,#392259,#392260); #356830=AXIS2_PLACEMENT_3D('',#508557,#392262,#392263); #356831=AXIS2_PLACEMENT_3D('',#508563,#392267,#392268); #356832=AXIS2_PLACEMENT_3D('',#508569,#392272,#392273); #356833=AXIS2_PLACEMENT_3D('',#508575,#392277,#392278); #356834=AXIS2_PLACEMENT_3D('',#508581,#392282,#392283); #356835=AXIS2_PLACEMENT_3D('',#508587,#392287,#392288); #356836=AXIS2_PLACEMENT_3D('',#508593,#392292,#392293); #356837=AXIS2_PLACEMENT_3D('',#508599,#392297,#392298); #356838=AXIS2_PLACEMENT_3D('',#508601,#392299,#392300); #356839=AXIS2_PLACEMENT_3D('',#508603,#392301,#392302); #356840=AXIS2_PLACEMENT_3D('',#508605,#392304,#392305); #356841=AXIS2_PLACEMENT_3D('',#508611,#392309,#392310); #356842=AXIS2_PLACEMENT_3D('',#508617,#392314,#392315); #356843=AXIS2_PLACEMENT_3D('',#508619,#392316,#392317); #356844=AXIS2_PLACEMENT_3D('',#508621,#392318,#392319); #356845=AXIS2_PLACEMENT_3D('',#508623,#392321,#392322); #356846=AXIS2_PLACEMENT_3D('',#508629,#392326,#392327); #356847=AXIS2_PLACEMENT_3D('',#508635,#392331,#392332); #356848=AXIS2_PLACEMENT_3D('',#508641,#392336,#392337); #356849=AXIS2_PLACEMENT_3D('',#508647,#392341,#392342); #356850=AXIS2_PLACEMENT_3D('',#508653,#392346,#392347); #356851=AXIS2_PLACEMENT_3D('',#508659,#392351,#392352); #356852=AXIS2_PLACEMENT_3D('',#508665,#392356,#392357); #356853=AXIS2_PLACEMENT_3D('',#508667,#392358,#392359); #356854=AXIS2_PLACEMENT_3D('',#508669,#392360,#392361); #356855=AXIS2_PLACEMENT_3D('',#508671,#392363,#392364); #356856=AXIS2_PLACEMENT_3D('',#508677,#392368,#392369); #356857=AXIS2_PLACEMENT_3D('',#508680,#392372,#392373); #356858=AXIS2_PLACEMENT_3D('',#508681,#392374,#392375); #356859=AXIS2_PLACEMENT_3D('',#508682,#392376,#392377); #356860=AXIS2_PLACEMENT_3D('',#508684,#392378,#392379); #356861=AXIS2_PLACEMENT_3D('',#508687,#392381,#392382); #356862=AXIS2_PLACEMENT_3D('',#508688,#392383,#392384); #356863=AXIS2_PLACEMENT_3D('',#508697,#392389,#392390); #356864=AXIS2_PLACEMENT_3D('',#508699,#392391,#392392); #356865=AXIS2_PLACEMENT_3D('',#508701,#392393,#392394); #356866=AXIS2_PLACEMENT_3D('',#508703,#392396,#392397); #356867=AXIS2_PLACEMENT_3D('',#508709,#392401,#392402); #356868=AXIS2_PLACEMENT_3D('',#508715,#392406,#392407); #356869=AXIS2_PLACEMENT_3D('',#508717,#392408,#392409); #356870=AXIS2_PLACEMENT_3D('',#508719,#392410,#392411); #356871=AXIS2_PLACEMENT_3D('',#508721,#392413,#392414); #356872=AXIS2_PLACEMENT_3D('',#508727,#392418,#392419); #356873=AXIS2_PLACEMENT_3D('',#508733,#392423,#392424); #356874=AXIS2_PLACEMENT_3D('',#508735,#392425,#392426); #356875=AXIS2_PLACEMENT_3D('',#508737,#392427,#392428); #356876=AXIS2_PLACEMENT_3D('',#508739,#392430,#392431); #356877=AXIS2_PLACEMENT_3D('',#508745,#392435,#392436); #356878=AXIS2_PLACEMENT_3D('',#508751,#392440,#392441); #356879=AXIS2_PLACEMENT_3D('',#508757,#392445,#392446); #356880=AXIS2_PLACEMENT_3D('',#508763,#392450,#392451); #356881=AXIS2_PLACEMENT_3D('',#508769,#392455,#392456); #356882=AXIS2_PLACEMENT_3D('',#508775,#392460,#392461); #356883=AXIS2_PLACEMENT_3D('',#508781,#392465,#392466); #356884=AXIS2_PLACEMENT_3D('',#508787,#392470,#392471); #356885=AXIS2_PLACEMENT_3D('',#508789,#392472,#392473); #356886=AXIS2_PLACEMENT_3D('',#508791,#392474,#392475); #356887=AXIS2_PLACEMENT_3D('',#508793,#392477,#392478); #356888=AXIS2_PLACEMENT_3D('',#508799,#392482,#392483); #356889=AXIS2_PLACEMENT_3D('',#508805,#392487,#392488); #356890=AXIS2_PLACEMENT_3D('',#508807,#392489,#392490); #356891=AXIS2_PLACEMENT_3D('',#508809,#392491,#392492); #356892=AXIS2_PLACEMENT_3D('',#508811,#392494,#392495); #356893=AXIS2_PLACEMENT_3D('',#508817,#392499,#392500); #356894=AXIS2_PLACEMENT_3D('',#508818,#392501,#392502); #356895=AXIS2_PLACEMENT_3D('',#508819,#392503,#392504); #356896=AXIS2_PLACEMENT_3D('',#508820,#392505,#392506); #356897=AXIS2_PLACEMENT_3D('',#508821,#392507,#392508); #356898=AXIS2_PLACEMENT_3D('',#508822,#392509,#392510); #356899=AXIS2_PLACEMENT_3D('',#508824,#392511,#392512); #356900=AXIS2_PLACEMENT_3D('',#508827,#392514,#392515); #356901=AXIS2_PLACEMENT_3D('',#508828,#392516,#392517); #356902=AXIS2_PLACEMENT_3D('',#508837,#392522,#392523); #356903=AXIS2_PLACEMENT_3D('',#508839,#392524,#392525); #356904=AXIS2_PLACEMENT_3D('',#508841,#392526,#392527); #356905=AXIS2_PLACEMENT_3D('',#508843,#392529,#392530); #356906=AXIS2_PLACEMENT_3D('',#508849,#392534,#392535); #356907=AXIS2_PLACEMENT_3D('',#508855,#392539,#392540); #356908=AXIS2_PLACEMENT_3D('',#508857,#392541,#392542); #356909=AXIS2_PLACEMENT_3D('',#508859,#392543,#392544); #356910=AXIS2_PLACEMENT_3D('',#508861,#392546,#392547); #356911=AXIS2_PLACEMENT_3D('',#508867,#392551,#392552); #356912=AXIS2_PLACEMENT_3D('',#508873,#392556,#392557); #356913=AXIS2_PLACEMENT_3D('',#508879,#392561,#392562); #356914=AXIS2_PLACEMENT_3D('',#508885,#392566,#392567); #356915=AXIS2_PLACEMENT_3D('',#508891,#392571,#392572); #356916=AXIS2_PLACEMENT_3D('',#508897,#392576,#392577); #356917=AXIS2_PLACEMENT_3D('',#508903,#392581,#392582); #356918=AXIS2_PLACEMENT_3D('',#508909,#392586,#392587); #356919=AXIS2_PLACEMENT_3D('',#508911,#392588,#392589); #356920=AXIS2_PLACEMENT_3D('',#508913,#392590,#392591); #356921=AXIS2_PLACEMENT_3D('',#508915,#392593,#392594); #356922=AXIS2_PLACEMENT_3D('',#508921,#392598,#392599); #356923=AXIS2_PLACEMENT_3D('',#508922,#392600,#392601); #356924=AXIS2_PLACEMENT_3D('',#508923,#392602,#392603); #356925=AXIS2_PLACEMENT_3D('',#508924,#392604,#392605); #356926=AXIS2_PLACEMENT_3D('',#508925,#392606,#392607); #356927=AXIS2_PLACEMENT_3D('',#508926,#392608,#392609); #356928=AXIS2_PLACEMENT_3D('',#508928,#392610,#392611); #356929=AXIS2_PLACEMENT_3D('',#508931,#392613,#392614); #356930=AXIS2_PLACEMENT_3D('',#508932,#392615,#392616); #356931=AXIS2_PLACEMENT_3D('',#508941,#392621,#392622); #356932=AXIS2_PLACEMENT_3D('',#508943,#392623,#392624); #356933=AXIS2_PLACEMENT_3D('',#508945,#392625,#392626); #356934=AXIS2_PLACEMENT_3D('',#508947,#392628,#392629); #356935=AXIS2_PLACEMENT_3D('',#508953,#392633,#392634); #356936=AXIS2_PLACEMENT_3D('',#508959,#392638,#392639); #356937=AXIS2_PLACEMENT_3D('',#508965,#392643,#392644); #356938=AXIS2_PLACEMENT_3D('',#508971,#392648,#392649); #356939=AXIS2_PLACEMENT_3D('',#508977,#392653,#392654); #356940=AXIS2_PLACEMENT_3D('',#508983,#392658,#392659); #356941=AXIS2_PLACEMENT_3D('',#508989,#392663,#392664); #356942=AXIS2_PLACEMENT_3D('',#508991,#392665,#392666); #356943=AXIS2_PLACEMENT_3D('',#508993,#392667,#392668); #356944=AXIS2_PLACEMENT_3D('',#508995,#392670,#392671); #356945=AXIS2_PLACEMENT_3D('',#509001,#392675,#392676); #356946=AXIS2_PLACEMENT_3D('',#509002,#392677,#392678); #356947=AXIS2_PLACEMENT_3D('',#509003,#392679,#392680); #356948=AXIS2_PLACEMENT_3D('',#509004,#392681,#392682); #356949=AXIS2_PLACEMENT_3D('',#509005,#392683,#392684); #356950=AXIS2_PLACEMENT_3D('',#509006,#392685,#392686); #356951=AXIS2_PLACEMENT_3D('',#509008,#392687,#392688); #356952=AXIS2_PLACEMENT_3D('',#509011,#392690,#392691); #356953=AXIS2_PLACEMENT_3D('',#509012,#392692,#392693); #356954=AXIS2_PLACEMENT_3D('',#509021,#392698,#392699); #356955=AXIS2_PLACEMENT_3D('',#509023,#392700,#392701); #356956=AXIS2_PLACEMENT_3D('',#509025,#392702,#392703); #356957=AXIS2_PLACEMENT_3D('',#509027,#392705,#392706); #356958=AXIS2_PLACEMENT_3D('',#509033,#392710,#392711); #356959=AXIS2_PLACEMENT_3D('',#509035,#392712,#392713); #356960=AXIS2_PLACEMENT_3D('',#509037,#392714,#392715); #356961=AXIS2_PLACEMENT_3D('',#509039,#392717,#392718); #356962=AXIS2_PLACEMENT_3D('',#509045,#392722,#392723); #356963=AXIS2_PLACEMENT_3D('',#509051,#392727,#392728); #356964=AXIS2_PLACEMENT_3D('',#509057,#392732,#392733); #356965=AXIS2_PLACEMENT_3D('',#509063,#392737,#392738); #356966=AXIS2_PLACEMENT_3D('',#509069,#392742,#392743); #356967=AXIS2_PLACEMENT_3D('',#509075,#392747,#392748); #356968=AXIS2_PLACEMENT_3D('',#509081,#392752,#392753); #356969=AXIS2_PLACEMENT_3D('',#509087,#392757,#392758); #356970=AXIS2_PLACEMENT_3D('',#509093,#392762,#392763); #356971=AXIS2_PLACEMENT_3D('',#509094,#392764,#392765); #356972=AXIS2_PLACEMENT_3D('',#509095,#392766,#392767); #356973=AXIS2_PLACEMENT_3D('',#509096,#392768,#392769); #356974=AXIS2_PLACEMENT_3D('',#509097,#392770,#392771); #356975=AXIS2_PLACEMENT_3D('',#509098,#392772,#392773); #356976=AXIS2_PLACEMENT_3D('',#509100,#392774,#392775); #356977=AXIS2_PLACEMENT_3D('',#509103,#392777,#392778); #356978=AXIS2_PLACEMENT_3D('',#509104,#392779,#392780); #356979=AXIS2_PLACEMENT_3D('',#509106,#392781,#392782); #356980=AXIS2_PLACEMENT_3D('',#509109,#392784,#392785); #356981=AXIS2_PLACEMENT_3D('',#509110,#392786,#392787); #356982=AXIS2_PLACEMENT_3D('',#509119,#392792,#392793); #356983=AXIS2_PLACEMENT_3D('',#509121,#392794,#392795); #356984=AXIS2_PLACEMENT_3D('',#509123,#392796,#392797); #356985=AXIS2_PLACEMENT_3D('',#509125,#392799,#392800); #356986=AXIS2_PLACEMENT_3D('',#509127,#392801,#392802); #356987=AXIS2_PLACEMENT_3D('',#509129,#392803,#392804); #356988=AXIS2_PLACEMENT_3D('',#509131,#392806,#392807); #356989=AXIS2_PLACEMENT_3D('',#509137,#392811,#392812); #356990=AXIS2_PLACEMENT_3D('',#509139,#392813,#392814); #356991=AXIS2_PLACEMENT_3D('',#509141,#392815,#392816); #356992=AXIS2_PLACEMENT_3D('',#509143,#392818,#392819); #356993=AXIS2_PLACEMENT_3D('',#509145,#392820,#392821); #356994=AXIS2_PLACEMENT_3D('',#509147,#392822,#392823); #356995=AXIS2_PLACEMENT_3D('',#509149,#392825,#392826); #356996=AXIS2_PLACEMENT_3D('',#509155,#392830,#392831); #356997=AXIS2_PLACEMENT_3D('',#509156,#392832,#392833); #356998=AXIS2_PLACEMENT_3D('',#509157,#392834,#392835); #356999=AXIS2_PLACEMENT_3D('',#509158,#392836,#392837); #357000=AXIS2_PLACEMENT_3D('',#509159,#392838,#392839); #357001=AXIS2_PLACEMENT_3D('',#509160,#392840,#392841); #357002=AXIS2_PLACEMENT_3D('',#509162,#392842,#392843); #357003=AXIS2_PLACEMENT_3D('',#509165,#392845,#392846); #357004=AXIS2_PLACEMENT_3D('',#509166,#392847,#392848); #357005=AXIS2_PLACEMENT_3D('',#509175,#392853,#392854); #357006=AXIS2_PLACEMENT_3D('',#509177,#392855,#392856); #357007=AXIS2_PLACEMENT_3D('',#509179,#392857,#392858); #357008=AXIS2_PLACEMENT_3D('',#509181,#392860,#392861); #357009=AXIS2_PLACEMENT_3D('',#509187,#392865,#392866); #357010=AXIS2_PLACEMENT_3D('',#509193,#392870,#392871); #357011=AXIS2_PLACEMENT_3D('',#509195,#392872,#392873); #357012=AXIS2_PLACEMENT_3D('',#509197,#392874,#392875); #357013=AXIS2_PLACEMENT_3D('',#509199,#392877,#392878); #357014=AXIS2_PLACEMENT_3D('',#509205,#392882,#392883); #357015=AXIS2_PLACEMENT_3D('',#509211,#392887,#392888); #357016=AXIS2_PLACEMENT_3D('',#509217,#392892,#392893); #357017=AXIS2_PLACEMENT_3D('',#509223,#392897,#392898); #357018=AXIS2_PLACEMENT_3D('',#509229,#392902,#392903); #357019=AXIS2_PLACEMENT_3D('',#509235,#392907,#392908); #357020=AXIS2_PLACEMENT_3D('',#509241,#392912,#392913); #357021=AXIS2_PLACEMENT_3D('',#509247,#392917,#392918); #357022=AXIS2_PLACEMENT_3D('',#509249,#392919,#392920); #357023=AXIS2_PLACEMENT_3D('',#509251,#392921,#392922); #357024=AXIS2_PLACEMENT_3D('',#509253,#392924,#392925); #357025=AXIS2_PLACEMENT_3D('',#509259,#392929,#392930); #357026=AXIS2_PLACEMENT_3D('',#509260,#392931,#392932); #357027=AXIS2_PLACEMENT_3D('',#509261,#392933,#392934); #357028=AXIS2_PLACEMENT_3D('',#509262,#392935,#392936); #357029=AXIS2_PLACEMENT_3D('',#509263,#392937,#392938); #357030=AXIS2_PLACEMENT_3D('',#509264,#392939,#392940); #357031=AXIS2_PLACEMENT_3D('',#509266,#392941,#392942); #357032=AXIS2_PLACEMENT_3D('',#509269,#392944,#392945); #357033=AXIS2_PLACEMENT_3D('',#509270,#392946,#392947); #357034=AXIS2_PLACEMENT_3D('',#509279,#392952,#392953); #357035=AXIS2_PLACEMENT_3D('',#509281,#392954,#392955); #357036=AXIS2_PLACEMENT_3D('',#509283,#392956,#392957); #357037=AXIS2_PLACEMENT_3D('',#509285,#392959,#392960); #357038=AXIS2_PLACEMENT_3D('',#509291,#392964,#392965); #357039=AXIS2_PLACEMENT_3D('',#509297,#392969,#392970); #357040=AXIS2_PLACEMENT_3D('',#509299,#392971,#392972); #357041=AXIS2_PLACEMENT_3D('',#509301,#392973,#392974); #357042=AXIS2_PLACEMENT_3D('',#509303,#392976,#392977); #357043=AXIS2_PLACEMENT_3D('',#509309,#392981,#392982); #357044=AXIS2_PLACEMENT_3D('',#509315,#392986,#392987); #357045=AXIS2_PLACEMENT_3D('',#509317,#392988,#392989); #357046=AXIS2_PLACEMENT_3D('',#509319,#392990,#392991); #357047=AXIS2_PLACEMENT_3D('',#509321,#392993,#392994); #357048=AXIS2_PLACEMENT_3D('',#509327,#392998,#392999); #357049=AXIS2_PLACEMENT_3D('',#509333,#393003,#393004); #357050=AXIS2_PLACEMENT_3D('',#509339,#393008,#393009); #357051=AXIS2_PLACEMENT_3D('',#509345,#393013,#393014); #357052=AXIS2_PLACEMENT_3D('',#509351,#393018,#393019); #357053=AXIS2_PLACEMENT_3D('',#509357,#393023,#393024); #357054=AXIS2_PLACEMENT_3D('',#509363,#393028,#393029); #357055=AXIS2_PLACEMENT_3D('',#509369,#393033,#393034); #357056=AXIS2_PLACEMENT_3D('',#509371,#393035,#393036); #357057=AXIS2_PLACEMENT_3D('',#509373,#393037,#393038); #357058=AXIS2_PLACEMENT_3D('',#509375,#393040,#393041); #357059=AXIS2_PLACEMENT_3D('',#509381,#393045,#393046); #357060=AXIS2_PLACEMENT_3D('',#509387,#393050,#393051); #357061=AXIS2_PLACEMENT_3D('',#509389,#393052,#393053); #357062=AXIS2_PLACEMENT_3D('',#509391,#393054,#393055); #357063=AXIS2_PLACEMENT_3D('',#509393,#393057,#393058); #357064=AXIS2_PLACEMENT_3D('',#509399,#393062,#393063); #357065=AXIS2_PLACEMENT_3D('',#509400,#393064,#393065); #357066=AXIS2_PLACEMENT_3D('',#509401,#393066,#393067); #357067=AXIS2_PLACEMENT_3D('',#509402,#393068,#393069); #357068=AXIS2_PLACEMENT_3D('',#509403,#393070,#393071); #357069=AXIS2_PLACEMENT_3D('',#509404,#393072,#393073); #357070=AXIS2_PLACEMENT_3D('',#509413,#393078,#393079); #357071=AXIS2_PLACEMENT_3D('',#509419,#393083,#393084); #357072=AXIS2_PLACEMENT_3D('',#509425,#393088,#393089); #357073=AXIS2_PLACEMENT_3D('',#509431,#393093,#393094); #357074=AXIS2_PLACEMENT_3D('',#509433,#393095,#393096); #357075=AXIS2_PLACEMENT_3D('',#509435,#393097,#393098); #357076=AXIS2_PLACEMENT_3D('',#509437,#393100,#393101); #357077=AXIS2_PLACEMENT_3D('',#509443,#393105,#393106); #357078=AXIS2_PLACEMENT_3D('',#509445,#393107,#393108); #357079=AXIS2_PLACEMENT_3D('',#509447,#393109,#393110); #357080=AXIS2_PLACEMENT_3D('',#509449,#393112,#393113); #357081=AXIS2_PLACEMENT_3D('',#509455,#393117,#393118); #357082=AXIS2_PLACEMENT_3D('',#509461,#393122,#393123); #357083=AXIS2_PLACEMENT_3D('',#509467,#393127,#393128); #357084=AXIS2_PLACEMENT_3D('',#509469,#393129,#393130); #357085=AXIS2_PLACEMENT_3D('',#509471,#393131,#393132); #357086=AXIS2_PLACEMENT_3D('',#509473,#393134,#393135); #357087=AXIS2_PLACEMENT_3D('',#509479,#393139,#393140); #357088=AXIS2_PLACEMENT_3D('',#509485,#393144,#393145); #357089=AXIS2_PLACEMENT_3D('',#509491,#393149,#393150); #357090=AXIS2_PLACEMENT_3D('',#509497,#393154,#393155); #357091=AXIS2_PLACEMENT_3D('',#509503,#393159,#393160); #357092=AXIS2_PLACEMENT_3D('',#509509,#393164,#393165); #357093=AXIS2_PLACEMENT_3D('',#509515,#393169,#393170); #357094=AXIS2_PLACEMENT_3D('',#509517,#393171,#393172); #357095=AXIS2_PLACEMENT_3D('',#509519,#393173,#393174); #357096=AXIS2_PLACEMENT_3D('',#509521,#393176,#393177); #357097=AXIS2_PLACEMENT_3D('',#509527,#393181,#393182); #357098=AXIS2_PLACEMENT_3D('',#509529,#393183,#393184); #357099=AXIS2_PLACEMENT_3D('',#509531,#393185,#393186); #357100=AXIS2_PLACEMENT_3D('',#509533,#393188,#393189); #357101=AXIS2_PLACEMENT_3D('',#509539,#393193,#393194); #357102=AXIS2_PLACEMENT_3D('',#509545,#393198,#393199); #357103=AXIS2_PLACEMENT_3D('',#509551,#393203,#393204); #357104=AXIS2_PLACEMENT_3D('',#509557,#393208,#393209); #357105=AXIS2_PLACEMENT_3D('',#509560,#393212,#393213); #357106=AXIS2_PLACEMENT_3D('',#509561,#393214,#393215); #357107=AXIS2_PLACEMENT_3D('',#509562,#393216,#393217); #357108=AXIS2_PLACEMENT_3D('',#509564,#393218,#393219); #357109=AXIS2_PLACEMENT_3D('',#509567,#393221,#393222); #357110=AXIS2_PLACEMENT_3D('',#509568,#393223,#393224); #357111=AXIS2_PLACEMENT_3D('',#509577,#393229,#393230); #357112=AXIS2_PLACEMENT_3D('',#509579,#393231,#393232); #357113=AXIS2_PLACEMENT_3D('',#509581,#393233,#393234); #357114=AXIS2_PLACEMENT_3D('',#509583,#393236,#393237); #357115=AXIS2_PLACEMENT_3D('',#509589,#393241,#393242); #357116=AXIS2_PLACEMENT_3D('',#509595,#393246,#393247); #357117=AXIS2_PLACEMENT_3D('',#509597,#393248,#393249); #357118=AXIS2_PLACEMENT_3D('',#509599,#393250,#393251); #357119=AXIS2_PLACEMENT_3D('',#509601,#393253,#393254); #357120=AXIS2_PLACEMENT_3D('',#509607,#393258,#393259); #357121=AXIS2_PLACEMENT_3D('',#509609,#393260,#393261); #357122=AXIS2_PLACEMENT_3D('',#509611,#393262,#393263); #357123=AXIS2_PLACEMENT_3D('',#509613,#393265,#393266); #357124=AXIS2_PLACEMENT_3D('',#509619,#393270,#393271); #357125=AXIS2_PLACEMENT_3D('',#509621,#393272,#393273); #357126=AXIS2_PLACEMENT_3D('',#509623,#393274,#393275); #357127=AXIS2_PLACEMENT_3D('',#509625,#393277,#393278); #357128=AXIS2_PLACEMENT_3D('',#509631,#393282,#393283); #357129=AXIS2_PLACEMENT_3D('',#509637,#393287,#393288); #357130=AXIS2_PLACEMENT_3D('',#509643,#393292,#393293); #357131=AXIS2_PLACEMENT_3D('',#509649,#393297,#393298); #357132=AXIS2_PLACEMENT_3D('',#509655,#393302,#393303); #357133=AXIS2_PLACEMENT_3D('',#509661,#393307,#393308); #357134=AXIS2_PLACEMENT_3D('',#509667,#393312,#393313); #357135=AXIS2_PLACEMENT_3D('',#509669,#393314,#393315); #357136=AXIS2_PLACEMENT_3D('',#509671,#393316,#393317); #357137=AXIS2_PLACEMENT_3D('',#509673,#393319,#393320); #357138=AXIS2_PLACEMENT_3D('',#509679,#393324,#393325); #357139=AXIS2_PLACEMENT_3D('',#509685,#393329,#393330); #357140=AXIS2_PLACEMENT_3D('',#509691,#393334,#393335); #357141=AXIS2_PLACEMENT_3D('',#509697,#393339,#393340); #357142=AXIS2_PLACEMENT_3D('',#509699,#393341,#393342); #357143=AXIS2_PLACEMENT_3D('',#509701,#393343,#393344); #357144=AXIS2_PLACEMENT_3D('',#509703,#393346,#393347); #357145=AXIS2_PLACEMENT_3D('',#509709,#393351,#393352); #357146=AXIS2_PLACEMENT_3D('',#509710,#393353,#393354); #357147=AXIS2_PLACEMENT_3D('',#509711,#393355,#393356); #357148=AXIS2_PLACEMENT_3D('',#509712,#393357,#393358); #357149=AXIS2_PLACEMENT_3D('',#509713,#393359,#393360); #357150=AXIS2_PLACEMENT_3D('',#509714,#393361,#393362); #357151=AXIS2_PLACEMENT_3D('',#509716,#393363,#393364); #357152=AXIS2_PLACEMENT_3D('',#509719,#393366,#393367); #357153=AXIS2_PLACEMENT_3D('',#509720,#393368,#393369); #357154=AXIS2_PLACEMENT_3D('',#509729,#393374,#393375); #357155=AXIS2_PLACEMENT_3D('',#509731,#393376,#393377); #357156=AXIS2_PLACEMENT_3D('',#509733,#393378,#393379); #357157=AXIS2_PLACEMENT_3D('',#509735,#393381,#393382); #357158=AXIS2_PLACEMENT_3D('',#509741,#393386,#393387); #357159=AXIS2_PLACEMENT_3D('',#509747,#393391,#393392); #357160=AXIS2_PLACEMENT_3D('',#509753,#393396,#393397); #357161=AXIS2_PLACEMENT_3D('',#509759,#393401,#393402); #357162=AXIS2_PLACEMENT_3D('',#509765,#393406,#393407); #357163=AXIS2_PLACEMENT_3D('',#509771,#393411,#393412); #357164=AXIS2_PLACEMENT_3D('',#509777,#393416,#393417); #357165=AXIS2_PLACEMENT_3D('',#509779,#393418,#393419); #357166=AXIS2_PLACEMENT_3D('',#509781,#393420,#393421); #357167=AXIS2_PLACEMENT_3D('',#509783,#393423,#393424); #357168=AXIS2_PLACEMENT_3D('',#509784,#393425,#393426); #357169=AXIS2_PLACEMENT_3D('',#509785,#393427,#393428); #357170=AXIS2_PLACEMENT_3D('',#509786,#393429,#393430); #357171=AXIS2_PLACEMENT_3D('',#509787,#393431,#393432); #357172=AXIS2_PLACEMENT_3D('',#509788,#393433,#393434); #357173=AXIS2_PLACEMENT_3D('',#509797,#393439,#393440); #357174=AXIS2_PLACEMENT_3D('',#509803,#393444,#393445); #357175=AXIS2_PLACEMENT_3D('',#509809,#393449,#393450); #357176=AXIS2_PLACEMENT_3D('',#509815,#393454,#393455); #357177=AXIS2_PLACEMENT_3D('',#509821,#393459,#393460); #357178=AXIS2_PLACEMENT_3D('',#509823,#393461,#393462); #357179=AXIS2_PLACEMENT_3D('',#509825,#393463,#393464); #357180=AXIS2_PLACEMENT_3D('',#509827,#393466,#393467); #357181=AXIS2_PLACEMENT_3D('',#509833,#393471,#393472); #357182=AXIS2_PLACEMENT_3D('',#509839,#393476,#393477); #357183=AXIS2_PLACEMENT_3D('',#509845,#393481,#393482); #357184=AXIS2_PLACEMENT_3D('',#509847,#393483,#393484); #357185=AXIS2_PLACEMENT_3D('',#509849,#393485,#393486); #357186=AXIS2_PLACEMENT_3D('',#509851,#393488,#393489); #357187=AXIS2_PLACEMENT_3D('',#509857,#393493,#393494); #357188=AXIS2_PLACEMENT_3D('',#509859,#393495,#393496); #357189=AXIS2_PLACEMENT_3D('',#509861,#393497,#393498); #357190=AXIS2_PLACEMENT_3D('',#509863,#393500,#393501); #357191=AXIS2_PLACEMENT_3D('',#509869,#393505,#393506); #357192=AXIS2_PLACEMENT_3D('',#509871,#393507,#393508); #357193=AXIS2_PLACEMENT_3D('',#509873,#393509,#393510); #357194=AXIS2_PLACEMENT_3D('',#509875,#393512,#393513); #357195=AXIS2_PLACEMENT_3D('',#509881,#393517,#393518); #357196=AXIS2_PLACEMENT_3D('',#509887,#393522,#393523); #357197=AXIS2_PLACEMENT_3D('',#509889,#393524,#393525); #357198=AXIS2_PLACEMENT_3D('',#509891,#393526,#393527); #357199=AXIS2_PLACEMENT_3D('',#509893,#393529,#393530); #357200=AXIS2_PLACEMENT_3D('',#509899,#393534,#393535); #357201=AXIS2_PLACEMENT_3D('',#509905,#393539,#393540); #357202=AXIS2_PLACEMENT_3D('',#509911,#393544,#393545); #357203=AXIS2_PLACEMENT_3D('',#509917,#393549,#393550); #357204=AXIS2_PLACEMENT_3D('',#509923,#393554,#393555); #357205=AXIS2_PLACEMENT_3D('',#509929,#393559,#393560); #357206=AXIS2_PLACEMENT_3D('',#509935,#393564,#393565); #357207=AXIS2_PLACEMENT_3D('',#509937,#393566,#393567); #357208=AXIS2_PLACEMENT_3D('',#509939,#393568,#393569); #357209=AXIS2_PLACEMENT_3D('',#509941,#393571,#393572); #357210=AXIS2_PLACEMENT_3D('',#509947,#393576,#393577); #357211=AXIS2_PLACEMENT_3D('',#509953,#393581,#393582); #357212=AXIS2_PLACEMENT_3D('',#509955,#393583,#393584); #357213=AXIS2_PLACEMENT_3D('',#509957,#393585,#393586); #357214=AXIS2_PLACEMENT_3D('',#509959,#393588,#393589); #357215=AXIS2_PLACEMENT_3D('',#509965,#393593,#393594); #357216=AXIS2_PLACEMENT_3D('',#509971,#393598,#393599); #357217=AXIS2_PLACEMENT_3D('',#509977,#393603,#393604); #357218=AXIS2_PLACEMENT_3D('',#509983,#393608,#393609); #357219=AXIS2_PLACEMENT_3D('',#509985,#393610,#393611); #357220=AXIS2_PLACEMENT_3D('',#509987,#393612,#393613); #357221=AXIS2_PLACEMENT_3D('',#509989,#393615,#393616); #357222=AXIS2_PLACEMENT_3D('',#509995,#393620,#393621); #357223=AXIS2_PLACEMENT_3D('',#509997,#393622,#393623); #357224=AXIS2_PLACEMENT_3D('',#509999,#393624,#393625); #357225=AXIS2_PLACEMENT_3D('',#510001,#393627,#393628); #357226=AXIS2_PLACEMENT_3D('',#510007,#393632,#393633); #357227=AXIS2_PLACEMENT_3D('',#510013,#393637,#393638); #357228=AXIS2_PLACEMENT_3D('',#510016,#393641,#393642); #357229=AXIS2_PLACEMENT_3D('',#510017,#393643,#393644); #357230=AXIS2_PLACEMENT_3D('',#510018,#393645,#393646); #357231=AXIS2_PLACEMENT_3D('',#510027,#393651,#393652); #357232=AXIS2_PLACEMENT_3D('',#510033,#393656,#393657); #357233=AXIS2_PLACEMENT_3D('',#510039,#393661,#393662); #357234=AXIS2_PLACEMENT_3D('',#510045,#393666,#393667); #357235=AXIS2_PLACEMENT_3D('',#510051,#393671,#393672); #357236=AXIS2_PLACEMENT_3D('',#510057,#393676,#393677); #357237=AXIS2_PLACEMENT_3D('',#510059,#393678,#393679); #357238=AXIS2_PLACEMENT_3D('',#510061,#393680,#393681); #357239=AXIS2_PLACEMENT_3D('',#510063,#393683,#393684); #357240=AXIS2_PLACEMENT_3D('',#510069,#393688,#393689); #357241=AXIS2_PLACEMENT_3D('',#510071,#393690,#393691); #357242=AXIS2_PLACEMENT_3D('',#510073,#393692,#393693); #357243=AXIS2_PLACEMENT_3D('',#510075,#393695,#393696); #357244=AXIS2_PLACEMENT_3D('',#510081,#393700,#393701); #357245=AXIS2_PLACEMENT_3D('',#510087,#393705,#393706); #357246=AXIS2_PLACEMENT_3D('',#510093,#393710,#393711); #357247=AXIS2_PLACEMENT_3D('',#510099,#393715,#393716); #357248=AXIS2_PLACEMENT_3D('',#510101,#393717,#393718); #357249=AXIS2_PLACEMENT_3D('',#510103,#393719,#393720); #357250=AXIS2_PLACEMENT_3D('',#510105,#393722,#393723); #357251=AXIS2_PLACEMENT_3D('',#510111,#393727,#393728); #357252=AXIS2_PLACEMENT_3D('',#510117,#393732,#393733); #357253=AXIS2_PLACEMENT_3D('',#510119,#393734,#393735); #357254=AXIS2_PLACEMENT_3D('',#510121,#393736,#393737); #357255=AXIS2_PLACEMENT_3D('',#510123,#393739,#393740); #357256=AXIS2_PLACEMENT_3D('',#510129,#393744,#393745); #357257=AXIS2_PLACEMENT_3D('',#510135,#393749,#393750); #357258=AXIS2_PLACEMENT_3D('',#510141,#393754,#393755); #357259=AXIS2_PLACEMENT_3D('',#510147,#393759,#393760); #357260=AXIS2_PLACEMENT_3D('',#510153,#393764,#393765); #357261=AXIS2_PLACEMENT_3D('',#510159,#393769,#393770); #357262=AXIS2_PLACEMENT_3D('',#510165,#393774,#393775); #357263=AXIS2_PLACEMENT_3D('',#510167,#393776,#393777); #357264=AXIS2_PLACEMENT_3D('',#510169,#393778,#393779); #357265=AXIS2_PLACEMENT_3D('',#510171,#393781,#393782); #357266=AXIS2_PLACEMENT_3D('',#510177,#393786,#393787); #357267=AXIS2_PLACEMENT_3D('',#510183,#393791,#393792); #357268=AXIS2_PLACEMENT_3D('',#510185,#393793,#393794); #357269=AXIS2_PLACEMENT_3D('',#510187,#393795,#393796); #357270=AXIS2_PLACEMENT_3D('',#510189,#393798,#393799); #357271=AXIS2_PLACEMENT_3D('',#510195,#393803,#393804); #357272=AXIS2_PLACEMENT_3D('',#510197,#393805,#393806); #357273=AXIS2_PLACEMENT_3D('',#510199,#393807,#393808); #357274=AXIS2_PLACEMENT_3D('',#510201,#393810,#393811); #357275=AXIS2_PLACEMENT_3D('',#510207,#393815,#393816); #357276=AXIS2_PLACEMENT_3D('',#510209,#393817,#393818); #357277=AXIS2_PLACEMENT_3D('',#510211,#393819,#393820); #357278=AXIS2_PLACEMENT_3D('',#510213,#393822,#393823); #357279=AXIS2_PLACEMENT_3D('',#510219,#393827,#393828); #357280=AXIS2_PLACEMENT_3D('',#510225,#393832,#393833); #357281=AXIS2_PLACEMENT_3D('',#510231,#393837,#393838); #357282=AXIS2_PLACEMENT_3D('',#510233,#393839,#393840); #357283=AXIS2_PLACEMENT_3D('',#510235,#393841,#393842); #357284=AXIS2_PLACEMENT_3D('',#510237,#393844,#393845); #357285=AXIS2_PLACEMENT_3D('',#510243,#393849,#393850); #357286=AXIS2_PLACEMENT_3D('',#510246,#393853,#393854); #357287=AXIS2_PLACEMENT_3D('',#510247,#393855,#393856); #357288=AXIS2_PLACEMENT_3D('',#510248,#393857,#393858); #357289=AXIS2_PLACEMENT_3D('',#510257,#393863,#393864); #357290=AXIS2_PLACEMENT_3D('',#510263,#393868,#393869); #357291=AXIS2_PLACEMENT_3D('',#510269,#393873,#393874); #357292=AXIS2_PLACEMENT_3D('',#510275,#393878,#393879); #357293=AXIS2_PLACEMENT_3D('',#510277,#393880,#393881); #357294=AXIS2_PLACEMENT_3D('',#510279,#393882,#393883); #357295=AXIS2_PLACEMENT_3D('',#510281,#393885,#393886); #357296=AXIS2_PLACEMENT_3D('',#510287,#393890,#393891); #357297=AXIS2_PLACEMENT_3D('',#510293,#393895,#393896); #357298=AXIS2_PLACEMENT_3D('',#510295,#393897,#393898); #357299=AXIS2_PLACEMENT_3D('',#510297,#393899,#393900); #357300=AXIS2_PLACEMENT_3D('',#510299,#393902,#393903); #357301=AXIS2_PLACEMENT_3D('',#510305,#393907,#393908); #357302=AXIS2_PLACEMENT_3D('',#510311,#393912,#393913); #357303=AXIS2_PLACEMENT_3D('',#510317,#393917,#393918); #357304=AXIS2_PLACEMENT_3D('',#510323,#393922,#393923); #357305=AXIS2_PLACEMENT_3D('',#510329,#393927,#393928); #357306=AXIS2_PLACEMENT_3D('',#510335,#393932,#393933); #357307=AXIS2_PLACEMENT_3D('',#510341,#393937,#393938); #357308=AXIS2_PLACEMENT_3D('',#510343,#393939,#393940); #357309=AXIS2_PLACEMENT_3D('',#510345,#393941,#393942); #357310=AXIS2_PLACEMENT_3D('',#510347,#393944,#393945); #357311=AXIS2_PLACEMENT_3D('',#510353,#393949,#393950); #357312=AXIS2_PLACEMENT_3D('',#510359,#393954,#393955); #357313=AXIS2_PLACEMENT_3D('',#510365,#393959,#393960); #357314=AXIS2_PLACEMENT_3D('',#510368,#393963,#393964); #357315=AXIS2_PLACEMENT_3D('',#510369,#393965,#393966); #357316=AXIS2_PLACEMENT_3D('',#510370,#393967,#393968); #357317=AXIS2_PLACEMENT_3D('',#510372,#393969,#393970); #357318=AXIS2_PLACEMENT_3D('',#510375,#393972,#393973); #357319=AXIS2_PLACEMENT_3D('',#510376,#393974,#393975); #357320=AXIS2_PLACEMENT_3D('',#510385,#393980,#393981); #357321=AXIS2_PLACEMENT_3D('',#510391,#393985,#393986); #357322=AXIS2_PLACEMENT_3D('',#510393,#393987,#393988); #357323=AXIS2_PLACEMENT_3D('',#510395,#393989,#393990); #357324=AXIS2_PLACEMENT_3D('',#510397,#393992,#393993); #357325=AXIS2_PLACEMENT_3D('',#510403,#393997,#393998); #357326=AXIS2_PLACEMENT_3D('',#510409,#394002,#394003); #357327=AXIS2_PLACEMENT_3D('',#510415,#394007,#394008); #357328=AXIS2_PLACEMENT_3D('',#510417,#394009,#394010); #357329=AXIS2_PLACEMENT_3D('',#510419,#394011,#394012); #357330=AXIS2_PLACEMENT_3D('',#510421,#394014,#394015); #357331=AXIS2_PLACEMENT_3D('',#510427,#394019,#394020); #357332=AXIS2_PLACEMENT_3D('',#510433,#394024,#394025); #357333=AXIS2_PLACEMENT_3D('',#510435,#394026,#394027); #357334=AXIS2_PLACEMENT_3D('',#510437,#394028,#394029); #357335=AXIS2_PLACEMENT_3D('',#510439,#394031,#394032); #357336=AXIS2_PLACEMENT_3D('',#510441,#394033,#394034); #357337=AXIS2_PLACEMENT_3D('',#510443,#394035,#394036); #357338=AXIS2_PLACEMENT_3D('',#510445,#394038,#394039); #357339=AXIS2_PLACEMENT_3D('',#510451,#394043,#394044); #357340=AXIS2_PLACEMENT_3D('',#510453,#394045,#394046); #357341=AXIS2_PLACEMENT_3D('',#510455,#394047,#394048); #357342=AXIS2_PLACEMENT_3D('',#510457,#394050,#394051); #357343=AXIS2_PLACEMENT_3D('',#510463,#394055,#394056); #357344=AXIS2_PLACEMENT_3D('',#510469,#394060,#394061); #357345=AXIS2_PLACEMENT_3D('',#510471,#394062,#394063); #357346=AXIS2_PLACEMENT_3D('',#510473,#394064,#394065); #357347=AXIS2_PLACEMENT_3D('',#510475,#394067,#394068); #357348=AXIS2_PLACEMENT_3D('',#510481,#394072,#394073); #357349=AXIS2_PLACEMENT_3D('',#510487,#394077,#394078); #357350=AXIS2_PLACEMENT_3D('',#510493,#394082,#394083); #357351=AXIS2_PLACEMENT_3D('',#510499,#394087,#394088); #357352=AXIS2_PLACEMENT_3D('',#510502,#394091,#394092); #357353=AXIS2_PLACEMENT_3D('',#510503,#394093,#394094); #357354=AXIS2_PLACEMENT_3D('',#510504,#394095,#394096); #357355=AXIS2_PLACEMENT_3D('',#510506,#394097,#394098); #357356=AXIS2_PLACEMENT_3D('',#510509,#394100,#394101); #357357=AXIS2_PLACEMENT_3D('',#510510,#394102,#394103); #357358=AXIS2_PLACEMENT_3D('',#510519,#394108,#394109); #357359=AXIS2_PLACEMENT_3D('',#510521,#394110,#394111); #357360=AXIS2_PLACEMENT_3D('',#510523,#394112,#394113); #357361=AXIS2_PLACEMENT_3D('',#510525,#394115,#394116); #357362=AXIS2_PLACEMENT_3D('',#510531,#394120,#394121); #357363=AXIS2_PLACEMENT_3D('',#510537,#394125,#394126); #357364=AXIS2_PLACEMENT_3D('',#510539,#394127,#394128); #357365=AXIS2_PLACEMENT_3D('',#510541,#394129,#394130); #357366=AXIS2_PLACEMENT_3D('',#510543,#394132,#394133); #357367=AXIS2_PLACEMENT_3D('',#510549,#394137,#394138); #357368=AXIS2_PLACEMENT_3D('',#510555,#394142,#394143); #357369=AXIS2_PLACEMENT_3D('',#510561,#394147,#394148); #357370=AXIS2_PLACEMENT_3D('',#510567,#394152,#394153); #357371=AXIS2_PLACEMENT_3D('',#510573,#394157,#394158); #357372=AXIS2_PLACEMENT_3D('',#510579,#394162,#394163); #357373=AXIS2_PLACEMENT_3D('',#510585,#394167,#394168); #357374=AXIS2_PLACEMENT_3D('',#510591,#394172,#394173); #357375=AXIS2_PLACEMENT_3D('',#510593,#394174,#394175); #357376=AXIS2_PLACEMENT_3D('',#510595,#394176,#394177); #357377=AXIS2_PLACEMENT_3D('',#510597,#394179,#394180); #357378=AXIS2_PLACEMENT_3D('',#510603,#394184,#394185); #357379=AXIS2_PLACEMENT_3D('',#510604,#394186,#394187); #357380=AXIS2_PLACEMENT_3D('',#510605,#394188,#394189); #357381=AXIS2_PLACEMENT_3D('',#510606,#394190,#394191); #357382=AXIS2_PLACEMENT_3D('',#510607,#394192,#394193); #357383=AXIS2_PLACEMENT_3D('',#510608,#394194,#394195); #357384=AXIS2_PLACEMENT_3D('',#510610,#394196,#394197); #357385=AXIS2_PLACEMENT_3D('',#510613,#394199,#394200); #357386=AXIS2_PLACEMENT_3D('',#510614,#394201,#394202); #357387=AXIS2_PLACEMENT_3D('',#510623,#394207,#394208); #357388=AXIS2_PLACEMENT_3D('',#510625,#394209,#394210); #357389=AXIS2_PLACEMENT_3D('',#510627,#394211,#394212); #357390=AXIS2_PLACEMENT_3D('',#510629,#394214,#394215); #357391=AXIS2_PLACEMENT_3D('',#510631,#394216,#394217); #357392=AXIS2_PLACEMENT_3D('',#510633,#394218,#394219); #357393=AXIS2_PLACEMENT_3D('',#510635,#394221,#394222); #357394=AXIS2_PLACEMENT_3D('',#510641,#394226,#394227); #357395=AXIS2_PLACEMENT_3D('',#510647,#394231,#394232); #357396=AXIS2_PLACEMENT_3D('',#510653,#394236,#394237); #357397=AXIS2_PLACEMENT_3D('',#510659,#394241,#394242); #357398=AXIS2_PLACEMENT_3D('',#510665,#394246,#394247); #357399=AXIS2_PLACEMENT_3D('',#510671,#394251,#394252); #357400=AXIS2_PLACEMENT_3D('',#510677,#394256,#394257); #357401=AXIS2_PLACEMENT_3D('',#510679,#394258,#394259); #357402=AXIS2_PLACEMENT_3D('',#510681,#394260,#394261); #357403=AXIS2_PLACEMENT_3D('',#510683,#394263,#394264); #357404=AXIS2_PLACEMENT_3D('',#510689,#394268,#394269); #357405=AXIS2_PLACEMENT_3D('',#510690,#394270,#394271); #357406=AXIS2_PLACEMENT_3D('',#510691,#394272,#394273); #357407=AXIS2_PLACEMENT_3D('',#510692,#394274,#394275); #357408=AXIS2_PLACEMENT_3D('',#510693,#394276,#394277); #357409=AXIS2_PLACEMENT_3D('',#510694,#394278,#394279); #357410=AXIS2_PLACEMENT_3D('',#510696,#394280,#394281); #357411=AXIS2_PLACEMENT_3D('',#510699,#394283,#394284); #357412=AXIS2_PLACEMENT_3D('',#510700,#394285,#394286); #357413=AXIS2_PLACEMENT_3D('',#510709,#394291,#394292); #357414=AXIS2_PLACEMENT_3D('',#510715,#394296,#394297); #357415=AXIS2_PLACEMENT_3D('',#510721,#394301,#394302); #357416=AXIS2_PLACEMENT_3D('',#510727,#394306,#394307); #357417=AXIS2_PLACEMENT_3D('',#510733,#394311,#394312); #357418=AXIS2_PLACEMENT_3D('',#510735,#394313,#394314); #357419=AXIS2_PLACEMENT_3D('',#510737,#394315,#394316); #357420=AXIS2_PLACEMENT_3D('',#510739,#394318,#394319); #357421=AXIS2_PLACEMENT_3D('',#510745,#394323,#394324); #357422=AXIS2_PLACEMENT_3D('',#510751,#394328,#394329); #357423=AXIS2_PLACEMENT_3D('',#510753,#394330,#394331); #357424=AXIS2_PLACEMENT_3D('',#510755,#394332,#394333); #357425=AXIS2_PLACEMENT_3D('',#510757,#394335,#394336); #357426=AXIS2_PLACEMENT_3D('',#510763,#394340,#394341); #357427=AXIS2_PLACEMENT_3D('',#510765,#394342,#394343); #357428=AXIS2_PLACEMENT_3D('',#510767,#394344,#394345); #357429=AXIS2_PLACEMENT_3D('',#510769,#394347,#394348); #357430=AXIS2_PLACEMENT_3D('',#510771,#394349,#394350); #357431=AXIS2_PLACEMENT_3D('',#510773,#394351,#394352); #357432=AXIS2_PLACEMENT_3D('',#510775,#394354,#394355); #357433=AXIS2_PLACEMENT_3D('',#510781,#394359,#394360); #357434=AXIS2_PLACEMENT_3D('',#510787,#394364,#394365); #357435=AXIS2_PLACEMENT_3D('',#510789,#394366,#394367); #357436=AXIS2_PLACEMENT_3D('',#510791,#394368,#394369); #357437=AXIS2_PLACEMENT_3D('',#510793,#394371,#394372); #357438=AXIS2_PLACEMENT_3D('',#510799,#394376,#394377); #357439=AXIS2_PLACEMENT_3D('',#510805,#394381,#394382); #357440=AXIS2_PLACEMENT_3D('',#510808,#394385,#394386); #357441=AXIS2_PLACEMENT_3D('',#510809,#394387,#394388); #357442=AXIS2_PLACEMENT_3D('',#510810,#394389,#394390); #357443=AXIS2_PLACEMENT_3D('',#510812,#394391,#394392); #357444=AXIS2_PLACEMENT_3D('',#510815,#394394,#394395); #357445=AXIS2_PLACEMENT_3D('',#510816,#394396,#394397); #357446=AXIS2_PLACEMENT_3D('',#510825,#394402,#394403); #357447=AXIS2_PLACEMENT_3D('',#510827,#394404,#394405); #357448=AXIS2_PLACEMENT_3D('',#510829,#394406,#394407); #357449=AXIS2_PLACEMENT_3D('',#510831,#394409,#394410); #357450=AXIS2_PLACEMENT_3D('',#510837,#394414,#394415); #357451=AXIS2_PLACEMENT_3D('',#510839,#394416,#394417); #357452=AXIS2_PLACEMENT_3D('',#510841,#394418,#394419); #357453=AXIS2_PLACEMENT_3D('',#510843,#394421,#394422); #357454=AXIS2_PLACEMENT_3D('',#510849,#394426,#394427); #357455=AXIS2_PLACEMENT_3D('',#510855,#394431,#394432); #357456=AXIS2_PLACEMENT_3D('',#510861,#394436,#394437); #357457=AXIS2_PLACEMENT_3D('',#510867,#394441,#394442); #357458=AXIS2_PLACEMENT_3D('',#510873,#394446,#394447); #357459=AXIS2_PLACEMENT_3D('',#510879,#394451,#394452); #357460=AXIS2_PLACEMENT_3D('',#510885,#394456,#394457); #357461=AXIS2_PLACEMENT_3D('',#510887,#394458,#394459); #357462=AXIS2_PLACEMENT_3D('',#510889,#394460,#394461); #357463=AXIS2_PLACEMENT_3D('',#510891,#394463,#394464); #357464=AXIS2_PLACEMENT_3D('',#510892,#394465,#394466); #357465=AXIS2_PLACEMENT_3D('',#510893,#394467,#394468); #357466=AXIS2_PLACEMENT_3D('',#510894,#394469,#394470); #357467=AXIS2_PLACEMENT_3D('',#510895,#394471,#394472); #357468=AXIS2_PLACEMENT_3D('',#510896,#394473,#394474); #357469=AXIS2_PLACEMENT_3D('',#510898,#394475,#394476); #357470=AXIS2_PLACEMENT_3D('',#510901,#394478,#394479); #357471=AXIS2_PLACEMENT_3D('',#510902,#394480,#394481); #357472=AXIS2_PLACEMENT_3D('',#510911,#394486,#394487); #357473=AXIS2_PLACEMENT_3D('',#510917,#394491,#394492); #357474=AXIS2_PLACEMENT_3D('',#510923,#394496,#394497); #357475=AXIS2_PLACEMENT_3D('',#510929,#394501,#394502); #357476=AXIS2_PLACEMENT_3D('',#510935,#394506,#394507); #357477=AXIS2_PLACEMENT_3D('',#510941,#394511,#394512); #357478=AXIS2_PLACEMENT_3D('',#510943,#394513,#394514); #357479=AXIS2_PLACEMENT_3D('',#510945,#394515,#394516); #357480=AXIS2_PLACEMENT_3D('',#510947,#394518,#394519); #357481=AXIS2_PLACEMENT_3D('',#510953,#394523,#394524); #357482=AXIS2_PLACEMENT_3D('',#510959,#394528,#394529); #357483=AXIS2_PLACEMENT_3D('',#510961,#394530,#394531); #357484=AXIS2_PLACEMENT_3D('',#510963,#394532,#394533); #357485=AXIS2_PLACEMENT_3D('',#510965,#394535,#394536); #357486=AXIS2_PLACEMENT_3D('',#510967,#394537,#394538); #357487=AXIS2_PLACEMENT_3D('',#510969,#394539,#394540); #357488=AXIS2_PLACEMENT_3D('',#510971,#394542,#394543); #357489=AXIS2_PLACEMENT_3D('',#510977,#394547,#394548); #357490=AXIS2_PLACEMENT_3D('',#510979,#394549,#394550); #357491=AXIS2_PLACEMENT_3D('',#510981,#394551,#394552); #357492=AXIS2_PLACEMENT_3D('',#510983,#394554,#394555); #357493=AXIS2_PLACEMENT_3D('',#510989,#394559,#394560); #357494=AXIS2_PLACEMENT_3D('',#510995,#394564,#394565); #357495=AXIS2_PLACEMENT_3D('',#510997,#394566,#394567); #357496=AXIS2_PLACEMENT_3D('',#510999,#394568,#394569); #357497=AXIS2_PLACEMENT_3D('',#511001,#394571,#394572); #357498=AXIS2_PLACEMENT_3D('',#511007,#394576,#394577); #357499=AXIS2_PLACEMENT_3D('',#511010,#394580,#394581); #357500=AXIS2_PLACEMENT_3D('',#511011,#394582,#394583); #357501=AXIS2_PLACEMENT_3D('',#511012,#394584,#394585); #357502=AXIS2_PLACEMENT_3D('',#511014,#394586,#394587); #357503=AXIS2_PLACEMENT_3D('',#511017,#394589,#394590); #357504=AXIS2_PLACEMENT_3D('',#511018,#394591,#394592); #357505=AXIS2_PLACEMENT_3D('',#511027,#394597,#394598); #357506=AXIS2_PLACEMENT_3D('',#511029,#394599,#394600); #357507=AXIS2_PLACEMENT_3D('',#511031,#394601,#394602); #357508=AXIS2_PLACEMENT_3D('',#511033,#394604,#394605); #357509=AXIS2_PLACEMENT_3D('',#511039,#394609,#394610); #357510=AXIS2_PLACEMENT_3D('',#511045,#394614,#394615); #357511=AXIS2_PLACEMENT_3D('',#511051,#394619,#394620); #357512=AXIS2_PLACEMENT_3D('',#511057,#394624,#394625); #357513=AXIS2_PLACEMENT_3D('',#511063,#394629,#394630); #357514=AXIS2_PLACEMENT_3D('',#511069,#394634,#394635); #357515=AXIS2_PLACEMENT_3D('',#511075,#394639,#394640); #357516=AXIS2_PLACEMENT_3D('',#511077,#394641,#394642); #357517=AXIS2_PLACEMENT_3D('',#511079,#394643,#394644); #357518=AXIS2_PLACEMENT_3D('',#511081,#394646,#394647); #357519=AXIS2_PLACEMENT_3D('',#511082,#394648,#394649); #357520=AXIS2_PLACEMENT_3D('',#511083,#394650,#394651); #357521=AXIS2_PLACEMENT_3D('',#511084,#394652,#394653); #357522=AXIS2_PLACEMENT_3D('',#511085,#394654,#394655); #357523=AXIS2_PLACEMENT_3D('',#511086,#394656,#394657); #357524=AXIS2_PLACEMENT_3D('',#511088,#394658,#394659); #357525=AXIS2_PLACEMENT_3D('',#511091,#394661,#394662); #357526=AXIS2_PLACEMENT_3D('',#511092,#394663,#394664); #357527=AXIS2_PLACEMENT_3D('',#511101,#394669,#394670); #357528=AXIS2_PLACEMENT_3D('',#511103,#394671,#394672); #357529=AXIS2_PLACEMENT_3D('',#511105,#394673,#394674); #357530=AXIS2_PLACEMENT_3D('',#511107,#394676,#394677); #357531=AXIS2_PLACEMENT_3D('',#511109,#394678,#394679); #357532=AXIS2_PLACEMENT_3D('',#511111,#394680,#394681); #357533=AXIS2_PLACEMENT_3D('',#511113,#394683,#394684); #357534=AXIS2_PLACEMENT_3D('',#511119,#394688,#394689); #357535=AXIS2_PLACEMENT_3D('',#511125,#394693,#394694); #357536=AXIS2_PLACEMENT_3D('',#511131,#394698,#394699); #357537=AXIS2_PLACEMENT_3D('',#511137,#394703,#394704); #357538=AXIS2_PLACEMENT_3D('',#511143,#394708,#394709); #357539=AXIS2_PLACEMENT_3D('',#511149,#394713,#394714); #357540=AXIS2_PLACEMENT_3D('',#511155,#394718,#394719); #357541=AXIS2_PLACEMENT_3D('',#511156,#394720,#394721); #357542=AXIS2_PLACEMENT_3D('',#511157,#394722,#394723); #357543=AXIS2_PLACEMENT_3D('',#511158,#394724,#394725); #357544=AXIS2_PLACEMENT_3D('',#511159,#394726,#394727); #357545=AXIS2_PLACEMENT_3D('',#511160,#394728,#394729); #357546=AXIS2_PLACEMENT_3D('',#511162,#394730,#394731); #357547=AXIS2_PLACEMENT_3D('',#511165,#394733,#394734); #357548=AXIS2_PLACEMENT_3D('',#511166,#394735,#394736); #357549=AXIS2_PLACEMENT_3D('',#511175,#394741,#394742); #357550=AXIS2_PLACEMENT_3D('',#511181,#394746,#394747); #357551=AXIS2_PLACEMENT_3D('',#511187,#394751,#394752); #357552=AXIS2_PLACEMENT_3D('',#511193,#394756,#394757); #357553=AXIS2_PLACEMENT_3D('',#511199,#394761,#394762); #357554=AXIS2_PLACEMENT_3D('',#511201,#394763,#394764); #357555=AXIS2_PLACEMENT_3D('',#511203,#394765,#394766); #357556=AXIS2_PLACEMENT_3D('',#511205,#394768,#394769); #357557=AXIS2_PLACEMENT_3D('',#511211,#394773,#394774); #357558=AXIS2_PLACEMENT_3D('',#511217,#394778,#394779); #357559=AXIS2_PLACEMENT_3D('',#511223,#394783,#394784); #357560=AXIS2_PLACEMENT_3D('',#511229,#394788,#394789); #357561=AXIS2_PLACEMENT_3D('',#511235,#394793,#394794); #357562=AXIS2_PLACEMENT_3D('',#511237,#394795,#394796); #357563=AXIS2_PLACEMENT_3D('',#511239,#394797,#394798); #357564=AXIS2_PLACEMENT_3D('',#511241,#394800,#394801); #357565=AXIS2_PLACEMENT_3D('',#511243,#394802,#394803); #357566=AXIS2_PLACEMENT_3D('',#511245,#394804,#394805); #357567=AXIS2_PLACEMENT_3D('',#511247,#394807,#394808); #357568=AXIS2_PLACEMENT_3D('',#511253,#394812,#394813); #357569=AXIS2_PLACEMENT_3D('',#511255,#394814,#394815); #357570=AXIS2_PLACEMENT_3D('',#511257,#394816,#394817); #357571=AXIS2_PLACEMENT_3D('',#511259,#394819,#394820); #357572=AXIS2_PLACEMENT_3D('',#511265,#394824,#394825); #357573=AXIS2_PLACEMENT_3D('',#511267,#394826,#394827); #357574=AXIS2_PLACEMENT_3D('',#511269,#394828,#394829); #357575=AXIS2_PLACEMENT_3D('',#511271,#394831,#394832); #357576=AXIS2_PLACEMENT_3D('',#511277,#394836,#394837); #357577=AXIS2_PLACEMENT_3D('',#511279,#394838,#394839); #357578=AXIS2_PLACEMENT_3D('',#511281,#394840,#394841); #357579=AXIS2_PLACEMENT_3D('',#511283,#394843,#394844); #357580=AXIS2_PLACEMENT_3D('',#511289,#394848,#394849); #357581=AXIS2_PLACEMENT_3D('',#511291,#394850,#394851); #357582=AXIS2_PLACEMENT_3D('',#511293,#394852,#394853); #357583=AXIS2_PLACEMENT_3D('',#511295,#394855,#394856); #357584=AXIS2_PLACEMENT_3D('',#511301,#394860,#394861); #357585=AXIS2_PLACEMENT_3D('',#511304,#394864,#394865); #357586=AXIS2_PLACEMENT_3D('',#511305,#394866,#394867); #357587=AXIS2_PLACEMENT_3D('',#511306,#394868,#394869); #357588=AXIS2_PLACEMENT_3D('',#511308,#394870,#394871); #357589=AXIS2_PLACEMENT_3D('',#511311,#394873,#394874); #357590=AXIS2_PLACEMENT_3D('',#511312,#394875,#394876); #357591=AXIS2_PLACEMENT_3D('',#511321,#394881,#394882); #357592=AXIS2_PLACEMENT_3D('',#511327,#394886,#394887); #357593=AXIS2_PLACEMENT_3D('',#511333,#394891,#394892); #357594=AXIS2_PLACEMENT_3D('',#511339,#394896,#394897); #357595=AXIS2_PLACEMENT_3D('',#511345,#394901,#394902); #357596=AXIS2_PLACEMENT_3D('',#511351,#394906,#394907); #357597=AXIS2_PLACEMENT_3D('',#511357,#394911,#394912); #357598=AXIS2_PLACEMENT_3D('',#511363,#394916,#394917); #357599=AXIS2_PLACEMENT_3D('',#511365,#394918,#394919); #357600=AXIS2_PLACEMENT_3D('',#511367,#394920,#394921); #357601=AXIS2_PLACEMENT_3D('',#511369,#394923,#394924); #357602=AXIS2_PLACEMENT_3D('',#511371,#394925,#394926); #357603=AXIS2_PLACEMENT_3D('',#511373,#394927,#394928); #357604=AXIS2_PLACEMENT_3D('',#511375,#394930,#394931); #357605=AXIS2_PLACEMENT_3D('',#511381,#394935,#394936); #357606=AXIS2_PLACEMENT_3D('',#511383,#394937,#394938); #357607=AXIS2_PLACEMENT_3D('',#511385,#394939,#394940); #357608=AXIS2_PLACEMENT_3D('',#511387,#394942,#394943); #357609=AXIS2_PLACEMENT_3D('',#511393,#394947,#394948); #357610=AXIS2_PLACEMENT_3D('',#511395,#394949,#394950); #357611=AXIS2_PLACEMENT_3D('',#511397,#394951,#394952); #357612=AXIS2_PLACEMENT_3D('',#511399,#394954,#394955); #357613=AXIS2_PLACEMENT_3D('',#511405,#394959,#394960); #357614=AXIS2_PLACEMENT_3D('',#511407,#394961,#394962); #357615=AXIS2_PLACEMENT_3D('',#511409,#394963,#394964); #357616=AXIS2_PLACEMENT_3D('',#511411,#394966,#394967); #357617=AXIS2_PLACEMENT_3D('',#511414,#394970,#394971); #357618=AXIS2_PLACEMENT_3D('',#511415,#394972,#394973); #357619=AXIS2_PLACEMENT_3D('',#511416,#394974,#394975); #357620=AXIS2_PLACEMENT_3D('',#511418,#394976,#394977); #357621=AXIS2_PLACEMENT_3D('',#511421,#394979,#394980); #357622=AXIS2_PLACEMENT_3D('',#511422,#394981,#394982); #357623=AXIS2_PLACEMENT_3D('',#511431,#394987,#394988); #357624=AXIS2_PLACEMENT_3D('',#511437,#394992,#394993); #357625=AXIS2_PLACEMENT_3D('',#511443,#394997,#394998); #357626=AXIS2_PLACEMENT_3D('',#511449,#395002,#395003); #357627=AXIS2_PLACEMENT_3D('',#511455,#395007,#395008); #357628=AXIS2_PLACEMENT_3D('',#511457,#395009,#395010); #357629=AXIS2_PLACEMENT_3D('',#511459,#395011,#395012); #357630=AXIS2_PLACEMENT_3D('',#511461,#395014,#395015); #357631=AXIS2_PLACEMENT_3D('',#511467,#395019,#395020); #357632=AXIS2_PLACEMENT_3D('',#511469,#395021,#395022); #357633=AXIS2_PLACEMENT_3D('',#511471,#395023,#395024); #357634=AXIS2_PLACEMENT_3D('',#511473,#395026,#395027); #357635=AXIS2_PLACEMENT_3D('',#511479,#395031,#395032); #357636=AXIS2_PLACEMENT_3D('',#511481,#395033,#395034); #357637=AXIS2_PLACEMENT_3D('',#511483,#395035,#395036); #357638=AXIS2_PLACEMENT_3D('',#511485,#395038,#395039); #357639=AXIS2_PLACEMENT_3D('',#511491,#395043,#395044); #357640=AXIS2_PLACEMENT_3D('',#511493,#395045,#395046); #357641=AXIS2_PLACEMENT_3D('',#511495,#395047,#395048); #357642=AXIS2_PLACEMENT_3D('',#511497,#395050,#395051); #357643=AXIS2_PLACEMENT_3D('',#511503,#395055,#395056); #357644=AXIS2_PLACEMENT_3D('',#511505,#395057,#395058); #357645=AXIS2_PLACEMENT_3D('',#511507,#395059,#395060); #357646=AXIS2_PLACEMENT_3D('',#511509,#395062,#395063); #357647=AXIS2_PLACEMENT_3D('',#511511,#395064,#395065); #357648=AXIS2_PLACEMENT_3D('',#511513,#395066,#395067); #357649=AXIS2_PLACEMENT_3D('',#511515,#395069,#395070); #357650=AXIS2_PLACEMENT_3D('',#511521,#395074,#395075); #357651=AXIS2_PLACEMENT_3D('',#511527,#395079,#395080); #357652=AXIS2_PLACEMENT_3D('',#511533,#395084,#395085); #357653=AXIS2_PLACEMENT_3D('',#511539,#395089,#395090); #357654=AXIS2_PLACEMENT_3D('',#511545,#395094,#395095); #357655=AXIS2_PLACEMENT_3D('',#511547,#395096,#395097); #357656=AXIS2_PLACEMENT_3D('',#511549,#395098,#395099); #357657=AXIS2_PLACEMENT_3D('',#511551,#395101,#395102); #357658=AXIS2_PLACEMENT_3D('',#511557,#395106,#395107); #357659=AXIS2_PLACEMENT_3D('',#511560,#395110,#395111); #357660=AXIS2_PLACEMENT_3D('',#511561,#395112,#395113); #357661=AXIS2_PLACEMENT_3D('',#511562,#395114,#395115); #357662=AXIS2_PLACEMENT_3D('',#511571,#395120,#395121); #357663=AXIS2_PLACEMENT_3D('',#511577,#395125,#395126); #357664=AXIS2_PLACEMENT_3D('',#511583,#395130,#395131); #357665=AXIS2_PLACEMENT_3D('',#511589,#395135,#395136); #357666=AXIS2_PLACEMENT_3D('',#511591,#395137,#395138); #357667=AXIS2_PLACEMENT_3D('',#511593,#395139,#395140); #357668=AXIS2_PLACEMENT_3D('',#511595,#395142,#395143); #357669=AXIS2_PLACEMENT_3D('',#511601,#395147,#395148); #357670=AXIS2_PLACEMENT_3D('',#511603,#395149,#395150); #357671=AXIS2_PLACEMENT_3D('',#511605,#395151,#395152); #357672=AXIS2_PLACEMENT_3D('',#511607,#395154,#395155); #357673=AXIS2_PLACEMENT_3D('',#511613,#395159,#395160); #357674=AXIS2_PLACEMENT_3D('',#511619,#395164,#395165); #357675=AXIS2_PLACEMENT_3D('',#511625,#395169,#395170); #357676=AXIS2_PLACEMENT_3D('',#511631,#395174,#395175); #357677=AXIS2_PLACEMENT_3D('',#511637,#395179,#395180); #357678=AXIS2_PLACEMENT_3D('',#511643,#395184,#395185); #357679=AXIS2_PLACEMENT_3D('',#511649,#395189,#395190); #357680=AXIS2_PLACEMENT_3D('',#511655,#395194,#395195); #357681=AXIS2_PLACEMENT_3D('',#511661,#395199,#395200); #357682=AXIS2_PLACEMENT_3D('',#511663,#395201,#395202); #357683=AXIS2_PLACEMENT_3D('',#511665,#395203,#395204); #357684=AXIS2_PLACEMENT_3D('',#511667,#395206,#395207); #357685=AXIS2_PLACEMENT_3D('',#511673,#395211,#395212); #357686=AXIS2_PLACEMENT_3D('',#511675,#395213,#395214); #357687=AXIS2_PLACEMENT_3D('',#511677,#395215,#395216); #357688=AXIS2_PLACEMENT_3D('',#511679,#395218,#395219); #357689=AXIS2_PLACEMENT_3D('',#511685,#395223,#395224); #357690=AXIS2_PLACEMENT_3D('',#511691,#395228,#395229); #357691=AXIS2_PLACEMENT_3D('',#511697,#395233,#395234); #357692=AXIS2_PLACEMENT_3D('',#511703,#395238,#395239); #357693=AXIS2_PLACEMENT_3D('',#511706,#395242,#395243); #357694=AXIS2_PLACEMENT_3D('',#511707,#395244,#395245); #357695=AXIS2_PLACEMENT_3D('',#511708,#395246,#395247); #357696=AXIS2_PLACEMENT_3D('',#511710,#395248,#395249); #357697=AXIS2_PLACEMENT_3D('',#511713,#395251,#395252); #357698=AXIS2_PLACEMENT_3D('',#511714,#395253,#395254); #357699=AXIS2_PLACEMENT_3D('',#511723,#395259,#395260); #357700=AXIS2_PLACEMENT_3D('',#511729,#395264,#395265); #357701=AXIS2_PLACEMENT_3D('',#511735,#395269,#395270); #357702=AXIS2_PLACEMENT_3D('',#511738,#395273,#395274); #357703=AXIS2_PLACEMENT_3D('',#511739,#395275,#395276); #357704=AXIS2_PLACEMENT_3D('',#511740,#395277,#395278); #357705=AXIS2_PLACEMENT_3D('',#511742,#395279,#395280); #357706=AXIS2_PLACEMENT_3D('',#511745,#395282,#395283); #357707=AXIS2_PLACEMENT_3D('',#511746,#395284,#395285); #357708=AXIS2_PLACEMENT_3D('',#511755,#395290,#395291); #357709=AXIS2_PLACEMENT_3D('',#511757,#395292,#395293); #357710=AXIS2_PLACEMENT_3D('',#511759,#395294,#395295); #357711=AXIS2_PLACEMENT_3D('',#511761,#395297,#395298); #357712=AXIS2_PLACEMENT_3D('',#511767,#395302,#395303); #357713=AXIS2_PLACEMENT_3D('',#511769,#395304,#395305); #357714=AXIS2_PLACEMENT_3D('',#511771,#395306,#395307); #357715=AXIS2_PLACEMENT_3D('',#511773,#395309,#395310); #357716=AXIS2_PLACEMENT_3D('',#511779,#395314,#395315); #357717=AXIS2_PLACEMENT_3D('',#511785,#395319,#395320); #357718=AXIS2_PLACEMENT_3D('',#511791,#395324,#395325); #357719=AXIS2_PLACEMENT_3D('',#511797,#395329,#395330); #357720=AXIS2_PLACEMENT_3D('',#511799,#395331,#395332); #357721=AXIS2_PLACEMENT_3D('',#511801,#395333,#395334); #357722=AXIS2_PLACEMENT_3D('',#511803,#395336,#395337); #357723=AXIS2_PLACEMENT_3D('',#511809,#395341,#395342); #357724=AXIS2_PLACEMENT_3D('',#511815,#395346,#395347); #357725=AXIS2_PLACEMENT_3D('',#511821,#395351,#395352); #357726=AXIS2_PLACEMENT_3D('',#511827,#395356,#395357); #357727=AXIS2_PLACEMENT_3D('',#511833,#395361,#395362); #357728=AXIS2_PLACEMENT_3D('',#511839,#395366,#395367); #357729=AXIS2_PLACEMENT_3D('',#511845,#395371,#395372); #357730=AXIS2_PLACEMENT_3D('',#511847,#395373,#395374); #357731=AXIS2_PLACEMENT_3D('',#511849,#395375,#395376); #357732=AXIS2_PLACEMENT_3D('',#511851,#395378,#395379); #357733=AXIS2_PLACEMENT_3D('',#511857,#395383,#395384); #357734=AXIS2_PLACEMENT_3D('',#511859,#395385,#395386); #357735=AXIS2_PLACEMENT_3D('',#511861,#395387,#395388); #357736=AXIS2_PLACEMENT_3D('',#511863,#395390,#395391); #357737=AXIS2_PLACEMENT_3D('',#511869,#395395,#395396); #357738=AXIS2_PLACEMENT_3D('',#511871,#395397,#395398); #357739=AXIS2_PLACEMENT_3D('',#511873,#395399,#395400); #357740=AXIS2_PLACEMENT_3D('',#511875,#395402,#395403); #357741=AXIS2_PLACEMENT_3D('',#511881,#395407,#395408); #357742=AXIS2_PLACEMENT_3D('',#511887,#395412,#395413); #357743=AXIS2_PLACEMENT_3D('',#511888,#395414,#395415); #357744=AXIS2_PLACEMENT_3D('',#511889,#395416,#395417); #357745=AXIS2_PLACEMENT_3D('',#511890,#395418,#395419); #357746=AXIS2_PLACEMENT_3D('',#511891,#395420,#395421); #357747=AXIS2_PLACEMENT_3D('',#511892,#395422,#395423); #357748=AXIS2_PLACEMENT_3D('',#511894,#395424,#395425); #357749=AXIS2_PLACEMENT_3D('',#511897,#395427,#395428); #357750=AXIS2_PLACEMENT_3D('',#511898,#395429,#395430); #357751=AXIS2_PLACEMENT_3D('',#511907,#395435,#395436); #357752=AXIS2_PLACEMENT_3D('',#511913,#395440,#395441); #357753=AXIS2_PLACEMENT_3D('',#511919,#395445,#395446); #357754=AXIS2_PLACEMENT_3D('',#511925,#395450,#395451); #357755=AXIS2_PLACEMENT_3D('',#511931,#395455,#395456); #357756=AXIS2_PLACEMENT_3D('',#511937,#395460,#395461); #357757=AXIS2_PLACEMENT_3D('',#511943,#395465,#395466); #357758=AXIS2_PLACEMENT_3D('',#511945,#395467,#395468); #357759=AXIS2_PLACEMENT_3D('',#511947,#395469,#395470); #357760=AXIS2_PLACEMENT_3D('',#511949,#395472,#395473); #357761=AXIS2_PLACEMENT_3D('',#511955,#395477,#395478); #357762=AXIS2_PLACEMENT_3D('',#511957,#395479,#395480); #357763=AXIS2_PLACEMENT_3D('',#511959,#395481,#395482); #357764=AXIS2_PLACEMENT_3D('',#511961,#395484,#395485); #357765=AXIS2_PLACEMENT_3D('',#511963,#395486,#395487); #357766=AXIS2_PLACEMENT_3D('',#511965,#395488,#395489); #357767=AXIS2_PLACEMENT_3D('',#511967,#395491,#395492); #357768=AXIS2_PLACEMENT_3D('',#511973,#395496,#395497); #357769=AXIS2_PLACEMENT_3D('',#511979,#395501,#395502); #357770=AXIS2_PLACEMENT_3D('',#511981,#395503,#395504); #357771=AXIS2_PLACEMENT_3D('',#511983,#395505,#395506); #357772=AXIS2_PLACEMENT_3D('',#511985,#395508,#395509); #357773=AXIS2_PLACEMENT_3D('',#511988,#395512,#395513); #357774=AXIS2_PLACEMENT_3D('',#511989,#395514,#395515); #357775=AXIS2_PLACEMENT_3D('',#511990,#395516,#395517); #357776=AXIS2_PLACEMENT_3D('',#511992,#395518,#395519); #357777=AXIS2_PLACEMENT_3D('',#511995,#395521,#395522); #357778=AXIS2_PLACEMENT_3D('',#511996,#395523,#395524); #357779=AXIS2_PLACEMENT_3D('',#512005,#395529,#395530); #357780=AXIS2_PLACEMENT_3D('',#512011,#395534,#395535); #357781=AXIS2_PLACEMENT_3D('',#512017,#395539,#395540); #357782=AXIS2_PLACEMENT_3D('',#512023,#395544,#395545); #357783=AXIS2_PLACEMENT_3D('',#512029,#395549,#395550); #357784=AXIS2_PLACEMENT_3D('',#512035,#395554,#395555); #357785=AXIS2_PLACEMENT_3D('',#512041,#395559,#395560); #357786=AXIS2_PLACEMENT_3D('',#512047,#395564,#395565); #357787=AXIS2_PLACEMENT_3D('',#512053,#395569,#395570); #357788=AXIS2_PLACEMENT_3D('',#512059,#395574,#395575); #357789=AXIS2_PLACEMENT_3D('',#512061,#395576,#395577); #357790=AXIS2_PLACEMENT_3D('',#512063,#395578,#395579); #357791=AXIS2_PLACEMENT_3D('',#512065,#395581,#395582); #357792=AXIS2_PLACEMENT_3D('',#512071,#395586,#395587); #357793=AXIS2_PLACEMENT_3D('',#512073,#395588,#395589); #357794=AXIS2_PLACEMENT_3D('',#512075,#395590,#395591); #357795=AXIS2_PLACEMENT_3D('',#512077,#395593,#395594); #357796=AXIS2_PLACEMENT_3D('',#512083,#395598,#395599); #357797=AXIS2_PLACEMENT_3D('',#512089,#395603,#395604); #357798=AXIS2_PLACEMENT_3D('',#512095,#395608,#395609); #357799=AXIS2_PLACEMENT_3D('',#512097,#395610,#395611); #357800=AXIS2_PLACEMENT_3D('',#512099,#395612,#395613); #357801=AXIS2_PLACEMENT_3D('',#512101,#395615,#395616); #357802=AXIS2_PLACEMENT_3D('',#512107,#395620,#395621); #357803=AXIS2_PLACEMENT_3D('',#512109,#395622,#395623); #357804=AXIS2_PLACEMENT_3D('',#512111,#395624,#395625); #357805=AXIS2_PLACEMENT_3D('',#512113,#395627,#395628); #357806=AXIS2_PLACEMENT_3D('',#512119,#395632,#395633); #357807=AXIS2_PLACEMENT_3D('',#512125,#395637,#395638); #357808=AXIS2_PLACEMENT_3D('',#512131,#395642,#395643); #357809=AXIS2_PLACEMENT_3D('',#512133,#395644,#395645); #357810=AXIS2_PLACEMENT_3D('',#512135,#395646,#395647); #357811=AXIS2_PLACEMENT_3D('',#512137,#395649,#395650); #357812=AXIS2_PLACEMENT_3D('',#512139,#395651,#395652); #357813=AXIS2_PLACEMENT_3D('',#512141,#395653,#395654); #357814=AXIS2_PLACEMENT_3D('',#512143,#395656,#395657); #357815=AXIS2_PLACEMENT_3D('',#512149,#395661,#395662); #357816=AXIS2_PLACEMENT_3D('',#512151,#395663,#395664); #357817=AXIS2_PLACEMENT_3D('',#512153,#395665,#395666); #357818=AXIS2_PLACEMENT_3D('',#512155,#395668,#395669); #357819=AXIS2_PLACEMENT_3D('',#512161,#395673,#395674); #357820=AXIS2_PLACEMENT_3D('',#512163,#395675,#395676); #357821=AXIS2_PLACEMENT_3D('',#512165,#395677,#395678); #357822=AXIS2_PLACEMENT_3D('',#512167,#395680,#395681); #357823=AXIS2_PLACEMENT_3D('',#512173,#395685,#395686); #357824=AXIS2_PLACEMENT_3D('',#512179,#395690,#395691); #357825=AXIS2_PLACEMENT_3D('',#512185,#395695,#395696); #357826=AXIS2_PLACEMENT_3D('',#512187,#395697,#395698); #357827=AXIS2_PLACEMENT_3D('',#512189,#395699,#395700); #357828=AXIS2_PLACEMENT_3D('',#512191,#395702,#395703); #357829=AXIS2_PLACEMENT_3D('',#512197,#395707,#395708); #357830=AXIS2_PLACEMENT_3D('',#512199,#395709,#395710); #357831=AXIS2_PLACEMENT_3D('',#512201,#395711,#395712); #357832=AXIS2_PLACEMENT_3D('',#512203,#395714,#395715); #357833=AXIS2_PLACEMENT_3D('',#512209,#395719,#395720); #357834=AXIS2_PLACEMENT_3D('',#512215,#395724,#395725); #357835=AXIS2_PLACEMENT_3D('',#512221,#395729,#395730); #357836=AXIS2_PLACEMENT_3D('',#512227,#395734,#395735); #357837=AXIS2_PLACEMENT_3D('',#512233,#395739,#395740); #357838=AXIS2_PLACEMENT_3D('',#512239,#395744,#395745); #357839=AXIS2_PLACEMENT_3D('',#512245,#395749,#395750); #357840=AXIS2_PLACEMENT_3D('',#512251,#395754,#395755); #357841=AXIS2_PLACEMENT_3D('',#512254,#395758,#395759); #357842=AXIS2_PLACEMENT_3D('',#512255,#395760,#395761); #357843=AXIS2_PLACEMENT_3D('',#512256,#395762,#395763); #357844=AXIS2_PLACEMENT_3D('',#512258,#395764,#395765); #357845=AXIS2_PLACEMENT_3D('',#512261,#395767,#395768); #357846=AXIS2_PLACEMENT_3D('',#512262,#395769,#395770); #357847=AXIS2_PLACEMENT_3D('',#512271,#395775,#395776); #357848=AXIS2_PLACEMENT_3D('',#512277,#395780,#395781); #357849=AXIS2_PLACEMENT_3D('',#512283,#395785,#395786); #357850=AXIS2_PLACEMENT_3D('',#512289,#395790,#395791); #357851=AXIS2_PLACEMENT_3D('',#512295,#395795,#395796); #357852=AXIS2_PLACEMENT_3D('',#512297,#395797,#395798); #357853=AXIS2_PLACEMENT_3D('',#512299,#395799,#395800); #357854=AXIS2_PLACEMENT_3D('',#512301,#395802,#395803); #357855=AXIS2_PLACEMENT_3D('',#512307,#395807,#395808); #357856=AXIS2_PLACEMENT_3D('',#512313,#395812,#395813); #357857=AXIS2_PLACEMENT_3D('',#512315,#395814,#395815); #357858=AXIS2_PLACEMENT_3D('',#512317,#395816,#395817); #357859=AXIS2_PLACEMENT_3D('',#512319,#395819,#395820); #357860=AXIS2_PLACEMENT_3D('',#512325,#395824,#395825); #357861=AXIS2_PLACEMENT_3D('',#512331,#395829,#395830); #357862=AXIS2_PLACEMENT_3D('',#512333,#395831,#395832); #357863=AXIS2_PLACEMENT_3D('',#512335,#395833,#395834); #357864=AXIS2_PLACEMENT_3D('',#512337,#395836,#395837); #357865=AXIS2_PLACEMENT_3D('',#512339,#395838,#395839); #357866=AXIS2_PLACEMENT_3D('',#512341,#395840,#395841); #357867=AXIS2_PLACEMENT_3D('',#512343,#395843,#395844); #357868=AXIS2_PLACEMENT_3D('',#512349,#395848,#395849); #357869=AXIS2_PLACEMENT_3D('',#512351,#395850,#395851); #357870=AXIS2_PLACEMENT_3D('',#512353,#395852,#395853); #357871=AXIS2_PLACEMENT_3D('',#512355,#395855,#395856); #357872=AXIS2_PLACEMENT_3D('',#512361,#395860,#395861); #357873=AXIS2_PLACEMENT_3D('',#512367,#395865,#395866); #357874=AXIS2_PLACEMENT_3D('',#512369,#395867,#395868); #357875=AXIS2_PLACEMENT_3D('',#512371,#395869,#395870); #357876=AXIS2_PLACEMENT_3D('',#512373,#395872,#395873); #357877=AXIS2_PLACEMENT_3D('',#512379,#395877,#395878); #357878=AXIS2_PLACEMENT_3D('',#512385,#395882,#395883); #357879=AXIS2_PLACEMENT_3D('',#512388,#395886,#395887); #357880=AXIS2_PLACEMENT_3D('',#512389,#395888,#395889); #357881=AXIS2_PLACEMENT_3D('',#512390,#395890,#395891); #357882=AXIS2_PLACEMENT_3D('',#512392,#395892,#395893); #357883=AXIS2_PLACEMENT_3D('',#512395,#395895,#395896); #357884=AXIS2_PLACEMENT_3D('',#512396,#395897,#395898); #357885=AXIS2_PLACEMENT_3D('',#512405,#395903,#395904); #357886=AXIS2_PLACEMENT_3D('',#512411,#395908,#395909); #357887=AXIS2_PLACEMENT_3D('',#512417,#395913,#395914); #357888=AXIS2_PLACEMENT_3D('',#512420,#395917,#395918); #357889=AXIS2_PLACEMENT_3D('',#512421,#395919,#395920); #357890=AXIS2_PLACEMENT_3D('',#512422,#395921,#395922); #357891=AXIS2_PLACEMENT_3D('',#512424,#395923,#395924); #357892=AXIS2_PLACEMENT_3D('',#512427,#395926,#395927); #357893=AXIS2_PLACEMENT_3D('',#512428,#395928,#395929); #357894=AXIS2_PLACEMENT_3D('',#512437,#395934,#395935); #357895=AXIS2_PLACEMENT_3D('',#512443,#395939,#395940); #357896=AXIS2_PLACEMENT_3D('',#512449,#395944,#395945); #357897=AXIS2_PLACEMENT_3D('',#512452,#395948,#395949); #357898=AXIS2_PLACEMENT_3D('',#512453,#395950,#395951); #357899=AXIS2_PLACEMENT_3D('',#512454,#395952,#395953); #357900=AXIS2_PLACEMENT_3D('',#512456,#395954,#395955); #357901=AXIS2_PLACEMENT_3D('',#512459,#395957,#395958); #357902=AXIS2_PLACEMENT_3D('',#512460,#395959,#395960); #357903=AXIS2_PLACEMENT_3D('',#512469,#395965,#395966); #357904=AXIS2_PLACEMENT_3D('',#512471,#395967,#395968); #357905=AXIS2_PLACEMENT_3D('',#512473,#395969,#395970); #357906=AXIS2_PLACEMENT_3D('',#512475,#395972,#395973); #357907=AXIS2_PLACEMENT_3D('',#512481,#395977,#395978); #357908=AXIS2_PLACEMENT_3D('',#512483,#395979,#395980); #357909=AXIS2_PLACEMENT_3D('',#512485,#395981,#395982); #357910=AXIS2_PLACEMENT_3D('',#512487,#395984,#395985); #357911=AXIS2_PLACEMENT_3D('',#512493,#395989,#395990); #357912=AXIS2_PLACEMENT_3D('',#512499,#395994,#395995); #357913=AXIS2_PLACEMENT_3D('',#512505,#395999,#396000); #357914=AXIS2_PLACEMENT_3D('',#512511,#396004,#396005); #357915=AXIS2_PLACEMENT_3D('',#512517,#396009,#396010); #357916=AXIS2_PLACEMENT_3D('',#512523,#396014,#396015); #357917=AXIS2_PLACEMENT_3D('',#512529,#396019,#396020); #357918=AXIS2_PLACEMENT_3D('',#512535,#396024,#396025); #357919=AXIS2_PLACEMENT_3D('',#512541,#396029,#396030); #357920=AXIS2_PLACEMENT_3D('',#512542,#396031,#396032); #357921=AXIS2_PLACEMENT_3D('',#512543,#396033,#396034); #357922=AXIS2_PLACEMENT_3D('',#512544,#396035,#396036); #357923=AXIS2_PLACEMENT_3D('',#512545,#396037,#396038); #357924=AXIS2_PLACEMENT_3D('',#512546,#396039,#396040); #357925=AXIS2_PLACEMENT_3D('',#512548,#396041,#396042); #357926=AXIS2_PLACEMENT_3D('',#512551,#396044,#396045); #357927=AXIS2_PLACEMENT_3D('',#512552,#396046,#396047); #357928=AXIS2_PLACEMENT_3D('',#512561,#396052,#396053); #357929=AXIS2_PLACEMENT_3D('',#512563,#396054,#396055); #357930=AXIS2_PLACEMENT_3D('',#512565,#396056,#396057); #357931=AXIS2_PLACEMENT_3D('',#512567,#396059,#396060); #357932=AXIS2_PLACEMENT_3D('',#512568,#396061,#396062); #357933=AXIS2_PLACEMENT_3D('',#512569,#396063,#396064); #357934=AXIS2_PLACEMENT_3D('',#512570,#396065,#396066); #357935=AXIS2_PLACEMENT_3D('',#512571,#396067,#396068); #357936=AXIS2_PLACEMENT_3D('',#512572,#396069,#396070); #357937=AXIS2_PLACEMENT_3D('',#512574,#396071,#396072); #357938=AXIS2_PLACEMENT_3D('',#512577,#396074,#396075); #357939=AXIS2_PLACEMENT_3D('',#512578,#396076,#396077); #357940=AXIS2_PLACEMENT_3D('',#512580,#396078,#396079); #357941=AXIS2_PLACEMENT_3D('',#512583,#396081,#396082); #357942=AXIS2_PLACEMENT_3D('',#512584,#396083,#396084); #357943=AXIS2_PLACEMENT_3D('',#512593,#396089,#396090); #357944=AXIS2_PLACEMENT_3D('',#512595,#396091,#396092); #357945=AXIS2_PLACEMENT_3D('',#512597,#396093,#396094); #357946=AXIS2_PLACEMENT_3D('',#512599,#396096,#396097); #357947=AXIS2_PLACEMENT_3D('',#512605,#396101,#396102); #357948=AXIS2_PLACEMENT_3D('',#512607,#396103,#396104); #357949=AXIS2_PLACEMENT_3D('',#512609,#396105,#396106); #357950=AXIS2_PLACEMENT_3D('',#512611,#396108,#396109); #357951=AXIS2_PLACEMENT_3D('',#512613,#396110,#396111); #357952=AXIS2_PLACEMENT_3D('',#512615,#396112,#396113); #357953=AXIS2_PLACEMENT_3D('',#512617,#396115,#396116); #357954=AXIS2_PLACEMENT_3D('',#512623,#396120,#396121); #357955=AXIS2_PLACEMENT_3D('',#512624,#396122,#396123); #357956=AXIS2_PLACEMENT_3D('',#512625,#396124,#396125); #357957=AXIS2_PLACEMENT_3D('',#512626,#396126,#396127); #357958=AXIS2_PLACEMENT_3D('',#512627,#396128,#396129); #357959=AXIS2_PLACEMENT_3D('',#512628,#396130,#396131); #357960=AXIS2_PLACEMENT_3D('',#512630,#396132,#396133); #357961=AXIS2_PLACEMENT_3D('',#512633,#396135,#396136); #357962=AXIS2_PLACEMENT_3D('',#512634,#396137,#396138); #357963=AXIS2_PLACEMENT_3D('',#512643,#396143,#396144); #357964=AXIS2_PLACEMENT_3D('',#512645,#396145,#396146); #357965=AXIS2_PLACEMENT_3D('',#512647,#396147,#396148); #357966=AXIS2_PLACEMENT_3D('',#512649,#396150,#396151); #357967=AXIS2_PLACEMENT_3D('',#512650,#396152,#396153); #357968=AXIS2_PLACEMENT_3D('',#512651,#396154,#396155); #357969=AXIS2_PLACEMENT_3D('',#512652,#396156,#396157); #357970=AXIS2_PLACEMENT_3D('',#512653,#396158,#396159); #357971=AXIS2_PLACEMENT_3D('',#512654,#396160,#396161); #357972=AXIS2_PLACEMENT_3D('',#512656,#396162,#396163); #357973=AXIS2_PLACEMENT_3D('',#512659,#396165,#396166); #357974=AXIS2_PLACEMENT_3D('',#512660,#396167,#396168); #357975=AXIS2_PLACEMENT_3D('',#512669,#396173,#396174); #357976=AXIS2_PLACEMENT_3D('',#512675,#396178,#396179); #357977=AXIS2_PLACEMENT_3D('',#512681,#396183,#396184); #357978=AXIS2_PLACEMENT_3D('',#512684,#396187,#396188); #357979=AXIS2_PLACEMENT_3D('',#512685,#396189,#396190); #357980=AXIS2_PLACEMENT_3D('',#512686,#396191,#396192); #357981=AXIS2_PLACEMENT_3D('',#512688,#396193,#396194); #357982=AXIS2_PLACEMENT_3D('',#512691,#396196,#396197); #357983=AXIS2_PLACEMENT_3D('',#512692,#396198,#396199); #357984=AXIS2_PLACEMENT_3D('',#512701,#396204,#396205); #357985=AXIS2_PLACEMENT_3D('',#512707,#396209,#396210); #357986=AXIS2_PLACEMENT_3D('',#512713,#396214,#396215); #357987=AXIS2_PLACEMENT_3D('',#512719,#396219,#396220); #357988=AXIS2_PLACEMENT_3D('',#512725,#396224,#396225); #357989=AXIS2_PLACEMENT_3D('',#512731,#396229,#396230); #357990=AXIS2_PLACEMENT_3D('',#512737,#396234,#396235); #357991=AXIS2_PLACEMENT_3D('',#512743,#396239,#396240); #357992=AXIS2_PLACEMENT_3D('',#512749,#396244,#396245); #357993=AXIS2_PLACEMENT_3D('',#512755,#396249,#396250); #357994=AXIS2_PLACEMENT_3D('',#512761,#396254,#396255); #357995=AXIS2_PLACEMENT_3D('',#512767,#396259,#396260); #357996=AXIS2_PLACEMENT_3D('',#512769,#396261,#396262); #357997=AXIS2_PLACEMENT_3D('',#512771,#396263,#396264); #357998=AXIS2_PLACEMENT_3D('',#512773,#396266,#396267); #357999=AXIS2_PLACEMENT_3D('',#512779,#396271,#396272); #358000=AXIS2_PLACEMENT_3D('',#512781,#396273,#396274); #358001=AXIS2_PLACEMENT_3D('',#512783,#396275,#396276); #358002=AXIS2_PLACEMENT_3D('',#512785,#396278,#396279); #358003=AXIS2_PLACEMENT_3D('',#512791,#396283,#396284); #358004=AXIS2_PLACEMENT_3D('',#512797,#396288,#396289); #358005=AXIS2_PLACEMENT_3D('',#512803,#396293,#396294); #358006=AXIS2_PLACEMENT_3D('',#512805,#396295,#396296); #358007=AXIS2_PLACEMENT_3D('',#512807,#396297,#396298); #358008=AXIS2_PLACEMENT_3D('',#512809,#396300,#396301); #358009=AXIS2_PLACEMENT_3D('',#512815,#396305,#396306); #358010=AXIS2_PLACEMENT_3D('',#512817,#396307,#396308); #358011=AXIS2_PLACEMENT_3D('',#512819,#396309,#396310); #358012=AXIS2_PLACEMENT_3D('',#512821,#396312,#396313); #358013=AXIS2_PLACEMENT_3D('',#512827,#396317,#396318); #358014=AXIS2_PLACEMENT_3D('',#512829,#396319,#396320); #358015=AXIS2_PLACEMENT_3D('',#512831,#396321,#396322); #358016=AXIS2_PLACEMENT_3D('',#512833,#396324,#396325); #358017=AXIS2_PLACEMENT_3D('',#512835,#396326,#396327); #358018=AXIS2_PLACEMENT_3D('',#512837,#396328,#396329); #358019=AXIS2_PLACEMENT_3D('',#512839,#396331,#396332); #358020=AXIS2_PLACEMENT_3D('',#512845,#396336,#396337); #358021=AXIS2_PLACEMENT_3D('',#512851,#396341,#396342); #358022=AXIS2_PLACEMENT_3D('',#512857,#396346,#396347); #358023=AXIS2_PLACEMENT_3D('',#512859,#396348,#396349); #358024=AXIS2_PLACEMENT_3D('',#512861,#396350,#396351); #358025=AXIS2_PLACEMENT_3D('',#512863,#396353,#396354); #358026=AXIS2_PLACEMENT_3D('',#512869,#396358,#396359); #358027=AXIS2_PLACEMENT_3D('',#512871,#396360,#396361); #358028=AXIS2_PLACEMENT_3D('',#512873,#396362,#396363); #358029=AXIS2_PLACEMENT_3D('',#512875,#396365,#396366); #358030=AXIS2_PLACEMENT_3D('',#512881,#396370,#396371); #358031=AXIS2_PLACEMENT_3D('',#512887,#396375,#396376); #358032=AXIS2_PLACEMENT_3D('',#512893,#396380,#396381); #358033=AXIS2_PLACEMENT_3D('',#512895,#396382,#396383); #358034=AXIS2_PLACEMENT_3D('',#512897,#396384,#396385); #358035=AXIS2_PLACEMENT_3D('',#512899,#396387,#396388); #358036=AXIS2_PLACEMENT_3D('',#512905,#396392,#396393); #358037=AXIS2_PLACEMENT_3D('',#512907,#396394,#396395); #358038=AXIS2_PLACEMENT_3D('',#512909,#396396,#396397); #358039=AXIS2_PLACEMENT_3D('',#512911,#396399,#396400); #358040=AXIS2_PLACEMENT_3D('',#512917,#396404,#396405); #358041=AXIS2_PLACEMENT_3D('',#512923,#396409,#396410); #358042=AXIS2_PLACEMENT_3D('',#512929,#396414,#396415); #358043=AXIS2_PLACEMENT_3D('',#512935,#396419,#396420); #358044=AXIS2_PLACEMENT_3D('',#512941,#396424,#396425); #358045=AXIS2_PLACEMENT_3D('',#512947,#396429,#396430); #358046=AXIS2_PLACEMENT_3D('',#512950,#396433,#396434); #358047=AXIS2_PLACEMENT_3D('',#512951,#396435,#396436); #358048=AXIS2_PLACEMENT_3D('',#512952,#396437,#396438); #358049=AXIS2_PLACEMENT_3D('',#512954,#396439,#396440); #358050=AXIS2_PLACEMENT_3D('',#512957,#396442,#396443); #358051=AXIS2_PLACEMENT_3D('',#512958,#396444,#396445); #358052=AXIS2_PLACEMENT_3D('',#512967,#396450,#396451); #358053=AXIS2_PLACEMENT_3D('',#512973,#396455,#396456); #358054=AXIS2_PLACEMENT_3D('',#512979,#396460,#396461); #358055=AXIS2_PLACEMENT_3D('',#512985,#396465,#396466); #358056=AXIS2_PLACEMENT_3D('',#512987,#396467,#396468); #358057=AXIS2_PLACEMENT_3D('',#512989,#396469,#396470); #358058=AXIS2_PLACEMENT_3D('',#512991,#396472,#396473); #358059=AXIS2_PLACEMENT_3D('',#512997,#396477,#396478); #358060=AXIS2_PLACEMENT_3D('',#512999,#396479,#396480); #358061=AXIS2_PLACEMENT_3D('',#513001,#396481,#396482); #358062=AXIS2_PLACEMENT_3D('',#513003,#396484,#396485); #358063=AXIS2_PLACEMENT_3D('',#513009,#396489,#396490); #358064=AXIS2_PLACEMENT_3D('',#513015,#396494,#396495); #358065=AXIS2_PLACEMENT_3D('',#513017,#396496,#396497); #358066=AXIS2_PLACEMENT_3D('',#513019,#396498,#396499); #358067=AXIS2_PLACEMENT_3D('',#513021,#396501,#396502); #358068=AXIS2_PLACEMENT_3D('',#513027,#396506,#396507); #358069=AXIS2_PLACEMENT_3D('',#513029,#396508,#396509); #358070=AXIS2_PLACEMENT_3D('',#513031,#396510,#396511); #358071=AXIS2_PLACEMENT_3D('',#513033,#396513,#396514); #358072=AXIS2_PLACEMENT_3D('',#513035,#396515,#396516); #358073=AXIS2_PLACEMENT_3D('',#513037,#396517,#396518); #358074=AXIS2_PLACEMENT_3D('',#513039,#396520,#396521); #358075=AXIS2_PLACEMENT_3D('',#513045,#396525,#396526); #358076=AXIS2_PLACEMENT_3D('',#513051,#396530,#396531); #358077=AXIS2_PLACEMENT_3D('',#513053,#396532,#396533); #358078=AXIS2_PLACEMENT_3D('',#513055,#396534,#396535); #358079=AXIS2_PLACEMENT_3D('',#513057,#396537,#396538); #358080=AXIS2_PLACEMENT_3D('',#513063,#396542,#396543); #358081=AXIS2_PLACEMENT_3D('',#513069,#396547,#396548); #358082=AXIS2_PLACEMENT_3D('',#513075,#396552,#396553); #358083=AXIS2_PLACEMENT_3D('',#513081,#396557,#396558); #358084=AXIS2_PLACEMENT_3D('',#513084,#396561,#396562); #358085=AXIS2_PLACEMENT_3D('',#513085,#396563,#396564); #358086=AXIS2_PLACEMENT_3D('',#513086,#396565,#396566); #358087=AXIS2_PLACEMENT_3D('',#513095,#396571,#396572); #358088=AXIS2_PLACEMENT_3D('',#513101,#396576,#396577); #358089=AXIS2_PLACEMENT_3D('',#513107,#396581,#396582); #358090=AXIS2_PLACEMENT_3D('',#513113,#396586,#396587); #358091=AXIS2_PLACEMENT_3D('',#513119,#396591,#396592); #358092=AXIS2_PLACEMENT_3D('',#513125,#396596,#396597); #358093=AXIS2_PLACEMENT_3D('',#513131,#396601,#396602); #358094=AXIS2_PLACEMENT_3D('',#513137,#396606,#396607); #358095=AXIS2_PLACEMENT_3D('',#513143,#396611,#396612); #358096=AXIS2_PLACEMENT_3D('',#513149,#396616,#396617); #358097=AXIS2_PLACEMENT_3D('',#513155,#396621,#396622); #358098=AXIS2_PLACEMENT_3D('',#513158,#396625,#396626); #358099=AXIS2_PLACEMENT_3D('',#513159,#396627,#396628); #358100=AXIS2_PLACEMENT_3D('',#513160,#396629,#396630); #358101=AXIS2_PLACEMENT_3D('',#513169,#396635,#396636); #358102=AXIS2_PLACEMENT_3D('',#513175,#396640,#396641); #358103=AXIS2_PLACEMENT_3D('',#513181,#396645,#396646); #358104=AXIS2_PLACEMENT_3D('',#513187,#396650,#396651); #358105=AXIS2_PLACEMENT_3D('',#513193,#396655,#396656); #358106=AXIS2_PLACEMENT_3D('',#513199,#396660,#396661); #358107=AXIS2_PLACEMENT_3D('',#513205,#396665,#396666); #358108=AXIS2_PLACEMENT_3D('',#513211,#396670,#396671); #358109=AXIS2_PLACEMENT_3D('',#513217,#396675,#396676); #358110=AXIS2_PLACEMENT_3D('',#513223,#396680,#396681); #358111=AXIS2_PLACEMENT_3D('',#513229,#396685,#396686); #358112=AXIS2_PLACEMENT_3D('',#513232,#396689,#396690); #358113=AXIS2_PLACEMENT_3D('',#513233,#396691,#396692); #358114=AXIS2_PLACEMENT_3D('',#513234,#396693,#396694); #358115=AXIS2_PLACEMENT_3D('',#513236,#396695,#396696); #358116=AXIS2_PLACEMENT_3D('',#513239,#396698,#396699); #358117=AXIS2_PLACEMENT_3D('',#513240,#396700,#396701); #358118=AXIS2_PLACEMENT_3D('',#513249,#396706,#396707); #358119=AXIS2_PLACEMENT_3D('',#513255,#396711,#396712); #358120=AXIS2_PLACEMENT_3D('',#513261,#396716,#396717); #358121=AXIS2_PLACEMENT_3D('',#513267,#396721,#396722); #358122=AXIS2_PLACEMENT_3D('',#513269,#396723,#396724); #358123=AXIS2_PLACEMENT_3D('',#513271,#396725,#396726); #358124=AXIS2_PLACEMENT_3D('',#513273,#396728,#396729); #358125=AXIS2_PLACEMENT_3D('',#513279,#396733,#396734); #358126=AXIS2_PLACEMENT_3D('',#513281,#396735,#396736); #358127=AXIS2_PLACEMENT_3D('',#513283,#396737,#396738); #358128=AXIS2_PLACEMENT_3D('',#513285,#396740,#396741); #358129=AXIS2_PLACEMENT_3D('',#513291,#396745,#396746); #358130=AXIS2_PLACEMENT_3D('',#513293,#396747,#396748); #358131=AXIS2_PLACEMENT_3D('',#513295,#396749,#396750); #358132=AXIS2_PLACEMENT_3D('',#513297,#396752,#396753); #358133=AXIS2_PLACEMENT_3D('',#513303,#396757,#396758); #358134=AXIS2_PLACEMENT_3D('',#513305,#396759,#396760); #358135=AXIS2_PLACEMENT_3D('',#513307,#396761,#396762); #358136=AXIS2_PLACEMENT_3D('',#513309,#396764,#396765); #358137=AXIS2_PLACEMENT_3D('',#513311,#396766,#396767); #358138=AXIS2_PLACEMENT_3D('',#513313,#396768,#396769); #358139=AXIS2_PLACEMENT_3D('',#513315,#396771,#396772); #358140=AXIS2_PLACEMENT_3D('',#513321,#396776,#396777); #358141=AXIS2_PLACEMENT_3D('',#513327,#396781,#396782); #358142=AXIS2_PLACEMENT_3D('',#513333,#396786,#396787); #358143=AXIS2_PLACEMENT_3D('',#513339,#396791,#396792); #358144=AXIS2_PLACEMENT_3D('',#513342,#396795,#396796); #358145=AXIS2_PLACEMENT_3D('',#513343,#396797,#396798); #358146=AXIS2_PLACEMENT_3D('',#513344,#396799,#396800); #358147=AXIS2_PLACEMENT_3D('',#513346,#396801,#396802); #358148=AXIS2_PLACEMENT_3D('',#513349,#396804,#396805); #358149=AXIS2_PLACEMENT_3D('',#513350,#396806,#396807); #358150=AXIS2_PLACEMENT_3D('',#513359,#396812,#396813); #358151=AXIS2_PLACEMENT_3D('',#513361,#396814,#396815); #358152=AXIS2_PLACEMENT_3D('',#513363,#396816,#396817); #358153=AXIS2_PLACEMENT_3D('',#513365,#396819,#396820); #358154=AXIS2_PLACEMENT_3D('',#513371,#396824,#396825); #358155=AXIS2_PLACEMENT_3D('',#513373,#396826,#396827); #358156=AXIS2_PLACEMENT_3D('',#513375,#396828,#396829); #358157=AXIS2_PLACEMENT_3D('',#513377,#396831,#396832); #358158=AXIS2_PLACEMENT_3D('',#513383,#396836,#396837); #358159=AXIS2_PLACEMENT_3D('',#513385,#396838,#396839); #358160=AXIS2_PLACEMENT_3D('',#513387,#396840,#396841); #358161=AXIS2_PLACEMENT_3D('',#513389,#396843,#396844); #358162=AXIS2_PLACEMENT_3D('',#513395,#396848,#396849); #358163=AXIS2_PLACEMENT_3D('',#513401,#396853,#396854); #358164=AXIS2_PLACEMENT_3D('',#513407,#396858,#396859); #358165=AXIS2_PLACEMENT_3D('',#513413,#396863,#396864); #358166=AXIS2_PLACEMENT_3D('',#513419,#396868,#396869); #358167=AXIS2_PLACEMENT_3D('',#513425,#396873,#396874); #358168=AXIS2_PLACEMENT_3D('',#513431,#396878,#396879); #358169=AXIS2_PLACEMENT_3D('',#513437,#396883,#396884); #358170=AXIS2_PLACEMENT_3D('',#513443,#396888,#396889); #358171=AXIS2_PLACEMENT_3D('',#513445,#396890,#396891); #358172=AXIS2_PLACEMENT_3D('',#513447,#396892,#396893); #358173=AXIS2_PLACEMENT_3D('',#513449,#396895,#396896); #358174=AXIS2_PLACEMENT_3D('',#513455,#396900,#396901); #358175=AXIS2_PLACEMENT_3D('',#513457,#396902,#396903); #358176=AXIS2_PLACEMENT_3D('',#513459,#396904,#396905); #358177=AXIS2_PLACEMENT_3D('',#513461,#396907,#396908); #358178=AXIS2_PLACEMENT_3D('',#513467,#396912,#396913); #358179=AXIS2_PLACEMENT_3D('',#513473,#396917,#396918); #358180=AXIS2_PLACEMENT_3D('',#513479,#396922,#396923); #358181=AXIS2_PLACEMENT_3D('',#513480,#396924,#396925); #358182=AXIS2_PLACEMENT_3D('',#513481,#396926,#396927); #358183=AXIS2_PLACEMENT_3D('',#513482,#396928,#396929); #358184=AXIS2_PLACEMENT_3D('',#513483,#396930,#396931); #358185=AXIS2_PLACEMENT_3D('',#513484,#396932,#396933); #358186=AXIS2_PLACEMENT_3D('',#513486,#396934,#396935); #358187=AXIS2_PLACEMENT_3D('',#513489,#396937,#396938); #358188=AXIS2_PLACEMENT_3D('',#513490,#396939,#396940); #358189=AXIS2_PLACEMENT_3D('',#513499,#396945,#396946); #358190=AXIS2_PLACEMENT_3D('',#513501,#396947,#396948); #358191=AXIS2_PLACEMENT_3D('',#513503,#396949,#396950); #358192=AXIS2_PLACEMENT_3D('',#513505,#396952,#396953); #358193=AXIS2_PLACEMENT_3D('',#513507,#396954,#396955); #358194=AXIS2_PLACEMENT_3D('',#513509,#396956,#396957); #358195=AXIS2_PLACEMENT_3D('',#513511,#396959,#396960); #358196=AXIS2_PLACEMENT_3D('',#513517,#396964,#396965); #358197=AXIS2_PLACEMENT_3D('',#513523,#396969,#396970); #358198=AXIS2_PLACEMENT_3D('',#513529,#396974,#396975); #358199=AXIS2_PLACEMENT_3D('',#513535,#396979,#396980); #358200=AXIS2_PLACEMENT_3D('',#513541,#396984,#396985); #358201=AXIS2_PLACEMENT_3D('',#513547,#396989,#396990); #358202=AXIS2_PLACEMENT_3D('',#513549,#396991,#396992); #358203=AXIS2_PLACEMENT_3D('',#513551,#396993,#396994); #358204=AXIS2_PLACEMENT_3D('',#513553,#396996,#396997); #358205=AXIS2_PLACEMENT_3D('',#513559,#397001,#397002); #358206=AXIS2_PLACEMENT_3D('',#513560,#397003,#397004); #358207=AXIS2_PLACEMENT_3D('',#513561,#397005,#397006); #358208=AXIS2_PLACEMENT_3D('',#513562,#397007,#397008); #358209=AXIS2_PLACEMENT_3D('',#513563,#397009,#397010); #358210=AXIS2_PLACEMENT_3D('',#513564,#397011,#397012); #358211=AXIS2_PLACEMENT_3D('',#513573,#397017,#397018); #358212=AXIS2_PLACEMENT_3D('',#513579,#397022,#397023); #358213=AXIS2_PLACEMENT_3D('',#513585,#397027,#397028); #358214=AXIS2_PLACEMENT_3D('',#513591,#397032,#397033); #358215=AXIS2_PLACEMENT_3D('',#513593,#397034,#397035); #358216=AXIS2_PLACEMENT_3D('',#513595,#397036,#397037); #358217=AXIS2_PLACEMENT_3D('',#513597,#397039,#397040); #358218=AXIS2_PLACEMENT_3D('',#513603,#397044,#397045); #358219=AXIS2_PLACEMENT_3D('',#513605,#397046,#397047); #358220=AXIS2_PLACEMENT_3D('',#513607,#397048,#397049); #358221=AXIS2_PLACEMENT_3D('',#513609,#397051,#397052); #358222=AXIS2_PLACEMENT_3D('',#513615,#397056,#397057); #358223=AXIS2_PLACEMENT_3D('',#513621,#397061,#397062); #358224=AXIS2_PLACEMENT_3D('',#513627,#397066,#397067); #358225=AXIS2_PLACEMENT_3D('',#513629,#397068,#397069); #358226=AXIS2_PLACEMENT_3D('',#513631,#397070,#397071); #358227=AXIS2_PLACEMENT_3D('',#513633,#397073,#397074); #358228=AXIS2_PLACEMENT_3D('',#513639,#397078,#397079); #358229=AXIS2_PLACEMENT_3D('',#513641,#397080,#397081); #358230=AXIS2_PLACEMENT_3D('',#513643,#397082,#397083); #358231=AXIS2_PLACEMENT_3D('',#513645,#397085,#397086); #358232=AXIS2_PLACEMENT_3D('',#513651,#397090,#397091); #358233=AXIS2_PLACEMENT_3D('',#513657,#397095,#397096); #358234=AXIS2_PLACEMENT_3D('',#513663,#397100,#397101); #358235=AXIS2_PLACEMENT_3D('',#513669,#397105,#397106); #358236=AXIS2_PLACEMENT_3D('',#513675,#397110,#397111); #358237=AXIS2_PLACEMENT_3D('',#513681,#397115,#397116); #358238=AXIS2_PLACEMENT_3D('',#513687,#397120,#397121); #358239=AXIS2_PLACEMENT_3D('',#513693,#397125,#397126); #358240=AXIS2_PLACEMENT_3D('',#513699,#397130,#397131); #358241=AXIS2_PLACEMENT_3D('',#513701,#397132,#397133); #358242=AXIS2_PLACEMENT_3D('',#513703,#397134,#397135); #358243=AXIS2_PLACEMENT_3D('',#513705,#397137,#397138); #358244=AXIS2_PLACEMENT_3D('',#513711,#397142,#397143); #358245=AXIS2_PLACEMENT_3D('',#513713,#397144,#397145); #358246=AXIS2_PLACEMENT_3D('',#513715,#397146,#397147); #358247=AXIS2_PLACEMENT_3D('',#513717,#397149,#397150); #358248=AXIS2_PLACEMENT_3D('',#513723,#397154,#397155); #358249=AXIS2_PLACEMENT_3D('',#513729,#397159,#397160); #358250=AXIS2_PLACEMENT_3D('',#513735,#397164,#397165); #358251=AXIS2_PLACEMENT_3D('',#513737,#397166,#397167); #358252=AXIS2_PLACEMENT_3D('',#513739,#397168,#397169); #358253=AXIS2_PLACEMENT_3D('',#513741,#397171,#397172); #358254=AXIS2_PLACEMENT_3D('',#513747,#397176,#397177); #358255=AXIS2_PLACEMENT_3D('',#513749,#397178,#397179); #358256=AXIS2_PLACEMENT_3D('',#513751,#397180,#397181); #358257=AXIS2_PLACEMENT_3D('',#513753,#397183,#397184); #358258=AXIS2_PLACEMENT_3D('',#513759,#397188,#397189); #358259=AXIS2_PLACEMENT_3D('',#513765,#397193,#397194); #358260=AXIS2_PLACEMENT_3D('',#513771,#397198,#397199); #358261=AXIS2_PLACEMENT_3D('',#513777,#397203,#397204); #358262=AXIS2_PLACEMENT_3D('',#513780,#397207,#397208); #358263=AXIS2_PLACEMENT_3D('',#513781,#397209,#397210); #358264=AXIS2_PLACEMENT_3D('',#513782,#397211,#397212); #358265=AXIS2_PLACEMENT_3D('',#513784,#397213,#397214); #358266=AXIS2_PLACEMENT_3D('',#513787,#397216,#397217); #358267=AXIS2_PLACEMENT_3D('',#513788,#397218,#397219); #358268=AXIS2_PLACEMENT_3D('',#513797,#397224,#397225); #358269=AXIS2_PLACEMENT_3D('',#513799,#397226,#397227); #358270=AXIS2_PLACEMENT_3D('',#513801,#397228,#397229); #358271=AXIS2_PLACEMENT_3D('',#513803,#397231,#397232); #358272=AXIS2_PLACEMENT_3D('',#513809,#397236,#397237); #358273=AXIS2_PLACEMENT_3D('',#513815,#397241,#397242); #358274=AXIS2_PLACEMENT_3D('',#513821,#397246,#397247); #358275=AXIS2_PLACEMENT_3D('',#513827,#397251,#397252); #358276=AXIS2_PLACEMENT_3D('',#513833,#397256,#397257); #358277=AXIS2_PLACEMENT_3D('',#513839,#397261,#397262); #358278=AXIS2_PLACEMENT_3D('',#513845,#397266,#397267); #358279=AXIS2_PLACEMENT_3D('',#513846,#397268,#397269); #358280=AXIS2_PLACEMENT_3D('',#513847,#397270,#397271); #358281=AXIS2_PLACEMENT_3D('',#513848,#397272,#397273); #358282=AXIS2_PLACEMENT_3D('',#513849,#397274,#397275); #358283=AXIS2_PLACEMENT_3D('',#513850,#397276,#397277); #358284=AXIS2_PLACEMENT_3D('',#513852,#397278,#397279); #358285=AXIS2_PLACEMENT_3D('',#513855,#397281,#397282); #358286=AXIS2_PLACEMENT_3D('',#513856,#397283,#397284); #358287=AXIS2_PLACEMENT_3D('',#513858,#397285,#397286); #358288=AXIS2_PLACEMENT_3D('',#513861,#397288,#397289); #358289=AXIS2_PLACEMENT_3D('',#513862,#397290,#397291); #358290=AXIS2_PLACEMENT_3D('',#513871,#397296,#397297); #358291=AXIS2_PLACEMENT_3D('',#513877,#397301,#397302); #358292=AXIS2_PLACEMENT_3D('',#513879,#397303,#397304); #358293=AXIS2_PLACEMENT_3D('',#513881,#397305,#397306); #358294=AXIS2_PLACEMENT_3D('',#513883,#397308,#397309); #358295=AXIS2_PLACEMENT_3D('',#513885,#397310,#397311); #358296=AXIS2_PLACEMENT_3D('',#513887,#397312,#397313); #358297=AXIS2_PLACEMENT_3D('',#513889,#397315,#397316); #358298=AXIS2_PLACEMENT_3D('',#513895,#397320,#397321); #358299=AXIS2_PLACEMENT_3D('',#513901,#397325,#397326); #358300=AXIS2_PLACEMENT_3D('',#513903,#397327,#397328); #358301=AXIS2_PLACEMENT_3D('',#513905,#397329,#397330); #358302=AXIS2_PLACEMENT_3D('',#513907,#397332,#397333); #358303=AXIS2_PLACEMENT_3D('',#513909,#397334,#397335); #358304=AXIS2_PLACEMENT_3D('',#513911,#397336,#397337); #358305=AXIS2_PLACEMENT_3D('',#513913,#397339,#397340); #358306=AXIS2_PLACEMENT_3D('',#513919,#397344,#397345); #358307=AXIS2_PLACEMENT_3D('',#513925,#397349,#397350); #358308=AXIS2_PLACEMENT_3D('',#513931,#397354,#397355); #358309=AXIS2_PLACEMENT_3D('',#513937,#397359,#397360); #358310=AXIS2_PLACEMENT_3D('',#513943,#397364,#397365); #358311=AXIS2_PLACEMENT_3D('',#513949,#397369,#397370); #358312=AXIS2_PLACEMENT_3D('',#513955,#397374,#397375); #358313=AXIS2_PLACEMENT_3D('',#513961,#397379,#397380); #358314=AXIS2_PLACEMENT_3D('',#513967,#397384,#397385); #358315=AXIS2_PLACEMENT_3D('',#513973,#397389,#397390); #358316=AXIS2_PLACEMENT_3D('',#513975,#397391,#397392); #358317=AXIS2_PLACEMENT_3D('',#513977,#397393,#397394); #358318=AXIS2_PLACEMENT_3D('',#513979,#397396,#397397); #358319=AXIS2_PLACEMENT_3D('',#513985,#397401,#397402); #358320=AXIS2_PLACEMENT_3D('',#513986,#397403,#397404); #358321=AXIS2_PLACEMENT_3D('',#513987,#397405,#397406); #358322=AXIS2_PLACEMENT_3D('',#513988,#397407,#397408); #358323=AXIS2_PLACEMENT_3D('',#513989,#397409,#397410); #358324=AXIS2_PLACEMENT_3D('',#513990,#397411,#397412); #358325=AXIS2_PLACEMENT_3D('',#513999,#397417,#397418); #358326=AXIS2_PLACEMENT_3D('',#514005,#397422,#397423); #358327=AXIS2_PLACEMENT_3D('',#514011,#397427,#397428); #358328=AXIS2_PLACEMENT_3D('',#514017,#397432,#397433); #358329=AXIS2_PLACEMENT_3D('',#514019,#397434,#397435); #358330=AXIS2_PLACEMENT_3D('',#514021,#397436,#397437); #358331=AXIS2_PLACEMENT_3D('',#514023,#397439,#397440); #358332=AXIS2_PLACEMENT_3D('',#514029,#397444,#397445); #358333=AXIS2_PLACEMENT_3D('',#514035,#397449,#397450); #358334=AXIS2_PLACEMENT_3D('',#514041,#397454,#397455); #358335=AXIS2_PLACEMENT_3D('',#514047,#397459,#397460); #358336=AXIS2_PLACEMENT_3D('',#514053,#397464,#397465); #358337=AXIS2_PLACEMENT_3D('',#514059,#397469,#397470); #358338=AXIS2_PLACEMENT_3D('',#514065,#397474,#397475); #358339=AXIS2_PLACEMENT_3D('',#514071,#397479,#397480); #358340=AXIS2_PLACEMENT_3D('',#514074,#397483,#397484); #358341=AXIS2_PLACEMENT_3D('',#514075,#397485,#397486); #358342=AXIS2_PLACEMENT_3D('',#514076,#397487,#397488); #358343=AXIS2_PLACEMENT_3D('',#514078,#397489,#397490); #358344=AXIS2_PLACEMENT_3D('',#514081,#397492,#397493); #358345=AXIS2_PLACEMENT_3D('',#514082,#397494,#397495); #358346=AXIS2_PLACEMENT_3D('',#514084,#397496,#397497); #358347=AXIS2_PLACEMENT_3D('',#514087,#397499,#397500); #358348=AXIS2_PLACEMENT_3D('',#514088,#397501,#397502); #358349=AXIS2_PLACEMENT_3D('',#514090,#397503,#397504); #358350=AXIS2_PLACEMENT_3D('',#514093,#397506,#397507); #358351=AXIS2_PLACEMENT_3D('',#514094,#397508,#397509); #358352=AXIS2_PLACEMENT_3D('',#514096,#397510,#397511); #358353=AXIS2_PLACEMENT_3D('',#514099,#397513,#397514); #358354=AXIS2_PLACEMENT_3D('',#514100,#397515,#397516); #358355=AXIS2_PLACEMENT_3D('',#514102,#397517,#397518); #358356=AXIS2_PLACEMENT_3D('',#514105,#397520,#397521); #358357=AXIS2_PLACEMENT_3D('',#514106,#397522,#397523); #358358=AXIS2_PLACEMENT_3D('',#514108,#397524,#397525); #358359=AXIS2_PLACEMENT_3D('',#514111,#397527,#397528); #358360=AXIS2_PLACEMENT_3D('',#514112,#397529,#397530); #358361=AXIS2_PLACEMENT_3D('',#514114,#397531,#397532); #358362=AXIS2_PLACEMENT_3D('',#514117,#397534,#397535); #358363=AXIS2_PLACEMENT_3D('',#514118,#397536,#397537); #358364=AXIS2_PLACEMENT_3D('',#514120,#397538,#397539); #358365=AXIS2_PLACEMENT_3D('',#514123,#397541,#397542); #358366=AXIS2_PLACEMENT_3D('',#514124,#397543,#397544); #358367=AXIS2_PLACEMENT_3D('',#514126,#397545,#397546); #358368=AXIS2_PLACEMENT_3D('',#514129,#397548,#397549); #358369=AXIS2_PLACEMENT_3D('',#514130,#397550,#397551); #358370=AXIS2_PLACEMENT_3D('',#514132,#397552,#397553); #358371=AXIS2_PLACEMENT_3D('',#514135,#397555,#397556); #358372=AXIS2_PLACEMENT_3D('',#514136,#397557,#397558); #358373=AXIS2_PLACEMENT_3D('',#514138,#397559,#397560); #358374=AXIS2_PLACEMENT_3D('',#514141,#397562,#397563); #358375=AXIS2_PLACEMENT_3D('',#514142,#397564,#397565); #358376=AXIS2_PLACEMENT_3D('',#514151,#397570,#397571); #358377=AXIS2_PLACEMENT_3D('',#514157,#397575,#397576); #358378=AXIS2_PLACEMENT_3D('',#514163,#397580,#397581); #358379=AXIS2_PLACEMENT_3D('',#514169,#397585,#397586); #358380=AXIS2_PLACEMENT_3D('',#514171,#397587,#397588); #358381=AXIS2_PLACEMENT_3D('',#514173,#397589,#397590); #358382=AXIS2_PLACEMENT_3D('',#514175,#397592,#397593); #358383=AXIS2_PLACEMENT_3D('',#514181,#397597,#397598); #358384=AXIS2_PLACEMENT_3D('',#514183,#397599,#397600); #358385=AXIS2_PLACEMENT_3D('',#514185,#397601,#397602); #358386=AXIS2_PLACEMENT_3D('',#514187,#397604,#397605); #358387=AXIS2_PLACEMENT_3D('',#514193,#397609,#397610); #358388=AXIS2_PLACEMENT_3D('',#514199,#397614,#397615); #358389=AXIS2_PLACEMENT_3D('',#514205,#397619,#397620); #358390=AXIS2_PLACEMENT_3D('',#514211,#397624,#397625); #358391=AXIS2_PLACEMENT_3D('',#514217,#397629,#397630); #358392=AXIS2_PLACEMENT_3D('',#514223,#397634,#397635); #358393=AXIS2_PLACEMENT_3D('',#514229,#397639,#397640); #358394=AXIS2_PLACEMENT_3D('',#514235,#397644,#397645); #358395=AXIS2_PLACEMENT_3D('',#514241,#397649,#397650); #358396=AXIS2_PLACEMENT_3D('',#514247,#397654,#397655); #358397=AXIS2_PLACEMENT_3D('',#514253,#397659,#397660); #358398=AXIS2_PLACEMENT_3D('',#514259,#397664,#397665); #358399=AXIS2_PLACEMENT_3D('',#514265,#397669,#397670); #358400=AXIS2_PLACEMENT_3D('',#514271,#397674,#397675); #358401=AXIS2_PLACEMENT_3D('',#514277,#397679,#397680); #358402=AXIS2_PLACEMENT_3D('',#514283,#397684,#397685); #358403=AXIS2_PLACEMENT_3D('',#514289,#397689,#397690); #358404=AXIS2_PLACEMENT_3D('',#514295,#397694,#397695); #358405=AXIS2_PLACEMENT_3D('',#514301,#397699,#397700); #358406=AXIS2_PLACEMENT_3D('',#514307,#397704,#397705); #358407=AXIS2_PLACEMENT_3D('',#514313,#397709,#397710); #358408=AXIS2_PLACEMENT_3D('',#514319,#397714,#397715); #358409=AXIS2_PLACEMENT_3D('',#514325,#397719,#397720); #358410=AXIS2_PLACEMENT_3D('',#514331,#397724,#397725); #358411=AXIS2_PLACEMENT_3D('',#514337,#397729,#397730); #358412=AXIS2_PLACEMENT_3D('',#514343,#397734,#397735); #358413=AXIS2_PLACEMENT_3D('',#514349,#397739,#397740); #358414=AXIS2_PLACEMENT_3D('',#514355,#397744,#397745); #358415=AXIS2_PLACEMENT_3D('',#514361,#397749,#397750); #358416=AXIS2_PLACEMENT_3D('',#514367,#397754,#397755); #358417=AXIS2_PLACEMENT_3D('',#514373,#397759,#397760); #358418=AXIS2_PLACEMENT_3D('',#514379,#397764,#397765); #358419=AXIS2_PLACEMENT_3D('',#514385,#397769,#397770); #358420=AXIS2_PLACEMENT_3D('',#514391,#397774,#397775); #358421=AXIS2_PLACEMENT_3D('',#514397,#397779,#397780); #358422=AXIS2_PLACEMENT_3D('',#514403,#397784,#397785); #358423=AXIS2_PLACEMENT_3D('',#514409,#397789,#397790); #358424=AXIS2_PLACEMENT_3D('',#514415,#397794,#397795); #358425=AXIS2_PLACEMENT_3D('',#514421,#397799,#397800); #358426=AXIS2_PLACEMENT_3D('',#514427,#397804,#397805); #358427=AXIS2_PLACEMENT_3D('',#514433,#397809,#397810); #358428=AXIS2_PLACEMENT_3D('',#514439,#397814,#397815); #358429=AXIS2_PLACEMENT_3D('',#514445,#397819,#397820); #358430=AXIS2_PLACEMENT_3D('',#514451,#397824,#397825); #358431=AXIS2_PLACEMENT_3D('',#514457,#397829,#397830); #358432=AXIS2_PLACEMENT_3D('',#514463,#397834,#397835); #358433=AXIS2_PLACEMENT_3D('',#514469,#397839,#397840); #358434=AXIS2_PLACEMENT_3D('',#514475,#397844,#397845); #358435=AXIS2_PLACEMENT_3D('',#514481,#397849,#397850); #358436=AXIS2_PLACEMENT_3D('',#514487,#397854,#397855); #358437=AXIS2_PLACEMENT_3D('',#514493,#397859,#397860); #358438=AXIS2_PLACEMENT_3D('',#514499,#397864,#397865); #358439=AXIS2_PLACEMENT_3D('',#514505,#397869,#397870); #358440=AXIS2_PLACEMENT_3D('',#514511,#397874,#397875); #358441=AXIS2_PLACEMENT_3D('',#514517,#397879,#397880); #358442=AXIS2_PLACEMENT_3D('',#514523,#397884,#397885); #358443=AXIS2_PLACEMENT_3D('',#514529,#397889,#397890); #358444=AXIS2_PLACEMENT_3D('',#514535,#397894,#397895); #358445=AXIS2_PLACEMENT_3D('',#514541,#397899,#397900); #358446=AXIS2_PLACEMENT_3D('',#514547,#397904,#397905); #358447=AXIS2_PLACEMENT_3D('',#514553,#397909,#397910); #358448=AXIS2_PLACEMENT_3D('',#514559,#397914,#397915); #358449=AXIS2_PLACEMENT_3D('',#514565,#397919,#397920); #358450=AXIS2_PLACEMENT_3D('',#514571,#397924,#397925); #358451=AXIS2_PLACEMENT_3D('',#514577,#397929,#397930); #358452=AXIS2_PLACEMENT_3D('',#514583,#397934,#397935); #358453=AXIS2_PLACEMENT_3D('',#514589,#397939,#397940); #358454=AXIS2_PLACEMENT_3D('',#514595,#397944,#397945); #358455=AXIS2_PLACEMENT_3D('',#514601,#397949,#397950); #358456=AXIS2_PLACEMENT_3D('',#514607,#397954,#397955); #358457=AXIS2_PLACEMENT_3D('',#514613,#397959,#397960); #358458=AXIS2_PLACEMENT_3D('',#514619,#397964,#397965); #358459=AXIS2_PLACEMENT_3D('',#514625,#397969,#397970); #358460=AXIS2_PLACEMENT_3D('',#514631,#397974,#397975); #358461=AXIS2_PLACEMENT_3D('',#514637,#397979,#397980); #358462=AXIS2_PLACEMENT_3D('',#514643,#397984,#397985); #358463=AXIS2_PLACEMENT_3D('',#514649,#397989,#397990); #358464=AXIS2_PLACEMENT_3D('',#514655,#397994,#397995); #358465=AXIS2_PLACEMENT_3D('',#514661,#397999,#398000); #358466=AXIS2_PLACEMENT_3D('',#514667,#398004,#398005); #358467=AXIS2_PLACEMENT_3D('',#514673,#398009,#398010); #358468=AXIS2_PLACEMENT_3D('',#514679,#398014,#398015); #358469=AXIS2_PLACEMENT_3D('',#514685,#398019,#398020); #358470=AXIS2_PLACEMENT_3D('',#514691,#398024,#398025); #358471=AXIS2_PLACEMENT_3D('',#514697,#398029,#398030); #358472=AXIS2_PLACEMENT_3D('',#514703,#398034,#398035); #358473=AXIS2_PLACEMENT_3D('',#514709,#398039,#398040); #358474=AXIS2_PLACEMENT_3D('',#514715,#398044,#398045); #358475=AXIS2_PLACEMENT_3D('',#514721,#398049,#398050); #358476=AXIS2_PLACEMENT_3D('',#514727,#398054,#398055); #358477=AXIS2_PLACEMENT_3D('',#514733,#398059,#398060); #358478=AXIS2_PLACEMENT_3D('',#514739,#398064,#398065); #358479=AXIS2_PLACEMENT_3D('',#514745,#398069,#398070); #358480=AXIS2_PLACEMENT_3D('',#514751,#398074,#398075); #358481=AXIS2_PLACEMENT_3D('',#514757,#398079,#398080); #358482=AXIS2_PLACEMENT_3D('',#514763,#398084,#398085); #358483=AXIS2_PLACEMENT_3D('',#514769,#398089,#398090); #358484=AXIS2_PLACEMENT_3D('',#514775,#398094,#398095); #358485=AXIS2_PLACEMENT_3D('',#514781,#398099,#398100); #358486=AXIS2_PLACEMENT_3D('',#514787,#398104,#398105); #358487=AXIS2_PLACEMENT_3D('',#514793,#398109,#398110); #358488=AXIS2_PLACEMENT_3D('',#514799,#398114,#398115); #358489=AXIS2_PLACEMENT_3D('',#514805,#398119,#398120); #358490=AXIS2_PLACEMENT_3D('',#514811,#398124,#398125); #358491=AXIS2_PLACEMENT_3D('',#514817,#398129,#398130); #358492=AXIS2_PLACEMENT_3D('',#514823,#398134,#398135); #358493=AXIS2_PLACEMENT_3D('',#514829,#398139,#398140); #358494=AXIS2_PLACEMENT_3D('',#514835,#398144,#398145); #358495=AXIS2_PLACEMENT_3D('',#514841,#398149,#398150); #358496=AXIS2_PLACEMENT_3D('',#514847,#398154,#398155); #358497=AXIS2_PLACEMENT_3D('',#514853,#398159,#398160); #358498=AXIS2_PLACEMENT_3D('',#514859,#398164,#398165); #358499=AXIS2_PLACEMENT_3D('',#514865,#398169,#398170); #358500=AXIS2_PLACEMENT_3D('',#514871,#398174,#398175); #358501=AXIS2_PLACEMENT_3D('',#514877,#398179,#398180); #358502=AXIS2_PLACEMENT_3D('',#514883,#398184,#398185); #358503=AXIS2_PLACEMENT_3D('',#514889,#398189,#398190); #358504=AXIS2_PLACEMENT_3D('',#514895,#398194,#398195); #358505=AXIS2_PLACEMENT_3D('',#514897,#398196,#398197); #358506=AXIS2_PLACEMENT_3D('',#514899,#398198,#398199); #358507=AXIS2_PLACEMENT_3D('',#514901,#398201,#398202); #358508=AXIS2_PLACEMENT_3D('',#514907,#398206,#398207); #358509=AXIS2_PLACEMENT_3D('',#514909,#398208,#398209); #358510=AXIS2_PLACEMENT_3D('',#514911,#398210,#398211); #358511=AXIS2_PLACEMENT_3D('',#514913,#398213,#398214); #358512=AXIS2_PLACEMENT_3D('',#514919,#398218,#398219); #358513=AXIS2_PLACEMENT_3D('',#514925,#398223,#398224); #358514=AXIS2_PLACEMENT_3D('',#514931,#398228,#398229); #358515=AXIS2_PLACEMENT_3D('',#514937,#398233,#398234); #358516=AXIS2_PLACEMENT_3D('',#514940,#398237,#398238); #358517=AXIS2_PLACEMENT_3D('',#514941,#398239,#398240); #358518=AXIS2_PLACEMENT_3D('',#514942,#398241,#398242); #358519=AXIS2_PLACEMENT_3D('',#514944,#398243,#398244); #358520=AXIS2_PLACEMENT_3D('',#514947,#398246,#398247); #358521=AXIS2_PLACEMENT_3D('',#514948,#398248,#398249); #358522=AXIS2_PLACEMENT_3D('',#514957,#398254,#398255); #358523=AXIS2_PLACEMENT_3D('',#514959,#398256,#398257); #358524=AXIS2_PLACEMENT_3D('',#514961,#398258,#398259); #358525=AXIS2_PLACEMENT_3D('',#514963,#398261,#398262); #358526=AXIS2_PLACEMENT_3D('',#514964,#398263,#398264); #358527=AXIS2_PLACEMENT_3D('',#514965,#398265,#398266); #358528=AXIS2_PLACEMENT_3D('',#514966,#398267,#398268); #358529=AXIS2_PLACEMENT_3D('',#514967,#398269,#398270); #358530=AXIS2_PLACEMENT_3D('',#514968,#398271,#398272); #358531=AXIS2_PLACEMENT_3D('',#514977,#398277,#398278); #358532=AXIS2_PLACEMENT_3D('',#514983,#398282,#398283); #358533=AXIS2_PLACEMENT_3D('',#514989,#398287,#398288); #358534=AXIS2_PLACEMENT_3D('',#514995,#398292,#398293); #358535=AXIS2_PLACEMENT_3D('',#515001,#398297,#398298); #358536=AXIS2_PLACEMENT_3D('',#515007,#398302,#398303); #358537=AXIS2_PLACEMENT_3D('',#515013,#398307,#398308); #358538=AXIS2_PLACEMENT_3D('',#515019,#398312,#398313); #358539=AXIS2_PLACEMENT_3D('',#515025,#398317,#398318); #358540=AXIS2_PLACEMENT_3D('',#515031,#398322,#398323); #358541=AXIS2_PLACEMENT_3D('',#515037,#398327,#398328); #358542=AXIS2_PLACEMENT_3D('',#515043,#398332,#398333); #358543=AXIS2_PLACEMENT_3D('',#515049,#398337,#398338); #358544=AXIS2_PLACEMENT_3D('',#515055,#398342,#398343); #358545=AXIS2_PLACEMENT_3D('',#515061,#398347,#398348); #358546=AXIS2_PLACEMENT_3D('',#515067,#398352,#398353); #358547=AXIS2_PLACEMENT_3D('',#515073,#398357,#398358); #358548=AXIS2_PLACEMENT_3D('',#515079,#398362,#398363); #358549=AXIS2_PLACEMENT_3D('',#515085,#398367,#398368); #358550=AXIS2_PLACEMENT_3D('',#515088,#398371,#398372); #358551=AXIS2_PLACEMENT_3D('',#515089,#398373,#398374); #358552=AXIS2_PLACEMENT_3D('',#515090,#398375,#398376); #358553=AXIS2_PLACEMENT_3D('',#515099,#398381,#398382); #358554=AXIS2_PLACEMENT_3D('',#515105,#398386,#398387); #358555=AXIS2_PLACEMENT_3D('',#515111,#398391,#398392); #358556=AXIS2_PLACEMENT_3D('',#515117,#398396,#398397); #358557=AXIS2_PLACEMENT_3D('',#515123,#398401,#398402); #358558=AXIS2_PLACEMENT_3D('',#515129,#398406,#398407); #358559=AXIS2_PLACEMENT_3D('',#515135,#398411,#398412); #358560=AXIS2_PLACEMENT_3D('',#515141,#398416,#398417); #358561=AXIS2_PLACEMENT_3D('',#515147,#398421,#398422); #358562=AXIS2_PLACEMENT_3D('',#515153,#398426,#398427); #358563=AXIS2_PLACEMENT_3D('',#515159,#398431,#398432); #358564=AXIS2_PLACEMENT_3D('',#515165,#398436,#398437); #358565=AXIS2_PLACEMENT_3D('',#515171,#398441,#398442); #358566=AXIS2_PLACEMENT_3D('',#515177,#398446,#398447); #358567=AXIS2_PLACEMENT_3D('',#515183,#398451,#398452); #358568=AXIS2_PLACEMENT_3D('',#515189,#398456,#398457); #358569=AXIS2_PLACEMENT_3D('',#515195,#398461,#398462); #358570=AXIS2_PLACEMENT_3D('',#515201,#398466,#398467); #358571=AXIS2_PLACEMENT_3D('',#515207,#398471,#398472); #358572=AXIS2_PLACEMENT_3D('',#515213,#398476,#398477); #358573=AXIS2_PLACEMENT_3D('',#515216,#398480,#398481); #358574=AXIS2_PLACEMENT_3D('',#515217,#398482,#398483); #358575=AXIS2_PLACEMENT_3D('',#515218,#398484,#398485); #358576=AXIS2_PLACEMENT_3D('',#515227,#398490,#398491); #358577=AXIS2_PLACEMENT_3D('',#515233,#398495,#398496); #358578=AXIS2_PLACEMENT_3D('',#515239,#398500,#398501); #358579=AXIS2_PLACEMENT_3D('',#515245,#398505,#398506); #358580=AXIS2_PLACEMENT_3D('',#515251,#398510,#398511); #358581=AXIS2_PLACEMENT_3D('',#515257,#398515,#398516); #358582=AXIS2_PLACEMENT_3D('',#515263,#398520,#398521); #358583=AXIS2_PLACEMENT_3D('',#515269,#398525,#398526); #358584=AXIS2_PLACEMENT_3D('',#515275,#398530,#398531); #358585=AXIS2_PLACEMENT_3D('',#515281,#398535,#398536); #358586=AXIS2_PLACEMENT_3D('',#515287,#398540,#398541); #358587=AXIS2_PLACEMENT_3D('',#515293,#398545,#398546); #358588=AXIS2_PLACEMENT_3D('',#515299,#398550,#398551); #358589=AXIS2_PLACEMENT_3D('',#515305,#398555,#398556); #358590=AXIS2_PLACEMENT_3D('',#515311,#398560,#398561); #358591=AXIS2_PLACEMENT_3D('',#515317,#398565,#398566); #358592=AXIS2_PLACEMENT_3D('',#515323,#398570,#398571); #358593=AXIS2_PLACEMENT_3D('',#515329,#398575,#398576); #358594=AXIS2_PLACEMENT_3D('',#515335,#398580,#398581); #358595=AXIS2_PLACEMENT_3D('',#515338,#398584,#398585); #358596=AXIS2_PLACEMENT_3D('',#515339,#398586,#398587); #358597=AXIS2_PLACEMENT_3D('',#515340,#398588,#398589); #358598=AXIS2_PLACEMENT_3D('',#515349,#398594,#398595); #358599=AXIS2_PLACEMENT_3D('',#515355,#398599,#398600); #358600=AXIS2_PLACEMENT_3D('',#515361,#398604,#398605); #358601=AXIS2_PLACEMENT_3D('',#515367,#398609,#398610); #358602=AXIS2_PLACEMENT_3D('',#515373,#398614,#398615); #358603=AXIS2_PLACEMENT_3D('',#515379,#398619,#398620); #358604=AXIS2_PLACEMENT_3D('',#515385,#398624,#398625); #358605=AXIS2_PLACEMENT_3D('',#515391,#398629,#398630); #358606=AXIS2_PLACEMENT_3D('',#515397,#398634,#398635); #358607=AXIS2_PLACEMENT_3D('',#515403,#398639,#398640); #358608=AXIS2_PLACEMENT_3D('',#515409,#398644,#398645); #358609=AXIS2_PLACEMENT_3D('',#515415,#398649,#398650); #358610=AXIS2_PLACEMENT_3D('',#515421,#398654,#398655); #358611=AXIS2_PLACEMENT_3D('',#515427,#398659,#398660); #358612=AXIS2_PLACEMENT_3D('',#515433,#398664,#398665); #358613=AXIS2_PLACEMENT_3D('',#515439,#398669,#398670); #358614=AXIS2_PLACEMENT_3D('',#515445,#398674,#398675); #358615=AXIS2_PLACEMENT_3D('',#515451,#398679,#398680); #358616=AXIS2_PLACEMENT_3D('',#515457,#398684,#398685); #358617=AXIS2_PLACEMENT_3D('',#515463,#398689,#398690); #358618=AXIS2_PLACEMENT_3D('',#515466,#398693,#398694); #358619=AXIS2_PLACEMENT_3D('',#515467,#398695,#398696); #358620=AXIS2_PLACEMENT_3D('',#515468,#398697,#398698); #358621=AXIS2_PLACEMENT_3D('',#515470,#398699,#398700); #358622=AXIS2_PLACEMENT_3D('',#515473,#398702,#398703); #358623=AXIS2_PLACEMENT_3D('',#515474,#398704,#398705); #358624=AXIS2_PLACEMENT_3D('',#515476,#398706,#398707); #358625=AXIS2_PLACEMENT_3D('',#515479,#398709,#398710); #358626=AXIS2_PLACEMENT_3D('',#515480,#398711,#398712); #358627=AXIS2_PLACEMENT_3D('',#515482,#398713,#398714); #358628=AXIS2_PLACEMENT_3D('',#515485,#398716,#398717); #358629=AXIS2_PLACEMENT_3D('',#515486,#398718,#398719); #358630=AXIS2_PLACEMENT_3D('',#515495,#398724,#398725); #358631=AXIS2_PLACEMENT_3D('',#515501,#398729,#398730); #358632=AXIS2_PLACEMENT_3D('',#515507,#398734,#398735); #358633=AXIS2_PLACEMENT_3D('',#515513,#398739,#398740); #358634=AXIS2_PLACEMENT_3D('',#515519,#398744,#398745); #358635=AXIS2_PLACEMENT_3D('',#515525,#398749,#398750); #358636=AXIS2_PLACEMENT_3D('',#515531,#398754,#398755); #358637=AXIS2_PLACEMENT_3D('',#515537,#398759,#398760); #358638=AXIS2_PLACEMENT_3D('',#515543,#398764,#398765); #358639=AXIS2_PLACEMENT_3D('',#515549,#398769,#398770); #358640=AXIS2_PLACEMENT_3D('',#515555,#398774,#398775); #358641=AXIS2_PLACEMENT_3D('',#515561,#398779,#398780); #358642=AXIS2_PLACEMENT_3D('',#515567,#398784,#398785); #358643=AXIS2_PLACEMENT_3D('',#515573,#398789,#398790); #358644=AXIS2_PLACEMENT_3D('',#515579,#398794,#398795); #358645=AXIS2_PLACEMENT_3D('',#515585,#398799,#398800); #358646=AXIS2_PLACEMENT_3D('',#515591,#398804,#398805); #358647=AXIS2_PLACEMENT_3D('',#515597,#398809,#398810); #358648=AXIS2_PLACEMENT_3D('',#515603,#398814,#398815); #358649=AXIS2_PLACEMENT_3D('',#515609,#398819,#398820); #358650=AXIS2_PLACEMENT_3D('',#515615,#398824,#398825); #358651=AXIS2_PLACEMENT_3D('',#515621,#398829,#398830); #358652=AXIS2_PLACEMENT_3D('',#515627,#398834,#398835); #358653=AXIS2_PLACEMENT_3D('',#515633,#398839,#398840); #358654=AXIS2_PLACEMENT_3D('',#515639,#398844,#398845); #358655=AXIS2_PLACEMENT_3D('',#515645,#398849,#398850); #358656=AXIS2_PLACEMENT_3D('',#515651,#398854,#398855); #358657=AXIS2_PLACEMENT_3D('',#515657,#398859,#398860); #358658=AXIS2_PLACEMENT_3D('',#515663,#398864,#398865); #358659=AXIS2_PLACEMENT_3D('',#515669,#398869,#398870); #358660=AXIS2_PLACEMENT_3D('',#515675,#398874,#398875); #358661=AXIS2_PLACEMENT_3D('',#515681,#398879,#398880); #358662=AXIS2_PLACEMENT_3D('',#515687,#398884,#398885); #358663=AXIS2_PLACEMENT_3D('',#515693,#398889,#398890); #358664=AXIS2_PLACEMENT_3D('',#515699,#398894,#398895); #358665=AXIS2_PLACEMENT_3D('',#515705,#398899,#398900); #358666=AXIS2_PLACEMENT_3D('',#515711,#398904,#398905); #358667=AXIS2_PLACEMENT_3D('',#515717,#398909,#398910); #358668=AXIS2_PLACEMENT_3D('',#515723,#398914,#398915); #358669=AXIS2_PLACEMENT_3D('',#515729,#398919,#398920); #358670=AXIS2_PLACEMENT_3D('',#515735,#398924,#398925); #358671=AXIS2_PLACEMENT_3D('',#515741,#398929,#398930); #358672=AXIS2_PLACEMENT_3D('',#515747,#398934,#398935); #358673=AXIS2_PLACEMENT_3D('',#515753,#398939,#398940); #358674=AXIS2_PLACEMENT_3D('',#515759,#398944,#398945); #358675=AXIS2_PLACEMENT_3D('',#515765,#398949,#398950); #358676=AXIS2_PLACEMENT_3D('',#515771,#398954,#398955); #358677=AXIS2_PLACEMENT_3D('',#515777,#398959,#398960); #358678=AXIS2_PLACEMENT_3D('',#515783,#398964,#398965); #358679=AXIS2_PLACEMENT_3D('',#515789,#398969,#398970); #358680=AXIS2_PLACEMENT_3D('',#515795,#398974,#398975); #358681=AXIS2_PLACEMENT_3D('',#515801,#398979,#398980); #358682=AXIS2_PLACEMENT_3D('',#515807,#398984,#398985); #358683=AXIS2_PLACEMENT_3D('',#515813,#398989,#398990); #358684=AXIS2_PLACEMENT_3D('',#515819,#398994,#398995); #358685=AXIS2_PLACEMENT_3D('',#515825,#398999,#399000); #358686=AXIS2_PLACEMENT_3D('',#515831,#399004,#399005); #358687=AXIS2_PLACEMENT_3D('',#515837,#399009,#399010); #358688=AXIS2_PLACEMENT_3D('',#515843,#399014,#399015); #358689=AXIS2_PLACEMENT_3D('',#515849,#399019,#399020); #358690=AXIS2_PLACEMENT_3D('',#515855,#399024,#399025); #358691=AXIS2_PLACEMENT_3D('',#515861,#399029,#399030); #358692=AXIS2_PLACEMENT_3D('',#515867,#399034,#399035); #358693=AXIS2_PLACEMENT_3D('',#515873,#399039,#399040); #358694=AXIS2_PLACEMENT_3D('',#515879,#399044,#399045); #358695=AXIS2_PLACEMENT_3D('',#515885,#399049,#399050); #358696=AXIS2_PLACEMENT_3D('',#515891,#399054,#399055); #358697=AXIS2_PLACEMENT_3D('',#515897,#399059,#399060); #358698=AXIS2_PLACEMENT_3D('',#515903,#399064,#399065); #358699=AXIS2_PLACEMENT_3D('',#515909,#399069,#399070); #358700=AXIS2_PLACEMENT_3D('',#515915,#399074,#399075); #358701=AXIS2_PLACEMENT_3D('',#515921,#399079,#399080); #358702=AXIS2_PLACEMENT_3D('',#515927,#399084,#399085); #358703=AXIS2_PLACEMENT_3D('',#515933,#399089,#399090); #358704=AXIS2_PLACEMENT_3D('',#515939,#399094,#399095); #358705=AXIS2_PLACEMENT_3D('',#515945,#399099,#399100); #358706=AXIS2_PLACEMENT_3D('',#515951,#399104,#399105); #358707=AXIS2_PLACEMENT_3D('',#515957,#399109,#399110); #358708=AXIS2_PLACEMENT_3D('',#515963,#399114,#399115); #358709=AXIS2_PLACEMENT_3D('',#515969,#399119,#399120); #358710=AXIS2_PLACEMENT_3D('',#515975,#399124,#399125); #358711=AXIS2_PLACEMENT_3D('',#515981,#399129,#399130); #358712=AXIS2_PLACEMENT_3D('',#515987,#399134,#399135); #358713=AXIS2_PLACEMENT_3D('',#515993,#399139,#399140); #358714=AXIS2_PLACEMENT_3D('',#515999,#399144,#399145); #358715=AXIS2_PLACEMENT_3D('',#516005,#399149,#399150); #358716=AXIS2_PLACEMENT_3D('',#516011,#399154,#399155); #358717=AXIS2_PLACEMENT_3D('',#516017,#399159,#399160); #358718=AXIS2_PLACEMENT_3D('',#516023,#399164,#399165); #358719=AXIS2_PLACEMENT_3D('',#516026,#399168,#399169); #358720=AXIS2_PLACEMENT_3D('',#516027,#399170,#399171); #358721=AXIS2_PLACEMENT_3D('',#516028,#399172,#399173); #358722=AXIS2_PLACEMENT_3D('',#516037,#399178,#399179); #358723=AXIS2_PLACEMENT_3D('',#516043,#399183,#399184); #358724=AXIS2_PLACEMENT_3D('',#516049,#399188,#399189); #358725=AXIS2_PLACEMENT_3D('',#516055,#399193,#399194); #358726=AXIS2_PLACEMENT_3D('',#516061,#399198,#399199); #358727=AXIS2_PLACEMENT_3D('',#516067,#399203,#399204); #358728=AXIS2_PLACEMENT_3D('',#516073,#399208,#399209); #358729=AXIS2_PLACEMENT_3D('',#516079,#399213,#399214); #358730=AXIS2_PLACEMENT_3D('',#516085,#399218,#399219); #358731=AXIS2_PLACEMENT_3D('',#516091,#399223,#399224); #358732=AXIS2_PLACEMENT_3D('',#516097,#399228,#399229); #358733=AXIS2_PLACEMENT_3D('',#516103,#399233,#399234); #358734=AXIS2_PLACEMENT_3D('',#516109,#399238,#399239); #358735=AXIS2_PLACEMENT_3D('',#516115,#399243,#399244); #358736=AXIS2_PLACEMENT_3D('',#516121,#399248,#399249); #358737=AXIS2_PLACEMENT_3D('',#516127,#399253,#399254); #358738=AXIS2_PLACEMENT_3D('',#516133,#399258,#399259); #358739=AXIS2_PLACEMENT_3D('',#516139,#399263,#399264); #358740=AXIS2_PLACEMENT_3D('',#516145,#399268,#399269); #358741=AXIS2_PLACEMENT_3D('',#516151,#399273,#399274); #358742=AXIS2_PLACEMENT_3D('',#516157,#399278,#399279); #358743=AXIS2_PLACEMENT_3D('',#516163,#399283,#399284); #358744=AXIS2_PLACEMENT_3D('',#516169,#399288,#399289); #358745=AXIS2_PLACEMENT_3D('',#516175,#399293,#399294); #358746=AXIS2_PLACEMENT_3D('',#516181,#399298,#399299); #358747=AXIS2_PLACEMENT_3D('',#516187,#399303,#399304); #358748=AXIS2_PLACEMENT_3D('',#516193,#399308,#399309); #358749=AXIS2_PLACEMENT_3D('',#516199,#399313,#399314); #358750=AXIS2_PLACEMENT_3D('',#516205,#399318,#399319); #358751=AXIS2_PLACEMENT_3D('',#516211,#399323,#399324); #358752=AXIS2_PLACEMENT_3D('',#516217,#399328,#399329); #358753=AXIS2_PLACEMENT_3D('',#516223,#399333,#399334); #358754=AXIS2_PLACEMENT_3D('',#516229,#399338,#399339); #358755=AXIS2_PLACEMENT_3D('',#516232,#399342,#399343); #358756=AXIS2_PLACEMENT_3D('',#516233,#399344,#399345); #358757=AXIS2_PLACEMENT_3D('',#516234,#399346,#399347); #358758=AXIS2_PLACEMENT_3D('',#516243,#399352,#399353); #358759=AXIS2_PLACEMENT_3D('',#516249,#399357,#399358); #358760=AXIS2_PLACEMENT_3D('',#516255,#399362,#399363); #358761=AXIS2_PLACEMENT_3D('',#516261,#399367,#399368); #358762=AXIS2_PLACEMENT_3D('',#516267,#399372,#399373); #358763=AXIS2_PLACEMENT_3D('',#516273,#399377,#399378); #358764=AXIS2_PLACEMENT_3D('',#516279,#399382,#399383); #358765=AXIS2_PLACEMENT_3D('',#516285,#399387,#399388); #358766=AXIS2_PLACEMENT_3D('',#516291,#399392,#399393); #358767=AXIS2_PLACEMENT_3D('',#516297,#399397,#399398); #358768=AXIS2_PLACEMENT_3D('',#516303,#399402,#399403); #358769=AXIS2_PLACEMENT_3D('',#516309,#399407,#399408); #358770=AXIS2_PLACEMENT_3D('',#516315,#399412,#399413); #358771=AXIS2_PLACEMENT_3D('',#516321,#399417,#399418); #358772=AXIS2_PLACEMENT_3D('',#516327,#399422,#399423); #358773=AXIS2_PLACEMENT_3D('',#516333,#399427,#399428); #358774=AXIS2_PLACEMENT_3D('',#516339,#399432,#399433); #358775=AXIS2_PLACEMENT_3D('',#516345,#399437,#399438); #358776=AXIS2_PLACEMENT_3D('',#516351,#399442,#399443); #358777=AXIS2_PLACEMENT_3D('',#516354,#399446,#399447); #358778=AXIS2_PLACEMENT_3D('',#516355,#399448,#399449); #358779=AXIS2_PLACEMENT_3D('',#516356,#399450,#399451); #358780=AXIS2_PLACEMENT_3D('',#516365,#399456,#399457); #358781=AXIS2_PLACEMENT_3D('',#516371,#399461,#399462); #358782=AXIS2_PLACEMENT_3D('',#516377,#399466,#399467); #358783=AXIS2_PLACEMENT_3D('',#516383,#399471,#399472); #358784=AXIS2_PLACEMENT_3D('',#516389,#399476,#399477); #358785=AXIS2_PLACEMENT_3D('',#516395,#399481,#399482); #358786=AXIS2_PLACEMENT_3D('',#516401,#399486,#399487); #358787=AXIS2_PLACEMENT_3D('',#516407,#399491,#399492); #358788=AXIS2_PLACEMENT_3D('',#516413,#399496,#399497); #358789=AXIS2_PLACEMENT_3D('',#516419,#399501,#399502); #358790=AXIS2_PLACEMENT_3D('',#516425,#399506,#399507); #358791=AXIS2_PLACEMENT_3D('',#516431,#399511,#399512); #358792=AXIS2_PLACEMENT_3D('',#516437,#399516,#399517); #358793=AXIS2_PLACEMENT_3D('',#516443,#399521,#399522); #358794=AXIS2_PLACEMENT_3D('',#516449,#399526,#399527); #358795=AXIS2_PLACEMENT_3D('',#516455,#399531,#399532); #358796=AXIS2_PLACEMENT_3D('',#516461,#399536,#399537); #358797=AXIS2_PLACEMENT_3D('',#516467,#399541,#399542); #358798=AXIS2_PLACEMENT_3D('',#516473,#399546,#399547); #358799=AXIS2_PLACEMENT_3D('',#516479,#399551,#399552); #358800=AXIS2_PLACEMENT_3D('',#516482,#399555,#399556); #358801=AXIS2_PLACEMENT_3D('',#516483,#399557,#399558); #358802=AXIS2_PLACEMENT_3D('',#516484,#399559,#399560); #358803=AXIS2_PLACEMENT_3D('',#516486,#399561,#399562); #358804=AXIS2_PLACEMENT_3D('',#516489,#399564,#399565); #358805=AXIS2_PLACEMENT_3D('',#516490,#399566,#399567); #358806=AXIS2_PLACEMENT_3D('',#516499,#399572,#399573); #358807=AXIS2_PLACEMENT_3D('',#516501,#399574,#399575); #358808=AXIS2_PLACEMENT_3D('',#516503,#399576,#399577); #358809=AXIS2_PLACEMENT_3D('',#516505,#399579,#399580); #358810=AXIS2_PLACEMENT_3D('',#516511,#399584,#399585); #358811=AXIS2_PLACEMENT_3D('',#516512,#399586,#399587); #358812=AXIS2_PLACEMENT_3D('',#516513,#399588,#399589); #358813=AXIS2_PLACEMENT_3D('',#516514,#399590,#399591); #358814=AXIS2_PLACEMENT_3D('',#516516,#399592,#399593); #358815=AXIS2_PLACEMENT_3D('',#516519,#399595,#399596); #358816=AXIS2_PLACEMENT_3D('',#516520,#399597,#399598); #358817=AXIS2_PLACEMENT_3D('',#516522,#399599,#399600); #358818=AXIS2_PLACEMENT_3D('',#516525,#399602,#399603); #358819=AXIS2_PLACEMENT_3D('',#516526,#399604,#399605); #358820=AXIS2_PLACEMENT_3D('',#516528,#399606,#399607); #358821=AXIS2_PLACEMENT_3D('',#516531,#399609,#399610); #358822=AXIS2_PLACEMENT_3D('',#516532,#399611,#399612); #358823=AXIS2_PLACEMENT_3D('',#516534,#399613,#399614); #358824=AXIS2_PLACEMENT_3D('',#516537,#399616,#399617); #358825=AXIS2_PLACEMENT_3D('',#516538,#399618,#399619); #358826=AXIS2_PLACEMENT_3D('',#516540,#399620,#399621); #358827=AXIS2_PLACEMENT_3D('',#516543,#399623,#399624); #358828=AXIS2_PLACEMENT_3D('',#516544,#399625,#399626); #358829=AXIS2_PLACEMENT_3D('',#516546,#399627,#399628); #358830=AXIS2_PLACEMENT_3D('',#516549,#399630,#399631); #358831=AXIS2_PLACEMENT_3D('',#516550,#399632,#399633); #358832=AXIS2_PLACEMENT_3D('',#516552,#399634,#399635); #358833=AXIS2_PLACEMENT_3D('',#516555,#399637,#399638); #358834=AXIS2_PLACEMENT_3D('',#516556,#399639,#399640); #358835=AXIS2_PLACEMENT_3D('',#516558,#399641,#399642); #358836=AXIS2_PLACEMENT_3D('',#516561,#399644,#399645); #358837=AXIS2_PLACEMENT_3D('',#516562,#399646,#399647); #358838=AXIS2_PLACEMENT_3D('',#516564,#399648,#399649); #358839=AXIS2_PLACEMENT_3D('',#516567,#399651,#399652); #358840=AXIS2_PLACEMENT_3D('',#516568,#399653,#399654); #358841=AXIS2_PLACEMENT_3D('',#516577,#399659,#399660); #358842=AXIS2_PLACEMENT_3D('',#516583,#399664,#399665); #358843=AXIS2_PLACEMENT_3D('',#516589,#399669,#399670); #358844=AXIS2_PLACEMENT_3D('',#516595,#399674,#399675); #358845=AXIS2_PLACEMENT_3D('',#516601,#399679,#399680); #358846=AXIS2_PLACEMENT_3D('',#516607,#399684,#399685); #358847=AXIS2_PLACEMENT_3D('',#516613,#399689,#399690); #358848=AXIS2_PLACEMENT_3D('',#516619,#399694,#399695); #358849=AXIS2_PLACEMENT_3D('',#516625,#399699,#399700); #358850=AXIS2_PLACEMENT_3D('',#516631,#399704,#399705); #358851=AXIS2_PLACEMENT_3D('',#516637,#399709,#399710); #358852=AXIS2_PLACEMENT_3D('',#516643,#399714,#399715); #358853=AXIS2_PLACEMENT_3D('',#516649,#399719,#399720); #358854=AXIS2_PLACEMENT_3D('',#516655,#399724,#399725); #358855=AXIS2_PLACEMENT_3D('',#516661,#399729,#399730); #358856=AXIS2_PLACEMENT_3D('',#516664,#399733,#399734); #358857=AXIS2_PLACEMENT_3D('',#516673,#399739,#399740); #358858=AXIS2_PLACEMENT_3D('',#516679,#399744,#399745); #358859=AXIS2_PLACEMENT_3D('',#516685,#399749,#399750); #358860=AXIS2_PLACEMENT_3D('',#516691,#399754,#399755); #358861=AXIS2_PLACEMENT_3D('',#516697,#399759,#399760); #358862=AXIS2_PLACEMENT_3D('',#516703,#399764,#399765); #358863=AXIS2_PLACEMENT_3D('',#516709,#399769,#399770); #358864=AXIS2_PLACEMENT_3D('',#516715,#399774,#399775); #358865=AXIS2_PLACEMENT_3D('',#516721,#399779,#399780); #358866=AXIS2_PLACEMENT_3D('',#516727,#399784,#399785); #358867=AXIS2_PLACEMENT_3D('',#516733,#399789,#399790); #358868=AXIS2_PLACEMENT_3D('',#516739,#399794,#399795); #358869=AXIS2_PLACEMENT_3D('',#516745,#399799,#399800); #358870=AXIS2_PLACEMENT_3D('',#516751,#399804,#399805); #358871=AXIS2_PLACEMENT_3D('',#516757,#399809,#399810); #358872=AXIS2_PLACEMENT_3D('',#516763,#399814,#399815); #358873=AXIS2_PLACEMENT_3D('',#516769,#399819,#399820); #358874=AXIS2_PLACEMENT_3D('',#516775,#399824,#399825); #358875=AXIS2_PLACEMENT_3D('',#516781,#399829,#399830); #358876=AXIS2_PLACEMENT_3D('',#516787,#399834,#399835); #358877=AXIS2_PLACEMENT_3D('',#516793,#399839,#399840); #358878=AXIS2_PLACEMENT_3D('',#516799,#399844,#399845); #358879=AXIS2_PLACEMENT_3D('',#516805,#399849,#399850); #358880=AXIS2_PLACEMENT_3D('',#516811,#399854,#399855); #358881=AXIS2_PLACEMENT_3D('',#516817,#399859,#399860); #358882=AXIS2_PLACEMENT_3D('',#516823,#399864,#399865); #358883=AXIS2_PLACEMENT_3D('',#516829,#399869,#399870); #358884=AXIS2_PLACEMENT_3D('',#516835,#399874,#399875); #358885=AXIS2_PLACEMENT_3D('',#516841,#399879,#399880); #358886=AXIS2_PLACEMENT_3D('',#516847,#399884,#399885); #358887=AXIS2_PLACEMENT_3D('',#516853,#399889,#399890); #358888=AXIS2_PLACEMENT_3D('',#516859,#399894,#399895); #358889=AXIS2_PLACEMENT_3D('',#516865,#399899,#399900); #358890=AXIS2_PLACEMENT_3D('',#516871,#399904,#399905); #358891=AXIS2_PLACEMENT_3D('',#516877,#399909,#399910); #358892=AXIS2_PLACEMENT_3D('',#516883,#399914,#399915); #358893=AXIS2_PLACEMENT_3D('',#516889,#399919,#399920); #358894=AXIS2_PLACEMENT_3D('',#516895,#399924,#399925); #358895=AXIS2_PLACEMENT_3D('',#516901,#399929,#399930); #358896=AXIS2_PLACEMENT_3D('',#516907,#399934,#399935); #358897=AXIS2_PLACEMENT_3D('',#516913,#399939,#399940); #358898=AXIS2_PLACEMENT_3D('',#516919,#399944,#399945); #358899=AXIS2_PLACEMENT_3D('',#516925,#399949,#399950); #358900=AXIS2_PLACEMENT_3D('',#516931,#399954,#399955); #358901=AXIS2_PLACEMENT_3D('',#516937,#399959,#399960); #358902=AXIS2_PLACEMENT_3D('',#516943,#399964,#399965); #358903=AXIS2_PLACEMENT_3D('',#516949,#399969,#399970); #358904=AXIS2_PLACEMENT_3D('',#516955,#399974,#399975); #358905=AXIS2_PLACEMENT_3D('',#516961,#399979,#399980); #358906=AXIS2_PLACEMENT_3D('',#516967,#399984,#399985); #358907=AXIS2_PLACEMENT_3D('',#516973,#399989,#399990); #358908=AXIS2_PLACEMENT_3D('',#516979,#399994,#399995); #358909=AXIS2_PLACEMENT_3D('',#516985,#399999,#400000); #358910=AXIS2_PLACEMENT_3D('',#516991,#400004,#400005); #358911=AXIS2_PLACEMENT_3D('',#516997,#400009,#400010); #358912=AXIS2_PLACEMENT_3D('',#517003,#400014,#400015); #358913=AXIS2_PLACEMENT_3D('',#517009,#400019,#400020); #358914=AXIS2_PLACEMENT_3D('',#517015,#400024,#400025); #358915=AXIS2_PLACEMENT_3D('',#517021,#400029,#400030); #358916=AXIS2_PLACEMENT_3D('',#517027,#400034,#400035); #358917=AXIS2_PLACEMENT_3D('',#517033,#400039,#400040); #358918=AXIS2_PLACEMENT_3D('',#517039,#400044,#400045); #358919=AXIS2_PLACEMENT_3D('',#517045,#400049,#400050); #358920=AXIS2_PLACEMENT_3D('',#517051,#400054,#400055); #358921=AXIS2_PLACEMENT_3D('',#517057,#400059,#400060); #358922=AXIS2_PLACEMENT_3D('',#517063,#400064,#400065); #358923=AXIS2_PLACEMENT_3D('',#517069,#400069,#400070); #358924=AXIS2_PLACEMENT_3D('',#517075,#400074,#400075); #358925=AXIS2_PLACEMENT_3D('',#517081,#400079,#400080); #358926=AXIS2_PLACEMENT_3D('',#517087,#400084,#400085); #358927=AXIS2_PLACEMENT_3D('',#517093,#400089,#400090); #358928=AXIS2_PLACEMENT_3D('',#517099,#400094,#400095); #358929=AXIS2_PLACEMENT_3D('',#517105,#400099,#400100); #358930=AXIS2_PLACEMENT_3D('',#517111,#400104,#400105); #358931=AXIS2_PLACEMENT_3D('',#517117,#400109,#400110); #358932=AXIS2_PLACEMENT_3D('',#517123,#400114,#400115); #358933=AXIS2_PLACEMENT_3D('',#517129,#400119,#400120); #358934=AXIS2_PLACEMENT_3D('',#517135,#400124,#400125); #358935=AXIS2_PLACEMENT_3D('',#517141,#400129,#400130); #358936=AXIS2_PLACEMENT_3D('',#517147,#400134,#400135); #358937=AXIS2_PLACEMENT_3D('',#517153,#400139,#400140); #358938=AXIS2_PLACEMENT_3D('',#517159,#400144,#400145); #358939=AXIS2_PLACEMENT_3D('',#517165,#400149,#400150); #358940=AXIS2_PLACEMENT_3D('',#517171,#400154,#400155); #358941=AXIS2_PLACEMENT_3D('',#517177,#400159,#400160); #358942=AXIS2_PLACEMENT_3D('',#517183,#400164,#400165); #358943=AXIS2_PLACEMENT_3D('',#517189,#400169,#400170); #358944=AXIS2_PLACEMENT_3D('',#517195,#400174,#400175); #358945=AXIS2_PLACEMENT_3D('',#517201,#400179,#400180); #358946=AXIS2_PLACEMENT_3D('',#517207,#400184,#400185); #358947=AXIS2_PLACEMENT_3D('',#517213,#400189,#400190); #358948=AXIS2_PLACEMENT_3D('',#517219,#400194,#400195); #358949=AXIS2_PLACEMENT_3D('',#517225,#400199,#400200); #358950=AXIS2_PLACEMENT_3D('',#517231,#400204,#400205); #358951=AXIS2_PLACEMENT_3D('',#517237,#400209,#400210); #358952=AXIS2_PLACEMENT_3D('',#517243,#400214,#400215); #358953=AXIS2_PLACEMENT_3D('',#517249,#400219,#400220); #358954=AXIS2_PLACEMENT_3D('',#517255,#400224,#400225); #358955=AXIS2_PLACEMENT_3D('',#517261,#400229,#400230); #358956=AXIS2_PLACEMENT_3D('',#517267,#400234,#400235); #358957=AXIS2_PLACEMENT_3D('',#517273,#400239,#400240); #358958=AXIS2_PLACEMENT_3D('',#517279,#400244,#400245); #358959=AXIS2_PLACEMENT_3D('',#517285,#400249,#400250); #358960=AXIS2_PLACEMENT_3D('',#517291,#400254,#400255); #358961=AXIS2_PLACEMENT_3D('',#517297,#400259,#400260); #358962=AXIS2_PLACEMENT_3D('',#517303,#400264,#400265); #358963=AXIS2_PLACEMENT_3D('',#517309,#400269,#400270); #358964=AXIS2_PLACEMENT_3D('',#517315,#400274,#400275); #358965=AXIS2_PLACEMENT_3D('',#517321,#400279,#400280); #358966=AXIS2_PLACEMENT_3D('',#517327,#400284,#400285); #358967=AXIS2_PLACEMENT_3D('',#517333,#400289,#400290); #358968=AXIS2_PLACEMENT_3D('',#517339,#400294,#400295); #358969=AXIS2_PLACEMENT_3D('',#517345,#400299,#400300); #358970=AXIS2_PLACEMENT_3D('',#517351,#400304,#400305); #358971=AXIS2_PLACEMENT_3D('',#517357,#400309,#400310); #358972=AXIS2_PLACEMENT_3D('',#517363,#400314,#400315); #358973=AXIS2_PLACEMENT_3D('',#517369,#400319,#400320); #358974=AXIS2_PLACEMENT_3D('',#517375,#400324,#400325); #358975=AXIS2_PLACEMENT_3D('',#517381,#400329,#400330); #358976=AXIS2_PLACEMENT_3D('',#517387,#400334,#400335); #358977=AXIS2_PLACEMENT_3D('',#517393,#400339,#400340); #358978=AXIS2_PLACEMENT_3D('',#517399,#400344,#400345); #358979=AXIS2_PLACEMENT_3D('',#517405,#400349,#400350); #358980=AXIS2_PLACEMENT_3D('',#517411,#400354,#400355); #358981=AXIS2_PLACEMENT_3D('',#517417,#400359,#400360); #358982=AXIS2_PLACEMENT_3D('',#517423,#400364,#400365); #358983=AXIS2_PLACEMENT_3D('',#517429,#400369,#400370); #358984=AXIS2_PLACEMENT_3D('',#517435,#400374,#400375); #358985=AXIS2_PLACEMENT_3D('',#517441,#400379,#400380); #358986=AXIS2_PLACEMENT_3D('',#517447,#400384,#400385); #358987=AXIS2_PLACEMENT_3D('',#517453,#400389,#400390); #358988=AXIS2_PLACEMENT_3D('',#517459,#400394,#400395); #358989=AXIS2_PLACEMENT_3D('',#517465,#400399,#400400); #358990=AXIS2_PLACEMENT_3D('',#517471,#400404,#400405); #358991=AXIS2_PLACEMENT_3D('',#517477,#400409,#400410); #358992=AXIS2_PLACEMENT_3D('',#517483,#400414,#400415); #358993=AXIS2_PLACEMENT_3D('',#517489,#400419,#400420); #358994=AXIS2_PLACEMENT_3D('',#517495,#400424,#400425); #358995=AXIS2_PLACEMENT_3D('',#517501,#400429,#400430); #358996=AXIS2_PLACEMENT_3D('',#517507,#400434,#400435); #358997=AXIS2_PLACEMENT_3D('',#517513,#400439,#400440); #358998=AXIS2_PLACEMENT_3D('',#517519,#400444,#400445); #358999=AXIS2_PLACEMENT_3D('',#517525,#400449,#400450); #359000=AXIS2_PLACEMENT_3D('',#517531,#400454,#400455); #359001=AXIS2_PLACEMENT_3D('',#517537,#400459,#400460); #359002=AXIS2_PLACEMENT_3D('',#517543,#400464,#400465); #359003=AXIS2_PLACEMENT_3D('',#517549,#400469,#400470); #359004=AXIS2_PLACEMENT_3D('',#517555,#400474,#400475); #359005=AXIS2_PLACEMENT_3D('',#517561,#400479,#400480); #359006=AXIS2_PLACEMENT_3D('',#517567,#400484,#400485); #359007=AXIS2_PLACEMENT_3D('',#517573,#400489,#400490); #359008=AXIS2_PLACEMENT_3D('',#517579,#400494,#400495); #359009=AXIS2_PLACEMENT_3D('',#517585,#400499,#400500); #359010=AXIS2_PLACEMENT_3D('',#517591,#400504,#400505); #359011=AXIS2_PLACEMENT_3D('',#517597,#400509,#400510); #359012=AXIS2_PLACEMENT_3D('',#517603,#400514,#400515); #359013=AXIS2_PLACEMENT_3D('',#517609,#400519,#400520); #359014=AXIS2_PLACEMENT_3D('',#517615,#400524,#400525); #359015=AXIS2_PLACEMENT_3D('',#517621,#400529,#400530); #359016=AXIS2_PLACEMENT_3D('',#517627,#400534,#400535); #359017=AXIS2_PLACEMENT_3D('',#517633,#400539,#400540); #359018=AXIS2_PLACEMENT_3D('',#517639,#400544,#400545); #359019=AXIS2_PLACEMENT_3D('',#517645,#400549,#400550); #359020=AXIS2_PLACEMENT_3D('',#517651,#400554,#400555); #359021=AXIS2_PLACEMENT_3D('',#517657,#400559,#400560); #359022=AXIS2_PLACEMENT_3D('',#517663,#400564,#400565); #359023=AXIS2_PLACEMENT_3D('',#517669,#400569,#400570); #359024=AXIS2_PLACEMENT_3D('',#517675,#400574,#400575); #359025=AXIS2_PLACEMENT_3D('',#517681,#400579,#400580); #359026=AXIS2_PLACEMENT_3D('',#517687,#400584,#400585); #359027=AXIS2_PLACEMENT_3D('',#517693,#400589,#400590); #359028=AXIS2_PLACEMENT_3D('',#517699,#400594,#400595); #359029=AXIS2_PLACEMENT_3D('',#517705,#400599,#400600); #359030=AXIS2_PLACEMENT_3D('',#517711,#400604,#400605); #359031=AXIS2_PLACEMENT_3D('',#517717,#400609,#400610); #359032=AXIS2_PLACEMENT_3D('',#517723,#400614,#400615); #359033=AXIS2_PLACEMENT_3D('',#517729,#400619,#400620); #359034=AXIS2_PLACEMENT_3D('',#517735,#400624,#400625); #359035=AXIS2_PLACEMENT_3D('',#517741,#400629,#400630); #359036=AXIS2_PLACEMENT_3D('',#517747,#400634,#400635); #359037=AXIS2_PLACEMENT_3D('',#517753,#400639,#400640); #359038=AXIS2_PLACEMENT_3D('',#517759,#400644,#400645); #359039=AXIS2_PLACEMENT_3D('',#517765,#400649,#400650); #359040=AXIS2_PLACEMENT_3D('',#517771,#400654,#400655); #359041=AXIS2_PLACEMENT_3D('',#517777,#400659,#400660); #359042=AXIS2_PLACEMENT_3D('',#517783,#400664,#400665); #359043=AXIS2_PLACEMENT_3D('',#517789,#400669,#400670); #359044=AXIS2_PLACEMENT_3D('',#517795,#400674,#400675); #359045=AXIS2_PLACEMENT_3D('',#517801,#400679,#400680); #359046=AXIS2_PLACEMENT_3D('',#517807,#400684,#400685); #359047=AXIS2_PLACEMENT_3D('',#517813,#400689,#400690); #359048=AXIS2_PLACEMENT_3D('',#517819,#400694,#400695); #359049=AXIS2_PLACEMENT_3D('',#517825,#400699,#400700); #359050=AXIS2_PLACEMENT_3D('',#517831,#400704,#400705); #359051=AXIS2_PLACEMENT_3D('',#517837,#400709,#400710); #359052=AXIS2_PLACEMENT_3D('',#517843,#400714,#400715); #359053=AXIS2_PLACEMENT_3D('',#517849,#400719,#400720); #359054=AXIS2_PLACEMENT_3D('',#517855,#400724,#400725); #359055=AXIS2_PLACEMENT_3D('',#517861,#400729,#400730); #359056=AXIS2_PLACEMENT_3D('',#517867,#400734,#400735); #359057=AXIS2_PLACEMENT_3D('',#517873,#400739,#400740); #359058=AXIS2_PLACEMENT_3D('',#517879,#400744,#400745); #359059=AXIS2_PLACEMENT_3D('',#517885,#400749,#400750); #359060=AXIS2_PLACEMENT_3D('',#517891,#400754,#400755); #359061=AXIS2_PLACEMENT_3D('',#517897,#400759,#400760); #359062=AXIS2_PLACEMENT_3D('',#517903,#400764,#400765); #359063=AXIS2_PLACEMENT_3D('',#517909,#400769,#400770); #359064=AXIS2_PLACEMENT_3D('',#517915,#400774,#400775); #359065=AXIS2_PLACEMENT_3D('',#517921,#400779,#400780); #359066=AXIS2_PLACEMENT_3D('',#517927,#400784,#400785); #359067=AXIS2_PLACEMENT_3D('',#517930,#400788,#400789); #359068=AXIS2_PLACEMENT_3D('',#517931,#400790,#400791); #359069=AXIS2_PLACEMENT_3D('',#517932,#400792,#400793); #359070=AXIS2_PLACEMENT_3D('',#517941,#400798,#400799); #359071=AXIS2_PLACEMENT_3D('',#517947,#400803,#400804); #359072=AXIS2_PLACEMENT_3D('',#517953,#400808,#400809); #359073=AXIS2_PLACEMENT_3D('',#517959,#400813,#400814); #359074=AXIS2_PLACEMENT_3D('',#517965,#400818,#400819); #359075=AXIS2_PLACEMENT_3D('',#517971,#400823,#400824); #359076=AXIS2_PLACEMENT_3D('',#517977,#400828,#400829); #359077=AXIS2_PLACEMENT_3D('',#517983,#400833,#400834); #359078=AXIS2_PLACEMENT_3D('',#517989,#400838,#400839); #359079=AXIS2_PLACEMENT_3D('',#517995,#400843,#400844); #359080=AXIS2_PLACEMENT_3D('',#518001,#400848,#400849); #359081=AXIS2_PLACEMENT_3D('',#518007,#400853,#400854); #359082=AXIS2_PLACEMENT_3D('',#518013,#400858,#400859); #359083=AXIS2_PLACEMENT_3D('',#518019,#400863,#400864); #359084=AXIS2_PLACEMENT_3D('',#518025,#400868,#400869); #359085=AXIS2_PLACEMENT_3D('',#518031,#400873,#400874); #359086=AXIS2_PLACEMENT_3D('',#518037,#400878,#400879); #359087=AXIS2_PLACEMENT_3D('',#518043,#400883,#400884); #359088=AXIS2_PLACEMENT_3D('',#518049,#400888,#400889); #359089=AXIS2_PLACEMENT_3D('',#518055,#400893,#400894); #359090=AXIS2_PLACEMENT_3D('',#518058,#400897,#400898); #359091=AXIS2_PLACEMENT_3D('',#518059,#400899,#400900); #359092=AXIS2_PLACEMENT_3D('',#518060,#400901,#400902); #359093=AXIS2_PLACEMENT_3D('',#518069,#400907,#400908); #359094=AXIS2_PLACEMENT_3D('',#518075,#400912,#400913); #359095=AXIS2_PLACEMENT_3D('',#518081,#400917,#400918); #359096=AXIS2_PLACEMENT_3D('',#518087,#400922,#400923); #359097=AXIS2_PLACEMENT_3D('',#518093,#400927,#400928); #359098=AXIS2_PLACEMENT_3D('',#518099,#400932,#400933); #359099=AXIS2_PLACEMENT_3D('',#518105,#400937,#400938); #359100=AXIS2_PLACEMENT_3D('',#518111,#400942,#400943); #359101=AXIS2_PLACEMENT_3D('',#518117,#400947,#400948); #359102=AXIS2_PLACEMENT_3D('',#518123,#400952,#400953); #359103=AXIS2_PLACEMENT_3D('',#518129,#400957,#400958); #359104=AXIS2_PLACEMENT_3D('',#518135,#400962,#400963); #359105=AXIS2_PLACEMENT_3D('',#518141,#400967,#400968); #359106=AXIS2_PLACEMENT_3D('',#518147,#400972,#400973); #359107=AXIS2_PLACEMENT_3D('',#518153,#400977,#400978); #359108=AXIS2_PLACEMENT_3D('',#518159,#400982,#400983); #359109=AXIS2_PLACEMENT_3D('',#518165,#400987,#400988); #359110=AXIS2_PLACEMENT_3D('',#518171,#400992,#400993); #359111=AXIS2_PLACEMENT_3D('',#518177,#400997,#400998); #359112=AXIS2_PLACEMENT_3D('',#518180,#401001,#401002); #359113=AXIS2_PLACEMENT_3D('',#518181,#401003,#401004); #359114=AXIS2_PLACEMENT_3D('',#518182,#401005,#401006); #359115=AXIS2_PLACEMENT_3D('',#518184,#401007,#401008); #359116=AXIS2_PLACEMENT_3D('',#518187,#401010,#401011); #359117=AXIS2_PLACEMENT_3D('',#518188,#401012,#401013); #359118=AXIS2_PLACEMENT_3D('',#518190,#401014,#401015); #359119=AXIS2_PLACEMENT_3D('',#518193,#401017,#401018); #359120=AXIS2_PLACEMENT_3D('',#518194,#401019,#401020); #359121=AXIS2_PLACEMENT_3D('',#518196,#401021,#401022); #359122=AXIS2_PLACEMENT_3D('',#518199,#401024,#401025); #359123=AXIS2_PLACEMENT_3D('',#518200,#401026,#401027); #359124=AXIS2_PLACEMENT_3D('',#518202,#401028,#401029); #359125=AXIS2_PLACEMENT_3D('',#518205,#401031,#401032); #359126=AXIS2_PLACEMENT_3D('',#518206,#401033,#401034); #359127=AXIS2_PLACEMENT_3D('',#518208,#401035,#401036); #359128=AXIS2_PLACEMENT_3D('',#518211,#401038,#401039); #359129=AXIS2_PLACEMENT_3D('',#518212,#401040,#401041); #359130=AXIS2_PLACEMENT_3D('',#518214,#401042,#401043); #359131=AXIS2_PLACEMENT_3D('',#518217,#401045,#401046); #359132=AXIS2_PLACEMENT_3D('',#518218,#401047,#401048); #359133=AXIS2_PLACEMENT_3D('',#518220,#401049,#401050); #359134=AXIS2_PLACEMENT_3D('',#518223,#401052,#401053); #359135=AXIS2_PLACEMENT_3D('',#518224,#401054,#401055); #359136=AXIS2_PLACEMENT_3D('',#518226,#401056,#401057); #359137=AXIS2_PLACEMENT_3D('',#518229,#401059,#401060); #359138=AXIS2_PLACEMENT_3D('',#518230,#401061,#401062); #359139=AXIS2_PLACEMENT_3D('',#518232,#401063,#401064); #359140=AXIS2_PLACEMENT_3D('',#518235,#401066,#401067); #359141=AXIS2_PLACEMENT_3D('',#518236,#401068,#401069); #359142=AXIS2_PLACEMENT_3D('',#518238,#401070,#401071); #359143=AXIS2_PLACEMENT_3D('',#518241,#401073,#401074); #359144=AXIS2_PLACEMENT_3D('',#518242,#401075,#401076); #359145=AXIS2_PLACEMENT_3D('',#518244,#401077,#401078); #359146=AXIS2_PLACEMENT_3D('',#518247,#401080,#401081); #359147=AXIS2_PLACEMENT_3D('',#518248,#401082,#401083); #359148=AXIS2_PLACEMENT_3D('',#518250,#401084,#401085); #359149=AXIS2_PLACEMENT_3D('',#518253,#401087,#401088); #359150=AXIS2_PLACEMENT_3D('',#518254,#401089,#401090); #359151=AXIS2_PLACEMENT_3D('',#518256,#401091,#401092); #359152=AXIS2_PLACEMENT_3D('',#518259,#401094,#401095); #359153=AXIS2_PLACEMENT_3D('',#518260,#401096,#401097); #359154=AXIS2_PLACEMENT_3D('',#518262,#401098,#401099); #359155=AXIS2_PLACEMENT_3D('',#518265,#401101,#401102); #359156=AXIS2_PLACEMENT_3D('',#518266,#401103,#401104); #359157=AXIS2_PLACEMENT_3D('',#518268,#401105,#401106); #359158=AXIS2_PLACEMENT_3D('',#518271,#401108,#401109); #359159=AXIS2_PLACEMENT_3D('',#518272,#401110,#401111); #359160=AXIS2_PLACEMENT_3D('',#518274,#401112,#401113); #359161=AXIS2_PLACEMENT_3D('',#518277,#401115,#401116); #359162=AXIS2_PLACEMENT_3D('',#518278,#401117,#401118); #359163=AXIS2_PLACEMENT_3D('',#518280,#401119,#401120); #359164=AXIS2_PLACEMENT_3D('',#518283,#401122,#401123); #359165=AXIS2_PLACEMENT_3D('',#518284,#401124,#401125); #359166=AXIS2_PLACEMENT_3D('',#518286,#401126,#401127); #359167=AXIS2_PLACEMENT_3D('',#518289,#401129,#401130); #359168=AXIS2_PLACEMENT_3D('',#518290,#401131,#401132); #359169=AXIS2_PLACEMENT_3D('',#518292,#401133,#401134); #359170=AXIS2_PLACEMENT_3D('',#518295,#401136,#401137); #359171=AXIS2_PLACEMENT_3D('',#518296,#401138,#401139); #359172=AXIS2_PLACEMENT_3D('',#518298,#401140,#401141); #359173=AXIS2_PLACEMENT_3D('',#518301,#401143,#401144); #359174=AXIS2_PLACEMENT_3D('',#518302,#401145,#401146); #359175=AXIS2_PLACEMENT_3D('',#518304,#401147,#401148); #359176=AXIS2_PLACEMENT_3D('',#518307,#401150,#401151); #359177=AXIS2_PLACEMENT_3D('',#518308,#401152,#401153); #359178=AXIS2_PLACEMENT_3D('',#518310,#401154,#401155); #359179=AXIS2_PLACEMENT_3D('',#518313,#401157,#401158); #359180=AXIS2_PLACEMENT_3D('',#518314,#401159,#401160); #359181=AXIS2_PLACEMENT_3D('',#518316,#401161,#401162); #359182=AXIS2_PLACEMENT_3D('',#518319,#401164,#401165); #359183=AXIS2_PLACEMENT_3D('',#518320,#401166,#401167); #359184=AXIS2_PLACEMENT_3D('',#518322,#401168,#401169); #359185=AXIS2_PLACEMENT_3D('',#518325,#401171,#401172); #359186=AXIS2_PLACEMENT_3D('',#518326,#401173,#401174); #359187=AXIS2_PLACEMENT_3D('',#518328,#401175,#401176); #359188=AXIS2_PLACEMENT_3D('',#518331,#401178,#401179); #359189=AXIS2_PLACEMENT_3D('',#518332,#401180,#401181); #359190=AXIS2_PLACEMENT_3D('',#518334,#401182,#401183); #359191=AXIS2_PLACEMENT_3D('',#518337,#401185,#401186); #359192=AXIS2_PLACEMENT_3D('',#518338,#401187,#401188); #359193=AXIS2_PLACEMENT_3D('',#518340,#401189,#401190); #359194=AXIS2_PLACEMENT_3D('',#518343,#401192,#401193); #359195=AXIS2_PLACEMENT_3D('',#518344,#401194,#401195); #359196=AXIS2_PLACEMENT_3D('',#518346,#401196,#401197); #359197=AXIS2_PLACEMENT_3D('',#518349,#401199,#401200); #359198=AXIS2_PLACEMENT_3D('',#518350,#401201,#401202); #359199=AXIS2_PLACEMENT_3D('',#518352,#401203,#401204); #359200=AXIS2_PLACEMENT_3D('',#518355,#401206,#401207); #359201=AXIS2_PLACEMENT_3D('',#518356,#401208,#401209); #359202=AXIS2_PLACEMENT_3D('',#518358,#401210,#401211); #359203=AXIS2_PLACEMENT_3D('',#518361,#401213,#401214); #359204=AXIS2_PLACEMENT_3D('',#518362,#401215,#401216); #359205=AXIS2_PLACEMENT_3D('',#518364,#401217,#401218); #359206=AXIS2_PLACEMENT_3D('',#518367,#401220,#401221); #359207=AXIS2_PLACEMENT_3D('',#518368,#401222,#401223); #359208=AXIS2_PLACEMENT_3D('',#518370,#401224,#401225); #359209=AXIS2_PLACEMENT_3D('',#518373,#401227,#401228); #359210=AXIS2_PLACEMENT_3D('',#518374,#401229,#401230); #359211=AXIS2_PLACEMENT_3D('',#518376,#401231,#401232); #359212=AXIS2_PLACEMENT_3D('',#518379,#401234,#401235); #359213=AXIS2_PLACEMENT_3D('',#518380,#401236,#401237); #359214=AXIS2_PLACEMENT_3D('',#518382,#401238,#401239); #359215=AXIS2_PLACEMENT_3D('',#518385,#401241,#401242); #359216=AXIS2_PLACEMENT_3D('',#518386,#401243,#401244); #359217=AXIS2_PLACEMENT_3D('',#518388,#401245,#401246); #359218=AXIS2_PLACEMENT_3D('',#518391,#401248,#401249); #359219=AXIS2_PLACEMENT_3D('',#518392,#401250,#401251); #359220=AXIS2_PLACEMENT_3D('',#518401,#401256,#401257); #359221=AXIS2_PLACEMENT_3D('',#518403,#401258,#401259); #359222=AXIS2_PLACEMENT_3D('',#518405,#401260,#401261); #359223=AXIS2_PLACEMENT_3D('',#518407,#401263,#401264); #359224=AXIS2_PLACEMENT_3D('',#518413,#401268,#401269); #359225=AXIS2_PLACEMENT_3D('',#518414,#401270,#401271); #359226=AXIS2_PLACEMENT_3D('',#518415,#401272,#401273); #359227=AXIS2_PLACEMENT_3D('',#518416,#401274,#401275); #359228=AXIS2_PLACEMENT_3D('',#518418,#401276,#401277); #359229=AXIS2_PLACEMENT_3D('',#518421,#401279,#401280); #359230=AXIS2_PLACEMENT_3D('',#518422,#401281,#401282); #359231=AXIS2_PLACEMENT_3D('',#518424,#401283,#401284); #359232=AXIS2_PLACEMENT_3D('',#518427,#401286,#401287); #359233=AXIS2_PLACEMENT_3D('',#518428,#401288,#401289); #359234=AXIS2_PLACEMENT_3D('',#518430,#401290,#401291); #359235=AXIS2_PLACEMENT_3D('',#518433,#401293,#401294); #359236=AXIS2_PLACEMENT_3D('',#518434,#401295,#401296); #359237=AXIS2_PLACEMENT_3D('',#518436,#401297,#401298); #359238=AXIS2_PLACEMENT_3D('',#518439,#401300,#401301); #359239=AXIS2_PLACEMENT_3D('',#518440,#401302,#401303); #359240=AXIS2_PLACEMENT_3D('',#518449,#401308,#401309); #359241=AXIS2_PLACEMENT_3D('',#518451,#401310,#401311); #359242=AXIS2_PLACEMENT_3D('',#518453,#401312,#401313); #359243=AXIS2_PLACEMENT_3D('',#518455,#401315,#401316); #359244=AXIS2_PLACEMENT_3D('',#518461,#401320,#401321); #359245=AXIS2_PLACEMENT_3D('',#518462,#401322,#401323); #359246=AXIS2_PLACEMENT_3D('',#518463,#401324,#401325); #359247=AXIS2_PLACEMENT_3D('',#518464,#401326,#401327); #359248=AXIS2_PLACEMENT_3D('',#518473,#401332,#401333); #359249=AXIS2_PLACEMENT_3D('',#518475,#401334,#401335); #359250=AXIS2_PLACEMENT_3D('',#518477,#401336,#401337); #359251=AXIS2_PLACEMENT_3D('',#518479,#401339,#401340); #359252=AXIS2_PLACEMENT_3D('',#518485,#401344,#401345); #359253=AXIS2_PLACEMENT_3D('',#518486,#401346,#401347); #359254=AXIS2_PLACEMENT_3D('',#518487,#401348,#401349); #359255=AXIS2_PLACEMENT_3D('',#518488,#401350,#401351); #359256=AXIS2_PLACEMENT_3D('',#518497,#401356,#401357); #359257=AXIS2_PLACEMENT_3D('',#518499,#401358,#401359); #359258=AXIS2_PLACEMENT_3D('',#518501,#401360,#401361); #359259=AXIS2_PLACEMENT_3D('',#518503,#401363,#401364); #359260=AXIS2_PLACEMENT_3D('',#518509,#401368,#401369); #359261=AXIS2_PLACEMENT_3D('',#518510,#401370,#401371); #359262=AXIS2_PLACEMENT_3D('',#518511,#401372,#401373); #359263=AXIS2_PLACEMENT_3D('',#518512,#401374,#401375); #359264=AXIS2_PLACEMENT_3D('',#518514,#401376,#401377); #359265=AXIS2_PLACEMENT_3D('',#518517,#401379,#401380); #359266=AXIS2_PLACEMENT_3D('',#518518,#401381,#401382); #359267=AXIS2_PLACEMENT_3D('',#518520,#401383,#401384); #359268=AXIS2_PLACEMENT_3D('',#518523,#401386,#401387); #359269=AXIS2_PLACEMENT_3D('',#518524,#401388,#401389); #359270=AXIS2_PLACEMENT_3D('',#518526,#401390,#401391); #359271=AXIS2_PLACEMENT_3D('',#518529,#401393,#401394); #359272=AXIS2_PLACEMENT_3D('',#518530,#401395,#401396); #359273=AXIS2_PLACEMENT_3D('',#518532,#401397,#401398); #359274=AXIS2_PLACEMENT_3D('',#518535,#401400,#401401); #359275=AXIS2_PLACEMENT_3D('',#518536,#401402,#401403); #359276=AXIS2_PLACEMENT_3D('',#518538,#401404,#401405); #359277=AXIS2_PLACEMENT_3D('',#518541,#401407,#401408); #359278=AXIS2_PLACEMENT_3D('',#518542,#401409,#401410); #359279=AXIS2_PLACEMENT_3D('',#518544,#401411,#401412); #359280=AXIS2_PLACEMENT_3D('',#518547,#401414,#401415); #359281=AXIS2_PLACEMENT_3D('',#518548,#401416,#401417); #359282=AXIS2_PLACEMENT_3D('',#518550,#401418,#401419); #359283=AXIS2_PLACEMENT_3D('',#518553,#401421,#401422); #359284=AXIS2_PLACEMENT_3D('',#518554,#401423,#401424); #359285=AXIS2_PLACEMENT_3D('',#518556,#401425,#401426); #359286=AXIS2_PLACEMENT_3D('',#518559,#401428,#401429); #359287=AXIS2_PLACEMENT_3D('',#518560,#401430,#401431); #359288=AXIS2_PLACEMENT_3D('',#518562,#401432,#401433); #359289=AXIS2_PLACEMENT_3D('',#518565,#401435,#401436); #359290=AXIS2_PLACEMENT_3D('',#518566,#401437,#401438); #359291=AXIS2_PLACEMENT_3D('',#518568,#401439,#401440); #359292=AXIS2_PLACEMENT_3D('',#518571,#401442,#401443); #359293=AXIS2_PLACEMENT_3D('',#518572,#401444,#401445); #359294=AXIS2_PLACEMENT_3D('',#518574,#401446,#401447); #359295=AXIS2_PLACEMENT_3D('',#518577,#401449,#401450); #359296=AXIS2_PLACEMENT_3D('',#518578,#401451,#401452); #359297=AXIS2_PLACEMENT_3D('',#518580,#401453,#401454); #359298=AXIS2_PLACEMENT_3D('',#518583,#401456,#401457); #359299=AXIS2_PLACEMENT_3D('',#518584,#401458,#401459); #359300=AXIS2_PLACEMENT_3D('',#518586,#401460,#401461); #359301=AXIS2_PLACEMENT_3D('',#518589,#401463,#401464); #359302=AXIS2_PLACEMENT_3D('',#518590,#401465,#401466); #359303=AXIS2_PLACEMENT_3D('',#518592,#401467,#401468); #359304=AXIS2_PLACEMENT_3D('',#518595,#401470,#401471); #359305=AXIS2_PLACEMENT_3D('',#518596,#401472,#401473); #359306=AXIS2_PLACEMENT_3D('',#518598,#401474,#401475); #359307=AXIS2_PLACEMENT_3D('',#518601,#401477,#401478); #359308=AXIS2_PLACEMENT_3D('',#518602,#401479,#401480); #359309=AXIS2_PLACEMENT_3D('',#518604,#401481,#401482); #359310=AXIS2_PLACEMENT_3D('',#518607,#401484,#401485); #359311=AXIS2_PLACEMENT_3D('',#518608,#401486,#401487); #359312=AXIS2_PLACEMENT_3D('',#518610,#401488,#401489); #359313=AXIS2_PLACEMENT_3D('',#518613,#401491,#401492); #359314=AXIS2_PLACEMENT_3D('',#518614,#401493,#401494); #359315=AXIS2_PLACEMENT_3D('',#518616,#401495,#401496); #359316=AXIS2_PLACEMENT_3D('',#518619,#401498,#401499); #359317=AXIS2_PLACEMENT_3D('',#518620,#401500,#401501); #359318=AXIS2_PLACEMENT_3D('',#518622,#401502,#401503); #359319=AXIS2_PLACEMENT_3D('',#518625,#401505,#401506); #359320=AXIS2_PLACEMENT_3D('',#518626,#401507,#401508); #359321=AXIS2_PLACEMENT_3D('',#518635,#401513,#401514); #359322=AXIS2_PLACEMENT_3D('',#518641,#401518,#401519); #359323=AXIS2_PLACEMENT_3D('',#518647,#401523,#401524); #359324=AXIS2_PLACEMENT_3D('',#518653,#401528,#401529); #359325=AXIS2_PLACEMENT_3D('',#518659,#401533,#401534); #359326=AXIS2_PLACEMENT_3D('',#518665,#401538,#401539); #359327=AXIS2_PLACEMENT_3D('',#518671,#401543,#401544); #359328=AXIS2_PLACEMENT_3D('',#518674,#401547,#401548); #359329=AXIS2_PLACEMENT_3D('',#518683,#401553,#401554); #359330=AXIS2_PLACEMENT_3D('',#518689,#401558,#401559); #359331=AXIS2_PLACEMENT_3D('',#518695,#401563,#401564); #359332=AXIS2_PLACEMENT_3D('',#518701,#401568,#401569); #359333=AXIS2_PLACEMENT_3D('',#518707,#401573,#401574); #359334=AXIS2_PLACEMENT_3D('',#518713,#401578,#401579); #359335=AXIS2_PLACEMENT_3D('',#518719,#401583,#401584); #359336=AXIS2_PLACEMENT_3D('',#518725,#401588,#401589); #359337=AXIS2_PLACEMENT_3D('',#518731,#401593,#401594); #359338=AXIS2_PLACEMENT_3D('',#518737,#401598,#401599); #359339=AXIS2_PLACEMENT_3D('',#518743,#401603,#401604); #359340=AXIS2_PLACEMENT_3D('',#518749,#401608,#401609); #359341=AXIS2_PLACEMENT_3D('',#518755,#401613,#401614); #359342=AXIS2_PLACEMENT_3D('',#518761,#401618,#401619); #359343=AXIS2_PLACEMENT_3D('',#518767,#401623,#401624); #359344=AXIS2_PLACEMENT_3D('',#518770,#401627,#401628); #359345=AXIS2_PLACEMENT_3D('',#518779,#401633,#401634); #359346=AXIS2_PLACEMENT_3D('',#518785,#401638,#401639); #359347=AXIS2_PLACEMENT_3D('',#518791,#401643,#401644); #359348=AXIS2_PLACEMENT_3D('',#518797,#401648,#401649); #359349=AXIS2_PLACEMENT_3D('',#518803,#401653,#401654); #359350=AXIS2_PLACEMENT_3D('',#518809,#401658,#401659); #359351=AXIS2_PLACEMENT_3D('',#518815,#401663,#401664); #359352=AXIS2_PLACEMENT_3D('',#518821,#401668,#401669); #359353=AXIS2_PLACEMENT_3D('',#518827,#401673,#401674); #359354=AXIS2_PLACEMENT_3D('',#518833,#401678,#401679); #359355=AXIS2_PLACEMENT_3D('',#518839,#401683,#401684); #359356=AXIS2_PLACEMENT_3D('',#518845,#401688,#401689); #359357=AXIS2_PLACEMENT_3D('',#518851,#401693,#401694); #359358=AXIS2_PLACEMENT_3D('',#518857,#401698,#401699); #359359=AXIS2_PLACEMENT_3D('',#518863,#401703,#401704); #359360=AXIS2_PLACEMENT_3D('',#518866,#401707,#401708); #359361=AXIS2_PLACEMENT_3D('',#518875,#401713,#401714); #359362=AXIS2_PLACEMENT_3D('',#518881,#401718,#401719); #359363=AXIS2_PLACEMENT_3D('',#518887,#401723,#401724); #359364=AXIS2_PLACEMENT_3D('',#518893,#401728,#401729); #359365=AXIS2_PLACEMENT_3D('',#518899,#401733,#401734); #359366=AXIS2_PLACEMENT_3D('',#518905,#401738,#401739); #359367=AXIS2_PLACEMENT_3D('',#518911,#401743,#401744); #359368=AXIS2_PLACEMENT_3D('',#518917,#401748,#401749); #359369=AXIS2_PLACEMENT_3D('',#518923,#401753,#401754); #359370=AXIS2_PLACEMENT_3D('',#518929,#401758,#401759); #359371=AXIS2_PLACEMENT_3D('',#518935,#401763,#401764); #359372=AXIS2_PLACEMENT_3D('',#518941,#401768,#401769); #359373=AXIS2_PLACEMENT_3D('',#518947,#401773,#401774); #359374=AXIS2_PLACEMENT_3D('',#518953,#401778,#401779); #359375=AXIS2_PLACEMENT_3D('',#518959,#401783,#401784); #359376=AXIS2_PLACEMENT_3D('',#518965,#401788,#401789); #359377=AXIS2_PLACEMENT_3D('',#518971,#401793,#401794); #359378=AXIS2_PLACEMENT_3D('',#518977,#401798,#401799); #359379=AXIS2_PLACEMENT_3D('',#518983,#401803,#401804); #359380=AXIS2_PLACEMENT_3D('',#518989,#401808,#401809); #359381=AXIS2_PLACEMENT_3D('',#518995,#401813,#401814); #359382=AXIS2_PLACEMENT_3D('',#519001,#401818,#401819); #359383=AXIS2_PLACEMENT_3D('',#519007,#401823,#401824); #359384=AXIS2_PLACEMENT_3D('',#519013,#401828,#401829); #359385=AXIS2_PLACEMENT_3D('',#519019,#401833,#401834); #359386=AXIS2_PLACEMENT_3D('',#519025,#401838,#401839); #359387=AXIS2_PLACEMENT_3D('',#519031,#401843,#401844); #359388=AXIS2_PLACEMENT_3D('',#519037,#401848,#401849); #359389=AXIS2_PLACEMENT_3D('',#519043,#401853,#401854); #359390=AXIS2_PLACEMENT_3D('',#519049,#401858,#401859); #359391=AXIS2_PLACEMENT_3D('',#519055,#401863,#401864); #359392=AXIS2_PLACEMENT_3D('',#519061,#401868,#401869); #359393=AXIS2_PLACEMENT_3D('',#519067,#401873,#401874); #359394=AXIS2_PLACEMENT_3D('',#519073,#401878,#401879); #359395=AXIS2_PLACEMENT_3D('',#519079,#401883,#401884); #359396=AXIS2_PLACEMENT_3D('',#519082,#401887,#401888); #359397=AXIS2_PLACEMENT_3D('',#519091,#401893,#401894); #359398=AXIS2_PLACEMENT_3D('',#519097,#401898,#401899); #359399=AXIS2_PLACEMENT_3D('',#519103,#401903,#401904); #359400=AXIS2_PLACEMENT_3D('',#519109,#401908,#401909); #359401=AXIS2_PLACEMENT_3D('',#519115,#401913,#401914); #359402=AXIS2_PLACEMENT_3D('',#519121,#401918,#401919); #359403=AXIS2_PLACEMENT_3D('',#519127,#401923,#401924); #359404=AXIS2_PLACEMENT_3D('',#519133,#401928,#401929); #359405=AXIS2_PLACEMENT_3D('',#519139,#401933,#401934); #359406=AXIS2_PLACEMENT_3D('',#519145,#401938,#401939); #359407=AXIS2_PLACEMENT_3D('',#519151,#401943,#401944); #359408=AXIS2_PLACEMENT_3D('',#519157,#401948,#401949); #359409=AXIS2_PLACEMENT_3D('',#519163,#401953,#401954); #359410=AXIS2_PLACEMENT_3D('',#519169,#401958,#401959); #359411=AXIS2_PLACEMENT_3D('',#519175,#401963,#401964); #359412=AXIS2_PLACEMENT_3D('',#519181,#401968,#401969); #359413=AXIS2_PLACEMENT_3D('',#519187,#401973,#401974); #359414=AXIS2_PLACEMENT_3D('',#519193,#401978,#401979); #359415=AXIS2_PLACEMENT_3D('',#519199,#401983,#401984); #359416=AXIS2_PLACEMENT_3D('',#519205,#401988,#401989); #359417=AXIS2_PLACEMENT_3D('',#519211,#401993,#401994); #359418=AXIS2_PLACEMENT_3D('',#519217,#401998,#401999); #359419=AXIS2_PLACEMENT_3D('',#519223,#402003,#402004); #359420=AXIS2_PLACEMENT_3D('',#519229,#402008,#402009); #359421=AXIS2_PLACEMENT_3D('',#519235,#402013,#402014); #359422=AXIS2_PLACEMENT_3D('',#519241,#402018,#402019); #359423=AXIS2_PLACEMENT_3D('',#519247,#402023,#402024); #359424=AXIS2_PLACEMENT_3D('',#519253,#402028,#402029); #359425=AXIS2_PLACEMENT_3D('',#519259,#402033,#402034); #359426=AXIS2_PLACEMENT_3D('',#519265,#402038,#402039); #359427=AXIS2_PLACEMENT_3D('',#519271,#402043,#402044); #359428=AXIS2_PLACEMENT_3D('',#519277,#402048,#402049); #359429=AXIS2_PLACEMENT_3D('',#519283,#402053,#402054); #359430=AXIS2_PLACEMENT_3D('',#519289,#402058,#402059); #359431=AXIS2_PLACEMENT_3D('',#519295,#402063,#402064); #359432=AXIS2_PLACEMENT_3D('',#519298,#402067,#402068); #359433=AXIS2_PLACEMENT_3D('',#519307,#402073,#402074); #359434=AXIS2_PLACEMENT_3D('',#519313,#402078,#402079); #359435=AXIS2_PLACEMENT_3D('',#519319,#402083,#402084); #359436=AXIS2_PLACEMENT_3D('',#519325,#402088,#402089); #359437=AXIS2_PLACEMENT_3D('',#519331,#402093,#402094); #359438=AXIS2_PLACEMENT_3D('',#519337,#402098,#402099); #359439=AXIS2_PLACEMENT_3D('',#519343,#402103,#402104); #359440=AXIS2_PLACEMENT_3D('',#519349,#402108,#402109); #359441=AXIS2_PLACEMENT_3D('',#519355,#402113,#402114); #359442=AXIS2_PLACEMENT_3D('',#519361,#402118,#402119); #359443=AXIS2_PLACEMENT_3D('',#519367,#402123,#402124); #359444=AXIS2_PLACEMENT_3D('',#519373,#402128,#402129); #359445=AXIS2_PLACEMENT_3D('',#519379,#402133,#402134); #359446=AXIS2_PLACEMENT_3D('',#519385,#402138,#402139); #359447=AXIS2_PLACEMENT_3D('',#519391,#402143,#402144); #359448=AXIS2_PLACEMENT_3D('',#519397,#402148,#402149); #359449=AXIS2_PLACEMENT_3D('',#519403,#402153,#402154); #359450=AXIS2_PLACEMENT_3D('',#519409,#402158,#402159); #359451=AXIS2_PLACEMENT_3D('',#519415,#402163,#402164); #359452=AXIS2_PLACEMENT_3D('',#519421,#402168,#402169); #359453=AXIS2_PLACEMENT_3D('',#519427,#402173,#402174); #359454=AXIS2_PLACEMENT_3D('',#519433,#402178,#402179); #359455=AXIS2_PLACEMENT_3D('',#519439,#402183,#402184); #359456=AXIS2_PLACEMENT_3D('',#519445,#402188,#402189); #359457=AXIS2_PLACEMENT_3D('',#519451,#402193,#402194); #359458=AXIS2_PLACEMENT_3D('',#519457,#402198,#402199); #359459=AXIS2_PLACEMENT_3D('',#519463,#402203,#402204); #359460=AXIS2_PLACEMENT_3D('',#519469,#402208,#402209); #359461=AXIS2_PLACEMENT_3D('',#519475,#402213,#402214); #359462=AXIS2_PLACEMENT_3D('',#519481,#402218,#402219); #359463=AXIS2_PLACEMENT_3D('',#519487,#402223,#402224); #359464=AXIS2_PLACEMENT_3D('',#519493,#402228,#402229); #359465=AXIS2_PLACEMENT_3D('',#519499,#402233,#402234); #359466=AXIS2_PLACEMENT_3D('',#519505,#402238,#402239); #359467=AXIS2_PLACEMENT_3D('',#519511,#402243,#402244); #359468=AXIS2_PLACEMENT_3D('',#519517,#402248,#402249); #359469=AXIS2_PLACEMENT_3D('',#519523,#402253,#402254); #359470=AXIS2_PLACEMENT_3D('',#519529,#402258,#402259); #359471=AXIS2_PLACEMENT_3D('',#519535,#402263,#402264); #359472=AXIS2_PLACEMENT_3D('',#519541,#402268,#402269); #359473=AXIS2_PLACEMENT_3D('',#519547,#402273,#402274); #359474=AXIS2_PLACEMENT_3D('',#519553,#402278,#402279); #359475=AXIS2_PLACEMENT_3D('',#519559,#402283,#402284); #359476=AXIS2_PLACEMENT_3D('',#519565,#402288,#402289); #359477=AXIS2_PLACEMENT_3D('',#519571,#402293,#402294); #359478=AXIS2_PLACEMENT_3D('',#519577,#402298,#402299); #359479=AXIS2_PLACEMENT_3D('',#519583,#402303,#402304); #359480=AXIS2_PLACEMENT_3D('',#519589,#402308,#402309); #359481=AXIS2_PLACEMENT_3D('',#519595,#402313,#402314); #359482=AXIS2_PLACEMENT_3D('',#519601,#402318,#402319); #359483=AXIS2_PLACEMENT_3D('',#519607,#402323,#402324); #359484=AXIS2_PLACEMENT_3D('',#519613,#402328,#402329); #359485=AXIS2_PLACEMENT_3D('',#519619,#402333,#402334); #359486=AXIS2_PLACEMENT_3D('',#519625,#402338,#402339); #359487=AXIS2_PLACEMENT_3D('',#519631,#402343,#402344); #359488=AXIS2_PLACEMENT_3D('',#519637,#402348,#402349); #359489=AXIS2_PLACEMENT_3D('',#519643,#402353,#402354); #359490=AXIS2_PLACEMENT_3D('',#519649,#402358,#402359); #359491=AXIS2_PLACEMENT_3D('',#519655,#402363,#402364); #359492=AXIS2_PLACEMENT_3D('',#519661,#402368,#402369); #359493=AXIS2_PLACEMENT_3D('',#519667,#402373,#402374); #359494=AXIS2_PLACEMENT_3D('',#519673,#402378,#402379); #359495=AXIS2_PLACEMENT_3D('',#519679,#402383,#402384); #359496=AXIS2_PLACEMENT_3D('',#519685,#402388,#402389); #359497=AXIS2_PLACEMENT_3D('',#519691,#402393,#402394); #359498=AXIS2_PLACEMENT_3D('',#519697,#402398,#402399); #359499=AXIS2_PLACEMENT_3D('',#519703,#402403,#402404); #359500=AXIS2_PLACEMENT_3D('',#519709,#402408,#402409); #359501=AXIS2_PLACEMENT_3D('',#519715,#402413,#402414); #359502=AXIS2_PLACEMENT_3D('',#519721,#402418,#402419); #359503=AXIS2_PLACEMENT_3D('',#519727,#402423,#402424); #359504=AXIS2_PLACEMENT_3D('',#519733,#402428,#402429); #359505=AXIS2_PLACEMENT_3D('',#519739,#402433,#402434); #359506=AXIS2_PLACEMENT_3D('',#519745,#402438,#402439); #359507=AXIS2_PLACEMENT_3D('',#519751,#402443,#402444); #359508=AXIS2_PLACEMENT_3D('',#519757,#402448,#402449); #359509=AXIS2_PLACEMENT_3D('',#519763,#402453,#402454); #359510=AXIS2_PLACEMENT_3D('',#519769,#402458,#402459); #359511=AXIS2_PLACEMENT_3D('',#519775,#402463,#402464); #359512=AXIS2_PLACEMENT_3D('',#519781,#402468,#402469); #359513=AXIS2_PLACEMENT_3D('',#519787,#402473,#402474); #359514=AXIS2_PLACEMENT_3D('',#519793,#402478,#402479); #359515=AXIS2_PLACEMENT_3D('',#519799,#402483,#402484); #359516=AXIS2_PLACEMENT_3D('',#519805,#402488,#402489); #359517=AXIS2_PLACEMENT_3D('',#519811,#402493,#402494); #359518=AXIS2_PLACEMENT_3D('',#519817,#402498,#402499); #359519=AXIS2_PLACEMENT_3D('',#519823,#402503,#402504); #359520=AXIS2_PLACEMENT_3D('',#519829,#402508,#402509); #359521=AXIS2_PLACEMENT_3D('',#519835,#402513,#402514); #359522=AXIS2_PLACEMENT_3D('',#519841,#402518,#402519); #359523=AXIS2_PLACEMENT_3D('',#519847,#402523,#402524); #359524=AXIS2_PLACEMENT_3D('',#519853,#402528,#402529); #359525=AXIS2_PLACEMENT_3D('',#519859,#402533,#402534); #359526=AXIS2_PLACEMENT_3D('',#519865,#402538,#402539); #359527=AXIS2_PLACEMENT_3D('',#519871,#402543,#402544); #359528=AXIS2_PLACEMENT_3D('',#519877,#402548,#402549); #359529=AXIS2_PLACEMENT_3D('',#519883,#402553,#402554); #359530=AXIS2_PLACEMENT_3D('',#519889,#402558,#402559); #359531=AXIS2_PLACEMENT_3D('',#519895,#402563,#402564); #359532=AXIS2_PLACEMENT_3D('',#519901,#402568,#402569); #359533=AXIS2_PLACEMENT_3D('',#519907,#402573,#402574); #359534=AXIS2_PLACEMENT_3D('',#519913,#402578,#402579); #359535=AXIS2_PLACEMENT_3D('',#519919,#402583,#402584); #359536=AXIS2_PLACEMENT_3D('',#519925,#402588,#402589); #359537=AXIS2_PLACEMENT_3D('',#519931,#402593,#402594); #359538=AXIS2_PLACEMENT_3D('',#519937,#402598,#402599); #359539=AXIS2_PLACEMENT_3D('',#519943,#402603,#402604); #359540=AXIS2_PLACEMENT_3D('',#519949,#402608,#402609); #359541=AXIS2_PLACEMENT_3D('',#519955,#402613,#402614); #359542=AXIS2_PLACEMENT_3D('',#519961,#402618,#402619); #359543=AXIS2_PLACEMENT_3D('',#519967,#402623,#402624); #359544=AXIS2_PLACEMENT_3D('',#519973,#402628,#402629); #359545=AXIS2_PLACEMENT_3D('',#519979,#402633,#402634); #359546=AXIS2_PLACEMENT_3D('',#519985,#402638,#402639); #359547=AXIS2_PLACEMENT_3D('',#519991,#402643,#402644); #359548=AXIS2_PLACEMENT_3D('',#519997,#402648,#402649); #359549=AXIS2_PLACEMENT_3D('',#520003,#402653,#402654); #359550=AXIS2_PLACEMENT_3D('',#520009,#402658,#402659); #359551=AXIS2_PLACEMENT_3D('',#520015,#402663,#402664); #359552=AXIS2_PLACEMENT_3D('',#520021,#402668,#402669); #359553=AXIS2_PLACEMENT_3D('',#520027,#402673,#402674); #359554=AXIS2_PLACEMENT_3D('',#520033,#402678,#402679); #359555=AXIS2_PLACEMENT_3D('',#520039,#402683,#402684); #359556=AXIS2_PLACEMENT_3D('',#520045,#402688,#402689); #359557=AXIS2_PLACEMENT_3D('',#520051,#402693,#402694); #359558=AXIS2_PLACEMENT_3D('',#520057,#402698,#402699); #359559=AXIS2_PLACEMENT_3D('',#520063,#402703,#402704); #359560=AXIS2_PLACEMENT_3D('',#520069,#402708,#402709); #359561=AXIS2_PLACEMENT_3D('',#520075,#402713,#402714); #359562=AXIS2_PLACEMENT_3D('',#520081,#402718,#402719); #359563=AXIS2_PLACEMENT_3D('',#520087,#402723,#402724); #359564=AXIS2_PLACEMENT_3D('',#520093,#402728,#402729); #359565=AXIS2_PLACEMENT_3D('',#520099,#402733,#402734); #359566=AXIS2_PLACEMENT_3D('',#520105,#402738,#402739); #359567=AXIS2_PLACEMENT_3D('',#520111,#402743,#402744); #359568=AXIS2_PLACEMENT_3D('',#520117,#402748,#402749); #359569=AXIS2_PLACEMENT_3D('',#520123,#402753,#402754); #359570=AXIS2_PLACEMENT_3D('',#520129,#402758,#402759); #359571=AXIS2_PLACEMENT_3D('',#520135,#402763,#402764); #359572=AXIS2_PLACEMENT_3D('',#520141,#402768,#402769); #359573=AXIS2_PLACEMENT_3D('',#520147,#402773,#402774); #359574=AXIS2_PLACEMENT_3D('',#520153,#402778,#402779); #359575=AXIS2_PLACEMENT_3D('',#520159,#402783,#402784); #359576=AXIS2_PLACEMENT_3D('',#520165,#402788,#402789); #359577=AXIS2_PLACEMENT_3D('',#520171,#402793,#402794); #359578=AXIS2_PLACEMENT_3D('',#520177,#402798,#402799); #359579=AXIS2_PLACEMENT_3D('',#520183,#402803,#402804); #359580=AXIS2_PLACEMENT_3D('',#520189,#402808,#402809); #359581=AXIS2_PLACEMENT_3D('',#520195,#402813,#402814); #359582=AXIS2_PLACEMENT_3D('',#520201,#402818,#402819); #359583=AXIS2_PLACEMENT_3D('',#520207,#402823,#402824); #359584=AXIS2_PLACEMENT_3D('',#520213,#402828,#402829); #359585=AXIS2_PLACEMENT_3D('',#520219,#402833,#402834); #359586=AXIS2_PLACEMENT_3D('',#520225,#402838,#402839); #359587=AXIS2_PLACEMENT_3D('',#520231,#402843,#402844); #359588=AXIS2_PLACEMENT_3D('',#520237,#402848,#402849); #359589=AXIS2_PLACEMENT_3D('',#520243,#402853,#402854); #359590=AXIS2_PLACEMENT_3D('',#520249,#402858,#402859); #359591=AXIS2_PLACEMENT_3D('',#520255,#402863,#402864); #359592=AXIS2_PLACEMENT_3D('',#520261,#402868,#402869); #359593=AXIS2_PLACEMENT_3D('',#520267,#402873,#402874); #359594=AXIS2_PLACEMENT_3D('',#520273,#402878,#402879); #359595=AXIS2_PLACEMENT_3D('',#520279,#402883,#402884); #359596=AXIS2_PLACEMENT_3D('',#520285,#402888,#402889); #359597=AXIS2_PLACEMENT_3D('',#520291,#402893,#402894); #359598=AXIS2_PLACEMENT_3D('',#520297,#402898,#402899); #359599=AXIS2_PLACEMENT_3D('',#520303,#402903,#402904); #359600=AXIS2_PLACEMENT_3D('',#520309,#402908,#402909); #359601=AXIS2_PLACEMENT_3D('',#520315,#402913,#402914); #359602=AXIS2_PLACEMENT_3D('',#520321,#402918,#402919); #359603=AXIS2_PLACEMENT_3D('',#520327,#402923,#402924); #359604=AXIS2_PLACEMENT_3D('',#520333,#402928,#402929); #359605=AXIS2_PLACEMENT_3D('',#520339,#402933,#402934); #359606=AXIS2_PLACEMENT_3D('',#520345,#402938,#402939); #359607=AXIS2_PLACEMENT_3D('',#520351,#402943,#402944); #359608=AXIS2_PLACEMENT_3D('',#520357,#402948,#402949); #359609=AXIS2_PLACEMENT_3D('',#520363,#402953,#402954); #359610=AXIS2_PLACEMENT_3D('',#520369,#402958,#402959); #359611=AXIS2_PLACEMENT_3D('',#520375,#402963,#402964); #359612=AXIS2_PLACEMENT_3D('',#520381,#402968,#402969); #359613=AXIS2_PLACEMENT_3D('',#520387,#402973,#402974); #359614=AXIS2_PLACEMENT_3D('',#520393,#402978,#402979); #359615=AXIS2_PLACEMENT_3D('',#520399,#402983,#402984); #359616=AXIS2_PLACEMENT_3D('',#520405,#402988,#402989); #359617=AXIS2_PLACEMENT_3D('',#520411,#402993,#402994); #359618=AXIS2_PLACEMENT_3D('',#520417,#402998,#402999); #359619=AXIS2_PLACEMENT_3D('',#520423,#403003,#403004); #359620=AXIS2_PLACEMENT_3D('',#520429,#403008,#403009); #359621=AXIS2_PLACEMENT_3D('',#520435,#403013,#403014); #359622=AXIS2_PLACEMENT_3D('',#520441,#403018,#403019); #359623=AXIS2_PLACEMENT_3D('',#520447,#403023,#403024); #359624=AXIS2_PLACEMENT_3D('',#520453,#403028,#403029); #359625=AXIS2_PLACEMENT_3D('',#520459,#403033,#403034); #359626=AXIS2_PLACEMENT_3D('',#520465,#403038,#403039); #359627=AXIS2_PLACEMENT_3D('',#520471,#403043,#403044); #359628=AXIS2_PLACEMENT_3D('',#520477,#403048,#403049); #359629=AXIS2_PLACEMENT_3D('',#520483,#403053,#403054); #359630=AXIS2_PLACEMENT_3D('',#520489,#403058,#403059); #359631=AXIS2_PLACEMENT_3D('',#520495,#403063,#403064); #359632=AXIS2_PLACEMENT_3D('',#520501,#403068,#403069); #359633=AXIS2_PLACEMENT_3D('',#520507,#403073,#403074); #359634=AXIS2_PLACEMENT_3D('',#520513,#403078,#403079); #359635=AXIS2_PLACEMENT_3D('',#520519,#403083,#403084); #359636=AXIS2_PLACEMENT_3D('',#520525,#403088,#403089); #359637=AXIS2_PLACEMENT_3D('',#520531,#403093,#403094); #359638=AXIS2_PLACEMENT_3D('',#520537,#403098,#403099); #359639=AXIS2_PLACEMENT_3D('',#520543,#403103,#403104); #359640=AXIS2_PLACEMENT_3D('',#520549,#403108,#403109); #359641=AXIS2_PLACEMENT_3D('',#520555,#403113,#403114); #359642=AXIS2_PLACEMENT_3D('',#520561,#403118,#403119); #359643=AXIS2_PLACEMENT_3D('',#520567,#403123,#403124); #359644=AXIS2_PLACEMENT_3D('',#520573,#403128,#403129); #359645=AXIS2_PLACEMENT_3D('',#520579,#403133,#403134); #359646=AXIS2_PLACEMENT_3D('',#520585,#403138,#403139); #359647=AXIS2_PLACEMENT_3D('',#520591,#403143,#403144); #359648=AXIS2_PLACEMENT_3D('',#520597,#403148,#403149); #359649=AXIS2_PLACEMENT_3D('',#520603,#403153,#403154); #359650=AXIS2_PLACEMENT_3D('',#520609,#403158,#403159); #359651=AXIS2_PLACEMENT_3D('',#520615,#403163,#403164); #359652=AXIS2_PLACEMENT_3D('',#520621,#403168,#403169); #359653=AXIS2_PLACEMENT_3D('',#520627,#403173,#403174); #359654=AXIS2_PLACEMENT_3D('',#520633,#403178,#403179); #359655=AXIS2_PLACEMENT_3D('',#520639,#403183,#403184); #359656=AXIS2_PLACEMENT_3D('',#520645,#403188,#403189); #359657=AXIS2_PLACEMENT_3D('',#520651,#403193,#403194); #359658=AXIS2_PLACEMENT_3D('',#520657,#403198,#403199); #359659=AXIS2_PLACEMENT_3D('',#520663,#403203,#403204); #359660=AXIS2_PLACEMENT_3D('',#520669,#403208,#403209); #359661=AXIS2_PLACEMENT_3D('',#520675,#403213,#403214); #359662=AXIS2_PLACEMENT_3D('',#520681,#403218,#403219); #359663=AXIS2_PLACEMENT_3D('',#520687,#403223,#403224); #359664=AXIS2_PLACEMENT_3D('',#520693,#403228,#403229); #359665=AXIS2_PLACEMENT_3D('',#520699,#403233,#403234); #359666=AXIS2_PLACEMENT_3D('',#520705,#403238,#403239); #359667=AXIS2_PLACEMENT_3D('',#520711,#403243,#403244); #359668=AXIS2_PLACEMENT_3D('',#520717,#403248,#403249); #359669=AXIS2_PLACEMENT_3D('',#520723,#403253,#403254); #359670=AXIS2_PLACEMENT_3D('',#520729,#403258,#403259); #359671=AXIS2_PLACEMENT_3D('',#520735,#403263,#403264); #359672=AXIS2_PLACEMENT_3D('',#520741,#403268,#403269); #359673=AXIS2_PLACEMENT_3D('',#520747,#403273,#403274); #359674=AXIS2_PLACEMENT_3D('',#520753,#403278,#403279); #359675=AXIS2_PLACEMENT_3D('',#520759,#403283,#403284); #359676=AXIS2_PLACEMENT_3D('',#520765,#403288,#403289); #359677=AXIS2_PLACEMENT_3D('',#520771,#403293,#403294); #359678=AXIS2_PLACEMENT_3D('',#520777,#403298,#403299); #359679=AXIS2_PLACEMENT_3D('',#520783,#403303,#403304); #359680=AXIS2_PLACEMENT_3D('',#520789,#403308,#403309); #359681=AXIS2_PLACEMENT_3D('',#520795,#403313,#403314); #359682=AXIS2_PLACEMENT_3D('',#520801,#403318,#403319); #359683=AXIS2_PLACEMENT_3D('',#520807,#403323,#403324); #359684=AXIS2_PLACEMENT_3D('',#520813,#403328,#403329); #359685=AXIS2_PLACEMENT_3D('',#520819,#403333,#403334); #359686=AXIS2_PLACEMENT_3D('',#520825,#403338,#403339); #359687=AXIS2_PLACEMENT_3D('',#520831,#403343,#403344); #359688=AXIS2_PLACEMENT_3D('',#520837,#403348,#403349); #359689=AXIS2_PLACEMENT_3D('',#520843,#403353,#403354); #359690=AXIS2_PLACEMENT_3D('',#520849,#403358,#403359); #359691=AXIS2_PLACEMENT_3D('',#520855,#403363,#403364); #359692=AXIS2_PLACEMENT_3D('',#520861,#403368,#403369); #359693=AXIS2_PLACEMENT_3D('',#520867,#403373,#403374); #359694=AXIS2_PLACEMENT_3D('',#520873,#403378,#403379); #359695=AXIS2_PLACEMENT_3D('',#520879,#403383,#403384); #359696=AXIS2_PLACEMENT_3D('',#520885,#403388,#403389); #359697=AXIS2_PLACEMENT_3D('',#520891,#403393,#403394); #359698=AXIS2_PLACEMENT_3D('',#520897,#403398,#403399); #359699=AXIS2_PLACEMENT_3D('',#520903,#403403,#403404); #359700=AXIS2_PLACEMENT_3D('',#520909,#403408,#403409); #359701=AXIS2_PLACEMENT_3D('',#520915,#403413,#403414); #359702=AXIS2_PLACEMENT_3D('',#520921,#403418,#403419); #359703=AXIS2_PLACEMENT_3D('',#520927,#403423,#403424); #359704=AXIS2_PLACEMENT_3D('',#520933,#403428,#403429); #359705=AXIS2_PLACEMENT_3D('',#520939,#403433,#403434); #359706=AXIS2_PLACEMENT_3D('',#520945,#403438,#403439); #359707=AXIS2_PLACEMENT_3D('',#520951,#403443,#403444); #359708=AXIS2_PLACEMENT_3D('',#520957,#403448,#403449); #359709=AXIS2_PLACEMENT_3D('',#520963,#403453,#403454); #359710=AXIS2_PLACEMENT_3D('',#520969,#403458,#403459); #359711=AXIS2_PLACEMENT_3D('',#520975,#403463,#403464); #359712=AXIS2_PLACEMENT_3D('',#520981,#403468,#403469); #359713=AXIS2_PLACEMENT_3D('',#520987,#403473,#403474); #359714=AXIS2_PLACEMENT_3D('',#520993,#403478,#403479); #359715=AXIS2_PLACEMENT_3D('',#520999,#403483,#403484); #359716=AXIS2_PLACEMENT_3D('',#521005,#403488,#403489); #359717=AXIS2_PLACEMENT_3D('',#521011,#403493,#403494); #359718=AXIS2_PLACEMENT_3D('',#521017,#403498,#403499); #359719=AXIS2_PLACEMENT_3D('',#521023,#403503,#403504); #359720=AXIS2_PLACEMENT_3D('',#521029,#403508,#403509); #359721=AXIS2_PLACEMENT_3D('',#521035,#403513,#403514); #359722=AXIS2_PLACEMENT_3D('',#521041,#403518,#403519); #359723=AXIS2_PLACEMENT_3D('',#521047,#403523,#403524); #359724=AXIS2_PLACEMENT_3D('',#521053,#403528,#403529); #359725=AXIS2_PLACEMENT_3D('',#521059,#403533,#403534); #359726=AXIS2_PLACEMENT_3D('',#521065,#403538,#403539); #359727=AXIS2_PLACEMENT_3D('',#521071,#403543,#403544); #359728=AXIS2_PLACEMENT_3D('',#521077,#403548,#403549); #359729=AXIS2_PLACEMENT_3D('',#521083,#403553,#403554); #359730=AXIS2_PLACEMENT_3D('',#521089,#403558,#403559); #359731=AXIS2_PLACEMENT_3D('',#521095,#403563,#403564); #359732=AXIS2_PLACEMENT_3D('',#521101,#403568,#403569); #359733=AXIS2_PLACEMENT_3D('',#521107,#403573,#403574); #359734=AXIS2_PLACEMENT_3D('',#521113,#403578,#403579); #359735=AXIS2_PLACEMENT_3D('',#521119,#403583,#403584); #359736=AXIS2_PLACEMENT_3D('',#521125,#403588,#403589); #359737=AXIS2_PLACEMENT_3D('',#521131,#403593,#403594); #359738=AXIS2_PLACEMENT_3D('',#521137,#403598,#403599); #359739=AXIS2_PLACEMENT_3D('',#521143,#403603,#403604); #359740=AXIS2_PLACEMENT_3D('',#521149,#403608,#403609); #359741=AXIS2_PLACEMENT_3D('',#521155,#403613,#403614); #359742=AXIS2_PLACEMENT_3D('',#521161,#403618,#403619); #359743=AXIS2_PLACEMENT_3D('',#521167,#403623,#403624); #359744=AXIS2_PLACEMENT_3D('',#521173,#403628,#403629); #359745=AXIS2_PLACEMENT_3D('',#521179,#403633,#403634); #359746=AXIS2_PLACEMENT_3D('',#521185,#403638,#403639); #359747=AXIS2_PLACEMENT_3D('',#521191,#403643,#403644); #359748=AXIS2_PLACEMENT_3D('',#521197,#403648,#403649); #359749=AXIS2_PLACEMENT_3D('',#521203,#403653,#403654); #359750=AXIS2_PLACEMENT_3D('',#521209,#403658,#403659); #359751=AXIS2_PLACEMENT_3D('',#521215,#403663,#403664); #359752=AXIS2_PLACEMENT_3D('',#521221,#403668,#403669); #359753=AXIS2_PLACEMENT_3D('',#521227,#403673,#403674); #359754=AXIS2_PLACEMENT_3D('',#521233,#403678,#403679); #359755=AXIS2_PLACEMENT_3D('',#521239,#403683,#403684); #359756=AXIS2_PLACEMENT_3D('',#521245,#403688,#403689); #359757=AXIS2_PLACEMENT_3D('',#521251,#403693,#403694); #359758=AXIS2_PLACEMENT_3D('',#521257,#403698,#403699); #359759=AXIS2_PLACEMENT_3D('',#521263,#403703,#403704); #359760=AXIS2_PLACEMENT_3D('',#521269,#403708,#403709); #359761=AXIS2_PLACEMENT_3D('',#521275,#403713,#403714); #359762=AXIS2_PLACEMENT_3D('',#521281,#403718,#403719); #359763=AXIS2_PLACEMENT_3D('',#521287,#403723,#403724); #359764=AXIS2_PLACEMENT_3D('',#521293,#403728,#403729); #359765=AXIS2_PLACEMENT_3D('',#521299,#403733,#403734); #359766=AXIS2_PLACEMENT_3D('',#521305,#403738,#403739); #359767=AXIS2_PLACEMENT_3D('',#521311,#403743,#403744); #359768=AXIS2_PLACEMENT_3D('',#521317,#403748,#403749); #359769=AXIS2_PLACEMENT_3D('',#521323,#403753,#403754); #359770=AXIS2_PLACEMENT_3D('',#521329,#403758,#403759); #359771=AXIS2_PLACEMENT_3D('',#521335,#403763,#403764); #359772=AXIS2_PLACEMENT_3D('',#521341,#403768,#403769); #359773=AXIS2_PLACEMENT_3D('',#521347,#403773,#403774); #359774=AXIS2_PLACEMENT_3D('',#521353,#403778,#403779); #359775=AXIS2_PLACEMENT_3D('',#521359,#403783,#403784); #359776=AXIS2_PLACEMENT_3D('',#521365,#403788,#403789); #359777=AXIS2_PLACEMENT_3D('',#521371,#403793,#403794); #359778=AXIS2_PLACEMENT_3D('',#521377,#403798,#403799); #359779=AXIS2_PLACEMENT_3D('',#521383,#403803,#403804); #359780=AXIS2_PLACEMENT_3D('',#521389,#403808,#403809); #359781=AXIS2_PLACEMENT_3D('',#521395,#403813,#403814); #359782=AXIS2_PLACEMENT_3D('',#521401,#403818,#403819); #359783=AXIS2_PLACEMENT_3D('',#521407,#403823,#403824); #359784=AXIS2_PLACEMENT_3D('',#521413,#403828,#403829); #359785=AXIS2_PLACEMENT_3D('',#521419,#403833,#403834); #359786=AXIS2_PLACEMENT_3D('',#521425,#403838,#403839); #359787=AXIS2_PLACEMENT_3D('',#521431,#403843,#403844); #359788=AXIS2_PLACEMENT_3D('',#521437,#403848,#403849); #359789=AXIS2_PLACEMENT_3D('',#521443,#403853,#403854); #359790=AXIS2_PLACEMENT_3D('',#521449,#403858,#403859); #359791=AXIS2_PLACEMENT_3D('',#521455,#403863,#403864); #359792=AXIS2_PLACEMENT_3D('',#521461,#403868,#403869); #359793=AXIS2_PLACEMENT_3D('',#521467,#403873,#403874); #359794=AXIS2_PLACEMENT_3D('',#521473,#403878,#403879); #359795=AXIS2_PLACEMENT_3D('',#521479,#403883,#403884); #359796=AXIS2_PLACEMENT_3D('',#521485,#403888,#403889); #359797=AXIS2_PLACEMENT_3D('',#521491,#403893,#403894); #359798=AXIS2_PLACEMENT_3D('',#521497,#403898,#403899); #359799=AXIS2_PLACEMENT_3D('',#521503,#403903,#403904); #359800=AXIS2_PLACEMENT_3D('',#521509,#403908,#403909); #359801=AXIS2_PLACEMENT_3D('',#521515,#403913,#403914); #359802=AXIS2_PLACEMENT_3D('',#521521,#403918,#403919); #359803=AXIS2_PLACEMENT_3D('',#521527,#403923,#403924); #359804=AXIS2_PLACEMENT_3D('',#521533,#403928,#403929); #359805=AXIS2_PLACEMENT_3D('',#521539,#403933,#403934); #359806=AXIS2_PLACEMENT_3D('',#521545,#403938,#403939); #359807=AXIS2_PLACEMENT_3D('',#521551,#403943,#403944); #359808=AXIS2_PLACEMENT_3D('',#521557,#403948,#403949); #359809=AXIS2_PLACEMENT_3D('',#521563,#403953,#403954); #359810=AXIS2_PLACEMENT_3D('',#521569,#403958,#403959); #359811=AXIS2_PLACEMENT_3D('',#521575,#403963,#403964); #359812=AXIS2_PLACEMENT_3D('',#521581,#403968,#403969); #359813=AXIS2_PLACEMENT_3D('',#521587,#403973,#403974); #359814=AXIS2_PLACEMENT_3D('',#521593,#403978,#403979); #359815=AXIS2_PLACEMENT_3D('',#521599,#403983,#403984); #359816=AXIS2_PLACEMENT_3D('',#521605,#403988,#403989); #359817=AXIS2_PLACEMENT_3D('',#521611,#403993,#403994); #359818=AXIS2_PLACEMENT_3D('',#521617,#403998,#403999); #359819=AXIS2_PLACEMENT_3D('',#521623,#404003,#404004); #359820=AXIS2_PLACEMENT_3D('',#521629,#404008,#404009); #359821=AXIS2_PLACEMENT_3D('',#521635,#404013,#404014); #359822=AXIS2_PLACEMENT_3D('',#521641,#404018,#404019); #359823=AXIS2_PLACEMENT_3D('',#521647,#404023,#404024); #359824=AXIS2_PLACEMENT_3D('',#521653,#404028,#404029); #359825=AXIS2_PLACEMENT_3D('',#521659,#404033,#404034); #359826=AXIS2_PLACEMENT_3D('',#521665,#404038,#404039); #359827=AXIS2_PLACEMENT_3D('',#521671,#404043,#404044); #359828=AXIS2_PLACEMENT_3D('',#521677,#404048,#404049); #359829=AXIS2_PLACEMENT_3D('',#521683,#404053,#404054); #359830=AXIS2_PLACEMENT_3D('',#521689,#404058,#404059); #359831=AXIS2_PLACEMENT_3D('',#521695,#404063,#404064); #359832=AXIS2_PLACEMENT_3D('',#521701,#404068,#404069); #359833=AXIS2_PLACEMENT_3D('',#521707,#404073,#404074); #359834=AXIS2_PLACEMENT_3D('',#521713,#404078,#404079); #359835=AXIS2_PLACEMENT_3D('',#521719,#404083,#404084); #359836=AXIS2_PLACEMENT_3D('',#521725,#404088,#404089); #359837=AXIS2_PLACEMENT_3D('',#521731,#404093,#404094); #359838=AXIS2_PLACEMENT_3D('',#521737,#404098,#404099); #359839=AXIS2_PLACEMENT_3D('',#521743,#404103,#404104); #359840=AXIS2_PLACEMENT_3D('',#521749,#404108,#404109); #359841=AXIS2_PLACEMENT_3D('',#521755,#404113,#404114); #359842=AXIS2_PLACEMENT_3D('',#521761,#404118,#404119); #359843=AXIS2_PLACEMENT_3D('',#521767,#404123,#404124); #359844=AXIS2_PLACEMENT_3D('',#521773,#404128,#404129); #359845=AXIS2_PLACEMENT_3D('',#521779,#404133,#404134); #359846=AXIS2_PLACEMENT_3D('',#521785,#404138,#404139); #359847=AXIS2_PLACEMENT_3D('',#521791,#404143,#404144); #359848=AXIS2_PLACEMENT_3D('',#521797,#404148,#404149); #359849=AXIS2_PLACEMENT_3D('',#521803,#404153,#404154); #359850=AXIS2_PLACEMENT_3D('',#521809,#404158,#404159); #359851=AXIS2_PLACEMENT_3D('',#521815,#404163,#404164); #359852=AXIS2_PLACEMENT_3D('',#521821,#404168,#404169); #359853=AXIS2_PLACEMENT_3D('',#521827,#404173,#404174); #359854=AXIS2_PLACEMENT_3D('',#521833,#404178,#404179); #359855=AXIS2_PLACEMENT_3D('',#521839,#404183,#404184); #359856=AXIS2_PLACEMENT_3D('',#521845,#404188,#404189); #359857=AXIS2_PLACEMENT_3D('',#521851,#404193,#404194); #359858=AXIS2_PLACEMENT_3D('',#521857,#404198,#404199); #359859=AXIS2_PLACEMENT_3D('',#521863,#404203,#404204); #359860=AXIS2_PLACEMENT_3D('',#521869,#404208,#404209); #359861=AXIS2_PLACEMENT_3D('',#521875,#404213,#404214); #359862=AXIS2_PLACEMENT_3D('',#521881,#404218,#404219); #359863=AXIS2_PLACEMENT_3D('',#521887,#404223,#404224); #359864=AXIS2_PLACEMENT_3D('',#521893,#404228,#404229); #359865=AXIS2_PLACEMENT_3D('',#521899,#404233,#404234); #359866=AXIS2_PLACEMENT_3D('',#521905,#404238,#404239); #359867=AXIS2_PLACEMENT_3D('',#521911,#404243,#404244); #359868=AXIS2_PLACEMENT_3D('',#521917,#404248,#404249); #359869=AXIS2_PLACEMENT_3D('',#521923,#404253,#404254); #359870=AXIS2_PLACEMENT_3D('',#521929,#404258,#404259); #359871=AXIS2_PLACEMENT_3D('',#521935,#404263,#404264); #359872=AXIS2_PLACEMENT_3D('',#521941,#404268,#404269); #359873=AXIS2_PLACEMENT_3D('',#521947,#404273,#404274); #359874=AXIS2_PLACEMENT_3D('',#521953,#404278,#404279); #359875=AXIS2_PLACEMENT_3D('',#521959,#404283,#404284); #359876=AXIS2_PLACEMENT_3D('',#521965,#404288,#404289); #359877=AXIS2_PLACEMENT_3D('',#521971,#404293,#404294); #359878=AXIS2_PLACEMENT_3D('',#521977,#404298,#404299); #359879=AXIS2_PLACEMENT_3D('',#521983,#404303,#404304); #359880=AXIS2_PLACEMENT_3D('',#521989,#404308,#404309); #359881=AXIS2_PLACEMENT_3D('',#521995,#404313,#404314); #359882=AXIS2_PLACEMENT_3D('',#522001,#404318,#404319); #359883=AXIS2_PLACEMENT_3D('',#522007,#404323,#404324); #359884=AXIS2_PLACEMENT_3D('',#522013,#404328,#404329); #359885=AXIS2_PLACEMENT_3D('',#522019,#404333,#404334); #359886=AXIS2_PLACEMENT_3D('',#522025,#404338,#404339); #359887=AXIS2_PLACEMENT_3D('',#522031,#404343,#404344); #359888=AXIS2_PLACEMENT_3D('',#522037,#404348,#404349); #359889=AXIS2_PLACEMENT_3D('',#522043,#404353,#404354); #359890=AXIS2_PLACEMENT_3D('',#522049,#404358,#404359); #359891=AXIS2_PLACEMENT_3D('',#522055,#404363,#404364); #359892=AXIS2_PLACEMENT_3D('',#522061,#404368,#404369); #359893=AXIS2_PLACEMENT_3D('',#522067,#404373,#404374); #359894=AXIS2_PLACEMENT_3D('',#522073,#404378,#404379); #359895=AXIS2_PLACEMENT_3D('',#522079,#404383,#404384); #359896=AXIS2_PLACEMENT_3D('',#522085,#404388,#404389); #359897=AXIS2_PLACEMENT_3D('',#522091,#404393,#404394); #359898=AXIS2_PLACEMENT_3D('',#522097,#404398,#404399); #359899=AXIS2_PLACEMENT_3D('',#522103,#404403,#404404); #359900=AXIS2_PLACEMENT_3D('',#522109,#404408,#404409); #359901=AXIS2_PLACEMENT_3D('',#522115,#404413,#404414); #359902=AXIS2_PLACEMENT_3D('',#522121,#404418,#404419); #359903=AXIS2_PLACEMENT_3D('',#522127,#404423,#404424); #359904=AXIS2_PLACEMENT_3D('',#522133,#404428,#404429); #359905=AXIS2_PLACEMENT_3D('',#522139,#404433,#404434); #359906=AXIS2_PLACEMENT_3D('',#522145,#404438,#404439); #359907=AXIS2_PLACEMENT_3D('',#522151,#404443,#404444); #359908=AXIS2_PLACEMENT_3D('',#522157,#404448,#404449); #359909=AXIS2_PLACEMENT_3D('',#522163,#404453,#404454); #359910=AXIS2_PLACEMENT_3D('',#522169,#404458,#404459); #359911=AXIS2_PLACEMENT_3D('',#522175,#404463,#404464); #359912=AXIS2_PLACEMENT_3D('',#522181,#404468,#404469); #359913=AXIS2_PLACEMENT_3D('',#522187,#404473,#404474); #359914=AXIS2_PLACEMENT_3D('',#522193,#404478,#404479); #359915=AXIS2_PLACEMENT_3D('',#522199,#404483,#404484); #359916=AXIS2_PLACEMENT_3D('',#522205,#404488,#404489); #359917=AXIS2_PLACEMENT_3D('',#522211,#404493,#404494); #359918=AXIS2_PLACEMENT_3D('',#522217,#404498,#404499); #359919=AXIS2_PLACEMENT_3D('',#522223,#404503,#404504); #359920=AXIS2_PLACEMENT_3D('',#522229,#404508,#404509); #359921=AXIS2_PLACEMENT_3D('',#522235,#404513,#404514); #359922=AXIS2_PLACEMENT_3D('',#522241,#404518,#404519); #359923=AXIS2_PLACEMENT_3D('',#522247,#404523,#404524); #359924=AXIS2_PLACEMENT_3D('',#522253,#404528,#404529); #359925=AXIS2_PLACEMENT_3D('',#522259,#404533,#404534); #359926=AXIS2_PLACEMENT_3D('',#522265,#404538,#404539); #359927=AXIS2_PLACEMENT_3D('',#522271,#404543,#404544); #359928=AXIS2_PLACEMENT_3D('',#522277,#404548,#404549); #359929=AXIS2_PLACEMENT_3D('',#522283,#404553,#404554); #359930=AXIS2_PLACEMENT_3D('',#522289,#404558,#404559); #359931=AXIS2_PLACEMENT_3D('',#522295,#404563,#404564); #359932=AXIS2_PLACEMENT_3D('',#522301,#404568,#404569); #359933=AXIS2_PLACEMENT_3D('',#522307,#404573,#404574); #359934=AXIS2_PLACEMENT_3D('',#522313,#404578,#404579); #359935=AXIS2_PLACEMENT_3D('',#522319,#404583,#404584); #359936=AXIS2_PLACEMENT_3D('',#522325,#404588,#404589); #359937=AXIS2_PLACEMENT_3D('',#522331,#404593,#404594); #359938=AXIS2_PLACEMENT_3D('',#522337,#404598,#404599); #359939=AXIS2_PLACEMENT_3D('',#522343,#404603,#404604); #359940=AXIS2_PLACEMENT_3D('',#522349,#404608,#404609); #359941=AXIS2_PLACEMENT_3D('',#522355,#404613,#404614); #359942=AXIS2_PLACEMENT_3D('',#522361,#404618,#404619); #359943=AXIS2_PLACEMENT_3D('',#522367,#404623,#404624); #359944=AXIS2_PLACEMENT_3D('',#522373,#404628,#404629); #359945=AXIS2_PLACEMENT_3D('',#522379,#404633,#404634); #359946=AXIS2_PLACEMENT_3D('',#522385,#404638,#404639); #359947=AXIS2_PLACEMENT_3D('',#522391,#404643,#404644); #359948=AXIS2_PLACEMENT_3D('',#522397,#404648,#404649); #359949=AXIS2_PLACEMENT_3D('',#522403,#404653,#404654); #359950=AXIS2_PLACEMENT_3D('',#522409,#404658,#404659); #359951=AXIS2_PLACEMENT_3D('',#522415,#404663,#404664); #359952=AXIS2_PLACEMENT_3D('',#522421,#404668,#404669); #359953=AXIS2_PLACEMENT_3D('',#522427,#404673,#404674); #359954=AXIS2_PLACEMENT_3D('',#522433,#404678,#404679); #359955=AXIS2_PLACEMENT_3D('',#522439,#404683,#404684); #359956=AXIS2_PLACEMENT_3D('',#522445,#404688,#404689); #359957=AXIS2_PLACEMENT_3D('',#522451,#404693,#404694); #359958=AXIS2_PLACEMENT_3D('',#522457,#404698,#404699); #359959=AXIS2_PLACEMENT_3D('',#522463,#404703,#404704); #359960=AXIS2_PLACEMENT_3D('',#522469,#404708,#404709); #359961=AXIS2_PLACEMENT_3D('',#522475,#404713,#404714); #359962=AXIS2_PLACEMENT_3D('',#522481,#404718,#404719); #359963=AXIS2_PLACEMENT_3D('',#522487,#404723,#404724); #359964=AXIS2_PLACEMENT_3D('',#522493,#404728,#404729); #359965=AXIS2_PLACEMENT_3D('',#522499,#404733,#404734); #359966=AXIS2_PLACEMENT_3D('',#522505,#404738,#404739); #359967=AXIS2_PLACEMENT_3D('',#522511,#404743,#404744); #359968=AXIS2_PLACEMENT_3D('',#522517,#404748,#404749); #359969=AXIS2_PLACEMENT_3D('',#522523,#404753,#404754); #359970=AXIS2_PLACEMENT_3D('',#522529,#404758,#404759); #359971=AXIS2_PLACEMENT_3D('',#522535,#404763,#404764); #359972=AXIS2_PLACEMENT_3D('',#522541,#404768,#404769); #359973=AXIS2_PLACEMENT_3D('',#522547,#404773,#404774); #359974=AXIS2_PLACEMENT_3D('',#522553,#404778,#404779); #359975=AXIS2_PLACEMENT_3D('',#522559,#404783,#404784); #359976=AXIS2_PLACEMENT_3D('',#522565,#404788,#404789); #359977=AXIS2_PLACEMENT_3D('',#522571,#404793,#404794); #359978=AXIS2_PLACEMENT_3D('',#522577,#404798,#404799); #359979=AXIS2_PLACEMENT_3D('',#522583,#404803,#404804); #359980=AXIS2_PLACEMENT_3D('',#522589,#404808,#404809); #359981=AXIS2_PLACEMENT_3D('',#522595,#404813,#404814); #359982=AXIS2_PLACEMENT_3D('',#522601,#404818,#404819); #359983=AXIS2_PLACEMENT_3D('',#522607,#404823,#404824); #359984=AXIS2_PLACEMENT_3D('',#522613,#404828,#404829); #359985=AXIS2_PLACEMENT_3D('',#522619,#404833,#404834); #359986=AXIS2_PLACEMENT_3D('',#522625,#404838,#404839); #359987=AXIS2_PLACEMENT_3D('',#522631,#404843,#404844); #359988=AXIS2_PLACEMENT_3D('',#522637,#404848,#404849); #359989=AXIS2_PLACEMENT_3D('',#522643,#404853,#404854); #359990=AXIS2_PLACEMENT_3D('',#522649,#404858,#404859); #359991=AXIS2_PLACEMENT_3D('',#522655,#404863,#404864); #359992=AXIS2_PLACEMENT_3D('',#522661,#404868,#404869); #359993=AXIS2_PLACEMENT_3D('',#522667,#404873,#404874); #359994=AXIS2_PLACEMENT_3D('',#522673,#404878,#404879); #359995=AXIS2_PLACEMENT_3D('',#522679,#404883,#404884); #359996=AXIS2_PLACEMENT_3D('',#522685,#404888,#404889); #359997=AXIS2_PLACEMENT_3D('',#522691,#404893,#404894); #359998=AXIS2_PLACEMENT_3D('',#522697,#404898,#404899); #359999=AXIS2_PLACEMENT_3D('',#522703,#404903,#404904); #360000=AXIS2_PLACEMENT_3D('',#522709,#404908,#404909); #360001=AXIS2_PLACEMENT_3D('',#522715,#404913,#404914); #360002=AXIS2_PLACEMENT_3D('',#522721,#404918,#404919); #360003=AXIS2_PLACEMENT_3D('',#522727,#404923,#404924); #360004=AXIS2_PLACEMENT_3D('',#522733,#404928,#404929); #360005=AXIS2_PLACEMENT_3D('',#522739,#404933,#404934); #360006=AXIS2_PLACEMENT_3D('',#522745,#404938,#404939); #360007=AXIS2_PLACEMENT_3D('',#522751,#404943,#404944); #360008=AXIS2_PLACEMENT_3D('',#522757,#404948,#404949); #360009=AXIS2_PLACEMENT_3D('',#522763,#404953,#404954); #360010=AXIS2_PLACEMENT_3D('',#522766,#404957,#404958); #360011=AXIS2_PLACEMENT_3D('',#522775,#404963,#404964); #360012=AXIS2_PLACEMENT_3D('',#522781,#404968,#404969); #360013=AXIS2_PLACEMENT_3D('',#522787,#404973,#404974); #360014=AXIS2_PLACEMENT_3D('',#522793,#404978,#404979); #360015=AXIS2_PLACEMENT_3D('',#522799,#404983,#404984); #360016=AXIS2_PLACEMENT_3D('',#522805,#404988,#404989); #360017=AXIS2_PLACEMENT_3D('',#522811,#404993,#404994); #360018=AXIS2_PLACEMENT_3D('',#522817,#404998,#404999); #360019=AXIS2_PLACEMENT_3D('',#522823,#405003,#405004); #360020=AXIS2_PLACEMENT_3D('',#522829,#405008,#405009); #360021=AXIS2_PLACEMENT_3D('',#522835,#405013,#405014); #360022=AXIS2_PLACEMENT_3D('',#522841,#405018,#405019); #360023=AXIS2_PLACEMENT_3D('',#522847,#405023,#405024); #360024=AXIS2_PLACEMENT_3D('',#522853,#405028,#405029); #360025=AXIS2_PLACEMENT_3D('',#522859,#405033,#405034); #360026=AXIS2_PLACEMENT_3D('',#522865,#405038,#405039); #360027=AXIS2_PLACEMENT_3D('',#522871,#405043,#405044); #360028=AXIS2_PLACEMENT_3D('',#522877,#405048,#405049); #360029=AXIS2_PLACEMENT_3D('',#522883,#405053,#405054); #360030=AXIS2_PLACEMENT_3D('',#522889,#405058,#405059); #360031=AXIS2_PLACEMENT_3D('',#522895,#405063,#405064); #360032=AXIS2_PLACEMENT_3D('',#522901,#405068,#405069); #360033=AXIS2_PLACEMENT_3D('',#522907,#405073,#405074); #360034=AXIS2_PLACEMENT_3D('',#522913,#405078,#405079); #360035=AXIS2_PLACEMENT_3D('',#522919,#405083,#405084); #360036=AXIS2_PLACEMENT_3D('',#522925,#405088,#405089); #360037=AXIS2_PLACEMENT_3D('',#522931,#405093,#405094); #360038=AXIS2_PLACEMENT_3D('',#522937,#405098,#405099); #360039=AXIS2_PLACEMENT_3D('',#522943,#405103,#405104); #360040=AXIS2_PLACEMENT_3D('',#522949,#405108,#405109); #360041=AXIS2_PLACEMENT_3D('',#522955,#405113,#405114); #360042=AXIS2_PLACEMENT_3D('',#522961,#405118,#405119); #360043=AXIS2_PLACEMENT_3D('',#522967,#405123,#405124); #360044=AXIS2_PLACEMENT_3D('',#522973,#405128,#405129); #360045=AXIS2_PLACEMENT_3D('',#522979,#405133,#405134); #360046=AXIS2_PLACEMENT_3D('',#522985,#405138,#405139); #360047=AXIS2_PLACEMENT_3D('',#522991,#405143,#405144); #360048=AXIS2_PLACEMENT_3D('',#522997,#405148,#405149); #360049=AXIS2_PLACEMENT_3D('',#523003,#405153,#405154); #360050=AXIS2_PLACEMENT_3D('',#523009,#405158,#405159); #360051=AXIS2_PLACEMENT_3D('',#523015,#405163,#405164); #360052=AXIS2_PLACEMENT_3D('',#523021,#405168,#405169); #360053=AXIS2_PLACEMENT_3D('',#523027,#405173,#405174); #360054=AXIS2_PLACEMENT_3D('',#523033,#405178,#405179); #360055=AXIS2_PLACEMENT_3D('',#523039,#405183,#405184); #360056=AXIS2_PLACEMENT_3D('',#523045,#405188,#405189); #360057=AXIS2_PLACEMENT_3D('',#523051,#405193,#405194); #360058=AXIS2_PLACEMENT_3D('',#523057,#405198,#405199); #360059=AXIS2_PLACEMENT_3D('',#523063,#405203,#405204); #360060=AXIS2_PLACEMENT_3D('',#523069,#405208,#405209); #360061=AXIS2_PLACEMENT_3D('',#523075,#405213,#405214); #360062=AXIS2_PLACEMENT_3D('',#523081,#405218,#405219); #360063=AXIS2_PLACEMENT_3D('',#523087,#405223,#405224); #360064=AXIS2_PLACEMENT_3D('',#523093,#405228,#405229); #360065=AXIS2_PLACEMENT_3D('',#523099,#405233,#405234); #360066=AXIS2_PLACEMENT_3D('',#523105,#405238,#405239); #360067=AXIS2_PLACEMENT_3D('',#523111,#405243,#405244); #360068=AXIS2_PLACEMENT_3D('',#523117,#405248,#405249); #360069=AXIS2_PLACEMENT_3D('',#523123,#405253,#405254); #360070=AXIS2_PLACEMENT_3D('',#523129,#405258,#405259); #360071=AXIS2_PLACEMENT_3D('',#523135,#405263,#405264); #360072=AXIS2_PLACEMENT_3D('',#523141,#405268,#405269); #360073=AXIS2_PLACEMENT_3D('',#523147,#405273,#405274); #360074=AXIS2_PLACEMENT_3D('',#523153,#405278,#405279); #360075=AXIS2_PLACEMENT_3D('',#523159,#405283,#405284); #360076=AXIS2_PLACEMENT_3D('',#523165,#405288,#405289); #360077=AXIS2_PLACEMENT_3D('',#523171,#405293,#405294); #360078=AXIS2_PLACEMENT_3D('',#523177,#405298,#405299); #360079=AXIS2_PLACEMENT_3D('',#523183,#405303,#405304); #360080=AXIS2_PLACEMENT_3D('',#523189,#405308,#405309); #360081=AXIS2_PLACEMENT_3D('',#523195,#405313,#405314); #360082=AXIS2_PLACEMENT_3D('',#523201,#405318,#405319); #360083=AXIS2_PLACEMENT_3D('',#523207,#405323,#405324); #360084=AXIS2_PLACEMENT_3D('',#523213,#405328,#405329); #360085=AXIS2_PLACEMENT_3D('',#523219,#405333,#405334); #360086=AXIS2_PLACEMENT_3D('',#523225,#405338,#405339); #360087=AXIS2_PLACEMENT_3D('',#523231,#405343,#405344); #360088=AXIS2_PLACEMENT_3D('',#523237,#405348,#405349); #360089=AXIS2_PLACEMENT_3D('',#523243,#405353,#405354); #360090=AXIS2_PLACEMENT_3D('',#523249,#405358,#405359); #360091=AXIS2_PLACEMENT_3D('',#523255,#405363,#405364); #360092=AXIS2_PLACEMENT_3D('',#523261,#405368,#405369); #360093=AXIS2_PLACEMENT_3D('',#523267,#405373,#405374); #360094=AXIS2_PLACEMENT_3D('',#523273,#405378,#405379); #360095=AXIS2_PLACEMENT_3D('',#523279,#405383,#405384); #360096=AXIS2_PLACEMENT_3D('',#523285,#405388,#405389); #360097=AXIS2_PLACEMENT_3D('',#523291,#405393,#405394); #360098=AXIS2_PLACEMENT_3D('',#523297,#405398,#405399); #360099=AXIS2_PLACEMENT_3D('',#523303,#405403,#405404); #360100=AXIS2_PLACEMENT_3D('',#523309,#405408,#405409); #360101=AXIS2_PLACEMENT_3D('',#523315,#405413,#405414); #360102=AXIS2_PLACEMENT_3D('',#523321,#405418,#405419); #360103=AXIS2_PLACEMENT_3D('',#523327,#405423,#405424); #360104=AXIS2_PLACEMENT_3D('',#523333,#405428,#405429); #360105=AXIS2_PLACEMENT_3D('',#523339,#405433,#405434); #360106=AXIS2_PLACEMENT_3D('',#523345,#405438,#405439); #360107=AXIS2_PLACEMENT_3D('',#523351,#405443,#405444); #360108=AXIS2_PLACEMENT_3D('',#523357,#405448,#405449); #360109=AXIS2_PLACEMENT_3D('',#523363,#405453,#405454); #360110=AXIS2_PLACEMENT_3D('',#523369,#405458,#405459); #360111=AXIS2_PLACEMENT_3D('',#523375,#405463,#405464); #360112=AXIS2_PLACEMENT_3D('',#523381,#405468,#405469); #360113=AXIS2_PLACEMENT_3D('',#523387,#405473,#405474); #360114=AXIS2_PLACEMENT_3D('',#523393,#405478,#405479); #360115=AXIS2_PLACEMENT_3D('',#523399,#405483,#405484); #360116=AXIS2_PLACEMENT_3D('',#523405,#405488,#405489); #360117=AXIS2_PLACEMENT_3D('',#523411,#405493,#405494); #360118=AXIS2_PLACEMENT_3D('',#523417,#405498,#405499); #360119=AXIS2_PLACEMENT_3D('',#523423,#405503,#405504); #360120=AXIS2_PLACEMENT_3D('',#523429,#405508,#405509); #360121=AXIS2_PLACEMENT_3D('',#523435,#405513,#405514); #360122=AXIS2_PLACEMENT_3D('',#523441,#405518,#405519); #360123=AXIS2_PLACEMENT_3D('',#523447,#405523,#405524); #360124=AXIS2_PLACEMENT_3D('',#523453,#405528,#405529); #360125=AXIS2_PLACEMENT_3D('',#523459,#405533,#405534); #360126=AXIS2_PLACEMENT_3D('',#523465,#405538,#405539); #360127=AXIS2_PLACEMENT_3D('',#523471,#405543,#405544); #360128=AXIS2_PLACEMENT_3D('',#523477,#405548,#405549); #360129=AXIS2_PLACEMENT_3D('',#523480,#405552,#405553); #360130=AXIS2_PLACEMENT_3D('',#523489,#405558,#405559); #360131=AXIS2_PLACEMENT_3D('',#523495,#405563,#405564); #360132=AXIS2_PLACEMENT_3D('',#523501,#405568,#405569); #360133=AXIS2_PLACEMENT_3D('',#523507,#405573,#405574); #360134=AXIS2_PLACEMENT_3D('',#523513,#405578,#405579); #360135=AXIS2_PLACEMENT_3D('',#523519,#405583,#405584); #360136=AXIS2_PLACEMENT_3D('',#523525,#405588,#405589); #360137=AXIS2_PLACEMENT_3D('',#523528,#405592,#405593); #360138=AXIS2_PLACEMENT_3D('',#523537,#405598,#405599); #360139=AXIS2_PLACEMENT_3D('',#523543,#405603,#405604); #360140=AXIS2_PLACEMENT_3D('',#523549,#405608,#405609); #360141=AXIS2_PLACEMENT_3D('',#523555,#405613,#405614); #360142=AXIS2_PLACEMENT_3D('',#523561,#405618,#405619); #360143=AXIS2_PLACEMENT_3D('',#523567,#405623,#405624); #360144=AXIS2_PLACEMENT_3D('',#523573,#405628,#405629); #360145=AXIS2_PLACEMENT_3D('',#523579,#405633,#405634); #360146=AXIS2_PLACEMENT_3D('',#523585,#405638,#405639); #360147=AXIS2_PLACEMENT_3D('',#523591,#405643,#405644); #360148=AXIS2_PLACEMENT_3D('',#523597,#405648,#405649); #360149=AXIS2_PLACEMENT_3D('',#523603,#405653,#405654); #360150=AXIS2_PLACEMENT_3D('',#523609,#405658,#405659); #360151=AXIS2_PLACEMENT_3D('',#523615,#405663,#405664); #360152=AXIS2_PLACEMENT_3D('',#523621,#405668,#405669); #360153=AXIS2_PLACEMENT_3D('',#523627,#405673,#405674); #360154=AXIS2_PLACEMENT_3D('',#523633,#405678,#405679); #360155=AXIS2_PLACEMENT_3D('',#523639,#405683,#405684); #360156=AXIS2_PLACEMENT_3D('',#523645,#405688,#405689); #360157=AXIS2_PLACEMENT_3D('',#523651,#405693,#405694); #360158=AXIS2_PLACEMENT_3D('',#523657,#405698,#405699); #360159=AXIS2_PLACEMENT_3D('',#523663,#405703,#405704); #360160=AXIS2_PLACEMENT_3D('',#523669,#405708,#405709); #360161=AXIS2_PLACEMENT_3D('',#523675,#405713,#405714); #360162=AXIS2_PLACEMENT_3D('',#523681,#405718,#405719); #360163=AXIS2_PLACEMENT_3D('',#523687,#405723,#405724); #360164=AXIS2_PLACEMENT_3D('',#523693,#405728,#405729); #360165=AXIS2_PLACEMENT_3D('',#523699,#405733,#405734); #360166=AXIS2_PLACEMENT_3D('',#523705,#405738,#405739); #360167=AXIS2_PLACEMENT_3D('',#523711,#405743,#405744); #360168=AXIS2_PLACEMENT_3D('',#523717,#405748,#405749); #360169=AXIS2_PLACEMENT_3D('',#523723,#405753,#405754); #360170=AXIS2_PLACEMENT_3D('',#523729,#405758,#405759); #360171=AXIS2_PLACEMENT_3D('',#523735,#405763,#405764); #360172=AXIS2_PLACEMENT_3D('',#523741,#405768,#405769); #360173=AXIS2_PLACEMENT_3D('',#523747,#405773,#405774); #360174=AXIS2_PLACEMENT_3D('',#523753,#405778,#405779); #360175=AXIS2_PLACEMENT_3D('',#523759,#405783,#405784); #360176=AXIS2_PLACEMENT_3D('',#523765,#405788,#405789); #360177=AXIS2_PLACEMENT_3D('',#523771,#405793,#405794); #360178=AXIS2_PLACEMENT_3D('',#523777,#405798,#405799); #360179=AXIS2_PLACEMENT_3D('',#523783,#405803,#405804); #360180=AXIS2_PLACEMENT_3D('',#523789,#405808,#405809); #360181=AXIS2_PLACEMENT_3D('',#523795,#405813,#405814); #360182=AXIS2_PLACEMENT_3D('',#523801,#405818,#405819); #360183=AXIS2_PLACEMENT_3D('',#523807,#405823,#405824); #360184=AXIS2_PLACEMENT_3D('',#523813,#405828,#405829); #360185=AXIS2_PLACEMENT_3D('',#523819,#405833,#405834); #360186=AXIS2_PLACEMENT_3D('',#523825,#405838,#405839); #360187=AXIS2_PLACEMENT_3D('',#523831,#405843,#405844); #360188=AXIS2_PLACEMENT_3D('',#523837,#405848,#405849); #360189=AXIS2_PLACEMENT_3D('',#523843,#405853,#405854); #360190=AXIS2_PLACEMENT_3D('',#523849,#405858,#405859); #360191=AXIS2_PLACEMENT_3D('',#523855,#405863,#405864); #360192=AXIS2_PLACEMENT_3D('',#523861,#405868,#405869); #360193=AXIS2_PLACEMENT_3D('',#523867,#405873,#405874); #360194=AXIS2_PLACEMENT_3D('',#523873,#405878,#405879); #360195=AXIS2_PLACEMENT_3D('',#523879,#405883,#405884); #360196=AXIS2_PLACEMENT_3D('',#523885,#405888,#405889); #360197=AXIS2_PLACEMENT_3D('',#523891,#405893,#405894); #360198=AXIS2_PLACEMENT_3D('',#523897,#405898,#405899); #360199=AXIS2_PLACEMENT_3D('',#523903,#405903,#405904); #360200=AXIS2_PLACEMENT_3D('',#523909,#405908,#405909); #360201=AXIS2_PLACEMENT_3D('',#523915,#405913,#405914); #360202=AXIS2_PLACEMENT_3D('',#523921,#405918,#405919); #360203=AXIS2_PLACEMENT_3D('',#523927,#405923,#405924); #360204=AXIS2_PLACEMENT_3D('',#523933,#405928,#405929); #360205=AXIS2_PLACEMENT_3D('',#523939,#405933,#405934); #360206=AXIS2_PLACEMENT_3D('',#523945,#405938,#405939); #360207=AXIS2_PLACEMENT_3D('',#523951,#405943,#405944); #360208=AXIS2_PLACEMENT_3D('',#523957,#405948,#405949); #360209=AXIS2_PLACEMENT_3D('',#523963,#405953,#405954); #360210=AXIS2_PLACEMENT_3D('',#523969,#405958,#405959); #360211=AXIS2_PLACEMENT_3D('',#523975,#405963,#405964); #360212=AXIS2_PLACEMENT_3D('',#523981,#405968,#405969); #360213=AXIS2_PLACEMENT_3D('',#523987,#405973,#405974); #360214=AXIS2_PLACEMENT_3D('',#523993,#405978,#405979); #360215=AXIS2_PLACEMENT_3D('',#523999,#405983,#405984); #360216=AXIS2_PLACEMENT_3D('',#524005,#405988,#405989); #360217=AXIS2_PLACEMENT_3D('',#524011,#405993,#405994); #360218=AXIS2_PLACEMENT_3D('',#524017,#405998,#405999); #360219=AXIS2_PLACEMENT_3D('',#524023,#406003,#406004); #360220=AXIS2_PLACEMENT_3D('',#524029,#406008,#406009); #360221=AXIS2_PLACEMENT_3D('',#524035,#406013,#406014); #360222=AXIS2_PLACEMENT_3D('',#524041,#406018,#406019); #360223=AXIS2_PLACEMENT_3D('',#524047,#406023,#406024); #360224=AXIS2_PLACEMENT_3D('',#524053,#406028,#406029); #360225=AXIS2_PLACEMENT_3D('',#524059,#406033,#406034); #360226=AXIS2_PLACEMENT_3D('',#524065,#406038,#406039); #360227=AXIS2_PLACEMENT_3D('',#524071,#406043,#406044); #360228=AXIS2_PLACEMENT_3D('',#524077,#406048,#406049); #360229=AXIS2_PLACEMENT_3D('',#524083,#406053,#406054); #360230=AXIS2_PLACEMENT_3D('',#524089,#406058,#406059); #360231=AXIS2_PLACEMENT_3D('',#524095,#406063,#406064); #360232=AXIS2_PLACEMENT_3D('',#524101,#406068,#406069); #360233=AXIS2_PLACEMENT_3D('',#524107,#406073,#406074); #360234=AXIS2_PLACEMENT_3D('',#524113,#406078,#406079); #360235=AXIS2_PLACEMENT_3D('',#524119,#406083,#406084); #360236=AXIS2_PLACEMENT_3D('',#524125,#406088,#406089); #360237=AXIS2_PLACEMENT_3D('',#524131,#406093,#406094); #360238=AXIS2_PLACEMENT_3D('',#524137,#406098,#406099); #360239=AXIS2_PLACEMENT_3D('',#524143,#406103,#406104); #360240=AXIS2_PLACEMENT_3D('',#524149,#406108,#406109); #360241=AXIS2_PLACEMENT_3D('',#524155,#406113,#406114); #360242=AXIS2_PLACEMENT_3D('',#524161,#406118,#406119); #360243=AXIS2_PLACEMENT_3D('',#524167,#406123,#406124); #360244=AXIS2_PLACEMENT_3D('',#524173,#406128,#406129); #360245=AXIS2_PLACEMENT_3D('',#524179,#406133,#406134); #360246=AXIS2_PLACEMENT_3D('',#524185,#406138,#406139); #360247=AXIS2_PLACEMENT_3D('',#524191,#406143,#406144); #360248=AXIS2_PLACEMENT_3D('',#524197,#406148,#406149); #360249=AXIS2_PLACEMENT_3D('',#524203,#406153,#406154); #360250=AXIS2_PLACEMENT_3D('',#524209,#406158,#406159); #360251=AXIS2_PLACEMENT_3D('',#524215,#406163,#406164); #360252=AXIS2_PLACEMENT_3D('',#524221,#406168,#406169); #360253=AXIS2_PLACEMENT_3D('',#524227,#406173,#406174); #360254=AXIS2_PLACEMENT_3D('',#524233,#406178,#406179); #360255=AXIS2_PLACEMENT_3D('',#524239,#406183,#406184); #360256=AXIS2_PLACEMENT_3D('',#524245,#406188,#406189); #360257=AXIS2_PLACEMENT_3D('',#524248,#406192,#406193); #360258=AXIS2_PLACEMENT_3D('',#524249,#406194,#406195); #360259=AXIS2_PLACEMENT_3D('',#524250,#406196,#406197); #360260=AXIS2_PLACEMENT_3D('',#524259,#406202,#406203); #360261=AXIS2_PLACEMENT_3D('',#524265,#406207,#406208); #360262=AXIS2_PLACEMENT_3D('',#524271,#406212,#406213); #360263=AXIS2_PLACEMENT_3D('',#524277,#406217,#406218); #360264=AXIS2_PLACEMENT_3D('',#524283,#406222,#406223); #360265=AXIS2_PLACEMENT_3D('',#524289,#406227,#406228); #360266=AXIS2_PLACEMENT_3D('',#524295,#406232,#406233); #360267=AXIS2_PLACEMENT_3D('',#524301,#406237,#406238); #360268=AXIS2_PLACEMENT_3D('',#524307,#406242,#406243); #360269=AXIS2_PLACEMENT_3D('',#524313,#406247,#406248); #360270=AXIS2_PLACEMENT_3D('',#524319,#406252,#406253); #360271=AXIS2_PLACEMENT_3D('',#524325,#406257,#406258); #360272=AXIS2_PLACEMENT_3D('',#524331,#406262,#406263); #360273=AXIS2_PLACEMENT_3D('',#524337,#406267,#406268); #360274=AXIS2_PLACEMENT_3D('',#524343,#406272,#406273); #360275=AXIS2_PLACEMENT_3D('',#524349,#406277,#406278); #360276=AXIS2_PLACEMENT_3D('',#524355,#406282,#406283); #360277=AXIS2_PLACEMENT_3D('',#524361,#406287,#406288); #360278=AXIS2_PLACEMENT_3D('',#524367,#406292,#406293); #360279=AXIS2_PLACEMENT_3D('',#524373,#406297,#406298); #360280=AXIS2_PLACEMENT_3D('',#524376,#406301,#406302); #360281=AXIS2_PLACEMENT_3D('',#524377,#406303,#406304); #360282=AXIS2_PLACEMENT_3D('',#524378,#406305,#406306); #360283=AXIS2_PLACEMENT_3D('',#524387,#406311,#406312); #360284=AXIS2_PLACEMENT_3D('',#524393,#406316,#406317); #360285=AXIS2_PLACEMENT_3D('',#524399,#406321,#406322); #360286=AXIS2_PLACEMENT_3D('',#524405,#406326,#406327); #360287=AXIS2_PLACEMENT_3D('',#524411,#406331,#406332); #360288=AXIS2_PLACEMENT_3D('',#524417,#406336,#406337); #360289=AXIS2_PLACEMENT_3D('',#524423,#406341,#406342); #360290=AXIS2_PLACEMENT_3D('',#524429,#406346,#406347); #360291=AXIS2_PLACEMENT_3D('',#524435,#406351,#406352); #360292=AXIS2_PLACEMENT_3D('',#524441,#406356,#406357); #360293=AXIS2_PLACEMENT_3D('',#524447,#406361,#406362); #360294=AXIS2_PLACEMENT_3D('',#524453,#406366,#406367); #360295=AXIS2_PLACEMENT_3D('',#524459,#406371,#406372); #360296=AXIS2_PLACEMENT_3D('',#524465,#406376,#406377); #360297=AXIS2_PLACEMENT_3D('',#524471,#406381,#406382); #360298=AXIS2_PLACEMENT_3D('',#524477,#406386,#406387); #360299=AXIS2_PLACEMENT_3D('',#524483,#406391,#406392); #360300=AXIS2_PLACEMENT_3D('',#524489,#406396,#406397); #360301=AXIS2_PLACEMENT_3D('',#524495,#406401,#406402); #360302=AXIS2_PLACEMENT_3D('',#524501,#406406,#406407); #360303=AXIS2_PLACEMENT_3D('',#524507,#406411,#406412); #360304=AXIS2_PLACEMENT_3D('',#524513,#406416,#406417); #360305=AXIS2_PLACEMENT_3D('',#524519,#406421,#406422); #360306=AXIS2_PLACEMENT_3D('',#524525,#406426,#406427); #360307=AXIS2_PLACEMENT_3D('',#524531,#406431,#406432); #360308=AXIS2_PLACEMENT_3D('',#524534,#406435,#406436); #360309=AXIS2_PLACEMENT_3D('',#524535,#406437,#406438); #360310=AXIS2_PLACEMENT_3D('',#524536,#406439,#406440); #360311=AXIS2_PLACEMENT_3D('',#524545,#406445,#406446); #360312=AXIS2_PLACEMENT_3D('',#524551,#406450,#406451); #360313=AXIS2_PLACEMENT_3D('',#524557,#406455,#406456); #360314=AXIS2_PLACEMENT_3D('',#524563,#406460,#406461); #360315=AXIS2_PLACEMENT_3D('',#524569,#406465,#406466); #360316=AXIS2_PLACEMENT_3D('',#524575,#406470,#406471); #360317=AXIS2_PLACEMENT_3D('',#524581,#406475,#406476); #360318=AXIS2_PLACEMENT_3D('',#524587,#406480,#406481); #360319=AXIS2_PLACEMENT_3D('',#524593,#406485,#406486); #360320=AXIS2_PLACEMENT_3D('',#524599,#406490,#406491); #360321=AXIS2_PLACEMENT_3D('',#524605,#406495,#406496); #360322=AXIS2_PLACEMENT_3D('',#524611,#406500,#406501); #360323=AXIS2_PLACEMENT_3D('',#524617,#406505,#406506); #360324=AXIS2_PLACEMENT_3D('',#524623,#406510,#406511); #360325=AXIS2_PLACEMENT_3D('',#524629,#406515,#406516); #360326=AXIS2_PLACEMENT_3D('',#524635,#406520,#406521); #360327=AXIS2_PLACEMENT_3D('',#524641,#406525,#406526); #360328=AXIS2_PLACEMENT_3D('',#524647,#406530,#406531); #360329=AXIS2_PLACEMENT_3D('',#524653,#406535,#406536); #360330=AXIS2_PLACEMENT_3D('',#524656,#406539,#406540); #360331=AXIS2_PLACEMENT_3D('',#524657,#406541,#406542); #360332=AXIS2_PLACEMENT_3D('',#524658,#406543,#406544); #360333=AXIS2_PLACEMENT_3D('',#524667,#406549,#406550); #360334=AXIS2_PLACEMENT_3D('',#524673,#406554,#406555); #360335=AXIS2_PLACEMENT_3D('',#524679,#406559,#406560); #360336=AXIS2_PLACEMENT_3D('',#524685,#406564,#406565); #360337=AXIS2_PLACEMENT_3D('',#524691,#406569,#406570); #360338=AXIS2_PLACEMENT_3D('',#524697,#406574,#406575); #360339=AXIS2_PLACEMENT_3D('',#524703,#406579,#406580); #360340=AXIS2_PLACEMENT_3D('',#524709,#406584,#406585); #360341=AXIS2_PLACEMENT_3D('',#524715,#406589,#406590); #360342=AXIS2_PLACEMENT_3D('',#524721,#406594,#406595); #360343=AXIS2_PLACEMENT_3D('',#524727,#406599,#406600); #360344=AXIS2_PLACEMENT_3D('',#524733,#406604,#406605); #360345=AXIS2_PLACEMENT_3D('',#524739,#406609,#406610); #360346=AXIS2_PLACEMENT_3D('',#524745,#406614,#406615); #360347=AXIS2_PLACEMENT_3D('',#524751,#406619,#406620); #360348=AXIS2_PLACEMENT_3D('',#524757,#406624,#406625); #360349=AXIS2_PLACEMENT_3D('',#524763,#406629,#406630); #360350=AXIS2_PLACEMENT_3D('',#524769,#406634,#406635); #360351=AXIS2_PLACEMENT_3D('',#524775,#406639,#406640); #360352=AXIS2_PLACEMENT_3D('',#524781,#406644,#406645); #360353=AXIS2_PLACEMENT_3D('',#524787,#406649,#406650); #360354=AXIS2_PLACEMENT_3D('',#524793,#406654,#406655); #360355=AXIS2_PLACEMENT_3D('',#524796,#406658,#406659); #360356=AXIS2_PLACEMENT_3D('',#524797,#406660,#406661); #360357=AXIS2_PLACEMENT_3D('',#524798,#406662,#406663); #360358=AXIS2_PLACEMENT_3D('',#524807,#406668,#406669); #360359=AXIS2_PLACEMENT_3D('',#524813,#406673,#406674); #360360=AXIS2_PLACEMENT_3D('',#524819,#406678,#406679); #360361=AXIS2_PLACEMENT_3D('',#524825,#406683,#406684); #360362=AXIS2_PLACEMENT_3D('',#524831,#406688,#406689); #360363=AXIS2_PLACEMENT_3D('',#524837,#406693,#406694); #360364=AXIS2_PLACEMENT_3D('',#524843,#406698,#406699); #360365=AXIS2_PLACEMENT_3D('',#524849,#406703,#406704); #360366=AXIS2_PLACEMENT_3D('',#524855,#406708,#406709); #360367=AXIS2_PLACEMENT_3D('',#524861,#406713,#406714); #360368=AXIS2_PLACEMENT_3D('',#524867,#406718,#406719); #360369=AXIS2_PLACEMENT_3D('',#524873,#406723,#406724); #360370=AXIS2_PLACEMENT_3D('',#524879,#406728,#406729); #360371=AXIS2_PLACEMENT_3D('',#524885,#406733,#406734); #360372=AXIS2_PLACEMENT_3D('',#524891,#406738,#406739); #360373=AXIS2_PLACEMENT_3D('',#524897,#406743,#406744); #360374=AXIS2_PLACEMENT_3D('',#524903,#406748,#406749); #360375=AXIS2_PLACEMENT_3D('',#524909,#406753,#406754); #360376=AXIS2_PLACEMENT_3D('',#524915,#406758,#406759); #360377=AXIS2_PLACEMENT_3D('',#524921,#406763,#406764); #360378=AXIS2_PLACEMENT_3D('',#524924,#406767,#406768); #360379=AXIS2_PLACEMENT_3D('',#524925,#406769,#406770); #360380=AXIS2_PLACEMENT_3D('',#524926,#406771,#406772); #360381=AXIS2_PLACEMENT_3D('',#524935,#406777,#406778); #360382=AXIS2_PLACEMENT_3D('',#524941,#406782,#406783); #360383=AXIS2_PLACEMENT_3D('',#524947,#406787,#406788); #360384=AXIS2_PLACEMENT_3D('',#524953,#406792,#406793); #360385=AXIS2_PLACEMENT_3D('',#524959,#406797,#406798); #360386=AXIS2_PLACEMENT_3D('',#524965,#406802,#406803); #360387=AXIS2_PLACEMENT_3D('',#524971,#406807,#406808); #360388=AXIS2_PLACEMENT_3D('',#524977,#406812,#406813); #360389=AXIS2_PLACEMENT_3D('',#524983,#406817,#406818); #360390=AXIS2_PLACEMENT_3D('',#524989,#406822,#406823); #360391=AXIS2_PLACEMENT_3D('',#524995,#406827,#406828); #360392=AXIS2_PLACEMENT_3D('',#525001,#406832,#406833); #360393=AXIS2_PLACEMENT_3D('',#525007,#406837,#406838); #360394=AXIS2_PLACEMENT_3D('',#525013,#406842,#406843); #360395=AXIS2_PLACEMENT_3D('',#525019,#406847,#406848); #360396=AXIS2_PLACEMENT_3D('',#525025,#406852,#406853); #360397=AXIS2_PLACEMENT_3D('',#525031,#406857,#406858); #360398=AXIS2_PLACEMENT_3D('',#525037,#406862,#406863); #360399=AXIS2_PLACEMENT_3D('',#525043,#406867,#406868); #360400=AXIS2_PLACEMENT_3D('',#525049,#406872,#406873); #360401=AXIS2_PLACEMENT_3D('',#525055,#406877,#406878); #360402=AXIS2_PLACEMENT_3D('',#525061,#406882,#406883); #360403=AXIS2_PLACEMENT_3D('',#525067,#406887,#406888); #360404=AXIS2_PLACEMENT_3D('',#525073,#406892,#406893); #360405=AXIS2_PLACEMENT_3D('',#525079,#406897,#406898); #360406=AXIS2_PLACEMENT_3D('',#525085,#406902,#406903); #360407=AXIS2_PLACEMENT_3D('',#525091,#406907,#406908); #360408=AXIS2_PLACEMENT_3D('',#525097,#406912,#406913); #360409=AXIS2_PLACEMENT_3D('',#525103,#406917,#406918); #360410=AXIS2_PLACEMENT_3D('',#525106,#406921,#406922); #360411=AXIS2_PLACEMENT_3D('',#525107,#406923,#406924); #360412=AXIS2_PLACEMENT_3D('',#525108,#406925,#406926); #360413=AXIS2_PLACEMENT_3D('',#525117,#406931,#406932); #360414=AXIS2_PLACEMENT_3D('',#525123,#406936,#406937); #360415=AXIS2_PLACEMENT_3D('',#525129,#406941,#406942); #360416=AXIS2_PLACEMENT_3D('',#525135,#406946,#406947); #360417=AXIS2_PLACEMENT_3D('',#525141,#406951,#406952); #360418=AXIS2_PLACEMENT_3D('',#525147,#406956,#406957); #360419=AXIS2_PLACEMENT_3D('',#525153,#406961,#406962); #360420=AXIS2_PLACEMENT_3D('',#525159,#406966,#406967); #360421=AXIS2_PLACEMENT_3D('',#525165,#406971,#406972); #360422=AXIS2_PLACEMENT_3D('',#525171,#406976,#406977); #360423=AXIS2_PLACEMENT_3D('',#525177,#406981,#406982); #360424=AXIS2_PLACEMENT_3D('',#525183,#406986,#406987); #360425=AXIS2_PLACEMENT_3D('',#525189,#406991,#406992); #360426=AXIS2_PLACEMENT_3D('',#525195,#406996,#406997); #360427=AXIS2_PLACEMENT_3D('',#525201,#407001,#407002); #360428=AXIS2_PLACEMENT_3D('',#525207,#407006,#407007); #360429=AXIS2_PLACEMENT_3D('',#525213,#407011,#407012); #360430=AXIS2_PLACEMENT_3D('',#525219,#407016,#407017); #360431=AXIS2_PLACEMENT_3D('',#525225,#407021,#407022); #360432=AXIS2_PLACEMENT_3D('',#525228,#407025,#407026); #360433=AXIS2_PLACEMENT_3D('',#525229,#407027,#407028); #360434=AXIS2_PLACEMENT_3D('',#525230,#407029,#407030); #360435=AXIS2_PLACEMENT_3D('',#525239,#407035,#407036); #360436=AXIS2_PLACEMENT_3D('',#525245,#407040,#407041); #360437=AXIS2_PLACEMENT_3D('',#525251,#407045,#407046); #360438=AXIS2_PLACEMENT_3D('',#525257,#407050,#407051); #360439=AXIS2_PLACEMENT_3D('',#525263,#407055,#407056); #360440=AXIS2_PLACEMENT_3D('',#525269,#407060,#407061); #360441=AXIS2_PLACEMENT_3D('',#525275,#407065,#407066); #360442=AXIS2_PLACEMENT_3D('',#525281,#407070,#407071); #360443=AXIS2_PLACEMENT_3D('',#525287,#407075,#407076); #360444=AXIS2_PLACEMENT_3D('',#525293,#407080,#407081); #360445=AXIS2_PLACEMENT_3D('',#525299,#407085,#407086); #360446=AXIS2_PLACEMENT_3D('',#525305,#407090,#407091); #360447=AXIS2_PLACEMENT_3D('',#525311,#407095,#407096); #360448=AXIS2_PLACEMENT_3D('',#525317,#407100,#407101); #360449=AXIS2_PLACEMENT_3D('',#525323,#407105,#407106); #360450=AXIS2_PLACEMENT_3D('',#525329,#407110,#407111); #360451=AXIS2_PLACEMENT_3D('',#525335,#407115,#407116); #360452=AXIS2_PLACEMENT_3D('',#525341,#407120,#407121); #360453=AXIS2_PLACEMENT_3D('',#525347,#407125,#407126); #360454=AXIS2_PLACEMENT_3D('',#525353,#407130,#407131); #360455=AXIS2_PLACEMENT_3D('',#525359,#407135,#407136); #360456=AXIS2_PLACEMENT_3D('',#525365,#407140,#407141); #360457=AXIS2_PLACEMENT_3D('',#525371,#407145,#407146); #360458=AXIS2_PLACEMENT_3D('',#525377,#407150,#407151); #360459=AXIS2_PLACEMENT_3D('',#525383,#407155,#407156); #360460=AXIS2_PLACEMENT_3D('',#525389,#407160,#407161); #360461=AXIS2_PLACEMENT_3D('',#525395,#407165,#407166); #360462=AXIS2_PLACEMENT_3D('',#525401,#407170,#407171); #360463=AXIS2_PLACEMENT_3D('',#525407,#407175,#407176); #360464=AXIS2_PLACEMENT_3D('',#525413,#407180,#407181); #360465=AXIS2_PLACEMENT_3D('',#525419,#407185,#407186); #360466=AXIS2_PLACEMENT_3D('',#525425,#407190,#407191); #360467=AXIS2_PLACEMENT_3D('',#525428,#407194,#407195); #360468=AXIS2_PLACEMENT_3D('',#525429,#407196,#407197); #360469=AXIS2_PLACEMENT_3D('',#525430,#407198,#407199); #360470=AXIS2_PLACEMENT_3D('',#525432,#407200,#407201); #360471=AXIS2_PLACEMENT_3D('',#525435,#407203,#407204); #360472=AXIS2_PLACEMENT_3D('',#525436,#407205,#407206); #360473=AXIS2_PLACEMENT_3D('',#525438,#407207,#407208); #360474=AXIS2_PLACEMENT_3D('',#525441,#407210,#407211); #360475=AXIS2_PLACEMENT_3D('',#525442,#407212,#407213); #360476=AXIS2_PLACEMENT_3D('',#525444,#407214,#407215); #360477=AXIS2_PLACEMENT_3D('',#525447,#407217,#407218); #360478=AXIS2_PLACEMENT_3D('',#525448,#407219,#407220); #360479=AXIS2_PLACEMENT_3D('',#525457,#407225,#407226); #360480=AXIS2_PLACEMENT_3D('',#525463,#407230,#407231); #360481=AXIS2_PLACEMENT_3D('',#525469,#407235,#407236); #360482=AXIS2_PLACEMENT_3D('',#525475,#407240,#407241); #360483=AXIS2_PLACEMENT_3D('',#525481,#407245,#407246); #360484=AXIS2_PLACEMENT_3D('',#525487,#407250,#407251); #360485=AXIS2_PLACEMENT_3D('',#525493,#407255,#407256); #360486=AXIS2_PLACEMENT_3D('',#525499,#407260,#407261); #360487=AXIS2_PLACEMENT_3D('',#525505,#407265,#407266); #360488=AXIS2_PLACEMENT_3D('',#525511,#407270,#407271); #360489=AXIS2_PLACEMENT_3D('',#525517,#407275,#407276); #360490=AXIS2_PLACEMENT_3D('',#525523,#407280,#407281); #360491=AXIS2_PLACEMENT_3D('',#525529,#407285,#407286); #360492=AXIS2_PLACEMENT_3D('',#525535,#407290,#407291); #360493=AXIS2_PLACEMENT_3D('',#525541,#407295,#407296); #360494=AXIS2_PLACEMENT_3D('',#525547,#407300,#407301); #360495=AXIS2_PLACEMENT_3D('',#525553,#407305,#407306); #360496=AXIS2_PLACEMENT_3D('',#525559,#407310,#407311); #360497=AXIS2_PLACEMENT_3D('',#525565,#407315,#407316); #360498=AXIS2_PLACEMENT_3D('',#525571,#407320,#407321); #360499=AXIS2_PLACEMENT_3D('',#525577,#407325,#407326); #360500=AXIS2_PLACEMENT_3D('',#525583,#407330,#407331); #360501=AXIS2_PLACEMENT_3D('',#525589,#407335,#407336); #360502=AXIS2_PLACEMENT_3D('',#525595,#407340,#407341); #360503=AXIS2_PLACEMENT_3D('',#525601,#407345,#407346); #360504=AXIS2_PLACEMENT_3D('',#525607,#407350,#407351); #360505=AXIS2_PLACEMENT_3D('',#525613,#407355,#407356); #360506=AXIS2_PLACEMENT_3D('',#525619,#407360,#407361); #360507=AXIS2_PLACEMENT_3D('',#525625,#407365,#407366); #360508=AXIS2_PLACEMENT_3D('',#525631,#407370,#407371); #360509=AXIS2_PLACEMENT_3D('',#525637,#407375,#407376); #360510=AXIS2_PLACEMENT_3D('',#525643,#407380,#407381); #360511=AXIS2_PLACEMENT_3D('',#525649,#407385,#407386); #360512=AXIS2_PLACEMENT_3D('',#525655,#407390,#407391); #360513=AXIS2_PLACEMENT_3D('',#525661,#407395,#407396); #360514=AXIS2_PLACEMENT_3D('',#525667,#407400,#407401); #360515=AXIS2_PLACEMENT_3D('',#525673,#407405,#407406); #360516=AXIS2_PLACEMENT_3D('',#525679,#407410,#407411); #360517=AXIS2_PLACEMENT_3D('',#525685,#407415,#407416); #360518=AXIS2_PLACEMENT_3D('',#525691,#407420,#407421); #360519=AXIS2_PLACEMENT_3D('',#525697,#407425,#407426); #360520=AXIS2_PLACEMENT_3D('',#525703,#407430,#407431); #360521=AXIS2_PLACEMENT_3D('',#525709,#407435,#407436); #360522=AXIS2_PLACEMENT_3D('',#525715,#407440,#407441); #360523=AXIS2_PLACEMENT_3D('',#525721,#407445,#407446); #360524=AXIS2_PLACEMENT_3D('',#525727,#407450,#407451); #360525=AXIS2_PLACEMENT_3D('',#525733,#407455,#407456); #360526=AXIS2_PLACEMENT_3D('',#525739,#407460,#407461); #360527=AXIS2_PLACEMENT_3D('',#525745,#407465,#407466); #360528=AXIS2_PLACEMENT_3D('',#525751,#407470,#407471); #360529=AXIS2_PLACEMENT_3D('',#525757,#407475,#407476); #360530=AXIS2_PLACEMENT_3D('',#525763,#407480,#407481); #360531=AXIS2_PLACEMENT_3D('',#525769,#407485,#407486); #360532=AXIS2_PLACEMENT_3D('',#525775,#407490,#407491); #360533=AXIS2_PLACEMENT_3D('',#525781,#407495,#407496); #360534=AXIS2_PLACEMENT_3D('',#525787,#407500,#407501); #360535=AXIS2_PLACEMENT_3D('',#525793,#407505,#407506); #360536=AXIS2_PLACEMENT_3D('',#525799,#407510,#407511); #360537=AXIS2_PLACEMENT_3D('',#525805,#407515,#407516); #360538=AXIS2_PLACEMENT_3D('',#525811,#407520,#407521); #360539=AXIS2_PLACEMENT_3D('',#525817,#407525,#407526); #360540=AXIS2_PLACEMENT_3D('',#525823,#407530,#407531); #360541=AXIS2_PLACEMENT_3D('',#525829,#407535,#407536); #360542=AXIS2_PLACEMENT_3D('',#525835,#407540,#407541); #360543=AXIS2_PLACEMENT_3D('',#525841,#407545,#407546); #360544=AXIS2_PLACEMENT_3D('',#525847,#407550,#407551); #360545=AXIS2_PLACEMENT_3D('',#525853,#407555,#407556); #360546=AXIS2_PLACEMENT_3D('',#525859,#407560,#407561); #360547=AXIS2_PLACEMENT_3D('',#525865,#407565,#407566); #360548=AXIS2_PLACEMENT_3D('',#525871,#407570,#407571); #360549=AXIS2_PLACEMENT_3D('',#525877,#407575,#407576); #360550=AXIS2_PLACEMENT_3D('',#525883,#407580,#407581); #360551=AXIS2_PLACEMENT_3D('',#525889,#407585,#407586); #360552=AXIS2_PLACEMENT_3D('',#525895,#407590,#407591); #360553=AXIS2_PLACEMENT_3D('',#525901,#407595,#407596); #360554=AXIS2_PLACEMENT_3D('',#525907,#407600,#407601); #360555=AXIS2_PLACEMENT_3D('',#525913,#407605,#407606); #360556=AXIS2_PLACEMENT_3D('',#525919,#407610,#407611); #360557=AXIS2_PLACEMENT_3D('',#525925,#407615,#407616); #360558=AXIS2_PLACEMENT_3D('',#525931,#407620,#407621); #360559=AXIS2_PLACEMENT_3D('',#525937,#407625,#407626); #360560=AXIS2_PLACEMENT_3D('',#525943,#407630,#407631); #360561=AXIS2_PLACEMENT_3D('',#525949,#407635,#407636); #360562=AXIS2_PLACEMENT_3D('',#525955,#407640,#407641); #360563=AXIS2_PLACEMENT_3D('',#525961,#407645,#407646); #360564=AXIS2_PLACEMENT_3D('',#525967,#407650,#407651); #360565=AXIS2_PLACEMENT_3D('',#525970,#407654,#407655); #360566=AXIS2_PLACEMENT_3D('',#525971,#407656,#407657); #360567=AXIS2_PLACEMENT_3D('',#525972,#407658,#407659); #360568=AXIS2_PLACEMENT_3D('',#525981,#407664,#407665); #360569=AXIS2_PLACEMENT_3D('',#525987,#407669,#407670); #360570=AXIS2_PLACEMENT_3D('',#525993,#407674,#407675); #360571=AXIS2_PLACEMENT_3D('',#525999,#407679,#407680); #360572=AXIS2_PLACEMENT_3D('',#526005,#407684,#407685); #360573=AXIS2_PLACEMENT_3D('',#526011,#407689,#407690); #360574=AXIS2_PLACEMENT_3D('',#526017,#407694,#407695); #360575=AXIS2_PLACEMENT_3D('',#526023,#407699,#407700); #360576=AXIS2_PLACEMENT_3D('',#526029,#407704,#407705); #360577=AXIS2_PLACEMENT_3D('',#526035,#407709,#407710); #360578=AXIS2_PLACEMENT_3D('',#526041,#407714,#407715); #360579=AXIS2_PLACEMENT_3D('',#526047,#407719,#407720); #360580=AXIS2_PLACEMENT_3D('',#526053,#407724,#407725); #360581=AXIS2_PLACEMENT_3D('',#526059,#407729,#407730); #360582=AXIS2_PLACEMENT_3D('',#526065,#407734,#407735); #360583=AXIS2_PLACEMENT_3D('',#526071,#407739,#407740); #360584=AXIS2_PLACEMENT_3D('',#526077,#407744,#407745); #360585=AXIS2_PLACEMENT_3D('',#526083,#407749,#407750); #360586=AXIS2_PLACEMENT_3D('',#526089,#407754,#407755); #360587=AXIS2_PLACEMENT_3D('',#526095,#407759,#407760); #360588=AXIS2_PLACEMENT_3D('',#526101,#407764,#407765); #360589=AXIS2_PLACEMENT_3D('',#526107,#407769,#407770); #360590=AXIS2_PLACEMENT_3D('',#526113,#407774,#407775); #360591=AXIS2_PLACEMENT_3D('',#526119,#407779,#407780); #360592=AXIS2_PLACEMENT_3D('',#526125,#407784,#407785); #360593=AXIS2_PLACEMENT_3D('',#526131,#407789,#407790); #360594=AXIS2_PLACEMENT_3D('',#526137,#407794,#407795); #360595=AXIS2_PLACEMENT_3D('',#526143,#407799,#407800); #360596=AXIS2_PLACEMENT_3D('',#526146,#407803,#407804); #360597=AXIS2_PLACEMENT_3D('',#526147,#407805,#407806); #360598=AXIS2_PLACEMENT_3D('',#526148,#407807,#407808); #360599=AXIS2_PLACEMENT_3D('',#526157,#407813,#407814); #360600=AXIS2_PLACEMENT_3D('',#526163,#407818,#407819); #360601=AXIS2_PLACEMENT_3D('',#526169,#407823,#407824); #360602=AXIS2_PLACEMENT_3D('',#526175,#407828,#407829); #360603=AXIS2_PLACEMENT_3D('',#526181,#407833,#407834); #360604=AXIS2_PLACEMENT_3D('',#526187,#407838,#407839); #360605=AXIS2_PLACEMENT_3D('',#526193,#407843,#407844); #360606=AXIS2_PLACEMENT_3D('',#526199,#407848,#407849); #360607=AXIS2_PLACEMENT_3D('',#526205,#407853,#407854); #360608=AXIS2_PLACEMENT_3D('',#526211,#407858,#407859); #360609=AXIS2_PLACEMENT_3D('',#526217,#407863,#407864); #360610=AXIS2_PLACEMENT_3D('',#526223,#407868,#407869); #360611=AXIS2_PLACEMENT_3D('',#526229,#407873,#407874); #360612=AXIS2_PLACEMENT_3D('',#526235,#407878,#407879); #360613=AXIS2_PLACEMENT_3D('',#526241,#407883,#407884); #360614=AXIS2_PLACEMENT_3D('',#526247,#407888,#407889); #360615=AXIS2_PLACEMENT_3D('',#526253,#407893,#407894); #360616=AXIS2_PLACEMENT_3D('',#526259,#407898,#407899); #360617=AXIS2_PLACEMENT_3D('',#526262,#407902,#407903); #360618=AXIS2_PLACEMENT_3D('',#526263,#407904,#407905); #360619=AXIS2_PLACEMENT_3D('',#526264,#407906,#407907); #360620=AXIS2_PLACEMENT_3D('',#526266,#407908,#407909); #360621=AXIS2_PLACEMENT_3D('',#526269,#407911,#407912); #360622=AXIS2_PLACEMENT_3D('',#526270,#407913,#407914); #360623=AXIS2_PLACEMENT_3D('',#526272,#407915,#407916); #360624=AXIS2_PLACEMENT_3D('',#526275,#407918,#407919); #360625=AXIS2_PLACEMENT_3D('',#526276,#407920,#407921); #360626=AXIS2_PLACEMENT_3D('',#526278,#407922,#407923); #360627=AXIS2_PLACEMENT_3D('',#526281,#407925,#407926); #360628=AXIS2_PLACEMENT_3D('',#526282,#407927,#407928); #360629=AXIS2_PLACEMENT_3D('',#526284,#407929,#407930); #360630=AXIS2_PLACEMENT_3D('',#526287,#407932,#407933); #360631=AXIS2_PLACEMENT_3D('',#526288,#407934,#407935); #360632=AXIS2_PLACEMENT_3D('',#526290,#407936,#407937); #360633=AXIS2_PLACEMENT_3D('',#526293,#407939,#407940); #360634=AXIS2_PLACEMENT_3D('',#526294,#407941,#407942); #360635=AXIS2_PLACEMENT_3D('',#526296,#407943,#407944); #360636=AXIS2_PLACEMENT_3D('',#526299,#407946,#407947); #360637=AXIS2_PLACEMENT_3D('',#526300,#407948,#407949); #360638=AXIS2_PLACEMENT_3D('',#526302,#407950,#407951); #360639=AXIS2_PLACEMENT_3D('',#526305,#407953,#407954); #360640=AXIS2_PLACEMENT_3D('',#526306,#407955,#407956); #360641=AXIS2_PLACEMENT_3D('',#526308,#407957,#407958); #360642=AXIS2_PLACEMENT_3D('',#526311,#407960,#407961); #360643=AXIS2_PLACEMENT_3D('',#526312,#407962,#407963); #360644=AXIS2_PLACEMENT_3D('',#526314,#407964,#407965); #360645=AXIS2_PLACEMENT_3D('',#526317,#407967,#407968); #360646=AXIS2_PLACEMENT_3D('',#526318,#407969,#407970); #360647=AXIS2_PLACEMENT_3D('',#526320,#407971,#407972); #360648=AXIS2_PLACEMENT_3D('',#526323,#407974,#407975); #360649=AXIS2_PLACEMENT_3D('',#526324,#407976,#407977); #360650=AXIS2_PLACEMENT_3D('',#526326,#407978,#407979); #360651=AXIS2_PLACEMENT_3D('',#526329,#407981,#407982); #360652=AXIS2_PLACEMENT_3D('',#526330,#407983,#407984); #360653=AXIS2_PLACEMENT_3D('',#526332,#407985,#407986); #360654=AXIS2_PLACEMENT_3D('',#526335,#407988,#407989); #360655=AXIS2_PLACEMENT_3D('',#526336,#407990,#407991); #360656=AXIS2_PLACEMENT_3D('',#526338,#407992,#407993); #360657=AXIS2_PLACEMENT_3D('',#526341,#407995,#407996); #360658=AXIS2_PLACEMENT_3D('',#526342,#407997,#407998); #360659=AXIS2_PLACEMENT_3D('',#526344,#407999,#408000); #360660=AXIS2_PLACEMENT_3D('',#526347,#408002,#408003); #360661=AXIS2_PLACEMENT_3D('',#526348,#408004,#408005); #360662=AXIS2_PLACEMENT_3D('',#526350,#408006,#408007); #360663=AXIS2_PLACEMENT_3D('',#526353,#408009,#408010); #360664=AXIS2_PLACEMENT_3D('',#526354,#408011,#408012); #360665=AXIS2_PLACEMENT_3D('',#526356,#408013,#408014); #360666=AXIS2_PLACEMENT_3D('',#526359,#408016,#408017); #360667=AXIS2_PLACEMENT_3D('',#526360,#408018,#408019); #360668=AXIS2_PLACEMENT_3D('',#526362,#408020,#408021); #360669=AXIS2_PLACEMENT_3D('',#526365,#408023,#408024); #360670=AXIS2_PLACEMENT_3D('',#526366,#408025,#408026); #360671=AXIS2_PLACEMENT_3D('',#526368,#408027,#408028); #360672=AXIS2_PLACEMENT_3D('',#526371,#408030,#408031); #360673=AXIS2_PLACEMENT_3D('',#526372,#408032,#408033); #360674=AXIS2_PLACEMENT_3D('',#526374,#408034,#408035); #360675=AXIS2_PLACEMENT_3D('',#526377,#408037,#408038); #360676=AXIS2_PLACEMENT_3D('',#526378,#408039,#408040); #360677=AXIS2_PLACEMENT_3D('',#526380,#408041,#408042); #360678=AXIS2_PLACEMENT_3D('',#526383,#408044,#408045); #360679=AXIS2_PLACEMENT_3D('',#526384,#408046,#408047); #360680=AXIS2_PLACEMENT_3D('',#526386,#408048,#408049); #360681=AXIS2_PLACEMENT_3D('',#526389,#408051,#408052); #360682=AXIS2_PLACEMENT_3D('',#526390,#408053,#408054); #360683=AXIS2_PLACEMENT_3D('',#526392,#408055,#408056); #360684=AXIS2_PLACEMENT_3D('',#526395,#408058,#408059); #360685=AXIS2_PLACEMENT_3D('',#526396,#408060,#408061); #360686=AXIS2_PLACEMENT_3D('',#526398,#408062,#408063); #360687=AXIS2_PLACEMENT_3D('',#526401,#408065,#408066); #360688=AXIS2_PLACEMENT_3D('',#526402,#408067,#408068); #360689=AXIS2_PLACEMENT_3D('',#526404,#408069,#408070); #360690=AXIS2_PLACEMENT_3D('',#526407,#408072,#408073); #360691=AXIS2_PLACEMENT_3D('',#526408,#408074,#408075); #360692=AXIS2_PLACEMENT_3D('',#526410,#408076,#408077); #360693=AXIS2_PLACEMENT_3D('',#526413,#408079,#408080); #360694=AXIS2_PLACEMENT_3D('',#526414,#408081,#408082); #360695=AXIS2_PLACEMENT_3D('',#526416,#408083,#408084); #360696=AXIS2_PLACEMENT_3D('',#526419,#408086,#408087); #360697=AXIS2_PLACEMENT_3D('',#526420,#408088,#408089); #360698=AXIS2_PLACEMENT_3D('',#526422,#408090,#408091); #360699=AXIS2_PLACEMENT_3D('',#526425,#408093,#408094); #360700=AXIS2_PLACEMENT_3D('',#526426,#408095,#408096); #360701=AXIS2_PLACEMENT_3D('',#526428,#408097,#408098); #360702=AXIS2_PLACEMENT_3D('',#526431,#408100,#408101); #360703=AXIS2_PLACEMENT_3D('',#526432,#408102,#408103); #360704=AXIS2_PLACEMENT_3D('',#526434,#408104,#408105); #360705=AXIS2_PLACEMENT_3D('',#526437,#408107,#408108); #360706=AXIS2_PLACEMENT_3D('',#526438,#408109,#408110); #360707=AXIS2_PLACEMENT_3D('',#526440,#408111,#408112); #360708=AXIS2_PLACEMENT_3D('',#526443,#408114,#408115); #360709=AXIS2_PLACEMENT_3D('',#526444,#408116,#408117); #360710=AXIS2_PLACEMENT_3D('',#526446,#408118,#408119); #360711=AXIS2_PLACEMENT_3D('',#526449,#408121,#408122); #360712=AXIS2_PLACEMENT_3D('',#526450,#408123,#408124); #360713=AXIS2_PLACEMENT_3D('',#526452,#408125,#408126); #360714=AXIS2_PLACEMENT_3D('',#526455,#408128,#408129); #360715=AXIS2_PLACEMENT_3D('',#526456,#408130,#408131); #360716=AXIS2_PLACEMENT_3D('',#526458,#408132,#408133); #360717=AXIS2_PLACEMENT_3D('',#526461,#408135,#408136); #360718=AXIS2_PLACEMENT_3D('',#526462,#408137,#408138); #360719=AXIS2_PLACEMENT_3D('',#526464,#408139,#408140); #360720=AXIS2_PLACEMENT_3D('',#526467,#408142,#408143); #360721=AXIS2_PLACEMENT_3D('',#526468,#408144,#408145); #360722=AXIS2_PLACEMENT_3D('',#526470,#408146,#408147); #360723=AXIS2_PLACEMENT_3D('',#526473,#408149,#408150); #360724=AXIS2_PLACEMENT_3D('',#526474,#408151,#408152); #360725=AXIS2_PLACEMENT_3D('',#526476,#408153,#408154); #360726=AXIS2_PLACEMENT_3D('',#526479,#408156,#408157); #360727=AXIS2_PLACEMENT_3D('',#526480,#408158,#408159); #360728=AXIS2_PLACEMENT_3D('',#526482,#408160,#408161); #360729=AXIS2_PLACEMENT_3D('',#526485,#408163,#408164); #360730=AXIS2_PLACEMENT_3D('',#526486,#408165,#408166); #360731=AXIS2_PLACEMENT_3D('',#526488,#408167,#408168); #360732=AXIS2_PLACEMENT_3D('',#526491,#408170,#408171); #360733=AXIS2_PLACEMENT_3D('',#526492,#408172,#408173); #360734=AXIS2_PLACEMENT_3D('',#526494,#408174,#408175); #360735=AXIS2_PLACEMENT_3D('',#526497,#408177,#408178); #360736=AXIS2_PLACEMENT_3D('',#526498,#408179,#408180); #360737=AXIS2_PLACEMENT_3D('',#526500,#408181,#408182); #360738=AXIS2_PLACEMENT_3D('',#526503,#408184,#408185); #360739=AXIS2_PLACEMENT_3D('',#526504,#408186,#408187); #360740=AXIS2_PLACEMENT_3D('',#526506,#408188,#408189); #360741=AXIS2_PLACEMENT_3D('',#526509,#408191,#408192); #360742=AXIS2_PLACEMENT_3D('',#526510,#408193,#408194); #360743=AXIS2_PLACEMENT_3D('',#526512,#408195,#408196); #360744=AXIS2_PLACEMENT_3D('',#526515,#408198,#408199); #360745=AXIS2_PLACEMENT_3D('',#526516,#408200,#408201); #360746=AXIS2_PLACEMENT_3D('',#526518,#408202,#408203); #360747=AXIS2_PLACEMENT_3D('',#526521,#408205,#408206); #360748=AXIS2_PLACEMENT_3D('',#526522,#408207,#408208); #360749=AXIS2_PLACEMENT_3D('',#526524,#408209,#408210); #360750=AXIS2_PLACEMENT_3D('',#526527,#408212,#408213); #360751=AXIS2_PLACEMENT_3D('',#526528,#408214,#408215); #360752=AXIS2_PLACEMENT_3D('',#526530,#408216,#408217); #360753=AXIS2_PLACEMENT_3D('',#526533,#408219,#408220); #360754=AXIS2_PLACEMENT_3D('',#526534,#408221,#408222); #360755=AXIS2_PLACEMENT_3D('',#526536,#408223,#408224); #360756=AXIS2_PLACEMENT_3D('',#526539,#408226,#408227); #360757=AXIS2_PLACEMENT_3D('',#526540,#408228,#408229); #360758=AXIS2_PLACEMENT_3D('',#526542,#408230,#408231); #360759=AXIS2_PLACEMENT_3D('',#526545,#408233,#408234); #360760=AXIS2_PLACEMENT_3D('',#526546,#408235,#408236); #360761=AXIS2_PLACEMENT_3D('',#526548,#408237,#408238); #360762=AXIS2_PLACEMENT_3D('',#526551,#408240,#408241); #360763=AXIS2_PLACEMENT_3D('',#526552,#408242,#408243); #360764=AXIS2_PLACEMENT_3D('',#526554,#408244,#408245); #360765=AXIS2_PLACEMENT_3D('',#526557,#408247,#408248); #360766=AXIS2_PLACEMENT_3D('',#526558,#408249,#408250); #360767=AXIS2_PLACEMENT_3D('',#526567,#408255,#408256); #360768=AXIS2_PLACEMENT_3D('',#526573,#408260,#408261); #360769=AXIS2_PLACEMENT_3D('',#526579,#408265,#408266); #360770=AXIS2_PLACEMENT_3D('',#526585,#408270,#408271); #360771=AXIS2_PLACEMENT_3D('',#526591,#408275,#408276); #360772=AXIS2_PLACEMENT_3D('',#526597,#408280,#408281); #360773=AXIS2_PLACEMENT_3D('',#526603,#408285,#408286); #360774=AXIS2_PLACEMENT_3D('',#526609,#408290,#408291); #360775=AXIS2_PLACEMENT_3D('',#526615,#408295,#408296); #360776=AXIS2_PLACEMENT_3D('',#526621,#408300,#408301); #360777=AXIS2_PLACEMENT_3D('',#526627,#408305,#408306); #360778=AXIS2_PLACEMENT_3D('',#526633,#408310,#408311); #360779=AXIS2_PLACEMENT_3D('',#526639,#408315,#408316); #360780=AXIS2_PLACEMENT_3D('',#526645,#408320,#408321); #360781=AXIS2_PLACEMENT_3D('',#526651,#408325,#408326); #360782=AXIS2_PLACEMENT_3D('',#526657,#408330,#408331); #360783=AXIS2_PLACEMENT_3D('',#526663,#408335,#408336); #360784=AXIS2_PLACEMENT_3D('',#526669,#408340,#408341); #360785=AXIS2_PLACEMENT_3D('',#526675,#408345,#408346); #360786=AXIS2_PLACEMENT_3D('',#526681,#408350,#408351); #360787=AXIS2_PLACEMENT_3D('',#526687,#408355,#408356); #360788=AXIS2_PLACEMENT_3D('',#526693,#408360,#408361); #360789=AXIS2_PLACEMENT_3D('',#526699,#408365,#408366); #360790=AXIS2_PLACEMENT_3D('',#526705,#408370,#408371); #360791=AXIS2_PLACEMENT_3D('',#526711,#408375,#408376); #360792=AXIS2_PLACEMENT_3D('',#526717,#408380,#408381); #360793=AXIS2_PLACEMENT_3D('',#526723,#408385,#408386); #360794=AXIS2_PLACEMENT_3D('',#526729,#408390,#408391); #360795=AXIS2_PLACEMENT_3D('',#526735,#408395,#408396); #360796=AXIS2_PLACEMENT_3D('',#526741,#408400,#408401); #360797=AXIS2_PLACEMENT_3D('',#526747,#408405,#408406); #360798=AXIS2_PLACEMENT_3D('',#526753,#408410,#408411); #360799=AXIS2_PLACEMENT_3D('',#526759,#408415,#408416); #360800=AXIS2_PLACEMENT_3D('',#526765,#408420,#408421); #360801=AXIS2_PLACEMENT_3D('',#526771,#408425,#408426); #360802=AXIS2_PLACEMENT_3D('',#526777,#408430,#408431); #360803=AXIS2_PLACEMENT_3D('',#526783,#408435,#408436); #360804=AXIS2_PLACEMENT_3D('',#526789,#408440,#408441); #360805=AXIS2_PLACEMENT_3D('',#526795,#408445,#408446); #360806=AXIS2_PLACEMENT_3D('',#526801,#408450,#408451); #360807=AXIS2_PLACEMENT_3D('',#526807,#408455,#408456); #360808=AXIS2_PLACEMENT_3D('',#526813,#408460,#408461); #360809=AXIS2_PLACEMENT_3D('',#526819,#408465,#408466); #360810=AXIS2_PLACEMENT_3D('',#526825,#408470,#408471); #360811=AXIS2_PLACEMENT_3D('',#526831,#408475,#408476); #360812=AXIS2_PLACEMENT_3D('',#526837,#408480,#408481); #360813=AXIS2_PLACEMENT_3D('',#526843,#408485,#408486); #360814=AXIS2_PLACEMENT_3D('',#526849,#408490,#408491); #360815=AXIS2_PLACEMENT_3D('',#526855,#408495,#408496); #360816=AXIS2_PLACEMENT_3D('',#526861,#408500,#408501); #360817=AXIS2_PLACEMENT_3D('',#526867,#408505,#408506); #360818=AXIS2_PLACEMENT_3D('',#526873,#408510,#408511); #360819=AXIS2_PLACEMENT_3D('',#526879,#408515,#408516); #360820=AXIS2_PLACEMENT_3D('',#526885,#408520,#408521); #360821=AXIS2_PLACEMENT_3D('',#526891,#408525,#408526); #360822=AXIS2_PLACEMENT_3D('',#526897,#408530,#408531); #360823=AXIS2_PLACEMENT_3D('',#526903,#408535,#408536); #360824=AXIS2_PLACEMENT_3D('',#526909,#408540,#408541); #360825=AXIS2_PLACEMENT_3D('',#526915,#408545,#408546); #360826=AXIS2_PLACEMENT_3D('',#526921,#408550,#408551); #360827=AXIS2_PLACEMENT_3D('',#526927,#408555,#408556); #360828=AXIS2_PLACEMENT_3D('',#526933,#408560,#408561); #360829=AXIS2_PLACEMENT_3D('',#526939,#408565,#408566); #360830=AXIS2_PLACEMENT_3D('',#526945,#408570,#408571); #360831=AXIS2_PLACEMENT_3D('',#526951,#408575,#408576); #360832=AXIS2_PLACEMENT_3D('',#526957,#408580,#408581); #360833=AXIS2_PLACEMENT_3D('',#526963,#408585,#408586); #360834=AXIS2_PLACEMENT_3D('',#526969,#408590,#408591); #360835=AXIS2_PLACEMENT_3D('',#526975,#408595,#408596); #360836=AXIS2_PLACEMENT_3D('',#526981,#408600,#408601); #360837=AXIS2_PLACEMENT_3D('',#526987,#408605,#408606); #360838=AXIS2_PLACEMENT_3D('',#526993,#408610,#408611); #360839=AXIS2_PLACEMENT_3D('',#526999,#408615,#408616); #360840=AXIS2_PLACEMENT_3D('',#527005,#408620,#408621); #360841=AXIS2_PLACEMENT_3D('',#527011,#408625,#408626); #360842=AXIS2_PLACEMENT_3D('',#527017,#408630,#408631); #360843=AXIS2_PLACEMENT_3D('',#527023,#408635,#408636); #360844=AXIS2_PLACEMENT_3D('',#527029,#408640,#408641); #360845=AXIS2_PLACEMENT_3D('',#527035,#408645,#408646); #360846=AXIS2_PLACEMENT_3D('',#527041,#408650,#408651); #360847=AXIS2_PLACEMENT_3D('',#527047,#408655,#408656); #360848=AXIS2_PLACEMENT_3D('',#527053,#408660,#408661); #360849=AXIS2_PLACEMENT_3D('',#527059,#408665,#408666); #360850=AXIS2_PLACEMENT_3D('',#527065,#408670,#408671); #360851=AXIS2_PLACEMENT_3D('',#527071,#408675,#408676); #360852=AXIS2_PLACEMENT_3D('',#527077,#408680,#408681); #360853=AXIS2_PLACEMENT_3D('',#527083,#408685,#408686); #360854=AXIS2_PLACEMENT_3D('',#527089,#408690,#408691); #360855=AXIS2_PLACEMENT_3D('',#527095,#408695,#408696); #360856=AXIS2_PLACEMENT_3D('',#527101,#408700,#408701); #360857=AXIS2_PLACEMENT_3D('',#527107,#408705,#408706); #360858=AXIS2_PLACEMENT_3D('',#527113,#408710,#408711); #360859=AXIS2_PLACEMENT_3D('',#527119,#408715,#408716); #360860=AXIS2_PLACEMENT_3D('',#527125,#408720,#408721); #360861=AXIS2_PLACEMENT_3D('',#527131,#408725,#408726); #360862=AXIS2_PLACEMENT_3D('',#527137,#408730,#408731); #360863=AXIS2_PLACEMENT_3D('',#527143,#408735,#408736); #360864=AXIS2_PLACEMENT_3D('',#527149,#408740,#408741); #360865=AXIS2_PLACEMENT_3D('',#527155,#408745,#408746); #360866=AXIS2_PLACEMENT_3D('',#527161,#408750,#408751); #360867=AXIS2_PLACEMENT_3D('',#527167,#408755,#408756); #360868=AXIS2_PLACEMENT_3D('',#527173,#408760,#408761); #360869=AXIS2_PLACEMENT_3D('',#527179,#408765,#408766); #360870=AXIS2_PLACEMENT_3D('',#527185,#408770,#408771); #360871=AXIS2_PLACEMENT_3D('',#527191,#408775,#408776); #360872=AXIS2_PLACEMENT_3D('',#527197,#408780,#408781); #360873=AXIS2_PLACEMENT_3D('',#527203,#408785,#408786); #360874=AXIS2_PLACEMENT_3D('',#527209,#408790,#408791); #360875=AXIS2_PLACEMENT_3D('',#527215,#408795,#408796); #360876=AXIS2_PLACEMENT_3D('',#527221,#408800,#408801); #360877=AXIS2_PLACEMENT_3D('',#527227,#408805,#408806); #360878=AXIS2_PLACEMENT_3D('',#527233,#408810,#408811); #360879=AXIS2_PLACEMENT_3D('',#527239,#408815,#408816); #360880=AXIS2_PLACEMENT_3D('',#527245,#408820,#408821); #360881=AXIS2_PLACEMENT_3D('',#527251,#408825,#408826); #360882=AXIS2_PLACEMENT_3D('',#527257,#408830,#408831); #360883=AXIS2_PLACEMENT_3D('',#527263,#408835,#408836); #360884=AXIS2_PLACEMENT_3D('',#527269,#408840,#408841); #360885=AXIS2_PLACEMENT_3D('',#527275,#408845,#408846); #360886=AXIS2_PLACEMENT_3D('',#527281,#408850,#408851); #360887=AXIS2_PLACEMENT_3D('',#527287,#408855,#408856); #360888=AXIS2_PLACEMENT_3D('',#527293,#408860,#408861); #360889=AXIS2_PLACEMENT_3D('',#527299,#408865,#408866); #360890=AXIS2_PLACEMENT_3D('',#527305,#408870,#408871); #360891=AXIS2_PLACEMENT_3D('',#527311,#408875,#408876); #360892=AXIS2_PLACEMENT_3D('',#527317,#408880,#408881); #360893=AXIS2_PLACEMENT_3D('',#527323,#408885,#408886); #360894=AXIS2_PLACEMENT_3D('',#527329,#408890,#408891); #360895=AXIS2_PLACEMENT_3D('',#527335,#408895,#408896); #360896=AXIS2_PLACEMENT_3D('',#527341,#408900,#408901); #360897=AXIS2_PLACEMENT_3D('',#527347,#408905,#408906); #360898=AXIS2_PLACEMENT_3D('',#527353,#408910,#408911); #360899=AXIS2_PLACEMENT_3D('',#527359,#408915,#408916); #360900=AXIS2_PLACEMENT_3D('',#527365,#408920,#408921); #360901=AXIS2_PLACEMENT_3D('',#527371,#408925,#408926); #360902=AXIS2_PLACEMENT_3D('',#527377,#408930,#408931); #360903=AXIS2_PLACEMENT_3D('',#527383,#408935,#408936); #360904=AXIS2_PLACEMENT_3D('',#527389,#408940,#408941); #360905=AXIS2_PLACEMENT_3D('',#527395,#408945,#408946); #360906=AXIS2_PLACEMENT_3D('',#527401,#408950,#408951); #360907=AXIS2_PLACEMENT_3D('',#527407,#408955,#408956); #360908=AXIS2_PLACEMENT_3D('',#527413,#408960,#408961); #360909=AXIS2_PLACEMENT_3D('',#527419,#408965,#408966); #360910=AXIS2_PLACEMENT_3D('',#527425,#408970,#408971); #360911=AXIS2_PLACEMENT_3D('',#527431,#408975,#408976); #360912=AXIS2_PLACEMENT_3D('',#527437,#408980,#408981); #360913=AXIS2_PLACEMENT_3D('',#527443,#408985,#408986); #360914=AXIS2_PLACEMENT_3D('',#527449,#408990,#408991); #360915=AXIS2_PLACEMENT_3D('',#527455,#408995,#408996); #360916=AXIS2_PLACEMENT_3D('',#527461,#409000,#409001); #360917=AXIS2_PLACEMENT_3D('',#527467,#409005,#409006); #360918=AXIS2_PLACEMENT_3D('',#527473,#409010,#409011); #360919=AXIS2_PLACEMENT_3D('',#527479,#409015,#409016); #360920=AXIS2_PLACEMENT_3D('',#527485,#409020,#409021); #360921=AXIS2_PLACEMENT_3D('',#527491,#409025,#409026); #360922=AXIS2_PLACEMENT_3D('',#527497,#409030,#409031); #360923=AXIS2_PLACEMENT_3D('',#527503,#409035,#409036); #360924=AXIS2_PLACEMENT_3D('',#527509,#409040,#409041); #360925=AXIS2_PLACEMENT_3D('',#527515,#409045,#409046); #360926=AXIS2_PLACEMENT_3D('',#527521,#409050,#409051); #360927=AXIS2_PLACEMENT_3D('',#527527,#409055,#409056); #360928=AXIS2_PLACEMENT_3D('',#527533,#409060,#409061); #360929=AXIS2_PLACEMENT_3D('',#527539,#409065,#409066); #360930=AXIS2_PLACEMENT_3D('',#527545,#409070,#409071); #360931=AXIS2_PLACEMENT_3D('',#527551,#409075,#409076); #360932=AXIS2_PLACEMENT_3D('',#527557,#409080,#409081); #360933=AXIS2_PLACEMENT_3D('',#527563,#409085,#409086); #360934=AXIS2_PLACEMENT_3D('',#527569,#409090,#409091); #360935=AXIS2_PLACEMENT_3D('',#527575,#409095,#409096); #360936=AXIS2_PLACEMENT_3D('',#527581,#409100,#409101); #360937=AXIS2_PLACEMENT_3D('',#527587,#409105,#409106); #360938=AXIS2_PLACEMENT_3D('',#527593,#409110,#409111); #360939=AXIS2_PLACEMENT_3D('',#527599,#409115,#409116); #360940=AXIS2_PLACEMENT_3D('',#527605,#409120,#409121); #360941=AXIS2_PLACEMENT_3D('',#527611,#409125,#409126); #360942=AXIS2_PLACEMENT_3D('',#527617,#409130,#409131); #360943=AXIS2_PLACEMENT_3D('',#527623,#409135,#409136); #360944=AXIS2_PLACEMENT_3D('',#527629,#409140,#409141); #360945=AXIS2_PLACEMENT_3D('',#527635,#409145,#409146); #360946=AXIS2_PLACEMENT_3D('',#527641,#409150,#409151); #360947=AXIS2_PLACEMENT_3D('',#527647,#409155,#409156); #360948=AXIS2_PLACEMENT_3D('',#527653,#409160,#409161); #360949=AXIS2_PLACEMENT_3D('',#527659,#409165,#409166); #360950=AXIS2_PLACEMENT_3D('',#527665,#409170,#409171); #360951=AXIS2_PLACEMENT_3D('',#527671,#409175,#409176); #360952=AXIS2_PLACEMENT_3D('',#527677,#409180,#409181); #360953=AXIS2_PLACEMENT_3D('',#527683,#409185,#409186); #360954=AXIS2_PLACEMENT_3D('',#527689,#409190,#409191); #360955=AXIS2_PLACEMENT_3D('',#527695,#409195,#409196); #360956=AXIS2_PLACEMENT_3D('',#527701,#409200,#409201); #360957=AXIS2_PLACEMENT_3D('',#527707,#409205,#409206); #360958=AXIS2_PLACEMENT_3D('',#527713,#409210,#409211); #360959=AXIS2_PLACEMENT_3D('',#527719,#409215,#409216); #360960=AXIS2_PLACEMENT_3D('',#527725,#409220,#409221); #360961=AXIS2_PLACEMENT_3D('',#527731,#409225,#409226); #360962=AXIS2_PLACEMENT_3D('',#527737,#409230,#409231); #360963=AXIS2_PLACEMENT_3D('',#527743,#409235,#409236); #360964=AXIS2_PLACEMENT_3D('',#527749,#409240,#409241); #360965=AXIS2_PLACEMENT_3D('',#527755,#409245,#409246); #360966=AXIS2_PLACEMENT_3D('',#527761,#409250,#409251); #360967=AXIS2_PLACEMENT_3D('',#527767,#409255,#409256); #360968=AXIS2_PLACEMENT_3D('',#527773,#409260,#409261); #360969=AXIS2_PLACEMENT_3D('',#527779,#409265,#409266); #360970=AXIS2_PLACEMENT_3D('',#527785,#409270,#409271); #360971=AXIS2_PLACEMENT_3D('',#527791,#409275,#409276); #360972=AXIS2_PLACEMENT_3D('',#527797,#409280,#409281); #360973=AXIS2_PLACEMENT_3D('',#527803,#409285,#409286); #360974=AXIS2_PLACEMENT_3D('',#527809,#409290,#409291); #360975=AXIS2_PLACEMENT_3D('',#527815,#409295,#409296); #360976=AXIS2_PLACEMENT_3D('',#527821,#409300,#409301); #360977=AXIS2_PLACEMENT_3D('',#527827,#409305,#409306); #360978=AXIS2_PLACEMENT_3D('',#527833,#409310,#409311); #360979=AXIS2_PLACEMENT_3D('',#527839,#409315,#409316); #360980=AXIS2_PLACEMENT_3D('',#527845,#409320,#409321); #360981=AXIS2_PLACEMENT_3D('',#527851,#409325,#409326); #360982=AXIS2_PLACEMENT_3D('',#527857,#409330,#409331); #360983=AXIS2_PLACEMENT_3D('',#527863,#409335,#409336); #360984=AXIS2_PLACEMENT_3D('',#527869,#409340,#409341); #360985=AXIS2_PLACEMENT_3D('',#527875,#409345,#409346); #360986=AXIS2_PLACEMENT_3D('',#527881,#409350,#409351); #360987=AXIS2_PLACEMENT_3D('',#527887,#409355,#409356); #360988=AXIS2_PLACEMENT_3D('',#527893,#409360,#409361); #360989=AXIS2_PLACEMENT_3D('',#527899,#409365,#409366); #360990=AXIS2_PLACEMENT_3D('',#527905,#409370,#409371); #360991=AXIS2_PLACEMENT_3D('',#527911,#409375,#409376); #360992=AXIS2_PLACEMENT_3D('',#527917,#409380,#409381); #360993=AXIS2_PLACEMENT_3D('',#527923,#409385,#409386); #360994=AXIS2_PLACEMENT_3D('',#527929,#409390,#409391); #360995=AXIS2_PLACEMENT_3D('',#527935,#409395,#409396); #360996=AXIS2_PLACEMENT_3D('',#527941,#409400,#409401); #360997=AXIS2_PLACEMENT_3D('',#527947,#409405,#409406); #360998=AXIS2_PLACEMENT_3D('',#527953,#409410,#409411); #360999=AXIS2_PLACEMENT_3D('',#527959,#409415,#409416); #361000=AXIS2_PLACEMENT_3D('',#527965,#409420,#409421); #361001=AXIS2_PLACEMENT_3D('',#527971,#409425,#409426); #361002=AXIS2_PLACEMENT_3D('',#527977,#409430,#409431); #361003=AXIS2_PLACEMENT_3D('',#527983,#409435,#409436); #361004=AXIS2_PLACEMENT_3D('',#527989,#409440,#409441); #361005=AXIS2_PLACEMENT_3D('',#527995,#409445,#409446); #361006=AXIS2_PLACEMENT_3D('',#528001,#409450,#409451); #361007=AXIS2_PLACEMENT_3D('',#528007,#409455,#409456); #361008=AXIS2_PLACEMENT_3D('',#528013,#409460,#409461); #361009=AXIS2_PLACEMENT_3D('',#528019,#409465,#409466); #361010=AXIS2_PLACEMENT_3D('',#528025,#409470,#409471); #361011=AXIS2_PLACEMENT_3D('',#528031,#409475,#409476); #361012=AXIS2_PLACEMENT_3D('',#528037,#409480,#409481); #361013=AXIS2_PLACEMENT_3D('',#528043,#409485,#409486); #361014=AXIS2_PLACEMENT_3D('',#528049,#409490,#409491); #361015=AXIS2_PLACEMENT_3D('',#528055,#409495,#409496); #361016=AXIS2_PLACEMENT_3D('',#528061,#409500,#409501); #361017=AXIS2_PLACEMENT_3D('',#528067,#409505,#409506); #361018=AXIS2_PLACEMENT_3D('',#528073,#409510,#409511); #361019=AXIS2_PLACEMENT_3D('',#528079,#409515,#409516); #361020=AXIS2_PLACEMENT_3D('',#528085,#409520,#409521); #361021=AXIS2_PLACEMENT_3D('',#528091,#409525,#409526); #361022=AXIS2_PLACEMENT_3D('',#528097,#409530,#409531); #361023=AXIS2_PLACEMENT_3D('',#528103,#409535,#409536); #361024=AXIS2_PLACEMENT_3D('',#528109,#409540,#409541); #361025=AXIS2_PLACEMENT_3D('',#528115,#409545,#409546); #361026=AXIS2_PLACEMENT_3D('',#528121,#409550,#409551); #361027=AXIS2_PLACEMENT_3D('',#528127,#409555,#409556); #361028=AXIS2_PLACEMENT_3D('',#528133,#409560,#409561); #361029=AXIS2_PLACEMENT_3D('',#528139,#409565,#409566); #361030=AXIS2_PLACEMENT_3D('',#528145,#409570,#409571); #361031=AXIS2_PLACEMENT_3D('',#528151,#409575,#409576); #361032=AXIS2_PLACEMENT_3D('',#528157,#409580,#409581); #361033=AXIS2_PLACEMENT_3D('',#528163,#409585,#409586); #361034=AXIS2_PLACEMENT_3D('',#528169,#409590,#409591); #361035=AXIS2_PLACEMENT_3D('',#528175,#409595,#409596); #361036=AXIS2_PLACEMENT_3D('',#528181,#409600,#409601); #361037=AXIS2_PLACEMENT_3D('',#528187,#409605,#409606); #361038=AXIS2_PLACEMENT_3D('',#528193,#409610,#409611); #361039=AXIS2_PLACEMENT_3D('',#528199,#409615,#409616); #361040=AXIS2_PLACEMENT_3D('',#528205,#409620,#409621); #361041=AXIS2_PLACEMENT_3D('',#528211,#409625,#409626); #361042=AXIS2_PLACEMENT_3D('',#528217,#409630,#409631); #361043=AXIS2_PLACEMENT_3D('',#528223,#409635,#409636); #361044=AXIS2_PLACEMENT_3D('',#528229,#409640,#409641); #361045=AXIS2_PLACEMENT_3D('',#528235,#409645,#409646); #361046=AXIS2_PLACEMENT_3D('',#528241,#409650,#409651); #361047=AXIS2_PLACEMENT_3D('',#528247,#409655,#409656); #361048=AXIS2_PLACEMENT_3D('',#528253,#409660,#409661); #361049=AXIS2_PLACEMENT_3D('',#528259,#409665,#409666); #361050=AXIS2_PLACEMENT_3D('',#528265,#409670,#409671); #361051=AXIS2_PLACEMENT_3D('',#528271,#409675,#409676); #361052=AXIS2_PLACEMENT_3D('',#528277,#409680,#409681); #361053=AXIS2_PLACEMENT_3D('',#528283,#409685,#409686); #361054=AXIS2_PLACEMENT_3D('',#528289,#409690,#409691); #361055=AXIS2_PLACEMENT_3D('',#528295,#409695,#409696); #361056=AXIS2_PLACEMENT_3D('',#528301,#409700,#409701); #361057=AXIS2_PLACEMENT_3D('',#528307,#409705,#409706); #361058=AXIS2_PLACEMENT_3D('',#528313,#409710,#409711); #361059=AXIS2_PLACEMENT_3D('',#528319,#409715,#409716); #361060=AXIS2_PLACEMENT_3D('',#528325,#409720,#409721); #361061=AXIS2_PLACEMENT_3D('',#528331,#409725,#409726); #361062=AXIS2_PLACEMENT_3D('',#528334,#409729,#409730); #361063=AXIS2_PLACEMENT_3D('',#528335,#409731,#409732); #361064=AXIS2_PLACEMENT_3D('',#528336,#409733,#409734); #361065=AXIS2_PLACEMENT_3D('',#528345,#409739,#409740); #361066=AXIS2_PLACEMENT_3D('',#528351,#409744,#409745); #361067=AXIS2_PLACEMENT_3D('',#528357,#409749,#409750); #361068=AXIS2_PLACEMENT_3D('',#528363,#409754,#409755); #361069=AXIS2_PLACEMENT_3D('',#528369,#409759,#409760); #361070=AXIS2_PLACEMENT_3D('',#528375,#409764,#409765); #361071=AXIS2_PLACEMENT_3D('',#528381,#409769,#409770); #361072=AXIS2_PLACEMENT_3D('',#528387,#409774,#409775); #361073=AXIS2_PLACEMENT_3D('',#528393,#409779,#409780); #361074=AXIS2_PLACEMENT_3D('',#528399,#409784,#409785); #361075=AXIS2_PLACEMENT_3D('',#528405,#409789,#409790); #361076=AXIS2_PLACEMENT_3D('',#528411,#409794,#409795); #361077=AXIS2_PLACEMENT_3D('',#528417,#409799,#409800); #361078=AXIS2_PLACEMENT_3D('',#528423,#409804,#409805); #361079=AXIS2_PLACEMENT_3D('',#528429,#409809,#409810); #361080=AXIS2_PLACEMENT_3D('',#528435,#409814,#409815); #361081=AXIS2_PLACEMENT_3D('',#528441,#409819,#409820); #361082=AXIS2_PLACEMENT_3D('',#528447,#409824,#409825); #361083=AXIS2_PLACEMENT_3D('',#528453,#409829,#409830); #361084=AXIS2_PLACEMENT_3D('',#528459,#409834,#409835); #361085=AXIS2_PLACEMENT_3D('',#528462,#409838,#409839); #361086=AXIS2_PLACEMENT_3D('',#528463,#409840,#409841); #361087=AXIS2_PLACEMENT_3D('',#528464,#409842,#409843); #361088=AXIS2_PLACEMENT_3D('',#528473,#409848,#409849); #361089=AXIS2_PLACEMENT_3D('',#528479,#409853,#409854); #361090=AXIS2_PLACEMENT_3D('',#528485,#409858,#409859); #361091=AXIS2_PLACEMENT_3D('',#528491,#409863,#409864); #361092=AXIS2_PLACEMENT_3D('',#528497,#409868,#409869); #361093=AXIS2_PLACEMENT_3D('',#528503,#409873,#409874); #361094=AXIS2_PLACEMENT_3D('',#528509,#409878,#409879); #361095=AXIS2_PLACEMENT_3D('',#528515,#409883,#409884); #361096=AXIS2_PLACEMENT_3D('',#528521,#409888,#409889); #361097=AXIS2_PLACEMENT_3D('',#528527,#409893,#409894); #361098=AXIS2_PLACEMENT_3D('',#528533,#409898,#409899); #361099=AXIS2_PLACEMENT_3D('',#528539,#409903,#409904); #361100=AXIS2_PLACEMENT_3D('',#528545,#409908,#409909); #361101=AXIS2_PLACEMENT_3D('',#528551,#409913,#409914); #361102=AXIS2_PLACEMENT_3D('',#528557,#409918,#409919); #361103=AXIS2_PLACEMENT_3D('',#528563,#409923,#409924); #361104=AXIS2_PLACEMENT_3D('',#528569,#409928,#409929); #361105=AXIS2_PLACEMENT_3D('',#528575,#409933,#409934); #361106=AXIS2_PLACEMENT_3D('',#528581,#409938,#409939); #361107=AXIS2_PLACEMENT_3D('',#528587,#409943,#409944); #361108=AXIS2_PLACEMENT_3D('',#528590,#409947,#409948); #361109=AXIS2_PLACEMENT_3D('',#528591,#409949,#409950); #361110=AXIS2_PLACEMENT_3D('',#528592,#409951,#409952); #361111=AXIS2_PLACEMENT_3D('',#528601,#409957,#409958); #361112=AXIS2_PLACEMENT_3D('',#528607,#409962,#409963); #361113=AXIS2_PLACEMENT_3D('',#528613,#409967,#409968); #361114=AXIS2_PLACEMENT_3D('',#528619,#409972,#409973); #361115=AXIS2_PLACEMENT_3D('',#528625,#409977,#409978); #361116=AXIS2_PLACEMENT_3D('',#528631,#409982,#409983); #361117=AXIS2_PLACEMENT_3D('',#528637,#409987,#409988); #361118=AXIS2_PLACEMENT_3D('',#528643,#409992,#409993); #361119=AXIS2_PLACEMENT_3D('',#528649,#409997,#409998); #361120=AXIS2_PLACEMENT_3D('',#528655,#410002,#410003); #361121=AXIS2_PLACEMENT_3D('',#528661,#410007,#410008); #361122=AXIS2_PLACEMENT_3D('',#528667,#410012,#410013); #361123=AXIS2_PLACEMENT_3D('',#528673,#410017,#410018); #361124=AXIS2_PLACEMENT_3D('',#528679,#410022,#410023); #361125=AXIS2_PLACEMENT_3D('',#528685,#410027,#410028); #361126=AXIS2_PLACEMENT_3D('',#528691,#410032,#410033); #361127=AXIS2_PLACEMENT_3D('',#528697,#410037,#410038); #361128=AXIS2_PLACEMENT_3D('',#528703,#410042,#410043); #361129=AXIS2_PLACEMENT_3D('',#528706,#410046,#410047); #361130=AXIS2_PLACEMENT_3D('',#528707,#410048,#410049); #361131=AXIS2_PLACEMENT_3D('',#528708,#410050,#410051); #361132=AXIS2_PLACEMENT_3D('',#528717,#410056,#410057); #361133=AXIS2_PLACEMENT_3D('',#528723,#410061,#410062); #361134=AXIS2_PLACEMENT_3D('',#528729,#410066,#410067); #361135=AXIS2_PLACEMENT_3D('',#528735,#410071,#410072); #361136=AXIS2_PLACEMENT_3D('',#528741,#410076,#410077); #361137=AXIS2_PLACEMENT_3D('',#528747,#410081,#410082); #361138=AXIS2_PLACEMENT_3D('',#528753,#410086,#410087); #361139=AXIS2_PLACEMENT_3D('',#528759,#410091,#410092); #361140=AXIS2_PLACEMENT_3D('',#528765,#410096,#410097); #361141=AXIS2_PLACEMENT_3D('',#528771,#410101,#410102); #361142=AXIS2_PLACEMENT_3D('',#528777,#410106,#410107); #361143=AXIS2_PLACEMENT_3D('',#528783,#410111,#410112); #361144=AXIS2_PLACEMENT_3D('',#528789,#410116,#410117); #361145=AXIS2_PLACEMENT_3D('',#528795,#410121,#410122); #361146=AXIS2_PLACEMENT_3D('',#528801,#410126,#410127); #361147=AXIS2_PLACEMENT_3D('',#528807,#410131,#410132); #361148=AXIS2_PLACEMENT_3D('',#528813,#410136,#410137); #361149=AXIS2_PLACEMENT_3D('',#528819,#410141,#410142); #361150=AXIS2_PLACEMENT_3D('',#528822,#410145,#410146); #361151=AXIS2_PLACEMENT_3D('',#528823,#410147,#410148); #361152=AXIS2_PLACEMENT_3D('',#528824,#410149,#410150); #361153=AXIS2_PLACEMENT_3D('',#528833,#410155,#410156); #361154=AXIS2_PLACEMENT_3D('',#528839,#410160,#410161); #361155=AXIS2_PLACEMENT_3D('',#528845,#410165,#410166); #361156=AXIS2_PLACEMENT_3D('',#528851,#410170,#410171); #361157=AXIS2_PLACEMENT_3D('',#528857,#410175,#410176); #361158=AXIS2_PLACEMENT_3D('',#528863,#410180,#410181); #361159=AXIS2_PLACEMENT_3D('',#528869,#410185,#410186); #361160=AXIS2_PLACEMENT_3D('',#528875,#410190,#410191); #361161=AXIS2_PLACEMENT_3D('',#528881,#410195,#410196); #361162=AXIS2_PLACEMENT_3D('',#528887,#410200,#410201); #361163=AXIS2_PLACEMENT_3D('',#528893,#410205,#410206); #361164=AXIS2_PLACEMENT_3D('',#528899,#410210,#410211); #361165=AXIS2_PLACEMENT_3D('',#528905,#410215,#410216); #361166=AXIS2_PLACEMENT_3D('',#528911,#410220,#410221); #361167=AXIS2_PLACEMENT_3D('',#528917,#410225,#410226); #361168=AXIS2_PLACEMENT_3D('',#528923,#410230,#410231); #361169=AXIS2_PLACEMENT_3D('',#528929,#410235,#410236); #361170=AXIS2_PLACEMENT_3D('',#528935,#410240,#410241); #361171=AXIS2_PLACEMENT_3D('',#528938,#410244,#410245); #361172=AXIS2_PLACEMENT_3D('',#528939,#410246,#410247); #361173=AXIS2_PLACEMENT_3D('',#528940,#410248,#410249); #361174=AXIS2_PLACEMENT_3D('',#528949,#410254,#410255); #361175=AXIS2_PLACEMENT_3D('',#528955,#410259,#410260); #361176=AXIS2_PLACEMENT_3D('',#528961,#410264,#410265); #361177=AXIS2_PLACEMENT_3D('',#528967,#410269,#410270); #361178=AXIS2_PLACEMENT_3D('',#528973,#410274,#410275); #361179=AXIS2_PLACEMENT_3D('',#528979,#410279,#410280); #361180=AXIS2_PLACEMENT_3D('',#528985,#410284,#410285); #361181=AXIS2_PLACEMENT_3D('',#528991,#410289,#410290); #361182=AXIS2_PLACEMENT_3D('',#528997,#410294,#410295); #361183=AXIS2_PLACEMENT_3D('',#529003,#410299,#410300); #361184=AXIS2_PLACEMENT_3D('',#529009,#410304,#410305); #361185=AXIS2_PLACEMENT_3D('',#529015,#410309,#410310); #361186=AXIS2_PLACEMENT_3D('',#529021,#410314,#410315); #361187=AXIS2_PLACEMENT_3D('',#529027,#410319,#410320); #361188=AXIS2_PLACEMENT_3D('',#529033,#410324,#410325); #361189=AXIS2_PLACEMENT_3D('',#529039,#410329,#410330); #361190=AXIS2_PLACEMENT_3D('',#529045,#410334,#410335); #361191=AXIS2_PLACEMENT_3D('',#529051,#410339,#410340); #361192=AXIS2_PLACEMENT_3D('',#529057,#410344,#410345); #361193=AXIS2_PLACEMENT_3D('',#529063,#410349,#410350); #361194=AXIS2_PLACEMENT_3D('',#529069,#410354,#410355); #361195=AXIS2_PLACEMENT_3D('',#529075,#410359,#410360); #361196=AXIS2_PLACEMENT_3D('',#529081,#410364,#410365); #361197=AXIS2_PLACEMENT_3D('',#529087,#410369,#410370); #361198=AXIS2_PLACEMENT_3D('',#529093,#410374,#410375); #361199=AXIS2_PLACEMENT_3D('',#529099,#410379,#410380); #361200=AXIS2_PLACEMENT_3D('',#529105,#410384,#410385); #361201=AXIS2_PLACEMENT_3D('',#529111,#410389,#410390); #361202=AXIS2_PLACEMENT_3D('',#529117,#410394,#410395); #361203=AXIS2_PLACEMENT_3D('',#529123,#410399,#410400); #361204=AXIS2_PLACEMENT_3D('',#529129,#410404,#410405); #361205=AXIS2_PLACEMENT_3D('',#529135,#410409,#410410); #361206=AXIS2_PLACEMENT_3D('',#529141,#410414,#410415); #361207=AXIS2_PLACEMENT_3D('',#529147,#410419,#410420); #361208=AXIS2_PLACEMENT_3D('',#529153,#410424,#410425); #361209=AXIS2_PLACEMENT_3D('',#529159,#410429,#410430); #361210=AXIS2_PLACEMENT_3D('',#529162,#410433,#410434); #361211=AXIS2_PLACEMENT_3D('',#529163,#410435,#410436); #361212=AXIS2_PLACEMENT_3D('',#529164,#410437,#410438); #361213=AXIS2_PLACEMENT_3D('',#529173,#410443,#410444); #361214=AXIS2_PLACEMENT_3D('',#529179,#410448,#410449); #361215=AXIS2_PLACEMENT_3D('',#529185,#410453,#410454); #361216=AXIS2_PLACEMENT_3D('',#529191,#410458,#410459); #361217=AXIS2_PLACEMENT_3D('',#529197,#410463,#410464); #361218=AXIS2_PLACEMENT_3D('',#529203,#410468,#410469); #361219=AXIS2_PLACEMENT_3D('',#529209,#410473,#410474); #361220=AXIS2_PLACEMENT_3D('',#529215,#410478,#410479); #361221=AXIS2_PLACEMENT_3D('',#529221,#410483,#410484); #361222=AXIS2_PLACEMENT_3D('',#529227,#410488,#410489); #361223=AXIS2_PLACEMENT_3D('',#529233,#410493,#410494); #361224=AXIS2_PLACEMENT_3D('',#529239,#410498,#410499); #361225=AXIS2_PLACEMENT_3D('',#529245,#410503,#410504); #361226=AXIS2_PLACEMENT_3D('',#529251,#410508,#410509); #361227=AXIS2_PLACEMENT_3D('',#529257,#410513,#410514); #361228=AXIS2_PLACEMENT_3D('',#529263,#410518,#410519); #361229=AXIS2_PLACEMENT_3D('',#529269,#410523,#410524); #361230=AXIS2_PLACEMENT_3D('',#529275,#410528,#410529); #361231=AXIS2_PLACEMENT_3D('',#529281,#410533,#410534); #361232=AXIS2_PLACEMENT_3D('',#529284,#410537,#410538); #361233=AXIS2_PLACEMENT_3D('',#529285,#410539,#410540); #361234=AXIS2_PLACEMENT_3D('',#529286,#410541,#410542); #361235=AXIS2_PLACEMENT_3D('',#529295,#410547,#410548); #361236=AXIS2_PLACEMENT_3D('',#529301,#410552,#410553); #361237=AXIS2_PLACEMENT_3D('',#529307,#410557,#410558); #361238=AXIS2_PLACEMENT_3D('',#529313,#410562,#410563); #361239=AXIS2_PLACEMENT_3D('',#529319,#410567,#410568); #361240=AXIS2_PLACEMENT_3D('',#529325,#410572,#410573); #361241=AXIS2_PLACEMENT_3D('',#529331,#410577,#410578); #361242=AXIS2_PLACEMENT_3D('',#529337,#410582,#410583); #361243=AXIS2_PLACEMENT_3D('',#529343,#410587,#410588); #361244=AXIS2_PLACEMENT_3D('',#529349,#410592,#410593); #361245=AXIS2_PLACEMENT_3D('',#529355,#410597,#410598); #361246=AXIS2_PLACEMENT_3D('',#529361,#410602,#410603); #361247=AXIS2_PLACEMENT_3D('',#529367,#410607,#410608); #361248=AXIS2_PLACEMENT_3D('',#529373,#410612,#410613); #361249=AXIS2_PLACEMENT_3D('',#529379,#410617,#410618); #361250=AXIS2_PLACEMENT_3D('',#529385,#410622,#410623); #361251=AXIS2_PLACEMENT_3D('',#529391,#410627,#410628); #361252=AXIS2_PLACEMENT_3D('',#529397,#410632,#410633); #361253=AXIS2_PLACEMENT_3D('',#529403,#410637,#410638); #361254=AXIS2_PLACEMENT_3D('',#529409,#410642,#410643); #361255=AXIS2_PLACEMENT_3D('',#529415,#410647,#410648); #361256=AXIS2_PLACEMENT_3D('',#529421,#410652,#410653); #361257=AXIS2_PLACEMENT_3D('',#529427,#410657,#410658); #361258=AXIS2_PLACEMENT_3D('',#529433,#410662,#410663); #361259=AXIS2_PLACEMENT_3D('',#529439,#410667,#410668); #361260=AXIS2_PLACEMENT_3D('',#529445,#410672,#410673); #361261=AXIS2_PLACEMENT_3D('',#529451,#410677,#410678); #361262=AXIS2_PLACEMENT_3D('',#529457,#410682,#410683); #361263=AXIS2_PLACEMENT_3D('',#529463,#410687,#410688); #361264=AXIS2_PLACEMENT_3D('',#529469,#410692,#410693); #361265=AXIS2_PLACEMENT_3D('',#529475,#410697,#410698); #361266=AXIS2_PLACEMENT_3D('',#529481,#410702,#410703); #361267=AXIS2_PLACEMENT_3D('',#529487,#410707,#410708); #361268=AXIS2_PLACEMENT_3D('',#529493,#410712,#410713); #361269=AXIS2_PLACEMENT_3D('',#529499,#410717,#410718); #361270=AXIS2_PLACEMENT_3D('',#529505,#410722,#410723); #361271=AXIS2_PLACEMENT_3D('',#529511,#410727,#410728); #361272=AXIS2_PLACEMENT_3D('',#529517,#410732,#410733); #361273=AXIS2_PLACEMENT_3D('',#529523,#410737,#410738); #361274=AXIS2_PLACEMENT_3D('',#529529,#410742,#410743); #361275=AXIS2_PLACEMENT_3D('',#529535,#410747,#410748); #361276=AXIS2_PLACEMENT_3D('',#529541,#410752,#410753); #361277=AXIS2_PLACEMENT_3D('',#529547,#410757,#410758); #361278=AXIS2_PLACEMENT_3D('',#529553,#410762,#410763); #361279=AXIS2_PLACEMENT_3D('',#529559,#410767,#410768); #361280=AXIS2_PLACEMENT_3D('',#529565,#410772,#410773); #361281=AXIS2_PLACEMENT_3D('',#529571,#410777,#410778); #361282=AXIS2_PLACEMENT_3D('',#529574,#410781,#410782); #361283=AXIS2_PLACEMENT_3D('',#529575,#410783,#410784); #361284=AXIS2_PLACEMENT_3D('',#529576,#410785,#410786); #361285=AXIS2_PLACEMENT_3D('',#529585,#410791,#410792); #361286=AXIS2_PLACEMENT_3D('',#529591,#410796,#410797); #361287=AXIS2_PLACEMENT_3D('',#529597,#410801,#410802); #361288=AXIS2_PLACEMENT_3D('',#529603,#410806,#410807); #361289=AXIS2_PLACEMENT_3D('',#529609,#410811,#410812); #361290=AXIS2_PLACEMENT_3D('',#529615,#410816,#410817); #361291=AXIS2_PLACEMENT_3D('',#529621,#410821,#410822); #361292=AXIS2_PLACEMENT_3D('',#529627,#410826,#410827); #361293=AXIS2_PLACEMENT_3D('',#529633,#410831,#410832); #361294=AXIS2_PLACEMENT_3D('',#529639,#410836,#410837); #361295=AXIS2_PLACEMENT_3D('',#529645,#410841,#410842); #361296=AXIS2_PLACEMENT_3D('',#529651,#410846,#410847); #361297=AXIS2_PLACEMENT_3D('',#529657,#410851,#410852); #361298=AXIS2_PLACEMENT_3D('',#529663,#410856,#410857); #361299=AXIS2_PLACEMENT_3D('',#529669,#410861,#410862); #361300=AXIS2_PLACEMENT_3D('',#529675,#410866,#410867); #361301=AXIS2_PLACEMENT_3D('',#529681,#410871,#410872); #361302=AXIS2_PLACEMENT_3D('',#529687,#410876,#410877); #361303=AXIS2_PLACEMENT_3D('',#529693,#410881,#410882); #361304=AXIS2_PLACEMENT_3D('',#529696,#410885,#410886); #361305=AXIS2_PLACEMENT_3D('',#529697,#410887,#410888); #361306=AXIS2_PLACEMENT_3D('',#529698,#410889,#410890); #361307=AXIS2_PLACEMENT_3D('',#529707,#410895,#410896); #361308=AXIS2_PLACEMENT_3D('',#529713,#410900,#410901); #361309=AXIS2_PLACEMENT_3D('',#529719,#410905,#410906); #361310=AXIS2_PLACEMENT_3D('',#529725,#410910,#410911); #361311=AXIS2_PLACEMENT_3D('',#529731,#410915,#410916); #361312=AXIS2_PLACEMENT_3D('',#529737,#410920,#410921); #361313=AXIS2_PLACEMENT_3D('',#529743,#410925,#410926); #361314=AXIS2_PLACEMENT_3D('',#529749,#410930,#410931); #361315=AXIS2_PLACEMENT_3D('',#529755,#410935,#410936); #361316=AXIS2_PLACEMENT_3D('',#529761,#410940,#410941); #361317=AXIS2_PLACEMENT_3D('',#529767,#410945,#410946); #361318=AXIS2_PLACEMENT_3D('',#529773,#410950,#410951); #361319=AXIS2_PLACEMENT_3D('',#529779,#410955,#410956); #361320=AXIS2_PLACEMENT_3D('',#529785,#410960,#410961); #361321=AXIS2_PLACEMENT_3D('',#529791,#410965,#410966); #361322=AXIS2_PLACEMENT_3D('',#529797,#410970,#410971); #361323=AXIS2_PLACEMENT_3D('',#529803,#410975,#410976); #361324=AXIS2_PLACEMENT_3D('',#529809,#410980,#410981); #361325=AXIS2_PLACEMENT_3D('',#529815,#410985,#410986); #361326=AXIS2_PLACEMENT_3D('',#529821,#410990,#410991); #361327=AXIS2_PLACEMENT_3D('',#529827,#410995,#410996); #361328=AXIS2_PLACEMENT_3D('',#529833,#411000,#411001); #361329=AXIS2_PLACEMENT_3D('',#529839,#411005,#411006); #361330=AXIS2_PLACEMENT_3D('',#529845,#411010,#411011); #361331=AXIS2_PLACEMENT_3D('',#529851,#411015,#411016); #361332=AXIS2_PLACEMENT_3D('',#529857,#411020,#411021); #361333=AXIS2_PLACEMENT_3D('',#529863,#411025,#411026); #361334=AXIS2_PLACEMENT_3D('',#529869,#411030,#411031); #361335=AXIS2_PLACEMENT_3D('',#529875,#411035,#411036); #361336=AXIS2_PLACEMENT_3D('',#529881,#411040,#411041); #361337=AXIS2_PLACEMENT_3D('',#529884,#411044,#411045); #361338=AXIS2_PLACEMENT_3D('',#529885,#411046,#411047); #361339=AXIS2_PLACEMENT_3D('',#529886,#411048,#411049); #361340=AXIS2_PLACEMENT_3D('',#529895,#411054,#411055); #361341=AXIS2_PLACEMENT_3D('',#529901,#411059,#411060); #361342=AXIS2_PLACEMENT_3D('',#529907,#411064,#411065); #361343=AXIS2_PLACEMENT_3D('',#529913,#411069,#411070); #361344=AXIS2_PLACEMENT_3D('',#529919,#411074,#411075); #361345=AXIS2_PLACEMENT_3D('',#529925,#411079,#411080); #361346=AXIS2_PLACEMENT_3D('',#529931,#411084,#411085); #361347=AXIS2_PLACEMENT_3D('',#529937,#411089,#411090); #361348=AXIS2_PLACEMENT_3D('',#529943,#411094,#411095); #361349=AXIS2_PLACEMENT_3D('',#529949,#411099,#411100); #361350=AXIS2_PLACEMENT_3D('',#529955,#411104,#411105); #361351=AXIS2_PLACEMENT_3D('',#529961,#411109,#411110); #361352=AXIS2_PLACEMENT_3D('',#529967,#411114,#411115); #361353=AXIS2_PLACEMENT_3D('',#529973,#411119,#411120); #361354=AXIS2_PLACEMENT_3D('',#529979,#411124,#411125); #361355=AXIS2_PLACEMENT_3D('',#529985,#411129,#411130); #361356=AXIS2_PLACEMENT_3D('',#529991,#411134,#411135); #361357=AXIS2_PLACEMENT_3D('',#529997,#411139,#411140); #361358=AXIS2_PLACEMENT_3D('',#530003,#411144,#411145); #361359=AXIS2_PLACEMENT_3D('',#530006,#411148,#411149); #361360=AXIS2_PLACEMENT_3D('',#530007,#411150,#411151); #361361=AXIS2_PLACEMENT_3D('',#530008,#411152,#411153); #361362=AXIS2_PLACEMENT_3D('',#530017,#411158,#411159); #361363=AXIS2_PLACEMENT_3D('',#530023,#411163,#411164); #361364=AXIS2_PLACEMENT_3D('',#530029,#411168,#411169); #361365=AXIS2_PLACEMENT_3D('',#530035,#411173,#411174); #361366=AXIS2_PLACEMENT_3D('',#530041,#411178,#411179); #361367=AXIS2_PLACEMENT_3D('',#530047,#411183,#411184); #361368=AXIS2_PLACEMENT_3D('',#530053,#411188,#411189); #361369=AXIS2_PLACEMENT_3D('',#530059,#411193,#411194); #361370=AXIS2_PLACEMENT_3D('',#530065,#411198,#411199); #361371=AXIS2_PLACEMENT_3D('',#530071,#411203,#411204); #361372=AXIS2_PLACEMENT_3D('',#530077,#411208,#411209); #361373=AXIS2_PLACEMENT_3D('',#530083,#411213,#411214); #361374=AXIS2_PLACEMENT_3D('',#530089,#411218,#411219); #361375=AXIS2_PLACEMENT_3D('',#530095,#411223,#411224); #361376=AXIS2_PLACEMENT_3D('',#530101,#411228,#411229); #361377=AXIS2_PLACEMENT_3D('',#530107,#411233,#411234); #361378=AXIS2_PLACEMENT_3D('',#530113,#411238,#411239); #361379=AXIS2_PLACEMENT_3D('',#530119,#411243,#411244); #361380=AXIS2_PLACEMENT_3D('',#530125,#411248,#411249); #361381=AXIS2_PLACEMENT_3D('',#530131,#411253,#411254); #361382=AXIS2_PLACEMENT_3D('',#530137,#411258,#411259); #361383=AXIS2_PLACEMENT_3D('',#530143,#411263,#411264); #361384=AXIS2_PLACEMENT_3D('',#530149,#411268,#411269); #361385=AXIS2_PLACEMENT_3D('',#530155,#411273,#411274); #361386=AXIS2_PLACEMENT_3D('',#530161,#411278,#411279); #361387=AXIS2_PLACEMENT_3D('',#530167,#411283,#411284); #361388=AXIS2_PLACEMENT_3D('',#530173,#411288,#411289); #361389=AXIS2_PLACEMENT_3D('',#530179,#411293,#411294); #361390=AXIS2_PLACEMENT_3D('',#530185,#411298,#411299); #361391=AXIS2_PLACEMENT_3D('',#530191,#411303,#411304); #361392=AXIS2_PLACEMENT_3D('',#530194,#411307,#411308); #361393=AXIS2_PLACEMENT_3D('',#530195,#411309,#411310); #361394=AXIS2_PLACEMENT_3D('',#530196,#411311,#411312); #361395=AXIS2_PLACEMENT_3D('',#530205,#411317,#411318); #361396=AXIS2_PLACEMENT_3D('',#530211,#411322,#411323); #361397=AXIS2_PLACEMENT_3D('',#530217,#411327,#411328); #361398=AXIS2_PLACEMENT_3D('',#530223,#411332,#411333); #361399=AXIS2_PLACEMENT_3D('',#530229,#411337,#411338); #361400=AXIS2_PLACEMENT_3D('',#530235,#411342,#411343); #361401=AXIS2_PLACEMENT_3D('',#530241,#411347,#411348); #361402=AXIS2_PLACEMENT_3D('',#530247,#411352,#411353); #361403=AXIS2_PLACEMENT_3D('',#530253,#411357,#411358); #361404=AXIS2_PLACEMENT_3D('',#530259,#411362,#411363); #361405=AXIS2_PLACEMENT_3D('',#530265,#411367,#411368); #361406=AXIS2_PLACEMENT_3D('',#530271,#411372,#411373); #361407=AXIS2_PLACEMENT_3D('',#530277,#411377,#411378); #361408=AXIS2_PLACEMENT_3D('',#530283,#411382,#411383); #361409=AXIS2_PLACEMENT_3D('',#530289,#411387,#411388); #361410=AXIS2_PLACEMENT_3D('',#530295,#411392,#411393); #361411=AXIS2_PLACEMENT_3D('',#530301,#411397,#411398); #361412=AXIS2_PLACEMENT_3D('',#530307,#411402,#411403); #361413=AXIS2_PLACEMENT_3D('',#530313,#411407,#411408); #361414=AXIS2_PLACEMENT_3D('',#530319,#411412,#411413); #361415=AXIS2_PLACEMENT_3D('',#530322,#411416,#411417); #361416=AXIS2_PLACEMENT_3D('',#530323,#411418,#411419); #361417=AXIS2_PLACEMENT_3D('',#530324,#411420,#411421); #361418=AXIS2_PLACEMENT_3D('',#530333,#411426,#411427); #361419=AXIS2_PLACEMENT_3D('',#530339,#411431,#411432); #361420=AXIS2_PLACEMENT_3D('',#530345,#411436,#411437); #361421=AXIS2_PLACEMENT_3D('',#530351,#411441,#411442); #361422=AXIS2_PLACEMENT_3D('',#530357,#411446,#411447); #361423=AXIS2_PLACEMENT_3D('',#530363,#411451,#411452); #361424=AXIS2_PLACEMENT_3D('',#530369,#411456,#411457); #361425=AXIS2_PLACEMENT_3D('',#530375,#411461,#411462); #361426=AXIS2_PLACEMENT_3D('',#530381,#411466,#411467); #361427=AXIS2_PLACEMENT_3D('',#530387,#411471,#411472); #361428=AXIS2_PLACEMENT_3D('',#530393,#411476,#411477); #361429=AXIS2_PLACEMENT_3D('',#530399,#411481,#411482); #361430=AXIS2_PLACEMENT_3D('',#530405,#411486,#411487); #361431=AXIS2_PLACEMENT_3D('',#530411,#411491,#411492); #361432=AXIS2_PLACEMENT_3D('',#530417,#411496,#411497); #361433=AXIS2_PLACEMENT_3D('',#530423,#411501,#411502); #361434=AXIS2_PLACEMENT_3D('',#530429,#411506,#411507); #361435=AXIS2_PLACEMENT_3D('',#530435,#411511,#411512); #361436=AXIS2_PLACEMENT_3D('',#530441,#411516,#411517); #361437=AXIS2_PLACEMENT_3D('',#530447,#411521,#411522); #361438=AXIS2_PLACEMENT_3D('',#530453,#411526,#411527); #361439=AXIS2_PLACEMENT_3D('',#530459,#411531,#411532); #361440=AXIS2_PLACEMENT_3D('',#530465,#411536,#411537); #361441=AXIS2_PLACEMENT_3D('',#530471,#411541,#411542); #361442=AXIS2_PLACEMENT_3D('',#530477,#411546,#411547); #361443=AXIS2_PLACEMENT_3D('',#530483,#411551,#411552); #361444=AXIS2_PLACEMENT_3D('',#530489,#411556,#411557); #361445=AXIS2_PLACEMENT_3D('',#530495,#411561,#411562); #361446=AXIS2_PLACEMENT_3D('',#530501,#411566,#411567); #361447=AXIS2_PLACEMENT_3D('',#530507,#411571,#411572); #361448=AXIS2_PLACEMENT_3D('',#530513,#411576,#411577); #361449=AXIS2_PLACEMENT_3D('',#530519,#411581,#411582); #361450=AXIS2_PLACEMENT_3D('',#530525,#411586,#411587); #361451=AXIS2_PLACEMENT_3D('',#530531,#411591,#411592); #361452=AXIS2_PLACEMENT_3D('',#530537,#411596,#411597); #361453=AXIS2_PLACEMENT_3D('',#530543,#411601,#411602); #361454=AXIS2_PLACEMENT_3D('',#530549,#411606,#411607); #361455=AXIS2_PLACEMENT_3D('',#530555,#411611,#411612); #361456=AXIS2_PLACEMENT_3D('',#530561,#411616,#411617); #361457=AXIS2_PLACEMENT_3D('',#530564,#411620,#411621); #361458=AXIS2_PLACEMENT_3D('',#530565,#411622,#411623); #361459=AXIS2_PLACEMENT_3D('',#530566,#411624,#411625); #361460=AXIS2_PLACEMENT_3D('',#530575,#411630,#411631); #361461=AXIS2_PLACEMENT_3D('',#530581,#411635,#411636); #361462=AXIS2_PLACEMENT_3D('',#530587,#411640,#411641); #361463=AXIS2_PLACEMENT_3D('',#530593,#411645,#411646); #361464=AXIS2_PLACEMENT_3D('',#530599,#411650,#411651); #361465=AXIS2_PLACEMENT_3D('',#530605,#411655,#411656); #361466=AXIS2_PLACEMENT_3D('',#530611,#411660,#411661); #361467=AXIS2_PLACEMENT_3D('',#530617,#411665,#411666); #361468=AXIS2_PLACEMENT_3D('',#530623,#411670,#411671); #361469=AXIS2_PLACEMENT_3D('',#530629,#411675,#411676); #361470=AXIS2_PLACEMENT_3D('',#530635,#411680,#411681); #361471=AXIS2_PLACEMENT_3D('',#530641,#411685,#411686); #361472=AXIS2_PLACEMENT_3D('',#530647,#411690,#411691); #361473=AXIS2_PLACEMENT_3D('',#530653,#411695,#411696); #361474=AXIS2_PLACEMENT_3D('',#530659,#411700,#411701); #361475=AXIS2_PLACEMENT_3D('',#530665,#411705,#411706); #361476=AXIS2_PLACEMENT_3D('',#530671,#411710,#411711); #361477=AXIS2_PLACEMENT_3D('',#530677,#411715,#411716); #361478=AXIS2_PLACEMENT_3D('',#530683,#411720,#411721); #361479=AXIS2_PLACEMENT_3D('',#530686,#411724,#411725); #361480=AXIS2_PLACEMENT_3D('',#530687,#411726,#411727); #361481=AXIS2_PLACEMENT_3D('',#530688,#411728,#411729); #361482=AXIS2_PLACEMENT_3D('',#530697,#411734,#411735); #361483=AXIS2_PLACEMENT_3D('',#530703,#411739,#411740); #361484=AXIS2_PLACEMENT_3D('',#530709,#411744,#411745); #361485=AXIS2_PLACEMENT_3D('',#530715,#411749,#411750); #361486=AXIS2_PLACEMENT_3D('',#530721,#411754,#411755); #361487=AXIS2_PLACEMENT_3D('',#530727,#411759,#411760); #361488=AXIS2_PLACEMENT_3D('',#530733,#411764,#411765); #361489=AXIS2_PLACEMENT_3D('',#530739,#411769,#411770); #361490=AXIS2_PLACEMENT_3D('',#530745,#411774,#411775); #361491=AXIS2_PLACEMENT_3D('',#530751,#411779,#411780); #361492=AXIS2_PLACEMENT_3D('',#530757,#411784,#411785); #361493=AXIS2_PLACEMENT_3D('',#530763,#411789,#411790); #361494=AXIS2_PLACEMENT_3D('',#530769,#411794,#411795); #361495=AXIS2_PLACEMENT_3D('',#530775,#411799,#411800); #361496=AXIS2_PLACEMENT_3D('',#530781,#411804,#411805); #361497=AXIS2_PLACEMENT_3D('',#530787,#411809,#411810); #361498=AXIS2_PLACEMENT_3D('',#530793,#411814,#411815); #361499=AXIS2_PLACEMENT_3D('',#530799,#411819,#411820); #361500=AXIS2_PLACEMENT_3D('',#530805,#411824,#411825); #361501=AXIS2_PLACEMENT_3D('',#530808,#411828,#411829); #361502=AXIS2_PLACEMENT_3D('',#530809,#411830,#411831); #361503=AXIS2_PLACEMENT_3D('',#530810,#411832,#411833); #361504=AXIS2_PLACEMENT_3D('',#530819,#411838,#411839); #361505=AXIS2_PLACEMENT_3D('',#530825,#411843,#411844); #361506=AXIS2_PLACEMENT_3D('',#530831,#411848,#411849); #361507=AXIS2_PLACEMENT_3D('',#530837,#411853,#411854); #361508=AXIS2_PLACEMENT_3D('',#530843,#411858,#411859); #361509=AXIS2_PLACEMENT_3D('',#530849,#411863,#411864); #361510=AXIS2_PLACEMENT_3D('',#530855,#411868,#411869); #361511=AXIS2_PLACEMENT_3D('',#530861,#411873,#411874); #361512=AXIS2_PLACEMENT_3D('',#530867,#411878,#411879); #361513=AXIS2_PLACEMENT_3D('',#530873,#411883,#411884); #361514=AXIS2_PLACEMENT_3D('',#530879,#411888,#411889); #361515=AXIS2_PLACEMENT_3D('',#530885,#411893,#411894); #361516=AXIS2_PLACEMENT_3D('',#530891,#411898,#411899); #361517=AXIS2_PLACEMENT_3D('',#530897,#411903,#411904); #361518=AXIS2_PLACEMENT_3D('',#530903,#411908,#411909); #361519=AXIS2_PLACEMENT_3D('',#530909,#411913,#411914); #361520=AXIS2_PLACEMENT_3D('',#530915,#411918,#411919); #361521=AXIS2_PLACEMENT_3D('',#530921,#411923,#411924); #361522=AXIS2_PLACEMENT_3D('',#530927,#411928,#411929); #361523=AXIS2_PLACEMENT_3D('',#530930,#411932,#411933); #361524=AXIS2_PLACEMENT_3D('',#530931,#411934,#411935); #361525=AXIS2_PLACEMENT_3D('',#530932,#411936,#411937); #361526=AXIS2_PLACEMENT_3D('',#530941,#411942,#411943); #361527=AXIS2_PLACEMENT_3D('',#530947,#411947,#411948); #361528=AXIS2_PLACEMENT_3D('',#530953,#411952,#411953); #361529=AXIS2_PLACEMENT_3D('',#530959,#411957,#411958); #361530=AXIS2_PLACEMENT_3D('',#530965,#411962,#411963); #361531=AXIS2_PLACEMENT_3D('',#530971,#411967,#411968); #361532=AXIS2_PLACEMENT_3D('',#530977,#411972,#411973); #361533=AXIS2_PLACEMENT_3D('',#530983,#411977,#411978); #361534=AXIS2_PLACEMENT_3D('',#530989,#411982,#411983); #361535=AXIS2_PLACEMENT_3D('',#530995,#411987,#411988); #361536=AXIS2_PLACEMENT_3D('',#531001,#411992,#411993); #361537=AXIS2_PLACEMENT_3D('',#531007,#411997,#411998); #361538=AXIS2_PLACEMENT_3D('',#531013,#412002,#412003); #361539=AXIS2_PLACEMENT_3D('',#531019,#412007,#412008); #361540=AXIS2_PLACEMENT_3D('',#531025,#412012,#412013); #361541=AXIS2_PLACEMENT_3D('',#531031,#412017,#412018); #361542=AXIS2_PLACEMENT_3D('',#531037,#412022,#412023); #361543=AXIS2_PLACEMENT_3D('',#531043,#412027,#412028); #361544=AXIS2_PLACEMENT_3D('',#531049,#412032,#412033); #361545=AXIS2_PLACEMENT_3D('',#531055,#412037,#412038); #361546=AXIS2_PLACEMENT_3D('',#531061,#412042,#412043); #361547=AXIS2_PLACEMENT_3D('',#531067,#412047,#412048); #361548=AXIS2_PLACEMENT_3D('',#531073,#412052,#412053); #361549=AXIS2_PLACEMENT_3D('',#531079,#412057,#412058); #361550=AXIS2_PLACEMENT_3D('',#531085,#412062,#412063); #361551=AXIS2_PLACEMENT_3D('',#531091,#412067,#412068); #361552=AXIS2_PLACEMENT_3D('',#531097,#412072,#412073); #361553=AXIS2_PLACEMENT_3D('',#531103,#412077,#412078); #361554=AXIS2_PLACEMENT_3D('',#531109,#412082,#412083); #361555=AXIS2_PLACEMENT_3D('',#531115,#412087,#412088); #361556=AXIS2_PLACEMENT_3D('',#531121,#412092,#412093); #361557=AXIS2_PLACEMENT_3D('',#531127,#412097,#412098); #361558=AXIS2_PLACEMENT_3D('',#531133,#412102,#412103); #361559=AXIS2_PLACEMENT_3D('',#531139,#412107,#412108); #361560=AXIS2_PLACEMENT_3D('',#531145,#412112,#412113); #361561=AXIS2_PLACEMENT_3D('',#531151,#412117,#412118); #361562=AXIS2_PLACEMENT_3D('',#531157,#412122,#412123); #361563=AXIS2_PLACEMENT_3D('',#531163,#412127,#412128); #361564=AXIS2_PLACEMENT_3D('',#531169,#412132,#412133); #361565=AXIS2_PLACEMENT_3D('',#531172,#412136,#412137); #361566=AXIS2_PLACEMENT_3D('',#531173,#412138,#412139); #361567=AXIS2_PLACEMENT_3D('',#531174,#412140,#412141); #361568=AXIS2_PLACEMENT_3D('',#531176,#412142,#412143); #361569=AXIS2_PLACEMENT_3D('',#531179,#412145,#412146); #361570=AXIS2_PLACEMENT_3D('',#531180,#412147,#412148); #361571=AXIS2_PLACEMENT_3D('',#531189,#412153,#412154); #361572=AXIS2_PLACEMENT_3D('',#531191,#412155,#412156); #361573=AXIS2_PLACEMENT_3D('',#531193,#412157,#412158); #361574=AXIS2_PLACEMENT_3D('',#531195,#412160,#412161); #361575=AXIS2_PLACEMENT_3D('',#531201,#412165,#412166); #361576=AXIS2_PLACEMENT_3D('',#531202,#412167,#412168); #361577=AXIS2_PLACEMENT_3D('',#531203,#412169,#412170); #361578=AXIS2_PLACEMENT_3D('',#531204,#412171,#412172); #361579=AXIS2_PLACEMENT_3D('',#531213,#412177,#412178); #361580=AXIS2_PLACEMENT_3D('',#531219,#412182,#412183); #361581=AXIS2_PLACEMENT_3D('',#531225,#412187,#412188); #361582=AXIS2_PLACEMENT_3D('',#531231,#412192,#412193); #361583=AXIS2_PLACEMENT_3D('',#531237,#412197,#412198); #361584=AXIS2_PLACEMENT_3D('',#531243,#412202,#412203); #361585=AXIS2_PLACEMENT_3D('',#531249,#412207,#412208); #361586=AXIS2_PLACEMENT_3D('',#531255,#412212,#412213); #361587=AXIS2_PLACEMENT_3D('',#531261,#412217,#412218); #361588=AXIS2_PLACEMENT_3D('',#531267,#412222,#412223); #361589=AXIS2_PLACEMENT_3D('',#531273,#412227,#412228); #361590=AXIS2_PLACEMENT_3D('',#531279,#412232,#412233); #361591=AXIS2_PLACEMENT_3D('',#531285,#412237,#412238); #361592=AXIS2_PLACEMENT_3D('',#531291,#412242,#412243); #361593=AXIS2_PLACEMENT_3D('',#531297,#412247,#412248); #361594=AXIS2_PLACEMENT_3D('',#531303,#412252,#412253); #361595=AXIS2_PLACEMENT_3D('',#531309,#412257,#412258); #361596=AXIS2_PLACEMENT_3D('',#531315,#412262,#412263); #361597=AXIS2_PLACEMENT_3D('',#531321,#412267,#412268); #361598=AXIS2_PLACEMENT_3D('',#531327,#412272,#412273); #361599=AXIS2_PLACEMENT_3D('',#531333,#412277,#412278); #361600=AXIS2_PLACEMENT_3D('',#531339,#412282,#412283); #361601=AXIS2_PLACEMENT_3D('',#531345,#412287,#412288); #361602=AXIS2_PLACEMENT_3D('',#531351,#412292,#412293); #361603=AXIS2_PLACEMENT_3D('',#531357,#412297,#412298); #361604=AXIS2_PLACEMENT_3D('',#531363,#412302,#412303); #361605=AXIS2_PLACEMENT_3D('',#531369,#412307,#412308); #361606=AXIS2_PLACEMENT_3D('',#531375,#412312,#412313); #361607=AXIS2_PLACEMENT_3D('',#531381,#412317,#412318); #361608=AXIS2_PLACEMENT_3D('',#531387,#412322,#412323); #361609=AXIS2_PLACEMENT_3D('',#531393,#412327,#412328); #361610=AXIS2_PLACEMENT_3D('',#531399,#412332,#412333); #361611=AXIS2_PLACEMENT_3D('',#531405,#412337,#412338); #361612=AXIS2_PLACEMENT_3D('',#531411,#412342,#412343); #361613=AXIS2_PLACEMENT_3D('',#531417,#412347,#412348); #361614=AXIS2_PLACEMENT_3D('',#531423,#412352,#412353); #361615=AXIS2_PLACEMENT_3D('',#531429,#412357,#412358); #361616=AXIS2_PLACEMENT_3D('',#531435,#412362,#412363); #361617=AXIS2_PLACEMENT_3D('',#531441,#412367,#412368); #361618=AXIS2_PLACEMENT_3D('',#531447,#412372,#412373); #361619=AXIS2_PLACEMENT_3D('',#531453,#412377,#412378); #361620=AXIS2_PLACEMENT_3D('',#531459,#412382,#412383); #361621=AXIS2_PLACEMENT_3D('',#531465,#412387,#412388); #361622=AXIS2_PLACEMENT_3D('',#531471,#412392,#412393); #361623=AXIS2_PLACEMENT_3D('',#531477,#412397,#412398); #361624=AXIS2_PLACEMENT_3D('',#531483,#412402,#412403); #361625=AXIS2_PLACEMENT_3D('',#531489,#412407,#412408); #361626=AXIS2_PLACEMENT_3D('',#531495,#412412,#412413); #361627=AXIS2_PLACEMENT_3D('',#531501,#412417,#412418); #361628=AXIS2_PLACEMENT_3D('',#531507,#412422,#412423); #361629=AXIS2_PLACEMENT_3D('',#531513,#412427,#412428); #361630=AXIS2_PLACEMENT_3D('',#531519,#412432,#412433); #361631=AXIS2_PLACEMENT_3D('',#531525,#412437,#412438); #361632=AXIS2_PLACEMENT_3D('',#531531,#412442,#412443); #361633=AXIS2_PLACEMENT_3D('',#531537,#412447,#412448); #361634=AXIS2_PLACEMENT_3D('',#531543,#412452,#412453); #361635=AXIS2_PLACEMENT_3D('',#531549,#412457,#412458); #361636=AXIS2_PLACEMENT_3D('',#531555,#412462,#412463); #361637=AXIS2_PLACEMENT_3D('',#531561,#412467,#412468); #361638=AXIS2_PLACEMENT_3D('',#531567,#412472,#412473); #361639=AXIS2_PLACEMENT_3D('',#531573,#412477,#412478); #361640=AXIS2_PLACEMENT_3D('',#531579,#412482,#412483); #361641=AXIS2_PLACEMENT_3D('',#531585,#412487,#412488); #361642=AXIS2_PLACEMENT_3D('',#531591,#412492,#412493); #361643=AXIS2_PLACEMENT_3D('',#531597,#412497,#412498); #361644=AXIS2_PLACEMENT_3D('',#531603,#412502,#412503); #361645=AXIS2_PLACEMENT_3D('',#531609,#412507,#412508); #361646=AXIS2_PLACEMENT_3D('',#531615,#412512,#412513); #361647=AXIS2_PLACEMENT_3D('',#531621,#412517,#412518); #361648=AXIS2_PLACEMENT_3D('',#531627,#412522,#412523); #361649=AXIS2_PLACEMENT_3D('',#531633,#412527,#412528); #361650=AXIS2_PLACEMENT_3D('',#531639,#412532,#412533); #361651=AXIS2_PLACEMENT_3D('',#531645,#412537,#412538); #361652=AXIS2_PLACEMENT_3D('',#531651,#412542,#412543); #361653=AXIS2_PLACEMENT_3D('',#531657,#412547,#412548); #361654=AXIS2_PLACEMENT_3D('',#531663,#412552,#412553); #361655=AXIS2_PLACEMENT_3D('',#531669,#412557,#412558); #361656=AXIS2_PLACEMENT_3D('',#531675,#412562,#412563); #361657=AXIS2_PLACEMENT_3D('',#531681,#412567,#412568); #361658=AXIS2_PLACEMENT_3D('',#531687,#412572,#412573); #361659=AXIS2_PLACEMENT_3D('',#531693,#412577,#412578); #361660=AXIS2_PLACEMENT_3D('',#531699,#412582,#412583); #361661=AXIS2_PLACEMENT_3D('',#531705,#412587,#412588); #361662=AXIS2_PLACEMENT_3D('',#531711,#412592,#412593); #361663=AXIS2_PLACEMENT_3D('',#531717,#412597,#412598); #361664=AXIS2_PLACEMENT_3D('',#531723,#412602,#412603); #361665=AXIS2_PLACEMENT_3D('',#531729,#412607,#412608); #361666=AXIS2_PLACEMENT_3D('',#531735,#412612,#412613); #361667=AXIS2_PLACEMENT_3D('',#531741,#412617,#412618); #361668=AXIS2_PLACEMENT_3D('',#531747,#412622,#412623); #361669=AXIS2_PLACEMENT_3D('',#531753,#412627,#412628); #361670=AXIS2_PLACEMENT_3D('',#531759,#412632,#412633); #361671=AXIS2_PLACEMENT_3D('',#531762,#412636,#412637); #361672=AXIS2_PLACEMENT_3D('',#531763,#412638,#412639); #361673=AXIS2_PLACEMENT_3D('',#531764,#412640,#412641); #361674=AXIS2_PLACEMENT_3D('',#531773,#412646,#412647); #361675=AXIS2_PLACEMENT_3D('',#531779,#412651,#412652); #361676=AXIS2_PLACEMENT_3D('',#531785,#412656,#412657); #361677=AXIS2_PLACEMENT_3D('',#531791,#412661,#412662); #361678=AXIS2_PLACEMENT_3D('',#531797,#412666,#412667); #361679=AXIS2_PLACEMENT_3D('',#531803,#412671,#412672); #361680=AXIS2_PLACEMENT_3D('',#531809,#412676,#412677); #361681=AXIS2_PLACEMENT_3D('',#531815,#412681,#412682); #361682=AXIS2_PLACEMENT_3D('',#531821,#412686,#412687); #361683=AXIS2_PLACEMENT_3D('',#531827,#412691,#412692); #361684=AXIS2_PLACEMENT_3D('',#531833,#412696,#412697); #361685=AXIS2_PLACEMENT_3D('',#531839,#412701,#412702); #361686=AXIS2_PLACEMENT_3D('',#531845,#412706,#412707); #361687=AXIS2_PLACEMENT_3D('',#531851,#412711,#412712); #361688=AXIS2_PLACEMENT_3D('',#531857,#412716,#412717); #361689=AXIS2_PLACEMENT_3D('',#531863,#412721,#412722); #361690=AXIS2_PLACEMENT_3D('',#531869,#412726,#412727); #361691=AXIS2_PLACEMENT_3D('',#531875,#412731,#412732); #361692=AXIS2_PLACEMENT_3D('',#531881,#412736,#412737); #361693=AXIS2_PLACEMENT_3D('',#531884,#412740,#412741); #361694=AXIS2_PLACEMENT_3D('',#531885,#412742,#412743); #361695=AXIS2_PLACEMENT_3D('',#531886,#412744,#412745); #361696=AXIS2_PLACEMENT_3D('',#531888,#412746,#412747); #361697=AXIS2_PLACEMENT_3D('',#531891,#412749,#412750); #361698=AXIS2_PLACEMENT_3D('',#531892,#412751,#412752); #361699=AXIS2_PLACEMENT_3D('',#531894,#412753,#412754); #361700=AXIS2_PLACEMENT_3D('',#531897,#412756,#412757); #361701=AXIS2_PLACEMENT_3D('',#531898,#412758,#412759); #361702=AXIS2_PLACEMENT_3D('',#531900,#412760,#412761); #361703=AXIS2_PLACEMENT_3D('',#531903,#412763,#412764); #361704=AXIS2_PLACEMENT_3D('',#531904,#412765,#412766); #361705=AXIS2_PLACEMENT_3D('',#531906,#412767,#412768); #361706=AXIS2_PLACEMENT_3D('',#531909,#412770,#412771); #361707=AXIS2_PLACEMENT_3D('',#531910,#412772,#412773); #361708=AXIS2_PLACEMENT_3D('',#531912,#412774,#412775); #361709=AXIS2_PLACEMENT_3D('',#531915,#412777,#412778); #361710=AXIS2_PLACEMENT_3D('',#531916,#412779,#412780); #361711=AXIS2_PLACEMENT_3D('',#531918,#412781,#412782); #361712=AXIS2_PLACEMENT_3D('',#531921,#412784,#412785); #361713=AXIS2_PLACEMENT_3D('',#531922,#412786,#412787); #361714=AXIS2_PLACEMENT_3D('',#531924,#412788,#412789); #361715=AXIS2_PLACEMENT_3D('',#531927,#412791,#412792); #361716=AXIS2_PLACEMENT_3D('',#531928,#412793,#412794); #361717=AXIS2_PLACEMENT_3D('',#531930,#412795,#412796); #361718=AXIS2_PLACEMENT_3D('',#531933,#412798,#412799); #361719=AXIS2_PLACEMENT_3D('',#531934,#412800,#412801); #361720=AXIS2_PLACEMENT_3D('',#531936,#412802,#412803); #361721=AXIS2_PLACEMENT_3D('',#531939,#412805,#412806); #361722=AXIS2_PLACEMENT_3D('',#531940,#412807,#412808); #361723=AXIS2_PLACEMENT_3D('',#531942,#412809,#412810); #361724=AXIS2_PLACEMENT_3D('',#531945,#412812,#412813); #361725=AXIS2_PLACEMENT_3D('',#531946,#412814,#412815); #361726=AXIS2_PLACEMENT_3D('',#531948,#412816,#412817); #361727=AXIS2_PLACEMENT_3D('',#531951,#412819,#412820); #361728=AXIS2_PLACEMENT_3D('',#531952,#412821,#412822); #361729=AXIS2_PLACEMENT_3D('',#531954,#412823,#412824); #361730=AXIS2_PLACEMENT_3D('',#531957,#412826,#412827); #361731=AXIS2_PLACEMENT_3D('',#531958,#412828,#412829); #361732=AXIS2_PLACEMENT_3D('',#531960,#412830,#412831); #361733=AXIS2_PLACEMENT_3D('',#531963,#412833,#412834); #361734=AXIS2_PLACEMENT_3D('',#531964,#412835,#412836); #361735=AXIS2_PLACEMENT_3D('',#531966,#412837,#412838); #361736=AXIS2_PLACEMENT_3D('',#531969,#412840,#412841); #361737=AXIS2_PLACEMENT_3D('',#531970,#412842,#412843); #361738=AXIS2_PLACEMENT_3D('',#531972,#412844,#412845); #361739=AXIS2_PLACEMENT_3D('',#531975,#412847,#412848); #361740=AXIS2_PLACEMENT_3D('',#531976,#412849,#412850); #361741=AXIS2_PLACEMENT_3D('',#531985,#412855,#412856); #361742=AXIS2_PLACEMENT_3D('',#531991,#412860,#412861); #361743=AXIS2_PLACEMENT_3D('',#531997,#412865,#412866); #361744=AXIS2_PLACEMENT_3D('',#532003,#412870,#412871); #361745=AXIS2_PLACEMENT_3D('',#532009,#412875,#412876); #361746=AXIS2_PLACEMENT_3D('',#532015,#412880,#412881); #361747=AXIS2_PLACEMENT_3D('',#532021,#412885,#412886); #361748=AXIS2_PLACEMENT_3D('',#532027,#412890,#412891); #361749=AXIS2_PLACEMENT_3D('',#532033,#412895,#412896); #361750=AXIS2_PLACEMENT_3D('',#532039,#412900,#412901); #361751=AXIS2_PLACEMENT_3D('',#532045,#412905,#412906); #361752=AXIS2_PLACEMENT_3D('',#532051,#412910,#412911); #361753=AXIS2_PLACEMENT_3D('',#532057,#412915,#412916); #361754=AXIS2_PLACEMENT_3D('',#532063,#412920,#412921); #361755=AXIS2_PLACEMENT_3D('',#532069,#412925,#412926); #361756=AXIS2_PLACEMENT_3D('',#532075,#412930,#412931); #361757=AXIS2_PLACEMENT_3D('',#532081,#412935,#412936); #361758=AXIS2_PLACEMENT_3D('',#532087,#412940,#412941); #361759=AXIS2_PLACEMENT_3D('',#532093,#412945,#412946); #361760=AXIS2_PLACEMENT_3D('',#532099,#412950,#412951); #361761=AXIS2_PLACEMENT_3D('',#532105,#412955,#412956); #361762=AXIS2_PLACEMENT_3D('',#532111,#412960,#412961); #361763=AXIS2_PLACEMENT_3D('',#532117,#412965,#412966); #361764=AXIS2_PLACEMENT_3D('',#532123,#412970,#412971); #361765=AXIS2_PLACEMENT_3D('',#532129,#412975,#412976); #361766=AXIS2_PLACEMENT_3D('',#532135,#412980,#412981); #361767=AXIS2_PLACEMENT_3D('',#532141,#412985,#412986); #361768=AXIS2_PLACEMENT_3D('',#532147,#412990,#412991); #361769=AXIS2_PLACEMENT_3D('',#532153,#412995,#412996); #361770=AXIS2_PLACEMENT_3D('',#532159,#413000,#413001); #361771=AXIS2_PLACEMENT_3D('',#532165,#413005,#413006); #361772=AXIS2_PLACEMENT_3D('',#532171,#413010,#413011); #361773=AXIS2_PLACEMENT_3D('',#532177,#413015,#413016); #361774=AXIS2_PLACEMENT_3D('',#532183,#413020,#413021); #361775=AXIS2_PLACEMENT_3D('',#532189,#413025,#413026); #361776=AXIS2_PLACEMENT_3D('',#532195,#413030,#413031); #361777=AXIS2_PLACEMENT_3D('',#532201,#413035,#413036); #361778=AXIS2_PLACEMENT_3D('',#532207,#413040,#413041); #361779=AXIS2_PLACEMENT_3D('',#532213,#413045,#413046); #361780=AXIS2_PLACEMENT_3D('',#532219,#413050,#413051); #361781=AXIS2_PLACEMENT_3D('',#532222,#413054,#413055); #361782=AXIS2_PLACEMENT_3D('',#532223,#413056,#413057); #361783=AXIS2_PLACEMENT_3D('',#532224,#413058,#413059); #361784=AXIS2_PLACEMENT_3D('',#532226,#413060,#413061); #361785=AXIS2_PLACEMENT_3D('',#532229,#413063,#413064); #361786=AXIS2_PLACEMENT_3D('',#532230,#413065,#413066); #361787=AXIS2_PLACEMENT_3D('',#532239,#413071,#413072); #361788=AXIS2_PLACEMENT_3D('',#532245,#413076,#413077); #361789=AXIS2_PLACEMENT_3D('',#532251,#413081,#413082); #361790=AXIS2_PLACEMENT_3D('',#532257,#413086,#413087); #361791=AXIS2_PLACEMENT_3D('',#532263,#413091,#413092); #361792=AXIS2_PLACEMENT_3D('',#532265,#413093,#413094); #361793=AXIS2_PLACEMENT_3D('',#532267,#413095,#413096); #361794=AXIS2_PLACEMENT_3D('',#532269,#413098,#413099); #361795=AXIS2_PLACEMENT_3D('',#532275,#413103,#413104); #361796=AXIS2_PLACEMENT_3D('',#532281,#413108,#413109); #361797=AXIS2_PLACEMENT_3D('',#532283,#413110,#413111); #361798=AXIS2_PLACEMENT_3D('',#532285,#413112,#413113); #361799=AXIS2_PLACEMENT_3D('',#532287,#413115,#413116); #361800=AXIS2_PLACEMENT_3D('',#532293,#413120,#413121); #361801=AXIS2_PLACEMENT_3D('',#532299,#413125,#413126); #361802=AXIS2_PLACEMENT_3D('',#532305,#413130,#413131); #361803=AXIS2_PLACEMENT_3D('',#532311,#413135,#413136); #361804=AXIS2_PLACEMENT_3D('',#532317,#413140,#413141); #361805=AXIS2_PLACEMENT_3D('',#532323,#413145,#413146); #361806=AXIS2_PLACEMENT_3D('',#532329,#413150,#413151); #361807=AXIS2_PLACEMENT_3D('',#532335,#413155,#413156); #361808=AXIS2_PLACEMENT_3D('',#532341,#413160,#413161); #361809=AXIS2_PLACEMENT_3D('',#532347,#413165,#413166); #361810=AXIS2_PLACEMENT_3D('',#532353,#413170,#413171); #361811=AXIS2_PLACEMENT_3D('',#532359,#413175,#413176); #361812=AXIS2_PLACEMENT_3D('',#532365,#413180,#413181); #361813=AXIS2_PLACEMENT_3D('',#532371,#413185,#413186); #361814=AXIS2_PLACEMENT_3D('',#532377,#413190,#413191); #361815=AXIS2_PLACEMENT_3D('',#532383,#413195,#413196); #361816=AXIS2_PLACEMENT_3D('',#532385,#413197,#413198); #361817=AXIS2_PLACEMENT_3D('',#532387,#413199,#413200); #361818=AXIS2_PLACEMENT_3D('',#532389,#413202,#413203); #361819=AXIS2_PLACEMENT_3D('',#532395,#413207,#413208); #361820=AXIS2_PLACEMENT_3D('',#532397,#413209,#413210); #361821=AXIS2_PLACEMENT_3D('',#532399,#413211,#413212); #361822=AXIS2_PLACEMENT_3D('',#532401,#413214,#413215); #361823=AXIS2_PLACEMENT_3D('',#532407,#413219,#413220); #361824=AXIS2_PLACEMENT_3D('',#532409,#413221,#413222); #361825=AXIS2_PLACEMENT_3D('',#532411,#413223,#413224); #361826=AXIS2_PLACEMENT_3D('',#532413,#413226,#413227); #361827=AXIS2_PLACEMENT_3D('',#532419,#413231,#413232); #361828=AXIS2_PLACEMENT_3D('',#532425,#413236,#413237); #361829=AXIS2_PLACEMENT_3D('',#532431,#413241,#413242); #361830=AXIS2_PLACEMENT_3D('',#532433,#413243,#413244); #361831=AXIS2_PLACEMENT_3D('',#532435,#413245,#413246); #361832=AXIS2_PLACEMENT_3D('',#532437,#413248,#413249); #361833=AXIS2_PLACEMENT_3D('',#532443,#413253,#413254); #361834=AXIS2_PLACEMENT_3D('',#532445,#413255,#413256); #361835=AXIS2_PLACEMENT_3D('',#532447,#413257,#413258); #361836=AXIS2_PLACEMENT_3D('',#532449,#413260,#413261); #361837=AXIS2_PLACEMENT_3D('',#532455,#413265,#413266); #361838=AXIS2_PLACEMENT_3D('',#532461,#413270,#413271); #361839=AXIS2_PLACEMENT_3D('',#532463,#413272,#413273); #361840=AXIS2_PLACEMENT_3D('',#532465,#413274,#413275); #361841=AXIS2_PLACEMENT_3D('',#532467,#413277,#413278); #361842=AXIS2_PLACEMENT_3D('',#532473,#413282,#413283); #361843=AXIS2_PLACEMENT_3D('',#532479,#413287,#413288); #361844=AXIS2_PLACEMENT_3D('',#532482,#413291,#413292); #361845=AXIS2_PLACEMENT_3D('',#532483,#413293,#413294); #361846=AXIS2_PLACEMENT_3D('',#532484,#413295,#413296); #361847=AXIS2_PLACEMENT_3D('',#532486,#413297,#413298); #361848=AXIS2_PLACEMENT_3D('',#532489,#413300,#413301); #361849=AXIS2_PLACEMENT_3D('',#532490,#413302,#413303); #361850=AXIS2_PLACEMENT_3D('',#532492,#413304,#413305); #361851=AXIS2_PLACEMENT_3D('',#532495,#413307,#413308); #361852=AXIS2_PLACEMENT_3D('',#532496,#413309,#413310); #361853=AXIS2_PLACEMENT_3D('',#532498,#413311,#413312); #361854=AXIS2_PLACEMENT_3D('',#532501,#413314,#413315); #361855=AXIS2_PLACEMENT_3D('',#532502,#413316,#413317); #361856=AXIS2_PLACEMENT_3D('',#532504,#413318,#413319); #361857=AXIS2_PLACEMENT_3D('',#532507,#413321,#413322); #361858=AXIS2_PLACEMENT_3D('',#532508,#413323,#413324); #361859=AXIS2_PLACEMENT_3D('',#532510,#413325,#413326); #361860=AXIS2_PLACEMENT_3D('',#532513,#413328,#413329); #361861=AXIS2_PLACEMENT_3D('',#532514,#413330,#413331); #361862=AXIS2_PLACEMENT_3D('',#532516,#413332,#413333); #361863=AXIS2_PLACEMENT_3D('',#532519,#413335,#413336); #361864=AXIS2_PLACEMENT_3D('',#532520,#413337,#413338); #361865=AXIS2_PLACEMENT_3D('',#532522,#413339,#413340); #361866=AXIS2_PLACEMENT_3D('',#532525,#413342,#413343); #361867=AXIS2_PLACEMENT_3D('',#532526,#413344,#413345); #361868=AXIS2_PLACEMENT_3D('',#532528,#413346,#413347); #361869=AXIS2_PLACEMENT_3D('',#532531,#413349,#413350); #361870=AXIS2_PLACEMENT_3D('',#532532,#413351,#413352); #361871=AXIS2_PLACEMENT_3D('',#532534,#413353,#413354); #361872=AXIS2_PLACEMENT_3D('',#532537,#413356,#413357); #361873=AXIS2_PLACEMENT_3D('',#532538,#413358,#413359); #361874=AXIS2_PLACEMENT_3D('',#532540,#413360,#413361); #361875=AXIS2_PLACEMENT_3D('',#532543,#413363,#413364); #361876=AXIS2_PLACEMENT_3D('',#532544,#413365,#413366); #361877=AXIS2_PLACEMENT_3D('',#532546,#413367,#413368); #361878=AXIS2_PLACEMENT_3D('',#532549,#413370,#413371); #361879=AXIS2_PLACEMENT_3D('',#532550,#413372,#413373); #361880=AXIS2_PLACEMENT_3D('',#532552,#413374,#413375); #361881=AXIS2_PLACEMENT_3D('',#532555,#413377,#413378); #361882=AXIS2_PLACEMENT_3D('',#532556,#413379,#413380); #361883=AXIS2_PLACEMENT_3D('',#532558,#413381,#413382); #361884=AXIS2_PLACEMENT_3D('',#532561,#413384,#413385); #361885=AXIS2_PLACEMENT_3D('',#532562,#413386,#413387); #361886=AXIS2_PLACEMENT_3D('',#532564,#413388,#413389); #361887=AXIS2_PLACEMENT_3D('',#532567,#413391,#413392); #361888=AXIS2_PLACEMENT_3D('',#532568,#413393,#413394); #361889=AXIS2_PLACEMENT_3D('',#532570,#413395,#413396); #361890=AXIS2_PLACEMENT_3D('',#532573,#413398,#413399); #361891=AXIS2_PLACEMENT_3D('',#532574,#413400,#413401); #361892=AXIS2_PLACEMENT_3D('',#532583,#413406,#413407); #361893=AXIS2_PLACEMENT_3D('',#532589,#413411,#413412); #361894=AXIS2_PLACEMENT_3D('',#532595,#413416,#413417); #361895=AXIS2_PLACEMENT_3D('',#532601,#413421,#413422); #361896=AXIS2_PLACEMENT_3D('',#532607,#413426,#413427); #361897=AXIS2_PLACEMENT_3D('',#532613,#413431,#413432); #361898=AXIS2_PLACEMENT_3D('',#532619,#413436,#413437); #361899=AXIS2_PLACEMENT_3D('',#532625,#413441,#413442); #361900=AXIS2_PLACEMENT_3D('',#532631,#413446,#413447); #361901=AXIS2_PLACEMENT_3D('',#532637,#413451,#413452); #361902=AXIS2_PLACEMENT_3D('',#532643,#413456,#413457); #361903=AXIS2_PLACEMENT_3D('',#532649,#413461,#413462); #361904=AXIS2_PLACEMENT_3D('',#532655,#413466,#413467); #361905=AXIS2_PLACEMENT_3D('',#532661,#413471,#413472); #361906=AXIS2_PLACEMENT_3D('',#532667,#413476,#413477); #361907=AXIS2_PLACEMENT_3D('',#532673,#413481,#413482); #361908=AXIS2_PLACEMENT_3D('',#532679,#413486,#413487); #361909=AXIS2_PLACEMENT_3D('',#532685,#413491,#413492); #361910=AXIS2_PLACEMENT_3D('',#532691,#413496,#413497); #361911=AXIS2_PLACEMENT_3D('',#532697,#413501,#413502); #361912=AXIS2_PLACEMENT_3D('',#532703,#413506,#413507); #361913=AXIS2_PLACEMENT_3D('',#532709,#413511,#413512); #361914=AXIS2_PLACEMENT_3D('',#532715,#413516,#413517); #361915=AXIS2_PLACEMENT_3D('',#532721,#413521,#413522); #361916=AXIS2_PLACEMENT_3D('',#532727,#413526,#413527); #361917=AXIS2_PLACEMENT_3D('',#532733,#413531,#413532); #361918=AXIS2_PLACEMENT_3D('',#532739,#413536,#413537); #361919=AXIS2_PLACEMENT_3D('',#532745,#413541,#413542); #361920=AXIS2_PLACEMENT_3D('',#532751,#413546,#413547); #361921=AXIS2_PLACEMENT_3D('',#532757,#413551,#413552); #361922=AXIS2_PLACEMENT_3D('',#532763,#413556,#413557); #361923=AXIS2_PLACEMENT_3D('',#532769,#413561,#413562); #361924=AXIS2_PLACEMENT_3D('',#532775,#413566,#413567); #361925=AXIS2_PLACEMENT_3D('',#532781,#413571,#413572); #361926=AXIS2_PLACEMENT_3D('',#532787,#413576,#413577); #361927=AXIS2_PLACEMENT_3D('',#532793,#413581,#413582); #361928=AXIS2_PLACEMENT_3D('',#532799,#413586,#413587); #361929=AXIS2_PLACEMENT_3D('',#532805,#413591,#413592); #361930=AXIS2_PLACEMENT_3D('',#532811,#413596,#413597); #361931=AXIS2_PLACEMENT_3D('',#532817,#413601,#413602); #361932=AXIS2_PLACEMENT_3D('',#532823,#413606,#413607); #361933=AXIS2_PLACEMENT_3D('',#532829,#413611,#413612); #361934=AXIS2_PLACEMENT_3D('',#532835,#413616,#413617); #361935=AXIS2_PLACEMENT_3D('',#532841,#413621,#413622); #361936=AXIS2_PLACEMENT_3D('',#532847,#413626,#413627); #361937=AXIS2_PLACEMENT_3D('',#532853,#413631,#413632); #361938=AXIS2_PLACEMENT_3D('',#532859,#413636,#413637); #361939=AXIS2_PLACEMENT_3D('',#532865,#413641,#413642); #361940=AXIS2_PLACEMENT_3D('',#532868,#413645,#413646); #361941=AXIS2_PLACEMENT_3D('',#532869,#413647,#413648); #361942=AXIS2_PLACEMENT_3D('',#532870,#413649,#413650); #361943=AXIS2_PLACEMENT_3D('',#532872,#413651,#413652); #361944=AXIS2_PLACEMENT_3D('',#532875,#413654,#413655); #361945=AXIS2_PLACEMENT_3D('',#532876,#413656,#413657); #361946=AXIS2_PLACEMENT_3D('',#532885,#413662,#413663); #361947=AXIS2_PLACEMENT_3D('',#532891,#413667,#413668); #361948=AXIS2_PLACEMENT_3D('',#532897,#413672,#413673); #361949=AXIS2_PLACEMENT_3D('',#532903,#413677,#413678); #361950=AXIS2_PLACEMENT_3D('',#532909,#413682,#413683); #361951=AXIS2_PLACEMENT_3D('',#532915,#413687,#413688); #361952=AXIS2_PLACEMENT_3D('',#532917,#413689,#413690); #361953=AXIS2_PLACEMENT_3D('',#532919,#413691,#413692); #361954=AXIS2_PLACEMENT_3D('',#532921,#413694,#413695); #361955=AXIS2_PLACEMENT_3D('',#532927,#413699,#413700); #361956=AXIS2_PLACEMENT_3D('',#532933,#413704,#413705); #361957=AXIS2_PLACEMENT_3D('',#532935,#413706,#413707); #361958=AXIS2_PLACEMENT_3D('',#532937,#413708,#413709); #361959=AXIS2_PLACEMENT_3D('',#532939,#413711,#413712); #361960=AXIS2_PLACEMENT_3D('',#532945,#413716,#413717); #361961=AXIS2_PLACEMENT_3D('',#532947,#413718,#413719); #361962=AXIS2_PLACEMENT_3D('',#532949,#413720,#413721); #361963=AXIS2_PLACEMENT_3D('',#532951,#413723,#413724); #361964=AXIS2_PLACEMENT_3D('',#532957,#413728,#413729); #361965=AXIS2_PLACEMENT_3D('',#532963,#413733,#413734); #361966=AXIS2_PLACEMENT_3D('',#532969,#413738,#413739); #361967=AXIS2_PLACEMENT_3D('',#532971,#413740,#413741); #361968=AXIS2_PLACEMENT_3D('',#532973,#413742,#413743); #361969=AXIS2_PLACEMENT_3D('',#532975,#413745,#413746); #361970=AXIS2_PLACEMENT_3D('',#532981,#413750,#413751); #361971=AXIS2_PLACEMENT_3D('',#532983,#413752,#413753); #361972=AXIS2_PLACEMENT_3D('',#532985,#413754,#413755); #361973=AXIS2_PLACEMENT_3D('',#532987,#413757,#413758); #361974=AXIS2_PLACEMENT_3D('',#532993,#413762,#413763); #361975=AXIS2_PLACEMENT_3D('',#532995,#413764,#413765); #361976=AXIS2_PLACEMENT_3D('',#532997,#413766,#413767); #361977=AXIS2_PLACEMENT_3D('',#532999,#413769,#413770); #361978=AXIS2_PLACEMENT_3D('',#533005,#413774,#413775); #361979=AXIS2_PLACEMENT_3D('',#533011,#413779,#413780); #361980=AXIS2_PLACEMENT_3D('',#533017,#413784,#413785); #361981=AXIS2_PLACEMENT_3D('',#533023,#413789,#413790); #361982=AXIS2_PLACEMENT_3D('',#533029,#413794,#413795); #361983=AXIS2_PLACEMENT_3D('',#533035,#413799,#413800); #361984=AXIS2_PLACEMENT_3D('',#533041,#413804,#413805); #361985=AXIS2_PLACEMENT_3D('',#533047,#413809,#413810); #361986=AXIS2_PLACEMENT_3D('',#533053,#413814,#413815); #361987=AXIS2_PLACEMENT_3D('',#533059,#413819,#413820); #361988=AXIS2_PLACEMENT_3D('',#533065,#413824,#413825); #361989=AXIS2_PLACEMENT_3D('',#533071,#413829,#413830); #361990=AXIS2_PLACEMENT_3D('',#533077,#413834,#413835); #361991=AXIS2_PLACEMENT_3D('',#533083,#413839,#413840); #361992=AXIS2_PLACEMENT_3D('',#533089,#413844,#413845); #361993=AXIS2_PLACEMENT_3D('',#533095,#413849,#413850); #361994=AXIS2_PLACEMENT_3D('',#533097,#413851,#413852); #361995=AXIS2_PLACEMENT_3D('',#533099,#413853,#413854); #361996=AXIS2_PLACEMENT_3D('',#533101,#413856,#413857); #361997=AXIS2_PLACEMENT_3D('',#533107,#413861,#413862); #361998=AXIS2_PLACEMENT_3D('',#533113,#413866,#413867); #361999=AXIS2_PLACEMENT_3D('',#533115,#413868,#413869); #362000=AXIS2_PLACEMENT_3D('',#533117,#413870,#413871); #362001=AXIS2_PLACEMENT_3D('',#533119,#413873,#413874); #362002=AXIS2_PLACEMENT_3D('',#533125,#413878,#413879); #362003=AXIS2_PLACEMENT_3D('',#533128,#413882,#413883); #362004=AXIS2_PLACEMENT_3D('',#533129,#413884,#413885); #362005=AXIS2_PLACEMENT_3D('',#533130,#413886,#413887); #362006=AXIS2_PLACEMENT_3D('',#533132,#413888,#413889); #362007=AXIS2_PLACEMENT_3D('',#533135,#413891,#413892); #362008=AXIS2_PLACEMENT_3D('',#533136,#413893,#413894); #362009=AXIS2_PLACEMENT_3D('',#533138,#413895,#413896); #362010=AXIS2_PLACEMENT_3D('',#533141,#413898,#413899); #362011=AXIS2_PLACEMENT_3D('',#533142,#413900,#413901); #362012=AXIS2_PLACEMENT_3D('',#533144,#413902,#413903); #362013=AXIS2_PLACEMENT_3D('',#533147,#413905,#413906); #362014=AXIS2_PLACEMENT_3D('',#533148,#413907,#413908); #362015=AXIS2_PLACEMENT_3D('',#533150,#413909,#413910); #362016=AXIS2_PLACEMENT_3D('',#533153,#413912,#413913); #362017=AXIS2_PLACEMENT_3D('',#533154,#413914,#413915); #362018=AXIS2_PLACEMENT_3D('',#533156,#413916,#413917); #362019=AXIS2_PLACEMENT_3D('',#533159,#413919,#413920); #362020=AXIS2_PLACEMENT_3D('',#533160,#413921,#413922); #362021=AXIS2_PLACEMENT_3D('',#533162,#413923,#413924); #362022=AXIS2_PLACEMENT_3D('',#533165,#413926,#413927); #362023=AXIS2_PLACEMENT_3D('',#533166,#413928,#413929); #362024=AXIS2_PLACEMENT_3D('',#533168,#413930,#413931); #362025=AXIS2_PLACEMENT_3D('',#533171,#413933,#413934); #362026=AXIS2_PLACEMENT_3D('',#533172,#413935,#413936); #362027=AXIS2_PLACEMENT_3D('',#533174,#413937,#413938); #362028=AXIS2_PLACEMENT_3D('',#533177,#413940,#413941); #362029=AXIS2_PLACEMENT_3D('',#533178,#413942,#413943); #362030=AXIS2_PLACEMENT_3D('',#533180,#413944,#413945); #362031=AXIS2_PLACEMENT_3D('',#533183,#413947,#413948); #362032=AXIS2_PLACEMENT_3D('',#533184,#413949,#413950); #362033=AXIS2_PLACEMENT_3D('',#533186,#413951,#413952); #362034=AXIS2_PLACEMENT_3D('',#533189,#413954,#413955); #362035=AXIS2_PLACEMENT_3D('',#533190,#413956,#413957); #362036=AXIS2_PLACEMENT_3D('',#533192,#413958,#413959); #362037=AXIS2_PLACEMENT_3D('',#533195,#413961,#413962); #362038=AXIS2_PLACEMENT_3D('',#533196,#413963,#413964); #362039=AXIS2_PLACEMENT_3D('',#533198,#413965,#413966); #362040=AXIS2_PLACEMENT_3D('',#533201,#413968,#413969); #362041=AXIS2_PLACEMENT_3D('',#533202,#413970,#413971); #362042=AXIS2_PLACEMENT_3D('',#533204,#413972,#413973); #362043=AXIS2_PLACEMENT_3D('',#533207,#413975,#413976); #362044=AXIS2_PLACEMENT_3D('',#533208,#413977,#413978); #362045=AXIS2_PLACEMENT_3D('',#533210,#413979,#413980); #362046=AXIS2_PLACEMENT_3D('',#533213,#413982,#413983); #362047=AXIS2_PLACEMENT_3D('',#533214,#413984,#413985); #362048=AXIS2_PLACEMENT_3D('',#533216,#413986,#413987); #362049=AXIS2_PLACEMENT_3D('',#533219,#413989,#413990); #362050=AXIS2_PLACEMENT_3D('',#533220,#413991,#413992); #362051=AXIS2_PLACEMENT_3D('',#533222,#413993,#413994); #362052=AXIS2_PLACEMENT_3D('',#533225,#413996,#413997); #362053=AXIS2_PLACEMENT_3D('',#533226,#413998,#413999); #362054=AXIS2_PLACEMENT_3D('',#533228,#414000,#414001); #362055=AXIS2_PLACEMENT_3D('',#533231,#414003,#414004); #362056=AXIS2_PLACEMENT_3D('',#533232,#414005,#414006); #362057=AXIS2_PLACEMENT_3D('',#533234,#414007,#414008); #362058=AXIS2_PLACEMENT_3D('',#533237,#414010,#414011); #362059=AXIS2_PLACEMENT_3D('',#533238,#414012,#414013); #362060=AXIS2_PLACEMENT_3D('',#533240,#414014,#414015); #362061=AXIS2_PLACEMENT_3D('',#533243,#414017,#414018); #362062=AXIS2_PLACEMENT_3D('',#533244,#414019,#414020); #362063=AXIS2_PLACEMENT_3D('',#533246,#414021,#414022); #362064=AXIS2_PLACEMENT_3D('',#533249,#414024,#414025); #362065=AXIS2_PLACEMENT_3D('',#533250,#414026,#414027); #362066=AXIS2_PLACEMENT_3D('',#533252,#414028,#414029); #362067=AXIS2_PLACEMENT_3D('',#533255,#414031,#414032); #362068=AXIS2_PLACEMENT_3D('',#533256,#414033,#414034); #362069=AXIS2_PLACEMENT_3D('',#533258,#414035,#414036); #362070=AXIS2_PLACEMENT_3D('',#533261,#414038,#414039); #362071=AXIS2_PLACEMENT_3D('',#533262,#414040,#414041); #362072=AXIS2_PLACEMENT_3D('',#533264,#414042,#414043); #362073=AXIS2_PLACEMENT_3D('',#533267,#414045,#414046); #362074=AXIS2_PLACEMENT_3D('',#533268,#414047,#414048); #362075=AXIS2_PLACEMENT_3D('',#533270,#414049,#414050); #362076=AXIS2_PLACEMENT_3D('',#533273,#414052,#414053); #362077=AXIS2_PLACEMENT_3D('',#533274,#414054,#414055); #362078=AXIS2_PLACEMENT_3D('',#533276,#414056,#414057); #362079=AXIS2_PLACEMENT_3D('',#533279,#414059,#414060); #362080=AXIS2_PLACEMENT_3D('',#533280,#414061,#414062); #362081=AXIS2_PLACEMENT_3D('',#533282,#414063,#414064); #362082=AXIS2_PLACEMENT_3D('',#533285,#414066,#414067); #362083=AXIS2_PLACEMENT_3D('',#533286,#414068,#414069); #362084=AXIS2_PLACEMENT_3D('',#533288,#414070,#414071); #362085=AXIS2_PLACEMENT_3D('',#533291,#414073,#414074); #362086=AXIS2_PLACEMENT_3D('',#533292,#414075,#414076); #362087=AXIS2_PLACEMENT_3D('',#533294,#414077,#414078); #362088=AXIS2_PLACEMENT_3D('',#533297,#414080,#414081); #362089=AXIS2_PLACEMENT_3D('',#533298,#414082,#414083); #362090=AXIS2_PLACEMENT_3D('',#533300,#414084,#414085); #362091=AXIS2_PLACEMENT_3D('',#533303,#414087,#414088); #362092=AXIS2_PLACEMENT_3D('',#533304,#414089,#414090); #362093=AXIS2_PLACEMENT_3D('',#533306,#414091,#414092); #362094=AXIS2_PLACEMENT_3D('',#533309,#414094,#414095); #362095=AXIS2_PLACEMENT_3D('',#533310,#414096,#414097); #362096=AXIS2_PLACEMENT_3D('',#533312,#414098,#414099); #362097=AXIS2_PLACEMENT_3D('',#533315,#414101,#414102); #362098=AXIS2_PLACEMENT_3D('',#533316,#414103,#414104); #362099=AXIS2_PLACEMENT_3D('',#533325,#414109,#414110); #362100=AXIS2_PLACEMENT_3D('',#533327,#414111,#414112); #362101=AXIS2_PLACEMENT_3D('',#533329,#414113,#414114); #362102=AXIS2_PLACEMENT_3D('',#533331,#414116,#414117); #362103=AXIS2_PLACEMENT_3D('',#533337,#414121,#414122); #362104=AXIS2_PLACEMENT_3D('',#533343,#414126,#414127); #362105=AXIS2_PLACEMENT_3D('',#533349,#414131,#414132); #362106=AXIS2_PLACEMENT_3D('',#533351,#414133,#414134); #362107=AXIS2_PLACEMENT_3D('',#533353,#414135,#414136); #362108=AXIS2_PLACEMENT_3D('',#533355,#414138,#414139); #362109=AXIS2_PLACEMENT_3D('',#533361,#414143,#414144); #362110=AXIS2_PLACEMENT_3D('',#533363,#414145,#414146); #362111=AXIS2_PLACEMENT_3D('',#533365,#414147,#414148); #362112=AXIS2_PLACEMENT_3D('',#533367,#414150,#414151); #362113=AXIS2_PLACEMENT_3D('',#533373,#414155,#414156); #362114=AXIS2_PLACEMENT_3D('',#533379,#414160,#414161); #362115=AXIS2_PLACEMENT_3D('',#533385,#414165,#414166); #362116=AXIS2_PLACEMENT_3D('',#533391,#414170,#414171); #362117=AXIS2_PLACEMENT_3D('',#533397,#414175,#414176); #362118=AXIS2_PLACEMENT_3D('',#533403,#414180,#414181); #362119=AXIS2_PLACEMENT_3D('',#533409,#414185,#414186); #362120=AXIS2_PLACEMENT_3D('',#533415,#414190,#414191); #362121=AXIS2_PLACEMENT_3D('',#533421,#414195,#414196); #362122=AXIS2_PLACEMENT_3D('',#533427,#414200,#414201); #362123=AXIS2_PLACEMENT_3D('',#533433,#414205,#414206); #362124=AXIS2_PLACEMENT_3D('',#533439,#414210,#414211); #362125=AXIS2_PLACEMENT_3D('',#533445,#414215,#414216); #362126=AXIS2_PLACEMENT_3D('',#533451,#414220,#414221); #362127=AXIS2_PLACEMENT_3D('',#533457,#414225,#414226); #362128=AXIS2_PLACEMENT_3D('',#533463,#414230,#414231); #362129=AXIS2_PLACEMENT_3D('',#533469,#414235,#414236); #362130=AXIS2_PLACEMENT_3D('',#533475,#414240,#414241); #362131=AXIS2_PLACEMENT_3D('',#533481,#414245,#414246); #362132=AXIS2_PLACEMENT_3D('',#533487,#414250,#414251); #362133=AXIS2_PLACEMENT_3D('',#533493,#414255,#414256); #362134=AXIS2_PLACEMENT_3D('',#533499,#414260,#414261); #362135=AXIS2_PLACEMENT_3D('',#533505,#414265,#414266); #362136=AXIS2_PLACEMENT_3D('',#533511,#414270,#414271); #362137=AXIS2_PLACEMENT_3D('',#533517,#414275,#414276); #362138=AXIS2_PLACEMENT_3D('',#533523,#414280,#414281); #362139=AXIS2_PLACEMENT_3D('',#533529,#414285,#414286); #362140=AXIS2_PLACEMENT_3D('',#533535,#414290,#414291); #362141=AXIS2_PLACEMENT_3D('',#533541,#414295,#414296); #362142=AXIS2_PLACEMENT_3D('',#533547,#414300,#414301); #362143=AXIS2_PLACEMENT_3D('',#533553,#414305,#414306); #362144=AXIS2_PLACEMENT_3D('',#533559,#414310,#414311); #362145=AXIS2_PLACEMENT_3D('',#533565,#414315,#414316); #362146=AXIS2_PLACEMENT_3D('',#533571,#414320,#414321); #362147=AXIS2_PLACEMENT_3D('',#533577,#414325,#414326); #362148=AXIS2_PLACEMENT_3D('',#533583,#414330,#414331); #362149=AXIS2_PLACEMENT_3D('',#533589,#414335,#414336); #362150=AXIS2_PLACEMENT_3D('',#533595,#414340,#414341); #362151=AXIS2_PLACEMENT_3D('',#533601,#414345,#414346); #362152=AXIS2_PLACEMENT_3D('',#533607,#414350,#414351); #362153=AXIS2_PLACEMENT_3D('',#533613,#414355,#414356); #362154=AXIS2_PLACEMENT_3D('',#533619,#414360,#414361); #362155=AXIS2_PLACEMENT_3D('',#533625,#414365,#414366); #362156=AXIS2_PLACEMENT_3D('',#533631,#414370,#414371); #362157=AXIS2_PLACEMENT_3D('',#533637,#414375,#414376); #362158=AXIS2_PLACEMENT_3D('',#533643,#414380,#414381); #362159=AXIS2_PLACEMENT_3D('',#533649,#414385,#414386); #362160=AXIS2_PLACEMENT_3D('',#533655,#414390,#414391); #362161=AXIS2_PLACEMENT_3D('',#533661,#414395,#414396); #362162=AXIS2_PLACEMENT_3D('',#533667,#414400,#414401); #362163=AXIS2_PLACEMENT_3D('',#533673,#414405,#414406); #362164=AXIS2_PLACEMENT_3D('',#533679,#414410,#414411); #362165=AXIS2_PLACEMENT_3D('',#533685,#414415,#414416); #362166=AXIS2_PLACEMENT_3D('',#533691,#414420,#414421); #362167=AXIS2_PLACEMENT_3D('',#533697,#414425,#414426); #362168=AXIS2_PLACEMENT_3D('',#533703,#414430,#414431); #362169=AXIS2_PLACEMENT_3D('',#533709,#414435,#414436); #362170=AXIS2_PLACEMENT_3D('',#533715,#414440,#414441); #362171=AXIS2_PLACEMENT_3D('',#533721,#414445,#414446); #362172=AXIS2_PLACEMENT_3D('',#533727,#414450,#414451); #362173=AXIS2_PLACEMENT_3D('',#533733,#414455,#414456); #362174=AXIS2_PLACEMENT_3D('',#533739,#414460,#414461); #362175=AXIS2_PLACEMENT_3D('',#533745,#414465,#414466); #362176=AXIS2_PLACEMENT_3D('',#533751,#414470,#414471); #362177=AXIS2_PLACEMENT_3D('',#533757,#414475,#414476); #362178=AXIS2_PLACEMENT_3D('',#533763,#414480,#414481); #362179=AXIS2_PLACEMENT_3D('',#533769,#414485,#414486); #362180=AXIS2_PLACEMENT_3D('',#533775,#414490,#414491); #362181=AXIS2_PLACEMENT_3D('',#533781,#414495,#414496); #362182=AXIS2_PLACEMENT_3D('',#533787,#414500,#414501); #362183=AXIS2_PLACEMENT_3D('',#533793,#414505,#414506); #362184=AXIS2_PLACEMENT_3D('',#533799,#414510,#414511); #362185=AXIS2_PLACEMENT_3D('',#533801,#414512,#414513); #362186=AXIS2_PLACEMENT_3D('',#533803,#414514,#414515); #362187=AXIS2_PLACEMENT_3D('',#533805,#414517,#414518); #362188=AXIS2_PLACEMENT_3D('',#533811,#414522,#414523); #362189=AXIS2_PLACEMENT_3D('',#533813,#414524,#414525); #362190=AXIS2_PLACEMENT_3D('',#533815,#414526,#414527); #362191=AXIS2_PLACEMENT_3D('',#533817,#414529,#414530); #362192=AXIS2_PLACEMENT_3D('',#533823,#414534,#414535); #362193=AXIS2_PLACEMENT_3D('',#533824,#414536,#414537); #362194=AXIS2_PLACEMENT_3D('',#533825,#414538,#414539); #362195=AXIS2_PLACEMENT_3D('',#533826,#414540,#414541); #362196=AXIS2_PLACEMENT_3D('',#533827,#414542,#414543); #362197=AXIS2_PLACEMENT_3D('',#533828,#414544,#414545); #362198=AXIS2_PLACEMENT_3D('',#533830,#414546,#414547); #362199=AXIS2_PLACEMENT_3D('',#533833,#414549,#414550); #362200=AXIS2_PLACEMENT_3D('',#533834,#414551,#414552); #362201=AXIS2_PLACEMENT_3D('',#533843,#414557,#414558); #362202=AXIS2_PLACEMENT_3D('',#533849,#414562,#414563); #362203=AXIS2_PLACEMENT_3D('',#533855,#414567,#414568); #362204=AXIS2_PLACEMENT_3D('',#533861,#414572,#414573); #362205=AXIS2_PLACEMENT_3D('',#533867,#414577,#414578); #362206=AXIS2_PLACEMENT_3D('',#533873,#414582,#414583); #362207=AXIS2_PLACEMENT_3D('',#533875,#414584,#414585); #362208=AXIS2_PLACEMENT_3D('',#533877,#414586,#414587); #362209=AXIS2_PLACEMENT_3D('',#533879,#414589,#414590); #362210=AXIS2_PLACEMENT_3D('',#533885,#414594,#414595); #362211=AXIS2_PLACEMENT_3D('',#533891,#414599,#414600); #362212=AXIS2_PLACEMENT_3D('',#533893,#414601,#414602); #362213=AXIS2_PLACEMENT_3D('',#533895,#414603,#414604); #362214=AXIS2_PLACEMENT_3D('',#533897,#414606,#414607); #362215=AXIS2_PLACEMENT_3D('',#533903,#414611,#414612); #362216=AXIS2_PLACEMENT_3D('',#533905,#414613,#414614); #362217=AXIS2_PLACEMENT_3D('',#533907,#414615,#414616); #362218=AXIS2_PLACEMENT_3D('',#533909,#414618,#414619); #362219=AXIS2_PLACEMENT_3D('',#533911,#414620,#414621); #362220=AXIS2_PLACEMENT_3D('',#533913,#414622,#414623); #362221=AXIS2_PLACEMENT_3D('',#533915,#414625,#414626); #362222=AXIS2_PLACEMENT_3D('',#533921,#414630,#414631); #362223=AXIS2_PLACEMENT_3D('',#533927,#414635,#414636); #362224=AXIS2_PLACEMENT_3D('',#533929,#414637,#414638); #362225=AXIS2_PLACEMENT_3D('',#533931,#414639,#414640); #362226=AXIS2_PLACEMENT_3D('',#533933,#414642,#414643); #362227=AXIS2_PLACEMENT_3D('',#533939,#414647,#414648); #362228=AXIS2_PLACEMENT_3D('',#533945,#414652,#414653); #362229=AXIS2_PLACEMENT_3D('',#533947,#414654,#414655); #362230=AXIS2_PLACEMENT_3D('',#533949,#414656,#414657); #362231=AXIS2_PLACEMENT_3D('',#533951,#414659,#414660); #362232=AXIS2_PLACEMENT_3D('',#533957,#414664,#414665); #362233=AXIS2_PLACEMENT_3D('',#533960,#414668,#414669); #362234=AXIS2_PLACEMENT_3D('',#533961,#414670,#414671); #362235=AXIS2_PLACEMENT_3D('',#533962,#414672,#414673); #362236=AXIS2_PLACEMENT_3D('',#533964,#414674,#414675); #362237=AXIS2_PLACEMENT_3D('',#533967,#414677,#414678); #362238=AXIS2_PLACEMENT_3D('',#533968,#414679,#414680); #362239=AXIS2_PLACEMENT_3D('',#533970,#414681,#414682); #362240=AXIS2_PLACEMENT_3D('',#533973,#414684,#414685); #362241=AXIS2_PLACEMENT_3D('',#533974,#414686,#414687); #362242=AXIS2_PLACEMENT_3D('',#533976,#414688,#414689); #362243=AXIS2_PLACEMENT_3D('',#533979,#414691,#414692); #362244=AXIS2_PLACEMENT_3D('',#533980,#414693,#414694); #362245=AXIS2_PLACEMENT_3D('',#533982,#414695,#414696); #362246=AXIS2_PLACEMENT_3D('',#533985,#414698,#414699); #362247=AXIS2_PLACEMENT_3D('',#533986,#414700,#414701); #362248=AXIS2_PLACEMENT_3D('',#533988,#414702,#414703); #362249=AXIS2_PLACEMENT_3D('',#533991,#414705,#414706); #362250=AXIS2_PLACEMENT_3D('',#533992,#414707,#414708); #362251=AXIS2_PLACEMENT_3D('',#533994,#414709,#414710); #362252=AXIS2_PLACEMENT_3D('',#533997,#414712,#414713); #362253=AXIS2_PLACEMENT_3D('',#533998,#414714,#414715); #362254=AXIS2_PLACEMENT_3D('',#534000,#414716,#414717); #362255=AXIS2_PLACEMENT_3D('',#534003,#414719,#414720); #362256=AXIS2_PLACEMENT_3D('',#534004,#414721,#414722); #362257=AXIS2_PLACEMENT_3D('',#534006,#414723,#414724); #362258=AXIS2_PLACEMENT_3D('',#534009,#414726,#414727); #362259=AXIS2_PLACEMENT_3D('',#534010,#414728,#414729); #362260=AXIS2_PLACEMENT_3D('',#534012,#414730,#414731); #362261=AXIS2_PLACEMENT_3D('',#534015,#414733,#414734); #362262=AXIS2_PLACEMENT_3D('',#534016,#414735,#414736); #362263=AXIS2_PLACEMENT_3D('',#534018,#414737,#414738); #362264=AXIS2_PLACEMENT_3D('',#534021,#414740,#414741); #362265=AXIS2_PLACEMENT_3D('',#534022,#414742,#414743); #362266=AXIS2_PLACEMENT_3D('',#534024,#414744,#414745); #362267=AXIS2_PLACEMENT_3D('',#534027,#414747,#414748); #362268=AXIS2_PLACEMENT_3D('',#534028,#414749,#414750); #362269=AXIS2_PLACEMENT_3D('',#534037,#414755,#414756); #362270=AXIS2_PLACEMENT_3D('',#534043,#414760,#414761); #362271=AXIS2_PLACEMENT_3D('',#534049,#414765,#414766); #362272=AXIS2_PLACEMENT_3D('',#534055,#414770,#414771); #362273=AXIS2_PLACEMENT_3D('',#534061,#414775,#414776); #362274=AXIS2_PLACEMENT_3D('',#534067,#414780,#414781); #362275=AXIS2_PLACEMENT_3D('',#534073,#414785,#414786); #362276=AXIS2_PLACEMENT_3D('',#534079,#414790,#414791); #362277=AXIS2_PLACEMENT_3D('',#534085,#414795,#414796); #362278=AXIS2_PLACEMENT_3D('',#534091,#414800,#414801); #362279=AXIS2_PLACEMENT_3D('',#534097,#414805,#414806); #362280=AXIS2_PLACEMENT_3D('',#534103,#414810,#414811); #362281=AXIS2_PLACEMENT_3D('',#534109,#414815,#414816); #362282=AXIS2_PLACEMENT_3D('',#534115,#414820,#414821); #362283=AXIS2_PLACEMENT_3D('',#534121,#414825,#414826); #362284=AXIS2_PLACEMENT_3D('',#534127,#414830,#414831); #362285=AXIS2_PLACEMENT_3D('',#534133,#414835,#414836); #362286=AXIS2_PLACEMENT_3D('',#534139,#414840,#414841); #362287=AXIS2_PLACEMENT_3D('',#534145,#414845,#414846); #362288=AXIS2_PLACEMENT_3D('',#534151,#414850,#414851); #362289=AXIS2_PLACEMENT_3D('',#534157,#414855,#414856); #362290=AXIS2_PLACEMENT_3D('',#534163,#414860,#414861); #362291=AXIS2_PLACEMENT_3D('',#534169,#414865,#414866); #362292=AXIS2_PLACEMENT_3D('',#534175,#414870,#414871); #362293=AXIS2_PLACEMENT_3D('',#534177,#414872,#414873); #362294=AXIS2_PLACEMENT_3D('',#534179,#414874,#414875); #362295=AXIS2_PLACEMENT_3D('',#534181,#414877,#414878); #362296=AXIS2_PLACEMENT_3D('',#534187,#414882,#414883); #362297=AXIS2_PLACEMENT_3D('',#534193,#414887,#414888); #362298=AXIS2_PLACEMENT_3D('',#534195,#414889,#414890); #362299=AXIS2_PLACEMENT_3D('',#534197,#414891,#414892); #362300=AXIS2_PLACEMENT_3D('',#534199,#414894,#414895); #362301=AXIS2_PLACEMENT_3D('',#534205,#414899,#414900); #362302=AXIS2_PLACEMENT_3D('',#534211,#414904,#414905); #362303=AXIS2_PLACEMENT_3D('',#534217,#414909,#414910); #362304=AXIS2_PLACEMENT_3D('',#534223,#414914,#414915); #362305=AXIS2_PLACEMENT_3D('',#534229,#414919,#414920); #362306=AXIS2_PLACEMENT_3D('',#534235,#414924,#414925); #362307=AXIS2_PLACEMENT_3D('',#534241,#414929,#414930); #362308=AXIS2_PLACEMENT_3D('',#534247,#414934,#414935); #362309=AXIS2_PLACEMENT_3D('',#534249,#414936,#414937); #362310=AXIS2_PLACEMENT_3D('',#534251,#414938,#414939); #362311=AXIS2_PLACEMENT_3D('',#534253,#414941,#414942); #362312=AXIS2_PLACEMENT_3D('',#534259,#414946,#414947); #362313=AXIS2_PLACEMENT_3D('',#534261,#414948,#414949); #362314=AXIS2_PLACEMENT_3D('',#534263,#414950,#414951); #362315=AXIS2_PLACEMENT_3D('',#534265,#414953,#414954); #362316=AXIS2_PLACEMENT_3D('',#534271,#414958,#414959); #362317=AXIS2_PLACEMENT_3D('',#534277,#414963,#414964); #362318=AXIS2_PLACEMENT_3D('',#534283,#414968,#414969); #362319=AXIS2_PLACEMENT_3D('',#534289,#414973,#414974); #362320=AXIS2_PLACEMENT_3D('',#534295,#414978,#414979); #362321=AXIS2_PLACEMENT_3D('',#534301,#414983,#414984); #362322=AXIS2_PLACEMENT_3D('',#534307,#414988,#414989); #362323=AXIS2_PLACEMENT_3D('',#534313,#414993,#414994); #362324=AXIS2_PLACEMENT_3D('',#534319,#414998,#414999); #362325=AXIS2_PLACEMENT_3D('',#534325,#415003,#415004); #362326=AXIS2_PLACEMENT_3D('',#534331,#415008,#415009); #362327=AXIS2_PLACEMENT_3D('',#534337,#415013,#415014); #362328=AXIS2_PLACEMENT_3D('',#534343,#415018,#415019); #362329=AXIS2_PLACEMENT_3D('',#534349,#415023,#415024); #362330=AXIS2_PLACEMENT_3D('',#534355,#415028,#415029); #362331=AXIS2_PLACEMENT_3D('',#534357,#415030,#415031); #362332=AXIS2_PLACEMENT_3D('',#534359,#415032,#415033); #362333=AXIS2_PLACEMENT_3D('',#534361,#415035,#415036); #362334=AXIS2_PLACEMENT_3D('',#534367,#415040,#415041); #362335=AXIS2_PLACEMENT_3D('',#534369,#415042,#415043); #362336=AXIS2_PLACEMENT_3D('',#534371,#415044,#415045); #362337=AXIS2_PLACEMENT_3D('',#534373,#415047,#415048); #362338=AXIS2_PLACEMENT_3D('',#534379,#415052,#415053); #362339=AXIS2_PLACEMENT_3D('',#534385,#415057,#415058); #362340=AXIS2_PLACEMENT_3D('',#534391,#415062,#415063); #362341=AXIS2_PLACEMENT_3D('',#534397,#415067,#415068); #362342=AXIS2_PLACEMENT_3D('',#534403,#415072,#415073); #362343=AXIS2_PLACEMENT_3D('',#534409,#415077,#415078); #362344=AXIS2_PLACEMENT_3D('',#534415,#415082,#415083); #362345=AXIS2_PLACEMENT_3D('',#534421,#415087,#415088); #362346=AXIS2_PLACEMENT_3D('',#534427,#415092,#415093); #362347=AXIS2_PLACEMENT_3D('',#534433,#415097,#415098); #362348=AXIS2_PLACEMENT_3D('',#534439,#415102,#415103); #362349=AXIS2_PLACEMENT_3D('',#534445,#415107,#415108); #362350=AXIS2_PLACEMENT_3D('',#534451,#415112,#415113); #362351=AXIS2_PLACEMENT_3D('',#534457,#415117,#415118); #362352=AXIS2_PLACEMENT_3D('',#534463,#415122,#415123); #362353=AXIS2_PLACEMENT_3D('',#534469,#415127,#415128); #362354=AXIS2_PLACEMENT_3D('',#534475,#415132,#415133); #362355=AXIS2_PLACEMENT_3D('',#534481,#415137,#415138); #362356=AXIS2_PLACEMENT_3D('',#534487,#415142,#415143); #362357=AXIS2_PLACEMENT_3D('',#534493,#415147,#415148); #362358=AXIS2_PLACEMENT_3D('',#534499,#415152,#415153); #362359=AXIS2_PLACEMENT_3D('',#534505,#415157,#415158); #362360=AXIS2_PLACEMENT_3D('',#534511,#415162,#415163); #362361=AXIS2_PLACEMENT_3D('',#534517,#415167,#415168); #362362=AXIS2_PLACEMENT_3D('',#534523,#415172,#415173); #362363=AXIS2_PLACEMENT_3D('',#534529,#415177,#415178); #362364=AXIS2_PLACEMENT_3D('',#534535,#415182,#415183); #362365=AXIS2_PLACEMENT_3D('',#534541,#415187,#415188); #362366=AXIS2_PLACEMENT_3D('',#534547,#415192,#415193); #362367=AXIS2_PLACEMENT_3D('',#534553,#415197,#415198); #362368=AXIS2_PLACEMENT_3D('',#534559,#415202,#415203); #362369=AXIS2_PLACEMENT_3D('',#534565,#415207,#415208); #362370=AXIS2_PLACEMENT_3D('',#534571,#415212,#415213); #362371=AXIS2_PLACEMENT_3D('',#534577,#415217,#415218); #362372=AXIS2_PLACEMENT_3D('',#534583,#415222,#415223); #362373=AXIS2_PLACEMENT_3D('',#534589,#415227,#415228); #362374=AXIS2_PLACEMENT_3D('',#534595,#415232,#415233); #362375=AXIS2_PLACEMENT_3D('',#534601,#415237,#415238); #362376=AXIS2_PLACEMENT_3D('',#534607,#415242,#415243); #362377=AXIS2_PLACEMENT_3D('',#534613,#415247,#415248); #362378=AXIS2_PLACEMENT_3D('',#534619,#415252,#415253); #362379=AXIS2_PLACEMENT_3D('',#534625,#415257,#415258); #362380=AXIS2_PLACEMENT_3D('',#534631,#415262,#415263); #362381=AXIS2_PLACEMENT_3D('',#534637,#415267,#415268); #362382=AXIS2_PLACEMENT_3D('',#534643,#415272,#415273); #362383=AXIS2_PLACEMENT_3D('',#534649,#415277,#415278); #362384=AXIS2_PLACEMENT_3D('',#534655,#415282,#415283); #362385=AXIS2_PLACEMENT_3D('',#534661,#415287,#415288); #362386=AXIS2_PLACEMENT_3D('',#534667,#415292,#415293); #362387=AXIS2_PLACEMENT_3D('',#534673,#415297,#415298); #362388=AXIS2_PLACEMENT_3D('',#534679,#415302,#415303); #362389=AXIS2_PLACEMENT_3D('',#534685,#415307,#415308); #362390=AXIS2_PLACEMENT_3D('',#534691,#415312,#415313); #362391=AXIS2_PLACEMENT_3D('',#534697,#415317,#415318); #362392=AXIS2_PLACEMENT_3D('',#534703,#415322,#415323); #362393=AXIS2_PLACEMENT_3D('',#534709,#415327,#415328); #362394=AXIS2_PLACEMENT_3D('',#534715,#415332,#415333); #362395=AXIS2_PLACEMENT_3D('',#534721,#415337,#415338); #362396=AXIS2_PLACEMENT_3D('',#534727,#415342,#415343); #362397=AXIS2_PLACEMENT_3D('',#534733,#415347,#415348); #362398=AXIS2_PLACEMENT_3D('',#534739,#415352,#415353); #362399=AXIS2_PLACEMENT_3D('',#534745,#415357,#415358); #362400=AXIS2_PLACEMENT_3D('',#534751,#415362,#415363); #362401=AXIS2_PLACEMENT_3D('',#534757,#415367,#415368); #362402=AXIS2_PLACEMENT_3D('',#534763,#415372,#415373); #362403=AXIS2_PLACEMENT_3D('',#534769,#415377,#415378); #362404=AXIS2_PLACEMENT_3D('',#534772,#415381,#415382); #362405=AXIS2_PLACEMENT_3D('',#534773,#415383,#415384); #362406=AXIS2_PLACEMENT_3D('',#534774,#415385,#415386); #362407=AXIS2_PLACEMENT_3D('',#534776,#415387,#415388); #362408=AXIS2_PLACEMENT_3D('',#534779,#415390,#415391); #362409=AXIS2_PLACEMENT_3D('',#534780,#415392,#415393); #362410=AXIS2_PLACEMENT_3D('',#534782,#415394,#415395); #362411=AXIS2_PLACEMENT_3D('',#534785,#415397,#415398); #362412=AXIS2_PLACEMENT_3D('',#534786,#415399,#415400); #362413=AXIS2_PLACEMENT_3D('',#534788,#415401,#415402); #362414=AXIS2_PLACEMENT_3D('',#534791,#415404,#415405); #362415=AXIS2_PLACEMENT_3D('',#534792,#415406,#415407); #362416=AXIS2_PLACEMENT_3D('',#534794,#415408,#415409); #362417=AXIS2_PLACEMENT_3D('',#534797,#415411,#415412); #362418=AXIS2_PLACEMENT_3D('',#534798,#415413,#415414); #362419=AXIS2_PLACEMENT_3D('',#534800,#415415,#415416); #362420=AXIS2_PLACEMENT_3D('',#534803,#415418,#415419); #362421=AXIS2_PLACEMENT_3D('',#534804,#415420,#415421); #362422=AXIS2_PLACEMENT_3D('',#534806,#415422,#415423); #362423=AXIS2_PLACEMENT_3D('',#534809,#415425,#415426); #362424=AXIS2_PLACEMENT_3D('',#534810,#415427,#415428); #362425=AXIS2_PLACEMENT_3D('',#534812,#415429,#415430); #362426=AXIS2_PLACEMENT_3D('',#534815,#415432,#415433); #362427=AXIS2_PLACEMENT_3D('',#534816,#415434,#415435); #362428=AXIS2_PLACEMENT_3D('',#534818,#415436,#415437); #362429=AXIS2_PLACEMENT_3D('',#534821,#415439,#415440); #362430=AXIS2_PLACEMENT_3D('',#534822,#415441,#415442); #362431=AXIS2_PLACEMENT_3D('',#534824,#415443,#415444); #362432=AXIS2_PLACEMENT_3D('',#534827,#415446,#415447); #362433=AXIS2_PLACEMENT_3D('',#534828,#415448,#415449); #362434=AXIS2_PLACEMENT_3D('',#534830,#415450,#415451); #362435=AXIS2_PLACEMENT_3D('',#534833,#415453,#415454); #362436=AXIS2_PLACEMENT_3D('',#534834,#415455,#415456); #362437=AXIS2_PLACEMENT_3D('',#534836,#415457,#415458); #362438=AXIS2_PLACEMENT_3D('',#534839,#415460,#415461); #362439=AXIS2_PLACEMENT_3D('',#534840,#415462,#415463); #362440=AXIS2_PLACEMENT_3D('',#534842,#415464,#415465); #362441=AXIS2_PLACEMENT_3D('',#534845,#415467,#415468); #362442=AXIS2_PLACEMENT_3D('',#534846,#415469,#415470); #362443=AXIS2_PLACEMENT_3D('',#534848,#415471,#415472); #362444=AXIS2_PLACEMENT_3D('',#534851,#415474,#415475); #362445=AXIS2_PLACEMENT_3D('',#534852,#415476,#415477); #362446=AXIS2_PLACEMENT_3D('',#534854,#415478,#415479); #362447=AXIS2_PLACEMENT_3D('',#534857,#415481,#415482); #362448=AXIS2_PLACEMENT_3D('',#534858,#415483,#415484); #362449=AXIS2_PLACEMENT_3D('',#534860,#415485,#415486); #362450=AXIS2_PLACEMENT_3D('',#534863,#415488,#415489); #362451=AXIS2_PLACEMENT_3D('',#534864,#415490,#415491); #362452=AXIS2_PLACEMENT_3D('',#534866,#415492,#415493); #362453=AXIS2_PLACEMENT_3D('',#534869,#415495,#415496); #362454=AXIS2_PLACEMENT_3D('',#534870,#415497,#415498); #362455=AXIS2_PLACEMENT_3D('',#534872,#415499,#415500); #362456=AXIS2_PLACEMENT_3D('',#534875,#415502,#415503); #362457=AXIS2_PLACEMENT_3D('',#534876,#415504,#415505); #362458=AXIS2_PLACEMENT_3D('',#534878,#415506,#415507); #362459=AXIS2_PLACEMENT_3D('',#534881,#415509,#415510); #362460=AXIS2_PLACEMENT_3D('',#534882,#415511,#415512); #362461=AXIS2_PLACEMENT_3D('',#534884,#415513,#415514); #362462=AXIS2_PLACEMENT_3D('',#534887,#415516,#415517); #362463=AXIS2_PLACEMENT_3D('',#534888,#415518,#415519); #362464=AXIS2_PLACEMENT_3D('',#534890,#415520,#415521); #362465=AXIS2_PLACEMENT_3D('',#534893,#415523,#415524); #362466=AXIS2_PLACEMENT_3D('',#534894,#415525,#415526); #362467=AXIS2_PLACEMENT_3D('',#534896,#415527,#415528); #362468=AXIS2_PLACEMENT_3D('',#534899,#415530,#415531); #362469=AXIS2_PLACEMENT_3D('',#534900,#415532,#415533); #362470=AXIS2_PLACEMENT_3D('',#534902,#415534,#415535); #362471=AXIS2_PLACEMENT_3D('',#534905,#415537,#415538); #362472=AXIS2_PLACEMENT_3D('',#534906,#415539,#415540); #362473=AXIS2_PLACEMENT_3D('',#534908,#415541,#415542); #362474=AXIS2_PLACEMENT_3D('',#534911,#415544,#415545); #362475=AXIS2_PLACEMENT_3D('',#534912,#415546,#415547); #362476=AXIS2_PLACEMENT_3D('',#534914,#415548,#415549); #362477=AXIS2_PLACEMENT_3D('',#534917,#415551,#415552); #362478=AXIS2_PLACEMENT_3D('',#534918,#415553,#415554); #362479=AXIS2_PLACEMENT_3D('',#534920,#415555,#415556); #362480=AXIS2_PLACEMENT_3D('',#534923,#415558,#415559); #362481=AXIS2_PLACEMENT_3D('',#534924,#415560,#415561); #362482=AXIS2_PLACEMENT_3D('',#534926,#415562,#415563); #362483=AXIS2_PLACEMENT_3D('',#534929,#415565,#415566); #362484=AXIS2_PLACEMENT_3D('',#534930,#415567,#415568); #362485=AXIS2_PLACEMENT_3D('',#534932,#415569,#415570); #362486=AXIS2_PLACEMENT_3D('',#534935,#415572,#415573); #362487=AXIS2_PLACEMENT_3D('',#534936,#415574,#415575); #362488=AXIS2_PLACEMENT_3D('',#534938,#415576,#415577); #362489=AXIS2_PLACEMENT_3D('',#534941,#415579,#415580); #362490=AXIS2_PLACEMENT_3D('',#534942,#415581,#415582); #362491=AXIS2_PLACEMENT_3D('',#534944,#415583,#415584); #362492=AXIS2_PLACEMENT_3D('',#534947,#415586,#415587); #362493=AXIS2_PLACEMENT_3D('',#534948,#415588,#415589); #362494=AXIS2_PLACEMENT_3D('',#534950,#415590,#415591); #362495=AXIS2_PLACEMENT_3D('',#534953,#415593,#415594); #362496=AXIS2_PLACEMENT_3D('',#534954,#415595,#415596); #362497=AXIS2_PLACEMENT_3D('',#534956,#415597,#415598); #362498=AXIS2_PLACEMENT_3D('',#534959,#415600,#415601); #362499=AXIS2_PLACEMENT_3D('',#534960,#415602,#415603); #362500=AXIS2_PLACEMENT_3D('',#534969,#415608,#415609); #362501=AXIS2_PLACEMENT_3D('',#534971,#415610,#415611); #362502=AXIS2_PLACEMENT_3D('',#534973,#415612,#415613); #362503=AXIS2_PLACEMENT_3D('',#534975,#415615,#415616); #362504=AXIS2_PLACEMENT_3D('',#534981,#415620,#415621); #362505=AXIS2_PLACEMENT_3D('',#534983,#415622,#415623); #362506=AXIS2_PLACEMENT_3D('',#534985,#415624,#415625); #362507=AXIS2_PLACEMENT_3D('',#534987,#415627,#415628); #362508=AXIS2_PLACEMENT_3D('',#534993,#415632,#415633); #362509=AXIS2_PLACEMENT_3D('',#534995,#415634,#415635); #362510=AXIS2_PLACEMENT_3D('',#534997,#415636,#415637); #362511=AXIS2_PLACEMENT_3D('',#534999,#415639,#415640); #362512=AXIS2_PLACEMENT_3D('',#535005,#415644,#415645); #362513=AXIS2_PLACEMENT_3D('',#535011,#415649,#415650); #362514=AXIS2_PLACEMENT_3D('',#535017,#415654,#415655); #362515=AXIS2_PLACEMENT_3D('',#535023,#415659,#415660); #362516=AXIS2_PLACEMENT_3D('',#535029,#415664,#415665); #362517=AXIS2_PLACEMENT_3D('',#535035,#415669,#415670); #362518=AXIS2_PLACEMENT_3D('',#535041,#415674,#415675); #362519=AXIS2_PLACEMENT_3D('',#535047,#415679,#415680); #362520=AXIS2_PLACEMENT_3D('',#535053,#415684,#415685); #362521=AXIS2_PLACEMENT_3D('',#535059,#415689,#415690); #362522=AXIS2_PLACEMENT_3D('',#535065,#415694,#415695); #362523=AXIS2_PLACEMENT_3D('',#535071,#415699,#415700); #362524=AXIS2_PLACEMENT_3D('',#535077,#415704,#415705); #362525=AXIS2_PLACEMENT_3D('',#535083,#415709,#415710); #362526=AXIS2_PLACEMENT_3D('',#535089,#415714,#415715); #362527=AXIS2_PLACEMENT_3D('',#535095,#415719,#415720); #362528=AXIS2_PLACEMENT_3D('',#535101,#415724,#415725); #362529=AXIS2_PLACEMENT_3D('',#535107,#415729,#415730); #362530=AXIS2_PLACEMENT_3D('',#535113,#415734,#415735); #362531=AXIS2_PLACEMENT_3D('',#535119,#415739,#415740); #362532=AXIS2_PLACEMENT_3D('',#535125,#415744,#415745); #362533=AXIS2_PLACEMENT_3D('',#535131,#415749,#415750); #362534=AXIS2_PLACEMENT_3D('',#535137,#415754,#415755); #362535=AXIS2_PLACEMENT_3D('',#535143,#415759,#415760); #362536=AXIS2_PLACEMENT_3D('',#535149,#415764,#415765); #362537=AXIS2_PLACEMENT_3D('',#535155,#415769,#415770); #362538=AXIS2_PLACEMENT_3D('',#535161,#415774,#415775); #362539=AXIS2_PLACEMENT_3D('',#535167,#415779,#415780); #362540=AXIS2_PLACEMENT_3D('',#535173,#415784,#415785); #362541=AXIS2_PLACEMENT_3D('',#535179,#415789,#415790); #362542=AXIS2_PLACEMENT_3D('',#535185,#415794,#415795); #362543=AXIS2_PLACEMENT_3D('',#535191,#415799,#415800); #362544=AXIS2_PLACEMENT_3D('',#535197,#415804,#415805); #362545=AXIS2_PLACEMENT_3D('',#535203,#415809,#415810); #362546=AXIS2_PLACEMENT_3D('',#535209,#415814,#415815); #362547=AXIS2_PLACEMENT_3D('',#535215,#415819,#415820); #362548=AXIS2_PLACEMENT_3D('',#535221,#415824,#415825); #362549=AXIS2_PLACEMENT_3D('',#535227,#415829,#415830); #362550=AXIS2_PLACEMENT_3D('',#535233,#415834,#415835); #362551=AXIS2_PLACEMENT_3D('',#535239,#415839,#415840); #362552=AXIS2_PLACEMENT_3D('',#535245,#415844,#415845); #362553=AXIS2_PLACEMENT_3D('',#535251,#415849,#415850); #362554=AXIS2_PLACEMENT_3D('',#535257,#415854,#415855); #362555=AXIS2_PLACEMENT_3D('',#535263,#415859,#415860); #362556=AXIS2_PLACEMENT_3D('',#535269,#415864,#415865); #362557=AXIS2_PLACEMENT_3D('',#535275,#415869,#415870); #362558=AXIS2_PLACEMENT_3D('',#535281,#415874,#415875); #362559=AXIS2_PLACEMENT_3D('',#535287,#415879,#415880); #362560=AXIS2_PLACEMENT_3D('',#535293,#415884,#415885); #362561=AXIS2_PLACEMENT_3D('',#535299,#415889,#415890); #362562=AXIS2_PLACEMENT_3D('',#535305,#415894,#415895); #362563=AXIS2_PLACEMENT_3D('',#535311,#415899,#415900); #362564=AXIS2_PLACEMENT_3D('',#535317,#415904,#415905); #362565=AXIS2_PLACEMENT_3D('',#535323,#415909,#415910); #362566=AXIS2_PLACEMENT_3D('',#535329,#415914,#415915); #362567=AXIS2_PLACEMENT_3D('',#535335,#415919,#415920); #362568=AXIS2_PLACEMENT_3D('',#535341,#415924,#415925); #362569=AXIS2_PLACEMENT_3D('',#535347,#415929,#415930); #362570=AXIS2_PLACEMENT_3D('',#535353,#415934,#415935); #362571=AXIS2_PLACEMENT_3D('',#535359,#415939,#415940); #362572=AXIS2_PLACEMENT_3D('',#535365,#415944,#415945); #362573=AXIS2_PLACEMENT_3D('',#535371,#415949,#415950); #362574=AXIS2_PLACEMENT_3D('',#535377,#415954,#415955); #362575=AXIS2_PLACEMENT_3D('',#535383,#415959,#415960); #362576=AXIS2_PLACEMENT_3D('',#535389,#415964,#415965); #362577=AXIS2_PLACEMENT_3D('',#535395,#415969,#415970); #362578=AXIS2_PLACEMENT_3D('',#535401,#415974,#415975); #362579=AXIS2_PLACEMENT_3D('',#535403,#415976,#415977); #362580=AXIS2_PLACEMENT_3D('',#535405,#415978,#415979); #362581=AXIS2_PLACEMENT_3D('',#535407,#415981,#415982); #362582=AXIS2_PLACEMENT_3D('',#535413,#415986,#415987); #362583=AXIS2_PLACEMENT_3D('',#535415,#415988,#415989); #362584=AXIS2_PLACEMENT_3D('',#535417,#415990,#415991); #362585=AXIS2_PLACEMENT_3D('',#535419,#415993,#415994); #362586=AXIS2_PLACEMENT_3D('',#535425,#415998,#415999); #362587=AXIS2_PLACEMENT_3D('',#535431,#416003,#416004); #362588=AXIS2_PLACEMENT_3D('',#535437,#416008,#416009); #362589=AXIS2_PLACEMENT_3D('',#535438,#416010,#416011); #362590=AXIS2_PLACEMENT_3D('',#535439,#416012,#416013); #362591=AXIS2_PLACEMENT_3D('',#535440,#416014,#416015); #362592=AXIS2_PLACEMENT_3D('',#535441,#416016,#416017); #362593=AXIS2_PLACEMENT_3D('',#535442,#416018,#416019); #362594=AXIS2_PLACEMENT_3D('',#535444,#416020,#416021); #362595=AXIS2_PLACEMENT_3D('',#535447,#416023,#416024); #362596=AXIS2_PLACEMENT_3D('',#535448,#416025,#416026); #362597=AXIS2_PLACEMENT_3D('',#535457,#416031,#416032); #362598=AXIS2_PLACEMENT_3D('',#535463,#416036,#416037); #362599=AXIS2_PLACEMENT_3D('',#535469,#416041,#416042); #362600=AXIS2_PLACEMENT_3D('',#535475,#416046,#416047); #362601=AXIS2_PLACEMENT_3D('',#535481,#416051,#416052); #362602=AXIS2_PLACEMENT_3D('',#535483,#416053,#416054); #362603=AXIS2_PLACEMENT_3D('',#535485,#416055,#416056); #362604=AXIS2_PLACEMENT_3D('',#535487,#416058,#416059); #362605=AXIS2_PLACEMENT_3D('',#535493,#416063,#416064); #362606=AXIS2_PLACEMENT_3D('',#535499,#416068,#416069); #362607=AXIS2_PLACEMENT_3D('',#535501,#416070,#416071); #362608=AXIS2_PLACEMENT_3D('',#535503,#416072,#416073); #362609=AXIS2_PLACEMENT_3D('',#535505,#416075,#416076); #362610=AXIS2_PLACEMENT_3D('',#535511,#416080,#416081); #362611=AXIS2_PLACEMENT_3D('',#535517,#416085,#416086); #362612=AXIS2_PLACEMENT_3D('',#535519,#416087,#416088); #362613=AXIS2_PLACEMENT_3D('',#535521,#416089,#416090); #362614=AXIS2_PLACEMENT_3D('',#535523,#416092,#416093); #362615=AXIS2_PLACEMENT_3D('',#535525,#416094,#416095); #362616=AXIS2_PLACEMENT_3D('',#535527,#416096,#416097); #362617=AXIS2_PLACEMENT_3D('',#535529,#416099,#416100); #362618=AXIS2_PLACEMENT_3D('',#535535,#416104,#416105); #362619=AXIS2_PLACEMENT_3D('',#535537,#416106,#416107); #362620=AXIS2_PLACEMENT_3D('',#535539,#416108,#416109); #362621=AXIS2_PLACEMENT_3D('',#535541,#416111,#416112); #362622=AXIS2_PLACEMENT_3D('',#535547,#416116,#416117); #362623=AXIS2_PLACEMENT_3D('',#535553,#416121,#416122); #362624=AXIS2_PLACEMENT_3D('',#535555,#416123,#416124); #362625=AXIS2_PLACEMENT_3D('',#535557,#416125,#416126); #362626=AXIS2_PLACEMENT_3D('',#535559,#416128,#416129); #362627=AXIS2_PLACEMENT_3D('',#535565,#416133,#416134); #362628=AXIS2_PLACEMENT_3D('',#535571,#416138,#416139); #362629=AXIS2_PLACEMENT_3D('',#535574,#416142,#416143); #362630=AXIS2_PLACEMENT_3D('',#535575,#416144,#416145); #362631=AXIS2_PLACEMENT_3D('',#535576,#416146,#416147); #362632=AXIS2_PLACEMENT_3D('',#535578,#416148,#416149); #362633=AXIS2_PLACEMENT_3D('',#535581,#416151,#416152); #362634=AXIS2_PLACEMENT_3D('',#535582,#416153,#416154); #362635=AXIS2_PLACEMENT_3D('',#535584,#416155,#416156); #362636=AXIS2_PLACEMENT_3D('',#535587,#416158,#416159); #362637=AXIS2_PLACEMENT_3D('',#535588,#416160,#416161); #362638=AXIS2_PLACEMENT_3D('',#535590,#416162,#416163); #362639=AXIS2_PLACEMENT_3D('',#535593,#416165,#416166); #362640=AXIS2_PLACEMENT_3D('',#535594,#416167,#416168); #362641=AXIS2_PLACEMENT_3D('',#535596,#416169,#416170); #362642=AXIS2_PLACEMENT_3D('',#535599,#416172,#416173); #362643=AXIS2_PLACEMENT_3D('',#535600,#416174,#416175); #362644=AXIS2_PLACEMENT_3D('',#535602,#416176,#416177); #362645=AXIS2_PLACEMENT_3D('',#535605,#416179,#416180); #362646=AXIS2_PLACEMENT_3D('',#535606,#416181,#416182); #362647=AXIS2_PLACEMENT_3D('',#535608,#416183,#416184); #362648=AXIS2_PLACEMENT_3D('',#535611,#416186,#416187); #362649=AXIS2_PLACEMENT_3D('',#535612,#416188,#416189); #362650=AXIS2_PLACEMENT_3D('',#535614,#416190,#416191); #362651=AXIS2_PLACEMENT_3D('',#535617,#416193,#416194); #362652=AXIS2_PLACEMENT_3D('',#535618,#416195,#416196); #362653=AXIS2_PLACEMENT_3D('',#535620,#416197,#416198); #362654=AXIS2_PLACEMENT_3D('',#535623,#416200,#416201); #362655=AXIS2_PLACEMENT_3D('',#535624,#416202,#416203); #362656=AXIS2_PLACEMENT_3D('',#535626,#416204,#416205); #362657=AXIS2_PLACEMENT_3D('',#535629,#416207,#416208); #362658=AXIS2_PLACEMENT_3D('',#535630,#416209,#416210); #362659=AXIS2_PLACEMENT_3D('',#535632,#416211,#416212); #362660=AXIS2_PLACEMENT_3D('',#535635,#416214,#416215); #362661=AXIS2_PLACEMENT_3D('',#535636,#416216,#416217); #362662=AXIS2_PLACEMENT_3D('',#535638,#416218,#416219); #362663=AXIS2_PLACEMENT_3D('',#535641,#416221,#416222); #362664=AXIS2_PLACEMENT_3D('',#535642,#416223,#416224); #362665=AXIS2_PLACEMENT_3D('',#535644,#416225,#416226); #362666=AXIS2_PLACEMENT_3D('',#535647,#416228,#416229); #362667=AXIS2_PLACEMENT_3D('',#535648,#416230,#416231); #362668=AXIS2_PLACEMENT_3D('',#535650,#416232,#416233); #362669=AXIS2_PLACEMENT_3D('',#535653,#416235,#416236); #362670=AXIS2_PLACEMENT_3D('',#535654,#416237,#416238); #362671=AXIS2_PLACEMENT_3D('',#535656,#416239,#416240); #362672=AXIS2_PLACEMENT_3D('',#535659,#416242,#416243); #362673=AXIS2_PLACEMENT_3D('',#535660,#416244,#416245); #362674=AXIS2_PLACEMENT_3D('',#535662,#416246,#416247); #362675=AXIS2_PLACEMENT_3D('',#535665,#416249,#416250); #362676=AXIS2_PLACEMENT_3D('',#535666,#416251,#416252); #362677=AXIS2_PLACEMENT_3D('',#535668,#416253,#416254); #362678=AXIS2_PLACEMENT_3D('',#535671,#416256,#416257); #362679=AXIS2_PLACEMENT_3D('',#535672,#416258,#416259); #362680=AXIS2_PLACEMENT_3D('',#535681,#416264,#416265); #362681=AXIS2_PLACEMENT_3D('',#535687,#416269,#416270); #362682=AXIS2_PLACEMENT_3D('',#535693,#416274,#416275); #362683=AXIS2_PLACEMENT_3D('',#535699,#416279,#416280); #362684=AXIS2_PLACEMENT_3D('',#535705,#416284,#416285); #362685=AXIS2_PLACEMENT_3D('',#535711,#416289,#416290); #362686=AXIS2_PLACEMENT_3D('',#535717,#416294,#416295); #362687=AXIS2_PLACEMENT_3D('',#535723,#416299,#416300); #362688=AXIS2_PLACEMENT_3D('',#535729,#416304,#416305); #362689=AXIS2_PLACEMENT_3D('',#535735,#416309,#416310); #362690=AXIS2_PLACEMENT_3D('',#535741,#416314,#416315); #362691=AXIS2_PLACEMENT_3D('',#535747,#416319,#416320); #362692=AXIS2_PLACEMENT_3D('',#535753,#416324,#416325); #362693=AXIS2_PLACEMENT_3D('',#535759,#416329,#416330); #362694=AXIS2_PLACEMENT_3D('',#535765,#416334,#416335); #362695=AXIS2_PLACEMENT_3D('',#535771,#416339,#416340); #362696=AXIS2_PLACEMENT_3D('',#535777,#416344,#416345); #362697=AXIS2_PLACEMENT_3D('',#535783,#416349,#416350); #362698=AXIS2_PLACEMENT_3D('',#535789,#416354,#416355); #362699=AXIS2_PLACEMENT_3D('',#535795,#416359,#416360); #362700=AXIS2_PLACEMENT_3D('',#535801,#416364,#416365); #362701=AXIS2_PLACEMENT_3D('',#535807,#416369,#416370); #362702=AXIS2_PLACEMENT_3D('',#535813,#416374,#416375); #362703=AXIS2_PLACEMENT_3D('',#535816,#416378,#416379); #362704=AXIS2_PLACEMENT_3D('',#535825,#416384,#416385); #362705=AXIS2_PLACEMENT_3D('',#535831,#416389,#416390); #362706=AXIS2_PLACEMENT_3D('',#535837,#416394,#416395); #362707=AXIS2_PLACEMENT_3D('',#535843,#416399,#416400); #362708=AXIS2_PLACEMENT_3D('',#535849,#416404,#416405); #362709=AXIS2_PLACEMENT_3D('',#535855,#416409,#416410); #362710=AXIS2_PLACEMENT_3D('',#535861,#416414,#416415); #362711=AXIS2_PLACEMENT_3D('',#535867,#416419,#416420); #362712=AXIS2_PLACEMENT_3D('',#535873,#416424,#416425); #362713=AXIS2_PLACEMENT_3D('',#535879,#416429,#416430); #362714=AXIS2_PLACEMENT_3D('',#535885,#416434,#416435); #362715=AXIS2_PLACEMENT_3D('',#535891,#416439,#416440); #362716=AXIS2_PLACEMENT_3D('',#535897,#416444,#416445); #362717=AXIS2_PLACEMENT_3D('',#535903,#416449,#416450); #362718=AXIS2_PLACEMENT_3D('',#535909,#416454,#416455); #362719=AXIS2_PLACEMENT_3D('',#535915,#416459,#416460); #362720=AXIS2_PLACEMENT_3D('',#535921,#416464,#416465); #362721=AXIS2_PLACEMENT_3D('',#535927,#416469,#416470); #362722=AXIS2_PLACEMENT_3D('',#535933,#416474,#416475); #362723=AXIS2_PLACEMENT_3D('',#535939,#416479,#416480); #362724=AXIS2_PLACEMENT_3D('',#535945,#416484,#416485); #362725=AXIS2_PLACEMENT_3D('',#535951,#416489,#416490); #362726=AXIS2_PLACEMENT_3D('',#535957,#416494,#416495); #362727=AXIS2_PLACEMENT_3D('',#535963,#416499,#416500); #362728=AXIS2_PLACEMENT_3D('',#535969,#416504,#416505); #362729=AXIS2_PLACEMENT_3D('',#535975,#416509,#416510); #362730=AXIS2_PLACEMENT_3D('',#535981,#416514,#416515); #362731=AXIS2_PLACEMENT_3D('',#535987,#416519,#416520); #362732=AXIS2_PLACEMENT_3D('',#535993,#416524,#416525); #362733=AXIS2_PLACEMENT_3D('',#535999,#416529,#416530); #362734=AXIS2_PLACEMENT_3D('',#536005,#416534,#416535); #362735=AXIS2_PLACEMENT_3D('',#536011,#416539,#416540); #362736=AXIS2_PLACEMENT_3D('',#536017,#416544,#416545); #362737=AXIS2_PLACEMENT_3D('',#536023,#416549,#416550); #362738=AXIS2_PLACEMENT_3D('',#536029,#416554,#416555); #362739=AXIS2_PLACEMENT_3D('',#536035,#416559,#416560); #362740=AXIS2_PLACEMENT_3D('',#536041,#416564,#416565); #362741=AXIS2_PLACEMENT_3D('',#536047,#416569,#416570); #362742=AXIS2_PLACEMENT_3D('',#536053,#416574,#416575); #362743=AXIS2_PLACEMENT_3D('',#536059,#416579,#416580); #362744=AXIS2_PLACEMENT_3D('',#536065,#416584,#416585); #362745=AXIS2_PLACEMENT_3D('',#536071,#416589,#416590); #362746=AXIS2_PLACEMENT_3D('',#536077,#416594,#416595); #362747=AXIS2_PLACEMENT_3D('',#536083,#416599,#416600); #362748=AXIS2_PLACEMENT_3D('',#536089,#416604,#416605); #362749=AXIS2_PLACEMENT_3D('',#536095,#416609,#416610); #362750=AXIS2_PLACEMENT_3D('',#536101,#416614,#416615); #362751=AXIS2_PLACEMENT_3D('',#536107,#416619,#416620); #362752=AXIS2_PLACEMENT_3D('',#536113,#416624,#416625); #362753=AXIS2_PLACEMENT_3D('',#536119,#416629,#416630); #362754=AXIS2_PLACEMENT_3D('',#536125,#416634,#416635); #362755=AXIS2_PLACEMENT_3D('',#536131,#416639,#416640); #362756=AXIS2_PLACEMENT_3D('',#536137,#416644,#416645); #362757=AXIS2_PLACEMENT_3D('',#536143,#416649,#416650); #362758=AXIS2_PLACEMENT_3D('',#536149,#416654,#416655); #362759=AXIS2_PLACEMENT_3D('',#536155,#416659,#416660); #362760=AXIS2_PLACEMENT_3D('',#536161,#416664,#416665); #362761=AXIS2_PLACEMENT_3D('',#536167,#416669,#416670); #362762=AXIS2_PLACEMENT_3D('',#536173,#416674,#416675); #362763=AXIS2_PLACEMENT_3D('',#536179,#416679,#416680); #362764=AXIS2_PLACEMENT_3D('',#536185,#416684,#416685); #362765=AXIS2_PLACEMENT_3D('',#536191,#416689,#416690); #362766=AXIS2_PLACEMENT_3D('',#536197,#416694,#416695); #362767=AXIS2_PLACEMENT_3D('',#536203,#416699,#416700); #362768=AXIS2_PLACEMENT_3D('',#536209,#416704,#416705); #362769=AXIS2_PLACEMENT_3D('',#536215,#416709,#416710); #362770=AXIS2_PLACEMENT_3D('',#536221,#416714,#416715); #362771=AXIS2_PLACEMENT_3D('',#536227,#416719,#416720); #362772=AXIS2_PLACEMENT_3D('',#536233,#416724,#416725); #362773=AXIS2_PLACEMENT_3D('',#536239,#416729,#416730); #362774=AXIS2_PLACEMENT_3D('',#536245,#416734,#416735); #362775=AXIS2_PLACEMENT_3D('',#536251,#416739,#416740); #362776=AXIS2_PLACEMENT_3D('',#536257,#416744,#416745); #362777=AXIS2_PLACEMENT_3D('',#536263,#416749,#416750); #362778=AXIS2_PLACEMENT_3D('',#536269,#416754,#416755); #362779=AXIS2_PLACEMENT_3D('',#536275,#416759,#416760); #362780=AXIS2_PLACEMENT_3D('',#536281,#416764,#416765); #362781=AXIS2_PLACEMENT_3D('',#536287,#416769,#416770); #362782=AXIS2_PLACEMENT_3D('',#536293,#416774,#416775); #362783=AXIS2_PLACEMENT_3D('',#536299,#416779,#416780); #362784=AXIS2_PLACEMENT_3D('',#536305,#416784,#416785); #362785=AXIS2_PLACEMENT_3D('',#536311,#416789,#416790); #362786=AXIS2_PLACEMENT_3D('',#536317,#416794,#416795); #362787=AXIS2_PLACEMENT_3D('',#536323,#416799,#416800); #362788=AXIS2_PLACEMENT_3D('',#536329,#416804,#416805); #362789=AXIS2_PLACEMENT_3D('',#536335,#416809,#416810); #362790=AXIS2_PLACEMENT_3D('',#536341,#416814,#416815); #362791=AXIS2_PLACEMENT_3D('',#536347,#416819,#416820); #362792=AXIS2_PLACEMENT_3D('',#536353,#416824,#416825); #362793=AXIS2_PLACEMENT_3D('',#536359,#416829,#416830); #362794=AXIS2_PLACEMENT_3D('',#536365,#416834,#416835); #362795=AXIS2_PLACEMENT_3D('',#536371,#416839,#416840); #362796=AXIS2_PLACEMENT_3D('',#536377,#416844,#416845); #362797=AXIS2_PLACEMENT_3D('',#536383,#416849,#416850); #362798=AXIS2_PLACEMENT_3D('',#536389,#416854,#416855); #362799=AXIS2_PLACEMENT_3D('',#536395,#416859,#416860); #362800=AXIS2_PLACEMENT_3D('',#536401,#416864,#416865); #362801=AXIS2_PLACEMENT_3D('',#536407,#416869,#416870); #362802=AXIS2_PLACEMENT_3D('',#536413,#416874,#416875); #362803=AXIS2_PLACEMENT_3D('',#536419,#416879,#416880); #362804=AXIS2_PLACEMENT_3D('',#536425,#416884,#416885); #362805=AXIS2_PLACEMENT_3D('',#536431,#416889,#416890); #362806=AXIS2_PLACEMENT_3D('',#536437,#416894,#416895); #362807=AXIS2_PLACEMENT_3D('',#536443,#416899,#416900); #362808=AXIS2_PLACEMENT_3D('',#536449,#416904,#416905); #362809=AXIS2_PLACEMENT_3D('',#536455,#416909,#416910); #362810=AXIS2_PLACEMENT_3D('',#536461,#416914,#416915); #362811=AXIS2_PLACEMENT_3D('',#536467,#416919,#416920); #362812=AXIS2_PLACEMENT_3D('',#536473,#416924,#416925); #362813=AXIS2_PLACEMENT_3D('',#536479,#416929,#416930); #362814=AXIS2_PLACEMENT_3D('',#536485,#416934,#416935); #362815=AXIS2_PLACEMENT_3D('',#536491,#416939,#416940); #362816=AXIS2_PLACEMENT_3D('',#536497,#416944,#416945); #362817=AXIS2_PLACEMENT_3D('',#536503,#416949,#416950); #362818=AXIS2_PLACEMENT_3D('',#536509,#416954,#416955); #362819=AXIS2_PLACEMENT_3D('',#536515,#416959,#416960); #362820=AXIS2_PLACEMENT_3D('',#536521,#416964,#416965); #362821=AXIS2_PLACEMENT_3D('',#536527,#416969,#416970); #362822=AXIS2_PLACEMENT_3D('',#536533,#416974,#416975); #362823=AXIS2_PLACEMENT_3D('',#536539,#416979,#416980); #362824=AXIS2_PLACEMENT_3D('',#536545,#416984,#416985); #362825=AXIS2_PLACEMENT_3D('',#536551,#416989,#416990); #362826=AXIS2_PLACEMENT_3D('',#536557,#416994,#416995); #362827=AXIS2_PLACEMENT_3D('',#536563,#416999,#417000); #362828=AXIS2_PLACEMENT_3D('',#536569,#417004,#417005); #362829=AXIS2_PLACEMENT_3D('',#536575,#417009,#417010); #362830=AXIS2_PLACEMENT_3D('',#536581,#417014,#417015); #362831=AXIS2_PLACEMENT_3D('',#536587,#417019,#417020); #362832=AXIS2_PLACEMENT_3D('',#536593,#417024,#417025); #362833=AXIS2_PLACEMENT_3D('',#536599,#417029,#417030); #362834=AXIS2_PLACEMENT_3D('',#536605,#417034,#417035); #362835=AXIS2_PLACEMENT_3D('',#536611,#417039,#417040); #362836=AXIS2_PLACEMENT_3D('',#536617,#417044,#417045); #362837=AXIS2_PLACEMENT_3D('',#536623,#417049,#417050); #362838=AXIS2_PLACEMENT_3D('',#536629,#417054,#417055); #362839=AXIS2_PLACEMENT_3D('',#536635,#417059,#417060); #362840=AXIS2_PLACEMENT_3D('',#536641,#417064,#417065); #362841=AXIS2_PLACEMENT_3D('',#536647,#417069,#417070); #362842=AXIS2_PLACEMENT_3D('',#536653,#417074,#417075); #362843=AXIS2_PLACEMENT_3D('',#536659,#417079,#417080); #362844=AXIS2_PLACEMENT_3D('',#536665,#417084,#417085); #362845=AXIS2_PLACEMENT_3D('',#536671,#417089,#417090); #362846=AXIS2_PLACEMENT_3D('',#536677,#417094,#417095); #362847=AXIS2_PLACEMENT_3D('',#536683,#417099,#417100); #362848=AXIS2_PLACEMENT_3D('',#536689,#417104,#417105); #362849=AXIS2_PLACEMENT_3D('',#536695,#417109,#417110); #362850=AXIS2_PLACEMENT_3D('',#536701,#417114,#417115); #362851=AXIS2_PLACEMENT_3D('',#536707,#417119,#417120); #362852=AXIS2_PLACEMENT_3D('',#536713,#417124,#417125); #362853=AXIS2_PLACEMENT_3D('',#536719,#417129,#417130); #362854=AXIS2_PLACEMENT_3D('',#536725,#417134,#417135); #362855=AXIS2_PLACEMENT_3D('',#536731,#417139,#417140); #362856=AXIS2_PLACEMENT_3D('',#536737,#417144,#417145); #362857=AXIS2_PLACEMENT_3D('',#536743,#417149,#417150); #362858=AXIS2_PLACEMENT_3D('',#536749,#417154,#417155); #362859=AXIS2_PLACEMENT_3D('',#536755,#417159,#417160); #362860=AXIS2_PLACEMENT_3D('',#536761,#417164,#417165); #362861=AXIS2_PLACEMENT_3D('',#536767,#417169,#417170); #362862=AXIS2_PLACEMENT_3D('',#536773,#417174,#417175); #362863=AXIS2_PLACEMENT_3D('',#536779,#417179,#417180); #362864=AXIS2_PLACEMENT_3D('',#536785,#417184,#417185); #362865=AXIS2_PLACEMENT_3D('',#536791,#417189,#417190); #362866=AXIS2_PLACEMENT_3D('',#536797,#417194,#417195); #362867=AXIS2_PLACEMENT_3D('',#536803,#417199,#417200); #362868=AXIS2_PLACEMENT_3D('',#536809,#417204,#417205); #362869=AXIS2_PLACEMENT_3D('',#536815,#417209,#417210); #362870=AXIS2_PLACEMENT_3D('',#536821,#417214,#417215); #362871=AXIS2_PLACEMENT_3D('',#536827,#417219,#417220); #362872=AXIS2_PLACEMENT_3D('',#536833,#417224,#417225); #362873=AXIS2_PLACEMENT_3D('',#536839,#417229,#417230); #362874=AXIS2_PLACEMENT_3D('',#536845,#417234,#417235); #362875=AXIS2_PLACEMENT_3D('',#536851,#417239,#417240); #362876=AXIS2_PLACEMENT_3D('',#536857,#417244,#417245); #362877=AXIS2_PLACEMENT_3D('',#536863,#417249,#417250); #362878=AXIS2_PLACEMENT_3D('',#536869,#417254,#417255); #362879=AXIS2_PLACEMENT_3D('',#536875,#417259,#417260); #362880=AXIS2_PLACEMENT_3D('',#536881,#417264,#417265); #362881=AXIS2_PLACEMENT_3D('',#536887,#417269,#417270); #362882=AXIS2_PLACEMENT_3D('',#536893,#417274,#417275); #362883=AXIS2_PLACEMENT_3D('',#536899,#417279,#417280); #362884=AXIS2_PLACEMENT_3D('',#536905,#417284,#417285); #362885=AXIS2_PLACEMENT_3D('',#536911,#417289,#417290); #362886=AXIS2_PLACEMENT_3D('',#536917,#417294,#417295); #362887=AXIS2_PLACEMENT_3D('',#536923,#417299,#417300); #362888=AXIS2_PLACEMENT_3D('',#536929,#417304,#417305); #362889=AXIS2_PLACEMENT_3D('',#536935,#417309,#417310); #362890=AXIS2_PLACEMENT_3D('',#536941,#417314,#417315); #362891=AXIS2_PLACEMENT_3D('',#536947,#417319,#417320); #362892=AXIS2_PLACEMENT_3D('',#536953,#417324,#417325); #362893=AXIS2_PLACEMENT_3D('',#536959,#417329,#417330); #362894=AXIS2_PLACEMENT_3D('',#536965,#417334,#417335); #362895=AXIS2_PLACEMENT_3D('',#536971,#417339,#417340); #362896=AXIS2_PLACEMENT_3D('',#536977,#417344,#417345); #362897=AXIS2_PLACEMENT_3D('',#536983,#417349,#417350); #362898=AXIS2_PLACEMENT_3D('',#536989,#417354,#417355); #362899=AXIS2_PLACEMENT_3D('',#536995,#417359,#417360); #362900=AXIS2_PLACEMENT_3D('',#537001,#417364,#417365); #362901=AXIS2_PLACEMENT_3D('',#537007,#417369,#417370); #362902=AXIS2_PLACEMENT_3D('',#537013,#417374,#417375); #362903=AXIS2_PLACEMENT_3D('',#537015,#417376,#417377); #362904=AXIS2_PLACEMENT_3D('',#537017,#417378,#417379); #362905=AXIS2_PLACEMENT_3D('',#537019,#417381,#417382); #362906=AXIS2_PLACEMENT_3D('',#537025,#417386,#417387); #362907=AXIS2_PLACEMENT_3D('',#537027,#417388,#417389); #362908=AXIS2_PLACEMENT_3D('',#537029,#417390,#417391); #362909=AXIS2_PLACEMENT_3D('',#537031,#417393,#417394); #362910=AXIS2_PLACEMENT_3D('',#537037,#417398,#417399); #362911=AXIS2_PLACEMENT_3D('',#537043,#417403,#417404); #362912=AXIS2_PLACEMENT_3D('',#537049,#417408,#417409); #362913=AXIS2_PLACEMENT_3D('',#537055,#417413,#417414); #362914=AXIS2_PLACEMENT_3D('',#537061,#417418,#417419); #362915=AXIS2_PLACEMENT_3D('',#537067,#417423,#417424); #362916=AXIS2_PLACEMENT_3D('',#537073,#417428,#417429); #362917=AXIS2_PLACEMENT_3D('',#537079,#417433,#417434); #362918=AXIS2_PLACEMENT_3D('',#537081,#417435,#417436); #362919=AXIS2_PLACEMENT_3D('',#537083,#417437,#417438); #362920=AXIS2_PLACEMENT_3D('',#537085,#417440,#417441); #362921=AXIS2_PLACEMENT_3D('',#537091,#417445,#417446); #362922=AXIS2_PLACEMENT_3D('',#537097,#417450,#417451); #362923=AXIS2_PLACEMENT_3D('',#537099,#417452,#417453); #362924=AXIS2_PLACEMENT_3D('',#537101,#417454,#417455); #362925=AXIS2_PLACEMENT_3D('',#537103,#417457,#417458); #362926=AXIS2_PLACEMENT_3D('',#537109,#417462,#417463); #362927=AXIS2_PLACEMENT_3D('',#537115,#417467,#417468); #362928=AXIS2_PLACEMENT_3D('',#537121,#417472,#417473); #362929=AXIS2_PLACEMENT_3D('',#537127,#417477,#417478); #362930=AXIS2_PLACEMENT_3D('',#537133,#417482,#417483); #362931=AXIS2_PLACEMENT_3D('',#537139,#417487,#417488); #362932=AXIS2_PLACEMENT_3D('',#537145,#417492,#417493); #362933=AXIS2_PLACEMENT_3D('',#537151,#417497,#417498); #362934=AXIS2_PLACEMENT_3D('',#537157,#417502,#417503); #362935=AXIS2_PLACEMENT_3D('',#537163,#417507,#417508); #362936=AXIS2_PLACEMENT_3D('',#537169,#417512,#417513); #362937=AXIS2_PLACEMENT_3D('',#537175,#417517,#417518); #362938=AXIS2_PLACEMENT_3D('',#537181,#417522,#417523); #362939=AXIS2_PLACEMENT_3D('',#537187,#417527,#417528); #362940=AXIS2_PLACEMENT_3D('',#537193,#417532,#417533); #362941=AXIS2_PLACEMENT_3D('',#537199,#417537,#417538); #362942=AXIS2_PLACEMENT_3D('',#537205,#417542,#417543); #362943=AXIS2_PLACEMENT_3D('',#537211,#417547,#417548); #362944=AXIS2_PLACEMENT_3D('',#537217,#417552,#417553); #362945=AXIS2_PLACEMENT_3D('',#537223,#417557,#417558); #362946=AXIS2_PLACEMENT_3D('',#537229,#417562,#417563); #362947=AXIS2_PLACEMENT_3D('',#537235,#417567,#417568); #362948=AXIS2_PLACEMENT_3D('',#537241,#417572,#417573); #362949=AXIS2_PLACEMENT_3D('',#537247,#417577,#417578); #362950=AXIS2_PLACEMENT_3D('',#537253,#417582,#417583); #362951=AXIS2_PLACEMENT_3D('',#537259,#417587,#417588); #362952=AXIS2_PLACEMENT_3D('',#537265,#417592,#417593); #362953=AXIS2_PLACEMENT_3D('',#537271,#417597,#417598); #362954=AXIS2_PLACEMENT_3D('',#537277,#417602,#417603); #362955=AXIS2_PLACEMENT_3D('',#537283,#417607,#417608); #362956=AXIS2_PLACEMENT_3D('',#537289,#417612,#417613); #362957=AXIS2_PLACEMENT_3D('',#537295,#417617,#417618); #362958=AXIS2_PLACEMENT_3D('',#537301,#417622,#417623); #362959=AXIS2_PLACEMENT_3D('',#537307,#417627,#417628); #362960=AXIS2_PLACEMENT_3D('',#537313,#417632,#417633); #362961=AXIS2_PLACEMENT_3D('',#537319,#417637,#417638); #362962=AXIS2_PLACEMENT_3D('',#537325,#417642,#417643); #362963=AXIS2_PLACEMENT_3D('',#537331,#417647,#417648); #362964=AXIS2_PLACEMENT_3D('',#537337,#417652,#417653); #362965=AXIS2_PLACEMENT_3D('',#537343,#417657,#417658); #362966=AXIS2_PLACEMENT_3D('',#537349,#417662,#417663); #362967=AXIS2_PLACEMENT_3D('',#537355,#417667,#417668); #362968=AXIS2_PLACEMENT_3D('',#537361,#417672,#417673); #362969=AXIS2_PLACEMENT_3D('',#537367,#417677,#417678); #362970=AXIS2_PLACEMENT_3D('',#537373,#417682,#417683); #362971=AXIS2_PLACEMENT_3D('',#537379,#417687,#417688); #362972=AXIS2_PLACEMENT_3D('',#537385,#417692,#417693); #362973=AXIS2_PLACEMENT_3D('',#537391,#417697,#417698); #362974=AXIS2_PLACEMENT_3D('',#537397,#417702,#417703); #362975=AXIS2_PLACEMENT_3D('',#537403,#417707,#417708); #362976=AXIS2_PLACEMENT_3D('',#537409,#417712,#417713); #362977=AXIS2_PLACEMENT_3D('',#537415,#417717,#417718); #362978=AXIS2_PLACEMENT_3D('',#537421,#417722,#417723); #362979=AXIS2_PLACEMENT_3D('',#537427,#417727,#417728); #362980=AXIS2_PLACEMENT_3D('',#537433,#417732,#417733); #362981=AXIS2_PLACEMENT_3D('',#537439,#417737,#417738); #362982=AXIS2_PLACEMENT_3D('',#537445,#417742,#417743); #362983=AXIS2_PLACEMENT_3D('',#537451,#417747,#417748); #362984=AXIS2_PLACEMENT_3D('',#537457,#417752,#417753); #362985=AXIS2_PLACEMENT_3D('',#537463,#417757,#417758); #362986=AXIS2_PLACEMENT_3D('',#537469,#417762,#417763); #362987=AXIS2_PLACEMENT_3D('',#537475,#417767,#417768); #362988=AXIS2_PLACEMENT_3D('',#537481,#417772,#417773); #362989=AXIS2_PLACEMENT_3D('',#537487,#417777,#417778); #362990=AXIS2_PLACEMENT_3D('',#537493,#417782,#417783); #362991=AXIS2_PLACEMENT_3D('',#537499,#417787,#417788); #362992=AXIS2_PLACEMENT_3D('',#537505,#417792,#417793); #362993=AXIS2_PLACEMENT_3D('',#537511,#417797,#417798); #362994=AXIS2_PLACEMENT_3D('',#537517,#417802,#417803); #362995=AXIS2_PLACEMENT_3D('',#537523,#417807,#417808); #362996=AXIS2_PLACEMENT_3D('',#537529,#417812,#417813); #362997=AXIS2_PLACEMENT_3D('',#537535,#417817,#417818); #362998=AXIS2_PLACEMENT_3D('',#537541,#417822,#417823); #362999=AXIS2_PLACEMENT_3D('',#537547,#417827,#417828); #363000=AXIS2_PLACEMENT_3D('',#537553,#417832,#417833); #363001=AXIS2_PLACEMENT_3D('',#537559,#417837,#417838); #363002=AXIS2_PLACEMENT_3D('',#537565,#417842,#417843); #363003=AXIS2_PLACEMENT_3D('',#537571,#417847,#417848); #363004=AXIS2_PLACEMENT_3D('',#537577,#417852,#417853); #363005=AXIS2_PLACEMENT_3D('',#537583,#417857,#417858); #363006=AXIS2_PLACEMENT_3D('',#537589,#417862,#417863); #363007=AXIS2_PLACEMENT_3D('',#537595,#417867,#417868); #363008=AXIS2_PLACEMENT_3D('',#537601,#417872,#417873); #363009=AXIS2_PLACEMENT_3D('',#537604,#417876,#417877); #363010=AXIS2_PLACEMENT_3D('',#537605,#417878,#417879); #363011=AXIS2_PLACEMENT_3D('',#537606,#417880,#417881); #363012=AXIS2_PLACEMENT_3D('',#537615,#417886,#417887); #363013=AXIS2_PLACEMENT_3D('',#537621,#417891,#417892); #363014=AXIS2_PLACEMENT_3D('',#537627,#417896,#417897); #363015=AXIS2_PLACEMENT_3D('',#537633,#417901,#417902); #363016=AXIS2_PLACEMENT_3D('',#537639,#417906,#417907); #363017=AXIS2_PLACEMENT_3D('',#537645,#417911,#417912); #363018=AXIS2_PLACEMENT_3D('',#537651,#417916,#417917); #363019=AXIS2_PLACEMENT_3D('',#537657,#417921,#417922); #363020=AXIS2_PLACEMENT_3D('',#537663,#417926,#417927); #363021=AXIS2_PLACEMENT_3D('',#537669,#417931,#417932); #363022=AXIS2_PLACEMENT_3D('',#537675,#417936,#417937); #363023=AXIS2_PLACEMENT_3D('',#537681,#417941,#417942); #363024=AXIS2_PLACEMENT_3D('',#537687,#417946,#417947); #363025=AXIS2_PLACEMENT_3D('',#537693,#417951,#417952); #363026=AXIS2_PLACEMENT_3D('',#537699,#417956,#417957); #363027=AXIS2_PLACEMENT_3D('',#537705,#417961,#417962); #363028=AXIS2_PLACEMENT_3D('',#537711,#417966,#417967); #363029=AXIS2_PLACEMENT_3D('',#537717,#417971,#417972); #363030=AXIS2_PLACEMENT_3D('',#537723,#417976,#417977); #363031=AXIS2_PLACEMENT_3D('',#537726,#417980,#417981); #363032=AXIS2_PLACEMENT_3D('',#537727,#417982,#417983); #363033=AXIS2_PLACEMENT_3D('',#537728,#417984,#417985); #363034=AXIS2_PLACEMENT_3D('',#537737,#417990,#417991); #363035=AXIS2_PLACEMENT_3D('',#537743,#417995,#417996); #363036=AXIS2_PLACEMENT_3D('',#537749,#418000,#418001); #363037=AXIS2_PLACEMENT_3D('',#537755,#418005,#418006); #363038=AXIS2_PLACEMENT_3D('',#537761,#418010,#418011); #363039=AXIS2_PLACEMENT_3D('',#537767,#418015,#418016); #363040=AXIS2_PLACEMENT_3D('',#537773,#418020,#418021); #363041=AXIS2_PLACEMENT_3D('',#537779,#418025,#418026); #363042=AXIS2_PLACEMENT_3D('',#537785,#418030,#418031); #363043=AXIS2_PLACEMENT_3D('',#537791,#418035,#418036); #363044=AXIS2_PLACEMENT_3D('',#537797,#418040,#418041); #363045=AXIS2_PLACEMENT_3D('',#537803,#418045,#418046); #363046=AXIS2_PLACEMENT_3D('',#537809,#418050,#418051); #363047=AXIS2_PLACEMENT_3D('',#537815,#418055,#418056); #363048=AXIS2_PLACEMENT_3D('',#537821,#418060,#418061); #363049=AXIS2_PLACEMENT_3D('',#537827,#418065,#418066); #363050=AXIS2_PLACEMENT_3D('',#537833,#418070,#418071); #363051=AXIS2_PLACEMENT_3D('',#537839,#418075,#418076); #363052=AXIS2_PLACEMENT_3D('',#537845,#418080,#418081); #363053=AXIS2_PLACEMENT_3D('',#537848,#418084,#418085); #363054=AXIS2_PLACEMENT_3D('',#537849,#418086,#418087); #363055=AXIS2_PLACEMENT_3D('',#537850,#418088,#418089); #363056=AXIS2_PLACEMENT_3D('',#537859,#418094,#418095); #363057=AXIS2_PLACEMENT_3D('',#537865,#418099,#418100); #363058=AXIS2_PLACEMENT_3D('',#537871,#418104,#418105); #363059=AXIS2_PLACEMENT_3D('',#537877,#418109,#418110); #363060=AXIS2_PLACEMENT_3D('',#537883,#418114,#418115); #363061=AXIS2_PLACEMENT_3D('',#537889,#418119,#418120); #363062=AXIS2_PLACEMENT_3D('',#537895,#418124,#418125); #363063=AXIS2_PLACEMENT_3D('',#537901,#418129,#418130); #363064=AXIS2_PLACEMENT_3D('',#537907,#418134,#418135); #363065=AXIS2_PLACEMENT_3D('',#537913,#418139,#418140); #363066=AXIS2_PLACEMENT_3D('',#537919,#418144,#418145); #363067=AXIS2_PLACEMENT_3D('',#537925,#418149,#418150); #363068=AXIS2_PLACEMENT_3D('',#537931,#418154,#418155); #363069=AXIS2_PLACEMENT_3D('',#537937,#418159,#418160); #363070=AXIS2_PLACEMENT_3D('',#537943,#418164,#418165); #363071=AXIS2_PLACEMENT_3D('',#537949,#418169,#418170); #363072=AXIS2_PLACEMENT_3D('',#537955,#418174,#418175); #363073=AXIS2_PLACEMENT_3D('',#537961,#418179,#418180); #363074=AXIS2_PLACEMENT_3D('',#537967,#418184,#418185); #363075=AXIS2_PLACEMENT_3D('',#537970,#418188,#418189); #363076=AXIS2_PLACEMENT_3D('',#537971,#418190,#418191); #363077=AXIS2_PLACEMENT_3D('',#537972,#418192,#418193); #363078=AXIS2_PLACEMENT_3D('',#537981,#418198,#418199); #363079=AXIS2_PLACEMENT_3D('',#537987,#418203,#418204); #363080=AXIS2_PLACEMENT_3D('',#537993,#418208,#418209); #363081=AXIS2_PLACEMENT_3D('',#537999,#418213,#418214); #363082=AXIS2_PLACEMENT_3D('',#538005,#418218,#418219); #363083=AXIS2_PLACEMENT_3D('',#538011,#418223,#418224); #363084=AXIS2_PLACEMENT_3D('',#538017,#418228,#418229); #363085=AXIS2_PLACEMENT_3D('',#538023,#418233,#418234); #363086=AXIS2_PLACEMENT_3D('',#538029,#418238,#418239); #363087=AXIS2_PLACEMENT_3D('',#538035,#418243,#418244); #363088=AXIS2_PLACEMENT_3D('',#538041,#418248,#418249); #363089=AXIS2_PLACEMENT_3D('',#538047,#418253,#418254); #363090=AXIS2_PLACEMENT_3D('',#538053,#418258,#418259); #363091=AXIS2_PLACEMENT_3D('',#538059,#418263,#418264); #363092=AXIS2_PLACEMENT_3D('',#538065,#418268,#418269); #363093=AXIS2_PLACEMENT_3D('',#538071,#418273,#418274); #363094=AXIS2_PLACEMENT_3D('',#538077,#418278,#418279); #363095=AXIS2_PLACEMENT_3D('',#538083,#418283,#418284); #363096=AXIS2_PLACEMENT_3D('',#538089,#418288,#418289); #363097=AXIS2_PLACEMENT_3D('',#538095,#418293,#418294); #363098=AXIS2_PLACEMENT_3D('',#538101,#418298,#418299); #363099=AXIS2_PLACEMENT_3D('',#538107,#418303,#418304); #363100=AXIS2_PLACEMENT_3D('',#538110,#418307,#418308); #363101=AXIS2_PLACEMENT_3D('',#538111,#418309,#418310); #363102=AXIS2_PLACEMENT_3D('',#538112,#418311,#418312); #363103=AXIS2_PLACEMENT_3D('',#538121,#418317,#418318); #363104=AXIS2_PLACEMENT_3D('',#538127,#418322,#418323); #363105=AXIS2_PLACEMENT_3D('',#538133,#418327,#418328); #363106=AXIS2_PLACEMENT_3D('',#538139,#418332,#418333); #363107=AXIS2_PLACEMENT_3D('',#538145,#418337,#418338); #363108=AXIS2_PLACEMENT_3D('',#538151,#418342,#418343); #363109=AXIS2_PLACEMENT_3D('',#538157,#418347,#418348); #363110=AXIS2_PLACEMENT_3D('',#538163,#418352,#418353); #363111=AXIS2_PLACEMENT_3D('',#538169,#418357,#418358); #363112=AXIS2_PLACEMENT_3D('',#538175,#418362,#418363); #363113=AXIS2_PLACEMENT_3D('',#538181,#418367,#418368); #363114=AXIS2_PLACEMENT_3D('',#538187,#418372,#418373); #363115=AXIS2_PLACEMENT_3D('',#538193,#418377,#418378); #363116=AXIS2_PLACEMENT_3D('',#538199,#418382,#418383); #363117=AXIS2_PLACEMENT_3D('',#538205,#418387,#418388); #363118=AXIS2_PLACEMENT_3D('',#538211,#418392,#418393); #363119=AXIS2_PLACEMENT_3D('',#538217,#418397,#418398); #363120=AXIS2_PLACEMENT_3D('',#538223,#418402,#418403); #363121=AXIS2_PLACEMENT_3D('',#538229,#418407,#418408); #363122=AXIS2_PLACEMENT_3D('',#538232,#418411,#418412); #363123=AXIS2_PLACEMENT_3D('',#538233,#418413,#418414); #363124=AXIS2_PLACEMENT_3D('',#538234,#418415,#418416); #363125=AXIS2_PLACEMENT_3D('',#538243,#418421,#418422); #363126=AXIS2_PLACEMENT_3D('',#538249,#418426,#418427); #363127=AXIS2_PLACEMENT_3D('',#538255,#418431,#418432); #363128=AXIS2_PLACEMENT_3D('',#538261,#418436,#418437); #363129=AXIS2_PLACEMENT_3D('',#538267,#418441,#418442); #363130=AXIS2_PLACEMENT_3D('',#538273,#418446,#418447); #363131=AXIS2_PLACEMENT_3D('',#538279,#418451,#418452); #363132=AXIS2_PLACEMENT_3D('',#538285,#418456,#418457); #363133=AXIS2_PLACEMENT_3D('',#538291,#418461,#418462); #363134=AXIS2_PLACEMENT_3D('',#538297,#418466,#418467); #363135=AXIS2_PLACEMENT_3D('',#538303,#418471,#418472); #363136=AXIS2_PLACEMENT_3D('',#538309,#418476,#418477); #363137=AXIS2_PLACEMENT_3D('',#538315,#418481,#418482); #363138=AXIS2_PLACEMENT_3D('',#538321,#418486,#418487); #363139=AXIS2_PLACEMENT_3D('',#538327,#418491,#418492); #363140=AXIS2_PLACEMENT_3D('',#538333,#418496,#418497); #363141=AXIS2_PLACEMENT_3D('',#538339,#418501,#418502); #363142=AXIS2_PLACEMENT_3D('',#538345,#418506,#418507); #363143=AXIS2_PLACEMENT_3D('',#538351,#418511,#418512); #363144=AXIS2_PLACEMENT_3D('',#538357,#418516,#418517); #363145=AXIS2_PLACEMENT_3D('',#538360,#418520,#418521); #363146=AXIS2_PLACEMENT_3D('',#538361,#418522,#418523); #363147=AXIS2_PLACEMENT_3D('',#538362,#418524,#418525); #363148=AXIS2_PLACEMENT_3D('',#538364,#418526,#418527); #363149=AXIS2_PLACEMENT_3D('',#538367,#418529,#418530); #363150=AXIS2_PLACEMENT_3D('',#538368,#418531,#418532); #363151=AXIS2_PLACEMENT_3D('',#538377,#418537,#418538); #363152=AXIS2_PLACEMENT_3D('',#538379,#418539,#418540); #363153=AXIS2_PLACEMENT_3D('',#538381,#418541,#418542); #363154=AXIS2_PLACEMENT_3D('',#538383,#418544,#418545); #363155=AXIS2_PLACEMENT_3D('',#538384,#418546,#418547); #363156=AXIS2_PLACEMENT_3D('',#538385,#418548,#418549); #363157=AXIS2_PLACEMENT_3D('',#538386,#418550,#418551); #363158=AXIS2_PLACEMENT_3D('',#538387,#418552,#418553); #363159=AXIS2_PLACEMENT_3D('',#538388,#418554,#418555); #363160=AXIS2_PLACEMENT_3D('',#538390,#418556,#418557); #363161=AXIS2_PLACEMENT_3D('',#538393,#418559,#418560); #363162=AXIS2_PLACEMENT_3D('',#538394,#418561,#418562); #363163=AXIS2_PLACEMENT_3D('',#538403,#418567,#418568); #363164=AXIS2_PLACEMENT_3D('',#538405,#418569,#418570); #363165=AXIS2_PLACEMENT_3D('',#538407,#418571,#418572); #363166=AXIS2_PLACEMENT_3D('',#538409,#418574,#418575); #363167=AXIS2_PLACEMENT_3D('',#538410,#418576,#418577); #363168=AXIS2_PLACEMENT_3D('',#538411,#418578,#418579); #363169=AXIS2_PLACEMENT_3D('',#538412,#418580,#418581); #363170=AXIS2_PLACEMENT_3D('',#538413,#418582,#418583); #363171=AXIS2_PLACEMENT_3D('',#538414,#418584,#418585); #363172=AXIS2_PLACEMENT_3D('',#538416,#418586,#418587); #363173=AXIS2_PLACEMENT_3D('',#538419,#418589,#418590); #363174=AXIS2_PLACEMENT_3D('',#538420,#418591,#418592); #363175=AXIS2_PLACEMENT_3D('',#538429,#418597,#418598); #363176=AXIS2_PLACEMENT_3D('',#538431,#418599,#418600); #363177=AXIS2_PLACEMENT_3D('',#538433,#418601,#418602); #363178=AXIS2_PLACEMENT_3D('',#538435,#418604,#418605); #363179=AXIS2_PLACEMENT_3D('',#538436,#418606,#418607); #363180=AXIS2_PLACEMENT_3D('',#538437,#418608,#418609); #363181=AXIS2_PLACEMENT_3D('',#538438,#418610,#418611); #363182=AXIS2_PLACEMENT_3D('',#538439,#418612,#418613); #363183=AXIS2_PLACEMENT_3D('',#538440,#418614,#418615); #363184=AXIS2_PLACEMENT_3D('',#538442,#418616,#418617); #363185=AXIS2_PLACEMENT_3D('',#538445,#418619,#418620); #363186=AXIS2_PLACEMENT_3D('',#538446,#418621,#418622); #363187=AXIS2_PLACEMENT_3D('',#538455,#418627,#418628); #363188=AXIS2_PLACEMENT_3D('',#538457,#418629,#418630); #363189=AXIS2_PLACEMENT_3D('',#538459,#418631,#418632); #363190=AXIS2_PLACEMENT_3D('',#538461,#418634,#418635); #363191=AXIS2_PLACEMENT_3D('',#538462,#418636,#418637); #363192=AXIS2_PLACEMENT_3D('',#538463,#418638,#418639); #363193=AXIS2_PLACEMENT_3D('',#538464,#418640,#418641); #363194=AXIS2_PLACEMENT_3D('',#538465,#418642,#418643); #363195=AXIS2_PLACEMENT_3D('',#538466,#418644,#418645); #363196=AXIS2_PLACEMENT_3D('',#538468,#418646,#418647); #363197=AXIS2_PLACEMENT_3D('',#538471,#418649,#418650); #363198=AXIS2_PLACEMENT_3D('',#538472,#418651,#418652); #363199=AXIS2_PLACEMENT_3D('',#538481,#418657,#418658); #363200=AXIS2_PLACEMENT_3D('',#538487,#418662,#418663); #363201=AXIS2_PLACEMENT_3D('',#538493,#418667,#418668); #363202=AXIS2_PLACEMENT_3D('',#538496,#418671,#418672); #363203=AXIS2_PLACEMENT_3D('',#538497,#418673,#418674); #363204=AXIS2_PLACEMENT_3D('',#538498,#418675,#418676); #363205=AXIS2_PLACEMENT_3D('',#538500,#418677,#418678); #363206=AXIS2_PLACEMENT_3D('',#538503,#418680,#418681); #363207=AXIS2_PLACEMENT_3D('',#538504,#418682,#418683); #363208=AXIS2_PLACEMENT_3D('',#538513,#418688,#418689); #363209=AXIS2_PLACEMENT_3D('',#538515,#418690,#418691); #363210=AXIS2_PLACEMENT_3D('',#538517,#418692,#418693); #363211=AXIS2_PLACEMENT_3D('',#538519,#418695,#418696); #363212=AXIS2_PLACEMENT_3D('',#538520,#418697,#418698); #363213=AXIS2_PLACEMENT_3D('',#538521,#418699,#418700); #363214=AXIS2_PLACEMENT_3D('',#538522,#418701,#418702); #363215=AXIS2_PLACEMENT_3D('',#538523,#418703,#418704); #363216=AXIS2_PLACEMENT_3D('',#538524,#418705,#418706); #363217=AXIS2_PLACEMENT_3D('',#538526,#418707,#418708); #363218=AXIS2_PLACEMENT_3D('',#538529,#418710,#418711); #363219=AXIS2_PLACEMENT_3D('',#538530,#418712,#418713); #363220=AXIS2_PLACEMENT_3D('',#538539,#418718,#418719); #363221=AXIS2_PLACEMENT_3D('',#538541,#418720,#418721); #363222=AXIS2_PLACEMENT_3D('',#538543,#418722,#418723); #363223=AXIS2_PLACEMENT_3D('',#538545,#418725,#418726); #363224=AXIS2_PLACEMENT_3D('',#538546,#418727,#418728); #363225=AXIS2_PLACEMENT_3D('',#538547,#418729,#418730); #363226=AXIS2_PLACEMENT_3D('',#538548,#418731,#418732); #363227=AXIS2_PLACEMENT_3D('',#538549,#418733,#418734); #363228=AXIS2_PLACEMENT_3D('',#538550,#418735,#418736); #363229=AXIS2_PLACEMENT_3D('',#538552,#418737,#418738); #363230=AXIS2_PLACEMENT_3D('',#538555,#418740,#418741); #363231=AXIS2_PLACEMENT_3D('',#538556,#418742,#418743); #363232=AXIS2_PLACEMENT_3D('',#538565,#418748,#418749); #363233=AXIS2_PLACEMENT_3D('',#538567,#418750,#418751); #363234=AXIS2_PLACEMENT_3D('',#538569,#418752,#418753); #363235=AXIS2_PLACEMENT_3D('',#538571,#418755,#418756); #363236=AXIS2_PLACEMENT_3D('',#538572,#418757,#418758); #363237=AXIS2_PLACEMENT_3D('',#538573,#418759,#418760); #363238=AXIS2_PLACEMENT_3D('',#538574,#418761,#418762); #363239=AXIS2_PLACEMENT_3D('',#538575,#418763,#418764); #363240=AXIS2_PLACEMENT_3D('',#538576,#418765,#418766); #363241=AXIS2_PLACEMENT_3D('',#538578,#418767,#418768); #363242=AXIS2_PLACEMENT_3D('',#538581,#418770,#418771); #363243=AXIS2_PLACEMENT_3D('',#538582,#418772,#418773); #363244=AXIS2_PLACEMENT_3D('',#538591,#418778,#418779); #363245=AXIS2_PLACEMENT_3D('',#538593,#418780,#418781); #363246=AXIS2_PLACEMENT_3D('',#538595,#418782,#418783); #363247=AXIS2_PLACEMENT_3D('',#538597,#418785,#418786); #363248=AXIS2_PLACEMENT_3D('',#538598,#418787,#418788); #363249=AXIS2_PLACEMENT_3D('',#538599,#418789,#418790); #363250=AXIS2_PLACEMENT_3D('',#538600,#418791,#418792); #363251=AXIS2_PLACEMENT_3D('',#538601,#418793,#418794); #363252=AXIS2_PLACEMENT_3D('',#538602,#418795,#418796); #363253=AXIS2_PLACEMENT_3D('',#538611,#418801,#418802); #363254=AXIS2_PLACEMENT_3D('',#538613,#418803,#418804); #363255=AXIS2_PLACEMENT_3D('',#538615,#418805,#418806); #363256=AXIS2_PLACEMENT_3D('',#538617,#418808,#418809); #363257=AXIS2_PLACEMENT_3D('',#538623,#418813,#418814); #363258=AXIS2_PLACEMENT_3D('',#538624,#418815,#418816); #363259=AXIS2_PLACEMENT_3D('',#538625,#418817,#418818); #363260=AXIS2_PLACEMENT_3D('',#538626,#418819,#418820); #363261=AXIS2_PLACEMENT_3D('',#538635,#418825,#418826); #363262=AXIS2_PLACEMENT_3D('',#538641,#418830,#418831); #363263=AXIS2_PLACEMENT_3D('',#538647,#418835,#418836); #363264=AXIS2_PLACEMENT_3D('',#538653,#418840,#418841); #363265=AXIS2_PLACEMENT_3D('',#538659,#418845,#418846); #363266=AXIS2_PLACEMENT_3D('',#538665,#418850,#418851); #363267=AXIS2_PLACEMENT_3D('',#538671,#418855,#418856); #363268=AXIS2_PLACEMENT_3D('',#538677,#418860,#418861); #363269=AXIS2_PLACEMENT_3D('',#538683,#418865,#418866); #363270=AXIS2_PLACEMENT_3D('',#538689,#418870,#418871); #363271=AXIS2_PLACEMENT_3D('',#538695,#418875,#418876); #363272=AXIS2_PLACEMENT_3D('',#538701,#418880,#418881); #363273=AXIS2_PLACEMENT_3D('',#538707,#418885,#418886); #363274=AXIS2_PLACEMENT_3D('',#538713,#418890,#418891); #363275=AXIS2_PLACEMENT_3D('',#538719,#418895,#418896); #363276=AXIS2_PLACEMENT_3D('',#538725,#418900,#418901); #363277=AXIS2_PLACEMENT_3D('',#538731,#418905,#418906); #363278=AXIS2_PLACEMENT_3D('',#538737,#418910,#418911); #363279=AXIS2_PLACEMENT_3D('',#538743,#418915,#418916); #363280=AXIS2_PLACEMENT_3D('',#538749,#418920,#418921); #363281=AXIS2_PLACEMENT_3D('',#538755,#418925,#418926); #363282=AXIS2_PLACEMENT_3D('',#538761,#418930,#418931); #363283=AXIS2_PLACEMENT_3D('',#538767,#418935,#418936); #363284=AXIS2_PLACEMENT_3D('',#538773,#418940,#418941); #363285=AXIS2_PLACEMENT_3D('',#538779,#418945,#418946); #363286=AXIS2_PLACEMENT_3D('',#538785,#418950,#418951); #363287=AXIS2_PLACEMENT_3D('',#538791,#418955,#418956); #363288=AXIS2_PLACEMENT_3D('',#538797,#418960,#418961); #363289=AXIS2_PLACEMENT_3D('',#538803,#418965,#418966); #363290=AXIS2_PLACEMENT_3D('',#538809,#418970,#418971); #363291=AXIS2_PLACEMENT_3D('',#538815,#418975,#418976); #363292=AXIS2_PLACEMENT_3D('',#538821,#418980,#418981); #363293=AXIS2_PLACEMENT_3D('',#538827,#418985,#418986); #363294=AXIS2_PLACEMENT_3D('',#538833,#418990,#418991); #363295=AXIS2_PLACEMENT_3D('',#538839,#418995,#418996); #363296=AXIS2_PLACEMENT_3D('',#538845,#419000,#419001); #363297=AXIS2_PLACEMENT_3D('',#538851,#419005,#419006); #363298=AXIS2_PLACEMENT_3D('',#538857,#419010,#419011); #363299=AXIS2_PLACEMENT_3D('',#538863,#419015,#419016); #363300=AXIS2_PLACEMENT_3D('',#538869,#419020,#419021); #363301=AXIS2_PLACEMENT_3D('',#538875,#419025,#419026); #363302=AXIS2_PLACEMENT_3D('',#538881,#419030,#419031); #363303=AXIS2_PLACEMENT_3D('',#538887,#419035,#419036); #363304=AXIS2_PLACEMENT_3D('',#538893,#419040,#419041); #363305=AXIS2_PLACEMENT_3D('',#538899,#419045,#419046); #363306=AXIS2_PLACEMENT_3D('',#538905,#419050,#419051); #363307=AXIS2_PLACEMENT_3D('',#538911,#419055,#419056); #363308=AXIS2_PLACEMENT_3D('',#538917,#419060,#419061); #363309=AXIS2_PLACEMENT_3D('',#538923,#419065,#419066); #363310=AXIS2_PLACEMENT_3D('',#538929,#419070,#419071); #363311=AXIS2_PLACEMENT_3D('',#538935,#419075,#419076); #363312=AXIS2_PLACEMENT_3D('',#538941,#419080,#419081); #363313=AXIS2_PLACEMENT_3D('',#538947,#419085,#419086); #363314=AXIS2_PLACEMENT_3D('',#538953,#419090,#419091); #363315=AXIS2_PLACEMENT_3D('',#538959,#419095,#419096); #363316=AXIS2_PLACEMENT_3D('',#538962,#419099,#419100); #363317=AXIS2_PLACEMENT_3D('',#538963,#419101,#419102); #363318=AXIS2_PLACEMENT_3D('',#538964,#419103,#419104); #363319=AXIS2_PLACEMENT_3D('',#538973,#419109,#419110); #363320=AXIS2_PLACEMENT_3D('',#538975,#419111,#419112); #363321=AXIS2_PLACEMENT_3D('',#538977,#419113,#419114); #363322=AXIS2_PLACEMENT_3D('',#538979,#419116,#419117); #363323=AXIS2_PLACEMENT_3D('',#538985,#419121,#419122); #363324=AXIS2_PLACEMENT_3D('',#538986,#419123,#419124); #363325=AXIS2_PLACEMENT_3D('',#538987,#419125,#419126); #363326=AXIS2_PLACEMENT_3D('',#538988,#419127,#419128); #363327=AXIS2_PLACEMENT_3D('',#538997,#419133,#419134); #363328=AXIS2_PLACEMENT_3D('',#539003,#419138,#419139); #363329=AXIS2_PLACEMENT_3D('',#539009,#419143,#419144); #363330=AXIS2_PLACEMENT_3D('',#539015,#419148,#419149); #363331=AXIS2_PLACEMENT_3D('',#539021,#419153,#419154); #363332=AXIS2_PLACEMENT_3D('',#539027,#419158,#419159); #363333=AXIS2_PLACEMENT_3D('',#539033,#419163,#419164); #363334=AXIS2_PLACEMENT_3D('',#539039,#419168,#419169); #363335=AXIS2_PLACEMENT_3D('',#539045,#419173,#419174); #363336=AXIS2_PLACEMENT_3D('',#539051,#419178,#419179); #363337=AXIS2_PLACEMENT_3D('',#539057,#419183,#419184); #363338=AXIS2_PLACEMENT_3D('',#539063,#419188,#419189); #363339=AXIS2_PLACEMENT_3D('',#539069,#419193,#419194); #363340=AXIS2_PLACEMENT_3D('',#539075,#419198,#419199); #363341=AXIS2_PLACEMENT_3D('',#539081,#419203,#419204); #363342=AXIS2_PLACEMENT_3D('',#539087,#419208,#419209); #363343=AXIS2_PLACEMENT_3D('',#539093,#419213,#419214); #363344=AXIS2_PLACEMENT_3D('',#539099,#419218,#419219); #363345=AXIS2_PLACEMENT_3D('',#539105,#419223,#419224); #363346=AXIS2_PLACEMENT_3D('',#539111,#419228,#419229); #363347=AXIS2_PLACEMENT_3D('',#539117,#419233,#419234); #363348=AXIS2_PLACEMENT_3D('',#539123,#419238,#419239); #363349=AXIS2_PLACEMENT_3D('',#539129,#419243,#419244); #363350=AXIS2_PLACEMENT_3D('',#539135,#419248,#419249); #363351=AXIS2_PLACEMENT_3D('',#539141,#419253,#419254); #363352=AXIS2_PLACEMENT_3D('',#539147,#419258,#419259); #363353=AXIS2_PLACEMENT_3D('',#539153,#419263,#419264); #363354=AXIS2_PLACEMENT_3D('',#539159,#419268,#419269); #363355=AXIS2_PLACEMENT_3D('',#539165,#419273,#419274); #363356=AXIS2_PLACEMENT_3D('',#539171,#419278,#419279); #363357=AXIS2_PLACEMENT_3D('',#539177,#419283,#419284); #363358=AXIS2_PLACEMENT_3D('',#539183,#419288,#419289); #363359=AXIS2_PLACEMENT_3D('',#539189,#419293,#419294); #363360=AXIS2_PLACEMENT_3D('',#539195,#419298,#419299); #363361=AXIS2_PLACEMENT_3D('',#539201,#419303,#419304); #363362=AXIS2_PLACEMENT_3D('',#539207,#419308,#419309); #363363=AXIS2_PLACEMENT_3D('',#539213,#419313,#419314); #363364=AXIS2_PLACEMENT_3D('',#539219,#419318,#419319); #363365=AXIS2_PLACEMENT_3D('',#539225,#419323,#419324); #363366=AXIS2_PLACEMENT_3D('',#539231,#419328,#419329); #363367=AXIS2_PLACEMENT_3D('',#539237,#419333,#419334); #363368=AXIS2_PLACEMENT_3D('',#539243,#419338,#419339); #363369=AXIS2_PLACEMENT_3D('',#539249,#419343,#419344); #363370=AXIS2_PLACEMENT_3D('',#539255,#419348,#419349); #363371=AXIS2_PLACEMENT_3D('',#539261,#419353,#419354); #363372=AXIS2_PLACEMENT_3D('',#539267,#419358,#419359); #363373=AXIS2_PLACEMENT_3D('',#539273,#419363,#419364); #363374=AXIS2_PLACEMENT_3D('',#539279,#419368,#419369); #363375=AXIS2_PLACEMENT_3D('',#539285,#419373,#419374); #363376=AXIS2_PLACEMENT_3D('',#539291,#419378,#419379); #363377=AXIS2_PLACEMENT_3D('',#539297,#419383,#419384); #363378=AXIS2_PLACEMENT_3D('',#539303,#419388,#419389); #363379=AXIS2_PLACEMENT_3D('',#539309,#419393,#419394); #363380=AXIS2_PLACEMENT_3D('',#539315,#419398,#419399); #363381=AXIS2_PLACEMENT_3D('',#539321,#419403,#419404); #363382=AXIS2_PLACEMENT_3D('',#539327,#419408,#419409); #363383=AXIS2_PLACEMENT_3D('',#539333,#419413,#419414); #363384=AXIS2_PLACEMENT_3D('',#539339,#419418,#419419); #363385=AXIS2_PLACEMENT_3D('',#539345,#419423,#419424); #363386=AXIS2_PLACEMENT_3D('',#539351,#419428,#419429); #363387=AXIS2_PLACEMENT_3D('',#539357,#419433,#419434); #363388=AXIS2_PLACEMENT_3D('',#539363,#419438,#419439); #363389=AXIS2_PLACEMENT_3D('',#539369,#419443,#419444); #363390=AXIS2_PLACEMENT_3D('',#539375,#419448,#419449); #363391=AXIS2_PLACEMENT_3D('',#539381,#419453,#419454); #363392=AXIS2_PLACEMENT_3D('',#539387,#419458,#419459); #363393=AXIS2_PLACEMENT_3D('',#539393,#419463,#419464); #363394=AXIS2_PLACEMENT_3D('',#539399,#419468,#419469); #363395=AXIS2_PLACEMENT_3D('',#539405,#419473,#419474); #363396=AXIS2_PLACEMENT_3D('',#539411,#419478,#419479); #363397=AXIS2_PLACEMENT_3D('',#539417,#419483,#419484); #363398=AXIS2_PLACEMENT_3D('',#539420,#419487,#419488); #363399=AXIS2_PLACEMENT_3D('',#539421,#419489,#419490); #363400=AXIS2_PLACEMENT_3D('',#539422,#419491,#419492); #363401=AXIS2_PLACEMENT_3D('',#539431,#419497,#419498); #363402=AXIS2_PLACEMENT_3D('',#539433,#419499,#419500); #363403=AXIS2_PLACEMENT_3D('',#539435,#419501,#419502); #363404=AXIS2_PLACEMENT_3D('',#539437,#419504,#419505); #363405=AXIS2_PLACEMENT_3D('',#539443,#419509,#419510); #363406=AXIS2_PLACEMENT_3D('',#539444,#419511,#419512); #363407=AXIS2_PLACEMENT_3D('',#539445,#419513,#419514); #363408=AXIS2_PLACEMENT_3D('',#539446,#419515,#419516); #363409=AXIS2_PLACEMENT_3D('',#539455,#419521,#419522); #363410=AXIS2_PLACEMENT_3D('',#539461,#419526,#419527); #363411=AXIS2_PLACEMENT_3D('',#539467,#419531,#419532); #363412=AXIS2_PLACEMENT_3D('',#539473,#419536,#419537); #363413=AXIS2_PLACEMENT_3D('',#539479,#419541,#419542); #363414=AXIS2_PLACEMENT_3D('',#539485,#419546,#419547); #363415=AXIS2_PLACEMENT_3D('',#539491,#419551,#419552); #363416=AXIS2_PLACEMENT_3D('',#539497,#419556,#419557); #363417=AXIS2_PLACEMENT_3D('',#539503,#419561,#419562); #363418=AXIS2_PLACEMENT_3D('',#539509,#419566,#419567); #363419=AXIS2_PLACEMENT_3D('',#539515,#419571,#419572); #363420=AXIS2_PLACEMENT_3D('',#539521,#419576,#419577); #363421=AXIS2_PLACEMENT_3D('',#539527,#419581,#419582); #363422=AXIS2_PLACEMENT_3D('',#539533,#419586,#419587); #363423=AXIS2_PLACEMENT_3D('',#539539,#419591,#419592); #363424=AXIS2_PLACEMENT_3D('',#539545,#419596,#419597); #363425=AXIS2_PLACEMENT_3D('',#539551,#419601,#419602); #363426=AXIS2_PLACEMENT_3D('',#539557,#419606,#419607); #363427=AXIS2_PLACEMENT_3D('',#539563,#419611,#419612); #363428=AXIS2_PLACEMENT_3D('',#539569,#419616,#419617); #363429=AXIS2_PLACEMENT_3D('',#539575,#419621,#419622); #363430=AXIS2_PLACEMENT_3D('',#539581,#419626,#419627); #363431=AXIS2_PLACEMENT_3D('',#539587,#419631,#419632); #363432=AXIS2_PLACEMENT_3D('',#539593,#419636,#419637); #363433=AXIS2_PLACEMENT_3D('',#539599,#419641,#419642); #363434=AXIS2_PLACEMENT_3D('',#539605,#419646,#419647); #363435=AXIS2_PLACEMENT_3D('',#539611,#419651,#419652); #363436=AXIS2_PLACEMENT_3D('',#539617,#419656,#419657); #363437=AXIS2_PLACEMENT_3D('',#539623,#419661,#419662); #363438=AXIS2_PLACEMENT_3D('',#539629,#419666,#419667); #363439=AXIS2_PLACEMENT_3D('',#539635,#419671,#419672); #363440=AXIS2_PLACEMENT_3D('',#539641,#419676,#419677); #363441=AXIS2_PLACEMENT_3D('',#539647,#419681,#419682); #363442=AXIS2_PLACEMENT_3D('',#539653,#419686,#419687); #363443=AXIS2_PLACEMENT_3D('',#539659,#419691,#419692); #363444=AXIS2_PLACEMENT_3D('',#539665,#419696,#419697); #363445=AXIS2_PLACEMENT_3D('',#539671,#419701,#419702); #363446=AXIS2_PLACEMENT_3D('',#539677,#419706,#419707); #363447=AXIS2_PLACEMENT_3D('',#539683,#419711,#419712); #363448=AXIS2_PLACEMENT_3D('',#539689,#419716,#419717); #363449=AXIS2_PLACEMENT_3D('',#539695,#419721,#419722); #363450=AXIS2_PLACEMENT_3D('',#539701,#419726,#419727); #363451=AXIS2_PLACEMENT_3D('',#539707,#419731,#419732); #363452=AXIS2_PLACEMENT_3D('',#539713,#419736,#419737); #363453=AXIS2_PLACEMENT_3D('',#539719,#419741,#419742); #363454=AXIS2_PLACEMENT_3D('',#539725,#419746,#419747); #363455=AXIS2_PLACEMENT_3D('',#539731,#419751,#419752); #363456=AXIS2_PLACEMENT_3D('',#539737,#419756,#419757); #363457=AXIS2_PLACEMENT_3D('',#539743,#419761,#419762); #363458=AXIS2_PLACEMENT_3D('',#539749,#419766,#419767); #363459=AXIS2_PLACEMENT_3D('',#539755,#419771,#419772); #363460=AXIS2_PLACEMENT_3D('',#539761,#419776,#419777); #363461=AXIS2_PLACEMENT_3D('',#539767,#419781,#419782); #363462=AXIS2_PLACEMENT_3D('',#539773,#419786,#419787); #363463=AXIS2_PLACEMENT_3D('',#539779,#419791,#419792); #363464=AXIS2_PLACEMENT_3D('',#539782,#419795,#419796); #363465=AXIS2_PLACEMENT_3D('',#539783,#419797,#419798); #363466=AXIS2_PLACEMENT_3D('',#539784,#419799,#419800); #363467=AXIS2_PLACEMENT_3D('',#539786,#419801,#419802); #363468=AXIS2_PLACEMENT_3D('',#539789,#419804,#419805); #363469=AXIS2_PLACEMENT_3D('',#539790,#419806,#419807); #363470=AXIS2_PLACEMENT_3D('',#539799,#419812,#419813); #363471=AXIS2_PLACEMENT_3D('',#539805,#419817,#419818); #363472=AXIS2_PLACEMENT_3D('',#539811,#419822,#419823); #363473=AXIS2_PLACEMENT_3D('',#539814,#419826,#419827); #363474=AXIS2_PLACEMENT_3D('',#539815,#419828,#419829); #363475=AXIS2_PLACEMENT_3D('',#539816,#419830,#419831); #363476=AXIS2_PLACEMENT_3D('',#539825,#419836,#419837); #363477=AXIS2_PLACEMENT_3D('',#539827,#419838,#419839); #363478=AXIS2_PLACEMENT_3D('',#539829,#419840,#419841); #363479=AXIS2_PLACEMENT_3D('',#539831,#419843,#419844); #363480=AXIS2_PLACEMENT_3D('',#539837,#419848,#419849); #363481=AXIS2_PLACEMENT_3D('',#539838,#419850,#419851); #363482=AXIS2_PLACEMENT_3D('',#539839,#419852,#419853); #363483=AXIS2_PLACEMENT_3D('',#539840,#419854,#419855); #363484=AXIS2_PLACEMENT_3D('',#539849,#419860,#419861); #363485=AXIS2_PLACEMENT_3D('',#539855,#419865,#419866); #363486=AXIS2_PLACEMENT_3D('',#539861,#419870,#419871); #363487=AXIS2_PLACEMENT_3D('',#539867,#419875,#419876); #363488=AXIS2_PLACEMENT_3D('',#539873,#419880,#419881); #363489=AXIS2_PLACEMENT_3D('',#539879,#419885,#419886); #363490=AXIS2_PLACEMENT_3D('',#539885,#419890,#419891); #363491=AXIS2_PLACEMENT_3D('',#539891,#419895,#419896); #363492=AXIS2_PLACEMENT_3D('',#539897,#419900,#419901); #363493=AXIS2_PLACEMENT_3D('',#539903,#419905,#419906); #363494=AXIS2_PLACEMENT_3D('',#539909,#419910,#419911); #363495=AXIS2_PLACEMENT_3D('',#539915,#419915,#419916); #363496=AXIS2_PLACEMENT_3D('',#539921,#419920,#419921); #363497=AXIS2_PLACEMENT_3D('',#539927,#419925,#419926); #363498=AXIS2_PLACEMENT_3D('',#539933,#419930,#419931); #363499=AXIS2_PLACEMENT_3D('',#539939,#419935,#419936); #363500=AXIS2_PLACEMENT_3D('',#539945,#419940,#419941); #363501=AXIS2_PLACEMENT_3D('',#539951,#419945,#419946); #363502=AXIS2_PLACEMENT_3D('',#539957,#419950,#419951); #363503=AXIS2_PLACEMENT_3D('',#539963,#419955,#419956); #363504=AXIS2_PLACEMENT_3D('',#539969,#419960,#419961); #363505=AXIS2_PLACEMENT_3D('',#539975,#419965,#419966); #363506=AXIS2_PLACEMENT_3D('',#539981,#419970,#419971); #363507=AXIS2_PLACEMENT_3D('',#539987,#419975,#419976); #363508=AXIS2_PLACEMENT_3D('',#539993,#419980,#419981); #363509=AXIS2_PLACEMENT_3D('',#539999,#419985,#419986); #363510=AXIS2_PLACEMENT_3D('',#540005,#419990,#419991); #363511=AXIS2_PLACEMENT_3D('',#540011,#419995,#419996); #363512=AXIS2_PLACEMENT_3D('',#540017,#420000,#420001); #363513=AXIS2_PLACEMENT_3D('',#540023,#420005,#420006); #363514=AXIS2_PLACEMENT_3D('',#540029,#420010,#420011); #363515=AXIS2_PLACEMENT_3D('',#540035,#420015,#420016); #363516=AXIS2_PLACEMENT_3D('',#540041,#420020,#420021); #363517=AXIS2_PLACEMENT_3D('',#540047,#420025,#420026); #363518=AXIS2_PLACEMENT_3D('',#540053,#420030,#420031); #363519=AXIS2_PLACEMENT_3D('',#540059,#420035,#420036); #363520=AXIS2_PLACEMENT_3D('',#540065,#420040,#420041); #363521=AXIS2_PLACEMENT_3D('',#540071,#420045,#420046); #363522=AXIS2_PLACEMENT_3D('',#540077,#420050,#420051); #363523=AXIS2_PLACEMENT_3D('',#540083,#420055,#420056); #363524=AXIS2_PLACEMENT_3D('',#540089,#420060,#420061); #363525=AXIS2_PLACEMENT_3D('',#540095,#420065,#420066); #363526=AXIS2_PLACEMENT_3D('',#540101,#420070,#420071); #363527=AXIS2_PLACEMENT_3D('',#540107,#420075,#420076); #363528=AXIS2_PLACEMENT_3D('',#540113,#420080,#420081); #363529=AXIS2_PLACEMENT_3D('',#540119,#420085,#420086); #363530=AXIS2_PLACEMENT_3D('',#540125,#420090,#420091); #363531=AXIS2_PLACEMENT_3D('',#540131,#420095,#420096); #363532=AXIS2_PLACEMENT_3D('',#540137,#420100,#420101); #363533=AXIS2_PLACEMENT_3D('',#540143,#420105,#420106); #363534=AXIS2_PLACEMENT_3D('',#540149,#420110,#420111); #363535=AXIS2_PLACEMENT_3D('',#540155,#420115,#420116); #363536=AXIS2_PLACEMENT_3D('',#540161,#420120,#420121); #363537=AXIS2_PLACEMENT_3D('',#540167,#420125,#420126); #363538=AXIS2_PLACEMENT_3D('',#540173,#420130,#420131); #363539=AXIS2_PLACEMENT_3D('',#540176,#420134,#420135); #363540=AXIS2_PLACEMENT_3D('',#540177,#420136,#420137); #363541=AXIS2_PLACEMENT_3D('',#540178,#420138,#420139); #363542=AXIS2_PLACEMENT_3D('',#540187,#420144,#420145); #363543=AXIS2_PLACEMENT_3D('',#540189,#420146,#420147); #363544=AXIS2_PLACEMENT_3D('',#540191,#420148,#420149); #363545=AXIS2_PLACEMENT_3D('',#540193,#420151,#420152); #363546=AXIS2_PLACEMENT_3D('',#540199,#420156,#420157); #363547=AXIS2_PLACEMENT_3D('',#540200,#420158,#420159); #363548=AXIS2_PLACEMENT_3D('',#540201,#420160,#420161); #363549=AXIS2_PLACEMENT_3D('',#540202,#420162,#420163); #363550=AXIS2_PLACEMENT_3D('',#540211,#420168,#420169); #363551=AXIS2_PLACEMENT_3D('',#540217,#420173,#420174); #363552=AXIS2_PLACEMENT_3D('',#540223,#420178,#420179); #363553=AXIS2_PLACEMENT_3D('',#540229,#420183,#420184); #363554=AXIS2_PLACEMENT_3D('',#540235,#420188,#420189); #363555=AXIS2_PLACEMENT_3D('',#540241,#420193,#420194); #363556=AXIS2_PLACEMENT_3D('',#540247,#420198,#420199); #363557=AXIS2_PLACEMENT_3D('',#540253,#420203,#420204); #363558=AXIS2_PLACEMENT_3D('',#540259,#420208,#420209); #363559=AXIS2_PLACEMENT_3D('',#540265,#420213,#420214); #363560=AXIS2_PLACEMENT_3D('',#540271,#420218,#420219); #363561=AXIS2_PLACEMENT_3D('',#540277,#420223,#420224); #363562=AXIS2_PLACEMENT_3D('',#540283,#420228,#420229); #363563=AXIS2_PLACEMENT_3D('',#540289,#420233,#420234); #363564=AXIS2_PLACEMENT_3D('',#540295,#420238,#420239); #363565=AXIS2_PLACEMENT_3D('',#540301,#420243,#420244); #363566=AXIS2_PLACEMENT_3D('',#540307,#420248,#420249); #363567=AXIS2_PLACEMENT_3D('',#540313,#420253,#420254); #363568=AXIS2_PLACEMENT_3D('',#540319,#420258,#420259); #363569=AXIS2_PLACEMENT_3D('',#540325,#420263,#420264); #363570=AXIS2_PLACEMENT_3D('',#540331,#420268,#420269); #363571=AXIS2_PLACEMENT_3D('',#540337,#420273,#420274); #363572=AXIS2_PLACEMENT_3D('',#540343,#420278,#420279); #363573=AXIS2_PLACEMENT_3D('',#540349,#420283,#420284); #363574=AXIS2_PLACEMENT_3D('',#540355,#420288,#420289); #363575=AXIS2_PLACEMENT_3D('',#540361,#420293,#420294); #363576=AXIS2_PLACEMENT_3D('',#540367,#420298,#420299); #363577=AXIS2_PLACEMENT_3D('',#540373,#420303,#420304); #363578=AXIS2_PLACEMENT_3D('',#540379,#420308,#420309); #363579=AXIS2_PLACEMENT_3D('',#540385,#420313,#420314); #363580=AXIS2_PLACEMENT_3D('',#540391,#420318,#420319); #363581=AXIS2_PLACEMENT_3D('',#540397,#420323,#420324); #363582=AXIS2_PLACEMENT_3D('',#540403,#420328,#420329); #363583=AXIS2_PLACEMENT_3D('',#540409,#420333,#420334); #363584=AXIS2_PLACEMENT_3D('',#540415,#420338,#420339); #363585=AXIS2_PLACEMENT_3D('',#540421,#420343,#420344); #363586=AXIS2_PLACEMENT_3D('',#540427,#420348,#420349); #363587=AXIS2_PLACEMENT_3D('',#540433,#420353,#420354); #363588=AXIS2_PLACEMENT_3D('',#540439,#420358,#420359); #363589=AXIS2_PLACEMENT_3D('',#540445,#420363,#420364); #363590=AXIS2_PLACEMENT_3D('',#540451,#420368,#420369); #363591=AXIS2_PLACEMENT_3D('',#540457,#420373,#420374); #363592=AXIS2_PLACEMENT_3D('',#540463,#420378,#420379); #363593=AXIS2_PLACEMENT_3D('',#540469,#420383,#420384); #363594=AXIS2_PLACEMENT_3D('',#540475,#420388,#420389); #363595=AXIS2_PLACEMENT_3D('',#540481,#420393,#420394); #363596=AXIS2_PLACEMENT_3D('',#540487,#420398,#420399); #363597=AXIS2_PLACEMENT_3D('',#540493,#420403,#420404); #363598=AXIS2_PLACEMENT_3D('',#540499,#420408,#420409); #363599=AXIS2_PLACEMENT_3D('',#540505,#420413,#420414); #363600=AXIS2_PLACEMENT_3D('',#540511,#420418,#420419); #363601=AXIS2_PLACEMENT_3D('',#540517,#420423,#420424); #363602=AXIS2_PLACEMENT_3D('',#540523,#420428,#420429); #363603=AXIS2_PLACEMENT_3D('',#540529,#420433,#420434); #363604=AXIS2_PLACEMENT_3D('',#540535,#420438,#420439); #363605=AXIS2_PLACEMENT_3D('',#540541,#420443,#420444); #363606=AXIS2_PLACEMENT_3D('',#540547,#420448,#420449); #363607=AXIS2_PLACEMENT_3D('',#540553,#420453,#420454); #363608=AXIS2_PLACEMENT_3D('',#540559,#420458,#420459); #363609=AXIS2_PLACEMENT_3D('',#540565,#420463,#420464); #363610=AXIS2_PLACEMENT_3D('',#540571,#420468,#420469); #363611=AXIS2_PLACEMENT_3D('',#540577,#420473,#420474); #363612=AXIS2_PLACEMENT_3D('',#540583,#420478,#420479); #363613=AXIS2_PLACEMENT_3D('',#540589,#420483,#420484); #363614=AXIS2_PLACEMENT_3D('',#540595,#420488,#420489); #363615=AXIS2_PLACEMENT_3D('',#540601,#420493,#420494); #363616=AXIS2_PLACEMENT_3D('',#540607,#420498,#420499); #363617=AXIS2_PLACEMENT_3D('',#540613,#420503,#420504); #363618=AXIS2_PLACEMENT_3D('',#540619,#420508,#420509); #363619=AXIS2_PLACEMENT_3D('',#540625,#420513,#420514); #363620=AXIS2_PLACEMENT_3D('',#540631,#420518,#420519); #363621=AXIS2_PLACEMENT_3D('',#540634,#420522,#420523); #363622=AXIS2_PLACEMENT_3D('',#540635,#420524,#420525); #363623=AXIS2_PLACEMENT_3D('',#540636,#420526,#420527); #363624=AXIS2_PLACEMENT_3D('',#540638,#420528,#420529); #363625=AXIS2_PLACEMENT_3D('',#540641,#420531,#420532); #363626=AXIS2_PLACEMENT_3D('',#540642,#420533,#420534); #363627=AXIS2_PLACEMENT_3D('',#540651,#420539,#420540); #363628=AXIS2_PLACEMENT_3D('',#540653,#420541,#420542); #363629=AXIS2_PLACEMENT_3D('',#540655,#420543,#420544); #363630=AXIS2_PLACEMENT_3D('',#540657,#420546,#420547); #363631=AXIS2_PLACEMENT_3D('',#540658,#420548,#420549); #363632=AXIS2_PLACEMENT_3D('',#540659,#420550,#420551); #363633=AXIS2_PLACEMENT_3D('',#540660,#420552,#420553); #363634=AXIS2_PLACEMENT_3D('',#540661,#420554,#420555); #363635=AXIS2_PLACEMENT_3D('',#540662,#420556,#420557); #363636=AXIS2_PLACEMENT_3D('',#540664,#420558,#420559); #363637=AXIS2_PLACEMENT_3D('',#540667,#420561,#420562); #363638=AXIS2_PLACEMENT_3D('',#540668,#420563,#420564); #363639=AXIS2_PLACEMENT_3D('',#540677,#420569,#420570); #363640=AXIS2_PLACEMENT_3D('',#540679,#420571,#420572); #363641=AXIS2_PLACEMENT_3D('',#540681,#420573,#420574); #363642=AXIS2_PLACEMENT_3D('',#540683,#420576,#420577); #363643=AXIS2_PLACEMENT_3D('',#540684,#420578,#420579); #363644=AXIS2_PLACEMENT_3D('',#540685,#420580,#420581); #363645=AXIS2_PLACEMENT_3D('',#540686,#420582,#420583); #363646=AXIS2_PLACEMENT_3D('',#540687,#420584,#420585); #363647=AXIS2_PLACEMENT_3D('',#540688,#420586,#420587); #363648=AXIS2_PLACEMENT_3D('',#540697,#420592,#420593); #363649=AXIS2_PLACEMENT_3D('',#540699,#420594,#420595); #363650=AXIS2_PLACEMENT_3D('',#540701,#420596,#420597); #363651=AXIS2_PLACEMENT_3D('',#540703,#420599,#420600); #363652=AXIS2_PLACEMENT_3D('',#540709,#420604,#420605); #363653=AXIS2_PLACEMENT_3D('',#540710,#420606,#420607); #363654=AXIS2_PLACEMENT_3D('',#540711,#420608,#420609); #363655=AXIS2_PLACEMENT_3D('',#540712,#420610,#420611); #363656=AXIS2_PLACEMENT_3D('',#540721,#420616,#420617); #363657=AXIS2_PLACEMENT_3D('',#540727,#420621,#420622); #363658=AXIS2_PLACEMENT_3D('',#540733,#420626,#420627); #363659=AXIS2_PLACEMENT_3D('',#540739,#420631,#420632); #363660=AXIS2_PLACEMENT_3D('',#540745,#420636,#420637); #363661=AXIS2_PLACEMENT_3D('',#540751,#420641,#420642); #363662=AXIS2_PLACEMENT_3D('',#540757,#420646,#420647); #363663=AXIS2_PLACEMENT_3D('',#540763,#420651,#420652); #363664=AXIS2_PLACEMENT_3D('',#540769,#420656,#420657); #363665=AXIS2_PLACEMENT_3D('',#540775,#420661,#420662); #363666=AXIS2_PLACEMENT_3D('',#540781,#420666,#420667); #363667=AXIS2_PLACEMENT_3D('',#540787,#420671,#420672); #363668=AXIS2_PLACEMENT_3D('',#540793,#420676,#420677); #363669=AXIS2_PLACEMENT_3D('',#540799,#420681,#420682); #363670=AXIS2_PLACEMENT_3D('',#540805,#420686,#420687); #363671=AXIS2_PLACEMENT_3D('',#540811,#420691,#420692); #363672=AXIS2_PLACEMENT_3D('',#540817,#420696,#420697); #363673=AXIS2_PLACEMENT_3D('',#540823,#420701,#420702); #363674=AXIS2_PLACEMENT_3D('',#540829,#420706,#420707); #363675=AXIS2_PLACEMENT_3D('',#540835,#420711,#420712); #363676=AXIS2_PLACEMENT_3D('',#540841,#420716,#420717); #363677=AXIS2_PLACEMENT_3D('',#540847,#420721,#420722); #363678=AXIS2_PLACEMENT_3D('',#540853,#420726,#420727); #363679=AXIS2_PLACEMENT_3D('',#540859,#420731,#420732); #363680=AXIS2_PLACEMENT_3D('',#540865,#420736,#420737); #363681=AXIS2_PLACEMENT_3D('',#540871,#420741,#420742); #363682=AXIS2_PLACEMENT_3D('',#540877,#420746,#420747); #363683=AXIS2_PLACEMENT_3D('',#540883,#420751,#420752); #363684=AXIS2_PLACEMENT_3D('',#540889,#420756,#420757); #363685=AXIS2_PLACEMENT_3D('',#540895,#420761,#420762); #363686=AXIS2_PLACEMENT_3D('',#540901,#420766,#420767); #363687=AXIS2_PLACEMENT_3D('',#540907,#420771,#420772); #363688=AXIS2_PLACEMENT_3D('',#540913,#420776,#420777); #363689=AXIS2_PLACEMENT_3D('',#540919,#420781,#420782); #363690=AXIS2_PLACEMENT_3D('',#540925,#420786,#420787); #363691=AXIS2_PLACEMENT_3D('',#540931,#420791,#420792); #363692=AXIS2_PLACEMENT_3D('',#540937,#420796,#420797); #363693=AXIS2_PLACEMENT_3D('',#540943,#420801,#420802); #363694=AXIS2_PLACEMENT_3D('',#540949,#420806,#420807); #363695=AXIS2_PLACEMENT_3D('',#540955,#420811,#420812); #363696=AXIS2_PLACEMENT_3D('',#540961,#420816,#420817); #363697=AXIS2_PLACEMENT_3D('',#540967,#420821,#420822); #363698=AXIS2_PLACEMENT_3D('',#540973,#420826,#420827); #363699=AXIS2_PLACEMENT_3D('',#540979,#420831,#420832); #363700=AXIS2_PLACEMENT_3D('',#540985,#420836,#420837); #363701=AXIS2_PLACEMENT_3D('',#540991,#420841,#420842); #363702=AXIS2_PLACEMENT_3D('',#540997,#420846,#420847); #363703=AXIS2_PLACEMENT_3D('',#541003,#420851,#420852); #363704=AXIS2_PLACEMENT_3D('',#541009,#420856,#420857); #363705=AXIS2_PLACEMENT_3D('',#541015,#420861,#420862); #363706=AXIS2_PLACEMENT_3D('',#541021,#420866,#420867); #363707=AXIS2_PLACEMENT_3D('',#541027,#420871,#420872); #363708=AXIS2_PLACEMENT_3D('',#541033,#420876,#420877); #363709=AXIS2_PLACEMENT_3D('',#541039,#420881,#420882); #363710=AXIS2_PLACEMENT_3D('',#541045,#420886,#420887); #363711=AXIS2_PLACEMENT_3D('',#541048,#420890,#420891); #363712=AXIS2_PLACEMENT_3D('',#541049,#420892,#420893); #363713=AXIS2_PLACEMENT_3D('',#541050,#420894,#420895); #363714=AXIS2_PLACEMENT_3D('',#541052,#420896,#420897); #363715=AXIS2_PLACEMENT_3D('',#541055,#420899,#420900); #363716=AXIS2_PLACEMENT_3D('',#541056,#420901,#420902); #363717=AXIS2_PLACEMENT_3D('',#541065,#420907,#420908); #363718=AXIS2_PLACEMENT_3D('',#541067,#420909,#420910); #363719=AXIS2_PLACEMENT_3D('',#541069,#420911,#420912); #363720=AXIS2_PLACEMENT_3D('',#541071,#420914,#420915); #363721=AXIS2_PLACEMENT_3D('',#541072,#420916,#420917); #363722=AXIS2_PLACEMENT_3D('',#541073,#420918,#420919); #363723=AXIS2_PLACEMENT_3D('',#541074,#420920,#420921); #363724=AXIS2_PLACEMENT_3D('',#541075,#420922,#420923); #363725=AXIS2_PLACEMENT_3D('',#541076,#420924,#420925); #363726=AXIS2_PLACEMENT_3D('',#541078,#420926,#420927); #363727=AXIS2_PLACEMENT_3D('',#541081,#420929,#420930); #363728=AXIS2_PLACEMENT_3D('',#541082,#420931,#420932); #363729=AXIS2_PLACEMENT_3D('',#541091,#420937,#420938); #363730=AXIS2_PLACEMENT_3D('',#541093,#420939,#420940); #363731=AXIS2_PLACEMENT_3D('',#541095,#420941,#420942); #363732=AXIS2_PLACEMENT_3D('',#541097,#420944,#420945); #363733=AXIS2_PLACEMENT_3D('',#541098,#420946,#420947); #363734=AXIS2_PLACEMENT_3D('',#541099,#420948,#420949); #363735=AXIS2_PLACEMENT_3D('',#541100,#420950,#420951); #363736=AXIS2_PLACEMENT_3D('',#541101,#420952,#420953); #363737=AXIS2_PLACEMENT_3D('',#541102,#420954,#420955); #363738=AXIS2_PLACEMENT_3D('',#541104,#420956,#420957); #363739=AXIS2_PLACEMENT_3D('',#541107,#420959,#420960); #363740=AXIS2_PLACEMENT_3D('',#541108,#420961,#420962); #363741=AXIS2_PLACEMENT_3D('',#541117,#420967,#420968); #363742=AXIS2_PLACEMENT_3D('',#541119,#420969,#420970); #363743=AXIS2_PLACEMENT_3D('',#541121,#420971,#420972); #363744=AXIS2_PLACEMENT_3D('',#541123,#420974,#420975); #363745=AXIS2_PLACEMENT_3D('',#541124,#420976,#420977); #363746=AXIS2_PLACEMENT_3D('',#541125,#420978,#420979); #363747=AXIS2_PLACEMENT_3D('',#541126,#420980,#420981); #363748=AXIS2_PLACEMENT_3D('',#541127,#420982,#420983); #363749=AXIS2_PLACEMENT_3D('',#541128,#420984,#420985); #363750=AXIS2_PLACEMENT_3D('',#541130,#420986,#420987); #363751=AXIS2_PLACEMENT_3D('',#541133,#420989,#420990); #363752=AXIS2_PLACEMENT_3D('',#541134,#420991,#420992); #363753=AXIS2_PLACEMENT_3D('',#541143,#420997,#420998); #363754=AXIS2_PLACEMENT_3D('',#541149,#421002,#421003); #363755=AXIS2_PLACEMENT_3D('',#541155,#421007,#421008); #363756=AXIS2_PLACEMENT_3D('',#541158,#421011,#421012); #363757=AXIS2_PLACEMENT_3D('',#541159,#421013,#421014); #363758=AXIS2_PLACEMENT_3D('',#541160,#421015,#421016); #363759=AXIS2_PLACEMENT_3D('',#541162,#421017,#421018); #363760=AXIS2_PLACEMENT_3D('',#541165,#421020,#421021); #363761=AXIS2_PLACEMENT_3D('',#541166,#421022,#421023); #363762=AXIS2_PLACEMENT_3D('',#541175,#421028,#421029); #363763=AXIS2_PLACEMENT_3D('',#541177,#421030,#421031); #363764=AXIS2_PLACEMENT_3D('',#541179,#421032,#421033); #363765=AXIS2_PLACEMENT_3D('',#541181,#421035,#421036); #363766=AXIS2_PLACEMENT_3D('',#541182,#421037,#421038); #363767=AXIS2_PLACEMENT_3D('',#541183,#421039,#421040); #363768=AXIS2_PLACEMENT_3D('',#541184,#421041,#421042); #363769=AXIS2_PLACEMENT_3D('',#541185,#421043,#421044); #363770=AXIS2_PLACEMENT_3D('',#541186,#421045,#421046); #363771=AXIS2_PLACEMENT_3D('',#541195,#421051,#421052); #363772=AXIS2_PLACEMENT_3D('',#541201,#421056,#421057); #363773=AXIS2_PLACEMENT_3D('',#541207,#421061,#421062); #363774=AXIS2_PLACEMENT_3D('',#541210,#421065,#421066); #363775=AXIS2_PLACEMENT_3D('',#541211,#421067,#421068); #363776=AXIS2_PLACEMENT_3D('',#541212,#421069,#421070); #363777=AXIS2_PLACEMENT_3D('',#541221,#421075,#421076); #363778=AXIS2_PLACEMENT_3D('',#541227,#421080,#421081); #363779=AXIS2_PLACEMENT_3D('',#541233,#421085,#421086); #363780=AXIS2_PLACEMENT_3D('',#541236,#421089,#421090); #363781=AXIS2_PLACEMENT_3D('',#541237,#421091,#421092); #363782=AXIS2_PLACEMENT_3D('',#541238,#421093,#421094); #363783=AXIS2_PLACEMENT_3D('',#541247,#421099,#421100); #363784=AXIS2_PLACEMENT_3D('',#541253,#421104,#421105); #363785=AXIS2_PLACEMENT_3D('',#541259,#421109,#421110); #363786=AXIS2_PLACEMENT_3D('',#541262,#421113,#421114); #363787=AXIS2_PLACEMENT_3D('',#541263,#421115,#421116); #363788=AXIS2_PLACEMENT_3D('',#541264,#421117,#421118); #363789=AXIS2_PLACEMENT_3D('',#541273,#421123,#421124); #363790=AXIS2_PLACEMENT_3D('',#541279,#421128,#421129); #363791=AXIS2_PLACEMENT_3D('',#541285,#421133,#421134); #363792=AXIS2_PLACEMENT_3D('',#541288,#421137,#421138); #363793=AXIS2_PLACEMENT_3D('',#541289,#421139,#421140); #363794=AXIS2_PLACEMENT_3D('',#541290,#421141,#421142); #363795=AXIS2_PLACEMENT_3D('',#541299,#421147,#421148); #363796=AXIS2_PLACEMENT_3D('',#541305,#421152,#421153); #363797=AXIS2_PLACEMENT_3D('',#541311,#421157,#421158); #363798=AXIS2_PLACEMENT_3D('',#541314,#421161,#421162); #363799=AXIS2_PLACEMENT_3D('',#541315,#421163,#421164); #363800=AXIS2_PLACEMENT_3D('',#541316,#421165,#421166); #363801=AXIS2_PLACEMENT_3D('',#541325,#421171,#421172); #363802=AXIS2_PLACEMENT_3D('',#541331,#421176,#421177); #363803=AXIS2_PLACEMENT_3D('',#541337,#421181,#421182); #363804=AXIS2_PLACEMENT_3D('',#541340,#421185,#421186); #363805=AXIS2_PLACEMENT_3D('',#541341,#421187,#421188); #363806=AXIS2_PLACEMENT_3D('',#541342,#421189,#421190); #363807=AXIS2_PLACEMENT_3D('',#541351,#421195,#421196); #363808=AXIS2_PLACEMENT_3D('',#541357,#421200,#421201); #363809=AXIS2_PLACEMENT_3D('',#541363,#421205,#421206); #363810=AXIS2_PLACEMENT_3D('',#541366,#421209,#421210); #363811=AXIS2_PLACEMENT_3D('',#541367,#421211,#421212); #363812=AXIS2_PLACEMENT_3D('',#541368,#421213,#421214); #363813=AXIS2_PLACEMENT_3D('',#541377,#421219,#421220); #363814=AXIS2_PLACEMENT_3D('',#541383,#421224,#421225); #363815=AXIS2_PLACEMENT_3D('',#541389,#421229,#421230); #363816=AXIS2_PLACEMENT_3D('',#541392,#421233,#421234); #363817=AXIS2_PLACEMENT_3D('',#541393,#421235,#421236); #363818=AXIS2_PLACEMENT_3D('',#541394,#421237,#421238); #363819=AXIS2_PLACEMENT_3D('',#541403,#421243,#421244); #363820=AXIS2_PLACEMENT_3D('',#541409,#421248,#421249); #363821=AXIS2_PLACEMENT_3D('',#541415,#421253,#421254); #363822=AXIS2_PLACEMENT_3D('',#541418,#421257,#421258); #363823=AXIS2_PLACEMENT_3D('',#541419,#421259,#421260); #363824=AXIS2_PLACEMENT_3D('',#541420,#421261,#421262); #363825=AXIS2_PLACEMENT_3D('',#541429,#421267,#421268); #363826=AXIS2_PLACEMENT_3D('',#541435,#421272,#421273); #363827=AXIS2_PLACEMENT_3D('',#541441,#421277,#421278); #363828=AXIS2_PLACEMENT_3D('',#541444,#421281,#421282); #363829=AXIS2_PLACEMENT_3D('',#541445,#421283,#421284); #363830=AXIS2_PLACEMENT_3D('',#541446,#421285,#421286); #363831=AXIS2_PLACEMENT_3D('',#541455,#421291,#421292); #363832=AXIS2_PLACEMENT_3D('',#541461,#421296,#421297); #363833=AXIS2_PLACEMENT_3D('',#541467,#421301,#421302); #363834=AXIS2_PLACEMENT_3D('',#541470,#421305,#421306); #363835=AXIS2_PLACEMENT_3D('',#541471,#421307,#421308); #363836=AXIS2_PLACEMENT_3D('',#541472,#421309,#421310); #363837=AXIS2_PLACEMENT_3D('',#541481,#421315,#421316); #363838=AXIS2_PLACEMENT_3D('',#541487,#421320,#421321); #363839=AXIS2_PLACEMENT_3D('',#541493,#421325,#421326); #363840=AXIS2_PLACEMENT_3D('',#541496,#421329,#421330); #363841=AXIS2_PLACEMENT_3D('',#541497,#421331,#421332); #363842=AXIS2_PLACEMENT_3D('',#541498,#421333,#421334); #363843=AXIS2_PLACEMENT_3D('',#541507,#421339,#421340); #363844=AXIS2_PLACEMENT_3D('',#541513,#421344,#421345); #363845=AXIS2_PLACEMENT_3D('',#541519,#421349,#421350); #363846=AXIS2_PLACEMENT_3D('',#541522,#421353,#421354); #363847=AXIS2_PLACEMENT_3D('',#541523,#421355,#421356); #363848=AXIS2_PLACEMENT_3D('',#541524,#421357,#421358); #363849=AXIS2_PLACEMENT_3D('',#541526,#421359,#421360); #363850=AXIS2_PLACEMENT_3D('',#541529,#421362,#421363); #363851=AXIS2_PLACEMENT_3D('',#541530,#421364,#421365); #363852=AXIS2_PLACEMENT_3D('',#541532,#421366,#421367); #363853=AXIS2_PLACEMENT_3D('',#541535,#421369,#421370); #363854=AXIS2_PLACEMENT_3D('',#541536,#421371,#421372); #363855=AXIS2_PLACEMENT_3D('',#541538,#421373,#421374); #363856=AXIS2_PLACEMENT_3D('',#541541,#421376,#421377); #363857=AXIS2_PLACEMENT_3D('',#541542,#421378,#421379); #363858=AXIS2_PLACEMENT_3D('',#541544,#421380,#421381); #363859=AXIS2_PLACEMENT_3D('',#541547,#421383,#421384); #363860=AXIS2_PLACEMENT_3D('',#541548,#421385,#421386); #363861=AXIS2_PLACEMENT_3D('',#541550,#421387,#421388); #363862=AXIS2_PLACEMENT_3D('',#541553,#421390,#421391); #363863=AXIS2_PLACEMENT_3D('',#541554,#421392,#421393); #363864=AXIS2_PLACEMENT_3D('',#541556,#421394,#421395); #363865=AXIS2_PLACEMENT_3D('',#541559,#421397,#421398); #363866=AXIS2_PLACEMENT_3D('',#541560,#421399,#421400); #363867=AXIS2_PLACEMENT_3D('',#541569,#421405,#421406); #363868=AXIS2_PLACEMENT_3D('',#541575,#421410,#421411); #363869=AXIS2_PLACEMENT_3D('',#541581,#421415,#421416); #363870=AXIS2_PLACEMENT_3D('',#541584,#421419,#421420); #363871=AXIS2_PLACEMENT_3D('',#541585,#421421,#421422); #363872=AXIS2_PLACEMENT_3D('',#541586,#421423,#421424); #363873=AXIS2_PLACEMENT_3D('',#541595,#421429,#421430); #363874=AXIS2_PLACEMENT_3D('',#541601,#421434,#421435); #363875=AXIS2_PLACEMENT_3D('',#541607,#421439,#421440); #363876=AXIS2_PLACEMENT_3D('',#541610,#421443,#421444); #363877=AXIS2_PLACEMENT_3D('',#541611,#421445,#421446); #363878=AXIS2_PLACEMENT_3D('',#541612,#421447,#421448); #363879=AXIS2_PLACEMENT_3D('',#541621,#421453,#421454); #363880=AXIS2_PLACEMENT_3D('',#541627,#421458,#421459); #363881=AXIS2_PLACEMENT_3D('',#541633,#421463,#421464); #363882=AXIS2_PLACEMENT_3D('',#541636,#421467,#421468); #363883=AXIS2_PLACEMENT_3D('',#541637,#421469,#421470); #363884=AXIS2_PLACEMENT_3D('',#541638,#421471,#421472); #363885=AXIS2_PLACEMENT_3D('',#541647,#421477,#421478); #363886=AXIS2_PLACEMENT_3D('',#541653,#421482,#421483); #363887=AXIS2_PLACEMENT_3D('',#541659,#421487,#421488); #363888=AXIS2_PLACEMENT_3D('',#541662,#421491,#421492); #363889=AXIS2_PLACEMENT_3D('',#541663,#421493,#421494); #363890=AXIS2_PLACEMENT_3D('',#541664,#421495,#421496); #363891=AXIS2_PLACEMENT_3D('',#541673,#421501,#421502); #363892=AXIS2_PLACEMENT_3D('',#541679,#421506,#421507); #363893=AXIS2_PLACEMENT_3D('',#541685,#421511,#421512); #363894=AXIS2_PLACEMENT_3D('',#541688,#421515,#421516); #363895=AXIS2_PLACEMENT_3D('',#541689,#421517,#421518); #363896=AXIS2_PLACEMENT_3D('',#541690,#421519,#421520); #363897=AXIS2_PLACEMENT_3D('',#541699,#421525,#421526); #363898=AXIS2_PLACEMENT_3D('',#541705,#421530,#421531); #363899=AXIS2_PLACEMENT_3D('',#541711,#421535,#421536); #363900=AXIS2_PLACEMENT_3D('',#541714,#421539,#421540); #363901=AXIS2_PLACEMENT_3D('',#541715,#421541,#421542); #363902=AXIS2_PLACEMENT_3D('',#541716,#421543,#421544); #363903=AXIS2_PLACEMENT_3D('',#541725,#421549,#421550); #363904=AXIS2_PLACEMENT_3D('',#541731,#421554,#421555); #363905=AXIS2_PLACEMENT_3D('',#541737,#421559,#421560); #363906=AXIS2_PLACEMENT_3D('',#541740,#421563,#421564); #363907=AXIS2_PLACEMENT_3D('',#541741,#421565,#421566); #363908=AXIS2_PLACEMENT_3D('',#541742,#421567,#421568); #363909=AXIS2_PLACEMENT_3D('',#541751,#421573,#421574); #363910=AXIS2_PLACEMENT_3D('',#541757,#421578,#421579); #363911=AXIS2_PLACEMENT_3D('',#541763,#421583,#421584); #363912=AXIS2_PLACEMENT_3D('',#541766,#421587,#421588); #363913=AXIS2_PLACEMENT_3D('',#541767,#421589,#421590); #363914=AXIS2_PLACEMENT_3D('',#541768,#421591,#421592); #363915=AXIS2_PLACEMENT_3D('',#541777,#421597,#421598); #363916=AXIS2_PLACEMENT_3D('',#541783,#421602,#421603); #363917=AXIS2_PLACEMENT_3D('',#541789,#421607,#421608); #363918=AXIS2_PLACEMENT_3D('',#541792,#421611,#421612); #363919=AXIS2_PLACEMENT_3D('',#541793,#421613,#421614); #363920=AXIS2_PLACEMENT_3D('',#541794,#421615,#421616); #363921=AXIS2_PLACEMENT_3D('',#541803,#421621,#421622); #363922=AXIS2_PLACEMENT_3D('',#541809,#421626,#421627); #363923=AXIS2_PLACEMENT_3D('',#541815,#421631,#421632); #363924=AXIS2_PLACEMENT_3D('',#541818,#421635,#421636); #363925=AXIS2_PLACEMENT_3D('',#541819,#421637,#421638); #363926=AXIS2_PLACEMENT_3D('',#541820,#421639,#421640); #363927=AXIS2_PLACEMENT_3D('',#541829,#421645,#421646); #363928=AXIS2_PLACEMENT_3D('',#541835,#421650,#421651); #363929=AXIS2_PLACEMENT_3D('',#541841,#421655,#421656); #363930=AXIS2_PLACEMENT_3D('',#541844,#421659,#421660); #363931=AXIS2_PLACEMENT_3D('',#541845,#421661,#421662); #363932=AXIS2_PLACEMENT_3D('',#541846,#421663,#421664); #363933=AXIS2_PLACEMENT_3D('',#541855,#421669,#421670); #363934=AXIS2_PLACEMENT_3D('',#541861,#421674,#421675); #363935=AXIS2_PLACEMENT_3D('',#541867,#421679,#421680); #363936=AXIS2_PLACEMENT_3D('',#541870,#421683,#421684); #363937=AXIS2_PLACEMENT_3D('',#541871,#421685,#421686); #363938=AXIS2_PLACEMENT_3D('',#541872,#421687,#421688); #363939=AXIS2_PLACEMENT_3D('',#541881,#421693,#421694); #363940=AXIS2_PLACEMENT_3D('',#541887,#421698,#421699); #363941=AXIS2_PLACEMENT_3D('',#541893,#421703,#421704); #363942=AXIS2_PLACEMENT_3D('',#541896,#421707,#421708); #363943=AXIS2_PLACEMENT_3D('',#541897,#421709,#421710); #363944=AXIS2_PLACEMENT_3D('',#541898,#421711,#421712); #363945=AXIS2_PLACEMENT_3D('',#541907,#421717,#421718); #363946=AXIS2_PLACEMENT_3D('',#541913,#421722,#421723); #363947=AXIS2_PLACEMENT_3D('',#541919,#421727,#421728); #363948=AXIS2_PLACEMENT_3D('',#541922,#421731,#421732); #363949=AXIS2_PLACEMENT_3D('',#541923,#421733,#421734); #363950=AXIS2_PLACEMENT_3D('',#541924,#421735,#421736); #363951=AXIS2_PLACEMENT_3D('',#541933,#421741,#421742); #363952=AXIS2_PLACEMENT_3D('',#541939,#421746,#421747); #363953=AXIS2_PLACEMENT_3D('',#541945,#421751,#421752); #363954=AXIS2_PLACEMENT_3D('',#541948,#421755,#421756); #363955=AXIS2_PLACEMENT_3D('',#541949,#421757,#421758); #363956=AXIS2_PLACEMENT_3D('',#541950,#421759,#421760); #363957=AXIS2_PLACEMENT_3D('',#541959,#421765,#421766); #363958=AXIS2_PLACEMENT_3D('',#541965,#421770,#421771); #363959=AXIS2_PLACEMENT_3D('',#541971,#421775,#421776); #363960=AXIS2_PLACEMENT_3D('',#541974,#421779,#421780); #363961=AXIS2_PLACEMENT_3D('',#541975,#421781,#421782); #363962=AXIS2_PLACEMENT_3D('',#541976,#421783,#421784); #363963=AXIS2_PLACEMENT_3D('',#541985,#421789,#421790); #363964=AXIS2_PLACEMENT_3D('',#541991,#421794,#421795); #363965=AXIS2_PLACEMENT_3D('',#541997,#421799,#421800); #363966=AXIS2_PLACEMENT_3D('',#542000,#421803,#421804); #363967=AXIS2_PLACEMENT_3D('',#542001,#421805,#421806); #363968=AXIS2_PLACEMENT_3D('',#542002,#421807,#421808); #363969=AXIS2_PLACEMENT_3D('',#542011,#421813,#421814); #363970=AXIS2_PLACEMENT_3D('',#542017,#421818,#421819); #363971=AXIS2_PLACEMENT_3D('',#542023,#421823,#421824); #363972=AXIS2_PLACEMENT_3D('',#542026,#421827,#421828); #363973=AXIS2_PLACEMENT_3D('',#542027,#421829,#421830); #363974=AXIS2_PLACEMENT_3D('',#542028,#421831,#421832); #363975=AXIS2_PLACEMENT_3D('',#542037,#421837,#421838); #363976=AXIS2_PLACEMENT_3D('',#542043,#421842,#421843); #363977=AXIS2_PLACEMENT_3D('',#542049,#421847,#421848); #363978=AXIS2_PLACEMENT_3D('',#542052,#421851,#421852); #363979=AXIS2_PLACEMENT_3D('',#542053,#421853,#421854); #363980=AXIS2_PLACEMENT_3D('',#542054,#421855,#421856); #363981=AXIS2_PLACEMENT_3D('',#542063,#421861,#421862); #363982=AXIS2_PLACEMENT_3D('',#542069,#421866,#421867); #363983=AXIS2_PLACEMENT_3D('',#542075,#421871,#421872); #363984=AXIS2_PLACEMENT_3D('',#542078,#421875,#421876); #363985=AXIS2_PLACEMENT_3D('',#542079,#421877,#421878); #363986=AXIS2_PLACEMENT_3D('',#542080,#421879,#421880); #363987=AXIS2_PLACEMENT_3D('',#542089,#421885,#421886); #363988=AXIS2_PLACEMENT_3D('',#542095,#421890,#421891); #363989=AXIS2_PLACEMENT_3D('',#542101,#421895,#421896); #363990=AXIS2_PLACEMENT_3D('',#542104,#421899,#421900); #363991=AXIS2_PLACEMENT_3D('',#542105,#421901,#421902); #363992=AXIS2_PLACEMENT_3D('',#542106,#421903,#421904); #363993=AXIS2_PLACEMENT_3D('',#542115,#421909,#421910); #363994=AXIS2_PLACEMENT_3D('',#542121,#421914,#421915); #363995=AXIS2_PLACEMENT_3D('',#542127,#421919,#421920); #363996=AXIS2_PLACEMENT_3D('',#542130,#421923,#421924); #363997=AXIS2_PLACEMENT_3D('',#542131,#421925,#421926); #363998=AXIS2_PLACEMENT_3D('',#542132,#421927,#421928); #363999=AXIS2_PLACEMENT_3D('',#542141,#421933,#421934); #364000=AXIS2_PLACEMENT_3D('',#542147,#421938,#421939); #364001=AXIS2_PLACEMENT_3D('',#542153,#421943,#421944); #364002=AXIS2_PLACEMENT_3D('',#542156,#421947,#421948); #364003=AXIS2_PLACEMENT_3D('',#542157,#421949,#421950); #364004=AXIS2_PLACEMENT_3D('',#542158,#421951,#421952); #364005=AXIS2_PLACEMENT_3D('',#542167,#421957,#421958); #364006=AXIS2_PLACEMENT_3D('',#542173,#421962,#421963); #364007=AXIS2_PLACEMENT_3D('',#542179,#421967,#421968); #364008=AXIS2_PLACEMENT_3D('',#542182,#421971,#421972); #364009=AXIS2_PLACEMENT_3D('',#542183,#421973,#421974); #364010=AXIS2_PLACEMENT_3D('',#542184,#421975,#421976); #364011=AXIS2_PLACEMENT_3D('',#542193,#421981,#421982); #364012=AXIS2_PLACEMENT_3D('',#542199,#421986,#421987); #364013=AXIS2_PLACEMENT_3D('',#542205,#421991,#421992); #364014=AXIS2_PLACEMENT_3D('',#542208,#421995,#421996); #364015=AXIS2_PLACEMENT_3D('',#542209,#421997,#421998); #364016=AXIS2_PLACEMENT_3D('',#542210,#421999,#422000); #364017=AXIS2_PLACEMENT_3D('',#542219,#422005,#422006); #364018=AXIS2_PLACEMENT_3D('',#542225,#422010,#422011); #364019=AXIS2_PLACEMENT_3D('',#542231,#422015,#422016); #364020=AXIS2_PLACEMENT_3D('',#542234,#422019,#422020); #364021=AXIS2_PLACEMENT_3D('',#542235,#422021,#422022); #364022=AXIS2_PLACEMENT_3D('',#542236,#422023,#422024); #364023=AXIS2_PLACEMENT_3D('',#542245,#422029,#422030); #364024=AXIS2_PLACEMENT_3D('',#542251,#422034,#422035); #364025=AXIS2_PLACEMENT_3D('',#542257,#422039,#422040); #364026=AXIS2_PLACEMENT_3D('',#542260,#422043,#422044); #364027=AXIS2_PLACEMENT_3D('',#542261,#422045,#422046); #364028=AXIS2_PLACEMENT_3D('',#542262,#422047,#422048); #364029=AXIS2_PLACEMENT_3D('',#542264,#422049,#422050); #364030=AXIS2_PLACEMENT_3D('',#542267,#422052,#422053); #364031=AXIS2_PLACEMENT_3D('',#542268,#422054,#422055); #364032=AXIS2_PLACEMENT_3D('',#542270,#422056,#422057); #364033=AXIS2_PLACEMENT_3D('',#542273,#422059,#422060); #364034=AXIS2_PLACEMENT_3D('',#542274,#422061,#422062); #364035=AXIS2_PLACEMENT_3D('',#542276,#422063,#422064); #364036=AXIS2_PLACEMENT_3D('',#542279,#422066,#422067); #364037=AXIS2_PLACEMENT_3D('',#542280,#422068,#422069); #364038=AXIS2_PLACEMENT_3D('',#542282,#422070,#422071); #364039=AXIS2_PLACEMENT_3D('',#542285,#422073,#422074); #364040=AXIS2_PLACEMENT_3D('',#542286,#422075,#422076); #364041=AXIS2_PLACEMENT_3D('',#542288,#422077,#422078); #364042=AXIS2_PLACEMENT_3D('',#542291,#422080,#422081); #364043=AXIS2_PLACEMENT_3D('',#542292,#422082,#422083); #364044=AXIS2_PLACEMENT_3D('',#542294,#422084,#422085); #364045=AXIS2_PLACEMENT_3D('',#542297,#422087,#422088); #364046=AXIS2_PLACEMENT_3D('',#542298,#422089,#422090); #364047=AXIS2_PLACEMENT_3D('',#542307,#422095,#422096); #364048=AXIS2_PLACEMENT_3D('',#542313,#422100,#422101); #364049=AXIS2_PLACEMENT_3D('',#542319,#422105,#422106); #364050=AXIS2_PLACEMENT_3D('',#542322,#422109,#422110); #364051=AXIS2_PLACEMENT_3D('',#542323,#422111,#422112); #364052=AXIS2_PLACEMENT_3D('',#542324,#422113,#422114); #364053=AXIS2_PLACEMENT_3D('',#542333,#422119,#422120); #364054=AXIS2_PLACEMENT_3D('',#542339,#422124,#422125); #364055=AXIS2_PLACEMENT_3D('',#542345,#422129,#422130); #364056=AXIS2_PLACEMENT_3D('',#542348,#422133,#422134); #364057=AXIS2_PLACEMENT_3D('',#542349,#422135,#422136); #364058=AXIS2_PLACEMENT_3D('',#542350,#422137,#422138); #364059=AXIS2_PLACEMENT_3D('',#542359,#422143,#422144); #364060=AXIS2_PLACEMENT_3D('',#542365,#422148,#422149); #364061=AXIS2_PLACEMENT_3D('',#542371,#422153,#422154); #364062=AXIS2_PLACEMENT_3D('',#542374,#422157,#422158); #364063=AXIS2_PLACEMENT_3D('',#542375,#422159,#422160); #364064=AXIS2_PLACEMENT_3D('',#542376,#422161,#422162); #364065=AXIS2_PLACEMENT_3D('',#542385,#422167,#422168); #364066=AXIS2_PLACEMENT_3D('',#542391,#422172,#422173); #364067=AXIS2_PLACEMENT_3D('',#542397,#422177,#422178); #364068=AXIS2_PLACEMENT_3D('',#542400,#422181,#422182); #364069=AXIS2_PLACEMENT_3D('',#542401,#422183,#422184); #364070=AXIS2_PLACEMENT_3D('',#542402,#422185,#422186); #364071=AXIS2_PLACEMENT_3D('',#542411,#422191,#422192); #364072=AXIS2_PLACEMENT_3D('',#542417,#422196,#422197); #364073=AXIS2_PLACEMENT_3D('',#542423,#422201,#422202); #364074=AXIS2_PLACEMENT_3D('',#542426,#422205,#422206); #364075=AXIS2_PLACEMENT_3D('',#542427,#422207,#422208); #364076=AXIS2_PLACEMENT_3D('',#542428,#422209,#422210); #364077=AXIS2_PLACEMENT_3D('',#542437,#422215,#422216); #364078=AXIS2_PLACEMENT_3D('',#542443,#422220,#422221); #364079=AXIS2_PLACEMENT_3D('',#542449,#422225,#422226); #364080=AXIS2_PLACEMENT_3D('',#542452,#422229,#422230); #364081=AXIS2_PLACEMENT_3D('',#542453,#422231,#422232); #364082=AXIS2_PLACEMENT_3D('',#542454,#422233,#422234); #364083=AXIS2_PLACEMENT_3D('',#542463,#422239,#422240); #364084=AXIS2_PLACEMENT_3D('',#542469,#422244,#422245); #364085=AXIS2_PLACEMENT_3D('',#542475,#422249,#422250); #364086=AXIS2_PLACEMENT_3D('',#542478,#422253,#422254); #364087=AXIS2_PLACEMENT_3D('',#542479,#422255,#422256); #364088=AXIS2_PLACEMENT_3D('',#542480,#422257,#422258); #364089=AXIS2_PLACEMENT_3D('',#542482,#422259,#422260); #364090=AXIS2_PLACEMENT_3D('',#542485,#422262,#422263); #364091=AXIS2_PLACEMENT_3D('',#542486,#422264,#422265); #364092=AXIS2_PLACEMENT_3D('',#542488,#422266,#422267); #364093=AXIS2_PLACEMENT_3D('',#542491,#422269,#422270); #364094=AXIS2_PLACEMENT_3D('',#542492,#422271,#422272); #364095=AXIS2_PLACEMENT_3D('',#542494,#422273,#422274); #364096=AXIS2_PLACEMENT_3D('',#542497,#422276,#422277); #364097=AXIS2_PLACEMENT_3D('',#542498,#422278,#422279); #364098=AXIS2_PLACEMENT_3D('',#542500,#422280,#422281); #364099=AXIS2_PLACEMENT_3D('',#542503,#422283,#422284); #364100=AXIS2_PLACEMENT_3D('',#542504,#422285,#422286); #364101=AXIS2_PLACEMENT_3D('',#542506,#422287,#422288); #364102=AXIS2_PLACEMENT_3D('',#542509,#422290,#422291); #364103=AXIS2_PLACEMENT_3D('',#542510,#422292,#422293); #364104=AXIS2_PLACEMENT_3D('',#542512,#422294,#422295); #364105=AXIS2_PLACEMENT_3D('',#542515,#422297,#422298); #364106=AXIS2_PLACEMENT_3D('',#542516,#422299,#422300); #364107=AXIS2_PLACEMENT_3D('',#542525,#422305,#422306); #364108=AXIS2_PLACEMENT_3D('',#542531,#422310,#422311); #364109=AXIS2_PLACEMENT_3D('',#542537,#422315,#422316); #364110=AXIS2_PLACEMENT_3D('',#542540,#422319,#422320); #364111=AXIS2_PLACEMENT_3D('',#542541,#422321,#422322); #364112=AXIS2_PLACEMENT_3D('',#542542,#422323,#422324); #364113=AXIS2_PLACEMENT_3D('',#542551,#422329,#422330); #364114=AXIS2_PLACEMENT_3D('',#542557,#422334,#422335); #364115=AXIS2_PLACEMENT_3D('',#542563,#422339,#422340); #364116=AXIS2_PLACEMENT_3D('',#542566,#422343,#422344); #364117=AXIS2_PLACEMENT_3D('',#542567,#422345,#422346); #364118=AXIS2_PLACEMENT_3D('',#542568,#422347,#422348); #364119=AXIS2_PLACEMENT_3D('',#542577,#422353,#422354); #364120=AXIS2_PLACEMENT_3D('',#542583,#422358,#422359); #364121=AXIS2_PLACEMENT_3D('',#542589,#422363,#422364); #364122=AXIS2_PLACEMENT_3D('',#542592,#422367,#422368); #364123=AXIS2_PLACEMENT_3D('',#542593,#422369,#422370); #364124=AXIS2_PLACEMENT_3D('',#542594,#422371,#422372); #364125=AXIS2_PLACEMENT_3D('',#542596,#422373,#422374); #364126=AXIS2_PLACEMENT_3D('',#542599,#422376,#422377); #364127=AXIS2_PLACEMENT_3D('',#542600,#422378,#422379); #364128=AXIS2_PLACEMENT_3D('',#542602,#422380,#422381); #364129=AXIS2_PLACEMENT_3D('',#542605,#422383,#422384); #364130=AXIS2_PLACEMENT_3D('',#542606,#422385,#422386); #364131=AXIS2_PLACEMENT_3D('',#542608,#422387,#422388); #364132=AXIS2_PLACEMENT_3D('',#542611,#422390,#422391); #364133=AXIS2_PLACEMENT_3D('',#542612,#422392,#422393); #364134=AXIS2_PLACEMENT_3D('',#542614,#422394,#422395); #364135=AXIS2_PLACEMENT_3D('',#542617,#422397,#422398); #364136=AXIS2_PLACEMENT_3D('',#542618,#422399,#422400); #364137=AXIS2_PLACEMENT_3D('',#542620,#422401,#422402); #364138=AXIS2_PLACEMENT_3D('',#542623,#422404,#422405); #364139=AXIS2_PLACEMENT_3D('',#542624,#422406,#422407); #364140=AXIS2_PLACEMENT_3D('',#542626,#422408,#422409); #364141=AXIS2_PLACEMENT_3D('',#542629,#422411,#422412); #364142=AXIS2_PLACEMENT_3D('',#542630,#422413,#422414); #364143=AXIS2_PLACEMENT_3D('',#542632,#422415,#422416); #364144=AXIS2_PLACEMENT_3D('',#542635,#422418,#422419); #364145=AXIS2_PLACEMENT_3D('',#542636,#422420,#422421); #364146=AXIS2_PLACEMENT_3D('',#542638,#422422,#422423); #364147=AXIS2_PLACEMENT_3D('',#542641,#422425,#422426); #364148=AXIS2_PLACEMENT_3D('',#542642,#422427,#422428); #364149=AXIS2_PLACEMENT_3D('',#542644,#422429,#422430); #364150=AXIS2_PLACEMENT_3D('',#542647,#422432,#422433); #364151=AXIS2_PLACEMENT_3D('',#542648,#422434,#422435); #364152=AXIS2_PLACEMENT_3D('',#542650,#422436,#422437); #364153=AXIS2_PLACEMENT_3D('',#542653,#422439,#422440); #364154=AXIS2_PLACEMENT_3D('',#542654,#422441,#422442); #364155=AXIS2_PLACEMENT_3D('',#542656,#422443,#422444); #364156=AXIS2_PLACEMENT_3D('',#542659,#422446,#422447); #364157=AXIS2_PLACEMENT_3D('',#542660,#422448,#422449); #364158=AXIS2_PLACEMENT_3D('',#542662,#422450,#422451); #364159=AXIS2_PLACEMENT_3D('',#542665,#422453,#422454); #364160=AXIS2_PLACEMENT_3D('',#542666,#422455,#422456); #364161=AXIS2_PLACEMENT_3D('',#542668,#422457,#422458); #364162=AXIS2_PLACEMENT_3D('',#542671,#422460,#422461); #364163=AXIS2_PLACEMENT_3D('',#542672,#422462,#422463); #364164=AXIS2_PLACEMENT_3D('',#542674,#422464,#422465); #364165=AXIS2_PLACEMENT_3D('',#542677,#422467,#422468); #364166=AXIS2_PLACEMENT_3D('',#542678,#422469,#422470); #364167=AXIS2_PLACEMENT_3D('',#542680,#422471,#422472); #364168=AXIS2_PLACEMENT_3D('',#542683,#422474,#422475); #364169=AXIS2_PLACEMENT_3D('',#542684,#422476,#422477); #364170=AXIS2_PLACEMENT_3D('',#542686,#422478,#422479); #364171=AXIS2_PLACEMENT_3D('',#542689,#422481,#422482); #364172=AXIS2_PLACEMENT_3D('',#542690,#422483,#422484); #364173=AXIS2_PLACEMENT_3D('',#542692,#422485,#422486); #364174=AXIS2_PLACEMENT_3D('',#542695,#422488,#422489); #364175=AXIS2_PLACEMENT_3D('',#542696,#422490,#422491); #364176=AXIS2_PLACEMENT_3D('',#542698,#422492,#422493); #364177=AXIS2_PLACEMENT_3D('',#542701,#422495,#422496); #364178=AXIS2_PLACEMENT_3D('',#542702,#422497,#422498); #364179=AXIS2_PLACEMENT_3D('',#542704,#422499,#422500); #364180=AXIS2_PLACEMENT_3D('',#542707,#422502,#422503); #364181=AXIS2_PLACEMENT_3D('',#542708,#422504,#422505); #364182=AXIS2_PLACEMENT_3D('',#542710,#422506,#422507); #364183=AXIS2_PLACEMENT_3D('',#542713,#422509,#422510); #364184=AXIS2_PLACEMENT_3D('',#542714,#422511,#422512); #364185=AXIS2_PLACEMENT_3D('',#542716,#422513,#422514); #364186=AXIS2_PLACEMENT_3D('',#542719,#422516,#422517); #364187=AXIS2_PLACEMENT_3D('',#542720,#422518,#422519); #364188=AXIS2_PLACEMENT_3D('',#542722,#422520,#422521); #364189=AXIS2_PLACEMENT_3D('',#542725,#422523,#422524); #364190=AXIS2_PLACEMENT_3D('',#542726,#422525,#422526); #364191=AXIS2_PLACEMENT_3D('',#542728,#422527,#422528); #364192=AXIS2_PLACEMENT_3D('',#542731,#422530,#422531); #364193=AXIS2_PLACEMENT_3D('',#542732,#422532,#422533); #364194=AXIS2_PLACEMENT_3D('',#542734,#422534,#422535); #364195=AXIS2_PLACEMENT_3D('',#542737,#422537,#422538); #364196=AXIS2_PLACEMENT_3D('',#542738,#422539,#422540); #364197=AXIS2_PLACEMENT_3D('',#542740,#422541,#422542); #364198=AXIS2_PLACEMENT_3D('',#542743,#422544,#422545); #364199=AXIS2_PLACEMENT_3D('',#542744,#422546,#422547); #364200=AXIS2_PLACEMENT_3D('',#542746,#422548,#422549); #364201=AXIS2_PLACEMENT_3D('',#542749,#422551,#422552); #364202=AXIS2_PLACEMENT_3D('',#542750,#422553,#422554); #364203=AXIS2_PLACEMENT_3D('',#542752,#422555,#422556); #364204=AXIS2_PLACEMENT_3D('',#542755,#422558,#422559); #364205=AXIS2_PLACEMENT_3D('',#542756,#422560,#422561); #364206=AXIS2_PLACEMENT_3D('',#542758,#422562,#422563); #364207=AXIS2_PLACEMENT_3D('',#542761,#422565,#422566); #364208=AXIS2_PLACEMENT_3D('',#542762,#422567,#422568); #364209=AXIS2_PLACEMENT_3D('',#542764,#422569,#422570); #364210=AXIS2_PLACEMENT_3D('',#542767,#422572,#422573); #364211=AXIS2_PLACEMENT_3D('',#542768,#422574,#422575); #364212=AXIS2_PLACEMENT_3D('',#542770,#422576,#422577); #364213=AXIS2_PLACEMENT_3D('',#542773,#422579,#422580); #364214=AXIS2_PLACEMENT_3D('',#542774,#422581,#422582); #364215=AXIS2_PLACEMENT_3D('',#542776,#422583,#422584); #364216=AXIS2_PLACEMENT_3D('',#542779,#422586,#422587); #364217=AXIS2_PLACEMENT_3D('',#542780,#422588,#422589); #364218=AXIS2_PLACEMENT_3D('',#542782,#422590,#422591); #364219=AXIS2_PLACEMENT_3D('',#542785,#422593,#422594); #364220=AXIS2_PLACEMENT_3D('',#542786,#422595,#422596); #364221=AXIS2_PLACEMENT_3D('',#542788,#422597,#422598); #364222=AXIS2_PLACEMENT_3D('',#542791,#422600,#422601); #364223=AXIS2_PLACEMENT_3D('',#542792,#422602,#422603); #364224=AXIS2_PLACEMENT_3D('',#542794,#422604,#422605); #364225=AXIS2_PLACEMENT_3D('',#542797,#422607,#422608); #364226=AXIS2_PLACEMENT_3D('',#542798,#422609,#422610); #364227=AXIS2_PLACEMENT_3D('',#542800,#422611,#422612); #364228=AXIS2_PLACEMENT_3D('',#542803,#422614,#422615); #364229=AXIS2_PLACEMENT_3D('',#542804,#422616,#422617); #364230=AXIS2_PLACEMENT_3D('',#542806,#422618,#422619); #364231=AXIS2_PLACEMENT_3D('',#542809,#422621,#422622); #364232=AXIS2_PLACEMENT_3D('',#542810,#422623,#422624); #364233=AXIS2_PLACEMENT_3D('',#542812,#422625,#422626); #364234=AXIS2_PLACEMENT_3D('',#542815,#422628,#422629); #364235=AXIS2_PLACEMENT_3D('',#542816,#422630,#422631); #364236=AXIS2_PLACEMENT_3D('',#542818,#422632,#422633); #364237=AXIS2_PLACEMENT_3D('',#542821,#422635,#422636); #364238=AXIS2_PLACEMENT_3D('',#542822,#422637,#422638); #364239=AXIS2_PLACEMENT_3D('',#542824,#422639,#422640); #364240=AXIS2_PLACEMENT_3D('',#542827,#422642,#422643); #364241=AXIS2_PLACEMENT_3D('',#542828,#422644,#422645); #364242=AXIS2_PLACEMENT_3D('',#542830,#422646,#422647); #364243=AXIS2_PLACEMENT_3D('',#542833,#422649,#422650); #364244=AXIS2_PLACEMENT_3D('',#542834,#422651,#422652); #364245=AXIS2_PLACEMENT_3D('',#542836,#422653,#422654); #364246=AXIS2_PLACEMENT_3D('',#542839,#422656,#422657); #364247=AXIS2_PLACEMENT_3D('',#542840,#422658,#422659); #364248=AXIS2_PLACEMENT_3D('',#542842,#422660,#422661); #364249=AXIS2_PLACEMENT_3D('',#542845,#422663,#422664); #364250=AXIS2_PLACEMENT_3D('',#542846,#422665,#422666); #364251=AXIS2_PLACEMENT_3D('',#542848,#422667,#422668); #364252=AXIS2_PLACEMENT_3D('',#542851,#422670,#422671); #364253=AXIS2_PLACEMENT_3D('',#542852,#422672,#422673); #364254=AXIS2_PLACEMENT_3D('',#542854,#422674,#422675); #364255=AXIS2_PLACEMENT_3D('',#542857,#422677,#422678); #364256=AXIS2_PLACEMENT_3D('',#542858,#422679,#422680); #364257=AXIS2_PLACEMENT_3D('',#542860,#422681,#422682); #364258=AXIS2_PLACEMENT_3D('',#542863,#422684,#422685); #364259=AXIS2_PLACEMENT_3D('',#542864,#422686,#422687); #364260=AXIS2_PLACEMENT_3D('',#542866,#422688,#422689); #364261=AXIS2_PLACEMENT_3D('',#542869,#422691,#422692); #364262=AXIS2_PLACEMENT_3D('',#542870,#422693,#422694); #364263=AXIS2_PLACEMENT_3D('',#542872,#422695,#422696); #364264=AXIS2_PLACEMENT_3D('',#542875,#422698,#422699); #364265=AXIS2_PLACEMENT_3D('',#542876,#422700,#422701); #364266=AXIS2_PLACEMENT_3D('',#542878,#422702,#422703); #364267=AXIS2_PLACEMENT_3D('',#542881,#422705,#422706); #364268=AXIS2_PLACEMENT_3D('',#542882,#422707,#422708); #364269=AXIS2_PLACEMENT_3D('',#542884,#422709,#422710); #364270=AXIS2_PLACEMENT_3D('',#542887,#422712,#422713); #364271=AXIS2_PLACEMENT_3D('',#542888,#422714,#422715); #364272=AXIS2_PLACEMENT_3D('',#542897,#422720,#422721); #364273=AXIS2_PLACEMENT_3D('',#542903,#422725,#422726); #364274=AXIS2_PLACEMENT_3D('',#542909,#422730,#422731); #364275=AXIS2_PLACEMENT_3D('',#542912,#422734,#422735); #364276=AXIS2_PLACEMENT_3D('',#542913,#422736,#422737); #364277=AXIS2_PLACEMENT_3D('',#542914,#422738,#422739); #364278=AXIS2_PLACEMENT_3D('',#542923,#422744,#422745); #364279=AXIS2_PLACEMENT_3D('',#542929,#422749,#422750); #364280=AXIS2_PLACEMENT_3D('',#542935,#422754,#422755); #364281=AXIS2_PLACEMENT_3D('',#542938,#422758,#422759); #364282=AXIS2_PLACEMENT_3D('',#542939,#422760,#422761); #364283=AXIS2_PLACEMENT_3D('',#542940,#422762,#422763); #364284=AXIS2_PLACEMENT_3D('',#542949,#422768,#422769); #364285=AXIS2_PLACEMENT_3D('',#542955,#422773,#422774); #364286=AXIS2_PLACEMENT_3D('',#542961,#422778,#422779); #364287=AXIS2_PLACEMENT_3D('',#542964,#422782,#422783); #364288=AXIS2_PLACEMENT_3D('',#542965,#422784,#422785); #364289=AXIS2_PLACEMENT_3D('',#542966,#422786,#422787); #364290=AXIS2_PLACEMENT_3D('',#542975,#422792,#422793); #364291=AXIS2_PLACEMENT_3D('',#542981,#422797,#422798); #364292=AXIS2_PLACEMENT_3D('',#542987,#422802,#422803); #364293=AXIS2_PLACEMENT_3D('',#542990,#422806,#422807); #364294=AXIS2_PLACEMENT_3D('',#542991,#422808,#422809); #364295=AXIS2_PLACEMENT_3D('',#542992,#422810,#422811); #364296=AXIS2_PLACEMENT_3D('',#543001,#422816,#422817); #364297=AXIS2_PLACEMENT_3D('',#543007,#422821,#422822); #364298=AXIS2_PLACEMENT_3D('',#543013,#422826,#422827); #364299=AXIS2_PLACEMENT_3D('',#543016,#422830,#422831); #364300=AXIS2_PLACEMENT_3D('',#543017,#422832,#422833); #364301=AXIS2_PLACEMENT_3D('',#543018,#422834,#422835); #364302=AXIS2_PLACEMENT_3D('',#543027,#422840,#422841); #364303=AXIS2_PLACEMENT_3D('',#543033,#422845,#422846); #364304=AXIS2_PLACEMENT_3D('',#543039,#422850,#422851); #364305=AXIS2_PLACEMENT_3D('',#543042,#422854,#422855); #364306=AXIS2_PLACEMENT_3D('',#543043,#422856,#422857); #364307=AXIS2_PLACEMENT_3D('',#543044,#422858,#422859); #364308=AXIS2_PLACEMENT_3D('',#543053,#422864,#422865); #364309=AXIS2_PLACEMENT_3D('',#543059,#422869,#422870); #364310=AXIS2_PLACEMENT_3D('',#543065,#422874,#422875); #364311=AXIS2_PLACEMENT_3D('',#543068,#422878,#422879); #364312=AXIS2_PLACEMENT_3D('',#543069,#422880,#422881); #364313=AXIS2_PLACEMENT_3D('',#543070,#422882,#422883); #364314=AXIS2_PLACEMENT_3D('',#543079,#422888,#422889); #364315=AXIS2_PLACEMENT_3D('',#543085,#422893,#422894); #364316=AXIS2_PLACEMENT_3D('',#543091,#422898,#422899); #364317=AXIS2_PLACEMENT_3D('',#543094,#422902,#422903); #364318=AXIS2_PLACEMENT_3D('',#543095,#422904,#422905); #364319=AXIS2_PLACEMENT_3D('',#543096,#422906,#422907); #364320=AXIS2_PLACEMENT_3D('',#543105,#422912,#422913); #364321=AXIS2_PLACEMENT_3D('',#543111,#422917,#422918); #364322=AXIS2_PLACEMENT_3D('',#543117,#422922,#422923); #364323=AXIS2_PLACEMENT_3D('',#543120,#422926,#422927); #364324=AXIS2_PLACEMENT_3D('',#543121,#422928,#422929); #364325=AXIS2_PLACEMENT_3D('',#543122,#422930,#422931); #364326=AXIS2_PLACEMENT_3D('',#543131,#422936,#422937); #364327=AXIS2_PLACEMENT_3D('',#543137,#422941,#422942); #364328=AXIS2_PLACEMENT_3D('',#543143,#422946,#422947); #364329=AXIS2_PLACEMENT_3D('',#543146,#422950,#422951); #364330=AXIS2_PLACEMENT_3D('',#543147,#422952,#422953); #364331=AXIS2_PLACEMENT_3D('',#543148,#422954,#422955); #364332=AXIS2_PLACEMENT_3D('',#543157,#422960,#422961); #364333=AXIS2_PLACEMENT_3D('',#543163,#422965,#422966); #364334=AXIS2_PLACEMENT_3D('',#543169,#422970,#422971); #364335=AXIS2_PLACEMENT_3D('',#543172,#422974,#422975); #364336=AXIS2_PLACEMENT_3D('',#543173,#422976,#422977); #364337=AXIS2_PLACEMENT_3D('',#543174,#422978,#422979); #364338=AXIS2_PLACEMENT_3D('',#543183,#422984,#422985); #364339=AXIS2_PLACEMENT_3D('',#543189,#422989,#422990); #364340=AXIS2_PLACEMENT_3D('',#543195,#422994,#422995); #364341=AXIS2_PLACEMENT_3D('',#543198,#422998,#422999); #364342=AXIS2_PLACEMENT_3D('',#543199,#423000,#423001); #364343=AXIS2_PLACEMENT_3D('',#543200,#423002,#423003); #364344=AXIS2_PLACEMENT_3D('',#543209,#423008,#423009); #364345=AXIS2_PLACEMENT_3D('',#543215,#423013,#423014); #364346=AXIS2_PLACEMENT_3D('',#543221,#423018,#423019); #364347=AXIS2_PLACEMENT_3D('',#543224,#423022,#423023); #364348=AXIS2_PLACEMENT_3D('',#543225,#423024,#423025); #364349=AXIS2_PLACEMENT_3D('',#543226,#423026,#423027); #364350=AXIS2_PLACEMENT_3D('',#543235,#423032,#423033); #364351=AXIS2_PLACEMENT_3D('',#543241,#423037,#423038); #364352=AXIS2_PLACEMENT_3D('',#543247,#423042,#423043); #364353=AXIS2_PLACEMENT_3D('',#543250,#423046,#423047); #364354=AXIS2_PLACEMENT_3D('',#543251,#423048,#423049); #364355=AXIS2_PLACEMENT_3D('',#543252,#423050,#423051); #364356=AXIS2_PLACEMENT_3D('',#543261,#423056,#423057); #364357=AXIS2_PLACEMENT_3D('',#543267,#423061,#423062); #364358=AXIS2_PLACEMENT_3D('',#543273,#423066,#423067); #364359=AXIS2_PLACEMENT_3D('',#543276,#423070,#423071); #364360=AXIS2_PLACEMENT_3D('',#543277,#423072,#423073); #364361=AXIS2_PLACEMENT_3D('',#543278,#423074,#423075); #364362=AXIS2_PLACEMENT_3D('',#543287,#423080,#423081); #364363=AXIS2_PLACEMENT_3D('',#543293,#423085,#423086); #364364=AXIS2_PLACEMENT_3D('',#543299,#423090,#423091); #364365=AXIS2_PLACEMENT_3D('',#543302,#423094,#423095); #364366=AXIS2_PLACEMENT_3D('',#543303,#423096,#423097); #364367=AXIS2_PLACEMENT_3D('',#543304,#423098,#423099); #364368=AXIS2_PLACEMENT_3D('',#543313,#423104,#423105); #364369=AXIS2_PLACEMENT_3D('',#543319,#423109,#423110); #364370=AXIS2_PLACEMENT_3D('',#543325,#423114,#423115); #364371=AXIS2_PLACEMENT_3D('',#543328,#423118,#423119); #364372=AXIS2_PLACEMENT_3D('',#543329,#423120,#423121); #364373=AXIS2_PLACEMENT_3D('',#543330,#423122,#423123); #364374=AXIS2_PLACEMENT_3D('',#543339,#423128,#423129); #364375=AXIS2_PLACEMENT_3D('',#543345,#423133,#423134); #364376=AXIS2_PLACEMENT_3D('',#543351,#423138,#423139); #364377=AXIS2_PLACEMENT_3D('',#543354,#423142,#423143); #364378=AXIS2_PLACEMENT_3D('',#543355,#423144,#423145); #364379=AXIS2_PLACEMENT_3D('',#543356,#423146,#423147); #364380=AXIS2_PLACEMENT_3D('',#543365,#423152,#423153); #364381=AXIS2_PLACEMENT_3D('',#543371,#423157,#423158); #364382=AXIS2_PLACEMENT_3D('',#543377,#423162,#423163); #364383=AXIS2_PLACEMENT_3D('',#543380,#423166,#423167); #364384=AXIS2_PLACEMENT_3D('',#543381,#423168,#423169); #364385=AXIS2_PLACEMENT_3D('',#543382,#423170,#423171); #364386=AXIS2_PLACEMENT_3D('',#543384,#423172,#423173); #364387=AXIS2_PLACEMENT_3D('',#543387,#423175,#423176); #364388=AXIS2_PLACEMENT_3D('',#543388,#423177,#423178); #364389=AXIS2_PLACEMENT_3D('',#543397,#423183,#423184); #364390=AXIS2_PLACEMENT_3D('',#543403,#423188,#423189); #364391=AXIS2_PLACEMENT_3D('',#543409,#423193,#423194); #364392=AXIS2_PLACEMENT_3D('',#543412,#423197,#423198); #364393=AXIS2_PLACEMENT_3D('',#543413,#423199,#423200); #364394=AXIS2_PLACEMENT_3D('',#543414,#423201,#423202); #364395=AXIS2_PLACEMENT_3D('',#543423,#423207,#423208); #364396=AXIS2_PLACEMENT_3D('',#543429,#423212,#423213); #364397=AXIS2_PLACEMENT_3D('',#543435,#423217,#423218); #364398=AXIS2_PLACEMENT_3D('',#543438,#423221,#423222); #364399=AXIS2_PLACEMENT_3D('',#543439,#423223,#423224); #364400=AXIS2_PLACEMENT_3D('',#543440,#423225,#423226); #364401=AXIS2_PLACEMENT_3D('',#543449,#423231,#423232); #364402=AXIS2_PLACEMENT_3D('',#543455,#423236,#423237); #364403=AXIS2_PLACEMENT_3D('',#543461,#423241,#423242); #364404=AXIS2_PLACEMENT_3D('',#543464,#423245,#423246); #364405=AXIS2_PLACEMENT_3D('',#543465,#423247,#423248); #364406=AXIS2_PLACEMENT_3D('',#543466,#423249,#423250); #364407=AXIS2_PLACEMENT_3D('',#543468,#423251,#423252); #364408=AXIS2_PLACEMENT_3D('',#543471,#423254,#423255); #364409=AXIS2_PLACEMENT_3D('',#543472,#423256,#423257); #364410=AXIS2_PLACEMENT_3D('',#543481,#423262,#423263); #364411=AXIS2_PLACEMENT_3D('',#543487,#423267,#423268); #364412=AXIS2_PLACEMENT_3D('',#543493,#423272,#423273); #364413=AXIS2_PLACEMENT_3D('',#543496,#423276,#423277); #364414=AXIS2_PLACEMENT_3D('',#543497,#423278,#423279); #364415=AXIS2_PLACEMENT_3D('',#543498,#423280,#423281); #364416=AXIS2_PLACEMENT_3D('',#543507,#423286,#423287); #364417=AXIS2_PLACEMENT_3D('',#543513,#423291,#423292); #364418=AXIS2_PLACEMENT_3D('',#543519,#423296,#423297); #364419=AXIS2_PLACEMENT_3D('',#543522,#423300,#423301); #364420=AXIS2_PLACEMENT_3D('',#543523,#423302,#423303); #364421=AXIS2_PLACEMENT_3D('',#543524,#423304,#423305); #364422=AXIS2_PLACEMENT_3D('',#543533,#423310,#423311); #364423=AXIS2_PLACEMENT_3D('',#543539,#423315,#423316); #364424=AXIS2_PLACEMENT_3D('',#543545,#423320,#423321); #364425=AXIS2_PLACEMENT_3D('',#543548,#423324,#423325); #364426=AXIS2_PLACEMENT_3D('',#543549,#423326,#423327); #364427=AXIS2_PLACEMENT_3D('',#543550,#423328,#423329); #364428=AXIS2_PLACEMENT_3D('',#543559,#423334,#423335); #364429=AXIS2_PLACEMENT_3D('',#543565,#423339,#423340); #364430=AXIS2_PLACEMENT_3D('',#543571,#423344,#423345); #364431=AXIS2_PLACEMENT_3D('',#543574,#423348,#423349); #364432=AXIS2_PLACEMENT_3D('',#543575,#423350,#423351); #364433=AXIS2_PLACEMENT_3D('',#543576,#423352,#423353); #364434=AXIS2_PLACEMENT_3D('',#543585,#423358,#423359); #364435=AXIS2_PLACEMENT_3D('',#543591,#423363,#423364); #364436=AXIS2_PLACEMENT_3D('',#543597,#423368,#423369); #364437=AXIS2_PLACEMENT_3D('',#543600,#423372,#423373); #364438=AXIS2_PLACEMENT_3D('',#543601,#423374,#423375); #364439=AXIS2_PLACEMENT_3D('',#543602,#423376,#423377); #364440=AXIS2_PLACEMENT_3D('',#543611,#423382,#423383); #364441=AXIS2_PLACEMENT_3D('',#543617,#423387,#423388); #364442=AXIS2_PLACEMENT_3D('',#543623,#423392,#423393); #364443=AXIS2_PLACEMENT_3D('',#543626,#423396,#423397); #364444=AXIS2_PLACEMENT_3D('',#543627,#423398,#423399); #364445=AXIS2_PLACEMENT_3D('',#543628,#423400,#423401); #364446=AXIS2_PLACEMENT_3D('',#543637,#423406,#423407); #364447=AXIS2_PLACEMENT_3D('',#543643,#423411,#423412); #364448=AXIS2_PLACEMENT_3D('',#543649,#423416,#423417); #364449=AXIS2_PLACEMENT_3D('',#543652,#423420,#423421); #364450=AXIS2_PLACEMENT_3D('',#543653,#423422,#423423); #364451=AXIS2_PLACEMENT_3D('',#543654,#423424,#423425); #364452=AXIS2_PLACEMENT_3D('',#543663,#423430,#423431); #364453=AXIS2_PLACEMENT_3D('',#543669,#423435,#423436); #364454=AXIS2_PLACEMENT_3D('',#543675,#423440,#423441); #364455=AXIS2_PLACEMENT_3D('',#543678,#423444,#423445); #364456=AXIS2_PLACEMENT_3D('',#543679,#423446,#423447); #364457=AXIS2_PLACEMENT_3D('',#543680,#423448,#423449); #364458=AXIS2_PLACEMENT_3D('',#543689,#423454,#423455); #364459=AXIS2_PLACEMENT_3D('',#543695,#423459,#423460); #364460=AXIS2_PLACEMENT_3D('',#543701,#423464,#423465); #364461=AXIS2_PLACEMENT_3D('',#543704,#423468,#423469); #364462=AXIS2_PLACEMENT_3D('',#543705,#423470,#423471); #364463=AXIS2_PLACEMENT_3D('',#543706,#423472,#423473); #364464=AXIS2_PLACEMENT_3D('',#543715,#423478,#423479); #364465=AXIS2_PLACEMENT_3D('',#543721,#423483,#423484); #364466=AXIS2_PLACEMENT_3D('',#543727,#423488,#423489); #364467=AXIS2_PLACEMENT_3D('',#543730,#423492,#423493); #364468=AXIS2_PLACEMENT_3D('',#543731,#423494,#423495); #364469=AXIS2_PLACEMENT_3D('',#543732,#423496,#423497); #364470=AXIS2_PLACEMENT_3D('',#543741,#423502,#423503); #364471=AXIS2_PLACEMENT_3D('',#543747,#423507,#423508); #364472=AXIS2_PLACEMENT_3D('',#543753,#423512,#423513); #364473=AXIS2_PLACEMENT_3D('',#543756,#423516,#423517); #364474=AXIS2_PLACEMENT_3D('',#543757,#423518,#423519); #364475=AXIS2_PLACEMENT_3D('',#543758,#423520,#423521); #364476=AXIS2_PLACEMENT_3D('',#543767,#423526,#423527); #364477=AXIS2_PLACEMENT_3D('',#543773,#423531,#423532); #364478=AXIS2_PLACEMENT_3D('',#543779,#423536,#423537); #364479=AXIS2_PLACEMENT_3D('',#543782,#423540,#423541); #364480=AXIS2_PLACEMENT_3D('',#543783,#423542,#423543); #364481=AXIS2_PLACEMENT_3D('',#543784,#423544,#423545); #364482=AXIS2_PLACEMENT_3D('',#543789,#423547,#423548); #364483=AXIS2_PLACEMENT_3D('',#543792,#423550,#423551); #364484=AXIS2_PLACEMENT_3D('',#543793,#423552,#423553); #364485=AXIS2_PLACEMENT_3D('',#543798,#423555,#423556); #364486=AXIS2_PLACEMENT_3D('',#543801,#423558,#423559); #364487=AXIS2_PLACEMENT_3D('',#543802,#423560,#423561); #364488=AXIS2_PLACEMENT_3D('',#543804,#423562,#423563); #364489=AXIS2_PLACEMENT_3D('',#543807,#423565,#423566); #364490=AXIS2_PLACEMENT_3D('',#543808,#423567,#423568); #364491=AXIS2_PLACEMENT_3D('',#543813,#423570,#423571); #364492=AXIS2_PLACEMENT_3D('',#543816,#423573,#423574); #364493=AXIS2_PLACEMENT_3D('',#543817,#423575,#423576); #364494=AXIS2_PLACEMENT_3D('',#543822,#423578,#423579); #364495=AXIS2_PLACEMENT_3D('',#543825,#423581,#423582); #364496=AXIS2_PLACEMENT_3D('',#543826,#423583,#423584); #364497=AXIS2_PLACEMENT_3D('',#543831,#423586,#423587); #364498=AXIS2_PLACEMENT_3D('',#543834,#423589,#423590); #364499=AXIS2_PLACEMENT_3D('',#543835,#423591,#423592); #364500=AXIS2_PLACEMENT_3D('',#543840,#423594,#423595); #364501=AXIS2_PLACEMENT_3D('',#543843,#423597,#423598); #364502=AXIS2_PLACEMENT_3D('',#543844,#423599,#423600); #364503=AXIS2_PLACEMENT_3D('',#543849,#423602,#423603); #364504=AXIS2_PLACEMENT_3D('',#543852,#423605,#423606); #364505=AXIS2_PLACEMENT_3D('',#543853,#423607,#423608); #364506=AXIS2_PLACEMENT_3D('',#543858,#423610,#423611); #364507=AXIS2_PLACEMENT_3D('',#543861,#423613,#423614); #364508=AXIS2_PLACEMENT_3D('',#543862,#423615,#423616); #364509=AXIS2_PLACEMENT_3D('',#543868,#423620,#423621); #364510=AXIS2_PLACEMENT_3D('',#543871,#423624,#423625); #364511=AXIS2_PLACEMENT_3D('',#543877,#423629,#423630); #364512=AXIS2_PLACEMENT_3D('',#543880,#423633,#423634); #364513=AXIS2_PLACEMENT_3D('',#543883,#423637,#423638); #364514=AXIS2_PLACEMENT_3D('',#543886,#423641,#423642); #364515=AXIS2_PLACEMENT_3D('',#543889,#423645,#423646); #364516=AXIS2_PLACEMENT_3D('',#543892,#423649,#423650); #364517=AXIS2_PLACEMENT_3D('',#543895,#423653,#423654); #364518=AXIS2_PLACEMENT_3D('',#543898,#423657,#423658); #364519=AXIS2_PLACEMENT_3D('',#543899,#423659,#423660); #364520=AXIS2_PLACEMENT_3D('',#543900,#423661,#423662); #364521=AXIS2_PLACEMENT_3D('',#543902,#423663,#423664); #364522=AXIS2_PLACEMENT_3D('',#543905,#423666,#423667); #364523=AXIS2_PLACEMENT_3D('',#543906,#423668,#423669); #364524=AXIS2_PLACEMENT_3D('',#543915,#423674,#423675); #364525=AXIS2_PLACEMENT_3D('',#543921,#423679,#423680); #364526=AXIS2_PLACEMENT_3D('',#543927,#423684,#423685); #364527=AXIS2_PLACEMENT_3D('',#543930,#423688,#423689); #364528=AXIS2_PLACEMENT_3D('',#543931,#423690,#423691); #364529=AXIS2_PLACEMENT_3D('',#543932,#423692,#423693); #364530=AXIS2_PLACEMENT_3D('',#543941,#423698,#423699); #364531=AXIS2_PLACEMENT_3D('',#543947,#423703,#423704); #364532=AXIS2_PLACEMENT_3D('',#543953,#423708,#423709); #364533=AXIS2_PLACEMENT_3D('',#543956,#423712,#423713); #364534=AXIS2_PLACEMENT_3D('',#543957,#423714,#423715); #364535=AXIS2_PLACEMENT_3D('',#543958,#423716,#423717); #364536=AXIS2_PLACEMENT_3D('',#543967,#423722,#423723); #364537=AXIS2_PLACEMENT_3D('',#543973,#423727,#423728); #364538=AXIS2_PLACEMENT_3D('',#543979,#423732,#423733); #364539=AXIS2_PLACEMENT_3D('',#543982,#423736,#423737); #364540=AXIS2_PLACEMENT_3D('',#543983,#423738,#423739); #364541=AXIS2_PLACEMENT_3D('',#543984,#423740,#423741); #364542=AXIS2_PLACEMENT_3D('',#543993,#423746,#423747); #364543=AXIS2_PLACEMENT_3D('',#543999,#423751,#423752); #364544=AXIS2_PLACEMENT_3D('',#544005,#423756,#423757); #364545=AXIS2_PLACEMENT_3D('',#544008,#423760,#423761); #364546=AXIS2_PLACEMENT_3D('',#544009,#423762,#423763); #364547=AXIS2_PLACEMENT_3D('',#544010,#423764,#423765); #364548=AXIS2_PLACEMENT_3D('',#544019,#423770,#423771); #364549=AXIS2_PLACEMENT_3D('',#544025,#423775,#423776); #364550=AXIS2_PLACEMENT_3D('',#544031,#423780,#423781); #364551=AXIS2_PLACEMENT_3D('',#544034,#423784,#423785); #364552=AXIS2_PLACEMENT_3D('',#544035,#423786,#423787); #364553=AXIS2_PLACEMENT_3D('',#544036,#423788,#423789); #364554=AXIS2_PLACEMENT_3D('',#544045,#423794,#423795); #364555=AXIS2_PLACEMENT_3D('',#544051,#423799,#423800); #364556=AXIS2_PLACEMENT_3D('',#544057,#423804,#423805); #364557=AXIS2_PLACEMENT_3D('',#544060,#423808,#423809); #364558=AXIS2_PLACEMENT_3D('',#544061,#423810,#423811); #364559=AXIS2_PLACEMENT_3D('',#544062,#423812,#423813); #364560=AXIS2_PLACEMENT_3D('',#544064,#423814,#423815); #364561=AXIS2_PLACEMENT_3D('',#544067,#423817,#423818); #364562=AXIS2_PLACEMENT_3D('',#544068,#423819,#423820); #364563=AXIS2_PLACEMENT_3D('',#544077,#423825,#423826); #364564=AXIS2_PLACEMENT_3D('',#544083,#423830,#423831); #364565=AXIS2_PLACEMENT_3D('',#544089,#423835,#423836); #364566=AXIS2_PLACEMENT_3D('',#544092,#423839,#423840); #364567=AXIS2_PLACEMENT_3D('',#544093,#423841,#423842); #364568=AXIS2_PLACEMENT_3D('',#544094,#423843,#423844); #364569=AXIS2_PLACEMENT_3D('',#544096,#423845,#423846); #364570=AXIS2_PLACEMENT_3D('',#544099,#423848,#423849); #364571=AXIS2_PLACEMENT_3D('',#544100,#423850,#423851); #364572=AXIS2_PLACEMENT_3D('',#544109,#423856,#423857); #364573=AXIS2_PLACEMENT_3D('',#544115,#423861,#423862); #364574=AXIS2_PLACEMENT_3D('',#544121,#423866,#423867); #364575=AXIS2_PLACEMENT_3D('',#544124,#423870,#423871); #364576=AXIS2_PLACEMENT_3D('',#544125,#423872,#423873); #364577=AXIS2_PLACEMENT_3D('',#544126,#423874,#423875); #364578=AXIS2_PLACEMENT_3D('',#544135,#423880,#423881); #364579=AXIS2_PLACEMENT_3D('',#544141,#423885,#423886); #364580=AXIS2_PLACEMENT_3D('',#544147,#423890,#423891); #364581=AXIS2_PLACEMENT_3D('',#544150,#423894,#423895); #364582=AXIS2_PLACEMENT_3D('',#544151,#423896,#423897); #364583=AXIS2_PLACEMENT_3D('',#544152,#423898,#423899); #364584=AXIS2_PLACEMENT_3D('',#544161,#423904,#423905); #364585=AXIS2_PLACEMENT_3D('',#544167,#423909,#423910); #364586=AXIS2_PLACEMENT_3D('',#544173,#423914,#423915); #364587=AXIS2_PLACEMENT_3D('',#544176,#423918,#423919); #364588=AXIS2_PLACEMENT_3D('',#544177,#423920,#423921); #364589=AXIS2_PLACEMENT_3D('',#544178,#423922,#423923); #364590=AXIS2_PLACEMENT_3D('',#544187,#423928,#423929); #364591=AXIS2_PLACEMENT_3D('',#544193,#423933,#423934); #364592=AXIS2_PLACEMENT_3D('',#544199,#423938,#423939); #364593=AXIS2_PLACEMENT_3D('',#544202,#423942,#423943); #364594=AXIS2_PLACEMENT_3D('',#544203,#423944,#423945); #364595=AXIS2_PLACEMENT_3D('',#544204,#423946,#423947); #364596=AXIS2_PLACEMENT_3D('',#544213,#423952,#423953); #364597=AXIS2_PLACEMENT_3D('',#544219,#423957,#423958); #364598=AXIS2_PLACEMENT_3D('',#544225,#423962,#423963); #364599=AXIS2_PLACEMENT_3D('',#544228,#423966,#423967); #364600=AXIS2_PLACEMENT_3D('',#544229,#423968,#423969); #364601=AXIS2_PLACEMENT_3D('',#544230,#423970,#423971); #364602=AXIS2_PLACEMENT_3D('',#544239,#423976,#423977); #364603=AXIS2_PLACEMENT_3D('',#544245,#423981,#423982); #364604=AXIS2_PLACEMENT_3D('',#544251,#423986,#423987); #364605=AXIS2_PLACEMENT_3D('',#544254,#423990,#423991); #364606=AXIS2_PLACEMENT_3D('',#544255,#423992,#423993); #364607=AXIS2_PLACEMENT_3D('',#544256,#423994,#423995); #364608=AXIS2_PLACEMENT_3D('',#544265,#424000,#424001); #364609=AXIS2_PLACEMENT_3D('',#544271,#424005,#424006); #364610=AXIS2_PLACEMENT_3D('',#544277,#424010,#424011); #364611=AXIS2_PLACEMENT_3D('',#544280,#424014,#424015); #364612=AXIS2_PLACEMENT_3D('',#544281,#424016,#424017); #364613=AXIS2_PLACEMENT_3D('',#544282,#424018,#424019); #364614=AXIS2_PLACEMENT_3D('',#544291,#424024,#424025); #364615=AXIS2_PLACEMENT_3D('',#544297,#424029,#424030); #364616=AXIS2_PLACEMENT_3D('',#544303,#424034,#424035); #364617=AXIS2_PLACEMENT_3D('',#544306,#424038,#424039); #364618=AXIS2_PLACEMENT_3D('',#544307,#424040,#424041); #364619=AXIS2_PLACEMENT_3D('',#544308,#424042,#424043); #364620=AXIS2_PLACEMENT_3D('',#544317,#424048,#424049); #364621=AXIS2_PLACEMENT_3D('',#544323,#424053,#424054); #364622=AXIS2_PLACEMENT_3D('',#544329,#424058,#424059); #364623=AXIS2_PLACEMENT_3D('',#544332,#424062,#424063); #364624=AXIS2_PLACEMENT_3D('',#544333,#424064,#424065); #364625=AXIS2_PLACEMENT_3D('',#544334,#424066,#424067); #364626=AXIS2_PLACEMENT_3D('',#544343,#424072,#424073); #364627=AXIS2_PLACEMENT_3D('',#544349,#424077,#424078); #364628=AXIS2_PLACEMENT_3D('',#544355,#424082,#424083); #364629=AXIS2_PLACEMENT_3D('',#544358,#424086,#424087); #364630=AXIS2_PLACEMENT_3D('',#544359,#424088,#424089); #364631=AXIS2_PLACEMENT_3D('',#544360,#424090,#424091); #364632=AXIS2_PLACEMENT_3D('',#544369,#424096,#424097); #364633=AXIS2_PLACEMENT_3D('',#544375,#424101,#424102); #364634=AXIS2_PLACEMENT_3D('',#544381,#424106,#424107); #364635=AXIS2_PLACEMENT_3D('',#544384,#424110,#424111); #364636=AXIS2_PLACEMENT_3D('',#544385,#424112,#424113); #364637=AXIS2_PLACEMENT_3D('',#544386,#424114,#424115); #364638=AXIS2_PLACEMENT_3D('',#544395,#424120,#424121); #364639=AXIS2_PLACEMENT_3D('',#544401,#424125,#424126); #364640=AXIS2_PLACEMENT_3D('',#544407,#424130,#424131); #364641=AXIS2_PLACEMENT_3D('',#544410,#424134,#424135); #364642=AXIS2_PLACEMENT_3D('',#544411,#424136,#424137); #364643=AXIS2_PLACEMENT_3D('',#544412,#424138,#424139); #364644=AXIS2_PLACEMENT_3D('',#544414,#424140,#424141); #364645=AXIS2_PLACEMENT_3D('',#544417,#424143,#424144); #364646=AXIS2_PLACEMENT_3D('',#544418,#424145,#424146); #364647=AXIS2_PLACEMENT_3D('',#544420,#424147,#424148); #364648=AXIS2_PLACEMENT_3D('',#544423,#424150,#424151); #364649=AXIS2_PLACEMENT_3D('',#544424,#424152,#424153); #364650=AXIS2_PLACEMENT_3D('',#544426,#424154,#424155); #364651=AXIS2_PLACEMENT_3D('',#544429,#424157,#424158); #364652=AXIS2_PLACEMENT_3D('',#544430,#424159,#424160); #364653=AXIS2_PLACEMENT_3D('',#544432,#424161,#424162); #364654=AXIS2_PLACEMENT_3D('',#544435,#424164,#424165); #364655=AXIS2_PLACEMENT_3D('',#544436,#424166,#424167); #364656=AXIS2_PLACEMENT_3D('',#544438,#424168,#424169); #364657=AXIS2_PLACEMENT_3D('',#544441,#424171,#424172); #364658=AXIS2_PLACEMENT_3D('',#544442,#424173,#424174); #364659=AXIS2_PLACEMENT_3D('',#544444,#424175,#424176); #364660=AXIS2_PLACEMENT_3D('',#544447,#424178,#424179); #364661=AXIS2_PLACEMENT_3D('',#544448,#424180,#424181); #364662=AXIS2_PLACEMENT_3D('',#544457,#424186,#424187); #364663=AXIS2_PLACEMENT_3D('',#544463,#424191,#424192); #364664=AXIS2_PLACEMENT_3D('',#544469,#424196,#424197); #364665=AXIS2_PLACEMENT_3D('',#544472,#424200,#424201); #364666=AXIS2_PLACEMENT_3D('',#544473,#424202,#424203); #364667=AXIS2_PLACEMENT_3D('',#544474,#424204,#424205); #364668=AXIS2_PLACEMENT_3D('',#544483,#424210,#424211); #364669=AXIS2_PLACEMENT_3D('',#544489,#424215,#424216); #364670=AXIS2_PLACEMENT_3D('',#544495,#424220,#424221); #364671=AXIS2_PLACEMENT_3D('',#544498,#424224,#424225); #364672=AXIS2_PLACEMENT_3D('',#544499,#424226,#424227); #364673=AXIS2_PLACEMENT_3D('',#544500,#424228,#424229); #364674=AXIS2_PLACEMENT_3D('',#544509,#424234,#424235); #364675=AXIS2_PLACEMENT_3D('',#544515,#424239,#424240); #364676=AXIS2_PLACEMENT_3D('',#544521,#424244,#424245); #364677=AXIS2_PLACEMENT_3D('',#544524,#424248,#424249); #364678=AXIS2_PLACEMENT_3D('',#544525,#424250,#424251); #364679=AXIS2_PLACEMENT_3D('',#544526,#424252,#424253); #364680=AXIS2_PLACEMENT_3D('',#544535,#424258,#424259); #364681=AXIS2_PLACEMENT_3D('',#544541,#424263,#424264); #364682=AXIS2_PLACEMENT_3D('',#544547,#424268,#424269); #364683=AXIS2_PLACEMENT_3D('',#544550,#424272,#424273); #364684=AXIS2_PLACEMENT_3D('',#544551,#424274,#424275); #364685=AXIS2_PLACEMENT_3D('',#544552,#424276,#424277); #364686=AXIS2_PLACEMENT_3D('',#544557,#424279,#424280); #364687=AXIS2_PLACEMENT_3D('',#544560,#424282,#424283); #364688=AXIS2_PLACEMENT_3D('',#544561,#424284,#424285); #364689=AXIS2_PLACEMENT_3D('',#544563,#424286,#424287); #364690=AXIS2_PLACEMENT_3D('',#544566,#424289,#424290); #364691=AXIS2_PLACEMENT_3D('',#544567,#424291,#424292); #364692=AXIS2_PLACEMENT_3D('',#544572,#424294,#424295); #364693=AXIS2_PLACEMENT_3D('',#544575,#424297,#424298); #364694=AXIS2_PLACEMENT_3D('',#544576,#424299,#424300); #364695=AXIS2_PLACEMENT_3D('',#544581,#424302,#424303); #364696=AXIS2_PLACEMENT_3D('',#544584,#424305,#424306); #364697=AXIS2_PLACEMENT_3D('',#544585,#424307,#424308); #364698=AXIS2_PLACEMENT_3D('',#544590,#424310,#424311); #364699=AXIS2_PLACEMENT_3D('',#544593,#424313,#424314); #364700=AXIS2_PLACEMENT_3D('',#544594,#424315,#424316); #364701=AXIS2_PLACEMENT_3D('',#544596,#424317,#424318); #364702=AXIS2_PLACEMENT_3D('',#544599,#424320,#424321); #364703=AXIS2_PLACEMENT_3D('',#544600,#424322,#424323); #364704=AXIS2_PLACEMENT_3D('',#544606,#424327,#424328); #364705=AXIS2_PLACEMENT_3D('',#544612,#424332,#424333); #364706=AXIS2_PLACEMENT_3D('',#544615,#424336,#424337); #364707=AXIS2_PLACEMENT_3D('',#544621,#424341,#424342); #364708=AXIS2_PLACEMENT_3D('',#544627,#424346,#424347); #364709=AXIS2_PLACEMENT_3D('',#544630,#424350,#424351); #364710=AXIS2_PLACEMENT_3D('',#544633,#424354,#424355); #364711=AXIS2_PLACEMENT_3D('',#544635,#424357,#424358); #364712=AXIS2_PLACEMENT_3D('',#544637,#424360,#424361); #364713=AXIS2_PLACEMENT_3D('',#544638,#424362,#424363); #364714=AXIS2_PLACEMENT_3D('',#544647,#424368,#424369); #364715=AXIS2_PLACEMENT_3D('',#544653,#424373,#424374); #364716=AXIS2_PLACEMENT_3D('',#544659,#424378,#424379); #364717=AXIS2_PLACEMENT_3D('',#544662,#424382,#424383); #364718=AXIS2_PLACEMENT_3D('',#544663,#424384,#424385); #364719=AXIS2_PLACEMENT_3D('',#544664,#424386,#424387); #364720=AXIS2_PLACEMENT_3D('',#544673,#424392,#424393); #364721=AXIS2_PLACEMENT_3D('',#544679,#424397,#424398); #364722=AXIS2_PLACEMENT_3D('',#544685,#424402,#424403); #364723=AXIS2_PLACEMENT_3D('',#544688,#424406,#424407); #364724=AXIS2_PLACEMENT_3D('',#544689,#424408,#424409); #364725=AXIS2_PLACEMENT_3D('',#544690,#424410,#424411); #364726=AXIS2_PLACEMENT_3D('',#544699,#424416,#424417); #364727=AXIS2_PLACEMENT_3D('',#544705,#424421,#424422); #364728=AXIS2_PLACEMENT_3D('',#544711,#424426,#424427); #364729=AXIS2_PLACEMENT_3D('',#544714,#424430,#424431); #364730=AXIS2_PLACEMENT_3D('',#544715,#424432,#424433); #364731=AXIS2_PLACEMENT_3D('',#544716,#424434,#424435); #364732=AXIS2_PLACEMENT_3D('',#544725,#424440,#424441); #364733=AXIS2_PLACEMENT_3D('',#544731,#424445,#424446); #364734=AXIS2_PLACEMENT_3D('',#544737,#424450,#424451); #364735=AXIS2_PLACEMENT_3D('',#544740,#424454,#424455); #364736=AXIS2_PLACEMENT_3D('',#544741,#424456,#424457); #364737=AXIS2_PLACEMENT_3D('',#544742,#424458,#424459); #364738=AXIS2_PLACEMENT_3D('',#544751,#424464,#424465); #364739=AXIS2_PLACEMENT_3D('',#544757,#424469,#424470); #364740=AXIS2_PLACEMENT_3D('',#544763,#424474,#424475); #364741=AXIS2_PLACEMENT_3D('',#544766,#424478,#424479); #364742=AXIS2_PLACEMENT_3D('',#544767,#424480,#424481); #364743=AXIS2_PLACEMENT_3D('',#544768,#424482,#424483); #364744=AXIS2_PLACEMENT_3D('',#544777,#424488,#424489); #364745=AXIS2_PLACEMENT_3D('',#544783,#424493,#424494); #364746=AXIS2_PLACEMENT_3D('',#544789,#424498,#424499); #364747=AXIS2_PLACEMENT_3D('',#544792,#424502,#424503); #364748=AXIS2_PLACEMENT_3D('',#544793,#424504,#424505); #364749=AXIS2_PLACEMENT_3D('',#544794,#424506,#424507); #364750=AXIS2_PLACEMENT_3D('',#544803,#424512,#424513); #364751=AXIS2_PLACEMENT_3D('',#544809,#424517,#424518); #364752=AXIS2_PLACEMENT_3D('',#544815,#424522,#424523); #364753=AXIS2_PLACEMENT_3D('',#544818,#424526,#424527); #364754=AXIS2_PLACEMENT_3D('',#544819,#424528,#424529); #364755=AXIS2_PLACEMENT_3D('',#544820,#424530,#424531); #364756=AXIS2_PLACEMENT_3D('',#544829,#424536,#424537); #364757=AXIS2_PLACEMENT_3D('',#544835,#424541,#424542); #364758=AXIS2_PLACEMENT_3D('',#544841,#424546,#424547); #364759=AXIS2_PLACEMENT_3D('',#544844,#424550,#424551); #364760=AXIS2_PLACEMENT_3D('',#544845,#424552,#424553); #364761=AXIS2_PLACEMENT_3D('',#544846,#424554,#424555); #364762=AXIS2_PLACEMENT_3D('',#544855,#424560,#424561); #364763=AXIS2_PLACEMENT_3D('',#544861,#424565,#424566); #364764=AXIS2_PLACEMENT_3D('',#544867,#424570,#424571); #364765=AXIS2_PLACEMENT_3D('',#544870,#424574,#424575); #364766=AXIS2_PLACEMENT_3D('',#544871,#424576,#424577); #364767=AXIS2_PLACEMENT_3D('',#544872,#424578,#424579); #364768=AXIS2_PLACEMENT_3D('',#544881,#424584,#424585); #364769=AXIS2_PLACEMENT_3D('',#544887,#424589,#424590); #364770=AXIS2_PLACEMENT_3D('',#544893,#424594,#424595); #364771=AXIS2_PLACEMENT_3D('',#544896,#424598,#424599); #364772=AXIS2_PLACEMENT_3D('',#544897,#424600,#424601); #364773=AXIS2_PLACEMENT_3D('',#544898,#424602,#424603); #364774=AXIS2_PLACEMENT_3D('',#544907,#424608,#424609); #364775=AXIS2_PLACEMENT_3D('',#544913,#424613,#424614); #364776=AXIS2_PLACEMENT_3D('',#544919,#424618,#424619); #364777=AXIS2_PLACEMENT_3D('',#544922,#424622,#424623); #364778=AXIS2_PLACEMENT_3D('',#544923,#424624,#424625); #364779=AXIS2_PLACEMENT_3D('',#544924,#424626,#424627); #364780=AXIS2_PLACEMENT_3D('',#544933,#424632,#424633); #364781=AXIS2_PLACEMENT_3D('',#544939,#424637,#424638); #364782=AXIS2_PLACEMENT_3D('',#544945,#424642,#424643); #364783=AXIS2_PLACEMENT_3D('',#544948,#424646,#424647); #364784=AXIS2_PLACEMENT_3D('',#544949,#424648,#424649); #364785=AXIS2_PLACEMENT_3D('',#544950,#424650,#424651); #364786=AXIS2_PLACEMENT_3D('',#544959,#424656,#424657); #364787=AXIS2_PLACEMENT_3D('',#544965,#424661,#424662); #364788=AXIS2_PLACEMENT_3D('',#544971,#424666,#424667); #364789=AXIS2_PLACEMENT_3D('',#544974,#424670,#424671); #364790=AXIS2_PLACEMENT_3D('',#544975,#424672,#424673); #364791=AXIS2_PLACEMENT_3D('',#544976,#424674,#424675); #364792=AXIS2_PLACEMENT_3D('',#544985,#424680,#424681); #364793=AXIS2_PLACEMENT_3D('',#544991,#424685,#424686); #364794=AXIS2_PLACEMENT_3D('',#544997,#424690,#424691); #364795=AXIS2_PLACEMENT_3D('',#545000,#424694,#424695); #364796=AXIS2_PLACEMENT_3D('',#545001,#424696,#424697); #364797=AXIS2_PLACEMENT_3D('',#545002,#424698,#424699); #364798=AXIS2_PLACEMENT_3D('',#545011,#424704,#424705); #364799=AXIS2_PLACEMENT_3D('',#545017,#424709,#424710); #364800=AXIS2_PLACEMENT_3D('',#545023,#424714,#424715); #364801=AXIS2_PLACEMENT_3D('',#545026,#424718,#424719); #364802=AXIS2_PLACEMENT_3D('',#545027,#424720,#424721); #364803=AXIS2_PLACEMENT_3D('',#545028,#424722,#424723); #364804=AXIS2_PLACEMENT_3D('',#545037,#424728,#424729); #364805=AXIS2_PLACEMENT_3D('',#545043,#424733,#424734); #364806=AXIS2_PLACEMENT_3D('',#545049,#424738,#424739); #364807=AXIS2_PLACEMENT_3D('',#545052,#424742,#424743); #364808=AXIS2_PLACEMENT_3D('',#545053,#424744,#424745); #364809=AXIS2_PLACEMENT_3D('',#545054,#424746,#424747); #364810=AXIS2_PLACEMENT_3D('',#545063,#424752,#424753); #364811=AXIS2_PLACEMENT_3D('',#545069,#424757,#424758); #364812=AXIS2_PLACEMENT_3D('',#545075,#424762,#424763); #364813=AXIS2_PLACEMENT_3D('',#545078,#424766,#424767); #364814=AXIS2_PLACEMENT_3D('',#545079,#424768,#424769); #364815=AXIS2_PLACEMENT_3D('',#545080,#424770,#424771); #364816=AXIS2_PLACEMENT_3D('',#545089,#424776,#424777); #364817=AXIS2_PLACEMENT_3D('',#545095,#424781,#424782); #364818=AXIS2_PLACEMENT_3D('',#545101,#424786,#424787); #364819=AXIS2_PLACEMENT_3D('',#545104,#424790,#424791); #364820=AXIS2_PLACEMENT_3D('',#545105,#424792,#424793); #364821=AXIS2_PLACEMENT_3D('',#545106,#424794,#424795); #364822=AXIS2_PLACEMENT_3D('',#545115,#424800,#424801); #364823=AXIS2_PLACEMENT_3D('',#545121,#424805,#424806); #364824=AXIS2_PLACEMENT_3D('',#545127,#424810,#424811); #364825=AXIS2_PLACEMENT_3D('',#545130,#424814,#424815); #364826=AXIS2_PLACEMENT_3D('',#545131,#424816,#424817); #364827=AXIS2_PLACEMENT_3D('',#545132,#424818,#424819); #364828=AXIS2_PLACEMENT_3D('',#545141,#424824,#424825); #364829=AXIS2_PLACEMENT_3D('',#545147,#424829,#424830); #364830=AXIS2_PLACEMENT_3D('',#545153,#424834,#424835); #364831=AXIS2_PLACEMENT_3D('',#545156,#424838,#424839); #364832=AXIS2_PLACEMENT_3D('',#545157,#424840,#424841); #364833=AXIS2_PLACEMENT_3D('',#545158,#424842,#424843); #364834=AXIS2_PLACEMENT_3D('',#545167,#424848,#424849); #364835=AXIS2_PLACEMENT_3D('',#545173,#424853,#424854); #364836=AXIS2_PLACEMENT_3D('',#545179,#424858,#424859); #364837=AXIS2_PLACEMENT_3D('',#545182,#424862,#424863); #364838=AXIS2_PLACEMENT_3D('',#545183,#424864,#424865); #364839=AXIS2_PLACEMENT_3D('',#545184,#424866,#424867); #364840=AXIS2_PLACEMENT_3D('',#545185,#424868,#424869); #364841=AXIS2_PLACEMENT_3D('',#545187,#424870,#424871); #364842=AXIS2_PLACEMENT_3D('',#545190,#424873,#424874); #364843=AXIS2_PLACEMENT_3D('',#545191,#424875,#424876); #364844=AXIS2_PLACEMENT_3D('',#545200,#424881,#424882); #364845=AXIS2_PLACEMENT_3D('',#545202,#424883,#424884); #364846=AXIS2_PLACEMENT_3D('',#545204,#424885,#424886); #364847=AXIS2_PLACEMENT_3D('',#545206,#424888,#424889); #364848=AXIS2_PLACEMENT_3D('',#545207,#424890,#424891); #364849=AXIS2_PLACEMENT_3D('',#545208,#424892,#424893); #364850=AXIS2_PLACEMENT_3D('',#545209,#424894,#424895); #364851=AXIS2_PLACEMENT_3D('',#545210,#424896,#424897); #364852=AXIS2_PLACEMENT_3D('',#545211,#424898,#424899); #364853=AXIS2_PLACEMENT_3D('',#545220,#424904,#424905); #364854=AXIS2_PLACEMENT_3D('',#545226,#424909,#424910); #364855=AXIS2_PLACEMENT_3D('',#545232,#424914,#424915); #364856=AXIS2_PLACEMENT_3D('',#545235,#424918,#424919); #364857=AXIS2_PLACEMENT_3D('',#545236,#424920,#424921); #364858=AXIS2_PLACEMENT_3D('',#545237,#424922,#424923); #364859=AXIS2_PLACEMENT_3D('',#545246,#424928,#424929); #364860=AXIS2_PLACEMENT_3D('',#545252,#424933,#424934); #364861=AXIS2_PLACEMENT_3D('',#545258,#424938,#424939); #364862=AXIS2_PLACEMENT_3D('',#545261,#424942,#424943); #364863=AXIS2_PLACEMENT_3D('',#545262,#424944,#424945); #364864=AXIS2_PLACEMENT_3D('',#545263,#424946,#424947); #364865=AXIS2_PLACEMENT_3D('',#545265,#424948,#424949); #364866=AXIS2_PLACEMENT_3D('',#545268,#424951,#424952); #364867=AXIS2_PLACEMENT_3D('',#545269,#424953,#424954); #364868=AXIS2_PLACEMENT_3D('',#545271,#424955,#424956); #364869=AXIS2_PLACEMENT_3D('',#545274,#424958,#424959); #364870=AXIS2_PLACEMENT_3D('',#545275,#424960,#424961); #364871=AXIS2_PLACEMENT_3D('',#545284,#424966,#424967); #364872=AXIS2_PLACEMENT_3D('',#545290,#424971,#424972); #364873=AXIS2_PLACEMENT_3D('',#545296,#424976,#424977); #364874=AXIS2_PLACEMENT_3D('',#545299,#424980,#424981); #364875=AXIS2_PLACEMENT_3D('',#545300,#424982,#424983); #364876=AXIS2_PLACEMENT_3D('',#545301,#424984,#424985); #364877=AXIS2_PLACEMENT_3D('',#545310,#424990,#424991); #364878=AXIS2_PLACEMENT_3D('',#545316,#424995,#424996); #364879=AXIS2_PLACEMENT_3D('',#545322,#425000,#425001); #364880=AXIS2_PLACEMENT_3D('',#545325,#425004,#425005); #364881=AXIS2_PLACEMENT_3D('',#545326,#425006,#425007); #364882=AXIS2_PLACEMENT_3D('',#545327,#425008,#425009); #364883=AXIS2_PLACEMENT_3D('',#545329,#425010,#425011); #364884=AXIS2_PLACEMENT_3D('',#545332,#425013,#425014); #364885=AXIS2_PLACEMENT_3D('',#545333,#425015,#425016); #364886=AXIS2_PLACEMENT_3D('',#545335,#425017,#425018); #364887=AXIS2_PLACEMENT_3D('',#545338,#425020,#425021); #364888=AXIS2_PLACEMENT_3D('',#545339,#425022,#425023); #364889=AXIS2_PLACEMENT_3D('',#545348,#425028,#425029); #364890=AXIS2_PLACEMENT_3D('',#545354,#425033,#425034); #364891=AXIS2_PLACEMENT_3D('',#545360,#425038,#425039); #364892=AXIS2_PLACEMENT_3D('',#545363,#425042,#425043); #364893=AXIS2_PLACEMENT_3D('',#545364,#425044,#425045); #364894=AXIS2_PLACEMENT_3D('',#545365,#425046,#425047); #364895=AXIS2_PLACEMENT_3D('',#545374,#425052,#425053); #364896=AXIS2_PLACEMENT_3D('',#545380,#425057,#425058); #364897=AXIS2_PLACEMENT_3D('',#545386,#425062,#425063); #364898=AXIS2_PLACEMENT_3D('',#545389,#425066,#425067); #364899=AXIS2_PLACEMENT_3D('',#545390,#425068,#425069); #364900=AXIS2_PLACEMENT_3D('',#545391,#425070,#425071); #364901=AXIS2_PLACEMENT_3D('',#545393,#425072,#425073); #364902=AXIS2_PLACEMENT_3D('',#545396,#425075,#425076); #364903=AXIS2_PLACEMENT_3D('',#545397,#425077,#425078); #364904=AXIS2_PLACEMENT_3D('',#545399,#425079,#425080); #364905=AXIS2_PLACEMENT_3D('',#545402,#425082,#425083); #364906=AXIS2_PLACEMENT_3D('',#545403,#425084,#425085); #364907=AXIS2_PLACEMENT_3D('',#545412,#425090,#425091); #364908=AXIS2_PLACEMENT_3D('',#545418,#425095,#425096); #364909=AXIS2_PLACEMENT_3D('',#545424,#425100,#425101); #364910=AXIS2_PLACEMENT_3D('',#545427,#425104,#425105); #364911=AXIS2_PLACEMENT_3D('',#545428,#425106,#425107); #364912=AXIS2_PLACEMENT_3D('',#545429,#425108,#425109); #364913=AXIS2_PLACEMENT_3D('',#545438,#425114,#425115); #364914=AXIS2_PLACEMENT_3D('',#545444,#425119,#425120); #364915=AXIS2_PLACEMENT_3D('',#545450,#425124,#425125); #364916=AXIS2_PLACEMENT_3D('',#545453,#425128,#425129); #364917=AXIS2_PLACEMENT_3D('',#545454,#425130,#425131); #364918=AXIS2_PLACEMENT_3D('',#545455,#425132,#425133); #364919=AXIS2_PLACEMENT_3D('',#545457,#425134,#425135); #364920=AXIS2_PLACEMENT_3D('',#545460,#425137,#425138); #364921=AXIS2_PLACEMENT_3D('',#545461,#425139,#425140); #364922=AXIS2_PLACEMENT_3D('',#545463,#425141,#425142); #364923=AXIS2_PLACEMENT_3D('',#545466,#425144,#425145); #364924=AXIS2_PLACEMENT_3D('',#545467,#425146,#425147); #364925=AXIS2_PLACEMENT_3D('',#545476,#425152,#425153); #364926=AXIS2_PLACEMENT_3D('',#545482,#425157,#425158); #364927=AXIS2_PLACEMENT_3D('',#545488,#425162,#425163); #364928=AXIS2_PLACEMENT_3D('',#545491,#425166,#425167); #364929=AXIS2_PLACEMENT_3D('',#545492,#425168,#425169); #364930=AXIS2_PLACEMENT_3D('',#545493,#425170,#425171); #364931=AXIS2_PLACEMENT_3D('',#545502,#425176,#425177); #364932=AXIS2_PLACEMENT_3D('',#545508,#425181,#425182); #364933=AXIS2_PLACEMENT_3D('',#545514,#425186,#425187); #364934=AXIS2_PLACEMENT_3D('',#545517,#425190,#425191); #364935=AXIS2_PLACEMENT_3D('',#545518,#425192,#425193); #364936=AXIS2_PLACEMENT_3D('',#545519,#425194,#425195); #364937=AXIS2_PLACEMENT_3D('',#545528,#425200,#425201); #364938=AXIS2_PLACEMENT_3D('',#545534,#425205,#425206); #364939=AXIS2_PLACEMENT_3D('',#545540,#425210,#425211); #364940=AXIS2_PLACEMENT_3D('',#545546,#425215,#425216); #364941=AXIS2_PLACEMENT_3D('',#545552,#425220,#425221); #364942=AXIS2_PLACEMENT_3D('',#545558,#425225,#425226); #364943=AXIS2_PLACEMENT_3D('',#545560,#425227,#425228); #364944=AXIS2_PLACEMENT_3D('',#545562,#425229,#425230); #364945=AXIS2_PLACEMENT_3D('',#545564,#425232,#425233); #364946=AXIS2_PLACEMENT_3D('',#545570,#425237,#425238); #364947=AXIS2_PLACEMENT_3D('',#545576,#425242,#425243); #364948=AXIS2_PLACEMENT_3D('',#545582,#425247,#425248); #364949=AXIS2_PLACEMENT_3D('',#545588,#425252,#425253); #364950=AXIS2_PLACEMENT_3D('',#545594,#425257,#425258); #364951=AXIS2_PLACEMENT_3D('',#545596,#425259,#425260); #364952=AXIS2_PLACEMENT_3D('',#545598,#425261,#425262); #364953=AXIS2_PLACEMENT_3D('',#545600,#425264,#425265); #364954=AXIS2_PLACEMENT_3D('',#545606,#425269,#425270); #364955=AXIS2_PLACEMENT_3D('',#545612,#425274,#425275); #364956=AXIS2_PLACEMENT_3D('',#545614,#425276,#425277); #364957=AXIS2_PLACEMENT_3D('',#545616,#425278,#425279); #364958=AXIS2_PLACEMENT_3D('',#545618,#425281,#425282); #364959=AXIS2_PLACEMENT_3D('',#545624,#425286,#425287); #364960=AXIS2_PLACEMENT_3D('',#545630,#425291,#425292); #364961=AXIS2_PLACEMENT_3D('',#545636,#425296,#425297); #364962=AXIS2_PLACEMENT_3D('',#545642,#425301,#425302); #364963=AXIS2_PLACEMENT_3D('',#545648,#425306,#425307); #364964=AXIS2_PLACEMENT_3D('',#545654,#425311,#425312); #364965=AXIS2_PLACEMENT_3D('',#545660,#425316,#425317); #364966=AXIS2_PLACEMENT_3D('',#545662,#425318,#425319); #364967=AXIS2_PLACEMENT_3D('',#545664,#425320,#425321); #364968=AXIS2_PLACEMENT_3D('',#545666,#425323,#425324); #364969=AXIS2_PLACEMENT_3D('',#545672,#425328,#425329); #364970=AXIS2_PLACEMENT_3D('',#545674,#425330,#425331); #364971=AXIS2_PLACEMENT_3D('',#545676,#425332,#425333); #364972=AXIS2_PLACEMENT_3D('',#545678,#425335,#425336); #364973=AXIS2_PLACEMENT_3D('',#545684,#425340,#425341); #364974=AXIS2_PLACEMENT_3D('',#545690,#425345,#425346); #364975=AXIS2_PLACEMENT_3D('',#545696,#425350,#425351); #364976=AXIS2_PLACEMENT_3D('',#545702,#425355,#425356); #364977=AXIS2_PLACEMENT_3D('',#545708,#425360,#425361); #364978=AXIS2_PLACEMENT_3D('',#545714,#425365,#425366); #364979=AXIS2_PLACEMENT_3D('',#545720,#425370,#425371); #364980=AXIS2_PLACEMENT_3D('',#545726,#425375,#425376); #364981=AXIS2_PLACEMENT_3D('',#545728,#425377,#425378); #364982=AXIS2_PLACEMENT_3D('',#545730,#425379,#425380); #364983=AXIS2_PLACEMENT_3D('',#545732,#425382,#425383); #364984=AXIS2_PLACEMENT_3D('',#545738,#425387,#425388); #364985=AXIS2_PLACEMENT_3D('',#545740,#425389,#425390); #364986=AXIS2_PLACEMENT_3D('',#545742,#425391,#425392); #364987=AXIS2_PLACEMENT_3D('',#545744,#425394,#425395); #364988=AXIS2_PLACEMENT_3D('',#545750,#425399,#425400); #364989=AXIS2_PLACEMENT_3D('',#545756,#425404,#425405); #364990=AXIS2_PLACEMENT_3D('',#545762,#425409,#425410); #364991=AXIS2_PLACEMENT_3D('',#545764,#425411,#425412); #364992=AXIS2_PLACEMENT_3D('',#545766,#425413,#425414); #364993=AXIS2_PLACEMENT_3D('',#545768,#425416,#425417); #364994=AXIS2_PLACEMENT_3D('',#545774,#425421,#425422); #364995=AXIS2_PLACEMENT_3D('',#545776,#425423,#425424); #364996=AXIS2_PLACEMENT_3D('',#545778,#425425,#425426); #364997=AXIS2_PLACEMENT_3D('',#545780,#425428,#425429); #364998=AXIS2_PLACEMENT_3D('',#545786,#425433,#425434); #364999=AXIS2_PLACEMENT_3D('',#545792,#425438,#425439); #365000=AXIS2_PLACEMENT_3D('',#545798,#425443,#425444); #365001=AXIS2_PLACEMENT_3D('',#545804,#425448,#425449); #365002=AXIS2_PLACEMENT_3D('',#545810,#425453,#425454); #365003=AXIS2_PLACEMENT_3D('',#545812,#425455,#425456); #365004=AXIS2_PLACEMENT_3D('',#545814,#425457,#425458); #365005=AXIS2_PLACEMENT_3D('',#545816,#425460,#425461); #365006=AXIS2_PLACEMENT_3D('',#545822,#425465,#425466); #365007=AXIS2_PLACEMENT_3D('',#545828,#425470,#425471); #365008=AXIS2_PLACEMENT_3D('',#545834,#425475,#425476); #365009=AXIS2_PLACEMENT_3D('',#545840,#425480,#425481); #365010=AXIS2_PLACEMENT_3D('',#545846,#425485,#425486); #365011=AXIS2_PLACEMENT_3D('',#545852,#425490,#425491); #365012=AXIS2_PLACEMENT_3D('',#545854,#425492,#425493); #365013=AXIS2_PLACEMENT_3D('',#545856,#425494,#425495); #365014=AXIS2_PLACEMENT_3D('',#545858,#425497,#425498); #365015=AXIS2_PLACEMENT_3D('',#545864,#425502,#425503); #365016=AXIS2_PLACEMENT_3D('',#545867,#425506,#425507); #365017=AXIS2_PLACEMENT_3D('',#545868,#425508,#425509); #365018=AXIS2_PLACEMENT_3D('',#545869,#425510,#425511); #365019=AXIS2_PLACEMENT_3D('',#545871,#425512,#425513); #365020=AXIS2_PLACEMENT_3D('',#545874,#425515,#425516); #365021=AXIS2_PLACEMENT_3D('',#545875,#425517,#425518); #365022=AXIS2_PLACEMENT_3D('',#545877,#425519,#425520); #365023=AXIS2_PLACEMENT_3D('',#545880,#425522,#425523); #365024=AXIS2_PLACEMENT_3D('',#545881,#425524,#425525); #365025=AXIS2_PLACEMENT_3D('',#545890,#425530,#425531); #365026=AXIS2_PLACEMENT_3D('',#545892,#425532,#425533); #365027=AXIS2_PLACEMENT_3D('',#545894,#425534,#425535); #365028=AXIS2_PLACEMENT_3D('',#545896,#425537,#425538); #365029=AXIS2_PLACEMENT_3D('',#545898,#425539,#425540); #365030=AXIS2_PLACEMENT_3D('',#545900,#425541,#425542); #365031=AXIS2_PLACEMENT_3D('',#545902,#425544,#425545); #365032=AXIS2_PLACEMENT_3D('',#545908,#425549,#425550); #365033=AXIS2_PLACEMENT_3D('',#545910,#425551,#425552); #365034=AXIS2_PLACEMENT_3D('',#545912,#425553,#425554); #365035=AXIS2_PLACEMENT_3D('',#545914,#425556,#425557); #365036=AXIS2_PLACEMENT_3D('',#545916,#425558,#425559); #365037=AXIS2_PLACEMENT_3D('',#545918,#425560,#425561); #365038=AXIS2_PLACEMENT_3D('',#545920,#425563,#425564); #365039=AXIS2_PLACEMENT_3D('',#545926,#425568,#425569); #365040=AXIS2_PLACEMENT_3D('',#545927,#425570,#425571); #365041=AXIS2_PLACEMENT_3D('',#545928,#425572,#425573); #365042=AXIS2_PLACEMENT_3D('',#545929,#425574,#425575); #365043=AXIS2_PLACEMENT_3D('',#545930,#425576,#425577); #365044=AXIS2_PLACEMENT_3D('',#545931,#425578,#425579); #365045=AXIS2_PLACEMENT_3D('',#545933,#425580,#425581); #365046=AXIS2_PLACEMENT_3D('',#545936,#425583,#425584); #365047=AXIS2_PLACEMENT_3D('',#545937,#425585,#425586); #365048=AXIS2_PLACEMENT_3D('',#545946,#425591,#425592); #365049=AXIS2_PLACEMENT_3D('',#545948,#425593,#425594); #365050=AXIS2_PLACEMENT_3D('',#545950,#425595,#425596); #365051=AXIS2_PLACEMENT_3D('',#545952,#425598,#425599); #365052=AXIS2_PLACEMENT_3D('',#545958,#425603,#425604); #365053=AXIS2_PLACEMENT_3D('',#545964,#425608,#425609); #365054=AXIS2_PLACEMENT_3D('',#545970,#425613,#425614); #365055=AXIS2_PLACEMENT_3D('',#545976,#425618,#425619); #365056=AXIS2_PLACEMENT_3D('',#545982,#425623,#425624); #365057=AXIS2_PLACEMENT_3D('',#545988,#425628,#425629); #365058=AXIS2_PLACEMENT_3D('',#545994,#425633,#425634); #365059=AXIS2_PLACEMENT_3D('',#545995,#425635,#425636); #365060=AXIS2_PLACEMENT_3D('',#545996,#425637,#425638); #365061=AXIS2_PLACEMENT_3D('',#545997,#425639,#425640); #365062=AXIS2_PLACEMENT_3D('',#545998,#425641,#425642); #365063=AXIS2_PLACEMENT_3D('',#545999,#425643,#425644); #365064=AXIS2_PLACEMENT_3D('',#546001,#425645,#425646); #365065=AXIS2_PLACEMENT_3D('',#546004,#425648,#425649); #365066=AXIS2_PLACEMENT_3D('',#546005,#425650,#425651); #365067=AXIS2_PLACEMENT_3D('',#546014,#425656,#425657); #365068=AXIS2_PLACEMENT_3D('',#546020,#425661,#425662); #365069=AXIS2_PLACEMENT_3D('',#546026,#425666,#425667); #365070=AXIS2_PLACEMENT_3D('',#546032,#425671,#425672); #365071=AXIS2_PLACEMENT_3D('',#546038,#425676,#425677); #365072=AXIS2_PLACEMENT_3D('',#546040,#425678,#425679); #365073=AXIS2_PLACEMENT_3D('',#546042,#425680,#425681); #365074=AXIS2_PLACEMENT_3D('',#546044,#425683,#425684); #365075=AXIS2_PLACEMENT_3D('',#546050,#425688,#425689); #365076=AXIS2_PLACEMENT_3D('',#546052,#425690,#425691); #365077=AXIS2_PLACEMENT_3D('',#546054,#425692,#425693); #365078=AXIS2_PLACEMENT_3D('',#546056,#425695,#425696); #365079=AXIS2_PLACEMENT_3D('',#546058,#425697,#425698); #365080=AXIS2_PLACEMENT_3D('',#546060,#425699,#425700); #365081=AXIS2_PLACEMENT_3D('',#546062,#425702,#425703); #365082=AXIS2_PLACEMENT_3D('',#546064,#425704,#425705); #365083=AXIS2_PLACEMENT_3D('',#546066,#425706,#425707); #365084=AXIS2_PLACEMENT_3D('',#546068,#425709,#425710); #365085=AXIS2_PLACEMENT_3D('',#546074,#425714,#425715); #365086=AXIS2_PLACEMENT_3D('',#546080,#425719,#425720); #365087=AXIS2_PLACEMENT_3D('',#546083,#425723,#425724); #365088=AXIS2_PLACEMENT_3D('',#546084,#425725,#425726); #365089=AXIS2_PLACEMENT_3D('',#546085,#425727,#425728); #365090=AXIS2_PLACEMENT_3D('',#546087,#425729,#425730); #365091=AXIS2_PLACEMENT_3D('',#546090,#425732,#425733); #365092=AXIS2_PLACEMENT_3D('',#546091,#425734,#425735); #365093=AXIS2_PLACEMENT_3D('',#546093,#425736,#425737); #365094=AXIS2_PLACEMENT_3D('',#546096,#425739,#425740); #365095=AXIS2_PLACEMENT_3D('',#546097,#425741,#425742); #365096=AXIS2_PLACEMENT_3D('',#546106,#425747,#425748); #365097=AXIS2_PLACEMENT_3D('',#546108,#425749,#425750); #365098=AXIS2_PLACEMENT_3D('',#546110,#425751,#425752); #365099=AXIS2_PLACEMENT_3D('',#546112,#425754,#425755); #365100=AXIS2_PLACEMENT_3D('',#546114,#425756,#425757); #365101=AXIS2_PLACEMENT_3D('',#546116,#425758,#425759); #365102=AXIS2_PLACEMENT_3D('',#546118,#425761,#425762); #365103=AXIS2_PLACEMENT_3D('',#546124,#425766,#425767); #365104=AXIS2_PLACEMENT_3D('',#546130,#425771,#425772); #365105=AXIS2_PLACEMENT_3D('',#546136,#425776,#425777); #365106=AXIS2_PLACEMENT_3D('',#546142,#425781,#425782); #365107=AXIS2_PLACEMENT_3D('',#546148,#425786,#425787); #365108=AXIS2_PLACEMENT_3D('',#546150,#425788,#425789); #365109=AXIS2_PLACEMENT_3D('',#546152,#425790,#425791); #365110=AXIS2_PLACEMENT_3D('',#546154,#425793,#425794); #365111=AXIS2_PLACEMENT_3D('',#546160,#425798,#425799); #365112=AXIS2_PLACEMENT_3D('',#546166,#425803,#425804); #365113=AXIS2_PLACEMENT_3D('',#546168,#425805,#425806); #365114=AXIS2_PLACEMENT_3D('',#546170,#425807,#425808); #365115=AXIS2_PLACEMENT_3D('',#546172,#425810,#425811); #365116=AXIS2_PLACEMENT_3D('',#546178,#425815,#425816); #365117=AXIS2_PLACEMENT_3D('',#546180,#425817,#425818); #365118=AXIS2_PLACEMENT_3D('',#546182,#425819,#425820); #365119=AXIS2_PLACEMENT_3D('',#546184,#425822,#425823); #365120=AXIS2_PLACEMENT_3D('',#546190,#425827,#425828); #365121=AXIS2_PLACEMENT_3D('',#546196,#425832,#425833); #365122=AXIS2_PLACEMENT_3D('',#546202,#425837,#425838); #365123=AXIS2_PLACEMENT_3D('',#546204,#425839,#425840); #365124=AXIS2_PLACEMENT_3D('',#546206,#425841,#425842); #365125=AXIS2_PLACEMENT_3D('',#546208,#425844,#425845); #365126=AXIS2_PLACEMENT_3D('',#546214,#425849,#425850); #365127=AXIS2_PLACEMENT_3D('',#546216,#425851,#425852); #365128=AXIS2_PLACEMENT_3D('',#546218,#425853,#425854); #365129=AXIS2_PLACEMENT_3D('',#546220,#425856,#425857); #365130=AXIS2_PLACEMENT_3D('',#546226,#425861,#425862); #365131=AXIS2_PLACEMENT_3D('',#546228,#425863,#425864); #365132=AXIS2_PLACEMENT_3D('',#546230,#425865,#425866); #365133=AXIS2_PLACEMENT_3D('',#546232,#425868,#425869); #365134=AXIS2_PLACEMENT_3D('',#546234,#425870,#425871); #365135=AXIS2_PLACEMENT_3D('',#546236,#425872,#425873); #365136=AXIS2_PLACEMENT_3D('',#546238,#425875,#425876); #365137=AXIS2_PLACEMENT_3D('',#546244,#425880,#425881); #365138=AXIS2_PLACEMENT_3D('',#546250,#425885,#425886); #365139=AXIS2_PLACEMENT_3D('',#546256,#425890,#425891); #365140=AXIS2_PLACEMENT_3D('',#546258,#425892,#425893); #365141=AXIS2_PLACEMENT_3D('',#546260,#425894,#425895); #365142=AXIS2_PLACEMENT_3D('',#546262,#425897,#425898); #365143=AXIS2_PLACEMENT_3D('',#546268,#425902,#425903); #365144=AXIS2_PLACEMENT_3D('',#546270,#425904,#425905); #365145=AXIS2_PLACEMENT_3D('',#546272,#425906,#425907); #365146=AXIS2_PLACEMENT_3D('',#546274,#425909,#425910); #365147=AXIS2_PLACEMENT_3D('',#546280,#425914,#425915); #365148=AXIS2_PLACEMENT_3D('',#546286,#425919,#425920); #365149=AXIS2_PLACEMENT_3D('',#546292,#425924,#425925); #365150=AXIS2_PLACEMENT_3D('',#546294,#425926,#425927); #365151=AXIS2_PLACEMENT_3D('',#546296,#425928,#425929); #365152=AXIS2_PLACEMENT_3D('',#546298,#425931,#425932); #365153=AXIS2_PLACEMENT_3D('',#546304,#425936,#425937); #365154=AXIS2_PLACEMENT_3D('',#546310,#425941,#425942); #365155=AXIS2_PLACEMENT_3D('',#546312,#425943,#425944); #365156=AXIS2_PLACEMENT_3D('',#546314,#425945,#425946); #365157=AXIS2_PLACEMENT_3D('',#546316,#425948,#425949); #365158=AXIS2_PLACEMENT_3D('',#546322,#425953,#425954); #365159=AXIS2_PLACEMENT_3D('',#546324,#425955,#425956); #365160=AXIS2_PLACEMENT_3D('',#546326,#425957,#425958); #365161=AXIS2_PLACEMENT_3D('',#546328,#425960,#425961); #365162=AXIS2_PLACEMENT_3D('',#546334,#425965,#425966); #365163=AXIS2_PLACEMENT_3D('',#546336,#425967,#425968); #365164=AXIS2_PLACEMENT_3D('',#546338,#425969,#425970); #365165=AXIS2_PLACEMENT_3D('',#546340,#425972,#425973); #365166=AXIS2_PLACEMENT_3D('',#546346,#425977,#425978); #365167=AXIS2_PLACEMENT_3D('',#546348,#425979,#425980); #365168=AXIS2_PLACEMENT_3D('',#546350,#425981,#425982); #365169=AXIS2_PLACEMENT_3D('',#546352,#425984,#425985); #365170=AXIS2_PLACEMENT_3D('',#546358,#425989,#425990); #365171=AXIS2_PLACEMENT_3D('',#546359,#425991,#425992); #365172=AXIS2_PLACEMENT_3D('',#546360,#425993,#425994); #365173=AXIS2_PLACEMENT_3D('',#546361,#425995,#425996); #365174=AXIS2_PLACEMENT_3D('',#546362,#425997,#425998); #365175=AXIS2_PLACEMENT_3D('',#546363,#425999,#426000); #365176=AXIS2_PLACEMENT_3D('',#546365,#426001,#426002); #365177=AXIS2_PLACEMENT_3D('',#546368,#426004,#426005); #365178=AXIS2_PLACEMENT_3D('',#546369,#426006,#426007); #365179=AXIS2_PLACEMENT_3D('',#546371,#426008,#426009); #365180=AXIS2_PLACEMENT_3D('',#546374,#426011,#426012); #365181=AXIS2_PLACEMENT_3D('',#546375,#426013,#426014); #365182=AXIS2_PLACEMENT_3D('',#546384,#426019,#426020); #365183=AXIS2_PLACEMENT_3D('',#546386,#426021,#426022); #365184=AXIS2_PLACEMENT_3D('',#546388,#426023,#426024); #365185=AXIS2_PLACEMENT_3D('',#546390,#426026,#426027); #365186=AXIS2_PLACEMENT_3D('',#546392,#426028,#426029); #365187=AXIS2_PLACEMENT_3D('',#546394,#426030,#426031); #365188=AXIS2_PLACEMENT_3D('',#546396,#426033,#426034); #365189=AXIS2_PLACEMENT_3D('',#546402,#426038,#426039); #365190=AXIS2_PLACEMENT_3D('',#546408,#426043,#426044); #365191=AXIS2_PLACEMENT_3D('',#546410,#426045,#426046); #365192=AXIS2_PLACEMENT_3D('',#546412,#426047,#426048); #365193=AXIS2_PLACEMENT_3D('',#546414,#426050,#426051); #365194=AXIS2_PLACEMENT_3D('',#546416,#426052,#426053); #365195=AXIS2_PLACEMENT_3D('',#546418,#426054,#426055); #365196=AXIS2_PLACEMENT_3D('',#546420,#426057,#426058); #365197=AXIS2_PLACEMENT_3D('',#546426,#426062,#426063); #365198=AXIS2_PLACEMENT_3D('',#546428,#426064,#426065); #365199=AXIS2_PLACEMENT_3D('',#546430,#426066,#426067); #365200=AXIS2_PLACEMENT_3D('',#546432,#426069,#426070); #365201=AXIS2_PLACEMENT_3D('',#546438,#426074,#426075); #365202=AXIS2_PLACEMENT_3D('',#546439,#426076,#426077); #365203=AXIS2_PLACEMENT_3D('',#546440,#426078,#426079); #365204=AXIS2_PLACEMENT_3D('',#546441,#426080,#426081); #365205=AXIS2_PLACEMENT_3D('',#546442,#426082,#426083); #365206=AXIS2_PLACEMENT_3D('',#546443,#426084,#426085); #365207=AXIS2_PLACEMENT_3D('',#546445,#426086,#426087); #365208=AXIS2_PLACEMENT_3D('',#546448,#426089,#426090); #365209=AXIS2_PLACEMENT_3D('',#546449,#426091,#426092); #365210=AXIS2_PLACEMENT_3D('',#546458,#426097,#426098); #365211=AXIS2_PLACEMENT_3D('',#546464,#426102,#426103); #365212=AXIS2_PLACEMENT_3D('',#546470,#426107,#426108); #365213=AXIS2_PLACEMENT_3D('',#546476,#426112,#426113); #365214=AXIS2_PLACEMENT_3D('',#546482,#426117,#426118); #365215=AXIS2_PLACEMENT_3D('',#546484,#426119,#426120); #365216=AXIS2_PLACEMENT_3D('',#546486,#426121,#426122); #365217=AXIS2_PLACEMENT_3D('',#546488,#426124,#426125); #365218=AXIS2_PLACEMENT_3D('',#546494,#426129,#426130); #365219=AXIS2_PLACEMENT_3D('',#546496,#426131,#426132); #365220=AXIS2_PLACEMENT_3D('',#546498,#426133,#426134); #365221=AXIS2_PLACEMENT_3D('',#546500,#426136,#426137); #365222=AXIS2_PLACEMENT_3D('',#546502,#426138,#426139); #365223=AXIS2_PLACEMENT_3D('',#546504,#426140,#426141); #365224=AXIS2_PLACEMENT_3D('',#546506,#426143,#426144); #365225=AXIS2_PLACEMENT_3D('',#546508,#426145,#426146); #365226=AXIS2_PLACEMENT_3D('',#546510,#426147,#426148); #365227=AXIS2_PLACEMENT_3D('',#546512,#426150,#426151); #365228=AXIS2_PLACEMENT_3D('',#546518,#426155,#426156); #365229=AXIS2_PLACEMENT_3D('',#546520,#426157,#426158); #365230=AXIS2_PLACEMENT_3D('',#546522,#426159,#426160); #365231=AXIS2_PLACEMENT_3D('',#546524,#426162,#426163); #365232=AXIS2_PLACEMENT_3D('',#546530,#426167,#426168); #365233=AXIS2_PLACEMENT_3D('',#546536,#426172,#426173); #365234=AXIS2_PLACEMENT_3D('',#546542,#426177,#426178); #365235=AXIS2_PLACEMENT_3D('',#546548,#426182,#426183); #365236=AXIS2_PLACEMENT_3D('',#546554,#426187,#426188); #365237=AXIS2_PLACEMENT_3D('',#546560,#426192,#426193); #365238=AXIS2_PLACEMENT_3D('',#546566,#426197,#426198); #365239=AXIS2_PLACEMENT_3D('',#546572,#426202,#426203); #365240=AXIS2_PLACEMENT_3D('',#546574,#426204,#426205); #365241=AXIS2_PLACEMENT_3D('',#546576,#426206,#426207); #365242=AXIS2_PLACEMENT_3D('',#546578,#426209,#426210); #365243=AXIS2_PLACEMENT_3D('',#546584,#426214,#426215); #365244=AXIS2_PLACEMENT_3D('',#546590,#426219,#426220); #365245=AXIS2_PLACEMENT_3D('',#546593,#426223,#426224); #365246=AXIS2_PLACEMENT_3D('',#546594,#426225,#426226); #365247=AXIS2_PLACEMENT_3D('',#546595,#426227,#426228); #365248=AXIS2_PLACEMENT_3D('',#546597,#426229,#426230); #365249=AXIS2_PLACEMENT_3D('',#546600,#426232,#426233); #365250=AXIS2_PLACEMENT_3D('',#546601,#426234,#426235); #365251=AXIS2_PLACEMENT_3D('',#546610,#426240,#426241); #365252=AXIS2_PLACEMENT_3D('',#546612,#426242,#426243); #365253=AXIS2_PLACEMENT_3D('',#546614,#426244,#426245); #365254=AXIS2_PLACEMENT_3D('',#546616,#426247,#426248); #365255=AXIS2_PLACEMENT_3D('',#546618,#426249,#426250); #365256=AXIS2_PLACEMENT_3D('',#546620,#426251,#426252); #365257=AXIS2_PLACEMENT_3D('',#546622,#426254,#426255); #365258=AXIS2_PLACEMENT_3D('',#546628,#426259,#426260); #365259=AXIS2_PLACEMENT_3D('',#546630,#426261,#426262); #365260=AXIS2_PLACEMENT_3D('',#546632,#426263,#426264); #365261=AXIS2_PLACEMENT_3D('',#546634,#426266,#426267); #365262=AXIS2_PLACEMENT_3D('',#546640,#426271,#426272); #365263=AXIS2_PLACEMENT_3D('',#546646,#426276,#426277); #365264=AXIS2_PLACEMENT_3D('',#546652,#426281,#426282); #365265=AXIS2_PLACEMENT_3D('',#546658,#426286,#426287); #365266=AXIS2_PLACEMENT_3D('',#546664,#426291,#426292); #365267=AXIS2_PLACEMENT_3D('',#546670,#426296,#426297); #365268=AXIS2_PLACEMENT_3D('',#546676,#426301,#426302); #365269=AXIS2_PLACEMENT_3D('',#546682,#426306,#426307); #365270=AXIS2_PLACEMENT_3D('',#546684,#426308,#426309); #365271=AXIS2_PLACEMENT_3D('',#546686,#426310,#426311); #365272=AXIS2_PLACEMENT_3D('',#546688,#426313,#426314); #365273=AXIS2_PLACEMENT_3D('',#546694,#426318,#426319); #365274=AXIS2_PLACEMENT_3D('',#546700,#426323,#426324); #365275=AXIS2_PLACEMENT_3D('',#546706,#426328,#426329); #365276=AXIS2_PLACEMENT_3D('',#546712,#426333,#426334); #365277=AXIS2_PLACEMENT_3D('',#546718,#426338,#426339); #365278=AXIS2_PLACEMENT_3D('',#546724,#426343,#426344); #365279=AXIS2_PLACEMENT_3D('',#546730,#426348,#426349); #365280=AXIS2_PLACEMENT_3D('',#546736,#426353,#426354); #365281=AXIS2_PLACEMENT_3D('',#546738,#426355,#426356); #365282=AXIS2_PLACEMENT_3D('',#546740,#426357,#426358); #365283=AXIS2_PLACEMENT_3D('',#546742,#426360,#426361); #365284=AXIS2_PLACEMENT_3D('',#546748,#426365,#426366); #365285=AXIS2_PLACEMENT_3D('',#546750,#426367,#426368); #365286=AXIS2_PLACEMENT_3D('',#546752,#426369,#426370); #365287=AXIS2_PLACEMENT_3D('',#546754,#426372,#426373); #365288=AXIS2_PLACEMENT_3D('',#546755,#426374,#426375); #365289=AXIS2_PLACEMENT_3D('',#546756,#426376,#426377); #365290=AXIS2_PLACEMENT_3D('',#546757,#426378,#426379); #365291=AXIS2_PLACEMENT_3D('',#546758,#426380,#426381); #365292=AXIS2_PLACEMENT_3D('',#546759,#426382,#426383); #365293=AXIS2_PLACEMENT_3D('',#546761,#426384,#426385); #365294=AXIS2_PLACEMENT_3D('',#546764,#426387,#426388); #365295=AXIS2_PLACEMENT_3D('',#546765,#426389,#426390); #365296=AXIS2_PLACEMENT_3D('',#546767,#426391,#426392); #365297=AXIS2_PLACEMENT_3D('',#546770,#426394,#426395); #365298=AXIS2_PLACEMENT_3D('',#546771,#426396,#426397); #365299=AXIS2_PLACEMENT_3D('',#546780,#426402,#426403); #365300=AXIS2_PLACEMENT_3D('',#546786,#426407,#426408); #365301=AXIS2_PLACEMENT_3D('',#546788,#426409,#426410); #365302=AXIS2_PLACEMENT_3D('',#546790,#426411,#426412); #365303=AXIS2_PLACEMENT_3D('',#546792,#426414,#426415); #365304=AXIS2_PLACEMENT_3D('',#546798,#426419,#426420); #365305=AXIS2_PLACEMENT_3D('',#546804,#426424,#426425); #365306=AXIS2_PLACEMENT_3D('',#546806,#426426,#426427); #365307=AXIS2_PLACEMENT_3D('',#546808,#426428,#426429); #365308=AXIS2_PLACEMENT_3D('',#546810,#426431,#426432); #365309=AXIS2_PLACEMENT_3D('',#546812,#426433,#426434); #365310=AXIS2_PLACEMENT_3D('',#546814,#426435,#426436); #365311=AXIS2_PLACEMENT_3D('',#546816,#426438,#426439); #365312=AXIS2_PLACEMENT_3D('',#546822,#426443,#426444); #365313=AXIS2_PLACEMENT_3D('',#546824,#426445,#426446); #365314=AXIS2_PLACEMENT_3D('',#546826,#426447,#426448); #365315=AXIS2_PLACEMENT_3D('',#546828,#426450,#426451); #365316=AXIS2_PLACEMENT_3D('',#546834,#426455,#426456); #365317=AXIS2_PLACEMENT_3D('',#546840,#426460,#426461); #365318=AXIS2_PLACEMENT_3D('',#546846,#426465,#426466); #365319=AXIS2_PLACEMENT_3D('',#546848,#426467,#426468); #365320=AXIS2_PLACEMENT_3D('',#546850,#426469,#426470); #365321=AXIS2_PLACEMENT_3D('',#546852,#426472,#426473); #365322=AXIS2_PLACEMENT_3D('',#546858,#426477,#426478); #365323=AXIS2_PLACEMENT_3D('',#546864,#426482,#426483); #365324=AXIS2_PLACEMENT_3D('',#546870,#426487,#426488); #365325=AXIS2_PLACEMENT_3D('',#546876,#426492,#426493); #365326=AXIS2_PLACEMENT_3D('',#546882,#426497,#426498); #365327=AXIS2_PLACEMENT_3D('',#546884,#426499,#426500); #365328=AXIS2_PLACEMENT_3D('',#546886,#426501,#426502); #365329=AXIS2_PLACEMENT_3D('',#546888,#426504,#426505); #365330=AXIS2_PLACEMENT_3D('',#546894,#426509,#426510); #365331=AXIS2_PLACEMENT_3D('',#546900,#426514,#426515); #365332=AXIS2_PLACEMENT_3D('',#546902,#426516,#426517); #365333=AXIS2_PLACEMENT_3D('',#546904,#426518,#426519); #365334=AXIS2_PLACEMENT_3D('',#546906,#426521,#426522); #365335=AXIS2_PLACEMENT_3D('',#546912,#426526,#426527); #365336=AXIS2_PLACEMENT_3D('',#546914,#426528,#426529); #365337=AXIS2_PLACEMENT_3D('',#546916,#426530,#426531); #365338=AXIS2_PLACEMENT_3D('',#546918,#426533,#426534); #365339=AXIS2_PLACEMENT_3D('',#546924,#426538,#426539); #365340=AXIS2_PLACEMENT_3D('',#546930,#426543,#426544); #365341=AXIS2_PLACEMENT_3D('',#546936,#426548,#426549); #365342=AXIS2_PLACEMENT_3D('',#546938,#426550,#426551); #365343=AXIS2_PLACEMENT_3D('',#546940,#426552,#426553); #365344=AXIS2_PLACEMENT_3D('',#546942,#426555,#426556); #365345=AXIS2_PLACEMENT_3D('',#546948,#426560,#426561); #365346=AXIS2_PLACEMENT_3D('',#546950,#426562,#426563); #365347=AXIS2_PLACEMENT_3D('',#546952,#426564,#426565); #365348=AXIS2_PLACEMENT_3D('',#546954,#426567,#426568); #365349=AXIS2_PLACEMENT_3D('',#546960,#426572,#426573); #365350=AXIS2_PLACEMENT_3D('',#546962,#426574,#426575); #365351=AXIS2_PLACEMENT_3D('',#546964,#426576,#426577); #365352=AXIS2_PLACEMENT_3D('',#546966,#426579,#426580); #365353=AXIS2_PLACEMENT_3D('',#546972,#426584,#426585); #365354=AXIS2_PLACEMENT_3D('',#546978,#426589,#426590); #365355=AXIS2_PLACEMENT_3D('',#546980,#426591,#426592); #365356=AXIS2_PLACEMENT_3D('',#546982,#426593,#426594); #365357=AXIS2_PLACEMENT_3D('',#546984,#426596,#426597); #365358=AXIS2_PLACEMENT_3D('',#546986,#426598,#426599); #365359=AXIS2_PLACEMENT_3D('',#546988,#426600,#426601); #365360=AXIS2_PLACEMENT_3D('',#546990,#426603,#426604); #365361=AXIS2_PLACEMENT_3D('',#546996,#426608,#426609); #365362=AXIS2_PLACEMENT_3D('',#546998,#426610,#426611); #365363=AXIS2_PLACEMENT_3D('',#547000,#426612,#426613); #365364=AXIS2_PLACEMENT_3D('',#547002,#426615,#426616); #365365=AXIS2_PLACEMENT_3D('',#547008,#426620,#426621); #365366=AXIS2_PLACEMENT_3D('',#547014,#426625,#426626); #365367=AXIS2_PLACEMENT_3D('',#547020,#426630,#426631); #365368=AXIS2_PLACEMENT_3D('',#547026,#426635,#426636); #365369=AXIS2_PLACEMENT_3D('',#547028,#426637,#426638); #365370=AXIS2_PLACEMENT_3D('',#547030,#426639,#426640); #365371=AXIS2_PLACEMENT_3D('',#547032,#426642,#426643); #365372=AXIS2_PLACEMENT_3D('',#547038,#426647,#426648); #365373=AXIS2_PLACEMENT_3D('',#547040,#426649,#426650); #365374=AXIS2_PLACEMENT_3D('',#547042,#426651,#426652); #365375=AXIS2_PLACEMENT_3D('',#547044,#426654,#426655); #365376=AXIS2_PLACEMENT_3D('',#547050,#426659,#426660); #365377=AXIS2_PLACEMENT_3D('',#547056,#426664,#426665); #365378=AXIS2_PLACEMENT_3D('',#547062,#426669,#426670); #365379=AXIS2_PLACEMENT_3D('',#547064,#426671,#426672); #365380=AXIS2_PLACEMENT_3D('',#547066,#426673,#426674); #365381=AXIS2_PLACEMENT_3D('',#547068,#426676,#426677); #365382=AXIS2_PLACEMENT_3D('',#547074,#426681,#426682); #365383=AXIS2_PLACEMENT_3D('',#547080,#426686,#426687); #365384=AXIS2_PLACEMENT_3D('',#547082,#426688,#426689); #365385=AXIS2_PLACEMENT_3D('',#547084,#426690,#426691); #365386=AXIS2_PLACEMENT_3D('',#547086,#426693,#426694); #365387=AXIS2_PLACEMENT_3D('',#547092,#426698,#426699); #365388=AXIS2_PLACEMENT_3D('',#547094,#426700,#426701); #365389=AXIS2_PLACEMENT_3D('',#547096,#426702,#426703); #365390=AXIS2_PLACEMENT_3D('',#547098,#426705,#426706); #365391=AXIS2_PLACEMENT_3D('',#547104,#426710,#426711); #365392=AXIS2_PLACEMENT_3D('',#547106,#426712,#426713); #365393=AXIS2_PLACEMENT_3D('',#547108,#426714,#426715); #365394=AXIS2_PLACEMENT_3D('',#547110,#426717,#426718); #365395=AXIS2_PLACEMENT_3D('',#547116,#426722,#426723); #365396=AXIS2_PLACEMENT_3D('',#547118,#426724,#426725); #365397=AXIS2_PLACEMENT_3D('',#547120,#426726,#426727); #365398=AXIS2_PLACEMENT_3D('',#547122,#426729,#426730); #365399=AXIS2_PLACEMENT_3D('',#547128,#426734,#426735); #365400=AXIS2_PLACEMENT_3D('',#547134,#426739,#426740); #365401=AXIS2_PLACEMENT_3D('',#547135,#426741,#426742); #365402=AXIS2_PLACEMENT_3D('',#547136,#426743,#426744); #365403=AXIS2_PLACEMENT_3D('',#547137,#426745,#426746); #365404=AXIS2_PLACEMENT_3D('',#547138,#426747,#426748); #365405=AXIS2_PLACEMENT_3D('',#547139,#426749,#426750); #365406=AXIS2_PLACEMENT_3D('',#547141,#426751,#426752); #365407=AXIS2_PLACEMENT_3D('',#547144,#426754,#426755); #365408=AXIS2_PLACEMENT_3D('',#547145,#426756,#426757); #365409=AXIS2_PLACEMENT_3D('',#547147,#426758,#426759); #365410=AXIS2_PLACEMENT_3D('',#547150,#426761,#426762); #365411=AXIS2_PLACEMENT_3D('',#547151,#426763,#426764); #365412=AXIS2_PLACEMENT_3D('',#547160,#426769,#426770); #365413=AXIS2_PLACEMENT_3D('',#547162,#426771,#426772); #365414=AXIS2_PLACEMENT_3D('',#547164,#426773,#426774); #365415=AXIS2_PLACEMENT_3D('',#547166,#426776,#426777); #365416=AXIS2_PLACEMENT_3D('',#547168,#426778,#426779); #365417=AXIS2_PLACEMENT_3D('',#547170,#426780,#426781); #365418=AXIS2_PLACEMENT_3D('',#547172,#426783,#426784); #365419=AXIS2_PLACEMENT_3D('',#547178,#426788,#426789); #365420=AXIS2_PLACEMENT_3D('',#547180,#426790,#426791); #365421=AXIS2_PLACEMENT_3D('',#547182,#426792,#426793); #365422=AXIS2_PLACEMENT_3D('',#547184,#426795,#426796); #365423=AXIS2_PLACEMENT_3D('',#547186,#426797,#426798); #365424=AXIS2_PLACEMENT_3D('',#547188,#426799,#426800); #365425=AXIS2_PLACEMENT_3D('',#547190,#426802,#426803); #365426=AXIS2_PLACEMENT_3D('',#547196,#426807,#426808); #365427=AXIS2_PLACEMENT_3D('',#547197,#426809,#426810); #365428=AXIS2_PLACEMENT_3D('',#547198,#426811,#426812); #365429=AXIS2_PLACEMENT_3D('',#547199,#426813,#426814); #365430=AXIS2_PLACEMENT_3D('',#547200,#426815,#426816); #365431=AXIS2_PLACEMENT_3D('',#547201,#426817,#426818); #365432=AXIS2_PLACEMENT_3D('',#547203,#426819,#426820); #365433=AXIS2_PLACEMENT_3D('',#547206,#426822,#426823); #365434=AXIS2_PLACEMENT_3D('',#547207,#426824,#426825); #365435=AXIS2_PLACEMENT_3D('',#547209,#426826,#426827); #365436=AXIS2_PLACEMENT_3D('',#547212,#426829,#426830); #365437=AXIS2_PLACEMENT_3D('',#547213,#426831,#426832); #365438=AXIS2_PLACEMENT_3D('',#547222,#426837,#426838); #365439=AXIS2_PLACEMENT_3D('',#547224,#426839,#426840); #365440=AXIS2_PLACEMENT_3D('',#547226,#426841,#426842); #365441=AXIS2_PLACEMENT_3D('',#547228,#426844,#426845); #365442=AXIS2_PLACEMENT_3D('',#547230,#426846,#426847); #365443=AXIS2_PLACEMENT_3D('',#547232,#426848,#426849); #365444=AXIS2_PLACEMENT_3D('',#547234,#426851,#426852); #365445=AXIS2_PLACEMENT_3D('',#547240,#426856,#426857); #365446=AXIS2_PLACEMENT_3D('',#547242,#426858,#426859); #365447=AXIS2_PLACEMENT_3D('',#547244,#426860,#426861); #365448=AXIS2_PLACEMENT_3D('',#547246,#426863,#426864); #365449=AXIS2_PLACEMENT_3D('',#547248,#426865,#426866); #365450=AXIS2_PLACEMENT_3D('',#547250,#426867,#426868); #365451=AXIS2_PLACEMENT_3D('',#547252,#426870,#426871); #365452=AXIS2_PLACEMENT_3D('',#547258,#426875,#426876); #365453=AXIS2_PLACEMENT_3D('',#547259,#426877,#426878); #365454=AXIS2_PLACEMENT_3D('',#547260,#426879,#426880); #365455=AXIS2_PLACEMENT_3D('',#547261,#426881,#426882); #365456=AXIS2_PLACEMENT_3D('',#547262,#426883,#426884); #365457=AXIS2_PLACEMENT_3D('',#547263,#426885,#426886); #365458=AXIS2_PLACEMENT_3D('',#547265,#426887,#426888); #365459=AXIS2_PLACEMENT_3D('',#547268,#426890,#426891); #365460=AXIS2_PLACEMENT_3D('',#547269,#426892,#426893); #365461=AXIS2_PLACEMENT_3D('',#547271,#426894,#426895); #365462=AXIS2_PLACEMENT_3D('',#547274,#426897,#426898); #365463=AXIS2_PLACEMENT_3D('',#547275,#426899,#426900); #365464=AXIS2_PLACEMENT_3D('',#547284,#426905,#426906); #365465=AXIS2_PLACEMENT_3D('',#547286,#426907,#426908); #365466=AXIS2_PLACEMENT_3D('',#547288,#426909,#426910); #365467=AXIS2_PLACEMENT_3D('',#547290,#426912,#426913); #365468=AXIS2_PLACEMENT_3D('',#547292,#426914,#426915); #365469=AXIS2_PLACEMENT_3D('',#547294,#426916,#426917); #365470=AXIS2_PLACEMENT_3D('',#547296,#426919,#426920); #365471=AXIS2_PLACEMENT_3D('',#547302,#426924,#426925); #365472=AXIS2_PLACEMENT_3D('',#547304,#426926,#426927); #365473=AXIS2_PLACEMENT_3D('',#547306,#426928,#426929); #365474=AXIS2_PLACEMENT_3D('',#547308,#426931,#426932); #365475=AXIS2_PLACEMENT_3D('',#547310,#426933,#426934); #365476=AXIS2_PLACEMENT_3D('',#547312,#426935,#426936); #365477=AXIS2_PLACEMENT_3D('',#547314,#426938,#426939); #365478=AXIS2_PLACEMENT_3D('',#547320,#426943,#426944); #365479=AXIS2_PLACEMENT_3D('',#547321,#426945,#426946); #365480=AXIS2_PLACEMENT_3D('',#547322,#426947,#426948); #365481=AXIS2_PLACEMENT_3D('',#547323,#426949,#426950); #365482=AXIS2_PLACEMENT_3D('',#547324,#426951,#426952); #365483=AXIS2_PLACEMENT_3D('',#547325,#426953,#426954); #365484=AXIS2_PLACEMENT_3D('',#547327,#426955,#426956); #365485=AXIS2_PLACEMENT_3D('',#547330,#426958,#426959); #365486=AXIS2_PLACEMENT_3D('',#547331,#426960,#426961); #365487=AXIS2_PLACEMENT_3D('',#547333,#426962,#426963); #365488=AXIS2_PLACEMENT_3D('',#547336,#426965,#426966); #365489=AXIS2_PLACEMENT_3D('',#547337,#426967,#426968); #365490=AXIS2_PLACEMENT_3D('',#547346,#426973,#426974); #365491=AXIS2_PLACEMENT_3D('',#547348,#426975,#426976); #365492=AXIS2_PLACEMENT_3D('',#547350,#426977,#426978); #365493=AXIS2_PLACEMENT_3D('',#547352,#426980,#426981); #365494=AXIS2_PLACEMENT_3D('',#547358,#426985,#426986); #365495=AXIS2_PLACEMENT_3D('',#547364,#426990,#426991); #365496=AXIS2_PLACEMENT_3D('',#547370,#426995,#426996); #365497=AXIS2_PLACEMENT_3D('',#547372,#426997,#426998); #365498=AXIS2_PLACEMENT_3D('',#547374,#426999,#427000); #365499=AXIS2_PLACEMENT_3D('',#547376,#427002,#427003); #365500=AXIS2_PLACEMENT_3D('',#547378,#427004,#427005); #365501=AXIS2_PLACEMENT_3D('',#547380,#427006,#427007); #365502=AXIS2_PLACEMENT_3D('',#547382,#427009,#427010); #365503=AXIS2_PLACEMENT_3D('',#547388,#427014,#427015); #365504=AXIS2_PLACEMENT_3D('',#547390,#427016,#427017); #365505=AXIS2_PLACEMENT_3D('',#547392,#427018,#427019); #365506=AXIS2_PLACEMENT_3D('',#547394,#427021,#427022); #365507=AXIS2_PLACEMENT_3D('',#547400,#427026,#427027); #365508=AXIS2_PLACEMENT_3D('',#547402,#427028,#427029); #365509=AXIS2_PLACEMENT_3D('',#547404,#427030,#427031); #365510=AXIS2_PLACEMENT_3D('',#547406,#427033,#427034); #365511=AXIS2_PLACEMENT_3D('',#547412,#427038,#427039); #365512=AXIS2_PLACEMENT_3D('',#547413,#427040,#427041); #365513=AXIS2_PLACEMENT_3D('',#547414,#427042,#427043); #365514=AXIS2_PLACEMENT_3D('',#547415,#427044,#427045); #365515=AXIS2_PLACEMENT_3D('',#547416,#427046,#427047); #365516=AXIS2_PLACEMENT_3D('',#547417,#427048,#427049); #365517=AXIS2_PLACEMENT_3D('',#547419,#427050,#427051); #365518=AXIS2_PLACEMENT_3D('',#547422,#427053,#427054); #365519=AXIS2_PLACEMENT_3D('',#547423,#427055,#427056); #365520=AXIS2_PLACEMENT_3D('',#547432,#427061,#427062); #365521=AXIS2_PLACEMENT_3D('',#547438,#427066,#427067); #365522=AXIS2_PLACEMENT_3D('',#547444,#427071,#427072); #365523=AXIS2_PLACEMENT_3D('',#547447,#427075,#427076); #365524=AXIS2_PLACEMENT_3D('',#547448,#427077,#427078); #365525=AXIS2_PLACEMENT_3D('',#547449,#427079,#427080); #365526=AXIS2_PLACEMENT_3D('',#547451,#427081,#427082); #365527=AXIS2_PLACEMENT_3D('',#547454,#427084,#427085); #365528=AXIS2_PLACEMENT_3D('',#547455,#427086,#427087); #365529=AXIS2_PLACEMENT_3D('',#547464,#427092,#427093); #365530=AXIS2_PLACEMENT_3D('',#547470,#427097,#427098); #365531=AXIS2_PLACEMENT_3D('',#547476,#427102,#427103); #365532=AXIS2_PLACEMENT_3D('',#547479,#427106,#427107); #365533=AXIS2_PLACEMENT_3D('',#547480,#427108,#427109); #365534=AXIS2_PLACEMENT_3D('',#547481,#427110,#427111); #365535=AXIS2_PLACEMENT_3D('',#547483,#427112,#427113); #365536=AXIS2_PLACEMENT_3D('',#547486,#427115,#427116); #365537=AXIS2_PLACEMENT_3D('',#547487,#427117,#427118); #365538=AXIS2_PLACEMENT_3D('',#547496,#427123,#427124); #365539=AXIS2_PLACEMENT_3D('',#547502,#427128,#427129); #365540=AXIS2_PLACEMENT_3D('',#547508,#427133,#427134); #365541=AXIS2_PLACEMENT_3D('',#547511,#427137,#427138); #365542=AXIS2_PLACEMENT_3D('',#547512,#427139,#427140); #365543=AXIS2_PLACEMENT_3D('',#547513,#427141,#427142); #365544=AXIS2_PLACEMENT_3D('',#547515,#427143,#427144); #365545=AXIS2_PLACEMENT_3D('',#547518,#427146,#427147); #365546=AXIS2_PLACEMENT_3D('',#547519,#427148,#427149); #365547=AXIS2_PLACEMENT_3D('',#547528,#427154,#427155); #365548=AXIS2_PLACEMENT_3D('',#547530,#427156,#427157); #365549=AXIS2_PLACEMENT_3D('',#547532,#427158,#427159); #365550=AXIS2_PLACEMENT_3D('',#547534,#427161,#427162); #365551=AXIS2_PLACEMENT_3D('',#547540,#427166,#427167); #365552=AXIS2_PLACEMENT_3D('',#547546,#427171,#427172); #365553=AXIS2_PLACEMENT_3D('',#547552,#427176,#427177); #365554=AXIS2_PLACEMENT_3D('',#547558,#427181,#427182); #365555=AXIS2_PLACEMENT_3D('',#547564,#427186,#427187); #365556=AXIS2_PLACEMENT_3D('',#547570,#427191,#427192); #365557=AXIS2_PLACEMENT_3D('',#547576,#427196,#427197); #365558=AXIS2_PLACEMENT_3D('',#547577,#427198,#427199); #365559=AXIS2_PLACEMENT_3D('',#547578,#427200,#427201); #365560=AXIS2_PLACEMENT_3D('',#547579,#427202,#427203); #365561=AXIS2_PLACEMENT_3D('',#547580,#427204,#427205); #365562=AXIS2_PLACEMENT_3D('',#547581,#427206,#427207); #365563=AXIS2_PLACEMENT_3D('',#547583,#427208,#427209); #365564=AXIS2_PLACEMENT_3D('',#547586,#427211,#427212); #365565=AXIS2_PLACEMENT_3D('',#547587,#427213,#427214); #365566=AXIS2_PLACEMENT_3D('',#547589,#427215,#427216); #365567=AXIS2_PLACEMENT_3D('',#547592,#427218,#427219); #365568=AXIS2_PLACEMENT_3D('',#547593,#427220,#427221); #365569=AXIS2_PLACEMENT_3D('',#547602,#427226,#427227); #365570=AXIS2_PLACEMENT_3D('',#547608,#427231,#427232); #365571=AXIS2_PLACEMENT_3D('',#547610,#427233,#427234); #365572=AXIS2_PLACEMENT_3D('',#547612,#427235,#427236); #365573=AXIS2_PLACEMENT_3D('',#547614,#427238,#427239); #365574=AXIS2_PLACEMENT_3D('',#547620,#427243,#427244); #365575=AXIS2_PLACEMENT_3D('',#547626,#427248,#427249); #365576=AXIS2_PLACEMENT_3D('',#547628,#427250,#427251); #365577=AXIS2_PLACEMENT_3D('',#547630,#427252,#427253); #365578=AXIS2_PLACEMENT_3D('',#547632,#427255,#427256); #365579=AXIS2_PLACEMENT_3D('',#547638,#427260,#427261); #365580=AXIS2_PLACEMENT_3D('',#547644,#427265,#427266); #365581=AXIS2_PLACEMENT_3D('',#547646,#427267,#427268); #365582=AXIS2_PLACEMENT_3D('',#547648,#427269,#427270); #365583=AXIS2_PLACEMENT_3D('',#547650,#427272,#427273); #365584=AXIS2_PLACEMENT_3D('',#547652,#427274,#427275); #365585=AXIS2_PLACEMENT_3D('',#547654,#427276,#427277); #365586=AXIS2_PLACEMENT_3D('',#547656,#427279,#427280); #365587=AXIS2_PLACEMENT_3D('',#547662,#427284,#427285); #365588=AXIS2_PLACEMENT_3D('',#547664,#427286,#427287); #365589=AXIS2_PLACEMENT_3D('',#547666,#427288,#427289); #365590=AXIS2_PLACEMENT_3D('',#547668,#427291,#427292); #365591=AXIS2_PLACEMENT_3D('',#547674,#427296,#427297); #365592=AXIS2_PLACEMENT_3D('',#547680,#427301,#427302); #365593=AXIS2_PLACEMENT_3D('',#547682,#427303,#427304); #365594=AXIS2_PLACEMENT_3D('',#547684,#427305,#427306); #365595=AXIS2_PLACEMENT_3D('',#547686,#427308,#427309); #365596=AXIS2_PLACEMENT_3D('',#547692,#427313,#427314); #365597=AXIS2_PLACEMENT_3D('',#547698,#427318,#427319); #365598=AXIS2_PLACEMENT_3D('',#547704,#427323,#427324); #365599=AXIS2_PLACEMENT_3D('',#547710,#427328,#427329); #365600=AXIS2_PLACEMENT_3D('',#547716,#427333,#427334); #365601=AXIS2_PLACEMENT_3D('',#547722,#427338,#427339); #365602=AXIS2_PLACEMENT_3D('',#547724,#427340,#427341); #365603=AXIS2_PLACEMENT_3D('',#547726,#427342,#427343); #365604=AXIS2_PLACEMENT_3D('',#547728,#427345,#427346); #365605=AXIS2_PLACEMENT_3D('',#547734,#427350,#427351); #365606=AXIS2_PLACEMENT_3D('',#547740,#427355,#427356); #365607=AXIS2_PLACEMENT_3D('',#547742,#427357,#427358); #365608=AXIS2_PLACEMENT_3D('',#547744,#427359,#427360); #365609=AXIS2_PLACEMENT_3D('',#547746,#427362,#427363); #365610=AXIS2_PLACEMENT_3D('',#547752,#427367,#427368); #365611=AXIS2_PLACEMENT_3D('',#547754,#427369,#427370); #365612=AXIS2_PLACEMENT_3D('',#547756,#427371,#427372); #365613=AXIS2_PLACEMENT_3D('',#547758,#427374,#427375); #365614=AXIS2_PLACEMENT_3D('',#547764,#427379,#427380); #365615=AXIS2_PLACEMENT_3D('',#547770,#427384,#427385); #365616=AXIS2_PLACEMENT_3D('',#547776,#427389,#427390); #365617=AXIS2_PLACEMENT_3D('',#547778,#427391,#427392); #365618=AXIS2_PLACEMENT_3D('',#547780,#427393,#427394); #365619=AXIS2_PLACEMENT_3D('',#547782,#427396,#427397); #365620=AXIS2_PLACEMENT_3D('',#547788,#427401,#427402); #365621=AXIS2_PLACEMENT_3D('',#547790,#427403,#427404); #365622=AXIS2_PLACEMENT_3D('',#547792,#427405,#427406); #365623=AXIS2_PLACEMENT_3D('',#547794,#427408,#427409); #365624=AXIS2_PLACEMENT_3D('',#547800,#427413,#427414); #365625=AXIS2_PLACEMENT_3D('',#547806,#427418,#427419); #365626=AXIS2_PLACEMENT_3D('',#547808,#427420,#427421); #365627=AXIS2_PLACEMENT_3D('',#547810,#427422,#427423); #365628=AXIS2_PLACEMENT_3D('',#547812,#427425,#427426); #365629=AXIS2_PLACEMENT_3D('',#547818,#427430,#427431); #365630=AXIS2_PLACEMENT_3D('',#547820,#427432,#427433); #365631=AXIS2_PLACEMENT_3D('',#547822,#427434,#427435); #365632=AXIS2_PLACEMENT_3D('',#547824,#427437,#427438); #365633=AXIS2_PLACEMENT_3D('',#547826,#427439,#427440); #365634=AXIS2_PLACEMENT_3D('',#547828,#427441,#427442); #365635=AXIS2_PLACEMENT_3D('',#547830,#427444,#427445); #365636=AXIS2_PLACEMENT_3D('',#547836,#427449,#427450); #365637=AXIS2_PLACEMENT_3D('',#547842,#427454,#427455); #365638=AXIS2_PLACEMENT_3D('',#547844,#427456,#427457); #365639=AXIS2_PLACEMENT_3D('',#547846,#427458,#427459); #365640=AXIS2_PLACEMENT_3D('',#547848,#427461,#427462); #365641=AXIS2_PLACEMENT_3D('',#547854,#427466,#427467); #365642=AXIS2_PLACEMENT_3D('',#547860,#427471,#427472); #365643=AXIS2_PLACEMENT_3D('',#547866,#427476,#427477); #365644=AXIS2_PLACEMENT_3D('',#547868,#427478,#427479); #365645=AXIS2_PLACEMENT_3D('',#547870,#427480,#427481); #365646=AXIS2_PLACEMENT_3D('',#547872,#427483,#427484); #365647=AXIS2_PLACEMENT_3D('',#547878,#427488,#427489); #365648=AXIS2_PLACEMENT_3D('',#547880,#427490,#427491); #365649=AXIS2_PLACEMENT_3D('',#547882,#427492,#427493); #365650=AXIS2_PLACEMENT_3D('',#547884,#427495,#427496); #365651=AXIS2_PLACEMENT_3D('',#547890,#427500,#427501); #365652=AXIS2_PLACEMENT_3D('',#547896,#427505,#427506); #365653=AXIS2_PLACEMENT_3D('',#547902,#427510,#427511); #365654=AXIS2_PLACEMENT_3D('',#547904,#427512,#427513); #365655=AXIS2_PLACEMENT_3D('',#547906,#427514,#427515); #365656=AXIS2_PLACEMENT_3D('',#547908,#427517,#427518); #365657=AXIS2_PLACEMENT_3D('',#547914,#427522,#427523); #365658=AXIS2_PLACEMENT_3D('',#547920,#427527,#427528); #365659=AXIS2_PLACEMENT_3D('',#547922,#427529,#427530); #365660=AXIS2_PLACEMENT_3D('',#547924,#427531,#427532); #365661=AXIS2_PLACEMENT_3D('',#547926,#427534,#427535); #365662=AXIS2_PLACEMENT_3D('',#547932,#427539,#427540); #365663=AXIS2_PLACEMENT_3D('',#547934,#427541,#427542); #365664=AXIS2_PLACEMENT_3D('',#547936,#427543,#427544); #365665=AXIS2_PLACEMENT_3D('',#547938,#427546,#427547); #365666=AXIS2_PLACEMENT_3D('',#547944,#427551,#427552); #365667=AXIS2_PLACEMENT_3D('',#547946,#427553,#427554); #365668=AXIS2_PLACEMENT_3D('',#547948,#427555,#427556); #365669=AXIS2_PLACEMENT_3D('',#547950,#427558,#427559); #365670=AXIS2_PLACEMENT_3D('',#547956,#427563,#427564); #365671=AXIS2_PLACEMENT_3D('',#547957,#427565,#427566); #365672=AXIS2_PLACEMENT_3D('',#547958,#427567,#427568); #365673=AXIS2_PLACEMENT_3D('',#547959,#427569,#427570); #365674=AXIS2_PLACEMENT_3D('',#547960,#427571,#427572); #365675=AXIS2_PLACEMENT_3D('',#547961,#427573,#427574); #365676=AXIS2_PLACEMENT_3D('',#547963,#427575,#427576); #365677=AXIS2_PLACEMENT_3D('',#547966,#427578,#427579); #365678=AXIS2_PLACEMENT_3D('',#547967,#427580,#427581); #365679=AXIS2_PLACEMENT_3D('',#547969,#427582,#427583); #365680=AXIS2_PLACEMENT_3D('',#547972,#427585,#427586); #365681=AXIS2_PLACEMENT_3D('',#547973,#427587,#427588); #365682=AXIS2_PLACEMENT_3D('',#547982,#427593,#427594); #365683=AXIS2_PLACEMENT_3D('',#547984,#427595,#427596); #365684=AXIS2_PLACEMENT_3D('',#547986,#427597,#427598); #365685=AXIS2_PLACEMENT_3D('',#547988,#427600,#427601); #365686=AXIS2_PLACEMENT_3D('',#547994,#427605,#427606); #365687=AXIS2_PLACEMENT_3D('',#547996,#427607,#427608); #365688=AXIS2_PLACEMENT_3D('',#547998,#427609,#427610); #365689=AXIS2_PLACEMENT_3D('',#548000,#427612,#427613); #365690=AXIS2_PLACEMENT_3D('',#548002,#427614,#427615); #365691=AXIS2_PLACEMENT_3D('',#548004,#427616,#427617); #365692=AXIS2_PLACEMENT_3D('',#548006,#427619,#427620); #365693=AXIS2_PLACEMENT_3D('',#548012,#427624,#427625); #365694=AXIS2_PLACEMENT_3D('',#548013,#427626,#427627); #365695=AXIS2_PLACEMENT_3D('',#548014,#427628,#427629); #365696=AXIS2_PLACEMENT_3D('',#548015,#427630,#427631); #365697=AXIS2_PLACEMENT_3D('',#548016,#427632,#427633); #365698=AXIS2_PLACEMENT_3D('',#548017,#427634,#427635); #365699=AXIS2_PLACEMENT_3D('',#548019,#427636,#427637); #365700=AXIS2_PLACEMENT_3D('',#548022,#427639,#427640); #365701=AXIS2_PLACEMENT_3D('',#548023,#427641,#427642); #365702=AXIS2_PLACEMENT_3D('',#548032,#427647,#427648); #365703=AXIS2_PLACEMENT_3D('',#548034,#427649,#427650); #365704=AXIS2_PLACEMENT_3D('',#548036,#427651,#427652); #365705=AXIS2_PLACEMENT_3D('',#548038,#427654,#427655); #365706=AXIS2_PLACEMENT_3D('',#548044,#427659,#427660); #365707=AXIS2_PLACEMENT_3D('',#548050,#427664,#427665); #365708=AXIS2_PLACEMENT_3D('',#548056,#427669,#427670); #365709=AXIS2_PLACEMENT_3D('',#548062,#427674,#427675); #365710=AXIS2_PLACEMENT_3D('',#548068,#427679,#427680); #365711=AXIS2_PLACEMENT_3D('',#548074,#427684,#427685); #365712=AXIS2_PLACEMENT_3D('',#548080,#427689,#427690); #365713=AXIS2_PLACEMENT_3D('',#548081,#427691,#427692); #365714=AXIS2_PLACEMENT_3D('',#548082,#427693,#427694); #365715=AXIS2_PLACEMENT_3D('',#548083,#427695,#427696); #365716=AXIS2_PLACEMENT_3D('',#548084,#427697,#427698); #365717=AXIS2_PLACEMENT_3D('',#548085,#427699,#427700); #365718=AXIS2_PLACEMENT_3D('',#548087,#427701,#427702); #365719=AXIS2_PLACEMENT_3D('',#548090,#427704,#427705); #365720=AXIS2_PLACEMENT_3D('',#548091,#427706,#427707); #365721=AXIS2_PLACEMENT_3D('',#548100,#427712,#427713); #365722=AXIS2_PLACEMENT_3D('',#548102,#427714,#427715); #365723=AXIS2_PLACEMENT_3D('',#548104,#427716,#427717); #365724=AXIS2_PLACEMENT_3D('',#548106,#427719,#427720); #365725=AXIS2_PLACEMENT_3D('',#548112,#427724,#427725); #365726=AXIS2_PLACEMENT_3D('',#548118,#427729,#427730); #365727=AXIS2_PLACEMENT_3D('',#548124,#427734,#427735); #365728=AXIS2_PLACEMENT_3D('',#548130,#427739,#427740); #365729=AXIS2_PLACEMENT_3D('',#548136,#427744,#427745); #365730=AXIS2_PLACEMENT_3D('',#548142,#427749,#427750); #365731=AXIS2_PLACEMENT_3D('',#548148,#427754,#427755); #365732=AXIS2_PLACEMENT_3D('',#548149,#427756,#427757); #365733=AXIS2_PLACEMENT_3D('',#548150,#427758,#427759); #365734=AXIS2_PLACEMENT_3D('',#548151,#427760,#427761); #365735=AXIS2_PLACEMENT_3D('',#548152,#427762,#427763); #365736=AXIS2_PLACEMENT_3D('',#548153,#427764,#427765); #365737=AXIS2_PLACEMENT_3D('',#548155,#427766,#427767); #365738=AXIS2_PLACEMENT_3D('',#548158,#427769,#427770); #365739=AXIS2_PLACEMENT_3D('',#548159,#427771,#427772); #365740=AXIS2_PLACEMENT_3D('',#548168,#427777,#427778); #365741=AXIS2_PLACEMENT_3D('',#548170,#427779,#427780); #365742=AXIS2_PLACEMENT_3D('',#548172,#427781,#427782); #365743=AXIS2_PLACEMENT_3D('',#548174,#427784,#427785); #365744=AXIS2_PLACEMENT_3D('',#548180,#427789,#427790); #365745=AXIS2_PLACEMENT_3D('',#548182,#427791,#427792); #365746=AXIS2_PLACEMENT_3D('',#548184,#427793,#427794); #365747=AXIS2_PLACEMENT_3D('',#548186,#427796,#427797); #365748=AXIS2_PLACEMENT_3D('',#548192,#427801,#427802); #365749=AXIS2_PLACEMENT_3D('',#548194,#427803,#427804); #365750=AXIS2_PLACEMENT_3D('',#548196,#427805,#427806); #365751=AXIS2_PLACEMENT_3D('',#548198,#427808,#427809); #365752=AXIS2_PLACEMENT_3D('',#548204,#427813,#427814); #365753=AXIS2_PLACEMENT_3D('',#548210,#427818,#427819); #365754=AXIS2_PLACEMENT_3D('',#548216,#427823,#427824); #365755=AXIS2_PLACEMENT_3D('',#548222,#427828,#427829); #365756=AXIS2_PLACEMENT_3D('',#548228,#427833,#427834); #365757=AXIS2_PLACEMENT_3D('',#548234,#427838,#427839); #365758=AXIS2_PLACEMENT_3D('',#548240,#427843,#427844); #365759=AXIS2_PLACEMENT_3D('',#548246,#427848,#427849); #365760=AXIS2_PLACEMENT_3D('',#548252,#427853,#427854); #365761=AXIS2_PLACEMENT_3D('',#548254,#427855,#427856); #365762=AXIS2_PLACEMENT_3D('',#548256,#427857,#427858); #365763=AXIS2_PLACEMENT_3D('',#548258,#427860,#427861); #365764=AXIS2_PLACEMENT_3D('',#548259,#427862,#427863); #365765=AXIS2_PLACEMENT_3D('',#548260,#427864,#427865); #365766=AXIS2_PLACEMENT_3D('',#548261,#427866,#427867); #365767=AXIS2_PLACEMENT_3D('',#548262,#427868,#427869); #365768=AXIS2_PLACEMENT_3D('',#548263,#427870,#427871); #365769=AXIS2_PLACEMENT_3D('',#548265,#427872,#427873); #365770=AXIS2_PLACEMENT_3D('',#548268,#427875,#427876); #365771=AXIS2_PLACEMENT_3D('',#548269,#427877,#427878); #365772=AXIS2_PLACEMENT_3D('',#548278,#427883,#427884); #365773=AXIS2_PLACEMENT_3D('',#548284,#427888,#427889); #365774=AXIS2_PLACEMENT_3D('',#548290,#427893,#427894); #365775=AXIS2_PLACEMENT_3D('',#548296,#427898,#427899); #365776=AXIS2_PLACEMENT_3D('',#548302,#427903,#427904); #365777=AXIS2_PLACEMENT_3D('',#548308,#427908,#427909); #365778=AXIS2_PLACEMENT_3D('',#548314,#427913,#427914); #365779=AXIS2_PLACEMENT_3D('',#548320,#427918,#427919); #365780=AXIS2_PLACEMENT_3D('',#548322,#427920,#427921); #365781=AXIS2_PLACEMENT_3D('',#548324,#427922,#427923); #365782=AXIS2_PLACEMENT_3D('',#548326,#427925,#427926); #365783=AXIS2_PLACEMENT_3D('',#548328,#427927,#427928); #365784=AXIS2_PLACEMENT_3D('',#548330,#427929,#427930); #365785=AXIS2_PLACEMENT_3D('',#548332,#427932,#427933); #365786=AXIS2_PLACEMENT_3D('',#548338,#427937,#427938); #365787=AXIS2_PLACEMENT_3D('',#548340,#427939,#427940); #365788=AXIS2_PLACEMENT_3D('',#548342,#427941,#427942); #365789=AXIS2_PLACEMENT_3D('',#548344,#427944,#427945); #365790=AXIS2_PLACEMENT_3D('',#548350,#427949,#427950); #365791=AXIS2_PLACEMENT_3D('',#548352,#427951,#427952); #365792=AXIS2_PLACEMENT_3D('',#548354,#427953,#427954); #365793=AXIS2_PLACEMENT_3D('',#548356,#427956,#427957); #365794=AXIS2_PLACEMENT_3D('',#548359,#427960,#427961); #365795=AXIS2_PLACEMENT_3D('',#548360,#427962,#427963); #365796=AXIS2_PLACEMENT_3D('',#548361,#427964,#427965); #365797=AXIS2_PLACEMENT_3D('',#548363,#427966,#427967); #365798=AXIS2_PLACEMENT_3D('',#548366,#427969,#427970); #365799=AXIS2_PLACEMENT_3D('',#548367,#427971,#427972); #365800=AXIS2_PLACEMENT_3D('',#548376,#427977,#427978); #365801=AXIS2_PLACEMENT_3D('',#548378,#427979,#427980); #365802=AXIS2_PLACEMENT_3D('',#548380,#427981,#427982); #365803=AXIS2_PLACEMENT_3D('',#548382,#427984,#427985); #365804=AXIS2_PLACEMENT_3D('',#548383,#427986,#427987); #365805=AXIS2_PLACEMENT_3D('',#548384,#427988,#427989); #365806=AXIS2_PLACEMENT_3D('',#548385,#427990,#427991); #365807=AXIS2_PLACEMENT_3D('',#548386,#427992,#427993); #365808=AXIS2_PLACEMENT_3D('',#548387,#427994,#427995); #365809=AXIS2_PLACEMENT_3D('',#548389,#427996,#427997); #365810=AXIS2_PLACEMENT_3D('',#548392,#427999,#428000); #365811=AXIS2_PLACEMENT_3D('',#548393,#428001,#428002); #365812=AXIS2_PLACEMENT_3D('',#548402,#428007,#428008); #365813=AXIS2_PLACEMENT_3D('',#548408,#428012,#428013); #365814=AXIS2_PLACEMENT_3D('',#548414,#428017,#428018); #365815=AXIS2_PLACEMENT_3D('',#548420,#428022,#428023); #365816=AXIS2_PLACEMENT_3D('',#548426,#428027,#428028); #365817=AXIS2_PLACEMENT_3D('',#548432,#428032,#428033); #365818=AXIS2_PLACEMENT_3D('',#548438,#428037,#428038); #365819=AXIS2_PLACEMENT_3D('',#548440,#428039,#428040); #365820=AXIS2_PLACEMENT_3D('',#548442,#428041,#428042); #365821=AXIS2_PLACEMENT_3D('',#548444,#428044,#428045); #365822=AXIS2_PLACEMENT_3D('',#548450,#428049,#428050); #365823=AXIS2_PLACEMENT_3D('',#548456,#428054,#428055); #365824=AXIS2_PLACEMENT_3D('',#548458,#428056,#428057); #365825=AXIS2_PLACEMENT_3D('',#548460,#428058,#428059); #365826=AXIS2_PLACEMENT_3D('',#548462,#428061,#428062); #365827=AXIS2_PLACEMENT_3D('',#548464,#428063,#428064); #365828=AXIS2_PLACEMENT_3D('',#548466,#428065,#428066); #365829=AXIS2_PLACEMENT_3D('',#548468,#428068,#428069); #365830=AXIS2_PLACEMENT_3D('',#548474,#428073,#428074); #365831=AXIS2_PLACEMENT_3D('',#548476,#428075,#428076); #365832=AXIS2_PLACEMENT_3D('',#548478,#428077,#428078); #365833=AXIS2_PLACEMENT_3D('',#548480,#428080,#428081); #365834=AXIS2_PLACEMENT_3D('',#548486,#428085,#428086); #365835=AXIS2_PLACEMENT_3D('',#548492,#428090,#428091); #365836=AXIS2_PLACEMENT_3D('',#548494,#428092,#428093); #365837=AXIS2_PLACEMENT_3D('',#548496,#428094,#428095); #365838=AXIS2_PLACEMENT_3D('',#548498,#428097,#428098); #365839=AXIS2_PLACEMENT_3D('',#548504,#428102,#428103); #365840=AXIS2_PLACEMENT_3D('',#548506,#428104,#428105); #365841=AXIS2_PLACEMENT_3D('',#548508,#428106,#428107); #365842=AXIS2_PLACEMENT_3D('',#548510,#428109,#428110); #365843=AXIS2_PLACEMENT_3D('',#548516,#428114,#428115); #365844=AXIS2_PLACEMENT_3D('',#548519,#428118,#428119); #365845=AXIS2_PLACEMENT_3D('',#548520,#428120,#428121); #365846=AXIS2_PLACEMENT_3D('',#548521,#428122,#428123); #365847=AXIS2_PLACEMENT_3D('',#548523,#428124,#428125); #365848=AXIS2_PLACEMENT_3D('',#548526,#428127,#428128); #365849=AXIS2_PLACEMENT_3D('',#548527,#428129,#428130); #365850=AXIS2_PLACEMENT_3D('',#548536,#428135,#428136); #365851=AXIS2_PLACEMENT_3D('',#548542,#428140,#428141); #365852=AXIS2_PLACEMENT_3D('',#548548,#428145,#428146); #365853=AXIS2_PLACEMENT_3D('',#548554,#428150,#428151); #365854=AXIS2_PLACEMENT_3D('',#548556,#428152,#428153); #365855=AXIS2_PLACEMENT_3D('',#548558,#428154,#428155); #365856=AXIS2_PLACEMENT_3D('',#548560,#428157,#428158); #365857=AXIS2_PLACEMENT_3D('',#548566,#428162,#428163); #365858=AXIS2_PLACEMENT_3D('',#548568,#428164,#428165); #365859=AXIS2_PLACEMENT_3D('',#548570,#428166,#428167); #365860=AXIS2_PLACEMENT_3D('',#548572,#428169,#428170); #365861=AXIS2_PLACEMENT_3D('',#548578,#428174,#428175); #365862=AXIS2_PLACEMENT_3D('',#548580,#428176,#428177); #365863=AXIS2_PLACEMENT_3D('',#548582,#428178,#428179); #365864=AXIS2_PLACEMENT_3D('',#548584,#428181,#428182); #365865=AXIS2_PLACEMENT_3D('',#548586,#428183,#428184); #365866=AXIS2_PLACEMENT_3D('',#548588,#428185,#428186); #365867=AXIS2_PLACEMENT_3D('',#548590,#428188,#428189); #365868=AXIS2_PLACEMENT_3D('',#548596,#428193,#428194); #365869=AXIS2_PLACEMENT_3D('',#548602,#428198,#428199); #365870=AXIS2_PLACEMENT_3D('',#548608,#428203,#428204); #365871=AXIS2_PLACEMENT_3D('',#548614,#428208,#428209); #365872=AXIS2_PLACEMENT_3D('',#548617,#428212,#428213); #365873=AXIS2_PLACEMENT_3D('',#548618,#428214,#428215); #365874=AXIS2_PLACEMENT_3D('',#548619,#428216,#428217); #365875=AXIS2_PLACEMENT_3D('',#548628,#428222,#428223); #365876=AXIS2_PLACEMENT_3D('',#548634,#428227,#428228); #365877=AXIS2_PLACEMENT_3D('',#548640,#428232,#428233); #365878=AXIS2_PLACEMENT_3D('',#548646,#428237,#428238); #365879=AXIS2_PLACEMENT_3D('',#548652,#428242,#428243); #365880=AXIS2_PLACEMENT_3D('',#548654,#428244,#428245); #365881=AXIS2_PLACEMENT_3D('',#548656,#428246,#428247); #365882=AXIS2_PLACEMENT_3D('',#548658,#428249,#428250); #365883=AXIS2_PLACEMENT_3D('',#548664,#428254,#428255); #365884=AXIS2_PLACEMENT_3D('',#548670,#428259,#428260); #365885=AXIS2_PLACEMENT_3D('',#548676,#428264,#428265); #365886=AXIS2_PLACEMENT_3D('',#548682,#428269,#428270); #365887=AXIS2_PLACEMENT_3D('',#548688,#428274,#428275); #365888=AXIS2_PLACEMENT_3D('',#548694,#428279,#428280); #365889=AXIS2_PLACEMENT_3D('',#548700,#428284,#428285); #365890=AXIS2_PLACEMENT_3D('',#548703,#428288,#428289); #365891=AXIS2_PLACEMENT_3D('',#548704,#428290,#428291); #365892=AXIS2_PLACEMENT_3D('',#548705,#428292,#428293); #365893=AXIS2_PLACEMENT_3D('',#548714,#428298,#428299); #365894=AXIS2_PLACEMENT_3D('',#548720,#428303,#428304); #365895=AXIS2_PLACEMENT_3D('',#548726,#428308,#428309); #365896=AXIS2_PLACEMENT_3D('',#548732,#428313,#428314); #365897=AXIS2_PLACEMENT_3D('',#548738,#428318,#428319); #365898=AXIS2_PLACEMENT_3D('',#548744,#428323,#428324); #365899=AXIS2_PLACEMENT_3D('',#548750,#428328,#428329); #365900=AXIS2_PLACEMENT_3D('',#548756,#428333,#428334); #365901=AXIS2_PLACEMENT_3D('',#548762,#428338,#428339); #365902=AXIS2_PLACEMENT_3D('',#548768,#428343,#428344); #365903=AXIS2_PLACEMENT_3D('',#548774,#428348,#428349); #365904=AXIS2_PLACEMENT_3D('',#548776,#428350,#428351); #365905=AXIS2_PLACEMENT_3D('',#548778,#428352,#428353); #365906=AXIS2_PLACEMENT_3D('',#548780,#428355,#428356); #365907=AXIS2_PLACEMENT_3D('',#548786,#428360,#428361); #365908=AXIS2_PLACEMENT_3D('',#548789,#428364,#428365); #365909=AXIS2_PLACEMENT_3D('',#548790,#428366,#428367); #365910=AXIS2_PLACEMENT_3D('',#548791,#428368,#428369); #365911=AXIS2_PLACEMENT_3D('',#548793,#428370,#428371); #365912=AXIS2_PLACEMENT_3D('',#548796,#428373,#428374); #365913=AXIS2_PLACEMENT_3D('',#548797,#428375,#428376); #365914=AXIS2_PLACEMENT_3D('',#548806,#428381,#428382); #365915=AXIS2_PLACEMENT_3D('',#548812,#428386,#428387); #365916=AXIS2_PLACEMENT_3D('',#548814,#428388,#428389); #365917=AXIS2_PLACEMENT_3D('',#548816,#428390,#428391); #365918=AXIS2_PLACEMENT_3D('',#548818,#428393,#428394); #365919=AXIS2_PLACEMENT_3D('',#548824,#428398,#428399); #365920=AXIS2_PLACEMENT_3D('',#548826,#428400,#428401); #365921=AXIS2_PLACEMENT_3D('',#548828,#428402,#428403); #365922=AXIS2_PLACEMENT_3D('',#548830,#428405,#428406); #365923=AXIS2_PLACEMENT_3D('',#548836,#428410,#428411); #365924=AXIS2_PLACEMENT_3D('',#548842,#428415,#428416); #365925=AXIS2_PLACEMENT_3D('',#548844,#428417,#428418); #365926=AXIS2_PLACEMENT_3D('',#548846,#428419,#428420); #365927=AXIS2_PLACEMENT_3D('',#548848,#428422,#428423); #365928=AXIS2_PLACEMENT_3D('',#548854,#428427,#428428); #365929=AXIS2_PLACEMENT_3D('',#548860,#428432,#428433); #365930=AXIS2_PLACEMENT_3D('',#548866,#428437,#428438); #365931=AXIS2_PLACEMENT_3D('',#548872,#428442,#428443); #365932=AXIS2_PLACEMENT_3D('',#548878,#428447,#428448); #365933=AXIS2_PLACEMENT_3D('',#548884,#428452,#428453); #365934=AXIS2_PLACEMENT_3D('',#548890,#428457,#428458); #365935=AXIS2_PLACEMENT_3D('',#548896,#428462,#428463); #365936=AXIS2_PLACEMENT_3D('',#548898,#428464,#428465); #365937=AXIS2_PLACEMENT_3D('',#548900,#428466,#428467); #365938=AXIS2_PLACEMENT_3D('',#548902,#428469,#428470); #365939=AXIS2_PLACEMENT_3D('',#548908,#428474,#428475); #365940=AXIS2_PLACEMENT_3D('',#548914,#428479,#428480); #365941=AXIS2_PLACEMENT_3D('',#548920,#428484,#428485); #365942=AXIS2_PLACEMENT_3D('',#548926,#428489,#428490); #365943=AXIS2_PLACEMENT_3D('',#548928,#428491,#428492); #365944=AXIS2_PLACEMENT_3D('',#548930,#428493,#428494); #365945=AXIS2_PLACEMENT_3D('',#548932,#428496,#428497); #365946=AXIS2_PLACEMENT_3D('',#548938,#428501,#428502); #365947=AXIS2_PLACEMENT_3D('',#548944,#428506,#428507); #365948=AXIS2_PLACEMENT_3D('',#548950,#428511,#428512); #365949=AXIS2_PLACEMENT_3D('',#548956,#428516,#428517); #365950=AXIS2_PLACEMENT_3D('',#548962,#428521,#428522); #365951=AXIS2_PLACEMENT_3D('',#548968,#428526,#428527); #365952=AXIS2_PLACEMENT_3D('',#548974,#428531,#428532); #365953=AXIS2_PLACEMENT_3D('',#548980,#428536,#428537); #365954=AXIS2_PLACEMENT_3D('',#548986,#428541,#428542); #365955=AXIS2_PLACEMENT_3D('',#548992,#428546,#428547); #365956=AXIS2_PLACEMENT_3D('',#548998,#428551,#428552); #365957=AXIS2_PLACEMENT_3D('',#549004,#428556,#428557); #365958=AXIS2_PLACEMENT_3D('',#549010,#428561,#428562); #365959=AXIS2_PLACEMENT_3D('',#549016,#428566,#428567); #365960=AXIS2_PLACEMENT_3D('',#549022,#428571,#428572); #365961=AXIS2_PLACEMENT_3D('',#549028,#428576,#428577); #365962=AXIS2_PLACEMENT_3D('',#549029,#428578,#428579); #365963=AXIS2_PLACEMENT_3D('',#549030,#428580,#428581); #365964=AXIS2_PLACEMENT_3D('',#549031,#428582,#428583); #365965=AXIS2_PLACEMENT_3D('',#549032,#428584,#428585); #365966=AXIS2_PLACEMENT_3D('',#549033,#428586,#428587); #365967=AXIS2_PLACEMENT_3D('',#549035,#428588,#428589); #365968=AXIS2_PLACEMENT_3D('',#549038,#428591,#428592); #365969=AXIS2_PLACEMENT_3D('',#549039,#428593,#428594); #365970=AXIS2_PLACEMENT_3D('',#549041,#428595,#428596); #365971=AXIS2_PLACEMENT_3D('',#549044,#428598,#428599); #365972=AXIS2_PLACEMENT_3D('',#549045,#428600,#428601); #365973=AXIS2_PLACEMENT_3D('',#549054,#428606,#428607); #365974=AXIS2_PLACEMENT_3D('',#549056,#428608,#428609); #365975=AXIS2_PLACEMENT_3D('',#549058,#428610,#428611); #365976=AXIS2_PLACEMENT_3D('',#549060,#428613,#428614); #365977=AXIS2_PLACEMENT_3D('',#549066,#428618,#428619); #365978=AXIS2_PLACEMENT_3D('',#549068,#428620,#428621); #365979=AXIS2_PLACEMENT_3D('',#549070,#428622,#428623); #365980=AXIS2_PLACEMENT_3D('',#549072,#428625,#428626); #365981=AXIS2_PLACEMENT_3D('',#549074,#428627,#428628); #365982=AXIS2_PLACEMENT_3D('',#549076,#428629,#428630); #365983=AXIS2_PLACEMENT_3D('',#549078,#428632,#428633); #365984=AXIS2_PLACEMENT_3D('',#549084,#428637,#428638); #365985=AXIS2_PLACEMENT_3D('',#549085,#428639,#428640); #365986=AXIS2_PLACEMENT_3D('',#549086,#428641,#428642); #365987=AXIS2_PLACEMENT_3D('',#549087,#428643,#428644); #365988=AXIS2_PLACEMENT_3D('',#549088,#428645,#428646); #365989=AXIS2_PLACEMENT_3D('',#549089,#428647,#428648); #365990=AXIS2_PLACEMENT_3D('',#549091,#428649,#428650); #365991=AXIS2_PLACEMENT_3D('',#549094,#428652,#428653); #365992=AXIS2_PLACEMENT_3D('',#549095,#428654,#428655); #365993=AXIS2_PLACEMENT_3D('',#549104,#428660,#428661); #365994=AXIS2_PLACEMENT_3D('',#549110,#428665,#428666); #365995=AXIS2_PLACEMENT_3D('',#549116,#428670,#428671); #365996=AXIS2_PLACEMENT_3D('',#549119,#428674,#428675); #365997=AXIS2_PLACEMENT_3D('',#549120,#428676,#428677); #365998=AXIS2_PLACEMENT_3D('',#549121,#428678,#428679); #365999=AXIS2_PLACEMENT_3D('',#549123,#428680,#428681); #366000=AXIS2_PLACEMENT_3D('',#549126,#428683,#428684); #366001=AXIS2_PLACEMENT_3D('',#549127,#428685,#428686); #366002=AXIS2_PLACEMENT_3D('',#549129,#428687,#428688); #366003=AXIS2_PLACEMENT_3D('',#549132,#428690,#428691); #366004=AXIS2_PLACEMENT_3D('',#549133,#428692,#428693); #366005=AXIS2_PLACEMENT_3D('',#549142,#428698,#428699); #366006=AXIS2_PLACEMENT_3D('',#549144,#428700,#428701); #366007=AXIS2_PLACEMENT_3D('',#549146,#428702,#428703); #366008=AXIS2_PLACEMENT_3D('',#549148,#428705,#428706); #366009=AXIS2_PLACEMENT_3D('',#549154,#428710,#428711); #366010=AXIS2_PLACEMENT_3D('',#549156,#428712,#428713); #366011=AXIS2_PLACEMENT_3D('',#549158,#428714,#428715); #366012=AXIS2_PLACEMENT_3D('',#549160,#428717,#428718); #366013=AXIS2_PLACEMENT_3D('',#549166,#428722,#428723); #366014=AXIS2_PLACEMENT_3D('',#549168,#428724,#428725); #366015=AXIS2_PLACEMENT_3D('',#549170,#428726,#428727); #366016=AXIS2_PLACEMENT_3D('',#549172,#428729,#428730); #366017=AXIS2_PLACEMENT_3D('',#549178,#428734,#428735); #366018=AXIS2_PLACEMENT_3D('',#549180,#428736,#428737); #366019=AXIS2_PLACEMENT_3D('',#549182,#428738,#428739); #366020=AXIS2_PLACEMENT_3D('',#549184,#428741,#428742); #366021=AXIS2_PLACEMENT_3D('',#549190,#428746,#428747); #366022=AXIS2_PLACEMENT_3D('',#549192,#428748,#428749); #366023=AXIS2_PLACEMENT_3D('',#549194,#428750,#428751); #366024=AXIS2_PLACEMENT_3D('',#549196,#428753,#428754); #366025=AXIS2_PLACEMENT_3D('',#549202,#428758,#428759); #366026=AXIS2_PLACEMENT_3D('',#549204,#428760,#428761); #366027=AXIS2_PLACEMENT_3D('',#549206,#428762,#428763); #366028=AXIS2_PLACEMENT_3D('',#549208,#428765,#428766); #366029=AXIS2_PLACEMENT_3D('',#549214,#428770,#428771); #366030=AXIS2_PLACEMENT_3D('',#549216,#428772,#428773); #366031=AXIS2_PLACEMENT_3D('',#549218,#428774,#428775); #366032=AXIS2_PLACEMENT_3D('',#549220,#428777,#428778); #366033=AXIS2_PLACEMENT_3D('',#549222,#428779,#428780); #366034=AXIS2_PLACEMENT_3D('',#549224,#428781,#428782); #366035=AXIS2_PLACEMENT_3D('',#549226,#428784,#428785); #366036=AXIS2_PLACEMENT_3D('',#549232,#428789,#428790); #366037=AXIS2_PLACEMENT_3D('',#549238,#428794,#428795); #366038=AXIS2_PLACEMENT_3D('',#549244,#428799,#428800); #366039=AXIS2_PLACEMENT_3D('',#549250,#428804,#428805); #366040=AXIS2_PLACEMENT_3D('',#549256,#428809,#428810); #366041=AXIS2_PLACEMENT_3D('',#549262,#428814,#428815); #366042=AXIS2_PLACEMENT_3D('',#549264,#428816,#428817); #366043=AXIS2_PLACEMENT_3D('',#549266,#428818,#428819); #366044=AXIS2_PLACEMENT_3D('',#549268,#428821,#428822); #366045=AXIS2_PLACEMENT_3D('',#549269,#428823,#428824); #366046=AXIS2_PLACEMENT_3D('',#549270,#428825,#428826); #366047=AXIS2_PLACEMENT_3D('',#549271,#428827,#428828); #366048=AXIS2_PLACEMENT_3D('',#549272,#428829,#428830); #366049=AXIS2_PLACEMENT_3D('',#549273,#428831,#428832); #366050=AXIS2_PLACEMENT_3D('',#549275,#428833,#428834); #366051=AXIS2_PLACEMENT_3D('',#549278,#428836,#428837); #366052=AXIS2_PLACEMENT_3D('',#549279,#428838,#428839); #366053=AXIS2_PLACEMENT_3D('',#549281,#428840,#428841); #366054=AXIS2_PLACEMENT_3D('',#549284,#428843,#428844); #366055=AXIS2_PLACEMENT_3D('',#549285,#428845,#428846); #366056=AXIS2_PLACEMENT_3D('',#549294,#428851,#428852); #366057=AXIS2_PLACEMENT_3D('',#549296,#428853,#428854); #366058=AXIS2_PLACEMENT_3D('',#549298,#428855,#428856); #366059=AXIS2_PLACEMENT_3D('',#549300,#428858,#428859); #366060=AXIS2_PLACEMENT_3D('',#549306,#428863,#428864); #366061=AXIS2_PLACEMENT_3D('',#549308,#428865,#428866); #366062=AXIS2_PLACEMENT_3D('',#549310,#428867,#428868); #366063=AXIS2_PLACEMENT_3D('',#549312,#428870,#428871); #366064=AXIS2_PLACEMENT_3D('',#549314,#428872,#428873); #366065=AXIS2_PLACEMENT_3D('',#549316,#428874,#428875); #366066=AXIS2_PLACEMENT_3D('',#549318,#428877,#428878); #366067=AXIS2_PLACEMENT_3D('',#549324,#428882,#428883); #366068=AXIS2_PLACEMENT_3D('',#549330,#428887,#428888); #366069=AXIS2_PLACEMENT_3D('',#549331,#428889,#428890); #366070=AXIS2_PLACEMENT_3D('',#549332,#428891,#428892); #366071=AXIS2_PLACEMENT_3D('',#549333,#428893,#428894); #366072=AXIS2_PLACEMENT_3D('',#549334,#428895,#428896); #366073=AXIS2_PLACEMENT_3D('',#549335,#428897,#428898); #366074=AXIS2_PLACEMENT_3D('',#549337,#428899,#428900); #366075=AXIS2_PLACEMENT_3D('',#549340,#428902,#428903); #366076=AXIS2_PLACEMENT_3D('',#549341,#428904,#428905); #366077=AXIS2_PLACEMENT_3D('',#549350,#428910,#428911); #366078=AXIS2_PLACEMENT_3D('',#549356,#428915,#428916); #366079=AXIS2_PLACEMENT_3D('',#549362,#428920,#428921); #366080=AXIS2_PLACEMENT_3D('',#549365,#428924,#428925); #366081=AXIS2_PLACEMENT_3D('',#549366,#428926,#428927); #366082=AXIS2_PLACEMENT_3D('',#549367,#428928,#428929); #366083=AXIS2_PLACEMENT_3D('',#549369,#428930,#428931); #366084=AXIS2_PLACEMENT_3D('',#549372,#428933,#428934); #366085=AXIS2_PLACEMENT_3D('',#549373,#428935,#428936); #366086=AXIS2_PLACEMENT_3D('',#549375,#428937,#428938); #366087=AXIS2_PLACEMENT_3D('',#549378,#428940,#428941); #366088=AXIS2_PLACEMENT_3D('',#549379,#428942,#428943); #366089=AXIS2_PLACEMENT_3D('',#549381,#428944,#428945); #366090=AXIS2_PLACEMENT_3D('',#549384,#428947,#428948); #366091=AXIS2_PLACEMENT_3D('',#549385,#428949,#428950); #366092=AXIS2_PLACEMENT_3D('',#549387,#428951,#428952); #366093=AXIS2_PLACEMENT_3D('',#549390,#428954,#428955); #366094=AXIS2_PLACEMENT_3D('',#549391,#428956,#428957); #366095=AXIS2_PLACEMENT_3D('',#549400,#428962,#428963); #366096=AXIS2_PLACEMENT_3D('',#549406,#428967,#428968); #366097=AXIS2_PLACEMENT_3D('',#549412,#428972,#428973); #366098=AXIS2_PLACEMENT_3D('',#549418,#428977,#428978); #366099=AXIS2_PLACEMENT_3D('',#549424,#428982,#428983); #366100=AXIS2_PLACEMENT_3D('',#549430,#428987,#428988); #366101=AXIS2_PLACEMENT_3D('',#549436,#428992,#428993); #366102=AXIS2_PLACEMENT_3D('',#549442,#428997,#428998); #366103=AXIS2_PLACEMENT_3D('',#549448,#429002,#429003); #366104=AXIS2_PLACEMENT_3D('',#549454,#429007,#429008); #366105=AXIS2_PLACEMENT_3D('',#549460,#429012,#429013); #366106=AXIS2_PLACEMENT_3D('',#549466,#429017,#429018); #366107=AXIS2_PLACEMENT_3D('',#549472,#429022,#429023); #366108=AXIS2_PLACEMENT_3D('',#549478,#429027,#429028); #366109=AXIS2_PLACEMENT_3D('',#549484,#429032,#429033); #366110=AXIS2_PLACEMENT_3D('',#549487,#429036,#429037); #366111=AXIS2_PLACEMENT_3D('',#549496,#429042,#429043); #366112=AXIS2_PLACEMENT_3D('',#549502,#429047,#429048); #366113=AXIS2_PLACEMENT_3D('',#549508,#429052,#429053); #366114=AXIS2_PLACEMENT_3D('',#549514,#429057,#429058); #366115=AXIS2_PLACEMENT_3D('',#549520,#429062,#429063); #366116=AXIS2_PLACEMENT_3D('',#549526,#429067,#429068); #366117=AXIS2_PLACEMENT_3D('',#549532,#429072,#429073); #366118=AXIS2_PLACEMENT_3D('',#549538,#429077,#429078); #366119=AXIS2_PLACEMENT_3D('',#549544,#429082,#429083); #366120=AXIS2_PLACEMENT_3D('',#549550,#429087,#429088); #366121=AXIS2_PLACEMENT_3D('',#549556,#429092,#429093); #366122=AXIS2_PLACEMENT_3D('',#549562,#429097,#429098); #366123=AXIS2_PLACEMENT_3D('',#549568,#429102,#429103); #366124=AXIS2_PLACEMENT_3D('',#549574,#429107,#429108); #366125=AXIS2_PLACEMENT_3D('',#549580,#429112,#429113); #366126=AXIS2_PLACEMENT_3D('',#549586,#429117,#429118); #366127=AXIS2_PLACEMENT_3D('',#549592,#429122,#429123); #366128=AXIS2_PLACEMENT_3D('',#549598,#429127,#429128); #366129=AXIS2_PLACEMENT_3D('',#549604,#429132,#429133); #366130=AXIS2_PLACEMENT_3D('',#549610,#429137,#429138); #366131=AXIS2_PLACEMENT_3D('',#549616,#429142,#429143); #366132=AXIS2_PLACEMENT_3D('',#549622,#429147,#429148); #366133=AXIS2_PLACEMENT_3D('',#549628,#429152,#429153); #366134=AXIS2_PLACEMENT_3D('',#549634,#429157,#429158); #366135=AXIS2_PLACEMENT_3D('',#549640,#429162,#429163); #366136=AXIS2_PLACEMENT_3D('',#549646,#429167,#429168); #366137=AXIS2_PLACEMENT_3D('',#549652,#429172,#429173); #366138=AXIS2_PLACEMENT_3D('',#549658,#429177,#429178); #366139=AXIS2_PLACEMENT_3D('',#549664,#429182,#429183); #366140=AXIS2_PLACEMENT_3D('',#549670,#429187,#429188); #366141=AXIS2_PLACEMENT_3D('',#549676,#429192,#429193); #366142=AXIS2_PLACEMENT_3D('',#549682,#429197,#429198); #366143=AXIS2_PLACEMENT_3D('',#549688,#429202,#429203); #366144=AXIS2_PLACEMENT_3D('',#549694,#429207,#429208); #366145=AXIS2_PLACEMENT_3D('',#549700,#429212,#429213); #366146=AXIS2_PLACEMENT_3D('',#549706,#429217,#429218); #366147=AXIS2_PLACEMENT_3D('',#549712,#429222,#429223); #366148=AXIS2_PLACEMENT_3D('',#549718,#429227,#429228); #366149=AXIS2_PLACEMENT_3D('',#549724,#429232,#429233); #366150=AXIS2_PLACEMENT_3D('',#549730,#429237,#429238); #366151=AXIS2_PLACEMENT_3D('',#549736,#429242,#429243); #366152=AXIS2_PLACEMENT_3D('',#549742,#429247,#429248); #366153=AXIS2_PLACEMENT_3D('',#549748,#429252,#429253); #366154=AXIS2_PLACEMENT_3D('',#549754,#429257,#429258); #366155=AXIS2_PLACEMENT_3D('',#549760,#429262,#429263); #366156=AXIS2_PLACEMENT_3D('',#549766,#429267,#429268); #366157=AXIS2_PLACEMENT_3D('',#549772,#429272,#429273); #366158=AXIS2_PLACEMENT_3D('',#549778,#429277,#429278); #366159=AXIS2_PLACEMENT_3D('',#549784,#429282,#429283); #366160=AXIS2_PLACEMENT_3D('',#549790,#429287,#429288); #366161=AXIS2_PLACEMENT_3D('',#549796,#429292,#429293); #366162=AXIS2_PLACEMENT_3D('',#549802,#429297,#429298); #366163=AXIS2_PLACEMENT_3D('',#549808,#429302,#429303); #366164=AXIS2_PLACEMENT_3D('',#549814,#429307,#429308); #366165=AXIS2_PLACEMENT_3D('',#549816,#429309,#429310); #366166=AXIS2_PLACEMENT_3D('',#549818,#429311,#429312); #366167=AXIS2_PLACEMENT_3D('',#549820,#429314,#429315); #366168=AXIS2_PLACEMENT_3D('',#549826,#429319,#429320); #366169=AXIS2_PLACEMENT_3D('',#549832,#429324,#429325); #366170=AXIS2_PLACEMENT_3D('',#549838,#429329,#429330); #366171=AXIS2_PLACEMENT_3D('',#549840,#429331,#429332); #366172=AXIS2_PLACEMENT_3D('',#549842,#429333,#429334); #366173=AXIS2_PLACEMENT_3D('',#549844,#429336,#429337); #366174=AXIS2_PLACEMENT_3D('',#549846,#429338,#429339); #366175=AXIS2_PLACEMENT_3D('',#549848,#429340,#429341); #366176=AXIS2_PLACEMENT_3D('',#549850,#429343,#429344); #366177=AXIS2_PLACEMENT_3D('',#549856,#429348,#429349); #366178=AXIS2_PLACEMENT_3D('',#549858,#429350,#429351); #366179=AXIS2_PLACEMENT_3D('',#549860,#429352,#429353); #366180=AXIS2_PLACEMENT_3D('',#549862,#429355,#429356); #366181=AXIS2_PLACEMENT_3D('',#549868,#429360,#429361); #366182=AXIS2_PLACEMENT_3D('',#549870,#429362,#429363); #366183=AXIS2_PLACEMENT_3D('',#549872,#429364,#429365); #366184=AXIS2_PLACEMENT_3D('',#549874,#429367,#429368); #366185=AXIS2_PLACEMENT_3D('',#549880,#429372,#429373); #366186=AXIS2_PLACEMENT_3D('',#549882,#429374,#429375); #366187=AXIS2_PLACEMENT_3D('',#549884,#429376,#429377); #366188=AXIS2_PLACEMENT_3D('',#549886,#429379,#429380); #366189=AXIS2_PLACEMENT_3D('',#549892,#429384,#429385); #366190=AXIS2_PLACEMENT_3D('',#549898,#429389,#429390); #366191=AXIS2_PLACEMENT_3D('',#549904,#429394,#429395); #366192=AXIS2_PLACEMENT_3D('',#549910,#429399,#429400); #366193=AXIS2_PLACEMENT_3D('',#549916,#429404,#429405); #366194=AXIS2_PLACEMENT_3D('',#549922,#429409,#429410); #366195=AXIS2_PLACEMENT_3D('',#549928,#429414,#429415); #366196=AXIS2_PLACEMENT_3D('',#549931,#429418,#429419); #366197=AXIS2_PLACEMENT_3D('',#549932,#429420,#429421); #366198=AXIS2_PLACEMENT_3D('',#549933,#429422,#429423); #366199=AXIS2_PLACEMENT_3D('',#549935,#429424,#429425); #366200=AXIS2_PLACEMENT_3D('',#549938,#429427,#429428); #366201=AXIS2_PLACEMENT_3D('',#549939,#429429,#429430); #366202=AXIS2_PLACEMENT_3D('',#549948,#429435,#429436); #366203=AXIS2_PLACEMENT_3D('',#549954,#429440,#429441); #366204=AXIS2_PLACEMENT_3D('',#549956,#429442,#429443); #366205=AXIS2_PLACEMENT_3D('',#549958,#429444,#429445); #366206=AXIS2_PLACEMENT_3D('',#549960,#429447,#429448); #366207=AXIS2_PLACEMENT_3D('',#549966,#429452,#429453); #366208=AXIS2_PLACEMENT_3D('',#549972,#429457,#429458); #366209=AXIS2_PLACEMENT_3D('',#549978,#429462,#429463); #366210=AXIS2_PLACEMENT_3D('',#549984,#429467,#429468); #366211=AXIS2_PLACEMENT_3D('',#549990,#429472,#429473); #366212=AXIS2_PLACEMENT_3D('',#549996,#429477,#429478); #366213=AXIS2_PLACEMENT_3D('',#550002,#429482,#429483); #366214=AXIS2_PLACEMENT_3D('',#550008,#429487,#429488); #366215=AXIS2_PLACEMENT_3D('',#550014,#429492,#429493); #366216=AXIS2_PLACEMENT_3D('',#550020,#429497,#429498); #366217=AXIS2_PLACEMENT_3D('',#550026,#429502,#429503); #366218=AXIS2_PLACEMENT_3D('',#550032,#429507,#429508); #366219=AXIS2_PLACEMENT_3D('',#550038,#429512,#429513); #366220=AXIS2_PLACEMENT_3D('',#550044,#429517,#429518); #366221=AXIS2_PLACEMENT_3D('',#550050,#429522,#429523); #366222=AXIS2_PLACEMENT_3D('',#550056,#429527,#429528); #366223=AXIS2_PLACEMENT_3D('',#550062,#429532,#429533); #366224=AXIS2_PLACEMENT_3D('',#550068,#429537,#429538); #366225=AXIS2_PLACEMENT_3D('',#550074,#429542,#429543); #366226=AXIS2_PLACEMENT_3D('',#550080,#429547,#429548); #366227=AXIS2_PLACEMENT_3D('',#550082,#429549,#429550); #366228=AXIS2_PLACEMENT_3D('',#550084,#429551,#429552); #366229=AXIS2_PLACEMENT_3D('',#550086,#429554,#429555); #366230=AXIS2_PLACEMENT_3D('',#550092,#429559,#429560); #366231=AXIS2_PLACEMENT_3D('',#550098,#429564,#429565); #366232=AXIS2_PLACEMENT_3D('',#550104,#429569,#429570); #366233=AXIS2_PLACEMENT_3D('',#550106,#429571,#429572); #366234=AXIS2_PLACEMENT_3D('',#550108,#429573,#429574); #366235=AXIS2_PLACEMENT_3D('',#550110,#429576,#429577); #366236=AXIS2_PLACEMENT_3D('',#550116,#429581,#429582); #366237=AXIS2_PLACEMENT_3D('',#550122,#429586,#429587); #366238=AXIS2_PLACEMENT_3D('',#550124,#429588,#429589); #366239=AXIS2_PLACEMENT_3D('',#550126,#429590,#429591); #366240=AXIS2_PLACEMENT_3D('',#550128,#429593,#429594); #366241=AXIS2_PLACEMENT_3D('',#550134,#429598,#429599); #366242=AXIS2_PLACEMENT_3D('',#550136,#429600,#429601); #366243=AXIS2_PLACEMENT_3D('',#550138,#429602,#429603); #366244=AXIS2_PLACEMENT_3D('',#550140,#429605,#429606); #366245=AXIS2_PLACEMENT_3D('',#550142,#429607,#429608); #366246=AXIS2_PLACEMENT_3D('',#550144,#429609,#429610); #366247=AXIS2_PLACEMENT_3D('',#550146,#429612,#429613); #366248=AXIS2_PLACEMENT_3D('',#550152,#429617,#429618); #366249=AXIS2_PLACEMENT_3D('',#550158,#429622,#429623); #366250=AXIS2_PLACEMENT_3D('',#550160,#429624,#429625); #366251=AXIS2_PLACEMENT_3D('',#550162,#429626,#429627); #366252=AXIS2_PLACEMENT_3D('',#550164,#429629,#429630); #366253=AXIS2_PLACEMENT_3D('',#550170,#429634,#429635); #366254=AXIS2_PLACEMENT_3D('',#550176,#429639,#429640); #366255=AXIS2_PLACEMENT_3D('',#550178,#429641,#429642); #366256=AXIS2_PLACEMENT_3D('',#550180,#429643,#429644); #366257=AXIS2_PLACEMENT_3D('',#550182,#429646,#429647); #366258=AXIS2_PLACEMENT_3D('',#550188,#429651,#429652); #366259=AXIS2_PLACEMENT_3D('',#550190,#429653,#429654); #366260=AXIS2_PLACEMENT_3D('',#550192,#429655,#429656); #366261=AXIS2_PLACEMENT_3D('',#550194,#429658,#429659); #366262=AXIS2_PLACEMENT_3D('',#550200,#429663,#429664); #366263=AXIS2_PLACEMENT_3D('',#550206,#429668,#429669); #366264=AXIS2_PLACEMENT_3D('',#550212,#429673,#429674); #366265=AXIS2_PLACEMENT_3D('',#550218,#429678,#429679); #366266=AXIS2_PLACEMENT_3D('',#550224,#429683,#429684); #366267=AXIS2_PLACEMENT_3D('',#550225,#429685,#429686); #366268=AXIS2_PLACEMENT_3D('',#550226,#429687,#429688); #366269=AXIS2_PLACEMENT_3D('',#550227,#429689,#429690); #366270=AXIS2_PLACEMENT_3D('',#550228,#429691,#429692); #366271=AXIS2_PLACEMENT_3D('',#550229,#429693,#429694); #366272=AXIS2_PLACEMENT_3D('',#550231,#429695,#429696); #366273=AXIS2_PLACEMENT_3D('',#550234,#429698,#429699); #366274=AXIS2_PLACEMENT_3D('',#550235,#429700,#429701); #366275=AXIS2_PLACEMENT_3D('',#550237,#429702,#429703); #366276=AXIS2_PLACEMENT_3D('',#550240,#429705,#429706); #366277=AXIS2_PLACEMENT_3D('',#550241,#429707,#429708); #366278=AXIS2_PLACEMENT_3D('',#550243,#429709,#429710); #366279=AXIS2_PLACEMENT_3D('',#550246,#429712,#429713); #366280=AXIS2_PLACEMENT_3D('',#550247,#429714,#429715); #366281=AXIS2_PLACEMENT_3D('',#550249,#429716,#429717); #366282=AXIS2_PLACEMENT_3D('',#550252,#429719,#429720); #366283=AXIS2_PLACEMENT_3D('',#550253,#429721,#429722); #366284=AXIS2_PLACEMENT_3D('',#550255,#429723,#429724); #366285=AXIS2_PLACEMENT_3D('',#550258,#429726,#429727); #366286=AXIS2_PLACEMENT_3D('',#550259,#429728,#429729); #366287=AXIS2_PLACEMENT_3D('',#550261,#429730,#429731); #366288=AXIS2_PLACEMENT_3D('',#550264,#429733,#429734); #366289=AXIS2_PLACEMENT_3D('',#550265,#429735,#429736); #366290=AXIS2_PLACEMENT_3D('',#550267,#429737,#429738); #366291=AXIS2_PLACEMENT_3D('',#550270,#429740,#429741); #366292=AXIS2_PLACEMENT_3D('',#550271,#429742,#429743); #366293=AXIS2_PLACEMENT_3D('',#550273,#429744,#429745); #366294=AXIS2_PLACEMENT_3D('',#550276,#429747,#429748); #366295=AXIS2_PLACEMENT_3D('',#550277,#429749,#429750); #366296=AXIS2_PLACEMENT_3D('',#550279,#429751,#429752); #366297=AXIS2_PLACEMENT_3D('',#550282,#429754,#429755); #366298=AXIS2_PLACEMENT_3D('',#550283,#429756,#429757); #366299=AXIS2_PLACEMENT_3D('',#550285,#429758,#429759); #366300=AXIS2_PLACEMENT_3D('',#550288,#429761,#429762); #366301=AXIS2_PLACEMENT_3D('',#550289,#429763,#429764); #366302=AXIS2_PLACEMENT_3D('',#550291,#429765,#429766); #366303=AXIS2_PLACEMENT_3D('',#550294,#429768,#429769); #366304=AXIS2_PLACEMENT_3D('',#550295,#429770,#429771); #366305=AXIS2_PLACEMENT_3D('',#550297,#429772,#429773); #366306=AXIS2_PLACEMENT_3D('',#550300,#429775,#429776); #366307=AXIS2_PLACEMENT_3D('',#550301,#429777,#429778); #366308=AXIS2_PLACEMENT_3D('',#550310,#429783,#429784); #366309=AXIS2_PLACEMENT_3D('',#550316,#429788,#429789); #366310=AXIS2_PLACEMENT_3D('',#550322,#429793,#429794); #366311=AXIS2_PLACEMENT_3D('',#550328,#429798,#429799); #366312=AXIS2_PLACEMENT_3D('',#550334,#429803,#429804); #366313=AXIS2_PLACEMENT_3D('',#550340,#429808,#429809); #366314=AXIS2_PLACEMENT_3D('',#550346,#429813,#429814); #366315=AXIS2_PLACEMENT_3D('',#550352,#429818,#429819); #366316=AXIS2_PLACEMENT_3D('',#550358,#429823,#429824); #366317=AXIS2_PLACEMENT_3D('',#550364,#429828,#429829); #366318=AXIS2_PLACEMENT_3D('',#550370,#429833,#429834); #366319=AXIS2_PLACEMENT_3D('',#550376,#429838,#429839); #366320=AXIS2_PLACEMENT_3D('',#550382,#429843,#429844); #366321=AXIS2_PLACEMENT_3D('',#550388,#429848,#429849); #366322=AXIS2_PLACEMENT_3D('',#550394,#429853,#429854); #366323=AXIS2_PLACEMENT_3D('',#550400,#429858,#429859); #366324=AXIS2_PLACEMENT_3D('',#550406,#429863,#429864); #366325=AXIS2_PLACEMENT_3D('',#550412,#429868,#429869); #366326=AXIS2_PLACEMENT_3D('',#550418,#429873,#429874); #366327=AXIS2_PLACEMENT_3D('',#550424,#429878,#429879); #366328=AXIS2_PLACEMENT_3D('',#550430,#429883,#429884); #366329=AXIS2_PLACEMENT_3D('',#550436,#429888,#429889); #366330=AXIS2_PLACEMENT_3D('',#550442,#429893,#429894); #366331=AXIS2_PLACEMENT_3D('',#550448,#429898,#429899); #366332=AXIS2_PLACEMENT_3D('',#550454,#429903,#429904); #366333=AXIS2_PLACEMENT_3D('',#550460,#429908,#429909); #366334=AXIS2_PLACEMENT_3D('',#550466,#429913,#429914); #366335=AXIS2_PLACEMENT_3D('',#550472,#429918,#429919); #366336=AXIS2_PLACEMENT_3D('',#550478,#429923,#429924); #366337=AXIS2_PLACEMENT_3D('',#550484,#429928,#429929); #366338=AXIS2_PLACEMENT_3D('',#550490,#429933,#429934); #366339=AXIS2_PLACEMENT_3D('',#550496,#429938,#429939); #366340=AXIS2_PLACEMENT_3D('',#550502,#429943,#429944); #366341=AXIS2_PLACEMENT_3D('',#550508,#429948,#429949); #366342=AXIS2_PLACEMENT_3D('',#550514,#429953,#429954); #366343=AXIS2_PLACEMENT_3D('',#550520,#429958,#429959); #366344=AXIS2_PLACEMENT_3D('',#550526,#429963,#429964); #366345=AXIS2_PLACEMENT_3D('',#550532,#429968,#429969); #366346=AXIS2_PLACEMENT_3D('',#550538,#429973,#429974); #366347=AXIS2_PLACEMENT_3D('',#550544,#429978,#429979); #366348=AXIS2_PLACEMENT_3D('',#550550,#429983,#429984); #366349=AXIS2_PLACEMENT_3D('',#550556,#429988,#429989); #366350=AXIS2_PLACEMENT_3D('',#550562,#429993,#429994); #366351=AXIS2_PLACEMENT_3D('',#550568,#429998,#429999); #366352=AXIS2_PLACEMENT_3D('',#550574,#430003,#430004); #366353=AXIS2_PLACEMENT_3D('',#550580,#430008,#430009); #366354=AXIS2_PLACEMENT_3D('',#550586,#430013,#430014); #366355=AXIS2_PLACEMENT_3D('',#550592,#430018,#430019); #366356=AXIS2_PLACEMENT_3D('',#550598,#430023,#430024); #366357=AXIS2_PLACEMENT_3D('',#550604,#430028,#430029); #366358=AXIS2_PLACEMENT_3D('',#550610,#430033,#430034); #366359=AXIS2_PLACEMENT_3D('',#550616,#430038,#430039); #366360=AXIS2_PLACEMENT_3D('',#550622,#430043,#430044); #366361=AXIS2_PLACEMENT_3D('',#550628,#430048,#430049); #366362=AXIS2_PLACEMENT_3D('',#550634,#430053,#430054); #366363=AXIS2_PLACEMENT_3D('',#550640,#430058,#430059); #366364=AXIS2_PLACEMENT_3D('',#550646,#430063,#430064); #366365=AXIS2_PLACEMENT_3D('',#550652,#430068,#430069); #366366=AXIS2_PLACEMENT_3D('',#550658,#430073,#430074); #366367=AXIS2_PLACEMENT_3D('',#550664,#430078,#430079); #366368=AXIS2_PLACEMENT_3D('',#550670,#430083,#430084); #366369=AXIS2_PLACEMENT_3D('',#550676,#430088,#430089); #366370=AXIS2_PLACEMENT_3D('',#550682,#430093,#430094); #366371=AXIS2_PLACEMENT_3D('',#550688,#430098,#430099); #366372=AXIS2_PLACEMENT_3D('',#550694,#430103,#430104); #366373=AXIS2_PLACEMENT_3D('',#550700,#430108,#430109); #366374=AXIS2_PLACEMENT_3D('',#550706,#430113,#430114); #366375=AXIS2_PLACEMENT_3D('',#550712,#430118,#430119); #366376=AXIS2_PLACEMENT_3D('',#550718,#430123,#430124); #366377=AXIS2_PLACEMENT_3D('',#550724,#430128,#430129); #366378=AXIS2_PLACEMENT_3D('',#550730,#430133,#430134); #366379=AXIS2_PLACEMENT_3D('',#550736,#430138,#430139); #366380=AXIS2_PLACEMENT_3D('',#550742,#430143,#430144); #366381=AXIS2_PLACEMENT_3D('',#550748,#430148,#430149); #366382=AXIS2_PLACEMENT_3D('',#550754,#430153,#430154); #366383=AXIS2_PLACEMENT_3D('',#550760,#430158,#430159); #366384=AXIS2_PLACEMENT_3D('',#550766,#430163,#430164); #366385=AXIS2_PLACEMENT_3D('',#550772,#430168,#430169); #366386=AXIS2_PLACEMENT_3D('',#550778,#430173,#430174); #366387=AXIS2_PLACEMENT_3D('',#550784,#430178,#430179); #366388=AXIS2_PLACEMENT_3D('',#550790,#430183,#430184); #366389=AXIS2_PLACEMENT_3D('',#550796,#430188,#430189); #366390=AXIS2_PLACEMENT_3D('',#550802,#430193,#430194); #366391=AXIS2_PLACEMENT_3D('',#550808,#430198,#430199); #366392=AXIS2_PLACEMENT_3D('',#550814,#430203,#430204); #366393=AXIS2_PLACEMENT_3D('',#550820,#430208,#430209); #366394=AXIS2_PLACEMENT_3D('',#550826,#430213,#430214); #366395=AXIS2_PLACEMENT_3D('',#550832,#430218,#430219); #366396=AXIS2_PLACEMENT_3D('',#550838,#430223,#430224); #366397=AXIS2_PLACEMENT_3D('',#550844,#430228,#430229); #366398=AXIS2_PLACEMENT_3D('',#550850,#430233,#430234); #366399=AXIS2_PLACEMENT_3D('',#550856,#430238,#430239); #366400=AXIS2_PLACEMENT_3D('',#550862,#430243,#430244); #366401=AXIS2_PLACEMENT_3D('',#550868,#430248,#430249); #366402=AXIS2_PLACEMENT_3D('',#550874,#430253,#430254); #366403=AXIS2_PLACEMENT_3D('',#550880,#430258,#430259); #366404=AXIS2_PLACEMENT_3D('',#550883,#430262,#430263); #366405=AXIS2_PLACEMENT_3D('',#550884,#430264,#430265); #366406=AXIS2_PLACEMENT_3D('',#550885,#430266,#430267); #366407=AXIS2_PLACEMENT_3D('',#550894,#430272,#430273); #366408=AXIS2_PLACEMENT_3D('',#550900,#430277,#430278); #366409=AXIS2_PLACEMENT_3D('',#550906,#430282,#430283); #366410=AXIS2_PLACEMENT_3D('',#550912,#430287,#430288); #366411=AXIS2_PLACEMENT_3D('',#550918,#430292,#430293); #366412=AXIS2_PLACEMENT_3D('',#550924,#430297,#430298); #366413=AXIS2_PLACEMENT_3D('',#550930,#430302,#430303); #366414=AXIS2_PLACEMENT_3D('',#550936,#430307,#430308); #366415=AXIS2_PLACEMENT_3D('',#550942,#430312,#430313); #366416=AXIS2_PLACEMENT_3D('',#550948,#430317,#430318); #366417=AXIS2_PLACEMENT_3D('',#550954,#430322,#430323); #366418=AXIS2_PLACEMENT_3D('',#550960,#430327,#430328); #366419=AXIS2_PLACEMENT_3D('',#550966,#430332,#430333); #366420=AXIS2_PLACEMENT_3D('',#550972,#430337,#430338); #366421=AXIS2_PLACEMENT_3D('',#550978,#430342,#430343); #366422=AXIS2_PLACEMENT_3D('',#550984,#430347,#430348); #366423=AXIS2_PLACEMENT_3D('',#550990,#430352,#430353); #366424=AXIS2_PLACEMENT_3D('',#550996,#430357,#430358); #366425=AXIS2_PLACEMENT_3D('',#551002,#430362,#430363); #366426=AXIS2_PLACEMENT_3D('',#551008,#430367,#430368); #366427=AXIS2_PLACEMENT_3D('',#551011,#430371,#430372); #366428=AXIS2_PLACEMENT_3D('',#551012,#430373,#430374); #366429=AXIS2_PLACEMENT_3D('',#551013,#430375,#430376); #366430=AXIS2_PLACEMENT_3D('',#551022,#430381,#430382); #366431=AXIS2_PLACEMENT_3D('',#551028,#430386,#430387); #366432=AXIS2_PLACEMENT_3D('',#551034,#430391,#430392); #366433=AXIS2_PLACEMENT_3D('',#551040,#430396,#430397); #366434=AXIS2_PLACEMENT_3D('',#551046,#430401,#430402); #366435=AXIS2_PLACEMENT_3D('',#551052,#430406,#430407); #366436=AXIS2_PLACEMENT_3D('',#551058,#430411,#430412); #366437=AXIS2_PLACEMENT_3D('',#551064,#430416,#430417); #366438=AXIS2_PLACEMENT_3D('',#551070,#430421,#430422); #366439=AXIS2_PLACEMENT_3D('',#551076,#430426,#430427); #366440=AXIS2_PLACEMENT_3D('',#551082,#430431,#430432); #366441=AXIS2_PLACEMENT_3D('',#551088,#430436,#430437); #366442=AXIS2_PLACEMENT_3D('',#551094,#430441,#430442); #366443=AXIS2_PLACEMENT_3D('',#551100,#430446,#430447); #366444=AXIS2_PLACEMENT_3D('',#551106,#430451,#430452); #366445=AXIS2_PLACEMENT_3D('',#551112,#430456,#430457); #366446=AXIS2_PLACEMENT_3D('',#551118,#430461,#430462); #366447=AXIS2_PLACEMENT_3D('',#551124,#430466,#430467); #366448=AXIS2_PLACEMENT_3D('',#551130,#430471,#430472); #366449=AXIS2_PLACEMENT_3D('',#551133,#430475,#430476); #366450=AXIS2_PLACEMENT_3D('',#551134,#430477,#430478); #366451=AXIS2_PLACEMENT_3D('',#551135,#430479,#430480); #366452=AXIS2_PLACEMENT_3D('',#551144,#430485,#430486); #366453=AXIS2_PLACEMENT_3D('',#551150,#430490,#430491); #366454=AXIS2_PLACEMENT_3D('',#551156,#430495,#430496); #366455=AXIS2_PLACEMENT_3D('',#551162,#430500,#430501); #366456=AXIS2_PLACEMENT_3D('',#551168,#430505,#430506); #366457=AXIS2_PLACEMENT_3D('',#551174,#430510,#430511); #366458=AXIS2_PLACEMENT_3D('',#551180,#430515,#430516); #366459=AXIS2_PLACEMENT_3D('',#551186,#430520,#430521); #366460=AXIS2_PLACEMENT_3D('',#551192,#430525,#430526); #366461=AXIS2_PLACEMENT_3D('',#551198,#430530,#430531); #366462=AXIS2_PLACEMENT_3D('',#551204,#430535,#430536); #366463=AXIS2_PLACEMENT_3D('',#551210,#430540,#430541); #366464=AXIS2_PLACEMENT_3D('',#551216,#430545,#430546); #366465=AXIS2_PLACEMENT_3D('',#551222,#430550,#430551); #366466=AXIS2_PLACEMENT_3D('',#551228,#430555,#430556); #366467=AXIS2_PLACEMENT_3D('',#551234,#430560,#430561); #366468=AXIS2_PLACEMENT_3D('',#551240,#430565,#430566); #366469=AXIS2_PLACEMENT_3D('',#551246,#430570,#430571); #366470=AXIS2_PLACEMENT_3D('',#551252,#430575,#430576); #366471=AXIS2_PLACEMENT_3D('',#551258,#430580,#430581); #366472=AXIS2_PLACEMENT_3D('',#551264,#430585,#430586); #366473=AXIS2_PLACEMENT_3D('',#551270,#430590,#430591); #366474=AXIS2_PLACEMENT_3D('',#551276,#430595,#430596); #366475=AXIS2_PLACEMENT_3D('',#551279,#430599,#430600); #366476=AXIS2_PLACEMENT_3D('',#551280,#430601,#430602); #366477=AXIS2_PLACEMENT_3D('',#551281,#430603,#430604); #366478=AXIS2_PLACEMENT_3D('',#551290,#430609,#430610); #366479=AXIS2_PLACEMENT_3D('',#551296,#430614,#430615); #366480=AXIS2_PLACEMENT_3D('',#551302,#430619,#430620); #366481=AXIS2_PLACEMENT_3D('',#551308,#430624,#430625); #366482=AXIS2_PLACEMENT_3D('',#551314,#430629,#430630); #366483=AXIS2_PLACEMENT_3D('',#551320,#430634,#430635); #366484=AXIS2_PLACEMENT_3D('',#551326,#430639,#430640); #366485=AXIS2_PLACEMENT_3D('',#551332,#430644,#430645); #366486=AXIS2_PLACEMENT_3D('',#551338,#430649,#430650); #366487=AXIS2_PLACEMENT_3D('',#551344,#430654,#430655); #366488=AXIS2_PLACEMENT_3D('',#551350,#430659,#430660); #366489=AXIS2_PLACEMENT_3D('',#551356,#430664,#430665); #366490=AXIS2_PLACEMENT_3D('',#551362,#430669,#430670); #366491=AXIS2_PLACEMENT_3D('',#551368,#430674,#430675); #366492=AXIS2_PLACEMENT_3D('',#551374,#430679,#430680); #366493=AXIS2_PLACEMENT_3D('',#551380,#430684,#430685); #366494=AXIS2_PLACEMENT_3D('',#551386,#430689,#430690); #366495=AXIS2_PLACEMENT_3D('',#551392,#430694,#430695); #366496=AXIS2_PLACEMENT_3D('',#551398,#430699,#430700); #366497=AXIS2_PLACEMENT_3D('',#551404,#430704,#430705); #366498=AXIS2_PLACEMENT_3D('',#551407,#430708,#430709); #366499=AXIS2_PLACEMENT_3D('',#551408,#430710,#430711); #366500=AXIS2_PLACEMENT_3D('',#551409,#430712,#430713); #366501=AXIS2_PLACEMENT_3D('',#551418,#430718,#430719); #366502=AXIS2_PLACEMENT_3D('',#551424,#430723,#430724); #366503=AXIS2_PLACEMENT_3D('',#551430,#430728,#430729); #366504=AXIS2_PLACEMENT_3D('',#551436,#430733,#430734); #366505=AXIS2_PLACEMENT_3D('',#551442,#430738,#430739); #366506=AXIS2_PLACEMENT_3D('',#551448,#430743,#430744); #366507=AXIS2_PLACEMENT_3D('',#551454,#430748,#430749); #366508=AXIS2_PLACEMENT_3D('',#551460,#430753,#430754); #366509=AXIS2_PLACEMENT_3D('',#551466,#430758,#430759); #366510=AXIS2_PLACEMENT_3D('',#551472,#430763,#430764); #366511=AXIS2_PLACEMENT_3D('',#551478,#430768,#430769); #366512=AXIS2_PLACEMENT_3D('',#551484,#430773,#430774); #366513=AXIS2_PLACEMENT_3D('',#551490,#430778,#430779); #366514=AXIS2_PLACEMENT_3D('',#551496,#430783,#430784); #366515=AXIS2_PLACEMENT_3D('',#551502,#430788,#430789); #366516=AXIS2_PLACEMENT_3D('',#551508,#430793,#430794); #366517=AXIS2_PLACEMENT_3D('',#551514,#430798,#430799); #366518=AXIS2_PLACEMENT_3D('',#551520,#430803,#430804); #366519=AXIS2_PLACEMENT_3D('',#551526,#430808,#430809); #366520=AXIS2_PLACEMENT_3D('',#551532,#430813,#430814); #366521=AXIS2_PLACEMENT_3D('',#551538,#430818,#430819); #366522=AXIS2_PLACEMENT_3D('',#551544,#430823,#430824); #366523=AXIS2_PLACEMENT_3D('',#551550,#430828,#430829); #366524=AXIS2_PLACEMENT_3D('',#551556,#430833,#430834); #366525=AXIS2_PLACEMENT_3D('',#551562,#430838,#430839); #366526=AXIS2_PLACEMENT_3D('',#551568,#430843,#430844); #366527=AXIS2_PLACEMENT_3D('',#551574,#430848,#430849); #366528=AXIS2_PLACEMENT_3D('',#551580,#430853,#430854); #366529=AXIS2_PLACEMENT_3D('',#551586,#430858,#430859); #366530=AXIS2_PLACEMENT_3D('',#551592,#430863,#430864); #366531=AXIS2_PLACEMENT_3D('',#551598,#430868,#430869); #366532=AXIS2_PLACEMENT_3D('',#551604,#430873,#430874); #366533=AXIS2_PLACEMENT_3D('',#551610,#430878,#430879); #366534=AXIS2_PLACEMENT_3D('',#551616,#430883,#430884); #366535=AXIS2_PLACEMENT_3D('',#551619,#430887,#430888); #366536=AXIS2_PLACEMENT_3D('',#551620,#430889,#430890); #366537=AXIS2_PLACEMENT_3D('',#551621,#430891,#430892); #366538=AXIS2_PLACEMENT_3D('',#551630,#430897,#430898); #366539=AXIS2_PLACEMENT_3D('',#551636,#430902,#430903); #366540=AXIS2_PLACEMENT_3D('',#551642,#430907,#430908); #366541=AXIS2_PLACEMENT_3D('',#551648,#430912,#430913); #366542=AXIS2_PLACEMENT_3D('',#551654,#430917,#430918); #366543=AXIS2_PLACEMENT_3D('',#551660,#430922,#430923); #366544=AXIS2_PLACEMENT_3D('',#551666,#430927,#430928); #366545=AXIS2_PLACEMENT_3D('',#551672,#430932,#430933); #366546=AXIS2_PLACEMENT_3D('',#551678,#430937,#430938); #366547=AXIS2_PLACEMENT_3D('',#551684,#430942,#430943); #366548=AXIS2_PLACEMENT_3D('',#551690,#430947,#430948); #366549=AXIS2_PLACEMENT_3D('',#551696,#430952,#430953); #366550=AXIS2_PLACEMENT_3D('',#551702,#430957,#430958); #366551=AXIS2_PLACEMENT_3D('',#551708,#430962,#430963); #366552=AXIS2_PLACEMENT_3D('',#551714,#430967,#430968); #366553=AXIS2_PLACEMENT_3D('',#551720,#430972,#430973); #366554=AXIS2_PLACEMENT_3D('',#551726,#430977,#430978); #366555=AXIS2_PLACEMENT_3D('',#551732,#430982,#430983); #366556=AXIS2_PLACEMENT_3D('',#551738,#430987,#430988); #366557=AXIS2_PLACEMENT_3D('',#551744,#430992,#430993); #366558=AXIS2_PLACEMENT_3D('',#551747,#430996,#430997); #366559=AXIS2_PLACEMENT_3D('',#551748,#430998,#430999); #366560=AXIS2_PLACEMENT_3D('',#551749,#431000,#431001); #366561=AXIS2_PLACEMENT_3D('',#551758,#431006,#431007); #366562=AXIS2_PLACEMENT_3D('',#551764,#431011,#431012); #366563=AXIS2_PLACEMENT_3D('',#551770,#431016,#431017); #366564=AXIS2_PLACEMENT_3D('',#551776,#431021,#431022); #366565=AXIS2_PLACEMENT_3D('',#551782,#431026,#431027); #366566=AXIS2_PLACEMENT_3D('',#551788,#431031,#431032); #366567=AXIS2_PLACEMENT_3D('',#551794,#431036,#431037); #366568=AXIS2_PLACEMENT_3D('',#551800,#431041,#431042); #366569=AXIS2_PLACEMENT_3D('',#551806,#431046,#431047); #366570=AXIS2_PLACEMENT_3D('',#551812,#431051,#431052); #366571=AXIS2_PLACEMENT_3D('',#551818,#431056,#431057); #366572=AXIS2_PLACEMENT_3D('',#551824,#431061,#431062); #366573=AXIS2_PLACEMENT_3D('',#551830,#431066,#431067); #366574=AXIS2_PLACEMENT_3D('',#551836,#431071,#431072); #366575=AXIS2_PLACEMENT_3D('',#551842,#431076,#431077); #366576=AXIS2_PLACEMENT_3D('',#551848,#431081,#431082); #366577=AXIS2_PLACEMENT_3D('',#551854,#431086,#431087); #366578=AXIS2_PLACEMENT_3D('',#551860,#431091,#431092); #366579=AXIS2_PLACEMENT_3D('',#551866,#431096,#431097); #366580=AXIS2_PLACEMENT_3D('',#551872,#431101,#431102); #366581=AXIS2_PLACEMENT_3D('',#551878,#431106,#431107); #366582=AXIS2_PLACEMENT_3D('',#551884,#431111,#431112); #366583=AXIS2_PLACEMENT_3D('',#551890,#431116,#431117); #366584=AXIS2_PLACEMENT_3D('',#551896,#431121,#431122); #366585=AXIS2_PLACEMENT_3D('',#551902,#431126,#431127); #366586=AXIS2_PLACEMENT_3D('',#551908,#431131,#431132); #366587=AXIS2_PLACEMENT_3D('',#551914,#431136,#431137); #366588=AXIS2_PLACEMENT_3D('',#551920,#431141,#431142); #366589=AXIS2_PLACEMENT_3D('',#551926,#431146,#431147); #366590=AXIS2_PLACEMENT_3D('',#551932,#431151,#431152); #366591=AXIS2_PLACEMENT_3D('',#551935,#431155,#431156); #366592=AXIS2_PLACEMENT_3D('',#551936,#431157,#431158); #366593=AXIS2_PLACEMENT_3D('',#551937,#431159,#431160); #366594=AXIS2_PLACEMENT_3D('',#551946,#431165,#431166); #366595=AXIS2_PLACEMENT_3D('',#551952,#431170,#431171); #366596=AXIS2_PLACEMENT_3D('',#551958,#431175,#431176); #366597=AXIS2_PLACEMENT_3D('',#551964,#431180,#431181); #366598=AXIS2_PLACEMENT_3D('',#551970,#431185,#431186); #366599=AXIS2_PLACEMENT_3D('',#551976,#431190,#431191); #366600=AXIS2_PLACEMENT_3D('',#551982,#431195,#431196); #366601=AXIS2_PLACEMENT_3D('',#551988,#431200,#431201); #366602=AXIS2_PLACEMENT_3D('',#551994,#431205,#431206); #366603=AXIS2_PLACEMENT_3D('',#552000,#431210,#431211); #366604=AXIS2_PLACEMENT_3D('',#552006,#431215,#431216); #366605=AXIS2_PLACEMENT_3D('',#552012,#431220,#431221); #366606=AXIS2_PLACEMENT_3D('',#552018,#431225,#431226); #366607=AXIS2_PLACEMENT_3D('',#552024,#431230,#431231); #366608=AXIS2_PLACEMENT_3D('',#552030,#431235,#431236); #366609=AXIS2_PLACEMENT_3D('',#552036,#431240,#431241); #366610=AXIS2_PLACEMENT_3D('',#552042,#431245,#431246); #366611=AXIS2_PLACEMENT_3D('',#552048,#431250,#431251); #366612=AXIS2_PLACEMENT_3D('',#552054,#431255,#431256); #366613=AXIS2_PLACEMENT_3D('',#552060,#431260,#431261); #366614=AXIS2_PLACEMENT_3D('',#552066,#431265,#431266); #366615=AXIS2_PLACEMENT_3D('',#552069,#431269,#431270); #366616=AXIS2_PLACEMENT_3D('',#552070,#431271,#431272); #366617=AXIS2_PLACEMENT_3D('',#552071,#431273,#431274); #366618=AXIS2_PLACEMENT_3D('',#552080,#431279,#431280); #366619=AXIS2_PLACEMENT_3D('',#552086,#431284,#431285); #366620=AXIS2_PLACEMENT_3D('',#552092,#431289,#431290); #366621=AXIS2_PLACEMENT_3D('',#552098,#431294,#431295); #366622=AXIS2_PLACEMENT_3D('',#552104,#431299,#431300); #366623=AXIS2_PLACEMENT_3D('',#552110,#431304,#431305); #366624=AXIS2_PLACEMENT_3D('',#552116,#431309,#431310); #366625=AXIS2_PLACEMENT_3D('',#552122,#431314,#431315); #366626=AXIS2_PLACEMENT_3D('',#552128,#431319,#431320); #366627=AXIS2_PLACEMENT_3D('',#552134,#431324,#431325); #366628=AXIS2_PLACEMENT_3D('',#552140,#431329,#431330); #366629=AXIS2_PLACEMENT_3D('',#552146,#431334,#431335); #366630=AXIS2_PLACEMENT_3D('',#552152,#431339,#431340); #366631=AXIS2_PLACEMENT_3D('',#552158,#431344,#431345); #366632=AXIS2_PLACEMENT_3D('',#552164,#431349,#431350); #366633=AXIS2_PLACEMENT_3D('',#552170,#431354,#431355); #366634=AXIS2_PLACEMENT_3D('',#552176,#431359,#431360); #366635=AXIS2_PLACEMENT_3D('',#552182,#431364,#431365); #366636=AXIS2_PLACEMENT_3D('',#552188,#431369,#431370); #366637=AXIS2_PLACEMENT_3D('',#552191,#431373,#431374); #366638=AXIS2_PLACEMENT_3D('',#552192,#431375,#431376); #366639=AXIS2_PLACEMENT_3D('',#552193,#431377,#431378); #366640=AXIS2_PLACEMENT_3D('',#552195,#431379,#431380); #366641=AXIS2_PLACEMENT_3D('',#552198,#431382,#431383); #366642=AXIS2_PLACEMENT_3D('',#552199,#431384,#431385); #366643=AXIS2_PLACEMENT_3D('',#552201,#431386,#431387); #366644=AXIS2_PLACEMENT_3D('',#552204,#431389,#431390); #366645=AXIS2_PLACEMENT_3D('',#552205,#431391,#431392); #366646=AXIS2_PLACEMENT_3D('',#552207,#431393,#431394); #366647=AXIS2_PLACEMENT_3D('',#552210,#431396,#431397); #366648=AXIS2_PLACEMENT_3D('',#552211,#431398,#431399); #366649=AXIS2_PLACEMENT_3D('',#552213,#431400,#431401); #366650=AXIS2_PLACEMENT_3D('',#552216,#431403,#431404); #366651=AXIS2_PLACEMENT_3D('',#552217,#431405,#431406); #366652=AXIS2_PLACEMENT_3D('',#552219,#431407,#431408); #366653=AXIS2_PLACEMENT_3D('',#552222,#431410,#431411); #366654=AXIS2_PLACEMENT_3D('',#552223,#431412,#431413); #366655=AXIS2_PLACEMENT_3D('',#552225,#431414,#431415); #366656=AXIS2_PLACEMENT_3D('',#552228,#431417,#431418); #366657=AXIS2_PLACEMENT_3D('',#552229,#431419,#431420); #366658=AXIS2_PLACEMENT_3D('',#552231,#431421,#431422); #366659=AXIS2_PLACEMENT_3D('',#552234,#431424,#431425); #366660=AXIS2_PLACEMENT_3D('',#552235,#431426,#431427); #366661=AXIS2_PLACEMENT_3D('',#552237,#431428,#431429); #366662=AXIS2_PLACEMENT_3D('',#552240,#431431,#431432); #366663=AXIS2_PLACEMENT_3D('',#552241,#431433,#431434); #366664=AXIS2_PLACEMENT_3D('',#552243,#431435,#431436); #366665=AXIS2_PLACEMENT_3D('',#552246,#431438,#431439); #366666=AXIS2_PLACEMENT_3D('',#552247,#431440,#431441); #366667=AXIS2_PLACEMENT_3D('',#552249,#431442,#431443); #366668=AXIS2_PLACEMENT_3D('',#552252,#431445,#431446); #366669=AXIS2_PLACEMENT_3D('',#552253,#431447,#431448); #366670=AXIS2_PLACEMENT_3D('',#552255,#431449,#431450); #366671=AXIS2_PLACEMENT_3D('',#552258,#431452,#431453); #366672=AXIS2_PLACEMENT_3D('',#552259,#431454,#431455); #366673=AXIS2_PLACEMENT_3D('',#552261,#431456,#431457); #366674=AXIS2_PLACEMENT_3D('',#552264,#431459,#431460); #366675=AXIS2_PLACEMENT_3D('',#552265,#431461,#431462); #366676=AXIS2_PLACEMENT_3D('',#552267,#431463,#431464); #366677=AXIS2_PLACEMENT_3D('',#552270,#431466,#431467); #366678=AXIS2_PLACEMENT_3D('',#552271,#431468,#431469); #366679=AXIS2_PLACEMENT_3D('',#552273,#431470,#431471); #366680=AXIS2_PLACEMENT_3D('',#552276,#431473,#431474); #366681=AXIS2_PLACEMENT_3D('',#552277,#431475,#431476); #366682=AXIS2_PLACEMENT_3D('',#552279,#431477,#431478); #366683=AXIS2_PLACEMENT_3D('',#552282,#431480,#431481); #366684=AXIS2_PLACEMENT_3D('',#552283,#431482,#431483); #366685=AXIS2_PLACEMENT_3D('',#552285,#431484,#431485); #366686=AXIS2_PLACEMENT_3D('',#552288,#431487,#431488); #366687=AXIS2_PLACEMENT_3D('',#552289,#431489,#431490); #366688=AXIS2_PLACEMENT_3D('',#552291,#431491,#431492); #366689=AXIS2_PLACEMENT_3D('',#552294,#431494,#431495); #366690=AXIS2_PLACEMENT_3D('',#552295,#431496,#431497); #366691=AXIS2_PLACEMENT_3D('',#552297,#431498,#431499); #366692=AXIS2_PLACEMENT_3D('',#552300,#431501,#431502); #366693=AXIS2_PLACEMENT_3D('',#552301,#431503,#431504); #366694=AXIS2_PLACEMENT_3D('',#552303,#431505,#431506); #366695=AXIS2_PLACEMENT_3D('',#552306,#431508,#431509); #366696=AXIS2_PLACEMENT_3D('',#552307,#431510,#431511); #366697=AXIS2_PLACEMENT_3D('',#552309,#431512,#431513); #366698=AXIS2_PLACEMENT_3D('',#552312,#431515,#431516); #366699=AXIS2_PLACEMENT_3D('',#552313,#431517,#431518); #366700=AXIS2_PLACEMENT_3D('',#552315,#431519,#431520); #366701=AXIS2_PLACEMENT_3D('',#552318,#431522,#431523); #366702=AXIS2_PLACEMENT_3D('',#552319,#431524,#431525); #366703=AXIS2_PLACEMENT_3D('',#552321,#431526,#431527); #366704=AXIS2_PLACEMENT_3D('',#552324,#431529,#431530); #366705=AXIS2_PLACEMENT_3D('',#552325,#431531,#431532); #366706=AXIS2_PLACEMENT_3D('',#552327,#431533,#431534); #366707=AXIS2_PLACEMENT_3D('',#552330,#431536,#431537); #366708=AXIS2_PLACEMENT_3D('',#552331,#431538,#431539); #366709=AXIS2_PLACEMENT_3D('',#552333,#431540,#431541); #366710=AXIS2_PLACEMENT_3D('',#552336,#431543,#431544); #366711=AXIS2_PLACEMENT_3D('',#552337,#431545,#431546); #366712=AXIS2_PLACEMENT_3D('',#552339,#431547,#431548); #366713=AXIS2_PLACEMENT_3D('',#552342,#431550,#431551); #366714=AXIS2_PLACEMENT_3D('',#552343,#431552,#431553); #366715=AXIS2_PLACEMENT_3D('',#552345,#431554,#431555); #366716=AXIS2_PLACEMENT_3D('',#552348,#431557,#431558); #366717=AXIS2_PLACEMENT_3D('',#552349,#431559,#431560); #366718=AXIS2_PLACEMENT_3D('',#552351,#431561,#431562); #366719=AXIS2_PLACEMENT_3D('',#552354,#431564,#431565); #366720=AXIS2_PLACEMENT_3D('',#552355,#431566,#431567); #366721=AXIS2_PLACEMENT_3D('',#552357,#431568,#431569); #366722=AXIS2_PLACEMENT_3D('',#552360,#431571,#431572); #366723=AXIS2_PLACEMENT_3D('',#552361,#431573,#431574); #366724=AXIS2_PLACEMENT_3D('',#552363,#431575,#431576); #366725=AXIS2_PLACEMENT_3D('',#552366,#431578,#431579); #366726=AXIS2_PLACEMENT_3D('',#552367,#431580,#431581); #366727=AXIS2_PLACEMENT_3D('',#552369,#431582,#431583); #366728=AXIS2_PLACEMENT_3D('',#552372,#431585,#431586); #366729=AXIS2_PLACEMENT_3D('',#552373,#431587,#431588); #366730=AXIS2_PLACEMENT_3D('',#552375,#431589,#431590); #366731=AXIS2_PLACEMENT_3D('',#552378,#431592,#431593); #366732=AXIS2_PLACEMENT_3D('',#552379,#431594,#431595); #366733=AXIS2_PLACEMENT_3D('',#552381,#431596,#431597); #366734=AXIS2_PLACEMENT_3D('',#552384,#431599,#431600); #366735=AXIS2_PLACEMENT_3D('',#552385,#431601,#431602); #366736=AXIS2_PLACEMENT_3D('',#552387,#431603,#431604); #366737=AXIS2_PLACEMENT_3D('',#552390,#431606,#431607); #366738=AXIS2_PLACEMENT_3D('',#552391,#431608,#431609); #366739=AXIS2_PLACEMENT_3D('',#552393,#431610,#431611); #366740=AXIS2_PLACEMENT_3D('',#552396,#431613,#431614); #366741=AXIS2_PLACEMENT_3D('',#552397,#431615,#431616); #366742=AXIS2_PLACEMENT_3D('',#552399,#431617,#431618); #366743=AXIS2_PLACEMENT_3D('',#552402,#431620,#431621); #366744=AXIS2_PLACEMENT_3D('',#552403,#431622,#431623); #366745=AXIS2_PLACEMENT_3D('',#552405,#431624,#431625); #366746=AXIS2_PLACEMENT_3D('',#552408,#431627,#431628); #366747=AXIS2_PLACEMENT_3D('',#552409,#431629,#431630); #366748=AXIS2_PLACEMENT_3D('',#552411,#431631,#431632); #366749=AXIS2_PLACEMENT_3D('',#552414,#431634,#431635); #366750=AXIS2_PLACEMENT_3D('',#552415,#431636,#431637); #366751=AXIS2_PLACEMENT_3D('',#552417,#431638,#431639); #366752=AXIS2_PLACEMENT_3D('',#552420,#431641,#431642); #366753=AXIS2_PLACEMENT_3D('',#552421,#431643,#431644); #366754=AXIS2_PLACEMENT_3D('',#552423,#431645,#431646); #366755=AXIS2_PLACEMENT_3D('',#552426,#431648,#431649); #366756=AXIS2_PLACEMENT_3D('',#552427,#431650,#431651); #366757=AXIS2_PLACEMENT_3D('',#552429,#431652,#431653); #366758=AXIS2_PLACEMENT_3D('',#552432,#431655,#431656); #366759=AXIS2_PLACEMENT_3D('',#552433,#431657,#431658); #366760=AXIS2_PLACEMENT_3D('',#552435,#431659,#431660); #366761=AXIS2_PLACEMENT_3D('',#552438,#431662,#431663); #366762=AXIS2_PLACEMENT_3D('',#552439,#431664,#431665); #366763=AXIS2_PLACEMENT_3D('',#552441,#431666,#431667); #366764=AXIS2_PLACEMENT_3D('',#552444,#431669,#431670); #366765=AXIS2_PLACEMENT_3D('',#552445,#431671,#431672); #366766=AXIS2_PLACEMENT_3D('',#552447,#431673,#431674); #366767=AXIS2_PLACEMENT_3D('',#552450,#431676,#431677); #366768=AXIS2_PLACEMENT_3D('',#552451,#431678,#431679); #366769=AXIS2_PLACEMENT_3D('',#552453,#431680,#431681); #366770=AXIS2_PLACEMENT_3D('',#552456,#431683,#431684); #366771=AXIS2_PLACEMENT_3D('',#552457,#431685,#431686); #366772=AXIS2_PLACEMENT_3D('',#552459,#431687,#431688); #366773=AXIS2_PLACEMENT_3D('',#552462,#431690,#431691); #366774=AXIS2_PLACEMENT_3D('',#552463,#431692,#431693); #366775=AXIS2_PLACEMENT_3D('',#552465,#431694,#431695); #366776=AXIS2_PLACEMENT_3D('',#552468,#431697,#431698); #366777=AXIS2_PLACEMENT_3D('',#552469,#431699,#431700); #366778=AXIS2_PLACEMENT_3D('',#552471,#431701,#431702); #366779=AXIS2_PLACEMENT_3D('',#552474,#431704,#431705); #366780=AXIS2_PLACEMENT_3D('',#552475,#431706,#431707); #366781=AXIS2_PLACEMENT_3D('',#552477,#431708,#431709); #366782=AXIS2_PLACEMENT_3D('',#552480,#431711,#431712); #366783=AXIS2_PLACEMENT_3D('',#552481,#431713,#431714); #366784=AXIS2_PLACEMENT_3D('',#552483,#431715,#431716); #366785=AXIS2_PLACEMENT_3D('',#552486,#431718,#431719); #366786=AXIS2_PLACEMENT_3D('',#552487,#431720,#431721); #366787=AXIS2_PLACEMENT_3D('',#552489,#431722,#431723); #366788=AXIS2_PLACEMENT_3D('',#552492,#431725,#431726); #366789=AXIS2_PLACEMENT_3D('',#552493,#431727,#431728); #366790=AXIS2_PLACEMENT_3D('',#552495,#431729,#431730); #366791=AXIS2_PLACEMENT_3D('',#552498,#431732,#431733); #366792=AXIS2_PLACEMENT_3D('',#552499,#431734,#431735); #366793=AXIS2_PLACEMENT_3D('',#552501,#431736,#431737); #366794=AXIS2_PLACEMENT_3D('',#552504,#431739,#431740); #366795=AXIS2_PLACEMENT_3D('',#552505,#431741,#431742); #366796=AXIS2_PLACEMENT_3D('',#552507,#431743,#431744); #366797=AXIS2_PLACEMENT_3D('',#552510,#431746,#431747); #366798=AXIS2_PLACEMENT_3D('',#552511,#431748,#431749); #366799=AXIS2_PLACEMENT_3D('',#552513,#431750,#431751); #366800=AXIS2_PLACEMENT_3D('',#552516,#431753,#431754); #366801=AXIS2_PLACEMENT_3D('',#552517,#431755,#431756); #366802=AXIS2_PLACEMENT_3D('',#552519,#431757,#431758); #366803=AXIS2_PLACEMENT_3D('',#552522,#431760,#431761); #366804=AXIS2_PLACEMENT_3D('',#552523,#431762,#431763); #366805=AXIS2_PLACEMENT_3D('',#552525,#431764,#431765); #366806=AXIS2_PLACEMENT_3D('',#552528,#431767,#431768); #366807=AXIS2_PLACEMENT_3D('',#552529,#431769,#431770); #366808=AXIS2_PLACEMENT_3D('',#552531,#431771,#431772); #366809=AXIS2_PLACEMENT_3D('',#552534,#431774,#431775); #366810=AXIS2_PLACEMENT_3D('',#552535,#431776,#431777); #366811=AXIS2_PLACEMENT_3D('',#552537,#431778,#431779); #366812=AXIS2_PLACEMENT_3D('',#552540,#431781,#431782); #366813=AXIS2_PLACEMENT_3D('',#552541,#431783,#431784); #366814=AXIS2_PLACEMENT_3D('',#552543,#431785,#431786); #366815=AXIS2_PLACEMENT_3D('',#552546,#431788,#431789); #366816=AXIS2_PLACEMENT_3D('',#552547,#431790,#431791); #366817=AXIS2_PLACEMENT_3D('',#552549,#431792,#431793); #366818=AXIS2_PLACEMENT_3D('',#552552,#431795,#431796); #366819=AXIS2_PLACEMENT_3D('',#552553,#431797,#431798); #366820=AXIS2_PLACEMENT_3D('',#552555,#431799,#431800); #366821=AXIS2_PLACEMENT_3D('',#552558,#431802,#431803); #366822=AXIS2_PLACEMENT_3D('',#552559,#431804,#431805); #366823=AXIS2_PLACEMENT_3D('',#552561,#431806,#431807); #366824=AXIS2_PLACEMENT_3D('',#552564,#431809,#431810); #366825=AXIS2_PLACEMENT_3D('',#552565,#431811,#431812); #366826=AXIS2_PLACEMENT_3D('',#552567,#431813,#431814); #366827=AXIS2_PLACEMENT_3D('',#552570,#431816,#431817); #366828=AXIS2_PLACEMENT_3D('',#552571,#431818,#431819); #366829=AXIS2_PLACEMENT_3D('',#552573,#431820,#431821); #366830=AXIS2_PLACEMENT_3D('',#552576,#431823,#431824); #366831=AXIS2_PLACEMENT_3D('',#552577,#431825,#431826); #366832=AXIS2_PLACEMENT_3D('',#552586,#431831,#431832); #366833=AXIS2_PLACEMENT_3D('',#552588,#431833,#431834); #366834=AXIS2_PLACEMENT_3D('',#552590,#431835,#431836); #366835=AXIS2_PLACEMENT_3D('',#552592,#431838,#431839); #366836=AXIS2_PLACEMENT_3D('',#552598,#431843,#431844); #366837=AXIS2_PLACEMENT_3D('',#552599,#431845,#431846); #366838=AXIS2_PLACEMENT_3D('',#552600,#431847,#431848); #366839=AXIS2_PLACEMENT_3D('',#552601,#431849,#431850); #366840=AXIS2_PLACEMENT_3D('',#552610,#431855,#431856); #366841=AXIS2_PLACEMENT_3D('',#552612,#431857,#431858); #366842=AXIS2_PLACEMENT_3D('',#552614,#431859,#431860); #366843=AXIS2_PLACEMENT_3D('',#552616,#431862,#431863); #366844=AXIS2_PLACEMENT_3D('',#552622,#431867,#431868); #366845=AXIS2_PLACEMENT_3D('',#552623,#431869,#431870); #366846=AXIS2_PLACEMENT_3D('',#552624,#431871,#431872); #366847=AXIS2_PLACEMENT_3D('',#552625,#431873,#431874); #366848=AXIS2_PLACEMENT_3D('',#552627,#431875,#431876); #366849=AXIS2_PLACEMENT_3D('',#552630,#431878,#431879); #366850=AXIS2_PLACEMENT_3D('',#552631,#431880,#431881); #366851=AXIS2_PLACEMENT_3D('',#552633,#431882,#431883); #366852=AXIS2_PLACEMENT_3D('',#552636,#431885,#431886); #366853=AXIS2_PLACEMENT_3D('',#552637,#431887,#431888); #366854=AXIS2_PLACEMENT_3D('',#552646,#431893,#431894); #366855=AXIS2_PLACEMENT_3D('',#552648,#431895,#431896); #366856=AXIS2_PLACEMENT_3D('',#552650,#431897,#431898); #366857=AXIS2_PLACEMENT_3D('',#552652,#431900,#431901); #366858=AXIS2_PLACEMENT_3D('',#552658,#431905,#431906); #366859=AXIS2_PLACEMENT_3D('',#552659,#431907,#431908); #366860=AXIS2_PLACEMENT_3D('',#552660,#431909,#431910); #366861=AXIS2_PLACEMENT_3D('',#552661,#431911,#431912); #366862=AXIS2_PLACEMENT_3D('',#552663,#431913,#431914); #366863=AXIS2_PLACEMENT_3D('',#552666,#431916,#431917); #366864=AXIS2_PLACEMENT_3D('',#552667,#431918,#431919); #366865=AXIS2_PLACEMENT_3D('',#552669,#431920,#431921); #366866=AXIS2_PLACEMENT_3D('',#552672,#431923,#431924); #366867=AXIS2_PLACEMENT_3D('',#552673,#431925,#431926); #366868=AXIS2_PLACEMENT_3D('',#552675,#431927,#431928); #366869=AXIS2_PLACEMENT_3D('',#552678,#431930,#431931); #366870=AXIS2_PLACEMENT_3D('',#552679,#431932,#431933); #366871=AXIS2_PLACEMENT_3D('',#552681,#431934,#431935); #366872=AXIS2_PLACEMENT_3D('',#552684,#431937,#431938); #366873=AXIS2_PLACEMENT_3D('',#552685,#431939,#431940); #366874=AXIS2_PLACEMENT_3D('',#552687,#431941,#431942); #366875=AXIS2_PLACEMENT_3D('',#552690,#431944,#431945); #366876=AXIS2_PLACEMENT_3D('',#552691,#431946,#431947); #366877=AXIS2_PLACEMENT_3D('',#552700,#431952,#431953); #366878=AXIS2_PLACEMENT_3D('',#552702,#431954,#431955); #366879=AXIS2_PLACEMENT_3D('',#552704,#431956,#431957); #366880=AXIS2_PLACEMENT_3D('',#552706,#431959,#431960); #366881=AXIS2_PLACEMENT_3D('',#552712,#431964,#431965); #366882=AXIS2_PLACEMENT_3D('',#552713,#431966,#431967); #366883=AXIS2_PLACEMENT_3D('',#552714,#431968,#431969); #366884=AXIS2_PLACEMENT_3D('',#552715,#431970,#431971); #366885=AXIS2_PLACEMENT_3D('',#552717,#431972,#431973); #366886=AXIS2_PLACEMENT_3D('',#552720,#431975,#431976); #366887=AXIS2_PLACEMENT_3D('',#552721,#431977,#431978); #366888=AXIS2_PLACEMENT_3D('',#552730,#431983,#431984); #366889=AXIS2_PLACEMENT_3D('',#552732,#431985,#431986); #366890=AXIS2_PLACEMENT_3D('',#552734,#431987,#431988); #366891=AXIS2_PLACEMENT_3D('',#552736,#431990,#431991); #366892=AXIS2_PLACEMENT_3D('',#552742,#431995,#431996); #366893=AXIS2_PLACEMENT_3D('',#552743,#431997,#431998); #366894=AXIS2_PLACEMENT_3D('',#552744,#431999,#432000); #366895=AXIS2_PLACEMENT_3D('',#552745,#432001,#432002); #366896=AXIS2_PLACEMENT_3D('',#552754,#432007,#432008); #366897=AXIS2_PLACEMENT_3D('',#552756,#432009,#432010); #366898=AXIS2_PLACEMENT_3D('',#552758,#432011,#432012); #366899=AXIS2_PLACEMENT_3D('',#552760,#432014,#432015); #366900=AXIS2_PLACEMENT_3D('',#552766,#432019,#432020); #366901=AXIS2_PLACEMENT_3D('',#552767,#432021,#432022); #366902=AXIS2_PLACEMENT_3D('',#552768,#432023,#432024); #366903=AXIS2_PLACEMENT_3D('',#552769,#432025,#432026); #366904=AXIS2_PLACEMENT_3D('',#552771,#432027,#432028); #366905=AXIS2_PLACEMENT_3D('',#552774,#432030,#432031); #366906=AXIS2_PLACEMENT_3D('',#552775,#432032,#432033); #366907=AXIS2_PLACEMENT_3D('',#552777,#432034,#432035); #366908=AXIS2_PLACEMENT_3D('',#552780,#432037,#432038); #366909=AXIS2_PLACEMENT_3D('',#552781,#432039,#432040); #366910=AXIS2_PLACEMENT_3D('',#552783,#432041,#432042); #366911=AXIS2_PLACEMENT_3D('',#552786,#432044,#432045); #366912=AXIS2_PLACEMENT_3D('',#552787,#432046,#432047); #366913=AXIS2_PLACEMENT_3D('',#552789,#432048,#432049); #366914=AXIS2_PLACEMENT_3D('',#552792,#432051,#432052); #366915=AXIS2_PLACEMENT_3D('',#552793,#432053,#432054); #366916=AXIS2_PLACEMENT_3D('',#552795,#432055,#432056); #366917=AXIS2_PLACEMENT_3D('',#552798,#432058,#432059); #366918=AXIS2_PLACEMENT_3D('',#552799,#432060,#432061); #366919=AXIS2_PLACEMENT_3D('',#552801,#432062,#432063); #366920=AXIS2_PLACEMENT_3D('',#552804,#432065,#432066); #366921=AXIS2_PLACEMENT_3D('',#552805,#432067,#432068); #366922=AXIS2_PLACEMENT_3D('',#552807,#432069,#432070); #366923=AXIS2_PLACEMENT_3D('',#552810,#432072,#432073); #366924=AXIS2_PLACEMENT_3D('',#552811,#432074,#432075); #366925=AXIS2_PLACEMENT_3D('',#552813,#432076,#432077); #366926=AXIS2_PLACEMENT_3D('',#552816,#432079,#432080); #366927=AXIS2_PLACEMENT_3D('',#552817,#432081,#432082); #366928=AXIS2_PLACEMENT_3D('',#552819,#432083,#432084); #366929=AXIS2_PLACEMENT_3D('',#552822,#432086,#432087); #366930=AXIS2_PLACEMENT_3D('',#552823,#432088,#432089); #366931=AXIS2_PLACEMENT_3D('',#552825,#432090,#432091); #366932=AXIS2_PLACEMENT_3D('',#552828,#432093,#432094); #366933=AXIS2_PLACEMENT_3D('',#552829,#432095,#432096); #366934=AXIS2_PLACEMENT_3D('',#552831,#432097,#432098); #366935=AXIS2_PLACEMENT_3D('',#552834,#432100,#432101); #366936=AXIS2_PLACEMENT_3D('',#552835,#432102,#432103); #366937=AXIS2_PLACEMENT_3D('',#552837,#432104,#432105); #366938=AXIS2_PLACEMENT_3D('',#552840,#432107,#432108); #366939=AXIS2_PLACEMENT_3D('',#552841,#432109,#432110); #366940=AXIS2_PLACEMENT_3D('',#552843,#432111,#432112); #366941=AXIS2_PLACEMENT_3D('',#552846,#432114,#432115); #366942=AXIS2_PLACEMENT_3D('',#552847,#432116,#432117); #366943=AXIS2_PLACEMENT_3D('',#552849,#432118,#432119); #366944=AXIS2_PLACEMENT_3D('',#552852,#432121,#432122); #366945=AXIS2_PLACEMENT_3D('',#552853,#432123,#432124); #366946=AXIS2_PLACEMENT_3D('',#552855,#432125,#432126); #366947=AXIS2_PLACEMENT_3D('',#552858,#432128,#432129); #366948=AXIS2_PLACEMENT_3D('',#552859,#432130,#432131); #366949=AXIS2_PLACEMENT_3D('',#552861,#432132,#432133); #366950=AXIS2_PLACEMENT_3D('',#552864,#432135,#432136); #366951=AXIS2_PLACEMENT_3D('',#552865,#432137,#432138); #366952=AXIS2_PLACEMENT_3D('',#552867,#432139,#432140); #366953=AXIS2_PLACEMENT_3D('',#552870,#432142,#432143); #366954=AXIS2_PLACEMENT_3D('',#552871,#432144,#432145); #366955=AXIS2_PLACEMENT_3D('',#552873,#432146,#432147); #366956=AXIS2_PLACEMENT_3D('',#552876,#432149,#432150); #366957=AXIS2_PLACEMENT_3D('',#552877,#432151,#432152); #366958=AXIS2_PLACEMENT_3D('',#552879,#432153,#432154); #366959=AXIS2_PLACEMENT_3D('',#552882,#432156,#432157); #366960=AXIS2_PLACEMENT_3D('',#552883,#432158,#432159); #366961=AXIS2_PLACEMENT_3D('',#552885,#432160,#432161); #366962=AXIS2_PLACEMENT_3D('',#552888,#432163,#432164); #366963=AXIS2_PLACEMENT_3D('',#552889,#432165,#432166); #366964=AXIS2_PLACEMENT_3D('',#552891,#432167,#432168); #366965=AXIS2_PLACEMENT_3D('',#552894,#432170,#432171); #366966=AXIS2_PLACEMENT_3D('',#552895,#432172,#432173); #366967=AXIS2_PLACEMENT_3D('',#552897,#432174,#432175); #366968=AXIS2_PLACEMENT_3D('',#552900,#432177,#432178); #366969=AXIS2_PLACEMENT_3D('',#552901,#432179,#432180); #366970=AXIS2_PLACEMENT_3D('',#552903,#432181,#432182); #366971=AXIS2_PLACEMENT_3D('',#552906,#432184,#432185); #366972=AXIS2_PLACEMENT_3D('',#552907,#432186,#432187); #366973=AXIS2_PLACEMENT_3D('',#552909,#432188,#432189); #366974=AXIS2_PLACEMENT_3D('',#552912,#432191,#432192); #366975=AXIS2_PLACEMENT_3D('',#552913,#432193,#432194); #366976=AXIS2_PLACEMENT_3D('',#552915,#432195,#432196); #366977=AXIS2_PLACEMENT_3D('',#552918,#432198,#432199); #366978=AXIS2_PLACEMENT_3D('',#552919,#432200,#432201); #366979=AXIS2_PLACEMENT_3D('',#552921,#432202,#432203); #366980=AXIS2_PLACEMENT_3D('',#552924,#432205,#432206); #366981=AXIS2_PLACEMENT_3D('',#552925,#432207,#432208); #366982=AXIS2_PLACEMENT_3D('',#552927,#432209,#432210); #366983=AXIS2_PLACEMENT_3D('',#552930,#432212,#432213); #366984=AXIS2_PLACEMENT_3D('',#552931,#432214,#432215); #366985=AXIS2_PLACEMENT_3D('',#552933,#432216,#432217); #366986=AXIS2_PLACEMENT_3D('',#552936,#432219,#432220); #366987=AXIS2_PLACEMENT_3D('',#552937,#432221,#432222); #366988=AXIS2_PLACEMENT_3D('',#552939,#432223,#432224); #366989=AXIS2_PLACEMENT_3D('',#552942,#432226,#432227); #366990=AXIS2_PLACEMENT_3D('',#552943,#432228,#432229); #366991=AXIS2_PLACEMENT_3D('',#552945,#432230,#432231); #366992=AXIS2_PLACEMENT_3D('',#552948,#432233,#432234); #366993=AXIS2_PLACEMENT_3D('',#552949,#432235,#432236); #366994=AXIS2_PLACEMENT_3D('',#552951,#432237,#432238); #366995=AXIS2_PLACEMENT_3D('',#552954,#432240,#432241); #366996=AXIS2_PLACEMENT_3D('',#552955,#432242,#432243); #366997=AXIS2_PLACEMENT_3D('',#552957,#432244,#432245); #366998=AXIS2_PLACEMENT_3D('',#552960,#432247,#432248); #366999=AXIS2_PLACEMENT_3D('',#552961,#432249,#432250); #367000=AXIS2_PLACEMENT_3D('',#552963,#432251,#432252); #367001=AXIS2_PLACEMENT_3D('',#552966,#432254,#432255); #367002=AXIS2_PLACEMENT_3D('',#552967,#432256,#432257); #367003=AXIS2_PLACEMENT_3D('',#552969,#432258,#432259); #367004=AXIS2_PLACEMENT_3D('',#552972,#432261,#432262); #367005=AXIS2_PLACEMENT_3D('',#552973,#432263,#432264); #367006=AXIS2_PLACEMENT_3D('',#552975,#432265,#432266); #367007=AXIS2_PLACEMENT_3D('',#552978,#432268,#432269); #367008=AXIS2_PLACEMENT_3D('',#552979,#432270,#432271); #367009=AXIS2_PLACEMENT_3D('',#552981,#432272,#432273); #367010=AXIS2_PLACEMENT_3D('',#552984,#432275,#432276); #367011=AXIS2_PLACEMENT_3D('',#552985,#432277,#432278); #367012=AXIS2_PLACEMENT_3D('',#552987,#432279,#432280); #367013=AXIS2_PLACEMENT_3D('',#552990,#432282,#432283); #367014=AXIS2_PLACEMENT_3D('',#552991,#432284,#432285); #367015=AXIS2_PLACEMENT_3D('',#552993,#432286,#432287); #367016=AXIS2_PLACEMENT_3D('',#552996,#432289,#432290); #367017=AXIS2_PLACEMENT_3D('',#552997,#432291,#432292); #367018=AXIS2_PLACEMENT_3D('',#552999,#432293,#432294); #367019=AXIS2_PLACEMENT_3D('',#553002,#432296,#432297); #367020=AXIS2_PLACEMENT_3D('',#553003,#432298,#432299); #367021=AXIS2_PLACEMENT_3D('',#553005,#432300,#432301); #367022=AXIS2_PLACEMENT_3D('',#553008,#432303,#432304); #367023=AXIS2_PLACEMENT_3D('',#553009,#432305,#432306); #367024=AXIS2_PLACEMENT_3D('',#553011,#432307,#432308); #367025=AXIS2_PLACEMENT_3D('',#553014,#432310,#432311); #367026=AXIS2_PLACEMENT_3D('',#553015,#432312,#432313); #367027=AXIS2_PLACEMENT_3D('',#553017,#432314,#432315); #367028=AXIS2_PLACEMENT_3D('',#553020,#432317,#432318); #367029=AXIS2_PLACEMENT_3D('',#553021,#432319,#432320); #367030=AXIS2_PLACEMENT_3D('',#553023,#432321,#432322); #367031=AXIS2_PLACEMENT_3D('',#553026,#432324,#432325); #367032=AXIS2_PLACEMENT_3D('',#553027,#432326,#432327); #367033=AXIS2_PLACEMENT_3D('',#553029,#432328,#432329); #367034=AXIS2_PLACEMENT_3D('',#553032,#432331,#432332); #367035=AXIS2_PLACEMENT_3D('',#553033,#432333,#432334); #367036=AXIS2_PLACEMENT_3D('',#553035,#432335,#432336); #367037=AXIS2_PLACEMENT_3D('',#553038,#432338,#432339); #367038=AXIS2_PLACEMENT_3D('',#553039,#432340,#432341); #367039=AXIS2_PLACEMENT_3D('',#553041,#432342,#432343); #367040=AXIS2_PLACEMENT_3D('',#553044,#432345,#432346); #367041=AXIS2_PLACEMENT_3D('',#553045,#432347,#432348); #367042=AXIS2_PLACEMENT_3D('',#553047,#432349,#432350); #367043=AXIS2_PLACEMENT_3D('',#553050,#432352,#432353); #367044=AXIS2_PLACEMENT_3D('',#553051,#432354,#432355); #367045=AXIS2_PLACEMENT_3D('',#553053,#432356,#432357); #367046=AXIS2_PLACEMENT_3D('',#553056,#432359,#432360); #367047=AXIS2_PLACEMENT_3D('',#553057,#432361,#432362); #367048=AXIS2_PLACEMENT_3D('',#553059,#432363,#432364); #367049=AXIS2_PLACEMENT_3D('',#553062,#432366,#432367); #367050=AXIS2_PLACEMENT_3D('',#553063,#432368,#432369); #367051=AXIS2_PLACEMENT_3D('',#553065,#432370,#432371); #367052=AXIS2_PLACEMENT_3D('',#553068,#432373,#432374); #367053=AXIS2_PLACEMENT_3D('',#553069,#432375,#432376); #367054=AXIS2_PLACEMENT_3D('',#553071,#432377,#432378); #367055=AXIS2_PLACEMENT_3D('',#553074,#432380,#432381); #367056=AXIS2_PLACEMENT_3D('',#553075,#432382,#432383); #367057=AXIS2_PLACEMENT_3D('',#553077,#432384,#432385); #367058=AXIS2_PLACEMENT_3D('',#553080,#432387,#432388); #367059=AXIS2_PLACEMENT_3D('',#553081,#432389,#432390); #367060=AXIS2_PLACEMENT_3D('',#553090,#432395,#432396); #367061=AXIS2_PLACEMENT_3D('',#553096,#432400,#432401); #367062=AXIS2_PLACEMENT_3D('',#553102,#432405,#432406); #367063=AXIS2_PLACEMENT_3D('',#553108,#432410,#432411); #367064=AXIS2_PLACEMENT_3D('',#553114,#432415,#432416); #367065=AXIS2_PLACEMENT_3D('',#553120,#432420,#432421); #367066=AXIS2_PLACEMENT_3D('',#553126,#432425,#432426); #367067=AXIS2_PLACEMENT_3D('',#553132,#432430,#432431); #367068=AXIS2_PLACEMENT_3D('',#553138,#432435,#432436); #367069=AXIS2_PLACEMENT_3D('',#553144,#432440,#432441); #367070=AXIS2_PLACEMENT_3D('',#553150,#432445,#432446); #367071=AXIS2_PLACEMENT_3D('',#553156,#432450,#432451); #367072=AXIS2_PLACEMENT_3D('',#553162,#432455,#432456); #367073=AXIS2_PLACEMENT_3D('',#553168,#432460,#432461); #367074=AXIS2_PLACEMENT_3D('',#553174,#432465,#432466); #367075=AXIS2_PLACEMENT_3D('',#553180,#432470,#432471); #367076=AXIS2_PLACEMENT_3D('',#553186,#432475,#432476); #367077=AXIS2_PLACEMENT_3D('',#553192,#432480,#432481); #367078=AXIS2_PLACEMENT_3D('',#553198,#432485,#432486); #367079=AXIS2_PLACEMENT_3D('',#553204,#432490,#432491); #367080=AXIS2_PLACEMENT_3D('',#553210,#432495,#432496); #367081=AXIS2_PLACEMENT_3D('',#553216,#432500,#432501); #367082=AXIS2_PLACEMENT_3D('',#553222,#432505,#432506); #367083=AXIS2_PLACEMENT_3D('',#553228,#432510,#432511); #367084=AXIS2_PLACEMENT_3D('',#553234,#432515,#432516); #367085=AXIS2_PLACEMENT_3D('',#553240,#432520,#432521); #367086=AXIS2_PLACEMENT_3D('',#553246,#432525,#432526); #367087=AXIS2_PLACEMENT_3D('',#553252,#432530,#432531); #367088=AXIS2_PLACEMENT_3D('',#553258,#432535,#432536); #367089=AXIS2_PLACEMENT_3D('',#553264,#432540,#432541); #367090=AXIS2_PLACEMENT_3D('',#553270,#432545,#432546); #367091=AXIS2_PLACEMENT_3D('',#553276,#432550,#432551); #367092=AXIS2_PLACEMENT_3D('',#553282,#432555,#432556); #367093=AXIS2_PLACEMENT_3D('',#553288,#432560,#432561); #367094=AXIS2_PLACEMENT_3D('',#553294,#432565,#432566); #367095=AXIS2_PLACEMENT_3D('',#553300,#432570,#432571); #367096=AXIS2_PLACEMENT_3D('',#553306,#432575,#432576); #367097=AXIS2_PLACEMENT_3D('',#553312,#432580,#432581); #367098=AXIS2_PLACEMENT_3D('',#553318,#432585,#432586); #367099=AXIS2_PLACEMENT_3D('',#553324,#432590,#432591); #367100=AXIS2_PLACEMENT_3D('',#553330,#432595,#432596); #367101=AXIS2_PLACEMENT_3D('',#553336,#432600,#432601); #367102=AXIS2_PLACEMENT_3D('',#553342,#432605,#432606); #367103=AXIS2_PLACEMENT_3D('',#553348,#432610,#432611); #367104=AXIS2_PLACEMENT_3D('',#553354,#432615,#432616); #367105=AXIS2_PLACEMENT_3D('',#553360,#432620,#432621); #367106=AXIS2_PLACEMENT_3D('',#553366,#432625,#432626); #367107=AXIS2_PLACEMENT_3D('',#553372,#432630,#432631); #367108=AXIS2_PLACEMENT_3D('',#553378,#432635,#432636); #367109=AXIS2_PLACEMENT_3D('',#553384,#432640,#432641); #367110=AXIS2_PLACEMENT_3D('',#553390,#432645,#432646); #367111=AXIS2_PLACEMENT_3D('',#553396,#432650,#432651); #367112=AXIS2_PLACEMENT_3D('',#553402,#432655,#432656); #367113=AXIS2_PLACEMENT_3D('',#553408,#432660,#432661); #367114=AXIS2_PLACEMENT_3D('',#553414,#432665,#432666); #367115=AXIS2_PLACEMENT_3D('',#553420,#432670,#432671); #367116=AXIS2_PLACEMENT_3D('',#553426,#432675,#432676); #367117=AXIS2_PLACEMENT_3D('',#553432,#432680,#432681); #367118=AXIS2_PLACEMENT_3D('',#553438,#432685,#432686); #367119=AXIS2_PLACEMENT_3D('',#553444,#432690,#432691); #367120=AXIS2_PLACEMENT_3D('',#553450,#432695,#432696); #367121=AXIS2_PLACEMENT_3D('',#553456,#432700,#432701); #367122=AXIS2_PLACEMENT_3D('',#553462,#432705,#432706); #367123=AXIS2_PLACEMENT_3D('',#553468,#432710,#432711); #367124=AXIS2_PLACEMENT_3D('',#553474,#432715,#432716); #367125=AXIS2_PLACEMENT_3D('',#553480,#432720,#432721); #367126=AXIS2_PLACEMENT_3D('',#553486,#432725,#432726); #367127=AXIS2_PLACEMENT_3D('',#553492,#432730,#432731); #367128=AXIS2_PLACEMENT_3D('',#553498,#432735,#432736); #367129=AXIS2_PLACEMENT_3D('',#553504,#432740,#432741); #367130=AXIS2_PLACEMENT_3D('',#553510,#432745,#432746); #367131=AXIS2_PLACEMENT_3D('',#553516,#432750,#432751); #367132=AXIS2_PLACEMENT_3D('',#553522,#432755,#432756); #367133=AXIS2_PLACEMENT_3D('',#553528,#432760,#432761); #367134=AXIS2_PLACEMENT_3D('',#553534,#432765,#432766); #367135=AXIS2_PLACEMENT_3D('',#553540,#432770,#432771); #367136=AXIS2_PLACEMENT_3D('',#553546,#432775,#432776); #367137=AXIS2_PLACEMENT_3D('',#553552,#432780,#432781); #367138=AXIS2_PLACEMENT_3D('',#553558,#432785,#432786); #367139=AXIS2_PLACEMENT_3D('',#553564,#432790,#432791); #367140=AXIS2_PLACEMENT_3D('',#553570,#432795,#432796); #367141=AXIS2_PLACEMENT_3D('',#553576,#432800,#432801); #367142=AXIS2_PLACEMENT_3D('',#553582,#432805,#432806); #367143=AXIS2_PLACEMENT_3D('',#553588,#432810,#432811); #367144=AXIS2_PLACEMENT_3D('',#553594,#432815,#432816); #367145=AXIS2_PLACEMENT_3D('',#553600,#432820,#432821); #367146=AXIS2_PLACEMENT_3D('',#553606,#432825,#432826); #367147=AXIS2_PLACEMENT_3D('',#553612,#432830,#432831); #367148=AXIS2_PLACEMENT_3D('',#553618,#432835,#432836); #367149=AXIS2_PLACEMENT_3D('',#553624,#432840,#432841); #367150=AXIS2_PLACEMENT_3D('',#553630,#432845,#432846); #367151=AXIS2_PLACEMENT_3D('',#553636,#432850,#432851); #367152=AXIS2_PLACEMENT_3D('',#553642,#432855,#432856); #367153=AXIS2_PLACEMENT_3D('',#553648,#432860,#432861); #367154=AXIS2_PLACEMENT_3D('',#553654,#432865,#432866); #367155=AXIS2_PLACEMENT_3D('',#553660,#432870,#432871); #367156=AXIS2_PLACEMENT_3D('',#553666,#432875,#432876); #367157=AXIS2_PLACEMENT_3D('',#553672,#432880,#432881); #367158=AXIS2_PLACEMENT_3D('',#553678,#432885,#432886); #367159=AXIS2_PLACEMENT_3D('',#553684,#432890,#432891); #367160=AXIS2_PLACEMENT_3D('',#553690,#432895,#432896); #367161=AXIS2_PLACEMENT_3D('',#553696,#432900,#432901); #367162=AXIS2_PLACEMENT_3D('',#553702,#432905,#432906); #367163=AXIS2_PLACEMENT_3D('',#553708,#432910,#432911); #367164=AXIS2_PLACEMENT_3D('',#553714,#432915,#432916); #367165=AXIS2_PLACEMENT_3D('',#553720,#432920,#432921); #367166=AXIS2_PLACEMENT_3D('',#553726,#432925,#432926); #367167=AXIS2_PLACEMENT_3D('',#553732,#432930,#432931); #367168=AXIS2_PLACEMENT_3D('',#553738,#432935,#432936); #367169=AXIS2_PLACEMENT_3D('',#553744,#432940,#432941); #367170=AXIS2_PLACEMENT_3D('',#553750,#432945,#432946); #367171=AXIS2_PLACEMENT_3D('',#553756,#432950,#432951); #367172=AXIS2_PLACEMENT_3D('',#553762,#432955,#432956); #367173=AXIS2_PLACEMENT_3D('',#553768,#432960,#432961); #367174=AXIS2_PLACEMENT_3D('',#553774,#432965,#432966); #367175=AXIS2_PLACEMENT_3D('',#553780,#432970,#432971); #367176=AXIS2_PLACEMENT_3D('',#553786,#432975,#432976); #367177=AXIS2_PLACEMENT_3D('',#553792,#432980,#432981); #367178=AXIS2_PLACEMENT_3D('',#553798,#432985,#432986); #367179=AXIS2_PLACEMENT_3D('',#553804,#432990,#432991); #367180=AXIS2_PLACEMENT_3D('',#553810,#432995,#432996); #367181=AXIS2_PLACEMENT_3D('',#553816,#433000,#433001); #367182=AXIS2_PLACEMENT_3D('',#553822,#433005,#433006); #367183=AXIS2_PLACEMENT_3D('',#553828,#433010,#433011); #367184=AXIS2_PLACEMENT_3D('',#553834,#433015,#433016); #367185=AXIS2_PLACEMENT_3D('',#553840,#433020,#433021); #367186=AXIS2_PLACEMENT_3D('',#553846,#433025,#433026); #367187=AXIS2_PLACEMENT_3D('',#553852,#433030,#433031); #367188=AXIS2_PLACEMENT_3D('',#553858,#433035,#433036); #367189=AXIS2_PLACEMENT_3D('',#553864,#433040,#433041); #367190=AXIS2_PLACEMENT_3D('',#553870,#433045,#433046); #367191=AXIS2_PLACEMENT_3D('',#553873,#433049,#433050); #367192=AXIS2_PLACEMENT_3D('',#553882,#433055,#433056); #367193=AXIS2_PLACEMENT_3D('',#553888,#433060,#433061); #367194=AXIS2_PLACEMENT_3D('',#553894,#433065,#433066); #367195=AXIS2_PLACEMENT_3D('',#553900,#433070,#433071); #367196=AXIS2_PLACEMENT_3D('',#553906,#433075,#433076); #367197=AXIS2_PLACEMENT_3D('',#553912,#433080,#433081); #367198=AXIS2_PLACEMENT_3D('',#553918,#433085,#433086); #367199=AXIS2_PLACEMENT_3D('',#553921,#433089,#433090); #367200=AXIS2_PLACEMENT_3D('',#553930,#433095,#433096); #367201=AXIS2_PLACEMENT_3D('',#553936,#433100,#433101); #367202=AXIS2_PLACEMENT_3D('',#553942,#433105,#433106); #367203=AXIS2_PLACEMENT_3D('',#553948,#433110,#433111); #367204=AXIS2_PLACEMENT_3D('',#553954,#433115,#433116); #367205=AXIS2_PLACEMENT_3D('',#553960,#433120,#433121); #367206=AXIS2_PLACEMENT_3D('',#553966,#433125,#433126); #367207=AXIS2_PLACEMENT_3D('',#553969,#433129,#433130); #367208=AXIS2_PLACEMENT_3D('',#553978,#433135,#433136); #367209=AXIS2_PLACEMENT_3D('',#553984,#433140,#433141); #367210=AXIS2_PLACEMENT_3D('',#553990,#433145,#433146); #367211=AXIS2_PLACEMENT_3D('',#553996,#433150,#433151); #367212=AXIS2_PLACEMENT_3D('',#554002,#433155,#433156); #367213=AXIS2_PLACEMENT_3D('',#554008,#433160,#433161); #367214=AXIS2_PLACEMENT_3D('',#554014,#433165,#433166); #367215=AXIS2_PLACEMENT_3D('',#554017,#433169,#433170); #367216=AXIS2_PLACEMENT_3D('',#554026,#433175,#433176); #367217=AXIS2_PLACEMENT_3D('',#554032,#433180,#433181); #367218=AXIS2_PLACEMENT_3D('',#554038,#433185,#433186); #367219=AXIS2_PLACEMENT_3D('',#554044,#433190,#433191); #367220=AXIS2_PLACEMENT_3D('',#554050,#433195,#433196); #367221=AXIS2_PLACEMENT_3D('',#554056,#433200,#433201); #367222=AXIS2_PLACEMENT_3D('',#554062,#433205,#433206); #367223=AXIS2_PLACEMENT_3D('',#554068,#433210,#433211); #367224=AXIS2_PLACEMENT_3D('',#554074,#433215,#433216); #367225=AXIS2_PLACEMENT_3D('',#554080,#433220,#433221); #367226=AXIS2_PLACEMENT_3D('',#554086,#433225,#433226); #367227=AXIS2_PLACEMENT_3D('',#554092,#433230,#433231); #367228=AXIS2_PLACEMENT_3D('',#554098,#433235,#433236); #367229=AXIS2_PLACEMENT_3D('',#554104,#433240,#433241); #367230=AXIS2_PLACEMENT_3D('',#554110,#433245,#433246); #367231=AXIS2_PLACEMENT_3D('',#554116,#433250,#433251); #367232=AXIS2_PLACEMENT_3D('',#554122,#433255,#433256); #367233=AXIS2_PLACEMENT_3D('',#554128,#433260,#433261); #367234=AXIS2_PLACEMENT_3D('',#554134,#433265,#433266); #367235=AXIS2_PLACEMENT_3D('',#554140,#433270,#433271); #367236=AXIS2_PLACEMENT_3D('',#554146,#433275,#433276); #367237=AXIS2_PLACEMENT_3D('',#554152,#433280,#433281); #367238=AXIS2_PLACEMENT_3D('',#554158,#433285,#433286); #367239=AXIS2_PLACEMENT_3D('',#554164,#433290,#433291); #367240=AXIS2_PLACEMENT_3D('',#554170,#433295,#433296); #367241=AXIS2_PLACEMENT_3D('',#554176,#433300,#433301); #367242=AXIS2_PLACEMENT_3D('',#554182,#433305,#433306); #367243=AXIS2_PLACEMENT_3D('',#554188,#433310,#433311); #367244=AXIS2_PLACEMENT_3D('',#554194,#433315,#433316); #367245=AXIS2_PLACEMENT_3D('',#554200,#433320,#433321); #367246=AXIS2_PLACEMENT_3D('',#554206,#433325,#433326); #367247=AXIS2_PLACEMENT_3D('',#554212,#433330,#433331); #367248=AXIS2_PLACEMENT_3D('',#554218,#433335,#433336); #367249=AXIS2_PLACEMENT_3D('',#554221,#433339,#433340); #367250=AXIS2_PLACEMENT_3D('',#554230,#433345,#433346); #367251=AXIS2_PLACEMENT_3D('',#554236,#433350,#433351); #367252=AXIS2_PLACEMENT_3D('',#554242,#433355,#433356); #367253=AXIS2_PLACEMENT_3D('',#554248,#433360,#433361); #367254=AXIS2_PLACEMENT_3D('',#554254,#433365,#433366); #367255=AXIS2_PLACEMENT_3D('',#554260,#433370,#433371); #367256=AXIS2_PLACEMENT_3D('',#554266,#433375,#433376); #367257=AXIS2_PLACEMENT_3D('',#554272,#433380,#433381); #367258=AXIS2_PLACEMENT_3D('',#554278,#433385,#433386); #367259=AXIS2_PLACEMENT_3D('',#554284,#433390,#433391); #367260=AXIS2_PLACEMENT_3D('',#554290,#433395,#433396); #367261=AXIS2_PLACEMENT_3D('',#554296,#433400,#433401); #367262=AXIS2_PLACEMENT_3D('',#554302,#433405,#433406); #367263=AXIS2_PLACEMENT_3D('',#554308,#433410,#433411); #367264=AXIS2_PLACEMENT_3D('',#554314,#433415,#433416); #367265=AXIS2_PLACEMENT_3D('',#554320,#433420,#433421); #367266=AXIS2_PLACEMENT_3D('',#554326,#433425,#433426); #367267=AXIS2_PLACEMENT_3D('',#554332,#433430,#433431); #367268=AXIS2_PLACEMENT_3D('',#554338,#433435,#433436); #367269=AXIS2_PLACEMENT_3D('',#554344,#433440,#433441); #367270=AXIS2_PLACEMENT_3D('',#554350,#433445,#433446); #367271=AXIS2_PLACEMENT_3D('',#554356,#433450,#433451); #367272=AXIS2_PLACEMENT_3D('',#554362,#433455,#433456); #367273=AXIS2_PLACEMENT_3D('',#554368,#433460,#433461); #367274=AXIS2_PLACEMENT_3D('',#554374,#433465,#433466); #367275=AXIS2_PLACEMENT_3D('',#554380,#433470,#433471); #367276=AXIS2_PLACEMENT_3D('',#554386,#433475,#433476); #367277=AXIS2_PLACEMENT_3D('',#554392,#433480,#433481); #367278=AXIS2_PLACEMENT_3D('',#554398,#433485,#433486); #367279=AXIS2_PLACEMENT_3D('',#554404,#433490,#433491); #367280=AXIS2_PLACEMENT_3D('',#554410,#433495,#433496); #367281=AXIS2_PLACEMENT_3D('',#554416,#433500,#433501); #367282=AXIS2_PLACEMENT_3D('',#554422,#433505,#433506); #367283=AXIS2_PLACEMENT_3D('',#554425,#433509,#433510); #367284=AXIS2_PLACEMENT_3D('',#554434,#433515,#433516); #367285=AXIS2_PLACEMENT_3D('',#554440,#433520,#433521); #367286=AXIS2_PLACEMENT_3D('',#554446,#433525,#433526); #367287=AXIS2_PLACEMENT_3D('',#554452,#433530,#433531); #367288=AXIS2_PLACEMENT_3D('',#554458,#433535,#433536); #367289=AXIS2_PLACEMENT_3D('',#554464,#433540,#433541); #367290=AXIS2_PLACEMENT_3D('',#554470,#433545,#433546); #367291=AXIS2_PLACEMENT_3D('',#554476,#433550,#433551); #367292=AXIS2_PLACEMENT_3D('',#554482,#433555,#433556); #367293=AXIS2_PLACEMENT_3D('',#554488,#433560,#433561); #367294=AXIS2_PLACEMENT_3D('',#554494,#433565,#433566); #367295=AXIS2_PLACEMENT_3D('',#554500,#433570,#433571); #367296=AXIS2_PLACEMENT_3D('',#554506,#433575,#433576); #367297=AXIS2_PLACEMENT_3D('',#554512,#433580,#433581); #367298=AXIS2_PLACEMENT_3D('',#554518,#433585,#433586); #367299=AXIS2_PLACEMENT_3D('',#554524,#433590,#433591); #367300=AXIS2_PLACEMENT_3D('',#554530,#433595,#433596); #367301=AXIS2_PLACEMENT_3D('',#554536,#433600,#433601); #367302=AXIS2_PLACEMENT_3D('',#554542,#433605,#433606); #367303=AXIS2_PLACEMENT_3D('',#554548,#433610,#433611); #367304=AXIS2_PLACEMENT_3D('',#554554,#433615,#433616); #367305=AXIS2_PLACEMENT_3D('',#554560,#433620,#433621); #367306=AXIS2_PLACEMENT_3D('',#554566,#433625,#433626); #367307=AXIS2_PLACEMENT_3D('',#554572,#433630,#433631); #367308=AXIS2_PLACEMENT_3D('',#554578,#433635,#433636); #367309=AXIS2_PLACEMENT_3D('',#554584,#433640,#433641); #367310=AXIS2_PLACEMENT_3D('',#554590,#433645,#433646); #367311=AXIS2_PLACEMENT_3D('',#554596,#433650,#433651); #367312=AXIS2_PLACEMENT_3D('',#554602,#433655,#433656); #367313=AXIS2_PLACEMENT_3D('',#554608,#433660,#433661); #367314=AXIS2_PLACEMENT_3D('',#554614,#433665,#433666); #367315=AXIS2_PLACEMENT_3D('',#554620,#433670,#433671); #367316=AXIS2_PLACEMENT_3D('',#554626,#433675,#433676); #367317=AXIS2_PLACEMENT_3D('',#554632,#433680,#433681); #367318=AXIS2_PLACEMENT_3D('',#554638,#433685,#433686); #367319=AXIS2_PLACEMENT_3D('',#554644,#433690,#433691); #367320=AXIS2_PLACEMENT_3D('',#554650,#433695,#433696); #367321=AXIS2_PLACEMENT_3D('',#554656,#433700,#433701); #367322=AXIS2_PLACEMENT_3D('',#554662,#433705,#433706); #367323=AXIS2_PLACEMENT_3D('',#554665,#433709,#433710); #367324=AXIS2_PLACEMENT_3D('',#554674,#433715,#433716); #367325=AXIS2_PLACEMENT_3D('',#554680,#433720,#433721); #367326=AXIS2_PLACEMENT_3D('',#554686,#433725,#433726); #367327=AXIS2_PLACEMENT_3D('',#554692,#433730,#433731); #367328=AXIS2_PLACEMENT_3D('',#554698,#433735,#433736); #367329=AXIS2_PLACEMENT_3D('',#554704,#433740,#433741); #367330=AXIS2_PLACEMENT_3D('',#554710,#433745,#433746); #367331=AXIS2_PLACEMENT_3D('',#554716,#433750,#433751); #367332=AXIS2_PLACEMENT_3D('',#554722,#433755,#433756); #367333=AXIS2_PLACEMENT_3D('',#554728,#433760,#433761); #367334=AXIS2_PLACEMENT_3D('',#554734,#433765,#433766); #367335=AXIS2_PLACEMENT_3D('',#554740,#433770,#433771); #367336=AXIS2_PLACEMENT_3D('',#554746,#433775,#433776); #367337=AXIS2_PLACEMENT_3D('',#554752,#433780,#433781); #367338=AXIS2_PLACEMENT_3D('',#554758,#433785,#433786); #367339=AXIS2_PLACEMENT_3D('',#554764,#433790,#433791); #367340=AXIS2_PLACEMENT_3D('',#554770,#433795,#433796); #367341=AXIS2_PLACEMENT_3D('',#554776,#433800,#433801); #367342=AXIS2_PLACEMENT_3D('',#554782,#433805,#433806); #367343=AXIS2_PLACEMENT_3D('',#554788,#433810,#433811); #367344=AXIS2_PLACEMENT_3D('',#554794,#433815,#433816); #367345=AXIS2_PLACEMENT_3D('',#554800,#433820,#433821); #367346=AXIS2_PLACEMENT_3D('',#554806,#433825,#433826); #367347=AXIS2_PLACEMENT_3D('',#554812,#433830,#433831); #367348=AXIS2_PLACEMENT_3D('',#554818,#433835,#433836); #367349=AXIS2_PLACEMENT_3D('',#554824,#433840,#433841); #367350=AXIS2_PLACEMENT_3D('',#554830,#433845,#433846); #367351=AXIS2_PLACEMENT_3D('',#554836,#433850,#433851); #367352=AXIS2_PLACEMENT_3D('',#554842,#433855,#433856); #367353=AXIS2_PLACEMENT_3D('',#554848,#433860,#433861); #367354=AXIS2_PLACEMENT_3D('',#554854,#433865,#433866); #367355=AXIS2_PLACEMENT_3D('',#554860,#433870,#433871); #367356=AXIS2_PLACEMENT_3D('',#554866,#433875,#433876); #367357=AXIS2_PLACEMENT_3D('',#554872,#433880,#433881); #367358=AXIS2_PLACEMENT_3D('',#554878,#433885,#433886); #367359=AXIS2_PLACEMENT_3D('',#554884,#433890,#433891); #367360=AXIS2_PLACEMENT_3D('',#554890,#433895,#433896); #367361=AXIS2_PLACEMENT_3D('',#554893,#433899,#433900); #367362=AXIS2_PLACEMENT_3D('',#554902,#433905,#433906); #367363=AXIS2_PLACEMENT_3D('',#554908,#433910,#433911); #367364=AXIS2_PLACEMENT_3D('',#554914,#433915,#433916); #367365=AXIS2_PLACEMENT_3D('',#554920,#433920,#433921); #367366=AXIS2_PLACEMENT_3D('',#554926,#433925,#433926); #367367=AXIS2_PLACEMENT_3D('',#554932,#433930,#433931); #367368=AXIS2_PLACEMENT_3D('',#554938,#433935,#433936); #367369=AXIS2_PLACEMENT_3D('',#554944,#433940,#433941); #367370=AXIS2_PLACEMENT_3D('',#554950,#433945,#433946); #367371=AXIS2_PLACEMENT_3D('',#554956,#433950,#433951); #367372=AXIS2_PLACEMENT_3D('',#554962,#433955,#433956); #367373=AXIS2_PLACEMENT_3D('',#554968,#433960,#433961); #367374=AXIS2_PLACEMENT_3D('',#554974,#433965,#433966); #367375=AXIS2_PLACEMENT_3D('',#554980,#433970,#433971); #367376=AXIS2_PLACEMENT_3D('',#554986,#433975,#433976); #367377=AXIS2_PLACEMENT_3D('',#554992,#433980,#433981); #367378=AXIS2_PLACEMENT_3D('',#554998,#433985,#433986); #367379=AXIS2_PLACEMENT_3D('',#555004,#433990,#433991); #367380=AXIS2_PLACEMENT_3D('',#555010,#433995,#433996); #367381=AXIS2_PLACEMENT_3D('',#555016,#434000,#434001); #367382=AXIS2_PLACEMENT_3D('',#555022,#434005,#434006); #367383=AXIS2_PLACEMENT_3D('',#555028,#434010,#434011); #367384=AXIS2_PLACEMENT_3D('',#555034,#434015,#434016); #367385=AXIS2_PLACEMENT_3D('',#555037,#434019,#434020); #367386=AXIS2_PLACEMENT_3D('',#555046,#434025,#434026); #367387=AXIS2_PLACEMENT_3D('',#555052,#434030,#434031); #367388=AXIS2_PLACEMENT_3D('',#555058,#434035,#434036); #367389=AXIS2_PLACEMENT_3D('',#555064,#434040,#434041); #367390=AXIS2_PLACEMENT_3D('',#555070,#434045,#434046); #367391=AXIS2_PLACEMENT_3D('',#555076,#434050,#434051); #367392=AXIS2_PLACEMENT_3D('',#555082,#434055,#434056); #367393=AXIS2_PLACEMENT_3D('',#555088,#434060,#434061); #367394=AXIS2_PLACEMENT_3D('',#555094,#434065,#434066); #367395=AXIS2_PLACEMENT_3D('',#555100,#434070,#434071); #367396=AXIS2_PLACEMENT_3D('',#555106,#434075,#434076); #367397=AXIS2_PLACEMENT_3D('',#555112,#434080,#434081); #367398=AXIS2_PLACEMENT_3D('',#555118,#434085,#434086); #367399=AXIS2_PLACEMENT_3D('',#555124,#434090,#434091); #367400=AXIS2_PLACEMENT_3D('',#555130,#434095,#434096); #367401=AXIS2_PLACEMENT_3D('',#555136,#434100,#434101); #367402=AXIS2_PLACEMENT_3D('',#555142,#434105,#434106); #367403=AXIS2_PLACEMENT_3D('',#555148,#434110,#434111); #367404=AXIS2_PLACEMENT_3D('',#555154,#434115,#434116); #367405=AXIS2_PLACEMENT_3D('',#555160,#434120,#434121); #367406=AXIS2_PLACEMENT_3D('',#555166,#434125,#434126); #367407=AXIS2_PLACEMENT_3D('',#555172,#434130,#434131); #367408=AXIS2_PLACEMENT_3D('',#555178,#434135,#434136); #367409=AXIS2_PLACEMENT_3D('',#555184,#434140,#434141); #367410=AXIS2_PLACEMENT_3D('',#555190,#434145,#434146); #367411=AXIS2_PLACEMENT_3D('',#555196,#434150,#434151); #367412=AXIS2_PLACEMENT_3D('',#555202,#434155,#434156); #367413=AXIS2_PLACEMENT_3D('',#555208,#434160,#434161); #367414=AXIS2_PLACEMENT_3D('',#555214,#434165,#434166); #367415=AXIS2_PLACEMENT_3D('',#555220,#434170,#434171); #367416=AXIS2_PLACEMENT_3D('',#555226,#434175,#434176); #367417=AXIS2_PLACEMENT_3D('',#555232,#434180,#434181); #367418=AXIS2_PLACEMENT_3D('',#555238,#434185,#434186); #367419=AXIS2_PLACEMENT_3D('',#555244,#434190,#434191); #367420=AXIS2_PLACEMENT_3D('',#555250,#434195,#434196); #367421=AXIS2_PLACEMENT_3D('',#555256,#434200,#434201); #367422=AXIS2_PLACEMENT_3D('',#555262,#434205,#434206); #367423=AXIS2_PLACEMENT_3D('',#555268,#434210,#434211); #367424=AXIS2_PLACEMENT_3D('',#555274,#434215,#434216); #367425=AXIS2_PLACEMENT_3D('',#555280,#434220,#434221); #367426=AXIS2_PLACEMENT_3D('',#555286,#434225,#434226); #367427=AXIS2_PLACEMENT_3D('',#555292,#434230,#434231); #367428=AXIS2_PLACEMENT_3D('',#555298,#434235,#434236); #367429=AXIS2_PLACEMENT_3D('',#555304,#434240,#434241); #367430=AXIS2_PLACEMENT_3D('',#555310,#434245,#434246); #367431=AXIS2_PLACEMENT_3D('',#555316,#434250,#434251); #367432=AXIS2_PLACEMENT_3D('',#555322,#434255,#434256); #367433=AXIS2_PLACEMENT_3D('',#555328,#434260,#434261); #367434=AXIS2_PLACEMENT_3D('',#555334,#434265,#434266); #367435=AXIS2_PLACEMENT_3D('',#555340,#434270,#434271); #367436=AXIS2_PLACEMENT_3D('',#555346,#434275,#434276); #367437=AXIS2_PLACEMENT_3D('',#555352,#434280,#434281); #367438=AXIS2_PLACEMENT_3D('',#555358,#434285,#434286); #367439=AXIS2_PLACEMENT_3D('',#555364,#434290,#434291); #367440=AXIS2_PLACEMENT_3D('',#555370,#434295,#434296); #367441=AXIS2_PLACEMENT_3D('',#555376,#434300,#434301); #367442=AXIS2_PLACEMENT_3D('',#555382,#434305,#434306); #367443=AXIS2_PLACEMENT_3D('',#555388,#434310,#434311); #367444=AXIS2_PLACEMENT_3D('',#555394,#434315,#434316); #367445=AXIS2_PLACEMENT_3D('',#555400,#434320,#434321); #367446=AXIS2_PLACEMENT_3D('',#555406,#434325,#434326); #367447=AXIS2_PLACEMENT_3D('',#555412,#434330,#434331); #367448=AXIS2_PLACEMENT_3D('',#555418,#434335,#434336); #367449=AXIS2_PLACEMENT_3D('',#555424,#434340,#434341); #367450=AXIS2_PLACEMENT_3D('',#555430,#434345,#434346); #367451=AXIS2_PLACEMENT_3D('',#555436,#434350,#434351); #367452=AXIS2_PLACEMENT_3D('',#555442,#434355,#434356); #367453=AXIS2_PLACEMENT_3D('',#555448,#434360,#434361); #367454=AXIS2_PLACEMENT_3D('',#555454,#434365,#434366); #367455=AXIS2_PLACEMENT_3D('',#555460,#434370,#434371); #367456=AXIS2_PLACEMENT_3D('',#555466,#434375,#434376); #367457=AXIS2_PLACEMENT_3D('',#555472,#434380,#434381); #367458=AXIS2_PLACEMENT_3D('',#555478,#434385,#434386); #367459=AXIS2_PLACEMENT_3D('',#555484,#434390,#434391); #367460=AXIS2_PLACEMENT_3D('',#555490,#434395,#434396); #367461=AXIS2_PLACEMENT_3D('',#555496,#434400,#434401); #367462=AXIS2_PLACEMENT_3D('',#555502,#434405,#434406); #367463=AXIS2_PLACEMENT_3D('',#555508,#434410,#434411); #367464=AXIS2_PLACEMENT_3D('',#555514,#434415,#434416); #367465=AXIS2_PLACEMENT_3D('',#555520,#434420,#434421); #367466=AXIS2_PLACEMENT_3D('',#555526,#434425,#434426); #367467=AXIS2_PLACEMENT_3D('',#555532,#434430,#434431); #367468=AXIS2_PLACEMENT_3D('',#555538,#434435,#434436); #367469=AXIS2_PLACEMENT_3D('',#555544,#434440,#434441); #367470=AXIS2_PLACEMENT_3D('',#555550,#434445,#434446); #367471=AXIS2_PLACEMENT_3D('',#555556,#434450,#434451); #367472=AXIS2_PLACEMENT_3D('',#555562,#434455,#434456); #367473=AXIS2_PLACEMENT_3D('',#555568,#434460,#434461); #367474=AXIS2_PLACEMENT_3D('',#555574,#434465,#434466); #367475=AXIS2_PLACEMENT_3D('',#555580,#434470,#434471); #367476=AXIS2_PLACEMENT_3D('',#555586,#434475,#434476); #367477=AXIS2_PLACEMENT_3D('',#555592,#434480,#434481); #367478=AXIS2_PLACEMENT_3D('',#555598,#434485,#434486); #367479=AXIS2_PLACEMENT_3D('',#555604,#434490,#434491); #367480=AXIS2_PLACEMENT_3D('',#555610,#434495,#434496); #367481=AXIS2_PLACEMENT_3D('',#555616,#434500,#434501); #367482=AXIS2_PLACEMENT_3D('',#555622,#434505,#434506); #367483=AXIS2_PLACEMENT_3D('',#555628,#434510,#434511); #367484=AXIS2_PLACEMENT_3D('',#555634,#434515,#434516); #367485=AXIS2_PLACEMENT_3D('',#555640,#434520,#434521); #367486=AXIS2_PLACEMENT_3D('',#555646,#434525,#434526); #367487=AXIS2_PLACEMENT_3D('',#555652,#434530,#434531); #367488=AXIS2_PLACEMENT_3D('',#555658,#434535,#434536); #367489=AXIS2_PLACEMENT_3D('',#555664,#434540,#434541); #367490=AXIS2_PLACEMENT_3D('',#555670,#434545,#434546); #367491=AXIS2_PLACEMENT_3D('',#555676,#434550,#434551); #367492=AXIS2_PLACEMENT_3D('',#555682,#434555,#434556); #367493=AXIS2_PLACEMENT_3D('',#555688,#434560,#434561); #367494=AXIS2_PLACEMENT_3D('',#555694,#434565,#434566); #367495=AXIS2_PLACEMENT_3D('',#555700,#434570,#434571); #367496=AXIS2_PLACEMENT_3D('',#555706,#434575,#434576); #367497=AXIS2_PLACEMENT_3D('',#555712,#434580,#434581); #367498=AXIS2_PLACEMENT_3D('',#555718,#434585,#434586); #367499=AXIS2_PLACEMENT_3D('',#555724,#434590,#434591); #367500=AXIS2_PLACEMENT_3D('',#555730,#434595,#434596); #367501=AXIS2_PLACEMENT_3D('',#555736,#434600,#434601); #367502=AXIS2_PLACEMENT_3D('',#555742,#434605,#434606); #367503=AXIS2_PLACEMENT_3D('',#555748,#434610,#434611); #367504=AXIS2_PLACEMENT_3D('',#555754,#434615,#434616); #367505=AXIS2_PLACEMENT_3D('',#555760,#434620,#434621); #367506=AXIS2_PLACEMENT_3D('',#555766,#434625,#434626); #367507=AXIS2_PLACEMENT_3D('',#555772,#434630,#434631); #367508=AXIS2_PLACEMENT_3D('',#555778,#434635,#434636); #367509=AXIS2_PLACEMENT_3D('',#555784,#434640,#434641); #367510=AXIS2_PLACEMENT_3D('',#555790,#434645,#434646); #367511=AXIS2_PLACEMENT_3D('',#555796,#434650,#434651); #367512=AXIS2_PLACEMENT_3D('',#555802,#434655,#434656); #367513=AXIS2_PLACEMENT_3D('',#555808,#434660,#434661); #367514=AXIS2_PLACEMENT_3D('',#555814,#434665,#434666); #367515=AXIS2_PLACEMENT_3D('',#555820,#434670,#434671); #367516=AXIS2_PLACEMENT_3D('',#555826,#434675,#434676); #367517=AXIS2_PLACEMENT_3D('',#555832,#434680,#434681); #367518=AXIS2_PLACEMENT_3D('',#555838,#434685,#434686); #367519=AXIS2_PLACEMENT_3D('',#555844,#434690,#434691); #367520=AXIS2_PLACEMENT_3D('',#555850,#434695,#434696); #367521=AXIS2_PLACEMENT_3D('',#555856,#434700,#434701); #367522=AXIS2_PLACEMENT_3D('',#555862,#434705,#434706); #367523=AXIS2_PLACEMENT_3D('',#555868,#434710,#434711); #367524=AXIS2_PLACEMENT_3D('',#555874,#434715,#434716); #367525=AXIS2_PLACEMENT_3D('',#555880,#434720,#434721); #367526=AXIS2_PLACEMENT_3D('',#555886,#434725,#434726); #367527=AXIS2_PLACEMENT_3D('',#555892,#434730,#434731); #367528=AXIS2_PLACEMENT_3D('',#555898,#434735,#434736); #367529=AXIS2_PLACEMENT_3D('',#555904,#434740,#434741); #367530=AXIS2_PLACEMENT_3D('',#555910,#434745,#434746); #367531=AXIS2_PLACEMENT_3D('',#555916,#434750,#434751); #367532=AXIS2_PLACEMENT_3D('',#555922,#434755,#434756); #367533=AXIS2_PLACEMENT_3D('',#555928,#434760,#434761); #367534=AXIS2_PLACEMENT_3D('',#555934,#434765,#434766); #367535=AXIS2_PLACEMENT_3D('',#555940,#434770,#434771); #367536=AXIS2_PLACEMENT_3D('',#555946,#434775,#434776); #367537=AXIS2_PLACEMENT_3D('',#555952,#434780,#434781); #367538=AXIS2_PLACEMENT_3D('',#555958,#434785,#434786); #367539=AXIS2_PLACEMENT_3D('',#555964,#434790,#434791); #367540=AXIS2_PLACEMENT_3D('',#555970,#434795,#434796); #367541=AXIS2_PLACEMENT_3D('',#555976,#434800,#434801); #367542=AXIS2_PLACEMENT_3D('',#555982,#434805,#434806); #367543=AXIS2_PLACEMENT_3D('',#555988,#434810,#434811); #367544=AXIS2_PLACEMENT_3D('',#555994,#434815,#434816); #367545=AXIS2_PLACEMENT_3D('',#556000,#434820,#434821); #367546=AXIS2_PLACEMENT_3D('',#556006,#434825,#434826); #367547=AXIS2_PLACEMENT_3D('',#556012,#434830,#434831); #367548=AXIS2_PLACEMENT_3D('',#556018,#434835,#434836); #367549=AXIS2_PLACEMENT_3D('',#556024,#434840,#434841); #367550=AXIS2_PLACEMENT_3D('',#556030,#434845,#434846); #367551=AXIS2_PLACEMENT_3D('',#556036,#434850,#434851); #367552=AXIS2_PLACEMENT_3D('',#556042,#434855,#434856); #367553=AXIS2_PLACEMENT_3D('',#556048,#434860,#434861); #367554=AXIS2_PLACEMENT_3D('',#556054,#434865,#434866); #367555=AXIS2_PLACEMENT_3D('',#556060,#434870,#434871); #367556=AXIS2_PLACEMENT_3D('',#556066,#434875,#434876); #367557=AXIS2_PLACEMENT_3D('',#556072,#434880,#434881); #367558=AXIS2_PLACEMENT_3D('',#556078,#434885,#434886); #367559=AXIS2_PLACEMENT_3D('',#556084,#434890,#434891); #367560=AXIS2_PLACEMENT_3D('',#556090,#434895,#434896); #367561=AXIS2_PLACEMENT_3D('',#556096,#434900,#434901); #367562=AXIS2_PLACEMENT_3D('',#556102,#434905,#434906); #367563=AXIS2_PLACEMENT_3D('',#556108,#434910,#434911); #367564=AXIS2_PLACEMENT_3D('',#556114,#434915,#434916); #367565=AXIS2_PLACEMENT_3D('',#556120,#434920,#434921); #367566=AXIS2_PLACEMENT_3D('',#556126,#434925,#434926); #367567=AXIS2_PLACEMENT_3D('',#556132,#434930,#434931); #367568=AXIS2_PLACEMENT_3D('',#556138,#434935,#434936); #367569=AXIS2_PLACEMENT_3D('',#556144,#434940,#434941); #367570=AXIS2_PLACEMENT_3D('',#556150,#434945,#434946); #367571=AXIS2_PLACEMENT_3D('',#556156,#434950,#434951); #367572=AXIS2_PLACEMENT_3D('',#556162,#434955,#434956); #367573=AXIS2_PLACEMENT_3D('',#556168,#434960,#434961); #367574=AXIS2_PLACEMENT_3D('',#556174,#434965,#434966); #367575=AXIS2_PLACEMENT_3D('',#556180,#434970,#434971); #367576=AXIS2_PLACEMENT_3D('',#556186,#434975,#434976); #367577=AXIS2_PLACEMENT_3D('',#556192,#434980,#434981); #367578=AXIS2_PLACEMENT_3D('',#556198,#434985,#434986); #367579=AXIS2_PLACEMENT_3D('',#556204,#434990,#434991); #367580=AXIS2_PLACEMENT_3D('',#556210,#434995,#434996); #367581=AXIS2_PLACEMENT_3D('',#556216,#435000,#435001); #367582=AXIS2_PLACEMENT_3D('',#556222,#435005,#435006); #367583=AXIS2_PLACEMENT_3D('',#556228,#435010,#435011); #367584=AXIS2_PLACEMENT_3D('',#556234,#435015,#435016); #367585=AXIS2_PLACEMENT_3D('',#556240,#435020,#435021); #367586=AXIS2_PLACEMENT_3D('',#556246,#435025,#435026); #367587=AXIS2_PLACEMENT_3D('',#556252,#435030,#435031); #367588=AXIS2_PLACEMENT_3D('',#556258,#435035,#435036); #367589=AXIS2_PLACEMENT_3D('',#556264,#435040,#435041); #367590=AXIS2_PLACEMENT_3D('',#556270,#435045,#435046); #367591=AXIS2_PLACEMENT_3D('',#556276,#435050,#435051); #367592=AXIS2_PLACEMENT_3D('',#556282,#435055,#435056); #367593=AXIS2_PLACEMENT_3D('',#556288,#435060,#435061); #367594=AXIS2_PLACEMENT_3D('',#556294,#435065,#435066); #367595=AXIS2_PLACEMENT_3D('',#556300,#435070,#435071); #367596=AXIS2_PLACEMENT_3D('',#556306,#435075,#435076); #367597=AXIS2_PLACEMENT_3D('',#556312,#435080,#435081); #367598=AXIS2_PLACEMENT_3D('',#556318,#435085,#435086); #367599=AXIS2_PLACEMENT_3D('',#556324,#435090,#435091); #367600=AXIS2_PLACEMENT_3D('',#556330,#435095,#435096); #367601=AXIS2_PLACEMENT_3D('',#556336,#435100,#435101); #367602=AXIS2_PLACEMENT_3D('',#556342,#435105,#435106); #367603=AXIS2_PLACEMENT_3D('',#556348,#435110,#435111); #367604=AXIS2_PLACEMENT_3D('',#556354,#435115,#435116); #367605=AXIS2_PLACEMENT_3D('',#556360,#435120,#435121); #367606=AXIS2_PLACEMENT_3D('',#556366,#435125,#435126); #367607=AXIS2_PLACEMENT_3D('',#556372,#435130,#435131); #367608=AXIS2_PLACEMENT_3D('',#556378,#435135,#435136); #367609=AXIS2_PLACEMENT_3D('',#556384,#435140,#435141); #367610=AXIS2_PLACEMENT_3D('',#556390,#435145,#435146); #367611=AXIS2_PLACEMENT_3D('',#556396,#435150,#435151); #367612=AXIS2_PLACEMENT_3D('',#556402,#435155,#435156); #367613=AXIS2_PLACEMENT_3D('',#556408,#435160,#435161); #367614=AXIS2_PLACEMENT_3D('',#556414,#435165,#435166); #367615=AXIS2_PLACEMENT_3D('',#556420,#435170,#435171); #367616=AXIS2_PLACEMENT_3D('',#556426,#435175,#435176); #367617=AXIS2_PLACEMENT_3D('',#556432,#435180,#435181); #367618=AXIS2_PLACEMENT_3D('',#556438,#435185,#435186); #367619=AXIS2_PLACEMENT_3D('',#556444,#435190,#435191); #367620=AXIS2_PLACEMENT_3D('',#556450,#435195,#435196); #367621=AXIS2_PLACEMENT_3D('',#556456,#435200,#435201); #367622=AXIS2_PLACEMENT_3D('',#556462,#435205,#435206); #367623=AXIS2_PLACEMENT_3D('',#556468,#435210,#435211); #367624=AXIS2_PLACEMENT_3D('',#556474,#435215,#435216); #367625=AXIS2_PLACEMENT_3D('',#556480,#435220,#435221); #367626=AXIS2_PLACEMENT_3D('',#556486,#435225,#435226); #367627=AXIS2_PLACEMENT_3D('',#556492,#435230,#435231); #367628=AXIS2_PLACEMENT_3D('',#556498,#435235,#435236); #367629=AXIS2_PLACEMENT_3D('',#556504,#435240,#435241); #367630=AXIS2_PLACEMENT_3D('',#556510,#435245,#435246); #367631=AXIS2_PLACEMENT_3D('',#556516,#435250,#435251); #367632=AXIS2_PLACEMENT_3D('',#556522,#435255,#435256); #367633=AXIS2_PLACEMENT_3D('',#556528,#435260,#435261); #367634=AXIS2_PLACEMENT_3D('',#556534,#435265,#435266); #367635=AXIS2_PLACEMENT_3D('',#556540,#435270,#435271); #367636=AXIS2_PLACEMENT_3D('',#556546,#435275,#435276); #367637=AXIS2_PLACEMENT_3D('',#556552,#435280,#435281); #367638=AXIS2_PLACEMENT_3D('',#556558,#435285,#435286); #367639=AXIS2_PLACEMENT_3D('',#556564,#435290,#435291); #367640=AXIS2_PLACEMENT_3D('',#556570,#435295,#435296); #367641=AXIS2_PLACEMENT_3D('',#556576,#435300,#435301); #367642=AXIS2_PLACEMENT_3D('',#556582,#435305,#435306); #367643=AXIS2_PLACEMENT_3D('',#556588,#435310,#435311); #367644=AXIS2_PLACEMENT_3D('',#556594,#435315,#435316); #367645=AXIS2_PLACEMENT_3D('',#556600,#435320,#435321); #367646=AXIS2_PLACEMENT_3D('',#556606,#435325,#435326); #367647=AXIS2_PLACEMENT_3D('',#556612,#435330,#435331); #367648=AXIS2_PLACEMENT_3D('',#556618,#435335,#435336); #367649=AXIS2_PLACEMENT_3D('',#556624,#435340,#435341); #367650=AXIS2_PLACEMENT_3D('',#556630,#435345,#435346); #367651=AXIS2_PLACEMENT_3D('',#556636,#435350,#435351); #367652=AXIS2_PLACEMENT_3D('',#556642,#435355,#435356); #367653=AXIS2_PLACEMENT_3D('',#556648,#435360,#435361); #367654=AXIS2_PLACEMENT_3D('',#556654,#435365,#435366); #367655=AXIS2_PLACEMENT_3D('',#556660,#435370,#435371); #367656=AXIS2_PLACEMENT_3D('',#556666,#435375,#435376); #367657=AXIS2_PLACEMENT_3D('',#556672,#435380,#435381); #367658=AXIS2_PLACEMENT_3D('',#556678,#435385,#435386); #367659=AXIS2_PLACEMENT_3D('',#556684,#435390,#435391); #367660=AXIS2_PLACEMENT_3D('',#556690,#435395,#435396); #367661=AXIS2_PLACEMENT_3D('',#556696,#435400,#435401); #367662=AXIS2_PLACEMENT_3D('',#556702,#435405,#435406); #367663=AXIS2_PLACEMENT_3D('',#556708,#435410,#435411); #367664=AXIS2_PLACEMENT_3D('',#556714,#435415,#435416); #367665=AXIS2_PLACEMENT_3D('',#556720,#435420,#435421); #367666=AXIS2_PLACEMENT_3D('',#556726,#435425,#435426); #367667=AXIS2_PLACEMENT_3D('',#556732,#435430,#435431); #367668=AXIS2_PLACEMENT_3D('',#556738,#435435,#435436); #367669=AXIS2_PLACEMENT_3D('',#556744,#435440,#435441); #367670=AXIS2_PLACEMENT_3D('',#556750,#435445,#435446); #367671=AXIS2_PLACEMENT_3D('',#556756,#435450,#435451); #367672=AXIS2_PLACEMENT_3D('',#556762,#435455,#435456); #367673=AXIS2_PLACEMENT_3D('',#556768,#435460,#435461); #367674=AXIS2_PLACEMENT_3D('',#556774,#435465,#435466); #367675=AXIS2_PLACEMENT_3D('',#556780,#435470,#435471); #367676=AXIS2_PLACEMENT_3D('',#556786,#435475,#435476); #367677=AXIS2_PLACEMENT_3D('',#556792,#435480,#435481); #367678=AXIS2_PLACEMENT_3D('',#556798,#435485,#435486); #367679=AXIS2_PLACEMENT_3D('',#556804,#435490,#435491); #367680=AXIS2_PLACEMENT_3D('',#556810,#435495,#435496); #367681=AXIS2_PLACEMENT_3D('',#556816,#435500,#435501); #367682=AXIS2_PLACEMENT_3D('',#556822,#435505,#435506); #367683=AXIS2_PLACEMENT_3D('',#556828,#435510,#435511); #367684=AXIS2_PLACEMENT_3D('',#556834,#435515,#435516); #367685=AXIS2_PLACEMENT_3D('',#556840,#435520,#435521); #367686=AXIS2_PLACEMENT_3D('',#556846,#435525,#435526); #367687=AXIS2_PLACEMENT_3D('',#556852,#435530,#435531); #367688=AXIS2_PLACEMENT_3D('',#556858,#435535,#435536); #367689=AXIS2_PLACEMENT_3D('',#556864,#435540,#435541); #367690=AXIS2_PLACEMENT_3D('',#556870,#435545,#435546); #367691=AXIS2_PLACEMENT_3D('',#556876,#435550,#435551); #367692=AXIS2_PLACEMENT_3D('',#556882,#435555,#435556); #367693=AXIS2_PLACEMENT_3D('',#556888,#435560,#435561); #367694=AXIS2_PLACEMENT_3D('',#556894,#435565,#435566); #367695=AXIS2_PLACEMENT_3D('',#556900,#435570,#435571); #367696=AXIS2_PLACEMENT_3D('',#556906,#435575,#435576); #367697=AXIS2_PLACEMENT_3D('',#556912,#435580,#435581); #367698=AXIS2_PLACEMENT_3D('',#556918,#435585,#435586); #367699=AXIS2_PLACEMENT_3D('',#556924,#435590,#435591); #367700=AXIS2_PLACEMENT_3D('',#556930,#435595,#435596); #367701=AXIS2_PLACEMENT_3D('',#556936,#435600,#435601); #367702=AXIS2_PLACEMENT_3D('',#556942,#435605,#435606); #367703=AXIS2_PLACEMENT_3D('',#556948,#435610,#435611); #367704=AXIS2_PLACEMENT_3D('',#556954,#435615,#435616); #367705=AXIS2_PLACEMENT_3D('',#556960,#435620,#435621); #367706=AXIS2_PLACEMENT_3D('',#556966,#435625,#435626); #367707=AXIS2_PLACEMENT_3D('',#556972,#435630,#435631); #367708=AXIS2_PLACEMENT_3D('',#556978,#435635,#435636); #367709=AXIS2_PLACEMENT_3D('',#556984,#435640,#435641); #367710=AXIS2_PLACEMENT_3D('',#556990,#435645,#435646); #367711=AXIS2_PLACEMENT_3D('',#556996,#435650,#435651); #367712=AXIS2_PLACEMENT_3D('',#557002,#435655,#435656); #367713=AXIS2_PLACEMENT_3D('',#557008,#435660,#435661); #367714=AXIS2_PLACEMENT_3D('',#557014,#435665,#435666); #367715=AXIS2_PLACEMENT_3D('',#557020,#435670,#435671); #367716=AXIS2_PLACEMENT_3D('',#557026,#435675,#435676); #367717=AXIS2_PLACEMENT_3D('',#557032,#435680,#435681); #367718=AXIS2_PLACEMENT_3D('',#557038,#435685,#435686); #367719=AXIS2_PLACEMENT_3D('',#557044,#435690,#435691); #367720=AXIS2_PLACEMENT_3D('',#557050,#435695,#435696); #367721=AXIS2_PLACEMENT_3D('',#557056,#435700,#435701); #367722=AXIS2_PLACEMENT_3D('',#557062,#435705,#435706); #367723=AXIS2_PLACEMENT_3D('',#557068,#435710,#435711); #367724=AXIS2_PLACEMENT_3D('',#557074,#435715,#435716); #367725=AXIS2_PLACEMENT_3D('',#557080,#435720,#435721); #367726=AXIS2_PLACEMENT_3D('',#557086,#435725,#435726); #367727=AXIS2_PLACEMENT_3D('',#557092,#435730,#435731); #367728=AXIS2_PLACEMENT_3D('',#557098,#435735,#435736); #367729=AXIS2_PLACEMENT_3D('',#557104,#435740,#435741); #367730=AXIS2_PLACEMENT_3D('',#557110,#435745,#435746); #367731=AXIS2_PLACEMENT_3D('',#557116,#435750,#435751); #367732=AXIS2_PLACEMENT_3D('',#557122,#435755,#435756); #367733=AXIS2_PLACEMENT_3D('',#557128,#435760,#435761); #367734=AXIS2_PLACEMENT_3D('',#557134,#435765,#435766); #367735=AXIS2_PLACEMENT_3D('',#557140,#435770,#435771); #367736=AXIS2_PLACEMENT_3D('',#557146,#435775,#435776); #367737=AXIS2_PLACEMENT_3D('',#557152,#435780,#435781); #367738=AXIS2_PLACEMENT_3D('',#557158,#435785,#435786); #367739=AXIS2_PLACEMENT_3D('',#557164,#435790,#435791); #367740=AXIS2_PLACEMENT_3D('',#557170,#435795,#435796); #367741=AXIS2_PLACEMENT_3D('',#557176,#435800,#435801); #367742=AXIS2_PLACEMENT_3D('',#557182,#435805,#435806); #367743=AXIS2_PLACEMENT_3D('',#557188,#435810,#435811); #367744=AXIS2_PLACEMENT_3D('',#557194,#435815,#435816); #367745=AXIS2_PLACEMENT_3D('',#557200,#435820,#435821); #367746=AXIS2_PLACEMENT_3D('',#557206,#435825,#435826); #367747=AXIS2_PLACEMENT_3D('',#557212,#435830,#435831); #367748=AXIS2_PLACEMENT_3D('',#557218,#435835,#435836); #367749=AXIS2_PLACEMENT_3D('',#557224,#435840,#435841); #367750=AXIS2_PLACEMENT_3D('',#557230,#435845,#435846); #367751=AXIS2_PLACEMENT_3D('',#557236,#435850,#435851); #367752=AXIS2_PLACEMENT_3D('',#557242,#435855,#435856); #367753=AXIS2_PLACEMENT_3D('',#557248,#435860,#435861); #367754=AXIS2_PLACEMENT_3D('',#557254,#435865,#435866); #367755=AXIS2_PLACEMENT_3D('',#557260,#435870,#435871); #367756=AXIS2_PLACEMENT_3D('',#557266,#435875,#435876); #367757=AXIS2_PLACEMENT_3D('',#557272,#435880,#435881); #367758=AXIS2_PLACEMENT_3D('',#557278,#435885,#435886); #367759=AXIS2_PLACEMENT_3D('',#557284,#435890,#435891); #367760=AXIS2_PLACEMENT_3D('',#557290,#435895,#435896); #367761=AXIS2_PLACEMENT_3D('',#557296,#435900,#435901); #367762=AXIS2_PLACEMENT_3D('',#557302,#435905,#435906); #367763=AXIS2_PLACEMENT_3D('',#557308,#435910,#435911); #367764=AXIS2_PLACEMENT_3D('',#557314,#435915,#435916); #367765=AXIS2_PLACEMENT_3D('',#557320,#435920,#435921); #367766=AXIS2_PLACEMENT_3D('',#557326,#435925,#435926); #367767=AXIS2_PLACEMENT_3D('',#557332,#435930,#435931); #367768=AXIS2_PLACEMENT_3D('',#557338,#435935,#435936); #367769=AXIS2_PLACEMENT_3D('',#557344,#435940,#435941); #367770=AXIS2_PLACEMENT_3D('',#557350,#435945,#435946); #367771=AXIS2_PLACEMENT_3D('',#557356,#435950,#435951); #367772=AXIS2_PLACEMENT_3D('',#557362,#435955,#435956); #367773=AXIS2_PLACEMENT_3D('',#557368,#435960,#435961); #367774=AXIS2_PLACEMENT_3D('',#557374,#435965,#435966); #367775=AXIS2_PLACEMENT_3D('',#557380,#435970,#435971); #367776=AXIS2_PLACEMENT_3D('',#557386,#435975,#435976); #367777=AXIS2_PLACEMENT_3D('',#557392,#435980,#435981); #367778=AXIS2_PLACEMENT_3D('',#557398,#435985,#435986); #367779=AXIS2_PLACEMENT_3D('',#557404,#435990,#435991); #367780=AXIS2_PLACEMENT_3D('',#557410,#435995,#435996); #367781=AXIS2_PLACEMENT_3D('',#557416,#436000,#436001); #367782=AXIS2_PLACEMENT_3D('',#557422,#436005,#436006); #367783=AXIS2_PLACEMENT_3D('',#557428,#436010,#436011); #367784=AXIS2_PLACEMENT_3D('',#557434,#436015,#436016); #367785=AXIS2_PLACEMENT_3D('',#557440,#436020,#436021); #367786=AXIS2_PLACEMENT_3D('',#557446,#436025,#436026); #367787=AXIS2_PLACEMENT_3D('',#557452,#436030,#436031); #367788=AXIS2_PLACEMENT_3D('',#557458,#436035,#436036); #367789=AXIS2_PLACEMENT_3D('',#557464,#436040,#436041); #367790=AXIS2_PLACEMENT_3D('',#557470,#436045,#436046); #367791=AXIS2_PLACEMENT_3D('',#557476,#436050,#436051); #367792=AXIS2_PLACEMENT_3D('',#557482,#436055,#436056); #367793=AXIS2_PLACEMENT_3D('',#557488,#436060,#436061); #367794=AXIS2_PLACEMENT_3D('',#557494,#436065,#436066); #367795=AXIS2_PLACEMENT_3D('',#557500,#436070,#436071); #367796=AXIS2_PLACEMENT_3D('',#557506,#436075,#436076); #367797=AXIS2_PLACEMENT_3D('',#557512,#436080,#436081); #367798=AXIS2_PLACEMENT_3D('',#557518,#436085,#436086); #367799=AXIS2_PLACEMENT_3D('',#557524,#436090,#436091); #367800=AXIS2_PLACEMENT_3D('',#557530,#436095,#436096); #367801=AXIS2_PLACEMENT_3D('',#557536,#436100,#436101); #367802=AXIS2_PLACEMENT_3D('',#557542,#436105,#436106); #367803=AXIS2_PLACEMENT_3D('',#557548,#436110,#436111); #367804=AXIS2_PLACEMENT_3D('',#557554,#436115,#436116); #367805=AXIS2_PLACEMENT_3D('',#557560,#436120,#436121); #367806=AXIS2_PLACEMENT_3D('',#557566,#436125,#436126); #367807=AXIS2_PLACEMENT_3D('',#557572,#436130,#436131); #367808=AXIS2_PLACEMENT_3D('',#557578,#436135,#436136); #367809=AXIS2_PLACEMENT_3D('',#557584,#436140,#436141); #367810=AXIS2_PLACEMENT_3D('',#557590,#436145,#436146); #367811=AXIS2_PLACEMENT_3D('',#557596,#436150,#436151); #367812=AXIS2_PLACEMENT_3D('',#557602,#436155,#436156); #367813=AXIS2_PLACEMENT_3D('',#557608,#436160,#436161); #367814=AXIS2_PLACEMENT_3D('',#557614,#436165,#436166); #367815=AXIS2_PLACEMENT_3D('',#557620,#436170,#436171); #367816=AXIS2_PLACEMENT_3D('',#557626,#436175,#436176); #367817=AXIS2_PLACEMENT_3D('',#557632,#436180,#436181); #367818=AXIS2_PLACEMENT_3D('',#557638,#436185,#436186); #367819=AXIS2_PLACEMENT_3D('',#557644,#436190,#436191); #367820=AXIS2_PLACEMENT_3D('',#557650,#436195,#436196); #367821=AXIS2_PLACEMENT_3D('',#557656,#436200,#436201); #367822=AXIS2_PLACEMENT_3D('',#557662,#436205,#436206); #367823=AXIS2_PLACEMENT_3D('',#557668,#436210,#436211); #367824=AXIS2_PLACEMENT_3D('',#557674,#436215,#436216); #367825=AXIS2_PLACEMENT_3D('',#557680,#436220,#436221); #367826=AXIS2_PLACEMENT_3D('',#557686,#436225,#436226); #367827=AXIS2_PLACEMENT_3D('',#557692,#436230,#436231); #367828=AXIS2_PLACEMENT_3D('',#557698,#436235,#436236); #367829=AXIS2_PLACEMENT_3D('',#557704,#436240,#436241); #367830=AXIS2_PLACEMENT_3D('',#557710,#436245,#436246); #367831=AXIS2_PLACEMENT_3D('',#557716,#436250,#436251); #367832=AXIS2_PLACEMENT_3D('',#557722,#436255,#436256); #367833=AXIS2_PLACEMENT_3D('',#557728,#436260,#436261); #367834=AXIS2_PLACEMENT_3D('',#557734,#436265,#436266); #367835=AXIS2_PLACEMENT_3D('',#557740,#436270,#436271); #367836=AXIS2_PLACEMENT_3D('',#557746,#436275,#436276); #367837=AXIS2_PLACEMENT_3D('',#557752,#436280,#436281); #367838=AXIS2_PLACEMENT_3D('',#557758,#436285,#436286); #367839=AXIS2_PLACEMENT_3D('',#557764,#436290,#436291); #367840=AXIS2_PLACEMENT_3D('',#557770,#436295,#436296); #367841=AXIS2_PLACEMENT_3D('',#557776,#436300,#436301); #367842=AXIS2_PLACEMENT_3D('',#557782,#436305,#436306); #367843=AXIS2_PLACEMENT_3D('',#557788,#436310,#436311); #367844=AXIS2_PLACEMENT_3D('',#557794,#436315,#436316); #367845=AXIS2_PLACEMENT_3D('',#557800,#436320,#436321); #367846=AXIS2_PLACEMENT_3D('',#557806,#436325,#436326); #367847=AXIS2_PLACEMENT_3D('',#557812,#436330,#436331); #367848=AXIS2_PLACEMENT_3D('',#557818,#436335,#436336); #367849=AXIS2_PLACEMENT_3D('',#557824,#436340,#436341); #367850=AXIS2_PLACEMENT_3D('',#557830,#436345,#436346); #367851=AXIS2_PLACEMENT_3D('',#557836,#436350,#436351); #367852=AXIS2_PLACEMENT_3D('',#557842,#436355,#436356); #367853=AXIS2_PLACEMENT_3D('',#557848,#436360,#436361); #367854=AXIS2_PLACEMENT_3D('',#557854,#436365,#436366); #367855=AXIS2_PLACEMENT_3D('',#557860,#436370,#436371); #367856=AXIS2_PLACEMENT_3D('',#557866,#436375,#436376); #367857=AXIS2_PLACEMENT_3D('',#557872,#436380,#436381); #367858=AXIS2_PLACEMENT_3D('',#557878,#436385,#436386); #367859=AXIS2_PLACEMENT_3D('',#557884,#436390,#436391); #367860=AXIS2_PLACEMENT_3D('',#557890,#436395,#436396); #367861=AXIS2_PLACEMENT_3D('',#557896,#436400,#436401); #367862=AXIS2_PLACEMENT_3D('',#557902,#436405,#436406); #367863=AXIS2_PLACEMENT_3D('',#557908,#436410,#436411); #367864=AXIS2_PLACEMENT_3D('',#557914,#436415,#436416); #367865=AXIS2_PLACEMENT_3D('',#557920,#436420,#436421); #367866=AXIS2_PLACEMENT_3D('',#557926,#436425,#436426); #367867=AXIS2_PLACEMENT_3D('',#557932,#436430,#436431); #367868=AXIS2_PLACEMENT_3D('',#557938,#436435,#436436); #367869=AXIS2_PLACEMENT_3D('',#557944,#436440,#436441); #367870=AXIS2_PLACEMENT_3D('',#557950,#436445,#436446); #367871=AXIS2_PLACEMENT_3D('',#557956,#436450,#436451); #367872=AXIS2_PLACEMENT_3D('',#557962,#436455,#436456); #367873=AXIS2_PLACEMENT_3D('',#557968,#436460,#436461); #367874=AXIS2_PLACEMENT_3D('',#557974,#436465,#436466); #367875=AXIS2_PLACEMENT_3D('',#557980,#436470,#436471); #367876=AXIS2_PLACEMENT_3D('',#557986,#436475,#436476); #367877=AXIS2_PLACEMENT_3D('',#557992,#436480,#436481); #367878=AXIS2_PLACEMENT_3D('',#557998,#436485,#436486); #367879=AXIS2_PLACEMENT_3D('',#558004,#436490,#436491); #367880=AXIS2_PLACEMENT_3D('',#558010,#436495,#436496); #367881=AXIS2_PLACEMENT_3D('',#558016,#436500,#436501); #367882=AXIS2_PLACEMENT_3D('',#558022,#436505,#436506); #367883=AXIS2_PLACEMENT_3D('',#558028,#436510,#436511); #367884=AXIS2_PLACEMENT_3D('',#558034,#436515,#436516); #367885=AXIS2_PLACEMENT_3D('',#558040,#436520,#436521); #367886=AXIS2_PLACEMENT_3D('',#558046,#436525,#436526); #367887=AXIS2_PLACEMENT_3D('',#558052,#436530,#436531); #367888=AXIS2_PLACEMENT_3D('',#558058,#436535,#436536); #367889=AXIS2_PLACEMENT_3D('',#558064,#436540,#436541); #367890=AXIS2_PLACEMENT_3D('',#558070,#436545,#436546); #367891=AXIS2_PLACEMENT_3D('',#558076,#436550,#436551); #367892=AXIS2_PLACEMENT_3D('',#558082,#436555,#436556); #367893=AXIS2_PLACEMENT_3D('',#558088,#436560,#436561); #367894=AXIS2_PLACEMENT_3D('',#558094,#436565,#436566); #367895=AXIS2_PLACEMENT_3D('',#558100,#436570,#436571); #367896=AXIS2_PLACEMENT_3D('',#558106,#436575,#436576); #367897=AXIS2_PLACEMENT_3D('',#558112,#436580,#436581); #367898=AXIS2_PLACEMENT_3D('',#558118,#436585,#436586); #367899=AXIS2_PLACEMENT_3D('',#558124,#436590,#436591); #367900=AXIS2_PLACEMENT_3D('',#558130,#436595,#436596); #367901=AXIS2_PLACEMENT_3D('',#558136,#436600,#436601); #367902=AXIS2_PLACEMENT_3D('',#558142,#436605,#436606); #367903=AXIS2_PLACEMENT_3D('',#558148,#436610,#436611); #367904=AXIS2_PLACEMENT_3D('',#558154,#436615,#436616); #367905=AXIS2_PLACEMENT_3D('',#558160,#436620,#436621); #367906=AXIS2_PLACEMENT_3D('',#558166,#436625,#436626); #367907=AXIS2_PLACEMENT_3D('',#558172,#436630,#436631); #367908=AXIS2_PLACEMENT_3D('',#558178,#436635,#436636); #367909=AXIS2_PLACEMENT_3D('',#558184,#436640,#436641); #367910=AXIS2_PLACEMENT_3D('',#558190,#436645,#436646); #367911=AXIS2_PLACEMENT_3D('',#558196,#436650,#436651); #367912=AXIS2_PLACEMENT_3D('',#558202,#436655,#436656); #367913=AXIS2_PLACEMENT_3D('',#558208,#436660,#436661); #367914=AXIS2_PLACEMENT_3D('',#558214,#436665,#436666); #367915=AXIS2_PLACEMENT_3D('',#558220,#436670,#436671); #367916=AXIS2_PLACEMENT_3D('',#558226,#436675,#436676); #367917=AXIS2_PLACEMENT_3D('',#558232,#436680,#436681); #367918=AXIS2_PLACEMENT_3D('',#558238,#436685,#436686); #367919=AXIS2_PLACEMENT_3D('',#558244,#436690,#436691); #367920=AXIS2_PLACEMENT_3D('',#558250,#436695,#436696); #367921=AXIS2_PLACEMENT_3D('',#558256,#436700,#436701); #367922=AXIS2_PLACEMENT_3D('',#558262,#436705,#436706); #367923=AXIS2_PLACEMENT_3D('',#558268,#436710,#436711); #367924=AXIS2_PLACEMENT_3D('',#558274,#436715,#436716); #367925=AXIS2_PLACEMENT_3D('',#558280,#436720,#436721); #367926=AXIS2_PLACEMENT_3D('',#558286,#436725,#436726); #367927=AXIS2_PLACEMENT_3D('',#558292,#436730,#436731); #367928=AXIS2_PLACEMENT_3D('',#558298,#436735,#436736); #367929=AXIS2_PLACEMENT_3D('',#558304,#436740,#436741); #367930=AXIS2_PLACEMENT_3D('',#558310,#436745,#436746); #367931=AXIS2_PLACEMENT_3D('',#558316,#436750,#436751); #367932=AXIS2_PLACEMENT_3D('',#558322,#436755,#436756); #367933=AXIS2_PLACEMENT_3D('',#558328,#436760,#436761); #367934=AXIS2_PLACEMENT_3D('',#558334,#436765,#436766); #367935=AXIS2_PLACEMENT_3D('',#558340,#436770,#436771); #367936=AXIS2_PLACEMENT_3D('',#558346,#436775,#436776); #367937=AXIS2_PLACEMENT_3D('',#558352,#436780,#436781); #367938=AXIS2_PLACEMENT_3D('',#558358,#436785,#436786); #367939=AXIS2_PLACEMENT_3D('',#558364,#436790,#436791); #367940=AXIS2_PLACEMENT_3D('',#558370,#436795,#436796); #367941=AXIS2_PLACEMENT_3D('',#558376,#436800,#436801); #367942=AXIS2_PLACEMENT_3D('',#558382,#436805,#436806); #367943=AXIS2_PLACEMENT_3D('',#558388,#436810,#436811); #367944=AXIS2_PLACEMENT_3D('',#558394,#436815,#436816); #367945=AXIS2_PLACEMENT_3D('',#558400,#436820,#436821); #367946=AXIS2_PLACEMENT_3D('',#558406,#436825,#436826); #367947=AXIS2_PLACEMENT_3D('',#558412,#436830,#436831); #367948=AXIS2_PLACEMENT_3D('',#558418,#436835,#436836); #367949=AXIS2_PLACEMENT_3D('',#558424,#436840,#436841); #367950=AXIS2_PLACEMENT_3D('',#558430,#436845,#436846); #367951=AXIS2_PLACEMENT_3D('',#558436,#436850,#436851); #367952=AXIS2_PLACEMENT_3D('',#558442,#436855,#436856); #367953=AXIS2_PLACEMENT_3D('',#558448,#436860,#436861); #367954=AXIS2_PLACEMENT_3D('',#558454,#436865,#436866); #367955=AXIS2_PLACEMENT_3D('',#558460,#436870,#436871); #367956=AXIS2_PLACEMENT_3D('',#558466,#436875,#436876); #367957=AXIS2_PLACEMENT_3D('',#558472,#436880,#436881); #367958=AXIS2_PLACEMENT_3D('',#558478,#436885,#436886); #367959=AXIS2_PLACEMENT_3D('',#558484,#436890,#436891); #367960=AXIS2_PLACEMENT_3D('',#558490,#436895,#436896); #367961=AXIS2_PLACEMENT_3D('',#558496,#436900,#436901); #367962=AXIS2_PLACEMENT_3D('',#558502,#436905,#436906); #367963=AXIS2_PLACEMENT_3D('',#558508,#436910,#436911); #367964=AXIS2_PLACEMENT_3D('',#558514,#436915,#436916); #367965=AXIS2_PLACEMENT_3D('',#558520,#436920,#436921); #367966=AXIS2_PLACEMENT_3D('',#558526,#436925,#436926); #367967=AXIS2_PLACEMENT_3D('',#558532,#436930,#436931); #367968=AXIS2_PLACEMENT_3D('',#558538,#436935,#436936); #367969=AXIS2_PLACEMENT_3D('',#558544,#436940,#436941); #367970=AXIS2_PLACEMENT_3D('',#558550,#436945,#436946); #367971=AXIS2_PLACEMENT_3D('',#558556,#436950,#436951); #367972=AXIS2_PLACEMENT_3D('',#558562,#436955,#436956); #367973=AXIS2_PLACEMENT_3D('',#558568,#436960,#436961); #367974=AXIS2_PLACEMENT_3D('',#558574,#436965,#436966); #367975=AXIS2_PLACEMENT_3D('',#558580,#436970,#436971); #367976=AXIS2_PLACEMENT_3D('',#558586,#436975,#436976); #367977=AXIS2_PLACEMENT_3D('',#558592,#436980,#436981); #367978=AXIS2_PLACEMENT_3D('',#558598,#436985,#436986); #367979=AXIS2_PLACEMENT_3D('',#558604,#436990,#436991); #367980=AXIS2_PLACEMENT_3D('',#558610,#436995,#436996); #367981=AXIS2_PLACEMENT_3D('',#558616,#437000,#437001); #367982=AXIS2_PLACEMENT_3D('',#558622,#437005,#437006); #367983=AXIS2_PLACEMENT_3D('',#558628,#437010,#437011); #367984=AXIS2_PLACEMENT_3D('',#558634,#437015,#437016); #367985=AXIS2_PLACEMENT_3D('',#558640,#437020,#437021); #367986=AXIS2_PLACEMENT_3D('',#558646,#437025,#437026); #367987=AXIS2_PLACEMENT_3D('',#558652,#437030,#437031); #367988=AXIS2_PLACEMENT_3D('',#558658,#437035,#437036); #367989=AXIS2_PLACEMENT_3D('',#558664,#437040,#437041); #367990=AXIS2_PLACEMENT_3D('',#558670,#437045,#437046); #367991=AXIS2_PLACEMENT_3D('',#558676,#437050,#437051); #367992=AXIS2_PLACEMENT_3D('',#558682,#437055,#437056); #367993=AXIS2_PLACEMENT_3D('',#558688,#437060,#437061); #367994=AXIS2_PLACEMENT_3D('',#558694,#437065,#437066); #367995=AXIS2_PLACEMENT_3D('',#558700,#437070,#437071); #367996=AXIS2_PLACEMENT_3D('',#558706,#437075,#437076); #367997=AXIS2_PLACEMENT_3D('',#558712,#437080,#437081); #367998=AXIS2_PLACEMENT_3D('',#558718,#437085,#437086); #367999=AXIS2_PLACEMENT_3D('',#558724,#437090,#437091); #368000=AXIS2_PLACEMENT_3D('',#558730,#437095,#437096); #368001=AXIS2_PLACEMENT_3D('',#558736,#437100,#437101); #368002=AXIS2_PLACEMENT_3D('',#558742,#437105,#437106); #368003=AXIS2_PLACEMENT_3D('',#558748,#437110,#437111); #368004=AXIS2_PLACEMENT_3D('',#558754,#437115,#437116); #368005=AXIS2_PLACEMENT_3D('',#558760,#437120,#437121); #368006=AXIS2_PLACEMENT_3D('',#558766,#437125,#437126); #368007=AXIS2_PLACEMENT_3D('',#558772,#437130,#437131); #368008=AXIS2_PLACEMENT_3D('',#558778,#437135,#437136); #368009=AXIS2_PLACEMENT_3D('',#558784,#437140,#437141); #368010=AXIS2_PLACEMENT_3D('',#558790,#437145,#437146); #368011=AXIS2_PLACEMENT_3D('',#558796,#437150,#437151); #368012=AXIS2_PLACEMENT_3D('',#558802,#437155,#437156); #368013=AXIS2_PLACEMENT_3D('',#558808,#437160,#437161); #368014=AXIS2_PLACEMENT_3D('',#558814,#437165,#437166); #368015=AXIS2_PLACEMENT_3D('',#558820,#437170,#437171); #368016=AXIS2_PLACEMENT_3D('',#558826,#437175,#437176); #368017=AXIS2_PLACEMENT_3D('',#558832,#437180,#437181); #368018=AXIS2_PLACEMENT_3D('',#558838,#437185,#437186); #368019=AXIS2_PLACEMENT_3D('',#558844,#437190,#437191); #368020=AXIS2_PLACEMENT_3D('',#558850,#437195,#437196); #368021=AXIS2_PLACEMENT_3D('',#558856,#437200,#437201); #368022=AXIS2_PLACEMENT_3D('',#558862,#437205,#437206); #368023=AXIS2_PLACEMENT_3D('',#558868,#437210,#437211); #368024=AXIS2_PLACEMENT_3D('',#558874,#437215,#437216); #368025=AXIS2_PLACEMENT_3D('',#558880,#437220,#437221); #368026=AXIS2_PLACEMENT_3D('',#558886,#437225,#437226); #368027=AXIS2_PLACEMENT_3D('',#558892,#437230,#437231); #368028=AXIS2_PLACEMENT_3D('',#558898,#437235,#437236); #368029=AXIS2_PLACEMENT_3D('',#558904,#437240,#437241); #368030=AXIS2_PLACEMENT_3D('',#558910,#437245,#437246); #368031=AXIS2_PLACEMENT_3D('',#558913,#437249,#437250); #368032=AXIS2_PLACEMENT_3D('',#558922,#437255,#437256); #368033=AXIS2_PLACEMENT_3D('',#558928,#437260,#437261); #368034=AXIS2_PLACEMENT_3D('',#558934,#437265,#437266); #368035=AXIS2_PLACEMENT_3D('',#558940,#437270,#437271); #368036=AXIS2_PLACEMENT_3D('',#558946,#437275,#437276); #368037=AXIS2_PLACEMENT_3D('',#558952,#437280,#437281); #368038=AXIS2_PLACEMENT_3D('',#558958,#437285,#437286); #368039=AXIS2_PLACEMENT_3D('',#558964,#437290,#437291); #368040=AXIS2_PLACEMENT_3D('',#558970,#437295,#437296); #368041=AXIS2_PLACEMENT_3D('',#558976,#437300,#437301); #368042=AXIS2_PLACEMENT_3D('',#558982,#437305,#437306); #368043=AXIS2_PLACEMENT_3D('',#558988,#437310,#437311); #368044=AXIS2_PLACEMENT_3D('',#558994,#437315,#437316); #368045=AXIS2_PLACEMENT_3D('',#559000,#437320,#437321); #368046=AXIS2_PLACEMENT_3D('',#559006,#437325,#437326); #368047=AXIS2_PLACEMENT_3D('',#559012,#437330,#437331); #368048=AXIS2_PLACEMENT_3D('',#559018,#437335,#437336); #368049=AXIS2_PLACEMENT_3D('',#559024,#437340,#437341); #368050=AXIS2_PLACEMENT_3D('',#559030,#437345,#437346); #368051=AXIS2_PLACEMENT_3D('',#559036,#437350,#437351); #368052=AXIS2_PLACEMENT_3D('',#559042,#437355,#437356); #368053=AXIS2_PLACEMENT_3D('',#559048,#437360,#437361); #368054=AXIS2_PLACEMENT_3D('',#559054,#437365,#437366); #368055=AXIS2_PLACEMENT_3D('',#559060,#437370,#437371); #368056=AXIS2_PLACEMENT_3D('',#559066,#437375,#437376); #368057=AXIS2_PLACEMENT_3D('',#559072,#437380,#437381); #368058=AXIS2_PLACEMENT_3D('',#559078,#437385,#437386); #368059=AXIS2_PLACEMENT_3D('',#559084,#437390,#437391); #368060=AXIS2_PLACEMENT_3D('',#559090,#437395,#437396); #368061=AXIS2_PLACEMENT_3D('',#559096,#437400,#437401); #368062=AXIS2_PLACEMENT_3D('',#559102,#437405,#437406); #368063=AXIS2_PLACEMENT_3D('',#559108,#437410,#437411); #368064=AXIS2_PLACEMENT_3D('',#559114,#437415,#437416); #368065=AXIS2_PLACEMENT_3D('',#559120,#437420,#437421); #368066=AXIS2_PLACEMENT_3D('',#559126,#437425,#437426); #368067=AXIS2_PLACEMENT_3D('',#559132,#437430,#437431); #368068=AXIS2_PLACEMENT_3D('',#559138,#437435,#437436); #368069=AXIS2_PLACEMENT_3D('',#559144,#437440,#437441); #368070=AXIS2_PLACEMENT_3D('',#559150,#437445,#437446); #368071=AXIS2_PLACEMENT_3D('',#559156,#437450,#437451); #368072=AXIS2_PLACEMENT_3D('',#559162,#437455,#437456); #368073=AXIS2_PLACEMENT_3D('',#559168,#437460,#437461); #368074=AXIS2_PLACEMENT_3D('',#559174,#437465,#437466); #368075=AXIS2_PLACEMENT_3D('',#559180,#437470,#437471); #368076=AXIS2_PLACEMENT_3D('',#559186,#437475,#437476); #368077=AXIS2_PLACEMENT_3D('',#559192,#437480,#437481); #368078=AXIS2_PLACEMENT_3D('',#559198,#437485,#437486); #368079=AXIS2_PLACEMENT_3D('',#559204,#437490,#437491); #368080=AXIS2_PLACEMENT_3D('',#559210,#437495,#437496); #368081=AXIS2_PLACEMENT_3D('',#559216,#437500,#437501); #368082=AXIS2_PLACEMENT_3D('',#559222,#437505,#437506); #368083=AXIS2_PLACEMENT_3D('',#559228,#437510,#437511); #368084=AXIS2_PLACEMENT_3D('',#559234,#437515,#437516); #368085=AXIS2_PLACEMENT_3D('',#559240,#437520,#437521); #368086=AXIS2_PLACEMENT_3D('',#559246,#437525,#437526); #368087=AXIS2_PLACEMENT_3D('',#559252,#437530,#437531); #368088=AXIS2_PLACEMENT_3D('',#559258,#437535,#437536); #368089=AXIS2_PLACEMENT_3D('',#559264,#437540,#437541); #368090=AXIS2_PLACEMENT_3D('',#559270,#437545,#437546); #368091=AXIS2_PLACEMENT_3D('',#559276,#437550,#437551); #368092=AXIS2_PLACEMENT_3D('',#559282,#437555,#437556); #368093=AXIS2_PLACEMENT_3D('',#559288,#437560,#437561); #368094=AXIS2_PLACEMENT_3D('',#559294,#437565,#437566); #368095=AXIS2_PLACEMENT_3D('',#559300,#437570,#437571); #368096=AXIS2_PLACEMENT_3D('',#559306,#437575,#437576); #368097=AXIS2_PLACEMENT_3D('',#559312,#437580,#437581); #368098=AXIS2_PLACEMENT_3D('',#559318,#437585,#437586); #368099=AXIS2_PLACEMENT_3D('',#559324,#437590,#437591); #368100=AXIS2_PLACEMENT_3D('',#559330,#437595,#437596); #368101=AXIS2_PLACEMENT_3D('',#559336,#437600,#437601); #368102=AXIS2_PLACEMENT_3D('',#559342,#437605,#437606); #368103=AXIS2_PLACEMENT_3D('',#559348,#437610,#437611); #368104=AXIS2_PLACEMENT_3D('',#559354,#437615,#437616); #368105=AXIS2_PLACEMENT_3D('',#559360,#437620,#437621); #368106=AXIS2_PLACEMENT_3D('',#559366,#437625,#437626); #368107=AXIS2_PLACEMENT_3D('',#559372,#437630,#437631); #368108=AXIS2_PLACEMENT_3D('',#559378,#437635,#437636); #368109=AXIS2_PLACEMENT_3D('',#559384,#437640,#437641); #368110=AXIS2_PLACEMENT_3D('',#559390,#437645,#437646); #368111=AXIS2_PLACEMENT_3D('',#559396,#437650,#437651); #368112=AXIS2_PLACEMENT_3D('',#559402,#437655,#437656); #368113=AXIS2_PLACEMENT_3D('',#559408,#437660,#437661); #368114=AXIS2_PLACEMENT_3D('',#559414,#437665,#437666); #368115=AXIS2_PLACEMENT_3D('',#559420,#437670,#437671); #368116=AXIS2_PLACEMENT_3D('',#559426,#437675,#437676); #368117=AXIS2_PLACEMENT_3D('',#559432,#437680,#437681); #368118=AXIS2_PLACEMENT_3D('',#559438,#437685,#437686); #368119=AXIS2_PLACEMENT_3D('',#559444,#437690,#437691); #368120=AXIS2_PLACEMENT_3D('',#559450,#437695,#437696); #368121=AXIS2_PLACEMENT_3D('',#559456,#437700,#437701); #368122=AXIS2_PLACEMENT_3D('',#559462,#437705,#437706); #368123=AXIS2_PLACEMENT_3D('',#559468,#437710,#437711); #368124=AXIS2_PLACEMENT_3D('',#559474,#437715,#437716); #368125=AXIS2_PLACEMENT_3D('',#559480,#437720,#437721); #368126=AXIS2_PLACEMENT_3D('',#559486,#437725,#437726); #368127=AXIS2_PLACEMENT_3D('',#559492,#437730,#437731); #368128=AXIS2_PLACEMENT_3D('',#559498,#437735,#437736); #368129=AXIS2_PLACEMENT_3D('',#559504,#437740,#437741); #368130=AXIS2_PLACEMENT_3D('',#559510,#437745,#437746); #368131=AXIS2_PLACEMENT_3D('',#559513,#437749,#437750); #368132=AXIS2_PLACEMENT_3D('',#559522,#437755,#437756); #368133=AXIS2_PLACEMENT_3D('',#559528,#437760,#437761); #368134=AXIS2_PLACEMENT_3D('',#559534,#437765,#437766); #368135=AXIS2_PLACEMENT_3D('',#559540,#437770,#437771); #368136=AXIS2_PLACEMENT_3D('',#559546,#437775,#437776); #368137=AXIS2_PLACEMENT_3D('',#559552,#437780,#437781); #368138=AXIS2_PLACEMENT_3D('',#559558,#437785,#437786); #368139=AXIS2_PLACEMENT_3D('',#559564,#437790,#437791); #368140=AXIS2_PLACEMENT_3D('',#559570,#437795,#437796); #368141=AXIS2_PLACEMENT_3D('',#559576,#437800,#437801); #368142=AXIS2_PLACEMENT_3D('',#559582,#437805,#437806); #368143=AXIS2_PLACEMENT_3D('',#559588,#437810,#437811); #368144=AXIS2_PLACEMENT_3D('',#559594,#437815,#437816); #368145=AXIS2_PLACEMENT_3D('',#559600,#437820,#437821); #368146=AXIS2_PLACEMENT_3D('',#559606,#437825,#437826); #368147=AXIS2_PLACEMENT_3D('',#559612,#437830,#437831); #368148=AXIS2_PLACEMENT_3D('',#559618,#437835,#437836); #368149=AXIS2_PLACEMENT_3D('',#559624,#437840,#437841); #368150=AXIS2_PLACEMENT_3D('',#559630,#437845,#437846); #368151=AXIS2_PLACEMENT_3D('',#559636,#437850,#437851); #368152=AXIS2_PLACEMENT_3D('',#559642,#437855,#437856); #368153=AXIS2_PLACEMENT_3D('',#559648,#437860,#437861); #368154=AXIS2_PLACEMENT_3D('',#559654,#437865,#437866); #368155=AXIS2_PLACEMENT_3D('',#559660,#437870,#437871); #368156=AXIS2_PLACEMENT_3D('',#559666,#437875,#437876); #368157=AXIS2_PLACEMENT_3D('',#559672,#437880,#437881); #368158=AXIS2_PLACEMENT_3D('',#559678,#437885,#437886); #368159=AXIS2_PLACEMENT_3D('',#559684,#437890,#437891); #368160=AXIS2_PLACEMENT_3D('',#559690,#437895,#437896); #368161=AXIS2_PLACEMENT_3D('',#559696,#437900,#437901); #368162=AXIS2_PLACEMENT_3D('',#559702,#437905,#437906); #368163=AXIS2_PLACEMENT_3D('',#559708,#437910,#437911); #368164=AXIS2_PLACEMENT_3D('',#559714,#437915,#437916); #368165=AXIS2_PLACEMENT_3D('',#559720,#437920,#437921); #368166=AXIS2_PLACEMENT_3D('',#559726,#437925,#437926); #368167=AXIS2_PLACEMENT_3D('',#559732,#437930,#437931); #368168=AXIS2_PLACEMENT_3D('',#559738,#437935,#437936); #368169=AXIS2_PLACEMENT_3D('',#559744,#437940,#437941); #368170=AXIS2_PLACEMENT_3D('',#559750,#437945,#437946); #368171=AXIS2_PLACEMENT_3D('',#559756,#437950,#437951); #368172=AXIS2_PLACEMENT_3D('',#559762,#437955,#437956); #368173=AXIS2_PLACEMENT_3D('',#559768,#437960,#437961); #368174=AXIS2_PLACEMENT_3D('',#559774,#437965,#437966); #368175=AXIS2_PLACEMENT_3D('',#559780,#437970,#437971); #368176=AXIS2_PLACEMENT_3D('',#559786,#437975,#437976); #368177=AXIS2_PLACEMENT_3D('',#559792,#437980,#437981); #368178=AXIS2_PLACEMENT_3D('',#559798,#437985,#437986); #368179=AXIS2_PLACEMENT_3D('',#559804,#437990,#437991); #368180=AXIS2_PLACEMENT_3D('',#559810,#437995,#437996); #368181=AXIS2_PLACEMENT_3D('',#559816,#438000,#438001); #368182=AXIS2_PLACEMENT_3D('',#559822,#438005,#438006); #368183=AXIS2_PLACEMENT_3D('',#559828,#438010,#438011); #368184=AXIS2_PLACEMENT_3D('',#559834,#438015,#438016); #368185=AXIS2_PLACEMENT_3D('',#559840,#438020,#438021); #368186=AXIS2_PLACEMENT_3D('',#559846,#438025,#438026); #368187=AXIS2_PLACEMENT_3D('',#559852,#438030,#438031); #368188=AXIS2_PLACEMENT_3D('',#559858,#438035,#438036); #368189=AXIS2_PLACEMENT_3D('',#559864,#438040,#438041); #368190=AXIS2_PLACEMENT_3D('',#559870,#438045,#438046); #368191=AXIS2_PLACEMENT_3D('',#559876,#438050,#438051); #368192=AXIS2_PLACEMENT_3D('',#559882,#438055,#438056); #368193=AXIS2_PLACEMENT_3D('',#559888,#438060,#438061); #368194=AXIS2_PLACEMENT_3D('',#559894,#438065,#438066); #368195=AXIS2_PLACEMENT_3D('',#559900,#438070,#438071); #368196=AXIS2_PLACEMENT_3D('',#559906,#438075,#438076); #368197=AXIS2_PLACEMENT_3D('',#559912,#438080,#438081); #368198=AXIS2_PLACEMENT_3D('',#559918,#438085,#438086); #368199=AXIS2_PLACEMENT_3D('',#559924,#438090,#438091); #368200=AXIS2_PLACEMENT_3D('',#559930,#438095,#438096); #368201=AXIS2_PLACEMENT_3D('',#559936,#438100,#438101); #368202=AXIS2_PLACEMENT_3D('',#559942,#438105,#438106); #368203=AXIS2_PLACEMENT_3D('',#559948,#438110,#438111); #368204=AXIS2_PLACEMENT_3D('',#559954,#438115,#438116); #368205=AXIS2_PLACEMENT_3D('',#559960,#438120,#438121); #368206=AXIS2_PLACEMENT_3D('',#559966,#438125,#438126); #368207=AXIS2_PLACEMENT_3D('',#559972,#438130,#438131); #368208=AXIS2_PLACEMENT_3D('',#559978,#438135,#438136); #368209=AXIS2_PLACEMENT_3D('',#559984,#438140,#438141); #368210=AXIS2_PLACEMENT_3D('',#559990,#438145,#438146); #368211=AXIS2_PLACEMENT_3D('',#559996,#438150,#438151); #368212=AXIS2_PLACEMENT_3D('',#560002,#438155,#438156); #368213=AXIS2_PLACEMENT_3D('',#560008,#438160,#438161); #368214=AXIS2_PLACEMENT_3D('',#560014,#438165,#438166); #368215=AXIS2_PLACEMENT_3D('',#560020,#438170,#438171); #368216=AXIS2_PLACEMENT_3D('',#560026,#438175,#438176); #368217=AXIS2_PLACEMENT_3D('',#560032,#438180,#438181); #368218=AXIS2_PLACEMENT_3D('',#560038,#438185,#438186); #368219=AXIS2_PLACEMENT_3D('',#560044,#438190,#438191); #368220=AXIS2_PLACEMENT_3D('',#560050,#438195,#438196); #368221=AXIS2_PLACEMENT_3D('',#560056,#438200,#438201); #368222=AXIS2_PLACEMENT_3D('',#560062,#438205,#438206); #368223=AXIS2_PLACEMENT_3D('',#560068,#438210,#438211); #368224=AXIS2_PLACEMENT_3D('',#560074,#438215,#438216); #368225=AXIS2_PLACEMENT_3D('',#560080,#438220,#438221); #368226=AXIS2_PLACEMENT_3D('',#560086,#438225,#438226); #368227=AXIS2_PLACEMENT_3D('',#560092,#438230,#438231); #368228=AXIS2_PLACEMENT_3D('',#560098,#438235,#438236); #368229=AXIS2_PLACEMENT_3D('',#560104,#438240,#438241); #368230=AXIS2_PLACEMENT_3D('',#560110,#438245,#438246); #368231=AXIS2_PLACEMENT_3D('',#560116,#438250,#438251); #368232=AXIS2_PLACEMENT_3D('',#560122,#438255,#438256); #368233=AXIS2_PLACEMENT_3D('',#560128,#438260,#438261); #368234=AXIS2_PLACEMENT_3D('',#560134,#438265,#438266); #368235=AXIS2_PLACEMENT_3D('',#560140,#438270,#438271); #368236=AXIS2_PLACEMENT_3D('',#560146,#438275,#438276); #368237=AXIS2_PLACEMENT_3D('',#560152,#438280,#438281); #368238=AXIS2_PLACEMENT_3D('',#560158,#438285,#438286); #368239=AXIS2_PLACEMENT_3D('',#560164,#438290,#438291); #368240=AXIS2_PLACEMENT_3D('',#560170,#438295,#438296); #368241=AXIS2_PLACEMENT_3D('',#560176,#438300,#438301); #368242=AXIS2_PLACEMENT_3D('',#560182,#438305,#438306); #368243=AXIS2_PLACEMENT_3D('',#560188,#438310,#438311); #368244=AXIS2_PLACEMENT_3D('',#560194,#438315,#438316); #368245=AXIS2_PLACEMENT_3D('',#560200,#438320,#438321); #368246=AXIS2_PLACEMENT_3D('',#560206,#438325,#438326); #368247=AXIS2_PLACEMENT_3D('',#560212,#438330,#438331); #368248=AXIS2_PLACEMENT_3D('',#560218,#438335,#438336); #368249=AXIS2_PLACEMENT_3D('',#560224,#438340,#438341); #368250=AXIS2_PLACEMENT_3D('',#560230,#438345,#438346); #368251=AXIS2_PLACEMENT_3D('',#560236,#438350,#438351); #368252=AXIS2_PLACEMENT_3D('',#560242,#438355,#438356); #368253=AXIS2_PLACEMENT_3D('',#560248,#438360,#438361); #368254=AXIS2_PLACEMENT_3D('',#560254,#438365,#438366); #368255=AXIS2_PLACEMENT_3D('',#560260,#438370,#438371); #368256=AXIS2_PLACEMENT_3D('',#560266,#438375,#438376); #368257=AXIS2_PLACEMENT_3D('',#560272,#438380,#438381); #368258=AXIS2_PLACEMENT_3D('',#560278,#438385,#438386); #368259=AXIS2_PLACEMENT_3D('',#560284,#438390,#438391); #368260=AXIS2_PLACEMENT_3D('',#560290,#438395,#438396); #368261=AXIS2_PLACEMENT_3D('',#560296,#438400,#438401); #368262=AXIS2_PLACEMENT_3D('',#560302,#438405,#438406); #368263=AXIS2_PLACEMENT_3D('',#560308,#438410,#438411); #368264=AXIS2_PLACEMENT_3D('',#560314,#438415,#438416); #368265=AXIS2_PLACEMENT_3D('',#560320,#438420,#438421); #368266=AXIS2_PLACEMENT_3D('',#560326,#438425,#438426); #368267=AXIS2_PLACEMENT_3D('',#560332,#438430,#438431); #368268=AXIS2_PLACEMENT_3D('',#560338,#438435,#438436); #368269=AXIS2_PLACEMENT_3D('',#560344,#438440,#438441); #368270=AXIS2_PLACEMENT_3D('',#560350,#438445,#438446); #368271=AXIS2_PLACEMENT_3D('',#560356,#438450,#438451); #368272=AXIS2_PLACEMENT_3D('',#560362,#438455,#438456); #368273=AXIS2_PLACEMENT_3D('',#560368,#438460,#438461); #368274=AXIS2_PLACEMENT_3D('',#560374,#438465,#438466); #368275=AXIS2_PLACEMENT_3D('',#560380,#438470,#438471); #368276=AXIS2_PLACEMENT_3D('',#560386,#438475,#438476); #368277=AXIS2_PLACEMENT_3D('',#560392,#438480,#438481); #368278=AXIS2_PLACEMENT_3D('',#560398,#438485,#438486); #368279=AXIS2_PLACEMENT_3D('',#560404,#438490,#438491); #368280=AXIS2_PLACEMENT_3D('',#560410,#438495,#438496); #368281=AXIS2_PLACEMENT_3D('',#560416,#438500,#438501); #368282=AXIS2_PLACEMENT_3D('',#560422,#438505,#438506); #368283=AXIS2_PLACEMENT_3D('',#560428,#438510,#438511); #368284=AXIS2_PLACEMENT_3D('',#560434,#438515,#438516); #368285=AXIS2_PLACEMENT_3D('',#560440,#438520,#438521); #368286=AXIS2_PLACEMENT_3D('',#560446,#438525,#438526); #368287=AXIS2_PLACEMENT_3D('',#560452,#438530,#438531); #368288=AXIS2_PLACEMENT_3D('',#560458,#438535,#438536); #368289=AXIS2_PLACEMENT_3D('',#560464,#438540,#438541); #368290=AXIS2_PLACEMENT_3D('',#560470,#438545,#438546); #368291=AXIS2_PLACEMENT_3D('',#560476,#438550,#438551); #368292=AXIS2_PLACEMENT_3D('',#560482,#438555,#438556); #368293=AXIS2_PLACEMENT_3D('',#560488,#438560,#438561); #368294=AXIS2_PLACEMENT_3D('',#560494,#438565,#438566); #368295=AXIS2_PLACEMENT_3D('',#560500,#438570,#438571); #368296=AXIS2_PLACEMENT_3D('',#560506,#438575,#438576); #368297=AXIS2_PLACEMENT_3D('',#560512,#438580,#438581); #368298=AXIS2_PLACEMENT_3D('',#560518,#438585,#438586); #368299=AXIS2_PLACEMENT_3D('',#560524,#438590,#438591); #368300=AXIS2_PLACEMENT_3D('',#560530,#438595,#438596); #368301=AXIS2_PLACEMENT_3D('',#560536,#438600,#438601); #368302=AXIS2_PLACEMENT_3D('',#560542,#438605,#438606); #368303=AXIS2_PLACEMENT_3D('',#560548,#438610,#438611); #368304=AXIS2_PLACEMENT_3D('',#560554,#438615,#438616); #368305=AXIS2_PLACEMENT_3D('',#560560,#438620,#438621); #368306=AXIS2_PLACEMENT_3D('',#560566,#438625,#438626); #368307=AXIS2_PLACEMENT_3D('',#560572,#438630,#438631); #368308=AXIS2_PLACEMENT_3D('',#560578,#438635,#438636); #368309=AXIS2_PLACEMENT_3D('',#560584,#438640,#438641); #368310=AXIS2_PLACEMENT_3D('',#560590,#438645,#438646); #368311=AXIS2_PLACEMENT_3D('',#560596,#438650,#438651); #368312=AXIS2_PLACEMENT_3D('',#560602,#438655,#438656); #368313=AXIS2_PLACEMENT_3D('',#560608,#438660,#438661); #368314=AXIS2_PLACEMENT_3D('',#560614,#438665,#438666); #368315=AXIS2_PLACEMENT_3D('',#560620,#438670,#438671); #368316=AXIS2_PLACEMENT_3D('',#560626,#438675,#438676); #368317=AXIS2_PLACEMENT_3D('',#560632,#438680,#438681); #368318=AXIS2_PLACEMENT_3D('',#560638,#438685,#438686); #368319=AXIS2_PLACEMENT_3D('',#560644,#438690,#438691); #368320=AXIS2_PLACEMENT_3D('',#560650,#438695,#438696); #368321=AXIS2_PLACEMENT_3D('',#560656,#438700,#438701); #368322=AXIS2_PLACEMENT_3D('',#560662,#438705,#438706); #368323=AXIS2_PLACEMENT_3D('',#560668,#438710,#438711); #368324=AXIS2_PLACEMENT_3D('',#560674,#438715,#438716); #368325=AXIS2_PLACEMENT_3D('',#560680,#438720,#438721); #368326=AXIS2_PLACEMENT_3D('',#560686,#438725,#438726); #368327=AXIS2_PLACEMENT_3D('',#560692,#438730,#438731); #368328=AXIS2_PLACEMENT_3D('',#560698,#438735,#438736); #368329=AXIS2_PLACEMENT_3D('',#560704,#438740,#438741); #368330=AXIS2_PLACEMENT_3D('',#560710,#438745,#438746); #368331=AXIS2_PLACEMENT_3D('',#560716,#438750,#438751); #368332=AXIS2_PLACEMENT_3D('',#560722,#438755,#438756); #368333=AXIS2_PLACEMENT_3D('',#560728,#438760,#438761); #368334=AXIS2_PLACEMENT_3D('',#560734,#438765,#438766); #368335=AXIS2_PLACEMENT_3D('',#560740,#438770,#438771); #368336=AXIS2_PLACEMENT_3D('',#560746,#438775,#438776); #368337=AXIS2_PLACEMENT_3D('',#560752,#438780,#438781); #368338=AXIS2_PLACEMENT_3D('',#560758,#438785,#438786); #368339=AXIS2_PLACEMENT_3D('',#560764,#438790,#438791); #368340=AXIS2_PLACEMENT_3D('',#560770,#438795,#438796); #368341=AXIS2_PLACEMENT_3D('',#560776,#438800,#438801); #368342=AXIS2_PLACEMENT_3D('',#560782,#438805,#438806); #368343=AXIS2_PLACEMENT_3D('',#560788,#438810,#438811); #368344=AXIS2_PLACEMENT_3D('',#560794,#438815,#438816); #368345=AXIS2_PLACEMENT_3D('',#560800,#438820,#438821); #368346=AXIS2_PLACEMENT_3D('',#560806,#438825,#438826); #368347=AXIS2_PLACEMENT_3D('',#560812,#438830,#438831); #368348=AXIS2_PLACEMENT_3D('',#560818,#438835,#438836); #368349=AXIS2_PLACEMENT_3D('',#560824,#438840,#438841); #368350=AXIS2_PLACEMENT_3D('',#560830,#438845,#438846); #368351=AXIS2_PLACEMENT_3D('',#560836,#438850,#438851); #368352=AXIS2_PLACEMENT_3D('',#560842,#438855,#438856); #368353=AXIS2_PLACEMENT_3D('',#560848,#438860,#438861); #368354=AXIS2_PLACEMENT_3D('',#560854,#438865,#438866); #368355=AXIS2_PLACEMENT_3D('',#560860,#438870,#438871); #368356=AXIS2_PLACEMENT_3D('',#560866,#438875,#438876); #368357=AXIS2_PLACEMENT_3D('',#560872,#438880,#438881); #368358=AXIS2_PLACEMENT_3D('',#560878,#438885,#438886); #368359=AXIS2_PLACEMENT_3D('',#560884,#438890,#438891); #368360=AXIS2_PLACEMENT_3D('',#560890,#438895,#438896); #368361=AXIS2_PLACEMENT_3D('',#560896,#438900,#438901); #368362=AXIS2_PLACEMENT_3D('',#560902,#438905,#438906); #368363=AXIS2_PLACEMENT_3D('',#560908,#438910,#438911); #368364=AXIS2_PLACEMENT_3D('',#560914,#438915,#438916); #368365=AXIS2_PLACEMENT_3D('',#560920,#438920,#438921); #368366=AXIS2_PLACEMENT_3D('',#560926,#438925,#438926); #368367=AXIS2_PLACEMENT_3D('',#560932,#438930,#438931); #368368=AXIS2_PLACEMENT_3D('',#560938,#438935,#438936); #368369=AXIS2_PLACEMENT_3D('',#560944,#438940,#438941); #368370=AXIS2_PLACEMENT_3D('',#560950,#438945,#438946); #368371=AXIS2_PLACEMENT_3D('',#560956,#438950,#438951); #368372=AXIS2_PLACEMENT_3D('',#560962,#438955,#438956); #368373=AXIS2_PLACEMENT_3D('',#560968,#438960,#438961); #368374=AXIS2_PLACEMENT_3D('',#560974,#438965,#438966); #368375=AXIS2_PLACEMENT_3D('',#560980,#438970,#438971); #368376=AXIS2_PLACEMENT_3D('',#560986,#438975,#438976); #368377=AXIS2_PLACEMENT_3D('',#560992,#438980,#438981); #368378=AXIS2_PLACEMENT_3D('',#560998,#438985,#438986); #368379=AXIS2_PLACEMENT_3D('',#561004,#438990,#438991); #368380=AXIS2_PLACEMENT_3D('',#561010,#438995,#438996); #368381=AXIS2_PLACEMENT_3D('',#561016,#439000,#439001); #368382=AXIS2_PLACEMENT_3D('',#561019,#439004,#439005); #368383=AXIS2_PLACEMENT_3D('',#561028,#439010,#439011); #368384=AXIS2_PLACEMENT_3D('',#561034,#439015,#439016); #368385=AXIS2_PLACEMENT_3D('',#561040,#439020,#439021); #368386=AXIS2_PLACEMENT_3D('',#561046,#439025,#439026); #368387=AXIS2_PLACEMENT_3D('',#561052,#439030,#439031); #368388=AXIS2_PLACEMENT_3D('',#561058,#439035,#439036); #368389=AXIS2_PLACEMENT_3D('',#561064,#439040,#439041); #368390=AXIS2_PLACEMENT_3D('',#561070,#439045,#439046); #368391=AXIS2_PLACEMENT_3D('',#561076,#439050,#439051); #368392=AXIS2_PLACEMENT_3D('',#561082,#439055,#439056); #368393=AXIS2_PLACEMENT_3D('',#561088,#439060,#439061); #368394=AXIS2_PLACEMENT_3D('',#561094,#439065,#439066); #368395=AXIS2_PLACEMENT_3D('',#561100,#439070,#439071); #368396=AXIS2_PLACEMENT_3D('',#561106,#439075,#439076); #368397=AXIS2_PLACEMENT_3D('',#561112,#439080,#439081); #368398=AXIS2_PLACEMENT_3D('',#561118,#439085,#439086); #368399=AXIS2_PLACEMENT_3D('',#561124,#439090,#439091); #368400=AXIS2_PLACEMENT_3D('',#561130,#439095,#439096); #368401=AXIS2_PLACEMENT_3D('',#561136,#439100,#439101); #368402=AXIS2_PLACEMENT_3D('',#561142,#439105,#439106); #368403=AXIS2_PLACEMENT_3D('',#561148,#439110,#439111); #368404=AXIS2_PLACEMENT_3D('',#561154,#439115,#439116); #368405=AXIS2_PLACEMENT_3D('',#561160,#439120,#439121); #368406=AXIS2_PLACEMENT_3D('',#561166,#439125,#439126); #368407=AXIS2_PLACEMENT_3D('',#561172,#439130,#439131); #368408=AXIS2_PLACEMENT_3D('',#561178,#439135,#439136); #368409=AXIS2_PLACEMENT_3D('',#561184,#439140,#439141); #368410=AXIS2_PLACEMENT_3D('',#561190,#439145,#439146); #368411=AXIS2_PLACEMENT_3D('',#561196,#439150,#439151); #368412=AXIS2_PLACEMENT_3D('',#561199,#439154,#439155); #368413=AXIS2_PLACEMENT_3D('',#561208,#439160,#439161); #368414=AXIS2_PLACEMENT_3D('',#561214,#439165,#439166); #368415=AXIS2_PLACEMENT_3D('',#561220,#439170,#439171); #368416=AXIS2_PLACEMENT_3D('',#561226,#439175,#439176); #368417=AXIS2_PLACEMENT_3D('',#561232,#439180,#439181); #368418=AXIS2_PLACEMENT_3D('',#561238,#439185,#439186); #368419=AXIS2_PLACEMENT_3D('',#561244,#439190,#439191); #368420=AXIS2_PLACEMENT_3D('',#561250,#439195,#439196); #368421=AXIS2_PLACEMENT_3D('',#561256,#439200,#439201); #368422=AXIS2_PLACEMENT_3D('',#561262,#439205,#439206); #368423=AXIS2_PLACEMENT_3D('',#561268,#439210,#439211); #368424=AXIS2_PLACEMENT_3D('',#561274,#439215,#439216); #368425=AXIS2_PLACEMENT_3D('',#561280,#439220,#439221); #368426=AXIS2_PLACEMENT_3D('',#561286,#439225,#439226); #368427=AXIS2_PLACEMENT_3D('',#561292,#439230,#439231); #368428=AXIS2_PLACEMENT_3D('',#561298,#439235,#439236); #368429=AXIS2_PLACEMENT_3D('',#561304,#439240,#439241); #368430=AXIS2_PLACEMENT_3D('',#561310,#439245,#439246); #368431=AXIS2_PLACEMENT_3D('',#561316,#439250,#439251); #368432=AXIS2_PLACEMENT_3D('',#561322,#439255,#439256); #368433=AXIS2_PLACEMENT_3D('',#561328,#439260,#439261); #368434=AXIS2_PLACEMENT_3D('',#561334,#439265,#439266); #368435=AXIS2_PLACEMENT_3D('',#561340,#439270,#439271); #368436=AXIS2_PLACEMENT_3D('',#561346,#439275,#439276); #368437=AXIS2_PLACEMENT_3D('',#561352,#439280,#439281); #368438=AXIS2_PLACEMENT_3D('',#561358,#439285,#439286); #368439=AXIS2_PLACEMENT_3D('',#561364,#439290,#439291); #368440=AXIS2_PLACEMENT_3D('',#561370,#439295,#439296); #368441=AXIS2_PLACEMENT_3D('',#561376,#439300,#439301); #368442=AXIS2_PLACEMENT_3D('',#561379,#439304,#439305); #368443=AXIS2_PLACEMENT_3D('',#561388,#439310,#439311); #368444=AXIS2_PLACEMENT_3D('',#561394,#439315,#439316); #368445=AXIS2_PLACEMENT_3D('',#561400,#439320,#439321); #368446=AXIS2_PLACEMENT_3D('',#561406,#439325,#439326); #368447=AXIS2_PLACEMENT_3D('',#561412,#439330,#439331); #368448=AXIS2_PLACEMENT_3D('',#561418,#439335,#439336); #368449=AXIS2_PLACEMENT_3D('',#561424,#439340,#439341); #368450=AXIS2_PLACEMENT_3D('',#561430,#439345,#439346); #368451=AXIS2_PLACEMENT_3D('',#561436,#439350,#439351); #368452=AXIS2_PLACEMENT_3D('',#561442,#439355,#439356); #368453=AXIS2_PLACEMENT_3D('',#561448,#439360,#439361); #368454=AXIS2_PLACEMENT_3D('',#561454,#439365,#439366); #368455=AXIS2_PLACEMENT_3D('',#561460,#439370,#439371); #368456=AXIS2_PLACEMENT_3D('',#561466,#439375,#439376); #368457=AXIS2_PLACEMENT_3D('',#561472,#439380,#439381); #368458=AXIS2_PLACEMENT_3D('',#561478,#439385,#439386); #368459=AXIS2_PLACEMENT_3D('',#561484,#439390,#439391); #368460=AXIS2_PLACEMENT_3D('',#561490,#439395,#439396); #368461=AXIS2_PLACEMENT_3D('',#561496,#439400,#439401); #368462=AXIS2_PLACEMENT_3D('',#561502,#439405,#439406); #368463=AXIS2_PLACEMENT_3D('',#561508,#439410,#439411); #368464=AXIS2_PLACEMENT_3D('',#561514,#439415,#439416); #368465=AXIS2_PLACEMENT_3D('',#561520,#439420,#439421); #368466=AXIS2_PLACEMENT_3D('',#561526,#439425,#439426); #368467=AXIS2_PLACEMENT_3D('',#561532,#439430,#439431); #368468=AXIS2_PLACEMENT_3D('',#561538,#439435,#439436); #368469=AXIS2_PLACEMENT_3D('',#561544,#439440,#439441); #368470=AXIS2_PLACEMENT_3D('',#561550,#439445,#439446); #368471=AXIS2_PLACEMENT_3D('',#561556,#439450,#439451); #368472=AXIS2_PLACEMENT_3D('',#561559,#439454,#439455); #368473=AXIS2_PLACEMENT_3D('',#561568,#439460,#439461); #368474=AXIS2_PLACEMENT_3D('',#561574,#439465,#439466); #368475=AXIS2_PLACEMENT_3D('',#561580,#439470,#439471); #368476=AXIS2_PLACEMENT_3D('',#561586,#439475,#439476); #368477=AXIS2_PLACEMENT_3D('',#561592,#439480,#439481); #368478=AXIS2_PLACEMENT_3D('',#561598,#439485,#439486); #368479=AXIS2_PLACEMENT_3D('',#561604,#439490,#439491); #368480=AXIS2_PLACEMENT_3D('',#561610,#439495,#439496); #368481=AXIS2_PLACEMENT_3D('',#561616,#439500,#439501); #368482=AXIS2_PLACEMENT_3D('',#561622,#439505,#439506); #368483=AXIS2_PLACEMENT_3D('',#561628,#439510,#439511); #368484=AXIS2_PLACEMENT_3D('',#561634,#439515,#439516); #368485=AXIS2_PLACEMENT_3D('',#561640,#439520,#439521); #368486=AXIS2_PLACEMENT_3D('',#561646,#439525,#439526); #368487=AXIS2_PLACEMENT_3D('',#561652,#439530,#439531); #368488=AXIS2_PLACEMENT_3D('',#561658,#439535,#439536); #368489=AXIS2_PLACEMENT_3D('',#561664,#439540,#439541); #368490=AXIS2_PLACEMENT_3D('',#561670,#439545,#439546); #368491=AXIS2_PLACEMENT_3D('',#561676,#439550,#439551); #368492=AXIS2_PLACEMENT_3D('',#561682,#439555,#439556); #368493=AXIS2_PLACEMENT_3D('',#561688,#439560,#439561); #368494=AXIS2_PLACEMENT_3D('',#561694,#439565,#439566); #368495=AXIS2_PLACEMENT_3D('',#561700,#439570,#439571); #368496=AXIS2_PLACEMENT_3D('',#561706,#439575,#439576); #368497=AXIS2_PLACEMENT_3D('',#561712,#439580,#439581); #368498=AXIS2_PLACEMENT_3D('',#561718,#439585,#439586); #368499=AXIS2_PLACEMENT_3D('',#561724,#439590,#439591); #368500=AXIS2_PLACEMENT_3D('',#561730,#439595,#439596); #368501=AXIS2_PLACEMENT_3D('',#561736,#439600,#439601); #368502=AXIS2_PLACEMENT_3D('',#561742,#439605,#439606); #368503=AXIS2_PLACEMENT_3D('',#561748,#439610,#439611); #368504=AXIS2_PLACEMENT_3D('',#561754,#439615,#439616); #368505=AXIS2_PLACEMENT_3D('',#561760,#439620,#439621); #368506=AXIS2_PLACEMENT_3D('',#561766,#439625,#439626); #368507=AXIS2_PLACEMENT_3D('',#561772,#439630,#439631); #368508=AXIS2_PLACEMENT_3D('',#561778,#439635,#439636); #368509=AXIS2_PLACEMENT_3D('',#561784,#439640,#439641); #368510=AXIS2_PLACEMENT_3D('',#561790,#439645,#439646); #368511=AXIS2_PLACEMENT_3D('',#561796,#439650,#439651); #368512=AXIS2_PLACEMENT_3D('',#561802,#439655,#439656); #368513=AXIS2_PLACEMENT_3D('',#561808,#439660,#439661); #368514=AXIS2_PLACEMENT_3D('',#561814,#439665,#439666); #368515=AXIS2_PLACEMENT_3D('',#561820,#439670,#439671); #368516=AXIS2_PLACEMENT_3D('',#561826,#439675,#439676); #368517=AXIS2_PLACEMENT_3D('',#561832,#439680,#439681); #368518=AXIS2_PLACEMENT_3D('',#561838,#439685,#439686); #368519=AXIS2_PLACEMENT_3D('',#561844,#439690,#439691); #368520=AXIS2_PLACEMENT_3D('',#561850,#439695,#439696); #368521=AXIS2_PLACEMENT_3D('',#561856,#439700,#439701); #368522=AXIS2_PLACEMENT_3D('',#561862,#439705,#439706); #368523=AXIS2_PLACEMENT_3D('',#561868,#439710,#439711); #368524=AXIS2_PLACEMENT_3D('',#561874,#439715,#439716); #368525=AXIS2_PLACEMENT_3D('',#561880,#439720,#439721); #368526=AXIS2_PLACEMENT_3D('',#561886,#439725,#439726); #368527=AXIS2_PLACEMENT_3D('',#561892,#439730,#439731); #368528=AXIS2_PLACEMENT_3D('',#561898,#439735,#439736); #368529=AXIS2_PLACEMENT_3D('',#561904,#439740,#439741); #368530=AXIS2_PLACEMENT_3D('',#561910,#439745,#439746); #368531=AXIS2_PLACEMENT_3D('',#561916,#439750,#439751); #368532=AXIS2_PLACEMENT_3D('',#561922,#439755,#439756); #368533=AXIS2_PLACEMENT_3D('',#561928,#439760,#439761); #368534=AXIS2_PLACEMENT_3D('',#561934,#439765,#439766); #368535=AXIS2_PLACEMENT_3D('',#561940,#439770,#439771); #368536=AXIS2_PLACEMENT_3D('',#561946,#439775,#439776); #368537=AXIS2_PLACEMENT_3D('',#561952,#439780,#439781); #368538=AXIS2_PLACEMENT_3D('',#561958,#439785,#439786); #368539=AXIS2_PLACEMENT_3D('',#561964,#439790,#439791); #368540=AXIS2_PLACEMENT_3D('',#561970,#439795,#439796); #368541=AXIS2_PLACEMENT_3D('',#561976,#439800,#439801); #368542=AXIS2_PLACEMENT_3D('',#561982,#439805,#439806); #368543=AXIS2_PLACEMENT_3D('',#561988,#439810,#439811); #368544=AXIS2_PLACEMENT_3D('',#561994,#439815,#439816); #368545=AXIS2_PLACEMENT_3D('',#562000,#439820,#439821); #368546=AXIS2_PLACEMENT_3D('',#562006,#439825,#439826); #368547=AXIS2_PLACEMENT_3D('',#562012,#439830,#439831); #368548=AXIS2_PLACEMENT_3D('',#562018,#439835,#439836); #368549=AXIS2_PLACEMENT_3D('',#562024,#439840,#439841); #368550=AXIS2_PLACEMENT_3D('',#562030,#439845,#439846); #368551=AXIS2_PLACEMENT_3D('',#562036,#439850,#439851); #368552=AXIS2_PLACEMENT_3D('',#562042,#439855,#439856); #368553=AXIS2_PLACEMENT_3D('',#562048,#439860,#439861); #368554=AXIS2_PLACEMENT_3D('',#562054,#439865,#439866); #368555=AXIS2_PLACEMENT_3D('',#562060,#439870,#439871); #368556=AXIS2_PLACEMENT_3D('',#562066,#439875,#439876); #368557=AXIS2_PLACEMENT_3D('',#562072,#439880,#439881); #368558=AXIS2_PLACEMENT_3D('',#562078,#439885,#439886); #368559=AXIS2_PLACEMENT_3D('',#562084,#439890,#439891); #368560=AXIS2_PLACEMENT_3D('',#562090,#439895,#439896); #368561=AXIS2_PLACEMENT_3D('',#562096,#439900,#439901); #368562=AXIS2_PLACEMENT_3D('',#562102,#439905,#439906); #368563=AXIS2_PLACEMENT_3D('',#562108,#439910,#439911); #368564=AXIS2_PLACEMENT_3D('',#562114,#439915,#439916); #368565=AXIS2_PLACEMENT_3D('',#562120,#439920,#439921); #368566=AXIS2_PLACEMENT_3D('',#562126,#439925,#439926); #368567=AXIS2_PLACEMENT_3D('',#562132,#439930,#439931); #368568=AXIS2_PLACEMENT_3D('',#562138,#439935,#439936); #368569=AXIS2_PLACEMENT_3D('',#562144,#439940,#439941); #368570=AXIS2_PLACEMENT_3D('',#562150,#439945,#439946); #368571=AXIS2_PLACEMENT_3D('',#562156,#439950,#439951); #368572=AXIS2_PLACEMENT_3D('',#562162,#439955,#439956); #368573=AXIS2_PLACEMENT_3D('',#562168,#439960,#439961); #368574=AXIS2_PLACEMENT_3D('',#562174,#439965,#439966); #368575=AXIS2_PLACEMENT_3D('',#562180,#439970,#439971); #368576=AXIS2_PLACEMENT_3D('',#562186,#439975,#439976); #368577=AXIS2_PLACEMENT_3D('',#562192,#439980,#439981); #368578=AXIS2_PLACEMENT_3D('',#562198,#439985,#439986); #368579=AXIS2_PLACEMENT_3D('',#562204,#439990,#439991); #368580=AXIS2_PLACEMENT_3D('',#562210,#439995,#439996); #368581=AXIS2_PLACEMENT_3D('',#562216,#440000,#440001); #368582=AXIS2_PLACEMENT_3D('',#562222,#440005,#440006); #368583=AXIS2_PLACEMENT_3D('',#562228,#440010,#440011); #368584=AXIS2_PLACEMENT_3D('',#562234,#440015,#440016); #368585=AXIS2_PLACEMENT_3D('',#562240,#440020,#440021); #368586=AXIS2_PLACEMENT_3D('',#562246,#440025,#440026); #368587=AXIS2_PLACEMENT_3D('',#562252,#440030,#440031); #368588=AXIS2_PLACEMENT_3D('',#562258,#440035,#440036); #368589=AXIS2_PLACEMENT_3D('',#562264,#440040,#440041); #368590=AXIS2_PLACEMENT_3D('',#562270,#440045,#440046); #368591=AXIS2_PLACEMENT_3D('',#562276,#440050,#440051); #368592=AXIS2_PLACEMENT_3D('',#562279,#440054,#440055); #368593=AXIS2_PLACEMENT_3D('',#562280,#440056,#440057); #368594=AXIS2_PLACEMENT_3D('',#562281,#440058,#440059); #368595=AXIS2_PLACEMENT_3D('',#562290,#440064,#440065); #368596=AXIS2_PLACEMENT_3D('',#562296,#440069,#440070); #368597=AXIS2_PLACEMENT_3D('',#562302,#440074,#440075); #368598=AXIS2_PLACEMENT_3D('',#562308,#440079,#440080); #368599=AXIS2_PLACEMENT_3D('',#562314,#440084,#440085); #368600=AXIS2_PLACEMENT_3D('',#562320,#440089,#440090); #368601=AXIS2_PLACEMENT_3D('',#562326,#440094,#440095); #368602=AXIS2_PLACEMENT_3D('',#562332,#440099,#440100); #368603=AXIS2_PLACEMENT_3D('',#562338,#440104,#440105); #368604=AXIS2_PLACEMENT_3D('',#562344,#440109,#440110); #368605=AXIS2_PLACEMENT_3D('',#562350,#440114,#440115); #368606=AXIS2_PLACEMENT_3D('',#562356,#440119,#440120); #368607=AXIS2_PLACEMENT_3D('',#562362,#440124,#440125); #368608=AXIS2_PLACEMENT_3D('',#562368,#440129,#440130); #368609=AXIS2_PLACEMENT_3D('',#562374,#440134,#440135); #368610=AXIS2_PLACEMENT_3D('',#562380,#440139,#440140); #368611=AXIS2_PLACEMENT_3D('',#562386,#440144,#440145); #368612=AXIS2_PLACEMENT_3D('',#562392,#440149,#440150); #368613=AXIS2_PLACEMENT_3D('',#562398,#440154,#440155); #368614=AXIS2_PLACEMENT_3D('',#562404,#440159,#440160); #368615=AXIS2_PLACEMENT_3D('',#562410,#440164,#440165); #368616=AXIS2_PLACEMENT_3D('',#562416,#440169,#440170); #368617=AXIS2_PLACEMENT_3D('',#562422,#440174,#440175); #368618=AXIS2_PLACEMENT_3D('',#562428,#440179,#440180); #368619=AXIS2_PLACEMENT_3D('',#562434,#440184,#440185); #368620=AXIS2_PLACEMENT_3D('',#562440,#440189,#440190); #368621=AXIS2_PLACEMENT_3D('',#562443,#440193,#440194); #368622=AXIS2_PLACEMENT_3D('',#562444,#440195,#440196); #368623=AXIS2_PLACEMENT_3D('',#562445,#440197,#440198); #368624=AXIS2_PLACEMENT_3D('',#562454,#440203,#440204); #368625=AXIS2_PLACEMENT_3D('',#562460,#440208,#440209); #368626=AXIS2_PLACEMENT_3D('',#562466,#440213,#440214); #368627=AXIS2_PLACEMENT_3D('',#562472,#440218,#440219); #368628=AXIS2_PLACEMENT_3D('',#562478,#440223,#440224); #368629=AXIS2_PLACEMENT_3D('',#562484,#440228,#440229); #368630=AXIS2_PLACEMENT_3D('',#562490,#440233,#440234); #368631=AXIS2_PLACEMENT_3D('',#562496,#440238,#440239); #368632=AXIS2_PLACEMENT_3D('',#562502,#440243,#440244); #368633=AXIS2_PLACEMENT_3D('',#562508,#440248,#440249); #368634=AXIS2_PLACEMENT_3D('',#562514,#440253,#440254); #368635=AXIS2_PLACEMENT_3D('',#562520,#440258,#440259); #368636=AXIS2_PLACEMENT_3D('',#562526,#440263,#440264); #368637=AXIS2_PLACEMENT_3D('',#562532,#440268,#440269); #368638=AXIS2_PLACEMENT_3D('',#562538,#440273,#440274); #368639=AXIS2_PLACEMENT_3D('',#562544,#440278,#440279); #368640=AXIS2_PLACEMENT_3D('',#562550,#440283,#440284); #368641=AXIS2_PLACEMENT_3D('',#562556,#440288,#440289); #368642=AXIS2_PLACEMENT_3D('',#562562,#440293,#440294); #368643=AXIS2_PLACEMENT_3D('',#562568,#440298,#440299); #368644=AXIS2_PLACEMENT_3D('',#562571,#440302,#440303); #368645=AXIS2_PLACEMENT_3D('',#562572,#440304,#440305); #368646=AXIS2_PLACEMENT_3D('',#562573,#440306,#440307); #368647=AXIS2_PLACEMENT_3D('',#562582,#440312,#440313); #368648=AXIS2_PLACEMENT_3D('',#562588,#440317,#440318); #368649=AXIS2_PLACEMENT_3D('',#562594,#440322,#440323); #368650=AXIS2_PLACEMENT_3D('',#562600,#440327,#440328); #368651=AXIS2_PLACEMENT_3D('',#562606,#440332,#440333); #368652=AXIS2_PLACEMENT_3D('',#562612,#440337,#440338); #368653=AXIS2_PLACEMENT_3D('',#562618,#440342,#440343); #368654=AXIS2_PLACEMENT_3D('',#562624,#440347,#440348); #368655=AXIS2_PLACEMENT_3D('',#562630,#440352,#440353); #368656=AXIS2_PLACEMENT_3D('',#562636,#440357,#440358); #368657=AXIS2_PLACEMENT_3D('',#562642,#440362,#440363); #368658=AXIS2_PLACEMENT_3D('',#562648,#440367,#440368); #368659=AXIS2_PLACEMENT_3D('',#562654,#440372,#440373); #368660=AXIS2_PLACEMENT_3D('',#562660,#440377,#440378); #368661=AXIS2_PLACEMENT_3D('',#562666,#440382,#440383); #368662=AXIS2_PLACEMENT_3D('',#562672,#440387,#440388); #368663=AXIS2_PLACEMENT_3D('',#562678,#440392,#440393); #368664=AXIS2_PLACEMENT_3D('',#562684,#440397,#440398); #368665=AXIS2_PLACEMENT_3D('',#562690,#440402,#440403); #368666=AXIS2_PLACEMENT_3D('',#562693,#440406,#440407); #368667=AXIS2_PLACEMENT_3D('',#562694,#440408,#440409); #368668=AXIS2_PLACEMENT_3D('',#562695,#440410,#440411); #368669=AXIS2_PLACEMENT_3D('',#562704,#440416,#440417); #368670=AXIS2_PLACEMENT_3D('',#562710,#440421,#440422); #368671=AXIS2_PLACEMENT_3D('',#562716,#440426,#440427); #368672=AXIS2_PLACEMENT_3D('',#562722,#440431,#440432); #368673=AXIS2_PLACEMENT_3D('',#562728,#440436,#440437); #368674=AXIS2_PLACEMENT_3D('',#562734,#440441,#440442); #368675=AXIS2_PLACEMENT_3D('',#562740,#440446,#440447); #368676=AXIS2_PLACEMENT_3D('',#562746,#440451,#440452); #368677=AXIS2_PLACEMENT_3D('',#562752,#440456,#440457); #368678=AXIS2_PLACEMENT_3D('',#562758,#440461,#440462); #368679=AXIS2_PLACEMENT_3D('',#562764,#440466,#440467); #368680=AXIS2_PLACEMENT_3D('',#562770,#440471,#440472); #368681=AXIS2_PLACEMENT_3D('',#562776,#440476,#440477); #368682=AXIS2_PLACEMENT_3D('',#562782,#440481,#440482); #368683=AXIS2_PLACEMENT_3D('',#562788,#440486,#440487); #368684=AXIS2_PLACEMENT_3D('',#562794,#440491,#440492); #368685=AXIS2_PLACEMENT_3D('',#562800,#440496,#440497); #368686=AXIS2_PLACEMENT_3D('',#562806,#440501,#440502); #368687=AXIS2_PLACEMENT_3D('',#562812,#440506,#440507); #368688=AXIS2_PLACEMENT_3D('',#562818,#440511,#440512); #368689=AXIS2_PLACEMENT_3D('',#562824,#440516,#440517); #368690=AXIS2_PLACEMENT_3D('',#562830,#440521,#440522); #368691=AXIS2_PLACEMENT_3D('',#562836,#440526,#440527); #368692=AXIS2_PLACEMENT_3D('',#562842,#440531,#440532); #368693=AXIS2_PLACEMENT_3D('',#562848,#440536,#440537); #368694=AXIS2_PLACEMENT_3D('',#562854,#440541,#440542); #368695=AXIS2_PLACEMENT_3D('',#562860,#440546,#440547); #368696=AXIS2_PLACEMENT_3D('',#562866,#440551,#440552); #368697=AXIS2_PLACEMENT_3D('',#562872,#440556,#440557); #368698=AXIS2_PLACEMENT_3D('',#562878,#440561,#440562); #368699=AXIS2_PLACEMENT_3D('',#562884,#440566,#440567); #368700=AXIS2_PLACEMENT_3D('',#562890,#440571,#440572); #368701=AXIS2_PLACEMENT_3D('',#562896,#440576,#440577); #368702=AXIS2_PLACEMENT_3D('',#562902,#440581,#440582); #368703=AXIS2_PLACEMENT_3D('',#562908,#440586,#440587); #368704=AXIS2_PLACEMENT_3D('',#562914,#440591,#440592); #368705=AXIS2_PLACEMENT_3D('',#562920,#440596,#440597); #368706=AXIS2_PLACEMENT_3D('',#562926,#440601,#440602); #368707=AXIS2_PLACEMENT_3D('',#562932,#440606,#440607); #368708=AXIS2_PLACEMENT_3D('',#562938,#440611,#440612); #368709=AXIS2_PLACEMENT_3D('',#562944,#440616,#440617); #368710=AXIS2_PLACEMENT_3D('',#562950,#440621,#440622); #368711=AXIS2_PLACEMENT_3D('',#562956,#440626,#440627); #368712=AXIS2_PLACEMENT_3D('',#562962,#440631,#440632); #368713=AXIS2_PLACEMENT_3D('',#562968,#440636,#440637); #368714=AXIS2_PLACEMENT_3D('',#562974,#440641,#440642); #368715=AXIS2_PLACEMENT_3D('',#562977,#440645,#440646); #368716=AXIS2_PLACEMENT_3D('',#562978,#440647,#440648); #368717=AXIS2_PLACEMENT_3D('',#562979,#440649,#440650); #368718=AXIS2_PLACEMENT_3D('',#562988,#440655,#440656); #368719=AXIS2_PLACEMENT_3D('',#562994,#440660,#440661); #368720=AXIS2_PLACEMENT_3D('',#563000,#440665,#440666); #368721=AXIS2_PLACEMENT_3D('',#563006,#440670,#440671); #368722=AXIS2_PLACEMENT_3D('',#563012,#440675,#440676); #368723=AXIS2_PLACEMENT_3D('',#563018,#440680,#440681); #368724=AXIS2_PLACEMENT_3D('',#563024,#440685,#440686); #368725=AXIS2_PLACEMENT_3D('',#563030,#440690,#440691); #368726=AXIS2_PLACEMENT_3D('',#563036,#440695,#440696); #368727=AXIS2_PLACEMENT_3D('',#563042,#440700,#440701); #368728=AXIS2_PLACEMENT_3D('',#563048,#440705,#440706); #368729=AXIS2_PLACEMENT_3D('',#563054,#440710,#440711); #368730=AXIS2_PLACEMENT_3D('',#563060,#440715,#440716); #368731=AXIS2_PLACEMENT_3D('',#563066,#440720,#440721); #368732=AXIS2_PLACEMENT_3D('',#563072,#440725,#440726); #368733=AXIS2_PLACEMENT_3D('',#563078,#440730,#440731); #368734=AXIS2_PLACEMENT_3D('',#563084,#440735,#440736); #368735=AXIS2_PLACEMENT_3D('',#563090,#440740,#440741); #368736=AXIS2_PLACEMENT_3D('',#563096,#440745,#440746); #368737=AXIS2_PLACEMENT_3D('',#563102,#440750,#440751); #368738=AXIS2_PLACEMENT_3D('',#563108,#440755,#440756); #368739=AXIS2_PLACEMENT_3D('',#563114,#440760,#440761); #368740=AXIS2_PLACEMENT_3D('',#563120,#440765,#440766); #368741=AXIS2_PLACEMENT_3D('',#563126,#440770,#440771); #368742=AXIS2_PLACEMENT_3D('',#563132,#440775,#440776); #368743=AXIS2_PLACEMENT_3D('',#563138,#440780,#440781); #368744=AXIS2_PLACEMENT_3D('',#563144,#440785,#440786); #368745=AXIS2_PLACEMENT_3D('',#563150,#440790,#440791); #368746=AXIS2_PLACEMENT_3D('',#563156,#440795,#440796); #368747=AXIS2_PLACEMENT_3D('',#563162,#440800,#440801); #368748=AXIS2_PLACEMENT_3D('',#563168,#440805,#440806); #368749=AXIS2_PLACEMENT_3D('',#563174,#440810,#440811); #368750=AXIS2_PLACEMENT_3D('',#563180,#440815,#440816); #368751=AXIS2_PLACEMENT_3D('',#563183,#440819,#440820); #368752=AXIS2_PLACEMENT_3D('',#563184,#440821,#440822); #368753=AXIS2_PLACEMENT_3D('',#563185,#440823,#440824); #368754=AXIS2_PLACEMENT_3D('',#563194,#440829,#440830); #368755=AXIS2_PLACEMENT_3D('',#563200,#440834,#440835); #368756=AXIS2_PLACEMENT_3D('',#563206,#440839,#440840); #368757=AXIS2_PLACEMENT_3D('',#563212,#440844,#440845); #368758=AXIS2_PLACEMENT_3D('',#563218,#440849,#440850); #368759=AXIS2_PLACEMENT_3D('',#563224,#440854,#440855); #368760=AXIS2_PLACEMENT_3D('',#563230,#440859,#440860); #368761=AXIS2_PLACEMENT_3D('',#563236,#440864,#440865); #368762=AXIS2_PLACEMENT_3D('',#563242,#440869,#440870); #368763=AXIS2_PLACEMENT_3D('',#563248,#440874,#440875); #368764=AXIS2_PLACEMENT_3D('',#563254,#440879,#440880); #368765=AXIS2_PLACEMENT_3D('',#563260,#440884,#440885); #368766=AXIS2_PLACEMENT_3D('',#563266,#440889,#440890); #368767=AXIS2_PLACEMENT_3D('',#563272,#440894,#440895); #368768=AXIS2_PLACEMENT_3D('',#563278,#440899,#440900); #368769=AXIS2_PLACEMENT_3D('',#563284,#440904,#440905); #368770=AXIS2_PLACEMENT_3D('',#563290,#440909,#440910); #368771=AXIS2_PLACEMENT_3D('',#563296,#440914,#440915); #368772=AXIS2_PLACEMENT_3D('',#563302,#440919,#440920); #368773=AXIS2_PLACEMENT_3D('',#563308,#440924,#440925); #368774=AXIS2_PLACEMENT_3D('',#563314,#440929,#440930); #368775=AXIS2_PLACEMENT_3D('',#563317,#440933,#440934); #368776=AXIS2_PLACEMENT_3D('',#563318,#440935,#440936); #368777=AXIS2_PLACEMENT_3D('',#563319,#440937,#440938); #368778=AXIS2_PLACEMENT_3D('',#563328,#440943,#440944); #368779=AXIS2_PLACEMENT_3D('',#563334,#440948,#440949); #368780=AXIS2_PLACEMENT_3D('',#563340,#440953,#440954); #368781=AXIS2_PLACEMENT_3D('',#563346,#440958,#440959); #368782=AXIS2_PLACEMENT_3D('',#563352,#440963,#440964); #368783=AXIS2_PLACEMENT_3D('',#563358,#440968,#440969); #368784=AXIS2_PLACEMENT_3D('',#563364,#440973,#440974); #368785=AXIS2_PLACEMENT_3D('',#563370,#440978,#440979); #368786=AXIS2_PLACEMENT_3D('',#563376,#440983,#440984); #368787=AXIS2_PLACEMENT_3D('',#563382,#440988,#440989); #368788=AXIS2_PLACEMENT_3D('',#563388,#440993,#440994); #368789=AXIS2_PLACEMENT_3D('',#563394,#440998,#440999); #368790=AXIS2_PLACEMENT_3D('',#563400,#441003,#441004); #368791=AXIS2_PLACEMENT_3D('',#563406,#441008,#441009); #368792=AXIS2_PLACEMENT_3D('',#563412,#441013,#441014); #368793=AXIS2_PLACEMENT_3D('',#563418,#441018,#441019); #368794=AXIS2_PLACEMENT_3D('',#563424,#441023,#441024); #368795=AXIS2_PLACEMENT_3D('',#563430,#441028,#441029); #368796=AXIS2_PLACEMENT_3D('',#563436,#441033,#441034); #368797=AXIS2_PLACEMENT_3D('',#563439,#441037,#441038); #368798=AXIS2_PLACEMENT_3D('',#563440,#441039,#441040); #368799=AXIS2_PLACEMENT_3D('',#563441,#441041,#441042); #368800=AXIS2_PLACEMENT_3D('',#563450,#441047,#441048); #368801=AXIS2_PLACEMENT_3D('',#563456,#441052,#441053); #368802=AXIS2_PLACEMENT_3D('',#563462,#441057,#441058); #368803=AXIS2_PLACEMENT_3D('',#563468,#441062,#441063); #368804=AXIS2_PLACEMENT_3D('',#563474,#441067,#441068); #368805=AXIS2_PLACEMENT_3D('',#563480,#441072,#441073); #368806=AXIS2_PLACEMENT_3D('',#563486,#441077,#441078); #368807=AXIS2_PLACEMENT_3D('',#563492,#441082,#441083); #368808=AXIS2_PLACEMENT_3D('',#563498,#441087,#441088); #368809=AXIS2_PLACEMENT_3D('',#563504,#441092,#441093); #368810=AXIS2_PLACEMENT_3D('',#563510,#441097,#441098); #368811=AXIS2_PLACEMENT_3D('',#563516,#441102,#441103); #368812=AXIS2_PLACEMENT_3D('',#563522,#441107,#441108); #368813=AXIS2_PLACEMENT_3D('',#563528,#441112,#441113); #368814=AXIS2_PLACEMENT_3D('',#563534,#441117,#441118); #368815=AXIS2_PLACEMENT_3D('',#563540,#441122,#441123); #368816=AXIS2_PLACEMENT_3D('',#563546,#441127,#441128); #368817=AXIS2_PLACEMENT_3D('',#563552,#441132,#441133); #368818=AXIS2_PLACEMENT_3D('',#563558,#441137,#441138); #368819=AXIS2_PLACEMENT_3D('',#563564,#441142,#441143); #368820=AXIS2_PLACEMENT_3D('',#563570,#441147,#441148); #368821=AXIS2_PLACEMENT_3D('',#563576,#441152,#441153); #368822=AXIS2_PLACEMENT_3D('',#563582,#441157,#441158); #368823=AXIS2_PLACEMENT_3D('',#563588,#441162,#441163); #368824=AXIS2_PLACEMENT_3D('',#563594,#441167,#441168); #368825=AXIS2_PLACEMENT_3D('',#563600,#441172,#441173); #368826=AXIS2_PLACEMENT_3D('',#563606,#441177,#441178); #368827=AXIS2_PLACEMENT_3D('',#563612,#441182,#441183); #368828=AXIS2_PLACEMENT_3D('',#563618,#441187,#441188); #368829=AXIS2_PLACEMENT_3D('',#563624,#441192,#441193); #368830=AXIS2_PLACEMENT_3D('',#563630,#441197,#441198); #368831=AXIS2_PLACEMENT_3D('',#563636,#441202,#441203); #368832=AXIS2_PLACEMENT_3D('',#563642,#441207,#441208); #368833=AXIS2_PLACEMENT_3D('',#563648,#441212,#441213); #368834=AXIS2_PLACEMENT_3D('',#563654,#441217,#441218); #368835=AXIS2_PLACEMENT_3D('',#563660,#441222,#441223); #368836=AXIS2_PLACEMENT_3D('',#563666,#441227,#441228); #368837=AXIS2_PLACEMENT_3D('',#563672,#441232,#441233); #368838=AXIS2_PLACEMENT_3D('',#563678,#441237,#441238); #368839=AXIS2_PLACEMENT_3D('',#563684,#441242,#441243); #368840=AXIS2_PLACEMENT_3D('',#563690,#441247,#441248); #368841=AXIS2_PLACEMENT_3D('',#563696,#441252,#441253); #368842=AXIS2_PLACEMENT_3D('',#563702,#441257,#441258); #368843=AXIS2_PLACEMENT_3D('',#563708,#441262,#441263); #368844=AXIS2_PLACEMENT_3D('',#563714,#441267,#441268); #368845=AXIS2_PLACEMENT_3D('',#563720,#441272,#441273); #368846=AXIS2_PLACEMENT_3D('',#563726,#441277,#441278); #368847=AXIS2_PLACEMENT_3D('',#563732,#441282,#441283); #368848=AXIS2_PLACEMENT_3D('',#563738,#441287,#441288); #368849=AXIS2_PLACEMENT_3D('',#563744,#441292,#441293); #368850=AXIS2_PLACEMENT_3D('',#563750,#441297,#441298); #368851=AXIS2_PLACEMENT_3D('',#563756,#441302,#441303); #368852=AXIS2_PLACEMENT_3D('',#563762,#441307,#441308); #368853=AXIS2_PLACEMENT_3D('',#563768,#441312,#441313); #368854=AXIS2_PLACEMENT_3D('',#563774,#441317,#441318); #368855=AXIS2_PLACEMENT_3D('',#563780,#441322,#441323); #368856=AXIS2_PLACEMENT_3D('',#563786,#441327,#441328); #368857=AXIS2_PLACEMENT_3D('',#563792,#441332,#441333); #368858=AXIS2_PLACEMENT_3D('',#563798,#441337,#441338); #368859=AXIS2_PLACEMENT_3D('',#563804,#441342,#441343); #368860=AXIS2_PLACEMENT_3D('',#563810,#441347,#441348); #368861=AXIS2_PLACEMENT_3D('',#563816,#441352,#441353); #368862=AXIS2_PLACEMENT_3D('',#563822,#441357,#441358); #368863=AXIS2_PLACEMENT_3D('',#563828,#441362,#441363); #368864=AXIS2_PLACEMENT_3D('',#563834,#441367,#441368); #368865=AXIS2_PLACEMENT_3D('',#563840,#441372,#441373); #368866=AXIS2_PLACEMENT_3D('',#563846,#441377,#441378); #368867=AXIS2_PLACEMENT_3D('',#563852,#441382,#441383); #368868=AXIS2_PLACEMENT_3D('',#563858,#441387,#441388); #368869=AXIS2_PLACEMENT_3D('',#563864,#441392,#441393); #368870=AXIS2_PLACEMENT_3D('',#563870,#441397,#441398); #368871=AXIS2_PLACEMENT_3D('',#563873,#441401,#441402); #368872=AXIS2_PLACEMENT_3D('',#563874,#441403,#441404); #368873=AXIS2_PLACEMENT_3D('',#563875,#441405,#441406); #368874=AXIS2_PLACEMENT_3D('',#563884,#441411,#441412); #368875=AXIS2_PLACEMENT_3D('',#563890,#441416,#441417); #368876=AXIS2_PLACEMENT_3D('',#563896,#441421,#441422); #368877=AXIS2_PLACEMENT_3D('',#563902,#441426,#441427); #368878=AXIS2_PLACEMENT_3D('',#563908,#441431,#441432); #368879=AXIS2_PLACEMENT_3D('',#563914,#441436,#441437); #368880=AXIS2_PLACEMENT_3D('',#563920,#441441,#441442); #368881=AXIS2_PLACEMENT_3D('',#563926,#441446,#441447); #368882=AXIS2_PLACEMENT_3D('',#563932,#441451,#441452); #368883=AXIS2_PLACEMENT_3D('',#563938,#441456,#441457); #368884=AXIS2_PLACEMENT_3D('',#563944,#441461,#441462); #368885=AXIS2_PLACEMENT_3D('',#563950,#441466,#441467); #368886=AXIS2_PLACEMENT_3D('',#563956,#441471,#441472); #368887=AXIS2_PLACEMENT_3D('',#563962,#441476,#441477); #368888=AXIS2_PLACEMENT_3D('',#563968,#441481,#441482); #368889=AXIS2_PLACEMENT_3D('',#563974,#441486,#441487); #368890=AXIS2_PLACEMENT_3D('',#563980,#441491,#441492); #368891=AXIS2_PLACEMENT_3D('',#563986,#441496,#441497); #368892=AXIS2_PLACEMENT_3D('',#563992,#441501,#441502); #368893=AXIS2_PLACEMENT_3D('',#563998,#441506,#441507); #368894=AXIS2_PLACEMENT_3D('',#564004,#441511,#441512); #368895=AXIS2_PLACEMENT_3D('',#564010,#441516,#441517); #368896=AXIS2_PLACEMENT_3D('',#564016,#441521,#441522); #368897=AXIS2_PLACEMENT_3D('',#564022,#441526,#441527); #368898=AXIS2_PLACEMENT_3D('',#564028,#441531,#441532); #368899=AXIS2_PLACEMENT_3D('',#564034,#441536,#441537); #368900=AXIS2_PLACEMENT_3D('',#564040,#441541,#441542); #368901=AXIS2_PLACEMENT_3D('',#564043,#441545,#441546); #368902=AXIS2_PLACEMENT_3D('',#564044,#441547,#441548); #368903=AXIS2_PLACEMENT_3D('',#564045,#441549,#441550); #368904=AXIS2_PLACEMENT_3D('',#564047,#441551,#441552); #368905=AXIS2_PLACEMENT_3D('',#564050,#441554,#441555); #368906=AXIS2_PLACEMENT_3D('',#564051,#441556,#441557); #368907=AXIS2_PLACEMENT_3D('',#564053,#441558,#441559); #368908=AXIS2_PLACEMENT_3D('',#564056,#441561,#441562); #368909=AXIS2_PLACEMENT_3D('',#564057,#441563,#441564); #368910=AXIS2_PLACEMENT_3D('',#564059,#441565,#441566); #368911=AXIS2_PLACEMENT_3D('',#564062,#441568,#441569); #368912=AXIS2_PLACEMENT_3D('',#564063,#441570,#441571); #368913=AXIS2_PLACEMENT_3D('',#564065,#441572,#441573); #368914=AXIS2_PLACEMENT_3D('',#564068,#441575,#441576); #368915=AXIS2_PLACEMENT_3D('',#564069,#441577,#441578); #368916=AXIS2_PLACEMENT_3D('',#564071,#441579,#441580); #368917=AXIS2_PLACEMENT_3D('',#564074,#441582,#441583); #368918=AXIS2_PLACEMENT_3D('',#564075,#441584,#441585); #368919=AXIS2_PLACEMENT_3D('',#564077,#441586,#441587); #368920=AXIS2_PLACEMENT_3D('',#564080,#441589,#441590); #368921=AXIS2_PLACEMENT_3D('',#564081,#441591,#441592); #368922=AXIS2_PLACEMENT_3D('',#564083,#441593,#441594); #368923=AXIS2_PLACEMENT_3D('',#564086,#441596,#441597); #368924=AXIS2_PLACEMENT_3D('',#564087,#441598,#441599); #368925=AXIS2_PLACEMENT_3D('',#564089,#441600,#441601); #368926=AXIS2_PLACEMENT_3D('',#564092,#441603,#441604); #368927=AXIS2_PLACEMENT_3D('',#564093,#441605,#441606); #368928=AXIS2_PLACEMENT_3D('',#564095,#441607,#441608); #368929=AXIS2_PLACEMENT_3D('',#564098,#441610,#441611); #368930=AXIS2_PLACEMENT_3D('',#564099,#441612,#441613); #368931=AXIS2_PLACEMENT_3D('',#564101,#441614,#441615); #368932=AXIS2_PLACEMENT_3D('',#564104,#441617,#441618); #368933=AXIS2_PLACEMENT_3D('',#564105,#441619,#441620); #368934=AXIS2_PLACEMENT_3D('',#564107,#441621,#441622); #368935=AXIS2_PLACEMENT_3D('',#564110,#441624,#441625); #368936=AXIS2_PLACEMENT_3D('',#564111,#441626,#441627); #368937=AXIS2_PLACEMENT_3D('',#564113,#441628,#441629); #368938=AXIS2_PLACEMENT_3D('',#564116,#441631,#441632); #368939=AXIS2_PLACEMENT_3D('',#564117,#441633,#441634); #368940=AXIS2_PLACEMENT_3D('',#564119,#441635,#441636); #368941=AXIS2_PLACEMENT_3D('',#564122,#441638,#441639); #368942=AXIS2_PLACEMENT_3D('',#564123,#441640,#441641); #368943=AXIS2_PLACEMENT_3D('',#564125,#441642,#441643); #368944=AXIS2_PLACEMENT_3D('',#564128,#441645,#441646); #368945=AXIS2_PLACEMENT_3D('',#564129,#441647,#441648); #368946=AXIS2_PLACEMENT_3D('',#564131,#441649,#441650); #368947=AXIS2_PLACEMENT_3D('',#564134,#441652,#441653); #368948=AXIS2_PLACEMENT_3D('',#564135,#441654,#441655); #368949=AXIS2_PLACEMENT_3D('',#564137,#441656,#441657); #368950=AXIS2_PLACEMENT_3D('',#564140,#441659,#441660); #368951=AXIS2_PLACEMENT_3D('',#564141,#441661,#441662); #368952=AXIS2_PLACEMENT_3D('',#564150,#441667,#441668); #368953=AXIS2_PLACEMENT_3D('',#564156,#441672,#441673); #368954=AXIS2_PLACEMENT_3D('',#564162,#441677,#441678); #368955=AXIS2_PLACEMENT_3D('',#564168,#441682,#441683); #368956=AXIS2_PLACEMENT_3D('',#564174,#441687,#441688); #368957=AXIS2_PLACEMENT_3D('',#564180,#441692,#441693); #368958=AXIS2_PLACEMENT_3D('',#564186,#441697,#441698); #368959=AXIS2_PLACEMENT_3D('',#564192,#441702,#441703); #368960=AXIS2_PLACEMENT_3D('',#564198,#441707,#441708); #368961=AXIS2_PLACEMENT_3D('',#564204,#441712,#441713); #368962=AXIS2_PLACEMENT_3D('',#564210,#441717,#441718); #368963=AXIS2_PLACEMENT_3D('',#564216,#441722,#441723); #368964=AXIS2_PLACEMENT_3D('',#564222,#441727,#441728); #368965=AXIS2_PLACEMENT_3D('',#564228,#441732,#441733); #368966=AXIS2_PLACEMENT_3D('',#564234,#441737,#441738); #368967=AXIS2_PLACEMENT_3D('',#564240,#441742,#441743); #368968=AXIS2_PLACEMENT_3D('',#564246,#441747,#441748); #368969=AXIS2_PLACEMENT_3D('',#564252,#441752,#441753); #368970=AXIS2_PLACEMENT_3D('',#564258,#441757,#441758); #368971=AXIS2_PLACEMENT_3D('',#564264,#441762,#441763); #368972=AXIS2_PLACEMENT_3D('',#564270,#441767,#441768); #368973=AXIS2_PLACEMENT_3D('',#564276,#441772,#441773); #368974=AXIS2_PLACEMENT_3D('',#564282,#441777,#441778); #368975=AXIS2_PLACEMENT_3D('',#564288,#441782,#441783); #368976=AXIS2_PLACEMENT_3D('',#564294,#441787,#441788); #368977=AXIS2_PLACEMENT_3D('',#564300,#441792,#441793); #368978=AXIS2_PLACEMENT_3D('',#564306,#441797,#441798); #368979=AXIS2_PLACEMENT_3D('',#564312,#441802,#441803); #368980=AXIS2_PLACEMENT_3D('',#564318,#441807,#441808); #368981=AXIS2_PLACEMENT_3D('',#564324,#441812,#441813); #368982=AXIS2_PLACEMENT_3D('',#564330,#441817,#441818); #368983=AXIS2_PLACEMENT_3D('',#564336,#441822,#441823); #368984=AXIS2_PLACEMENT_3D('',#564342,#441827,#441828); #368985=AXIS2_PLACEMENT_3D('',#564348,#441832,#441833); #368986=AXIS2_PLACEMENT_3D('',#564354,#441837,#441838); #368987=AXIS2_PLACEMENT_3D('',#564360,#441842,#441843); #368988=AXIS2_PLACEMENT_3D('',#564366,#441847,#441848); #368989=AXIS2_PLACEMENT_3D('',#564372,#441852,#441853); #368990=AXIS2_PLACEMENT_3D('',#564378,#441857,#441858); #368991=AXIS2_PLACEMENT_3D('',#564384,#441862,#441863); #368992=AXIS2_PLACEMENT_3D('',#564390,#441867,#441868); #368993=AXIS2_PLACEMENT_3D('',#564396,#441872,#441873); #368994=AXIS2_PLACEMENT_3D('',#564402,#441877,#441878); #368995=AXIS2_PLACEMENT_3D('',#564408,#441882,#441883); #368996=AXIS2_PLACEMENT_3D('',#564414,#441887,#441888); #368997=AXIS2_PLACEMENT_3D('',#564420,#441892,#441893); #368998=AXIS2_PLACEMENT_3D('',#564426,#441897,#441898); #368999=AXIS2_PLACEMENT_3D('',#564432,#441902,#441903); #369000=AXIS2_PLACEMENT_3D('',#564438,#441907,#441908); #369001=AXIS2_PLACEMENT_3D('',#564444,#441912,#441913); #369002=AXIS2_PLACEMENT_3D('',#564450,#441917,#441918); #369003=AXIS2_PLACEMENT_3D('',#564456,#441922,#441923); #369004=AXIS2_PLACEMENT_3D('',#564462,#441927,#441928); #369005=AXIS2_PLACEMENT_3D('',#564468,#441932,#441933); #369006=AXIS2_PLACEMENT_3D('',#564474,#441937,#441938); #369007=AXIS2_PLACEMENT_3D('',#564480,#441942,#441943); #369008=AXIS2_PLACEMENT_3D('',#564486,#441947,#441948); #369009=AXIS2_PLACEMENT_3D('',#564492,#441952,#441953); #369010=AXIS2_PLACEMENT_3D('',#564498,#441957,#441958); #369011=AXIS2_PLACEMENT_3D('',#564504,#441962,#441963); #369012=AXIS2_PLACEMENT_3D('',#564510,#441967,#441968); #369013=AXIS2_PLACEMENT_3D('',#564516,#441972,#441973); #369014=AXIS2_PLACEMENT_3D('',#564522,#441977,#441978); #369015=AXIS2_PLACEMENT_3D('',#564528,#441982,#441983); #369016=AXIS2_PLACEMENT_3D('',#564534,#441987,#441988); #369017=AXIS2_PLACEMENT_3D('',#564540,#441992,#441993); #369018=AXIS2_PLACEMENT_3D('',#564546,#441997,#441998); #369019=AXIS2_PLACEMENT_3D('',#564552,#442002,#442003); #369020=AXIS2_PLACEMENT_3D('',#564558,#442007,#442008); #369021=AXIS2_PLACEMENT_3D('',#564564,#442012,#442013); #369022=AXIS2_PLACEMENT_3D('',#564570,#442017,#442018); #369023=AXIS2_PLACEMENT_3D('',#564576,#442022,#442023); #369024=AXIS2_PLACEMENT_3D('',#564582,#442027,#442028); #369025=AXIS2_PLACEMENT_3D('',#564585,#442031,#442032); #369026=AXIS2_PLACEMENT_3D('',#564586,#442033,#442034); #369027=AXIS2_PLACEMENT_3D('',#564587,#442035,#442036); #369028=AXIS2_PLACEMENT_3D('',#564589,#442037,#442038); #369029=AXIS2_PLACEMENT_3D('',#564592,#442040,#442041); #369030=AXIS2_PLACEMENT_3D('',#564593,#442042,#442043); #369031=AXIS2_PLACEMENT_3D('',#564595,#442044,#442045); #369032=AXIS2_PLACEMENT_3D('',#564598,#442047,#442048); #369033=AXIS2_PLACEMENT_3D('',#564599,#442049,#442050); #369034=AXIS2_PLACEMENT_3D('',#564601,#442051,#442052); #369035=AXIS2_PLACEMENT_3D('',#564604,#442054,#442055); #369036=AXIS2_PLACEMENT_3D('',#564605,#442056,#442057); #369037=AXIS2_PLACEMENT_3D('',#564607,#442058,#442059); #369038=AXIS2_PLACEMENT_3D('',#564610,#442061,#442062); #369039=AXIS2_PLACEMENT_3D('',#564611,#442063,#442064); #369040=AXIS2_PLACEMENT_3D('',#564613,#442065,#442066); #369041=AXIS2_PLACEMENT_3D('',#564616,#442068,#442069); #369042=AXIS2_PLACEMENT_3D('',#564617,#442070,#442071); #369043=AXIS2_PLACEMENT_3D('',#564619,#442072,#442073); #369044=AXIS2_PLACEMENT_3D('',#564622,#442075,#442076); #369045=AXIS2_PLACEMENT_3D('',#564623,#442077,#442078); #369046=AXIS2_PLACEMENT_3D('',#564625,#442079,#442080); #369047=AXIS2_PLACEMENT_3D('',#564628,#442082,#442083); #369048=AXIS2_PLACEMENT_3D('',#564629,#442084,#442085); #369049=AXIS2_PLACEMENT_3D('',#564631,#442086,#442087); #369050=AXIS2_PLACEMENT_3D('',#564634,#442089,#442090); #369051=AXIS2_PLACEMENT_3D('',#564635,#442091,#442092); #369052=AXIS2_PLACEMENT_3D('',#564637,#442093,#442094); #369053=AXIS2_PLACEMENT_3D('',#564640,#442096,#442097); #369054=AXIS2_PLACEMENT_3D('',#564641,#442098,#442099); #369055=AXIS2_PLACEMENT_3D('',#564643,#442100,#442101); #369056=AXIS2_PLACEMENT_3D('',#564646,#442103,#442104); #369057=AXIS2_PLACEMENT_3D('',#564647,#442105,#442106); #369058=AXIS2_PLACEMENT_3D('',#564649,#442107,#442108); #369059=AXIS2_PLACEMENT_3D('',#564652,#442110,#442111); #369060=AXIS2_PLACEMENT_3D('',#564653,#442112,#442113); #369061=AXIS2_PLACEMENT_3D('',#564655,#442114,#442115); #369062=AXIS2_PLACEMENT_3D('',#564658,#442117,#442118); #369063=AXIS2_PLACEMENT_3D('',#564659,#442119,#442120); #369064=AXIS2_PLACEMENT_3D('',#564661,#442121,#442122); #369065=AXIS2_PLACEMENT_3D('',#564664,#442124,#442125); #369066=AXIS2_PLACEMENT_3D('',#564665,#442126,#442127); #369067=AXIS2_PLACEMENT_3D('',#564667,#442128,#442129); #369068=AXIS2_PLACEMENT_3D('',#564670,#442131,#442132); #369069=AXIS2_PLACEMENT_3D('',#564671,#442133,#442134); #369070=AXIS2_PLACEMENT_3D('',#564673,#442135,#442136); #369071=AXIS2_PLACEMENT_3D('',#564676,#442138,#442139); #369072=AXIS2_PLACEMENT_3D('',#564677,#442140,#442141); #369073=AXIS2_PLACEMENT_3D('',#564679,#442142,#442143); #369074=AXIS2_PLACEMENT_3D('',#564682,#442145,#442146); #369075=AXIS2_PLACEMENT_3D('',#564683,#442147,#442148); #369076=AXIS2_PLACEMENT_3D('',#564692,#442153,#442154); #369077=AXIS2_PLACEMENT_3D('',#564698,#442158,#442159); #369078=AXIS2_PLACEMENT_3D('',#564704,#442163,#442164); #369079=AXIS2_PLACEMENT_3D('',#564710,#442168,#442169); #369080=AXIS2_PLACEMENT_3D('',#564716,#442173,#442174); #369081=AXIS2_PLACEMENT_3D('',#564722,#442178,#442179); #369082=AXIS2_PLACEMENT_3D('',#564728,#442183,#442184); #369083=AXIS2_PLACEMENT_3D('',#564734,#442188,#442189); #369084=AXIS2_PLACEMENT_3D('',#564740,#442193,#442194); #369085=AXIS2_PLACEMENT_3D('',#564746,#442198,#442199); #369086=AXIS2_PLACEMENT_3D('',#564752,#442203,#442204); #369087=AXIS2_PLACEMENT_3D('',#564758,#442208,#442209); #369088=AXIS2_PLACEMENT_3D('',#564764,#442213,#442214); #369089=AXIS2_PLACEMENT_3D('',#564770,#442218,#442219); #369090=AXIS2_PLACEMENT_3D('',#564776,#442223,#442224); #369091=AXIS2_PLACEMENT_3D('',#564782,#442228,#442229); #369092=AXIS2_PLACEMENT_3D('',#564788,#442233,#442234); #369093=AXIS2_PLACEMENT_3D('',#564794,#442238,#442239); #369094=AXIS2_PLACEMENT_3D('',#564800,#442243,#442244); #369095=AXIS2_PLACEMENT_3D('',#564806,#442248,#442249); #369096=AXIS2_PLACEMENT_3D('',#564812,#442253,#442254); #369097=AXIS2_PLACEMENT_3D('',#564818,#442258,#442259); #369098=AXIS2_PLACEMENT_3D('',#564824,#442263,#442264); #369099=AXIS2_PLACEMENT_3D('',#564830,#442268,#442269); #369100=AXIS2_PLACEMENT_3D('',#564836,#442273,#442274); #369101=AXIS2_PLACEMENT_3D('',#564842,#442278,#442279); #369102=AXIS2_PLACEMENT_3D('',#564848,#442283,#442284); #369103=AXIS2_PLACEMENT_3D('',#564854,#442288,#442289); #369104=AXIS2_PLACEMENT_3D('',#564860,#442293,#442294); #369105=AXIS2_PLACEMENT_3D('',#564866,#442298,#442299); #369106=AXIS2_PLACEMENT_3D('',#564872,#442303,#442304); #369107=AXIS2_PLACEMENT_3D('',#564878,#442308,#442309); #369108=AXIS2_PLACEMENT_3D('',#564884,#442313,#442314); #369109=AXIS2_PLACEMENT_3D('',#564890,#442318,#442319); #369110=AXIS2_PLACEMENT_3D('',#564896,#442323,#442324); #369111=AXIS2_PLACEMENT_3D('',#564902,#442328,#442329); #369112=AXIS2_PLACEMENT_3D('',#564908,#442333,#442334); #369113=AXIS2_PLACEMENT_3D('',#564914,#442338,#442339); #369114=AXIS2_PLACEMENT_3D('',#564920,#442343,#442344); #369115=AXIS2_PLACEMENT_3D('',#564926,#442348,#442349); #369116=AXIS2_PLACEMENT_3D('',#564932,#442353,#442354); #369117=AXIS2_PLACEMENT_3D('',#564938,#442358,#442359); #369118=AXIS2_PLACEMENT_3D('',#564944,#442363,#442364); #369119=AXIS2_PLACEMENT_3D('',#564950,#442368,#442369); #369120=AXIS2_PLACEMENT_3D('',#564956,#442373,#442374); #369121=AXIS2_PLACEMENT_3D('',#564962,#442378,#442379); #369122=AXIS2_PLACEMENT_3D('',#564968,#442383,#442384); #369123=AXIS2_PLACEMENT_3D('',#564974,#442388,#442389); #369124=AXIS2_PLACEMENT_3D('',#564980,#442393,#442394); #369125=AXIS2_PLACEMENT_3D('',#564986,#442398,#442399); #369126=AXIS2_PLACEMENT_3D('',#564992,#442403,#442404); #369127=AXIS2_PLACEMENT_3D('',#564998,#442408,#442409); #369128=AXIS2_PLACEMENT_3D('',#565004,#442413,#442414); #369129=AXIS2_PLACEMENT_3D('',#565010,#442418,#442419); #369130=AXIS2_PLACEMENT_3D('',#565016,#442423,#442424); #369131=AXIS2_PLACEMENT_3D('',#565022,#442428,#442429); #369132=AXIS2_PLACEMENT_3D('',#565028,#442433,#442434); #369133=AXIS2_PLACEMENT_3D('',#565034,#442438,#442439); #369134=AXIS2_PLACEMENT_3D('',#565040,#442443,#442444); #369135=AXIS2_PLACEMENT_3D('',#565046,#442448,#442449); #369136=AXIS2_PLACEMENT_3D('',#565052,#442453,#442454); #369137=AXIS2_PLACEMENT_3D('',#565058,#442458,#442459); #369138=AXIS2_PLACEMENT_3D('',#565064,#442463,#442464); #369139=AXIS2_PLACEMENT_3D('',#565070,#442468,#442469); #369140=AXIS2_PLACEMENT_3D('',#565076,#442473,#442474); #369141=AXIS2_PLACEMENT_3D('',#565082,#442478,#442479); #369142=AXIS2_PLACEMENT_3D('',#565088,#442483,#442484); #369143=AXIS2_PLACEMENT_3D('',#565094,#442488,#442489); #369144=AXIS2_PLACEMENT_3D('',#565100,#442493,#442494); #369145=AXIS2_PLACEMENT_3D('',#565103,#442497,#442498); #369146=AXIS2_PLACEMENT_3D('',#565104,#442499,#442500); #369147=AXIS2_PLACEMENT_3D('',#565105,#442501,#442502); #369148=AXIS2_PLACEMENT_3D('',#565107,#442503,#442504); #369149=AXIS2_PLACEMENT_3D('',#565110,#442506,#442507); #369150=AXIS2_PLACEMENT_3D('',#565111,#442508,#442509); #369151=AXIS2_PLACEMENT_3D('',#565113,#442510,#442511); #369152=AXIS2_PLACEMENT_3D('',#565116,#442513,#442514); #369153=AXIS2_PLACEMENT_3D('',#565117,#442515,#442516); #369154=AXIS2_PLACEMENT_3D('',#565119,#442517,#442518); #369155=AXIS2_PLACEMENT_3D('',#565122,#442520,#442521); #369156=AXIS2_PLACEMENT_3D('',#565123,#442522,#442523); #369157=AXIS2_PLACEMENT_3D('',#565125,#442524,#442525); #369158=AXIS2_PLACEMENT_3D('',#565128,#442527,#442528); #369159=AXIS2_PLACEMENT_3D('',#565129,#442529,#442530); #369160=AXIS2_PLACEMENT_3D('',#565131,#442531,#442532); #369161=AXIS2_PLACEMENT_3D('',#565134,#442534,#442535); #369162=AXIS2_PLACEMENT_3D('',#565135,#442536,#442537); #369163=AXIS2_PLACEMENT_3D('',#565137,#442538,#442539); #369164=AXIS2_PLACEMENT_3D('',#565140,#442541,#442542); #369165=AXIS2_PLACEMENT_3D('',#565141,#442543,#442544); #369166=AXIS2_PLACEMENT_3D('',#565143,#442545,#442546); #369167=AXIS2_PLACEMENT_3D('',#565146,#442548,#442549); #369168=AXIS2_PLACEMENT_3D('',#565147,#442550,#442551); #369169=AXIS2_PLACEMENT_3D('',#565149,#442552,#442553); #369170=AXIS2_PLACEMENT_3D('',#565152,#442555,#442556); #369171=AXIS2_PLACEMENT_3D('',#565153,#442557,#442558); #369172=AXIS2_PLACEMENT_3D('',#565155,#442559,#442560); #369173=AXIS2_PLACEMENT_3D('',#565158,#442562,#442563); #369174=AXIS2_PLACEMENT_3D('',#565159,#442564,#442565); #369175=AXIS2_PLACEMENT_3D('',#565161,#442566,#442567); #369176=AXIS2_PLACEMENT_3D('',#565164,#442569,#442570); #369177=AXIS2_PLACEMENT_3D('',#565165,#442571,#442572); #369178=AXIS2_PLACEMENT_3D('',#565167,#442573,#442574); #369179=AXIS2_PLACEMENT_3D('',#565170,#442576,#442577); #369180=AXIS2_PLACEMENT_3D('',#565171,#442578,#442579); #369181=AXIS2_PLACEMENT_3D('',#565173,#442580,#442581); #369182=AXIS2_PLACEMENT_3D('',#565176,#442583,#442584); #369183=AXIS2_PLACEMENT_3D('',#565177,#442585,#442586); #369184=AXIS2_PLACEMENT_3D('',#565179,#442587,#442588); #369185=AXIS2_PLACEMENT_3D('',#565182,#442590,#442591); #369186=AXIS2_PLACEMENT_3D('',#565183,#442592,#442593); #369187=AXIS2_PLACEMENT_3D('',#565185,#442594,#442595); #369188=AXIS2_PLACEMENT_3D('',#565188,#442597,#442598); #369189=AXIS2_PLACEMENT_3D('',#565189,#442599,#442600); #369190=AXIS2_PLACEMENT_3D('',#565191,#442601,#442602); #369191=AXIS2_PLACEMENT_3D('',#565194,#442604,#442605); #369192=AXIS2_PLACEMENT_3D('',#565195,#442606,#442607); #369193=AXIS2_PLACEMENT_3D('',#565197,#442608,#442609); #369194=AXIS2_PLACEMENT_3D('',#565200,#442611,#442612); #369195=AXIS2_PLACEMENT_3D('',#565201,#442613,#442614); #369196=AXIS2_PLACEMENT_3D('',#565203,#442615,#442616); #369197=AXIS2_PLACEMENT_3D('',#565206,#442618,#442619); #369198=AXIS2_PLACEMENT_3D('',#565207,#442620,#442621); #369199=AXIS2_PLACEMENT_3D('',#565209,#442622,#442623); #369200=AXIS2_PLACEMENT_3D('',#565212,#442625,#442626); #369201=AXIS2_PLACEMENT_3D('',#565213,#442627,#442628); #369202=AXIS2_PLACEMENT_3D('',#565215,#442629,#442630); #369203=AXIS2_PLACEMENT_3D('',#565218,#442632,#442633); #369204=AXIS2_PLACEMENT_3D('',#565219,#442634,#442635); #369205=AXIS2_PLACEMENT_3D('',#565221,#442636,#442637); #369206=AXIS2_PLACEMENT_3D('',#565224,#442639,#442640); #369207=AXIS2_PLACEMENT_3D('',#565225,#442641,#442642); #369208=AXIS2_PLACEMENT_3D('',#565227,#442643,#442644); #369209=AXIS2_PLACEMENT_3D('',#565230,#442646,#442647); #369210=AXIS2_PLACEMENT_3D('',#565231,#442648,#442649); #369211=AXIS2_PLACEMENT_3D('',#565233,#442650,#442651); #369212=AXIS2_PLACEMENT_3D('',#565236,#442653,#442654); #369213=AXIS2_PLACEMENT_3D('',#565237,#442655,#442656); #369214=AXIS2_PLACEMENT_3D('',#565239,#442657,#442658); #369215=AXIS2_PLACEMENT_3D('',#565242,#442660,#442661); #369216=AXIS2_PLACEMENT_3D('',#565243,#442662,#442663); #369217=AXIS2_PLACEMENT_3D('',#565245,#442664,#442665); #369218=AXIS2_PLACEMENT_3D('',#565248,#442667,#442668); #369219=AXIS2_PLACEMENT_3D('',#565249,#442669,#442670); #369220=AXIS2_PLACEMENT_3D('',#565251,#442671,#442672); #369221=AXIS2_PLACEMENT_3D('',#565254,#442674,#442675); #369222=AXIS2_PLACEMENT_3D('',#565255,#442676,#442677); #369223=AXIS2_PLACEMENT_3D('',#565257,#442678,#442679); #369224=AXIS2_PLACEMENT_3D('',#565260,#442681,#442682); #369225=AXIS2_PLACEMENT_3D('',#565261,#442683,#442684); #369226=AXIS2_PLACEMENT_3D('',#565263,#442685,#442686); #369227=AXIS2_PLACEMENT_3D('',#565266,#442688,#442689); #369228=AXIS2_PLACEMENT_3D('',#565267,#442690,#442691); #369229=AXIS2_PLACEMENT_3D('',#565269,#442692,#442693); #369230=AXIS2_PLACEMENT_3D('',#565272,#442695,#442696); #369231=AXIS2_PLACEMENT_3D('',#565273,#442697,#442698); #369232=AXIS2_PLACEMENT_3D('',#565275,#442699,#442700); #369233=AXIS2_PLACEMENT_3D('',#565278,#442702,#442703); #369234=AXIS2_PLACEMENT_3D('',#565279,#442704,#442705); #369235=AXIS2_PLACEMENT_3D('',#565281,#442706,#442707); #369236=AXIS2_PLACEMENT_3D('',#565284,#442709,#442710); #369237=AXIS2_PLACEMENT_3D('',#565285,#442711,#442712); #369238=AXIS2_PLACEMENT_3D('',#565294,#442717,#442718); #369239=AXIS2_PLACEMENT_3D('',#565300,#442722,#442723); #369240=AXIS2_PLACEMENT_3D('',#565306,#442727,#442728); #369241=AXIS2_PLACEMENT_3D('',#565312,#442732,#442733); #369242=AXIS2_PLACEMENT_3D('',#565318,#442737,#442738); #369243=AXIS2_PLACEMENT_3D('',#565324,#442742,#442743); #369244=AXIS2_PLACEMENT_3D('',#565330,#442747,#442748); #369245=AXIS2_PLACEMENT_3D('',#565336,#442752,#442753); #369246=AXIS2_PLACEMENT_3D('',#565342,#442757,#442758); #369247=AXIS2_PLACEMENT_3D('',#565348,#442762,#442763); #369248=AXIS2_PLACEMENT_3D('',#565354,#442767,#442768); #369249=AXIS2_PLACEMENT_3D('',#565360,#442772,#442773); #369250=AXIS2_PLACEMENT_3D('',#565366,#442777,#442778); #369251=AXIS2_PLACEMENT_3D('',#565372,#442782,#442783); #369252=AXIS2_PLACEMENT_3D('',#565378,#442787,#442788); #369253=AXIS2_PLACEMENT_3D('',#565384,#442792,#442793); #369254=AXIS2_PLACEMENT_3D('',#565390,#442797,#442798); #369255=AXIS2_PLACEMENT_3D('',#565396,#442802,#442803); #369256=AXIS2_PLACEMENT_3D('',#565402,#442807,#442808); #369257=AXIS2_PLACEMENT_3D('',#565408,#442812,#442813); #369258=AXIS2_PLACEMENT_3D('',#565414,#442817,#442818); #369259=AXIS2_PLACEMENT_3D('',#565420,#442822,#442823); #369260=AXIS2_PLACEMENT_3D('',#565426,#442827,#442828); #369261=AXIS2_PLACEMENT_3D('',#565432,#442832,#442833); #369262=AXIS2_PLACEMENT_3D('',#565438,#442837,#442838); #369263=AXIS2_PLACEMENT_3D('',#565444,#442842,#442843); #369264=AXIS2_PLACEMENT_3D('',#565450,#442847,#442848); #369265=AXIS2_PLACEMENT_3D('',#565456,#442852,#442853); #369266=AXIS2_PLACEMENT_3D('',#565462,#442857,#442858); #369267=AXIS2_PLACEMENT_3D('',#565468,#442862,#442863); #369268=AXIS2_PLACEMENT_3D('',#565474,#442867,#442868); #369269=AXIS2_PLACEMENT_3D('',#565480,#442872,#442873); #369270=AXIS2_PLACEMENT_3D('',#565486,#442877,#442878); #369271=AXIS2_PLACEMENT_3D('',#565492,#442882,#442883); #369272=AXIS2_PLACEMENT_3D('',#565498,#442887,#442888); #369273=AXIS2_PLACEMENT_3D('',#565504,#442892,#442893); #369274=AXIS2_PLACEMENT_3D('',#565510,#442897,#442898); #369275=AXIS2_PLACEMENT_3D('',#565516,#442902,#442903); #369276=AXIS2_PLACEMENT_3D('',#565522,#442907,#442908); #369277=AXIS2_PLACEMENT_3D('',#565528,#442912,#442913); #369278=AXIS2_PLACEMENT_3D('',#565534,#442917,#442918); #369279=AXIS2_PLACEMENT_3D('',#565540,#442922,#442923); #369280=AXIS2_PLACEMENT_3D('',#565546,#442927,#442928); #369281=AXIS2_PLACEMENT_3D('',#565552,#442932,#442933); #369282=AXIS2_PLACEMENT_3D('',#565555,#442936,#442937); #369283=AXIS2_PLACEMENT_3D('',#565556,#442938,#442939); #369284=AXIS2_PLACEMENT_3D('',#565557,#442940,#442941); #369285=AXIS2_PLACEMENT_3D('',#565559,#442942,#442943); #369286=AXIS2_PLACEMENT_3D('',#565562,#442945,#442946); #369287=AXIS2_PLACEMENT_3D('',#565563,#442947,#442948); #369288=AXIS2_PLACEMENT_3D('',#565565,#442949,#442950); #369289=AXIS2_PLACEMENT_3D('',#565568,#442952,#442953); #369290=AXIS2_PLACEMENT_3D('',#565569,#442954,#442955); #369291=AXIS2_PLACEMENT_3D('',#565578,#442960,#442961); #369292=AXIS2_PLACEMENT_3D('',#565580,#442962,#442963); #369293=AXIS2_PLACEMENT_3D('',#565582,#442964,#442965); #369294=AXIS2_PLACEMENT_3D('',#565584,#442967,#442968); #369295=AXIS2_PLACEMENT_3D('',#565590,#442972,#442973); #369296=AXIS2_PLACEMENT_3D('',#565592,#442974,#442975); #369297=AXIS2_PLACEMENT_3D('',#565594,#442976,#442977); #369298=AXIS2_PLACEMENT_3D('',#565596,#442979,#442980); #369299=AXIS2_PLACEMENT_3D('',#565598,#442981,#442982); #369300=AXIS2_PLACEMENT_3D('',#565600,#442983,#442984); #369301=AXIS2_PLACEMENT_3D('',#565602,#442986,#442987); #369302=AXIS2_PLACEMENT_3D('',#565608,#442991,#442992); #369303=AXIS2_PLACEMENT_3D('',#565609,#442993,#442994); #369304=AXIS2_PLACEMENT_3D('',#565610,#442995,#442996); #369305=AXIS2_PLACEMENT_3D('',#565611,#442997,#442998); #369306=AXIS2_PLACEMENT_3D('',#565612,#442999,#443000); #369307=AXIS2_PLACEMENT_3D('',#565613,#443001,#443002); #369308=AXIS2_PLACEMENT_3D('',#565615,#443003,#443004); #369309=AXIS2_PLACEMENT_3D('',#565618,#443006,#443007); #369310=AXIS2_PLACEMENT_3D('',#565619,#443008,#443009); #369311=AXIS2_PLACEMENT_3D('',#565621,#443010,#443011); #369312=AXIS2_PLACEMENT_3D('',#565624,#443013,#443014); #369313=AXIS2_PLACEMENT_3D('',#565625,#443015,#443016); #369314=AXIS2_PLACEMENT_3D('',#565627,#443017,#443018); #369315=AXIS2_PLACEMENT_3D('',#565630,#443020,#443021); #369316=AXIS2_PLACEMENT_3D('',#565631,#443022,#443023); #369317=AXIS2_PLACEMENT_3D('',#565633,#443024,#443025); #369318=AXIS2_PLACEMENT_3D('',#565636,#443027,#443028); #369319=AXIS2_PLACEMENT_3D('',#565637,#443029,#443030); #369320=AXIS2_PLACEMENT_3D('',#565639,#443031,#443032); #369321=AXIS2_PLACEMENT_3D('',#565642,#443034,#443035); #369322=AXIS2_PLACEMENT_3D('',#565643,#443036,#443037); #369323=AXIS2_PLACEMENT_3D('',#565645,#443038,#443039); #369324=AXIS2_PLACEMENT_3D('',#565648,#443041,#443042); #369325=AXIS2_PLACEMENT_3D('',#565649,#443043,#443044); #369326=AXIS2_PLACEMENT_3D('',#565651,#443045,#443046); #369327=AXIS2_PLACEMENT_3D('',#565654,#443048,#443049); #369328=AXIS2_PLACEMENT_3D('',#565655,#443050,#443051); #369329=AXIS2_PLACEMENT_3D('',#565657,#443052,#443053); #369330=AXIS2_PLACEMENT_3D('',#565660,#443055,#443056); #369331=AXIS2_PLACEMENT_3D('',#565661,#443057,#443058); #369332=AXIS2_PLACEMENT_3D('',#565663,#443059,#443060); #369333=AXIS2_PLACEMENT_3D('',#565666,#443062,#443063); #369334=AXIS2_PLACEMENT_3D('',#565667,#443064,#443065); #369335=AXIS2_PLACEMENT_3D('',#565669,#443066,#443067); #369336=AXIS2_PLACEMENT_3D('',#565672,#443069,#443070); #369337=AXIS2_PLACEMENT_3D('',#565673,#443071,#443072); #369338=AXIS2_PLACEMENT_3D('',#565682,#443077,#443078); #369339=AXIS2_PLACEMENT_3D('',#565688,#443082,#443083); #369340=AXIS2_PLACEMENT_3D('',#565694,#443087,#443088); #369341=AXIS2_PLACEMENT_3D('',#565700,#443092,#443093); #369342=AXIS2_PLACEMENT_3D('',#565706,#443097,#443098); #369343=AXIS2_PLACEMENT_3D('',#565712,#443102,#443103); #369344=AXIS2_PLACEMENT_3D('',#565718,#443107,#443108); #369345=AXIS2_PLACEMENT_3D('',#565724,#443112,#443113); #369346=AXIS2_PLACEMENT_3D('',#565730,#443117,#443118); #369347=AXIS2_PLACEMENT_3D('',#565736,#443122,#443123); #369348=AXIS2_PLACEMENT_3D('',#565742,#443127,#443128); #369349=AXIS2_PLACEMENT_3D('',#565748,#443132,#443133); #369350=AXIS2_PLACEMENT_3D('',#565754,#443137,#443138); #369351=AXIS2_PLACEMENT_3D('',#565760,#443142,#443143); #369352=AXIS2_PLACEMENT_3D('',#565766,#443147,#443148); #369353=AXIS2_PLACEMENT_3D('',#565772,#443152,#443153); #369354=AXIS2_PLACEMENT_3D('',#565778,#443157,#443158); #369355=AXIS2_PLACEMENT_3D('',#565784,#443162,#443163); #369356=AXIS2_PLACEMENT_3D('',#565790,#443167,#443168); #369357=AXIS2_PLACEMENT_3D('',#565796,#443172,#443173); #369358=AXIS2_PLACEMENT_3D('',#565802,#443177,#443178); #369359=AXIS2_PLACEMENT_3D('',#565808,#443182,#443183); #369360=AXIS2_PLACEMENT_3D('',#565814,#443187,#443188); #369361=AXIS2_PLACEMENT_3D('',#565817,#443191,#443192); #369362=AXIS2_PLACEMENT_3D('',#565818,#443193,#443194); #369363=AXIS2_PLACEMENT_3D('',#565819,#443195,#443196); #369364=AXIS2_PLACEMENT_3D('',#565821,#443197,#443198); #369365=AXIS2_PLACEMENT_3D('',#565824,#443200,#443201); #369366=AXIS2_PLACEMENT_3D('',#565825,#443202,#443203); #369367=AXIS2_PLACEMENT_3D('',#565834,#443208,#443209); #369368=AXIS2_PLACEMENT_3D('',#565836,#443210,#443211); #369369=AXIS2_PLACEMENT_3D('',#565838,#443212,#443213); #369370=AXIS2_PLACEMENT_3D('',#565840,#443215,#443216); #369371=AXIS2_PLACEMENT_3D('',#565841,#443217,#443218); #369372=AXIS2_PLACEMENT_3D('',#565842,#443219,#443220); #369373=AXIS2_PLACEMENT_3D('',#565843,#443221,#443222); #369374=AXIS2_PLACEMENT_3D('',#565844,#443223,#443224); #369375=AXIS2_PLACEMENT_3D('',#565845,#443225,#443226); #369376=AXIS2_PLACEMENT_3D('',#565847,#443227,#443228); #369377=AXIS2_PLACEMENT_3D('',#565850,#443230,#443231); #369378=AXIS2_PLACEMENT_3D('',#565851,#443232,#443233); #369379=AXIS2_PLACEMENT_3D('',#565853,#443234,#443235); #369380=AXIS2_PLACEMENT_3D('',#565856,#443237,#443238); #369381=AXIS2_PLACEMENT_3D('',#565857,#443239,#443240); #369382=AXIS2_PLACEMENT_3D('',#565859,#443241,#443242); #369383=AXIS2_PLACEMENT_3D('',#565862,#443244,#443245); #369384=AXIS2_PLACEMENT_3D('',#565863,#443246,#443247); #369385=AXIS2_PLACEMENT_3D('',#565865,#443248,#443249); #369386=AXIS2_PLACEMENT_3D('',#565868,#443251,#443252); #369387=AXIS2_PLACEMENT_3D('',#565869,#443253,#443254); #369388=AXIS2_PLACEMENT_3D('',#565871,#443255,#443256); #369389=AXIS2_PLACEMENT_3D('',#565874,#443258,#443259); #369390=AXIS2_PLACEMENT_3D('',#565875,#443260,#443261); #369391=AXIS2_PLACEMENT_3D('',#565877,#443262,#443263); #369392=AXIS2_PLACEMENT_3D('',#565880,#443265,#443266); #369393=AXIS2_PLACEMENT_3D('',#565881,#443267,#443268); #369394=AXIS2_PLACEMENT_3D('',#565883,#443269,#443270); #369395=AXIS2_PLACEMENT_3D('',#565886,#443272,#443273); #369396=AXIS2_PLACEMENT_3D('',#565887,#443274,#443275); #369397=AXIS2_PLACEMENT_3D('',#565889,#443276,#443277); #369398=AXIS2_PLACEMENT_3D('',#565892,#443279,#443280); #369399=AXIS2_PLACEMENT_3D('',#565893,#443281,#443282); #369400=AXIS2_PLACEMENT_3D('',#565895,#443283,#443284); #369401=AXIS2_PLACEMENT_3D('',#565898,#443286,#443287); #369402=AXIS2_PLACEMENT_3D('',#565899,#443288,#443289); #369403=AXIS2_PLACEMENT_3D('',#565901,#443290,#443291); #369404=AXIS2_PLACEMENT_3D('',#565904,#443293,#443294); #369405=AXIS2_PLACEMENT_3D('',#565905,#443295,#443296); #369406=AXIS2_PLACEMENT_3D('',#565907,#443297,#443298); #369407=AXIS2_PLACEMENT_3D('',#565910,#443300,#443301); #369408=AXIS2_PLACEMENT_3D('',#565911,#443302,#443303); #369409=AXIS2_PLACEMENT_3D('',#565913,#443304,#443305); #369410=AXIS2_PLACEMENT_3D('',#565916,#443307,#443308); #369411=AXIS2_PLACEMENT_3D('',#565917,#443309,#443310); #369412=AXIS2_PLACEMENT_3D('',#565919,#443311,#443312); #369413=AXIS2_PLACEMENT_3D('',#565922,#443314,#443315); #369414=AXIS2_PLACEMENT_3D('',#565923,#443316,#443317); #369415=AXIS2_PLACEMENT_3D('',#565925,#443318,#443319); #369416=AXIS2_PLACEMENT_3D('',#565928,#443321,#443322); #369417=AXIS2_PLACEMENT_3D('',#565929,#443323,#443324); #369418=AXIS2_PLACEMENT_3D('',#565931,#443325,#443326); #369419=AXIS2_PLACEMENT_3D('',#565934,#443328,#443329); #369420=AXIS2_PLACEMENT_3D('',#565935,#443330,#443331); #369421=AXIS2_PLACEMENT_3D('',#565937,#443332,#443333); #369422=AXIS2_PLACEMENT_3D('',#565940,#443335,#443336); #369423=AXIS2_PLACEMENT_3D('',#565941,#443337,#443338); #369424=AXIS2_PLACEMENT_3D('',#565943,#443339,#443340); #369425=AXIS2_PLACEMENT_3D('',#565946,#443342,#443343); #369426=AXIS2_PLACEMENT_3D('',#565947,#443344,#443345); #369427=AXIS2_PLACEMENT_3D('',#565949,#443346,#443347); #369428=AXIS2_PLACEMENT_3D('',#565952,#443349,#443350); #369429=AXIS2_PLACEMENT_3D('',#565953,#443351,#443352); #369430=AXIS2_PLACEMENT_3D('',#565955,#443353,#443354); #369431=AXIS2_PLACEMENT_3D('',#565958,#443356,#443357); #369432=AXIS2_PLACEMENT_3D('',#565959,#443358,#443359); #369433=AXIS2_PLACEMENT_3D('',#565961,#443360,#443361); #369434=AXIS2_PLACEMENT_3D('',#565964,#443363,#443364); #369435=AXIS2_PLACEMENT_3D('',#565965,#443365,#443366); #369436=AXIS2_PLACEMENT_3D('',#565967,#443367,#443368); #369437=AXIS2_PLACEMENT_3D('',#565970,#443370,#443371); #369438=AXIS2_PLACEMENT_3D('',#565971,#443372,#443373); #369439=AXIS2_PLACEMENT_3D('',#565973,#443374,#443375); #369440=AXIS2_PLACEMENT_3D('',#565976,#443377,#443378); #369441=AXIS2_PLACEMENT_3D('',#565977,#443379,#443380); #369442=AXIS2_PLACEMENT_3D('',#565979,#443381,#443382); #369443=AXIS2_PLACEMENT_3D('',#565982,#443384,#443385); #369444=AXIS2_PLACEMENT_3D('',#565983,#443386,#443387); #369445=AXIS2_PLACEMENT_3D('',#565985,#443388,#443389); #369446=AXIS2_PLACEMENT_3D('',#565988,#443391,#443392); #369447=AXIS2_PLACEMENT_3D('',#565989,#443393,#443394); #369448=AXIS2_PLACEMENT_3D('',#565991,#443395,#443396); #369449=AXIS2_PLACEMENT_3D('',#565994,#443398,#443399); #369450=AXIS2_PLACEMENT_3D('',#565995,#443400,#443401); #369451=AXIS2_PLACEMENT_3D('',#565997,#443402,#443403); #369452=AXIS2_PLACEMENT_3D('',#566000,#443405,#443406); #369453=AXIS2_PLACEMENT_3D('',#566001,#443407,#443408); #369454=AXIS2_PLACEMENT_3D('',#566003,#443409,#443410); #369455=AXIS2_PLACEMENT_3D('',#566006,#443412,#443413); #369456=AXIS2_PLACEMENT_3D('',#566007,#443414,#443415); #369457=AXIS2_PLACEMENT_3D('',#566009,#443416,#443417); #369458=AXIS2_PLACEMENT_3D('',#566012,#443419,#443420); #369459=AXIS2_PLACEMENT_3D('',#566013,#443421,#443422); #369460=AXIS2_PLACEMENT_3D('',#566015,#443423,#443424); #369461=AXIS2_PLACEMENT_3D('',#566018,#443426,#443427); #369462=AXIS2_PLACEMENT_3D('',#566019,#443428,#443429); #369463=AXIS2_PLACEMENT_3D('',#566021,#443430,#443431); #369464=AXIS2_PLACEMENT_3D('',#566024,#443433,#443434); #369465=AXIS2_PLACEMENT_3D('',#566025,#443435,#443436); #369466=AXIS2_PLACEMENT_3D('',#566034,#443441,#443442); #369467=AXIS2_PLACEMENT_3D('',#566040,#443446,#443447); #369468=AXIS2_PLACEMENT_3D('',#566046,#443451,#443452); #369469=AXIS2_PLACEMENT_3D('',#566052,#443456,#443457); #369470=AXIS2_PLACEMENT_3D('',#566058,#443461,#443462); #369471=AXIS2_PLACEMENT_3D('',#566064,#443466,#443467); #369472=AXIS2_PLACEMENT_3D('',#566070,#443471,#443472); #369473=AXIS2_PLACEMENT_3D('',#566076,#443476,#443477); #369474=AXIS2_PLACEMENT_3D('',#566082,#443481,#443482); #369475=AXIS2_PLACEMENT_3D('',#566088,#443486,#443487); #369476=AXIS2_PLACEMENT_3D('',#566094,#443491,#443492); #369477=AXIS2_PLACEMENT_3D('',#566100,#443496,#443497); #369478=AXIS2_PLACEMENT_3D('',#566106,#443501,#443502); #369479=AXIS2_PLACEMENT_3D('',#566112,#443506,#443507); #369480=AXIS2_PLACEMENT_3D('',#566118,#443511,#443512); #369481=AXIS2_PLACEMENT_3D('',#566124,#443516,#443517); #369482=AXIS2_PLACEMENT_3D('',#566130,#443521,#443522); #369483=AXIS2_PLACEMENT_3D('',#566136,#443526,#443527); #369484=AXIS2_PLACEMENT_3D('',#566142,#443531,#443532); #369485=AXIS2_PLACEMENT_3D('',#566148,#443536,#443537); #369486=AXIS2_PLACEMENT_3D('',#566154,#443541,#443542); #369487=AXIS2_PLACEMENT_3D('',#566160,#443546,#443547); #369488=AXIS2_PLACEMENT_3D('',#566166,#443551,#443552); #369489=AXIS2_PLACEMENT_3D('',#566172,#443556,#443557); #369490=AXIS2_PLACEMENT_3D('',#566178,#443561,#443562); #369491=AXIS2_PLACEMENT_3D('',#566184,#443566,#443567); #369492=AXIS2_PLACEMENT_3D('',#566190,#443571,#443572); #369493=AXIS2_PLACEMENT_3D('',#566196,#443576,#443577); #369494=AXIS2_PLACEMENT_3D('',#566202,#443581,#443582); #369495=AXIS2_PLACEMENT_3D('',#566208,#443586,#443587); #369496=AXIS2_PLACEMENT_3D('',#566214,#443591,#443592); #369497=AXIS2_PLACEMENT_3D('',#566220,#443596,#443597); #369498=AXIS2_PLACEMENT_3D('',#566226,#443601,#443602); #369499=AXIS2_PLACEMENT_3D('',#566232,#443606,#443607); #369500=AXIS2_PLACEMENT_3D('',#566238,#443611,#443612); #369501=AXIS2_PLACEMENT_3D('',#566244,#443616,#443617); #369502=AXIS2_PLACEMENT_3D('',#566250,#443621,#443622); #369503=AXIS2_PLACEMENT_3D('',#566256,#443626,#443627); #369504=AXIS2_PLACEMENT_3D('',#566262,#443631,#443632); #369505=AXIS2_PLACEMENT_3D('',#566268,#443636,#443637); #369506=AXIS2_PLACEMENT_3D('',#566274,#443641,#443642); #369507=AXIS2_PLACEMENT_3D('',#566280,#443646,#443647); #369508=AXIS2_PLACEMENT_3D('',#566286,#443651,#443652); #369509=AXIS2_PLACEMENT_3D('',#566292,#443656,#443657); #369510=AXIS2_PLACEMENT_3D('',#566295,#443660,#443661); #369511=AXIS2_PLACEMENT_3D('',#566296,#443662,#443663); #369512=AXIS2_PLACEMENT_3D('',#566297,#443664,#443665); #369513=AXIS2_PLACEMENT_3D('',#566299,#443666,#443667); #369514=AXIS2_PLACEMENT_3D('',#566302,#443669,#443670); #369515=AXIS2_PLACEMENT_3D('',#566303,#443671,#443672); #369516=AXIS2_PLACEMENT_3D('',#566305,#443673,#443674); #369517=AXIS2_PLACEMENT_3D('',#566308,#443676,#443677); #369518=AXIS2_PLACEMENT_3D('',#566309,#443678,#443679); #369519=AXIS2_PLACEMENT_3D('',#566318,#443684,#443685); #369520=AXIS2_PLACEMENT_3D('',#566320,#443686,#443687); #369521=AXIS2_PLACEMENT_3D('',#566322,#443688,#443689); #369522=AXIS2_PLACEMENT_3D('',#566324,#443691,#443692); #369523=AXIS2_PLACEMENT_3D('',#566330,#443696,#443697); #369524=AXIS2_PLACEMENT_3D('',#566332,#443698,#443699); #369525=AXIS2_PLACEMENT_3D('',#566334,#443700,#443701); #369526=AXIS2_PLACEMENT_3D('',#566336,#443703,#443704); #369527=AXIS2_PLACEMENT_3D('',#566338,#443705,#443706); #369528=AXIS2_PLACEMENT_3D('',#566340,#443707,#443708); #369529=AXIS2_PLACEMENT_3D('',#566342,#443710,#443711); #369530=AXIS2_PLACEMENT_3D('',#566348,#443715,#443716); #369531=AXIS2_PLACEMENT_3D('',#566349,#443717,#443718); #369532=AXIS2_PLACEMENT_3D('',#566350,#443719,#443720); #369533=AXIS2_PLACEMENT_3D('',#566351,#443721,#443722); #369534=AXIS2_PLACEMENT_3D('',#566352,#443723,#443724); #369535=AXIS2_PLACEMENT_3D('',#566353,#443725,#443726); #369536=AXIS2_PLACEMENT_3D('',#566355,#443727,#443728); #369537=AXIS2_PLACEMENT_3D('',#566358,#443730,#443731); #369538=AXIS2_PLACEMENT_3D('',#566359,#443732,#443733); #369539=AXIS2_PLACEMENT_3D('',#566368,#443738,#443739); #369540=AXIS2_PLACEMENT_3D('',#566370,#443740,#443741); #369541=AXIS2_PLACEMENT_3D('',#566372,#443742,#443743); #369542=AXIS2_PLACEMENT_3D('',#566374,#443745,#443746); #369543=AXIS2_PLACEMENT_3D('',#566375,#443747,#443748); #369544=AXIS2_PLACEMENT_3D('',#566376,#443749,#443750); #369545=AXIS2_PLACEMENT_3D('',#566377,#443751,#443752); #369546=AXIS2_PLACEMENT_3D('',#566378,#443753,#443754); #369547=AXIS2_PLACEMENT_3D('',#566379,#443755,#443756); #369548=AXIS2_PLACEMENT_3D('',#566381,#443757,#443758); #369549=AXIS2_PLACEMENT_3D('',#566384,#443760,#443761); #369550=AXIS2_PLACEMENT_3D('',#566385,#443762,#443763); #369551=AXIS2_PLACEMENT_3D('',#566387,#443764,#443765); #369552=AXIS2_PLACEMENT_3D('',#566390,#443767,#443768); #369553=AXIS2_PLACEMENT_3D('',#566391,#443769,#443770); #369554=AXIS2_PLACEMENT_3D('',#566393,#443771,#443772); #369555=AXIS2_PLACEMENT_3D('',#566396,#443774,#443775); #369556=AXIS2_PLACEMENT_3D('',#566397,#443776,#443777); #369557=AXIS2_PLACEMENT_3D('',#566399,#443778,#443779); #369558=AXIS2_PLACEMENT_3D('',#566402,#443781,#443782); #369559=AXIS2_PLACEMENT_3D('',#566403,#443783,#443784); #369560=AXIS2_PLACEMENT_3D('',#566405,#443785,#443786); #369561=AXIS2_PLACEMENT_3D('',#566408,#443788,#443789); #369562=AXIS2_PLACEMENT_3D('',#566409,#443790,#443791); #369563=AXIS2_PLACEMENT_3D('',#566411,#443792,#443793); #369564=AXIS2_PLACEMENT_3D('',#566414,#443795,#443796); #369565=AXIS2_PLACEMENT_3D('',#566415,#443797,#443798); #369566=AXIS2_PLACEMENT_3D('',#566417,#443799,#443800); #369567=AXIS2_PLACEMENT_3D('',#566420,#443802,#443803); #369568=AXIS2_PLACEMENT_3D('',#566421,#443804,#443805); #369569=AXIS2_PLACEMENT_3D('',#566423,#443806,#443807); #369570=AXIS2_PLACEMENT_3D('',#566426,#443809,#443810); #369571=AXIS2_PLACEMENT_3D('',#566427,#443811,#443812); #369572=AXIS2_PLACEMENT_3D('',#566429,#443813,#443814); #369573=AXIS2_PLACEMENT_3D('',#566432,#443816,#443817); #369574=AXIS2_PLACEMENT_3D('',#566433,#443818,#443819); #369575=AXIS2_PLACEMENT_3D('',#566435,#443820,#443821); #369576=AXIS2_PLACEMENT_3D('',#566438,#443823,#443824); #369577=AXIS2_PLACEMENT_3D('',#566439,#443825,#443826); #369578=AXIS2_PLACEMENT_3D('',#566441,#443827,#443828); #369579=AXIS2_PLACEMENT_3D('',#566444,#443830,#443831); #369580=AXIS2_PLACEMENT_3D('',#566445,#443832,#443833); #369581=AXIS2_PLACEMENT_3D('',#566447,#443834,#443835); #369582=AXIS2_PLACEMENT_3D('',#566450,#443837,#443838); #369583=AXIS2_PLACEMENT_3D('',#566451,#443839,#443840); #369584=AXIS2_PLACEMENT_3D('',#566453,#443841,#443842); #369585=AXIS2_PLACEMENT_3D('',#566456,#443844,#443845); #369586=AXIS2_PLACEMENT_3D('',#566457,#443846,#443847); #369587=AXIS2_PLACEMENT_3D('',#566459,#443848,#443849); #369588=AXIS2_PLACEMENT_3D('',#566462,#443851,#443852); #369589=AXIS2_PLACEMENT_3D('',#566463,#443853,#443854); #369590=AXIS2_PLACEMENT_3D('',#566465,#443855,#443856); #369591=AXIS2_PLACEMENT_3D('',#566468,#443858,#443859); #369592=AXIS2_PLACEMENT_3D('',#566469,#443860,#443861); #369593=AXIS2_PLACEMENT_3D('',#566478,#443866,#443867); #369594=AXIS2_PLACEMENT_3D('',#566484,#443871,#443872); #369595=AXIS2_PLACEMENT_3D('',#566490,#443876,#443877); #369596=AXIS2_PLACEMENT_3D('',#566496,#443881,#443882); #369597=AXIS2_PLACEMENT_3D('',#566502,#443886,#443887); #369598=AXIS2_PLACEMENT_3D('',#566508,#443891,#443892); #369599=AXIS2_PLACEMENT_3D('',#566514,#443896,#443897); #369600=AXIS2_PLACEMENT_3D('',#566520,#443901,#443902); #369601=AXIS2_PLACEMENT_3D('',#566526,#443906,#443907); #369602=AXIS2_PLACEMENT_3D('',#566532,#443911,#443912); #369603=AXIS2_PLACEMENT_3D('',#566538,#443916,#443917); #369604=AXIS2_PLACEMENT_3D('',#566544,#443921,#443922); #369605=AXIS2_PLACEMENT_3D('',#566550,#443926,#443927); #369606=AXIS2_PLACEMENT_3D('',#566556,#443931,#443932); #369607=AXIS2_PLACEMENT_3D('',#566562,#443936,#443937); #369608=AXIS2_PLACEMENT_3D('',#566568,#443941,#443942); #369609=AXIS2_PLACEMENT_3D('',#566574,#443946,#443947); #369610=AXIS2_PLACEMENT_3D('',#566580,#443951,#443952); #369611=AXIS2_PLACEMENT_3D('',#566586,#443956,#443957); #369612=AXIS2_PLACEMENT_3D('',#566592,#443961,#443962); #369613=AXIS2_PLACEMENT_3D('',#566598,#443966,#443967); #369614=AXIS2_PLACEMENT_3D('',#566604,#443971,#443972); #369615=AXIS2_PLACEMENT_3D('',#566610,#443976,#443977); #369616=AXIS2_PLACEMENT_3D('',#566616,#443981,#443982); #369617=AXIS2_PLACEMENT_3D('',#566622,#443986,#443987); #369618=AXIS2_PLACEMENT_3D('',#566628,#443991,#443992); #369619=AXIS2_PLACEMENT_3D('',#566634,#443996,#443997); #369620=AXIS2_PLACEMENT_3D('',#566640,#444001,#444002); #369621=AXIS2_PLACEMENT_3D('',#566646,#444006,#444007); #369622=AXIS2_PLACEMENT_3D('',#566652,#444011,#444012); #369623=AXIS2_PLACEMENT_3D('',#566658,#444016,#444017); #369624=AXIS2_PLACEMENT_3D('',#566664,#444021,#444022); #369625=AXIS2_PLACEMENT_3D('',#566670,#444026,#444027); #369626=AXIS2_PLACEMENT_3D('',#566676,#444031,#444032); #369627=AXIS2_PLACEMENT_3D('',#566682,#444036,#444037); #369628=AXIS2_PLACEMENT_3D('',#566688,#444041,#444042); #369629=AXIS2_PLACEMENT_3D('',#566694,#444046,#444047); #369630=AXIS2_PLACEMENT_3D('',#566700,#444051,#444052); #369631=AXIS2_PLACEMENT_3D('',#566706,#444056,#444057); #369632=AXIS2_PLACEMENT_3D('',#566712,#444061,#444062); #369633=AXIS2_PLACEMENT_3D('',#566718,#444066,#444067); #369634=AXIS2_PLACEMENT_3D('',#566724,#444071,#444072); #369635=AXIS2_PLACEMENT_3D('',#566730,#444076,#444077); #369636=AXIS2_PLACEMENT_3D('',#566736,#444081,#444082); #369637=AXIS2_PLACEMENT_3D('',#566742,#444086,#444087); #369638=AXIS2_PLACEMENT_3D('',#566748,#444091,#444092); #369639=AXIS2_PLACEMENT_3D('',#566754,#444096,#444097); #369640=AXIS2_PLACEMENT_3D('',#566760,#444101,#444102); #369641=AXIS2_PLACEMENT_3D('',#566766,#444106,#444107); #369642=AXIS2_PLACEMENT_3D('',#566772,#444111,#444112); #369643=AXIS2_PLACEMENT_3D('',#566778,#444116,#444117); #369644=AXIS2_PLACEMENT_3D('',#566784,#444121,#444122); #369645=AXIS2_PLACEMENT_3D('',#566790,#444126,#444127); #369646=AXIS2_PLACEMENT_3D('',#566796,#444131,#444132); #369647=AXIS2_PLACEMENT_3D('',#566802,#444136,#444137); #369648=AXIS2_PLACEMENT_3D('',#566808,#444141,#444142); #369649=AXIS2_PLACEMENT_3D('',#566814,#444146,#444147); #369650=AXIS2_PLACEMENT_3D('',#566820,#444151,#444152); #369651=AXIS2_PLACEMENT_3D('',#566826,#444156,#444157); #369652=AXIS2_PLACEMENT_3D('',#566832,#444161,#444162); #369653=AXIS2_PLACEMENT_3D('',#566838,#444166,#444167); #369654=AXIS2_PLACEMENT_3D('',#566844,#444171,#444172); #369655=AXIS2_PLACEMENT_3D('',#566850,#444176,#444177); #369656=AXIS2_PLACEMENT_3D('',#566856,#444181,#444182); #369657=AXIS2_PLACEMENT_3D('',#566862,#444186,#444187); #369658=AXIS2_PLACEMENT_3D('',#566868,#444191,#444192); #369659=AXIS2_PLACEMENT_3D('',#566874,#444196,#444197); #369660=AXIS2_PLACEMENT_3D('',#566880,#444201,#444202); #369661=AXIS2_PLACEMENT_3D('',#566886,#444206,#444207); #369662=AXIS2_PLACEMENT_3D('',#566892,#444211,#444212); #369663=AXIS2_PLACEMENT_3D('',#566898,#444216,#444217); #369664=AXIS2_PLACEMENT_3D('',#566901,#444220,#444221); #369665=AXIS2_PLACEMENT_3D('',#566910,#444226,#444227); #369666=AXIS2_PLACEMENT_3D('',#566916,#444231,#444232); #369667=AXIS2_PLACEMENT_3D('',#566922,#444236,#444237); #369668=AXIS2_PLACEMENT_3D('',#566928,#444241,#444242); #369669=AXIS2_PLACEMENT_3D('',#566934,#444246,#444247); #369670=AXIS2_PLACEMENT_3D('',#566940,#444251,#444252); #369671=AXIS2_PLACEMENT_3D('',#566946,#444256,#444257); #369672=AXIS2_PLACEMENT_3D('',#566952,#444261,#444262); #369673=AXIS2_PLACEMENT_3D('',#566958,#444266,#444267); #369674=AXIS2_PLACEMENT_3D('',#566964,#444271,#444272); #369675=AXIS2_PLACEMENT_3D('',#566970,#444276,#444277); #369676=AXIS2_PLACEMENT_3D('',#566976,#444281,#444282); #369677=AXIS2_PLACEMENT_3D('',#566982,#444286,#444287); #369678=AXIS2_PLACEMENT_3D('',#566988,#444291,#444292); #369679=AXIS2_PLACEMENT_3D('',#566994,#444296,#444297); #369680=AXIS2_PLACEMENT_3D('',#567000,#444301,#444302); #369681=AXIS2_PLACEMENT_3D('',#567006,#444306,#444307); #369682=AXIS2_PLACEMENT_3D('',#567012,#444311,#444312); #369683=AXIS2_PLACEMENT_3D('',#567018,#444316,#444317); #369684=AXIS2_PLACEMENT_3D('',#567024,#444321,#444322); #369685=AXIS2_PLACEMENT_3D('',#567030,#444326,#444327); #369686=AXIS2_PLACEMENT_3D('',#567036,#444331,#444332); #369687=AXIS2_PLACEMENT_3D('',#567042,#444336,#444337); #369688=AXIS2_PLACEMENT_3D('',#567048,#444341,#444342); #369689=AXIS2_PLACEMENT_3D('',#567054,#444346,#444347); #369690=AXIS2_PLACEMENT_3D('',#567060,#444351,#444352); #369691=AXIS2_PLACEMENT_3D('',#567066,#444356,#444357); #369692=AXIS2_PLACEMENT_3D('',#567072,#444361,#444362); #369693=AXIS2_PLACEMENT_3D('',#567078,#444366,#444367); #369694=AXIS2_PLACEMENT_3D('',#567084,#444371,#444372); #369695=AXIS2_PLACEMENT_3D('',#567090,#444376,#444377); #369696=AXIS2_PLACEMENT_3D('',#567096,#444381,#444382); #369697=AXIS2_PLACEMENT_3D('',#567102,#444386,#444387); #369698=AXIS2_PLACEMENT_3D('',#567108,#444391,#444392); #369699=AXIS2_PLACEMENT_3D('',#567114,#444396,#444397); #369700=AXIS2_PLACEMENT_3D('',#567120,#444401,#444402); #369701=AXIS2_PLACEMENT_3D('',#567126,#444406,#444407); #369702=AXIS2_PLACEMENT_3D('',#567132,#444411,#444412); #369703=AXIS2_PLACEMENT_3D('',#567138,#444416,#444417); #369704=AXIS2_PLACEMENT_3D('',#567144,#444421,#444422); #369705=AXIS2_PLACEMENT_3D('',#567150,#444426,#444427); #369706=AXIS2_PLACEMENT_3D('',#567156,#444431,#444432); #369707=AXIS2_PLACEMENT_3D('',#567162,#444436,#444437); #369708=AXIS2_PLACEMENT_3D('',#567168,#444441,#444442); #369709=AXIS2_PLACEMENT_3D('',#567174,#444446,#444447); #369710=AXIS2_PLACEMENT_3D('',#567180,#444451,#444452); #369711=AXIS2_PLACEMENT_3D('',#567186,#444456,#444457); #369712=AXIS2_PLACEMENT_3D('',#567192,#444461,#444462); #369713=AXIS2_PLACEMENT_3D('',#567198,#444466,#444467); #369714=AXIS2_PLACEMENT_3D('',#567204,#444471,#444472); #369715=AXIS2_PLACEMENT_3D('',#567210,#444476,#444477); #369716=AXIS2_PLACEMENT_3D('',#567216,#444481,#444482); #369717=AXIS2_PLACEMENT_3D('',#567222,#444486,#444487); #369718=AXIS2_PLACEMENT_3D('',#567228,#444491,#444492); #369719=AXIS2_PLACEMENT_3D('',#567234,#444496,#444497); #369720=AXIS2_PLACEMENT_3D('',#567240,#444501,#444502); #369721=AXIS2_PLACEMENT_3D('',#567246,#444506,#444507); #369722=AXIS2_PLACEMENT_3D('',#567252,#444511,#444512); #369723=AXIS2_PLACEMENT_3D('',#567258,#444516,#444517); #369724=AXIS2_PLACEMENT_3D('',#567264,#444521,#444522); #369725=AXIS2_PLACEMENT_3D('',#567270,#444526,#444527); #369726=AXIS2_PLACEMENT_3D('',#567276,#444531,#444532); #369727=AXIS2_PLACEMENT_3D('',#567282,#444536,#444537); #369728=AXIS2_PLACEMENT_3D('',#567288,#444541,#444542); #369729=AXIS2_PLACEMENT_3D('',#567294,#444546,#444547); #369730=AXIS2_PLACEMENT_3D('',#567300,#444551,#444552); #369731=AXIS2_PLACEMENT_3D('',#567306,#444556,#444557); #369732=AXIS2_PLACEMENT_3D('',#567312,#444561,#444562); #369733=AXIS2_PLACEMENT_3D('',#567318,#444566,#444567); #369734=AXIS2_PLACEMENT_3D('',#567324,#444571,#444572); #369735=AXIS2_PLACEMENT_3D('',#567330,#444576,#444577); #369736=AXIS2_PLACEMENT_3D('',#567336,#444581,#444582); #369737=AXIS2_PLACEMENT_3D('',#567342,#444586,#444587); #369738=AXIS2_PLACEMENT_3D('',#567348,#444591,#444592); #369739=AXIS2_PLACEMENT_3D('',#567354,#444596,#444597); #369740=AXIS2_PLACEMENT_3D('',#567360,#444601,#444602); #369741=AXIS2_PLACEMENT_3D('',#567366,#444606,#444607); #369742=AXIS2_PLACEMENT_3D('',#567372,#444611,#444612); #369743=AXIS2_PLACEMENT_3D('',#567378,#444616,#444617); #369744=AXIS2_PLACEMENT_3D('',#567384,#444621,#444622); #369745=AXIS2_PLACEMENT_3D('',#567390,#444626,#444627); #369746=AXIS2_PLACEMENT_3D('',#567396,#444631,#444632); #369747=AXIS2_PLACEMENT_3D('',#567402,#444636,#444637); #369748=AXIS2_PLACEMENT_3D('',#567408,#444641,#444642); #369749=AXIS2_PLACEMENT_3D('',#567414,#444646,#444647); #369750=AXIS2_PLACEMENT_3D('',#567420,#444651,#444652); #369751=AXIS2_PLACEMENT_3D('',#567426,#444656,#444657); #369752=AXIS2_PLACEMENT_3D('',#567432,#444661,#444662); #369753=AXIS2_PLACEMENT_3D('',#567438,#444666,#444667); #369754=AXIS2_PLACEMENT_3D('',#567444,#444671,#444672); #369755=AXIS2_PLACEMENT_3D('',#567450,#444676,#444677); #369756=AXIS2_PLACEMENT_3D('',#567456,#444681,#444682); #369757=AXIS2_PLACEMENT_3D('',#567462,#444686,#444687); #369758=AXIS2_PLACEMENT_3D('',#567468,#444691,#444692); #369759=AXIS2_PLACEMENT_3D('',#567471,#444695,#444696); #369760=AXIS2_PLACEMENT_3D('',#567472,#444697,#444698); #369761=AXIS2_PLACEMENT_3D('',#567473,#444699,#444700); #369762=AXIS2_PLACEMENT_3D('',#567475,#444701,#444702); #369763=AXIS2_PLACEMENT_3D('',#567478,#444704,#444705); #369764=AXIS2_PLACEMENT_3D('',#567479,#444706,#444707); #369765=AXIS2_PLACEMENT_3D('',#567488,#444712,#444713); #369766=AXIS2_PLACEMENT_3D('',#567490,#444714,#444715); #369767=AXIS2_PLACEMENT_3D('',#567492,#444716,#444717); #369768=AXIS2_PLACEMENT_3D('',#567494,#444719,#444720); #369769=AXIS2_PLACEMENT_3D('',#567495,#444721,#444722); #369770=AXIS2_PLACEMENT_3D('',#567496,#444723,#444724); #369771=AXIS2_PLACEMENT_3D('',#567497,#444725,#444726); #369772=AXIS2_PLACEMENT_3D('',#567498,#444727,#444728); #369773=AXIS2_PLACEMENT_3D('',#567499,#444729,#444730); #369774=AXIS2_PLACEMENT_3D('',#567501,#444731,#444732); #369775=AXIS2_PLACEMENT_3D('',#567504,#444734,#444735); #369776=AXIS2_PLACEMENT_3D('',#567505,#444736,#444737); #369777=AXIS2_PLACEMENT_3D('',#567514,#444742,#444743); #369778=AXIS2_PLACEMENT_3D('',#567516,#444744,#444745); #369779=AXIS2_PLACEMENT_3D('',#567518,#444746,#444747); #369780=AXIS2_PLACEMENT_3D('',#567520,#444749,#444750); #369781=AXIS2_PLACEMENT_3D('',#567521,#444751,#444752); #369782=AXIS2_PLACEMENT_3D('',#567522,#444753,#444754); #369783=AXIS2_PLACEMENT_3D('',#567523,#444755,#444756); #369784=AXIS2_PLACEMENT_3D('',#567524,#444757,#444758); #369785=AXIS2_PLACEMENT_3D('',#567525,#444759,#444760); #369786=AXIS2_PLACEMENT_3D('',#567527,#444761,#444762); #369787=AXIS2_PLACEMENT_3D('',#567530,#444764,#444765); #369788=AXIS2_PLACEMENT_3D('',#567531,#444766,#444767); #369789=AXIS2_PLACEMENT_3D('',#567540,#444772,#444773); #369790=AXIS2_PLACEMENT_3D('',#567542,#444774,#444775); #369791=AXIS2_PLACEMENT_3D('',#567544,#444776,#444777); #369792=AXIS2_PLACEMENT_3D('',#567546,#444779,#444780); #369793=AXIS2_PLACEMENT_3D('',#567547,#444781,#444782); #369794=AXIS2_PLACEMENT_3D('',#567548,#444783,#444784); #369795=AXIS2_PLACEMENT_3D('',#567549,#444785,#444786); #369796=AXIS2_PLACEMENT_3D('',#567550,#444787,#444788); #369797=AXIS2_PLACEMENT_3D('',#567551,#444789,#444790); #369798=AXIS2_PLACEMENT_3D('',#567553,#444791,#444792); #369799=AXIS2_PLACEMENT_3D('',#567556,#444794,#444795); #369800=AXIS2_PLACEMENT_3D('',#567557,#444796,#444797); #369801=AXIS2_PLACEMENT_3D('',#567566,#444802,#444803); #369802=AXIS2_PLACEMENT_3D('',#567568,#444804,#444805); #369803=AXIS2_PLACEMENT_3D('',#567570,#444806,#444807); #369804=AXIS2_PLACEMENT_3D('',#567572,#444809,#444810); #369805=AXIS2_PLACEMENT_3D('',#567573,#444811,#444812); #369806=AXIS2_PLACEMENT_3D('',#567574,#444813,#444814); #369807=AXIS2_PLACEMENT_3D('',#567575,#444815,#444816); #369808=AXIS2_PLACEMENT_3D('',#567576,#444817,#444818); #369809=AXIS2_PLACEMENT_3D('',#567577,#444819,#444820); #369810=AXIS2_PLACEMENT_3D('',#567579,#444821,#444822); #369811=AXIS2_PLACEMENT_3D('',#567582,#444824,#444825); #369812=AXIS2_PLACEMENT_3D('',#567583,#444826,#444827); #369813=AXIS2_PLACEMENT_3D('',#567592,#444832,#444833); #369814=AXIS2_PLACEMENT_3D('',#567598,#444837,#444838); #369815=AXIS2_PLACEMENT_3D('',#567604,#444842,#444843); #369816=AXIS2_PLACEMENT_3D('',#567607,#444846,#444847); #369817=AXIS2_PLACEMENT_3D('',#567608,#444848,#444849); #369818=AXIS2_PLACEMENT_3D('',#567609,#444850,#444851); #369819=AXIS2_PLACEMENT_3D('',#567611,#444852,#444853); #369820=AXIS2_PLACEMENT_3D('',#567614,#444855,#444856); #369821=AXIS2_PLACEMENT_3D('',#567615,#444857,#444858); #369822=AXIS2_PLACEMENT_3D('',#567624,#444863,#444864); #369823=AXIS2_PLACEMENT_3D('',#567626,#444865,#444866); #369824=AXIS2_PLACEMENT_3D('',#567628,#444867,#444868); #369825=AXIS2_PLACEMENT_3D('',#567630,#444870,#444871); #369826=AXIS2_PLACEMENT_3D('',#567631,#444872,#444873); #369827=AXIS2_PLACEMENT_3D('',#567632,#444874,#444875); #369828=AXIS2_PLACEMENT_3D('',#567633,#444876,#444877); #369829=AXIS2_PLACEMENT_3D('',#567634,#444878,#444879); #369830=AXIS2_PLACEMENT_3D('',#567635,#444880,#444881); #369831=AXIS2_PLACEMENT_3D('',#567637,#444882,#444883); #369832=AXIS2_PLACEMENT_3D('',#567640,#444885,#444886); #369833=AXIS2_PLACEMENT_3D('',#567641,#444887,#444888); #369834=AXIS2_PLACEMENT_3D('',#567650,#444893,#444894); #369835=AXIS2_PLACEMENT_3D('',#567652,#444895,#444896); #369836=AXIS2_PLACEMENT_3D('',#567654,#444897,#444898); #369837=AXIS2_PLACEMENT_3D('',#567656,#444900,#444901); #369838=AXIS2_PLACEMENT_3D('',#567657,#444902,#444903); #369839=AXIS2_PLACEMENT_3D('',#567658,#444904,#444905); #369840=AXIS2_PLACEMENT_3D('',#567659,#444906,#444907); #369841=AXIS2_PLACEMENT_3D('',#567660,#444908,#444909); #369842=AXIS2_PLACEMENT_3D('',#567661,#444910,#444911); #369843=AXIS2_PLACEMENT_3D('',#567663,#444912,#444913); #369844=AXIS2_PLACEMENT_3D('',#567666,#444915,#444916); #369845=AXIS2_PLACEMENT_3D('',#567667,#444917,#444918); #369846=AXIS2_PLACEMENT_3D('',#567676,#444923,#444924); #369847=AXIS2_PLACEMENT_3D('',#567678,#444925,#444926); #369848=AXIS2_PLACEMENT_3D('',#567680,#444927,#444928); #369849=AXIS2_PLACEMENT_3D('',#567682,#444930,#444931); #369850=AXIS2_PLACEMENT_3D('',#567683,#444932,#444933); #369851=AXIS2_PLACEMENT_3D('',#567684,#444934,#444935); #369852=AXIS2_PLACEMENT_3D('',#567685,#444936,#444937); #369853=AXIS2_PLACEMENT_3D('',#567686,#444938,#444939); #369854=AXIS2_PLACEMENT_3D('',#567687,#444940,#444941); #369855=AXIS2_PLACEMENT_3D('',#567689,#444942,#444943); #369856=AXIS2_PLACEMENT_3D('',#567692,#444945,#444946); #369857=AXIS2_PLACEMENT_3D('',#567693,#444947,#444948); #369858=AXIS2_PLACEMENT_3D('',#567702,#444953,#444954); #369859=AXIS2_PLACEMENT_3D('',#567704,#444955,#444956); #369860=AXIS2_PLACEMENT_3D('',#567706,#444957,#444958); #369861=AXIS2_PLACEMENT_3D('',#567708,#444960,#444961); #369862=AXIS2_PLACEMENT_3D('',#567709,#444962,#444963); #369863=AXIS2_PLACEMENT_3D('',#567710,#444964,#444965); #369864=AXIS2_PLACEMENT_3D('',#567711,#444966,#444967); #369865=AXIS2_PLACEMENT_3D('',#567712,#444968,#444969); #369866=AXIS2_PLACEMENT_3D('',#567713,#444970,#444971); #369867=AXIS2_PLACEMENT_3D('',#567722,#444976,#444977); #369868=AXIS2_PLACEMENT_3D('',#567724,#444978,#444979); #369869=AXIS2_PLACEMENT_3D('',#567726,#444980,#444981); #369870=AXIS2_PLACEMENT_3D('',#567728,#444983,#444984); #369871=AXIS2_PLACEMENT_3D('',#567734,#444988,#444989); #369872=AXIS2_PLACEMENT_3D('',#567735,#444990,#444991); #369873=AXIS2_PLACEMENT_3D('',#567736,#444992,#444993); #369874=AXIS2_PLACEMENT_3D('',#567737,#444994,#444995); #369875=AXIS2_PLACEMENT_3D('',#567746,#445000,#445001); #369876=AXIS2_PLACEMENT_3D('',#567752,#445005,#445006); #369877=AXIS2_PLACEMENT_3D('',#567758,#445010,#445011); #369878=AXIS2_PLACEMENT_3D('',#567764,#445015,#445016); #369879=AXIS2_PLACEMENT_3D('',#567770,#445020,#445021); #369880=AXIS2_PLACEMENT_3D('',#567776,#445025,#445026); #369881=AXIS2_PLACEMENT_3D('',#567782,#445030,#445031); #369882=AXIS2_PLACEMENT_3D('',#567788,#445035,#445036); #369883=AXIS2_PLACEMENT_3D('',#567794,#445040,#445041); #369884=AXIS2_PLACEMENT_3D('',#567800,#445045,#445046); #369885=AXIS2_PLACEMENT_3D('',#567806,#445050,#445051); #369886=AXIS2_PLACEMENT_3D('',#567812,#445055,#445056); #369887=AXIS2_PLACEMENT_3D('',#567818,#445060,#445061); #369888=AXIS2_PLACEMENT_3D('',#567824,#445065,#445066); #369889=AXIS2_PLACEMENT_3D('',#567830,#445070,#445071); #369890=AXIS2_PLACEMENT_3D('',#567836,#445075,#445076); #369891=AXIS2_PLACEMENT_3D('',#567842,#445080,#445081); #369892=AXIS2_PLACEMENT_3D('',#567848,#445085,#445086); #369893=AXIS2_PLACEMENT_3D('',#567854,#445090,#445091); #369894=AXIS2_PLACEMENT_3D('',#567860,#445095,#445096); #369895=AXIS2_PLACEMENT_3D('',#567866,#445100,#445101); #369896=AXIS2_PLACEMENT_3D('',#567872,#445105,#445106); #369897=AXIS2_PLACEMENT_3D('',#567878,#445110,#445111); #369898=AXIS2_PLACEMENT_3D('',#567884,#445115,#445116); #369899=AXIS2_PLACEMENT_3D('',#567890,#445120,#445121); #369900=AXIS2_PLACEMENT_3D('',#567896,#445125,#445126); #369901=AXIS2_PLACEMENT_3D('',#567902,#445130,#445131); #369902=AXIS2_PLACEMENT_3D('',#567908,#445135,#445136); #369903=AXIS2_PLACEMENT_3D('',#567914,#445140,#445141); #369904=AXIS2_PLACEMENT_3D('',#567920,#445145,#445146); #369905=AXIS2_PLACEMENT_3D('',#567926,#445150,#445151); #369906=AXIS2_PLACEMENT_3D('',#567932,#445155,#445156); #369907=AXIS2_PLACEMENT_3D('',#567938,#445160,#445161); #369908=AXIS2_PLACEMENT_3D('',#567944,#445165,#445166); #369909=AXIS2_PLACEMENT_3D('',#567950,#445170,#445171); #369910=AXIS2_PLACEMENT_3D('',#567956,#445175,#445176); #369911=AXIS2_PLACEMENT_3D('',#567962,#445180,#445181); #369912=AXIS2_PLACEMENT_3D('',#567968,#445185,#445186); #369913=AXIS2_PLACEMENT_3D('',#567974,#445190,#445191); #369914=AXIS2_PLACEMENT_3D('',#567980,#445195,#445196); #369915=AXIS2_PLACEMENT_3D('',#567986,#445200,#445201); #369916=AXIS2_PLACEMENT_3D('',#567992,#445205,#445206); #369917=AXIS2_PLACEMENT_3D('',#567998,#445210,#445211); #369918=AXIS2_PLACEMENT_3D('',#568004,#445215,#445216); #369919=AXIS2_PLACEMENT_3D('',#568010,#445220,#445221); #369920=AXIS2_PLACEMENT_3D('',#568016,#445225,#445226); #369921=AXIS2_PLACEMENT_3D('',#568022,#445230,#445231); #369922=AXIS2_PLACEMENT_3D('',#568028,#445235,#445236); #369923=AXIS2_PLACEMENT_3D('',#568034,#445240,#445241); #369924=AXIS2_PLACEMENT_3D('',#568040,#445245,#445246); #369925=AXIS2_PLACEMENT_3D('',#568046,#445250,#445251); #369926=AXIS2_PLACEMENT_3D('',#568052,#445255,#445256); #369927=AXIS2_PLACEMENT_3D('',#568058,#445260,#445261); #369928=AXIS2_PLACEMENT_3D('',#568064,#445265,#445266); #369929=AXIS2_PLACEMENT_3D('',#568070,#445270,#445271); #369930=AXIS2_PLACEMENT_3D('',#568073,#445274,#445275); #369931=AXIS2_PLACEMENT_3D('',#568074,#445276,#445277); #369932=AXIS2_PLACEMENT_3D('',#568075,#445278,#445279); #369933=AXIS2_PLACEMENT_3D('',#568084,#445284,#445285); #369934=AXIS2_PLACEMENT_3D('',#568086,#445286,#445287); #369935=AXIS2_PLACEMENT_3D('',#568088,#445288,#445289); #369936=AXIS2_PLACEMENT_3D('',#568090,#445291,#445292); #369937=AXIS2_PLACEMENT_3D('',#568096,#445296,#445297); #369938=AXIS2_PLACEMENT_3D('',#568097,#445298,#445299); #369939=AXIS2_PLACEMENT_3D('',#568098,#445300,#445301); #369940=AXIS2_PLACEMENT_3D('',#568099,#445302,#445303); #369941=AXIS2_PLACEMENT_3D('',#568108,#445308,#445309); #369942=AXIS2_PLACEMENT_3D('',#568114,#445313,#445314); #369943=AXIS2_PLACEMENT_3D('',#568120,#445318,#445319); #369944=AXIS2_PLACEMENT_3D('',#568126,#445323,#445324); #369945=AXIS2_PLACEMENT_3D('',#568132,#445328,#445329); #369946=AXIS2_PLACEMENT_3D('',#568138,#445333,#445334); #369947=AXIS2_PLACEMENT_3D('',#568144,#445338,#445339); #369948=AXIS2_PLACEMENT_3D('',#568150,#445343,#445344); #369949=AXIS2_PLACEMENT_3D('',#568156,#445348,#445349); #369950=AXIS2_PLACEMENT_3D('',#568162,#445353,#445354); #369951=AXIS2_PLACEMENT_3D('',#568168,#445358,#445359); #369952=AXIS2_PLACEMENT_3D('',#568174,#445363,#445364); #369953=AXIS2_PLACEMENT_3D('',#568180,#445368,#445369); #369954=AXIS2_PLACEMENT_3D('',#568186,#445373,#445374); #369955=AXIS2_PLACEMENT_3D('',#568192,#445378,#445379); #369956=AXIS2_PLACEMENT_3D('',#568198,#445383,#445384); #369957=AXIS2_PLACEMENT_3D('',#568204,#445388,#445389); #369958=AXIS2_PLACEMENT_3D('',#568210,#445393,#445394); #369959=AXIS2_PLACEMENT_3D('',#568216,#445398,#445399); #369960=AXIS2_PLACEMENT_3D('',#568222,#445403,#445404); #369961=AXIS2_PLACEMENT_3D('',#568228,#445408,#445409); #369962=AXIS2_PLACEMENT_3D('',#568234,#445413,#445414); #369963=AXIS2_PLACEMENT_3D('',#568240,#445418,#445419); #369964=AXIS2_PLACEMENT_3D('',#568246,#445423,#445424); #369965=AXIS2_PLACEMENT_3D('',#568252,#445428,#445429); #369966=AXIS2_PLACEMENT_3D('',#568258,#445433,#445434); #369967=AXIS2_PLACEMENT_3D('',#568264,#445438,#445439); #369968=AXIS2_PLACEMENT_3D('',#568270,#445443,#445444); #369969=AXIS2_PLACEMENT_3D('',#568276,#445448,#445449); #369970=AXIS2_PLACEMENT_3D('',#568282,#445453,#445454); #369971=AXIS2_PLACEMENT_3D('',#568288,#445458,#445459); #369972=AXIS2_PLACEMENT_3D('',#568294,#445463,#445464); #369973=AXIS2_PLACEMENT_3D('',#568300,#445468,#445469); #369974=AXIS2_PLACEMENT_3D('',#568306,#445473,#445474); #369975=AXIS2_PLACEMENT_3D('',#568312,#445478,#445479); #369976=AXIS2_PLACEMENT_3D('',#568318,#445483,#445484); #369977=AXIS2_PLACEMENT_3D('',#568324,#445488,#445489); #369978=AXIS2_PLACEMENT_3D('',#568330,#445493,#445494); #369979=AXIS2_PLACEMENT_3D('',#568336,#445498,#445499); #369980=AXIS2_PLACEMENT_3D('',#568342,#445503,#445504); #369981=AXIS2_PLACEMENT_3D('',#568348,#445508,#445509); #369982=AXIS2_PLACEMENT_3D('',#568354,#445513,#445514); #369983=AXIS2_PLACEMENT_3D('',#568360,#445518,#445519); #369984=AXIS2_PLACEMENT_3D('',#568366,#445523,#445524); #369985=AXIS2_PLACEMENT_3D('',#568372,#445528,#445529); #369986=AXIS2_PLACEMENT_3D('',#568378,#445533,#445534); #369987=AXIS2_PLACEMENT_3D('',#568384,#445538,#445539); #369988=AXIS2_PLACEMENT_3D('',#568390,#445543,#445544); #369989=AXIS2_PLACEMENT_3D('',#568396,#445548,#445549); #369990=AXIS2_PLACEMENT_3D('',#568402,#445553,#445554); #369991=AXIS2_PLACEMENT_3D('',#568408,#445558,#445559); #369992=AXIS2_PLACEMENT_3D('',#568414,#445563,#445564); #369993=AXIS2_PLACEMENT_3D('',#568420,#445568,#445569); #369994=AXIS2_PLACEMENT_3D('',#568426,#445573,#445574); #369995=AXIS2_PLACEMENT_3D('',#568432,#445578,#445579); #369996=AXIS2_PLACEMENT_3D('',#568438,#445583,#445584); #369997=AXIS2_PLACEMENT_3D('',#568444,#445588,#445589); #369998=AXIS2_PLACEMENT_3D('',#568450,#445593,#445594); #369999=AXIS2_PLACEMENT_3D('',#568456,#445598,#445599); #370000=AXIS2_PLACEMENT_3D('',#568462,#445603,#445604); #370001=AXIS2_PLACEMENT_3D('',#568468,#445608,#445609); #370002=AXIS2_PLACEMENT_3D('',#568474,#445613,#445614); #370003=AXIS2_PLACEMENT_3D('',#568480,#445618,#445619); #370004=AXIS2_PLACEMENT_3D('',#568486,#445623,#445624); #370005=AXIS2_PLACEMENT_3D('',#568492,#445628,#445629); #370006=AXIS2_PLACEMENT_3D('',#568498,#445633,#445634); #370007=AXIS2_PLACEMENT_3D('',#568504,#445638,#445639); #370008=AXIS2_PLACEMENT_3D('',#568510,#445643,#445644); #370009=AXIS2_PLACEMENT_3D('',#568516,#445648,#445649); #370010=AXIS2_PLACEMENT_3D('',#568522,#445653,#445654); #370011=AXIS2_PLACEMENT_3D('',#568528,#445658,#445659); #370012=AXIS2_PLACEMENT_3D('',#568531,#445662,#445663); #370013=AXIS2_PLACEMENT_3D('',#568532,#445664,#445665); #370014=AXIS2_PLACEMENT_3D('',#568533,#445666,#445667); #370015=AXIS2_PLACEMENT_3D('',#568542,#445672,#445673); #370016=AXIS2_PLACEMENT_3D('',#568544,#445674,#445675); #370017=AXIS2_PLACEMENT_3D('',#568546,#445676,#445677); #370018=AXIS2_PLACEMENT_3D('',#568548,#445679,#445680); #370019=AXIS2_PLACEMENT_3D('',#568554,#445684,#445685); #370020=AXIS2_PLACEMENT_3D('',#568555,#445686,#445687); #370021=AXIS2_PLACEMENT_3D('',#568556,#445688,#445689); #370022=AXIS2_PLACEMENT_3D('',#568557,#445690,#445691); #370023=AXIS2_PLACEMENT_3D('',#568566,#445696,#445697); #370024=AXIS2_PLACEMENT_3D('',#568572,#445701,#445702); #370025=AXIS2_PLACEMENT_3D('',#568578,#445706,#445707); #370026=AXIS2_PLACEMENT_3D('',#568584,#445711,#445712); #370027=AXIS2_PLACEMENT_3D('',#568590,#445716,#445717); #370028=AXIS2_PLACEMENT_3D('',#568596,#445721,#445722); #370029=AXIS2_PLACEMENT_3D('',#568602,#445726,#445727); #370030=AXIS2_PLACEMENT_3D('',#568608,#445731,#445732); #370031=AXIS2_PLACEMENT_3D('',#568614,#445736,#445737); #370032=AXIS2_PLACEMENT_3D('',#568620,#445741,#445742); #370033=AXIS2_PLACEMENT_3D('',#568626,#445746,#445747); #370034=AXIS2_PLACEMENT_3D('',#568632,#445751,#445752); #370035=AXIS2_PLACEMENT_3D('',#568638,#445756,#445757); #370036=AXIS2_PLACEMENT_3D('',#568644,#445761,#445762); #370037=AXIS2_PLACEMENT_3D('',#568650,#445766,#445767); #370038=AXIS2_PLACEMENT_3D('',#568656,#445771,#445772); #370039=AXIS2_PLACEMENT_3D('',#568662,#445776,#445777); #370040=AXIS2_PLACEMENT_3D('',#568668,#445781,#445782); #370041=AXIS2_PLACEMENT_3D('',#568674,#445786,#445787); #370042=AXIS2_PLACEMENT_3D('',#568680,#445791,#445792); #370043=AXIS2_PLACEMENT_3D('',#568686,#445796,#445797); #370044=AXIS2_PLACEMENT_3D('',#568692,#445801,#445802); #370045=AXIS2_PLACEMENT_3D('',#568698,#445806,#445807); #370046=AXIS2_PLACEMENT_3D('',#568704,#445811,#445812); #370047=AXIS2_PLACEMENT_3D('',#568710,#445816,#445817); #370048=AXIS2_PLACEMENT_3D('',#568716,#445821,#445822); #370049=AXIS2_PLACEMENT_3D('',#568722,#445826,#445827); #370050=AXIS2_PLACEMENT_3D('',#568728,#445831,#445832); #370051=AXIS2_PLACEMENT_3D('',#568734,#445836,#445837); #370052=AXIS2_PLACEMENT_3D('',#568740,#445841,#445842); #370053=AXIS2_PLACEMENT_3D('',#568746,#445846,#445847); #370054=AXIS2_PLACEMENT_3D('',#568752,#445851,#445852); #370055=AXIS2_PLACEMENT_3D('',#568758,#445856,#445857); #370056=AXIS2_PLACEMENT_3D('',#568764,#445861,#445862); #370057=AXIS2_PLACEMENT_3D('',#568770,#445866,#445867); #370058=AXIS2_PLACEMENT_3D('',#568776,#445871,#445872); #370059=AXIS2_PLACEMENT_3D('',#568782,#445876,#445877); #370060=AXIS2_PLACEMENT_3D('',#568788,#445881,#445882); #370061=AXIS2_PLACEMENT_3D('',#568794,#445886,#445887); #370062=AXIS2_PLACEMENT_3D('',#568800,#445891,#445892); #370063=AXIS2_PLACEMENT_3D('',#568806,#445896,#445897); #370064=AXIS2_PLACEMENT_3D('',#568812,#445901,#445902); #370065=AXIS2_PLACEMENT_3D('',#568818,#445906,#445907); #370066=AXIS2_PLACEMENT_3D('',#568824,#445911,#445912); #370067=AXIS2_PLACEMENT_3D('',#568830,#445916,#445917); #370068=AXIS2_PLACEMENT_3D('',#568836,#445921,#445922); #370069=AXIS2_PLACEMENT_3D('',#568842,#445926,#445927); #370070=AXIS2_PLACEMENT_3D('',#568848,#445931,#445932); #370071=AXIS2_PLACEMENT_3D('',#568854,#445936,#445937); #370072=AXIS2_PLACEMENT_3D('',#568860,#445941,#445942); #370073=AXIS2_PLACEMENT_3D('',#568866,#445946,#445947); #370074=AXIS2_PLACEMENT_3D('',#568872,#445951,#445952); #370075=AXIS2_PLACEMENT_3D('',#568878,#445956,#445957); #370076=AXIS2_PLACEMENT_3D('',#568884,#445961,#445962); #370077=AXIS2_PLACEMENT_3D('',#568890,#445966,#445967); #370078=AXIS2_PLACEMENT_3D('',#568893,#445970,#445971); #370079=AXIS2_PLACEMENT_3D('',#568894,#445972,#445973); #370080=AXIS2_PLACEMENT_3D('',#568895,#445974,#445975); #370081=AXIS2_PLACEMENT_3D('',#568897,#445976,#445977); #370082=AXIS2_PLACEMENT_3D('',#568900,#445979,#445980); #370083=AXIS2_PLACEMENT_3D('',#568901,#445981,#445982); #370084=AXIS2_PLACEMENT_3D('',#568910,#445987,#445988); #370085=AXIS2_PLACEMENT_3D('',#568916,#445992,#445993); #370086=AXIS2_PLACEMENT_3D('',#568922,#445997,#445998); #370087=AXIS2_PLACEMENT_3D('',#568925,#446001,#446002); #370088=AXIS2_PLACEMENT_3D('',#568926,#446003,#446004); #370089=AXIS2_PLACEMENT_3D('',#568927,#446005,#446006); #370090=AXIS2_PLACEMENT_3D('',#568936,#446011,#446012); #370091=AXIS2_PLACEMENT_3D('',#568938,#446013,#446014); #370092=AXIS2_PLACEMENT_3D('',#568940,#446015,#446016); #370093=AXIS2_PLACEMENT_3D('',#568942,#446018,#446019); #370094=AXIS2_PLACEMENT_3D('',#568948,#446023,#446024); #370095=AXIS2_PLACEMENT_3D('',#568949,#446025,#446026); #370096=AXIS2_PLACEMENT_3D('',#568950,#446027,#446028); #370097=AXIS2_PLACEMENT_3D('',#568951,#446029,#446030); #370098=AXIS2_PLACEMENT_3D('',#568960,#446035,#446036); #370099=AXIS2_PLACEMENT_3D('',#568966,#446040,#446041); #370100=AXIS2_PLACEMENT_3D('',#568972,#446045,#446046); #370101=AXIS2_PLACEMENT_3D('',#568978,#446050,#446051); #370102=AXIS2_PLACEMENT_3D('',#568984,#446055,#446056); #370103=AXIS2_PLACEMENT_3D('',#568990,#446060,#446061); #370104=AXIS2_PLACEMENT_3D('',#568996,#446065,#446066); #370105=AXIS2_PLACEMENT_3D('',#569002,#446070,#446071); #370106=AXIS2_PLACEMENT_3D('',#569008,#446075,#446076); #370107=AXIS2_PLACEMENT_3D('',#569014,#446080,#446081); #370108=AXIS2_PLACEMENT_3D('',#569020,#446085,#446086); #370109=AXIS2_PLACEMENT_3D('',#569026,#446090,#446091); #370110=AXIS2_PLACEMENT_3D('',#569032,#446095,#446096); #370111=AXIS2_PLACEMENT_3D('',#569038,#446100,#446101); #370112=AXIS2_PLACEMENT_3D('',#569044,#446105,#446106); #370113=AXIS2_PLACEMENT_3D('',#569050,#446110,#446111); #370114=AXIS2_PLACEMENT_3D('',#569056,#446115,#446116); #370115=AXIS2_PLACEMENT_3D('',#569062,#446120,#446121); #370116=AXIS2_PLACEMENT_3D('',#569068,#446125,#446126); #370117=AXIS2_PLACEMENT_3D('',#569074,#446130,#446131); #370118=AXIS2_PLACEMENT_3D('',#569080,#446135,#446136); #370119=AXIS2_PLACEMENT_3D('',#569086,#446140,#446141); #370120=AXIS2_PLACEMENT_3D('',#569092,#446145,#446146); #370121=AXIS2_PLACEMENT_3D('',#569098,#446150,#446151); #370122=AXIS2_PLACEMENT_3D('',#569104,#446155,#446156); #370123=AXIS2_PLACEMENT_3D('',#569110,#446160,#446161); #370124=AXIS2_PLACEMENT_3D('',#569116,#446165,#446166); #370125=AXIS2_PLACEMENT_3D('',#569122,#446170,#446171); #370126=AXIS2_PLACEMENT_3D('',#569128,#446175,#446176); #370127=AXIS2_PLACEMENT_3D('',#569134,#446180,#446181); #370128=AXIS2_PLACEMENT_3D('',#569140,#446185,#446186); #370129=AXIS2_PLACEMENT_3D('',#569146,#446190,#446191); #370130=AXIS2_PLACEMENT_3D('',#569152,#446195,#446196); #370131=AXIS2_PLACEMENT_3D('',#569158,#446200,#446201); #370132=AXIS2_PLACEMENT_3D('',#569164,#446205,#446206); #370133=AXIS2_PLACEMENT_3D('',#569170,#446210,#446211); #370134=AXIS2_PLACEMENT_3D('',#569176,#446215,#446216); #370135=AXIS2_PLACEMENT_3D('',#569182,#446220,#446221); #370136=AXIS2_PLACEMENT_3D('',#569188,#446225,#446226); #370137=AXIS2_PLACEMENT_3D('',#569194,#446230,#446231); #370138=AXIS2_PLACEMENT_3D('',#569200,#446235,#446236); #370139=AXIS2_PLACEMENT_3D('',#569206,#446240,#446241); #370140=AXIS2_PLACEMENT_3D('',#569212,#446245,#446246); #370141=AXIS2_PLACEMENT_3D('',#569218,#446250,#446251); #370142=AXIS2_PLACEMENT_3D('',#569224,#446255,#446256); #370143=AXIS2_PLACEMENT_3D('',#569230,#446260,#446261); #370144=AXIS2_PLACEMENT_3D('',#569236,#446265,#446266); #370145=AXIS2_PLACEMENT_3D('',#569242,#446270,#446271); #370146=AXIS2_PLACEMENT_3D('',#569248,#446275,#446276); #370147=AXIS2_PLACEMENT_3D('',#569254,#446280,#446281); #370148=AXIS2_PLACEMENT_3D('',#569260,#446285,#446286); #370149=AXIS2_PLACEMENT_3D('',#569266,#446290,#446291); #370150=AXIS2_PLACEMENT_3D('',#569272,#446295,#446296); #370151=AXIS2_PLACEMENT_3D('',#569278,#446300,#446301); #370152=AXIS2_PLACEMENT_3D('',#569284,#446305,#446306); #370153=AXIS2_PLACEMENT_3D('',#569287,#446309,#446310); #370154=AXIS2_PLACEMENT_3D('',#569288,#446311,#446312); #370155=AXIS2_PLACEMENT_3D('',#569289,#446313,#446314); #370156=AXIS2_PLACEMENT_3D('',#569298,#446319,#446320); #370157=AXIS2_PLACEMENT_3D('',#569300,#446321,#446322); #370158=AXIS2_PLACEMENT_3D('',#569302,#446323,#446324); #370159=AXIS2_PLACEMENT_3D('',#569304,#446326,#446327); #370160=AXIS2_PLACEMENT_3D('',#569310,#446331,#446332); #370161=AXIS2_PLACEMENT_3D('',#569311,#446333,#446334); #370162=AXIS2_PLACEMENT_3D('',#569312,#446335,#446336); #370163=AXIS2_PLACEMENT_3D('',#569313,#446337,#446338); #370164=AXIS2_PLACEMENT_3D('',#569322,#446343,#446344); #370165=AXIS2_PLACEMENT_3D('',#569328,#446348,#446349); #370166=AXIS2_PLACEMENT_3D('',#569334,#446353,#446354); #370167=AXIS2_PLACEMENT_3D('',#569340,#446358,#446359); #370168=AXIS2_PLACEMENT_3D('',#569346,#446363,#446364); #370169=AXIS2_PLACEMENT_3D('',#569352,#446368,#446369); #370170=AXIS2_PLACEMENT_3D('',#569358,#446373,#446374); #370171=AXIS2_PLACEMENT_3D('',#569364,#446378,#446379); #370172=AXIS2_PLACEMENT_3D('',#569370,#446383,#446384); #370173=AXIS2_PLACEMENT_3D('',#569376,#446388,#446389); #370174=AXIS2_PLACEMENT_3D('',#569382,#446393,#446394); #370175=AXIS2_PLACEMENT_3D('',#569388,#446398,#446399); #370176=AXIS2_PLACEMENT_3D('',#569394,#446403,#446404); #370177=AXIS2_PLACEMENT_3D('',#569400,#446408,#446409); #370178=AXIS2_PLACEMENT_3D('',#569406,#446413,#446414); #370179=AXIS2_PLACEMENT_3D('',#569412,#446418,#446419); #370180=AXIS2_PLACEMENT_3D('',#569418,#446423,#446424); #370181=AXIS2_PLACEMENT_3D('',#569424,#446428,#446429); #370182=AXIS2_PLACEMENT_3D('',#569430,#446433,#446434); #370183=AXIS2_PLACEMENT_3D('',#569436,#446438,#446439); #370184=AXIS2_PLACEMENT_3D('',#569442,#446443,#446444); #370185=AXIS2_PLACEMENT_3D('',#569448,#446448,#446449); #370186=AXIS2_PLACEMENT_3D('',#569454,#446453,#446454); #370187=AXIS2_PLACEMENT_3D('',#569460,#446458,#446459); #370188=AXIS2_PLACEMENT_3D('',#569466,#446463,#446464); #370189=AXIS2_PLACEMENT_3D('',#569472,#446468,#446469); #370190=AXIS2_PLACEMENT_3D('',#569478,#446473,#446474); #370191=AXIS2_PLACEMENT_3D('',#569484,#446478,#446479); #370192=AXIS2_PLACEMENT_3D('',#569490,#446483,#446484); #370193=AXIS2_PLACEMENT_3D('',#569496,#446488,#446489); #370194=AXIS2_PLACEMENT_3D('',#569502,#446493,#446494); #370195=AXIS2_PLACEMENT_3D('',#569508,#446498,#446499); #370196=AXIS2_PLACEMENT_3D('',#569514,#446503,#446504); #370197=AXIS2_PLACEMENT_3D('',#569520,#446508,#446509); #370198=AXIS2_PLACEMENT_3D('',#569526,#446513,#446514); #370199=AXIS2_PLACEMENT_3D('',#569532,#446518,#446519); #370200=AXIS2_PLACEMENT_3D('',#569538,#446523,#446524); #370201=AXIS2_PLACEMENT_3D('',#569544,#446528,#446529); #370202=AXIS2_PLACEMENT_3D('',#569550,#446533,#446534); #370203=AXIS2_PLACEMENT_3D('',#569556,#446538,#446539); #370204=AXIS2_PLACEMENT_3D('',#569562,#446543,#446544); #370205=AXIS2_PLACEMENT_3D('',#569568,#446548,#446549); #370206=AXIS2_PLACEMENT_3D('',#569574,#446553,#446554); #370207=AXIS2_PLACEMENT_3D('',#569580,#446558,#446559); #370208=AXIS2_PLACEMENT_3D('',#569586,#446563,#446564); #370209=AXIS2_PLACEMENT_3D('',#569592,#446568,#446569); #370210=AXIS2_PLACEMENT_3D('',#569598,#446573,#446574); #370211=AXIS2_PLACEMENT_3D('',#569604,#446578,#446579); #370212=AXIS2_PLACEMENT_3D('',#569610,#446583,#446584); #370213=AXIS2_PLACEMENT_3D('',#569616,#446588,#446589); #370214=AXIS2_PLACEMENT_3D('',#569622,#446593,#446594); #370215=AXIS2_PLACEMENT_3D('',#569628,#446598,#446599); #370216=AXIS2_PLACEMENT_3D('',#569634,#446603,#446604); #370217=AXIS2_PLACEMENT_3D('',#569640,#446608,#446609); #370218=AXIS2_PLACEMENT_3D('',#569646,#446613,#446614); #370219=AXIS2_PLACEMENT_3D('',#569652,#446618,#446619); #370220=AXIS2_PLACEMENT_3D('',#569658,#446623,#446624); #370221=AXIS2_PLACEMENT_3D('',#569664,#446628,#446629); #370222=AXIS2_PLACEMENT_3D('',#569670,#446633,#446634); #370223=AXIS2_PLACEMENT_3D('',#569676,#446638,#446639); #370224=AXIS2_PLACEMENT_3D('',#569682,#446643,#446644); #370225=AXIS2_PLACEMENT_3D('',#569688,#446648,#446649); #370226=AXIS2_PLACEMENT_3D('',#569694,#446653,#446654); #370227=AXIS2_PLACEMENT_3D('',#569700,#446658,#446659); #370228=AXIS2_PLACEMENT_3D('',#569706,#446663,#446664); #370229=AXIS2_PLACEMENT_3D('',#569712,#446668,#446669); #370230=AXIS2_PLACEMENT_3D('',#569718,#446673,#446674); #370231=AXIS2_PLACEMENT_3D('',#569724,#446678,#446679); #370232=AXIS2_PLACEMENT_3D('',#569730,#446683,#446684); #370233=AXIS2_PLACEMENT_3D('',#569736,#446688,#446689); #370234=AXIS2_PLACEMENT_3D('',#569742,#446693,#446694); #370235=AXIS2_PLACEMENT_3D('',#569745,#446697,#446698); #370236=AXIS2_PLACEMENT_3D('',#569746,#446699,#446700); #370237=AXIS2_PLACEMENT_3D('',#569747,#446701,#446702); #370238=AXIS2_PLACEMENT_3D('',#569749,#446703,#446704); #370239=AXIS2_PLACEMENT_3D('',#569752,#446706,#446707); #370240=AXIS2_PLACEMENT_3D('',#569753,#446708,#446709); #370241=AXIS2_PLACEMENT_3D('',#569762,#446714,#446715); #370242=AXIS2_PLACEMENT_3D('',#569764,#446716,#446717); #370243=AXIS2_PLACEMENT_3D('',#569766,#446718,#446719); #370244=AXIS2_PLACEMENT_3D('',#569768,#446721,#446722); #370245=AXIS2_PLACEMENT_3D('',#569769,#446723,#446724); #370246=AXIS2_PLACEMENT_3D('',#569770,#446725,#446726); #370247=AXIS2_PLACEMENT_3D('',#569771,#446727,#446728); #370248=AXIS2_PLACEMENT_3D('',#569772,#446729,#446730); #370249=AXIS2_PLACEMENT_3D('',#569773,#446731,#446732); #370250=AXIS2_PLACEMENT_3D('',#569775,#446733,#446734); #370251=AXIS2_PLACEMENT_3D('',#569778,#446736,#446737); #370252=AXIS2_PLACEMENT_3D('',#569779,#446738,#446739); #370253=AXIS2_PLACEMENT_3D('',#569788,#446744,#446745); #370254=AXIS2_PLACEMENT_3D('',#569790,#446746,#446747); #370255=AXIS2_PLACEMENT_3D('',#569792,#446748,#446749); #370256=AXIS2_PLACEMENT_3D('',#569794,#446751,#446752); #370257=AXIS2_PLACEMENT_3D('',#569795,#446753,#446754); #370258=AXIS2_PLACEMENT_3D('',#569796,#446755,#446756); #370259=AXIS2_PLACEMENT_3D('',#569797,#446757,#446758); #370260=AXIS2_PLACEMENT_3D('',#569798,#446759,#446760); #370261=AXIS2_PLACEMENT_3D('',#569799,#446761,#446762); #370262=AXIS2_PLACEMENT_3D('',#569808,#446767,#446768); #370263=AXIS2_PLACEMENT_3D('',#569810,#446769,#446770); #370264=AXIS2_PLACEMENT_3D('',#569812,#446771,#446772); #370265=AXIS2_PLACEMENT_3D('',#569814,#446774,#446775); #370266=AXIS2_PLACEMENT_3D('',#569820,#446779,#446780); #370267=AXIS2_PLACEMENT_3D('',#569821,#446781,#446782); #370268=AXIS2_PLACEMENT_3D('',#569822,#446783,#446784); #370269=AXIS2_PLACEMENT_3D('',#569823,#446785,#446786); #370270=AXIS2_PLACEMENT_3D('',#569832,#446791,#446792); #370271=AXIS2_PLACEMENT_3D('',#569838,#446796,#446797); #370272=AXIS2_PLACEMENT_3D('',#569844,#446801,#446802); #370273=AXIS2_PLACEMENT_3D('',#569850,#446806,#446807); #370274=AXIS2_PLACEMENT_3D('',#569856,#446811,#446812); #370275=AXIS2_PLACEMENT_3D('',#569862,#446816,#446817); #370276=AXIS2_PLACEMENT_3D('',#569868,#446821,#446822); #370277=AXIS2_PLACEMENT_3D('',#569874,#446826,#446827); #370278=AXIS2_PLACEMENT_3D('',#569880,#446831,#446832); #370279=AXIS2_PLACEMENT_3D('',#569886,#446836,#446837); #370280=AXIS2_PLACEMENT_3D('',#569892,#446841,#446842); #370281=AXIS2_PLACEMENT_3D('',#569898,#446846,#446847); #370282=AXIS2_PLACEMENT_3D('',#569904,#446851,#446852); #370283=AXIS2_PLACEMENT_3D('',#569910,#446856,#446857); #370284=AXIS2_PLACEMENT_3D('',#569916,#446861,#446862); #370285=AXIS2_PLACEMENT_3D('',#569922,#446866,#446867); #370286=AXIS2_PLACEMENT_3D('',#569928,#446871,#446872); #370287=AXIS2_PLACEMENT_3D('',#569934,#446876,#446877); #370288=AXIS2_PLACEMENT_3D('',#569940,#446881,#446882); #370289=AXIS2_PLACEMENT_3D('',#569946,#446886,#446887); #370290=AXIS2_PLACEMENT_3D('',#569952,#446891,#446892); #370291=AXIS2_PLACEMENT_3D('',#569958,#446896,#446897); #370292=AXIS2_PLACEMENT_3D('',#569964,#446901,#446902); #370293=AXIS2_PLACEMENT_3D('',#569970,#446906,#446907); #370294=AXIS2_PLACEMENT_3D('',#569976,#446911,#446912); #370295=AXIS2_PLACEMENT_3D('',#569982,#446916,#446917); #370296=AXIS2_PLACEMENT_3D('',#569988,#446921,#446922); #370297=AXIS2_PLACEMENT_3D('',#569994,#446926,#446927); #370298=AXIS2_PLACEMENT_3D('',#570000,#446931,#446932); #370299=AXIS2_PLACEMENT_3D('',#570006,#446936,#446937); #370300=AXIS2_PLACEMENT_3D('',#570012,#446941,#446942); #370301=AXIS2_PLACEMENT_3D('',#570018,#446946,#446947); #370302=AXIS2_PLACEMENT_3D('',#570024,#446951,#446952); #370303=AXIS2_PLACEMENT_3D('',#570030,#446956,#446957); #370304=AXIS2_PLACEMENT_3D('',#570036,#446961,#446962); #370305=AXIS2_PLACEMENT_3D('',#570042,#446966,#446967); #370306=AXIS2_PLACEMENT_3D('',#570048,#446971,#446972); #370307=AXIS2_PLACEMENT_3D('',#570054,#446976,#446977); #370308=AXIS2_PLACEMENT_3D('',#570060,#446981,#446982); #370309=AXIS2_PLACEMENT_3D('',#570066,#446986,#446987); #370310=AXIS2_PLACEMENT_3D('',#570072,#446991,#446992); #370311=AXIS2_PLACEMENT_3D('',#570078,#446996,#446997); #370312=AXIS2_PLACEMENT_3D('',#570084,#447001,#447002); #370313=AXIS2_PLACEMENT_3D('',#570090,#447006,#447007); #370314=AXIS2_PLACEMENT_3D('',#570096,#447011,#447012); #370315=AXIS2_PLACEMENT_3D('',#570102,#447016,#447017); #370316=AXIS2_PLACEMENT_3D('',#570108,#447021,#447022); #370317=AXIS2_PLACEMENT_3D('',#570114,#447026,#447027); #370318=AXIS2_PLACEMENT_3D('',#570120,#447031,#447032); #370319=AXIS2_PLACEMENT_3D('',#570126,#447036,#447037); #370320=AXIS2_PLACEMENT_3D('',#570132,#447041,#447042); #370321=AXIS2_PLACEMENT_3D('',#570138,#447046,#447047); #370322=AXIS2_PLACEMENT_3D('',#570144,#447051,#447052); #370323=AXIS2_PLACEMENT_3D('',#570150,#447056,#447057); #370324=AXIS2_PLACEMENT_3D('',#570156,#447061,#447062); #370325=AXIS2_PLACEMENT_3D('',#570159,#447065,#447066); #370326=AXIS2_PLACEMENT_3D('',#570160,#447067,#447068); #370327=AXIS2_PLACEMENT_3D('',#570161,#447069,#447070); #370328=AXIS2_PLACEMENT_3D('',#570163,#447071,#447072); #370329=AXIS2_PLACEMENT_3D('',#570166,#447074,#447075); #370330=AXIS2_PLACEMENT_3D('',#570167,#447076,#447077); #370331=AXIS2_PLACEMENT_3D('',#570176,#447082,#447083); #370332=AXIS2_PLACEMENT_3D('',#570178,#447084,#447085); #370333=AXIS2_PLACEMENT_3D('',#570180,#447086,#447087); #370334=AXIS2_PLACEMENT_3D('',#570182,#447089,#447090); #370335=AXIS2_PLACEMENT_3D('',#570183,#447091,#447092); #370336=AXIS2_PLACEMENT_3D('',#570184,#447093,#447094); #370337=AXIS2_PLACEMENT_3D('',#570185,#447095,#447096); #370338=AXIS2_PLACEMENT_3D('',#570186,#447097,#447098); #370339=AXIS2_PLACEMENT_3D('',#570187,#447099,#447100); #370340=AXIS2_PLACEMENT_3D('',#570189,#447101,#447102); #370341=AXIS2_PLACEMENT_3D('',#570192,#447104,#447105); #370342=AXIS2_PLACEMENT_3D('',#570193,#447106,#447107); #370343=AXIS2_PLACEMENT_3D('',#570202,#447112,#447113); #370344=AXIS2_PLACEMENT_3D('',#570204,#447114,#447115); #370345=AXIS2_PLACEMENT_3D('',#570206,#447116,#447117); #370346=AXIS2_PLACEMENT_3D('',#570208,#447119,#447120); #370347=AXIS2_PLACEMENT_3D('',#570209,#447121,#447122); #370348=AXIS2_PLACEMENT_3D('',#570210,#447123,#447124); #370349=AXIS2_PLACEMENT_3D('',#570211,#447125,#447126); #370350=AXIS2_PLACEMENT_3D('',#570212,#447127,#447128); #370351=AXIS2_PLACEMENT_3D('',#570213,#447129,#447130); #370352=AXIS2_PLACEMENT_3D('',#570215,#447131,#447132); #370353=AXIS2_PLACEMENT_3D('',#570218,#447134,#447135); #370354=AXIS2_PLACEMENT_3D('',#570219,#447136,#447137); #370355=AXIS2_PLACEMENT_3D('',#570228,#447142,#447143); #370356=AXIS2_PLACEMENT_3D('',#570230,#447144,#447145); #370357=AXIS2_PLACEMENT_3D('',#570232,#447146,#447147); #370358=AXIS2_PLACEMENT_3D('',#570234,#447149,#447150); #370359=AXIS2_PLACEMENT_3D('',#570235,#447151,#447152); #370360=AXIS2_PLACEMENT_3D('',#570236,#447153,#447154); #370361=AXIS2_PLACEMENT_3D('',#570237,#447155,#447156); #370362=AXIS2_PLACEMENT_3D('',#570238,#447157,#447158); #370363=AXIS2_PLACEMENT_3D('',#570239,#447159,#447160); #370364=AXIS2_PLACEMENT_3D('',#570241,#447161,#447162); #370365=AXIS2_PLACEMENT_3D('',#570244,#447164,#447165); #370366=AXIS2_PLACEMENT_3D('',#570245,#447166,#447167); #370367=AXIS2_PLACEMENT_3D('',#570254,#447172,#447173); #370368=AXIS2_PLACEMENT_3D('',#570260,#447177,#447178); #370369=AXIS2_PLACEMENT_3D('',#570266,#447182,#447183); #370370=AXIS2_PLACEMENT_3D('',#570269,#447186,#447187); #370371=AXIS2_PLACEMENT_3D('',#570270,#447188,#447189); #370372=AXIS2_PLACEMENT_3D('',#570271,#447190,#447191); #370373=AXIS2_PLACEMENT_3D('',#570273,#447192,#447193); #370374=AXIS2_PLACEMENT_3D('',#570276,#447195,#447196); #370375=AXIS2_PLACEMENT_3D('',#570277,#447197,#447198); #370376=AXIS2_PLACEMENT_3D('',#570286,#447203,#447204); #370377=AXIS2_PLACEMENT_3D('',#570288,#447205,#447206); #370378=AXIS2_PLACEMENT_3D('',#570290,#447207,#447208); #370379=AXIS2_PLACEMENT_3D('',#570292,#447210,#447211); #370380=AXIS2_PLACEMENT_3D('',#570293,#447212,#447213); #370381=AXIS2_PLACEMENT_3D('',#570294,#447214,#447215); #370382=AXIS2_PLACEMENT_3D('',#570295,#447216,#447217); #370383=AXIS2_PLACEMENT_3D('',#570296,#447218,#447219); #370384=AXIS2_PLACEMENT_3D('',#570297,#447220,#447221); #370385=AXIS2_PLACEMENT_3D('',#570306,#447226,#447227); #370386=AXIS2_PLACEMENT_3D('',#570312,#447231,#447232); #370387=AXIS2_PLACEMENT_3D('',#570318,#447236,#447237); #370388=AXIS2_PLACEMENT_3D('',#570321,#447240,#447241); #370389=AXIS2_PLACEMENT_3D('',#570322,#447242,#447243); #370390=AXIS2_PLACEMENT_3D('',#570323,#447244,#447245); #370391=AXIS2_PLACEMENT_3D('',#570332,#447250,#447251); #370392=AXIS2_PLACEMENT_3D('',#570338,#447255,#447256); #370393=AXIS2_PLACEMENT_3D('',#570344,#447260,#447261); #370394=AXIS2_PLACEMENT_3D('',#570347,#447264,#447265); #370395=AXIS2_PLACEMENT_3D('',#570348,#447266,#447267); #370396=AXIS2_PLACEMENT_3D('',#570349,#447268,#447269); #370397=AXIS2_PLACEMENT_3D('',#570358,#447274,#447275); #370398=AXIS2_PLACEMENT_3D('',#570364,#447279,#447280); #370399=AXIS2_PLACEMENT_3D('',#570370,#447284,#447285); #370400=AXIS2_PLACEMENT_3D('',#570373,#447288,#447289); #370401=AXIS2_PLACEMENT_3D('',#570374,#447290,#447291); #370402=AXIS2_PLACEMENT_3D('',#570375,#447292,#447293); #370403=AXIS2_PLACEMENT_3D('',#570384,#447298,#447299); #370404=AXIS2_PLACEMENT_3D('',#570390,#447303,#447304); #370405=AXIS2_PLACEMENT_3D('',#570396,#447308,#447309); #370406=AXIS2_PLACEMENT_3D('',#570399,#447312,#447313); #370407=AXIS2_PLACEMENT_3D('',#570400,#447314,#447315); #370408=AXIS2_PLACEMENT_3D('',#570401,#447316,#447317); #370409=AXIS2_PLACEMENT_3D('',#570410,#447322,#447323); #370410=AXIS2_PLACEMENT_3D('',#570416,#447327,#447328); #370411=AXIS2_PLACEMENT_3D('',#570422,#447332,#447333); #370412=AXIS2_PLACEMENT_3D('',#570425,#447336,#447337); #370413=AXIS2_PLACEMENT_3D('',#570426,#447338,#447339); #370414=AXIS2_PLACEMENT_3D('',#570427,#447340,#447341); #370415=AXIS2_PLACEMENT_3D('',#570436,#447346,#447347); #370416=AXIS2_PLACEMENT_3D('',#570442,#447351,#447352); #370417=AXIS2_PLACEMENT_3D('',#570448,#447356,#447357); #370418=AXIS2_PLACEMENT_3D('',#570451,#447360,#447361); #370419=AXIS2_PLACEMENT_3D('',#570452,#447362,#447363); #370420=AXIS2_PLACEMENT_3D('',#570453,#447364,#447365); #370421=AXIS2_PLACEMENT_3D('',#570462,#447370,#447371); #370422=AXIS2_PLACEMENT_3D('',#570468,#447375,#447376); #370423=AXIS2_PLACEMENT_3D('',#570474,#447380,#447381); #370424=AXIS2_PLACEMENT_3D('',#570477,#447384,#447385); #370425=AXIS2_PLACEMENT_3D('',#570478,#447386,#447387); #370426=AXIS2_PLACEMENT_3D('',#570479,#447388,#447389); #370427=AXIS2_PLACEMENT_3D('',#570488,#447394,#447395); #370428=AXIS2_PLACEMENT_3D('',#570494,#447399,#447400); #370429=AXIS2_PLACEMENT_3D('',#570500,#447404,#447405); #370430=AXIS2_PLACEMENT_3D('',#570503,#447408,#447409); #370431=AXIS2_PLACEMENT_3D('',#570504,#447410,#447411); #370432=AXIS2_PLACEMENT_3D('',#570505,#447412,#447413); #370433=AXIS2_PLACEMENT_3D('',#570514,#447418,#447419); #370434=AXIS2_PLACEMENT_3D('',#570520,#447423,#447424); #370435=AXIS2_PLACEMENT_3D('',#570526,#447428,#447429); #370436=AXIS2_PLACEMENT_3D('',#570529,#447432,#447433); #370437=AXIS2_PLACEMENT_3D('',#570530,#447434,#447435); #370438=AXIS2_PLACEMENT_3D('',#570531,#447436,#447437); #370439=AXIS2_PLACEMENT_3D('',#570540,#447442,#447443); #370440=AXIS2_PLACEMENT_3D('',#570546,#447447,#447448); #370441=AXIS2_PLACEMENT_3D('',#570552,#447452,#447453); #370442=AXIS2_PLACEMENT_3D('',#570555,#447456,#447457); #370443=AXIS2_PLACEMENT_3D('',#570556,#447458,#447459); #370444=AXIS2_PLACEMENT_3D('',#570557,#447460,#447461); #370445=AXIS2_PLACEMENT_3D('',#570566,#447466,#447467); #370446=AXIS2_PLACEMENT_3D('',#570572,#447471,#447472); #370447=AXIS2_PLACEMENT_3D('',#570578,#447476,#447477); #370448=AXIS2_PLACEMENT_3D('',#570581,#447480,#447481); #370449=AXIS2_PLACEMENT_3D('',#570582,#447482,#447483); #370450=AXIS2_PLACEMENT_3D('',#570583,#447484,#447485); #370451=AXIS2_PLACEMENT_3D('',#570592,#447490,#447491); #370452=AXIS2_PLACEMENT_3D('',#570598,#447495,#447496); #370453=AXIS2_PLACEMENT_3D('',#570604,#447500,#447501); #370454=AXIS2_PLACEMENT_3D('',#570607,#447504,#447505); #370455=AXIS2_PLACEMENT_3D('',#570608,#447506,#447507); #370456=AXIS2_PLACEMENT_3D('',#570609,#447508,#447509); #370457=AXIS2_PLACEMENT_3D('',#570618,#447514,#447515); #370458=AXIS2_PLACEMENT_3D('',#570624,#447519,#447520); #370459=AXIS2_PLACEMENT_3D('',#570630,#447524,#447525); #370460=AXIS2_PLACEMENT_3D('',#570633,#447528,#447529); #370461=AXIS2_PLACEMENT_3D('',#570634,#447530,#447531); #370462=AXIS2_PLACEMENT_3D('',#570635,#447532,#447533); #370463=AXIS2_PLACEMENT_3D('',#570644,#447538,#447539); #370464=AXIS2_PLACEMENT_3D('',#570650,#447543,#447544); #370465=AXIS2_PLACEMENT_3D('',#570656,#447548,#447549); #370466=AXIS2_PLACEMENT_3D('',#570659,#447552,#447553); #370467=AXIS2_PLACEMENT_3D('',#570660,#447554,#447555); #370468=AXIS2_PLACEMENT_3D('',#570661,#447556,#447557); #370469=AXIS2_PLACEMENT_3D('',#570670,#447562,#447563); #370470=AXIS2_PLACEMENT_3D('',#570676,#447567,#447568); #370471=AXIS2_PLACEMENT_3D('',#570682,#447572,#447573); #370472=AXIS2_PLACEMENT_3D('',#570685,#447576,#447577); #370473=AXIS2_PLACEMENT_3D('',#570686,#447578,#447579); #370474=AXIS2_PLACEMENT_3D('',#570687,#447580,#447581); #370475=AXIS2_PLACEMENT_3D('',#570696,#447586,#447587); #370476=AXIS2_PLACEMENT_3D('',#570702,#447591,#447592); #370477=AXIS2_PLACEMENT_3D('',#570708,#447596,#447597); #370478=AXIS2_PLACEMENT_3D('',#570711,#447600,#447601); #370479=AXIS2_PLACEMENT_3D('',#570712,#447602,#447603); #370480=AXIS2_PLACEMENT_3D('',#570713,#447604,#447605); #370481=AXIS2_PLACEMENT_3D('',#570722,#447610,#447611); #370482=AXIS2_PLACEMENT_3D('',#570728,#447615,#447616); #370483=AXIS2_PLACEMENT_3D('',#570734,#447620,#447621); #370484=AXIS2_PLACEMENT_3D('',#570737,#447624,#447625); #370485=AXIS2_PLACEMENT_3D('',#570738,#447626,#447627); #370486=AXIS2_PLACEMENT_3D('',#570739,#447628,#447629); #370487=AXIS2_PLACEMENT_3D('',#570748,#447634,#447635); #370488=AXIS2_PLACEMENT_3D('',#570754,#447639,#447640); #370489=AXIS2_PLACEMENT_3D('',#570760,#447644,#447645); #370490=AXIS2_PLACEMENT_3D('',#570763,#447648,#447649); #370491=AXIS2_PLACEMENT_3D('',#570764,#447650,#447651); #370492=AXIS2_PLACEMENT_3D('',#570765,#447652,#447653); #370493=AXIS2_PLACEMENT_3D('',#570774,#447658,#447659); #370494=AXIS2_PLACEMENT_3D('',#570780,#447663,#447664); #370495=AXIS2_PLACEMENT_3D('',#570786,#447668,#447669); #370496=AXIS2_PLACEMENT_3D('',#570789,#447672,#447673); #370497=AXIS2_PLACEMENT_3D('',#570790,#447674,#447675); #370498=AXIS2_PLACEMENT_3D('',#570791,#447676,#447677); #370499=AXIS2_PLACEMENT_3D('',#570800,#447682,#447683); #370500=AXIS2_PLACEMENT_3D('',#570806,#447687,#447688); #370501=AXIS2_PLACEMENT_3D('',#570812,#447692,#447693); #370502=AXIS2_PLACEMENT_3D('',#570815,#447696,#447697); #370503=AXIS2_PLACEMENT_3D('',#570816,#447698,#447699); #370504=AXIS2_PLACEMENT_3D('',#570817,#447700,#447701); #370505=AXIS2_PLACEMENT_3D('',#570826,#447706,#447707); #370506=AXIS2_PLACEMENT_3D('',#570832,#447711,#447712); #370507=AXIS2_PLACEMENT_3D('',#570838,#447716,#447717); #370508=AXIS2_PLACEMENT_3D('',#570841,#447720,#447721); #370509=AXIS2_PLACEMENT_3D('',#570842,#447722,#447723); #370510=AXIS2_PLACEMENT_3D('',#570843,#447724,#447725); #370511=AXIS2_PLACEMENT_3D('',#570852,#447730,#447731); #370512=AXIS2_PLACEMENT_3D('',#570858,#447735,#447736); #370513=AXIS2_PLACEMENT_3D('',#570864,#447740,#447741); #370514=AXIS2_PLACEMENT_3D('',#570867,#447744,#447745); #370515=AXIS2_PLACEMENT_3D('',#570868,#447746,#447747); #370516=AXIS2_PLACEMENT_3D('',#570869,#447748,#447749); #370517=AXIS2_PLACEMENT_3D('',#570878,#447754,#447755); #370518=AXIS2_PLACEMENT_3D('',#570884,#447759,#447760); #370519=AXIS2_PLACEMENT_3D('',#570890,#447764,#447765); #370520=AXIS2_PLACEMENT_3D('',#570893,#447768,#447769); #370521=AXIS2_PLACEMENT_3D('',#570894,#447770,#447771); #370522=AXIS2_PLACEMENT_3D('',#570895,#447772,#447773); #370523=AXIS2_PLACEMENT_3D('',#570897,#447774,#447775); #370524=AXIS2_PLACEMENT_3D('',#570900,#447777,#447778); #370525=AXIS2_PLACEMENT_3D('',#570901,#447779,#447780); #370526=AXIS2_PLACEMENT_3D('',#570903,#447781,#447782); #370527=AXIS2_PLACEMENT_3D('',#570906,#447784,#447785); #370528=AXIS2_PLACEMENT_3D('',#570907,#447786,#447787); #370529=AXIS2_PLACEMENT_3D('',#570916,#447792,#447793); #370530=AXIS2_PLACEMENT_3D('',#570922,#447797,#447798); #370531=AXIS2_PLACEMENT_3D('',#570928,#447802,#447803); #370532=AXIS2_PLACEMENT_3D('',#570931,#447806,#447807); #370533=AXIS2_PLACEMENT_3D('',#570932,#447808,#447809); #370534=AXIS2_PLACEMENT_3D('',#570933,#447810,#447811); #370535=AXIS2_PLACEMENT_3D('',#570942,#447816,#447817); #370536=AXIS2_PLACEMENT_3D('',#570948,#447821,#447822); #370537=AXIS2_PLACEMENT_3D('',#570954,#447826,#447827); #370538=AXIS2_PLACEMENT_3D('',#570957,#447830,#447831); #370539=AXIS2_PLACEMENT_3D('',#570958,#447832,#447833); #370540=AXIS2_PLACEMENT_3D('',#570959,#447834,#447835); #370541=AXIS2_PLACEMENT_3D('',#570961,#447836,#447837); #370542=AXIS2_PLACEMENT_3D('',#570964,#447839,#447840); #370543=AXIS2_PLACEMENT_3D('',#570965,#447841,#447842); #370544=AXIS2_PLACEMENT_3D('',#570967,#447843,#447844); #370545=AXIS2_PLACEMENT_3D('',#570970,#447846,#447847); #370546=AXIS2_PLACEMENT_3D('',#570971,#447848,#447849); #370547=AXIS2_PLACEMENT_3D('',#570980,#447854,#447855); #370548=AXIS2_PLACEMENT_3D('',#570986,#447859,#447860); #370549=AXIS2_PLACEMENT_3D('',#570992,#447864,#447865); #370550=AXIS2_PLACEMENT_3D('',#570995,#447868,#447869); #370551=AXIS2_PLACEMENT_3D('',#570996,#447870,#447871); #370552=AXIS2_PLACEMENT_3D('',#570997,#447872,#447873); #370553=AXIS2_PLACEMENT_3D('',#571006,#447878,#447879); #370554=AXIS2_PLACEMENT_3D('',#571012,#447883,#447884); #370555=AXIS2_PLACEMENT_3D('',#571018,#447888,#447889); #370556=AXIS2_PLACEMENT_3D('',#571021,#447892,#447893); #370557=AXIS2_PLACEMENT_3D('',#571022,#447894,#447895); #370558=AXIS2_PLACEMENT_3D('',#571023,#447896,#447897); #370559=AXIS2_PLACEMENT_3D('',#571032,#447902,#447903); #370560=AXIS2_PLACEMENT_3D('',#571038,#447907,#447908); #370561=AXIS2_PLACEMENT_3D('',#571044,#447912,#447913); #370562=AXIS2_PLACEMENT_3D('',#571047,#447916,#447917); #370563=AXIS2_PLACEMENT_3D('',#571048,#447918,#447919); #370564=AXIS2_PLACEMENT_3D('',#571049,#447920,#447921); #370565=AXIS2_PLACEMENT_3D('',#571058,#447926,#447927); #370566=AXIS2_PLACEMENT_3D('',#571064,#447931,#447932); #370567=AXIS2_PLACEMENT_3D('',#571070,#447936,#447937); #370568=AXIS2_PLACEMENT_3D('',#571073,#447940,#447941); #370569=AXIS2_PLACEMENT_3D('',#571074,#447942,#447943); #370570=AXIS2_PLACEMENT_3D('',#571075,#447944,#447945); #370571=AXIS2_PLACEMENT_3D('',#571084,#447950,#447951); #370572=AXIS2_PLACEMENT_3D('',#571090,#447955,#447956); #370573=AXIS2_PLACEMENT_3D('',#571096,#447960,#447961); #370574=AXIS2_PLACEMENT_3D('',#571099,#447964,#447965); #370575=AXIS2_PLACEMENT_3D('',#571100,#447966,#447967); #370576=AXIS2_PLACEMENT_3D('',#571101,#447968,#447969); #370577=AXIS2_PLACEMENT_3D('',#571110,#447974,#447975); #370578=AXIS2_PLACEMENT_3D('',#571116,#447979,#447980); #370579=AXIS2_PLACEMENT_3D('',#571122,#447984,#447985); #370580=AXIS2_PLACEMENT_3D('',#571125,#447988,#447989); #370581=AXIS2_PLACEMENT_3D('',#571126,#447990,#447991); #370582=AXIS2_PLACEMENT_3D('',#571127,#447992,#447993); #370583=AXIS2_PLACEMENT_3D('',#571136,#447998,#447999); #370584=AXIS2_PLACEMENT_3D('',#571142,#448003,#448004); #370585=AXIS2_PLACEMENT_3D('',#571148,#448008,#448009); #370586=AXIS2_PLACEMENT_3D('',#571151,#448012,#448013); #370587=AXIS2_PLACEMENT_3D('',#571152,#448014,#448015); #370588=AXIS2_PLACEMENT_3D('',#571153,#448016,#448017); #370589=AXIS2_PLACEMENT_3D('',#571162,#448022,#448023); #370590=AXIS2_PLACEMENT_3D('',#571168,#448027,#448028); #370591=AXIS2_PLACEMENT_3D('',#571174,#448032,#448033); #370592=AXIS2_PLACEMENT_3D('',#571177,#448036,#448037); #370593=AXIS2_PLACEMENT_3D('',#571178,#448038,#448039); #370594=AXIS2_PLACEMENT_3D('',#571179,#448040,#448041); #370595=AXIS2_PLACEMENT_3D('',#571188,#448046,#448047); #370596=AXIS2_PLACEMENT_3D('',#571194,#448051,#448052); #370597=AXIS2_PLACEMENT_3D('',#571200,#448056,#448057); #370598=AXIS2_PLACEMENT_3D('',#571203,#448060,#448061); #370599=AXIS2_PLACEMENT_3D('',#571204,#448062,#448063); #370600=AXIS2_PLACEMENT_3D('',#571205,#448064,#448065); #370601=AXIS2_PLACEMENT_3D('',#571214,#448070,#448071); #370602=AXIS2_PLACEMENT_3D('',#571220,#448075,#448076); #370603=AXIS2_PLACEMENT_3D('',#571226,#448080,#448081); #370604=AXIS2_PLACEMENT_3D('',#571229,#448084,#448085); #370605=AXIS2_PLACEMENT_3D('',#571230,#448086,#448087); #370606=AXIS2_PLACEMENT_3D('',#571231,#448088,#448089); #370607=AXIS2_PLACEMENT_3D('',#571240,#448094,#448095); #370608=AXIS2_PLACEMENT_3D('',#571246,#448099,#448100); #370609=AXIS2_PLACEMENT_3D('',#571252,#448104,#448105); #370610=AXIS2_PLACEMENT_3D('',#571255,#448108,#448109); #370611=AXIS2_PLACEMENT_3D('',#571256,#448110,#448111); #370612=AXIS2_PLACEMENT_3D('',#571257,#448112,#448113); #370613=AXIS2_PLACEMENT_3D('',#571266,#448118,#448119); #370614=AXIS2_PLACEMENT_3D('',#571272,#448123,#448124); #370615=AXIS2_PLACEMENT_3D('',#571278,#448128,#448129); #370616=AXIS2_PLACEMENT_3D('',#571281,#448132,#448133); #370617=AXIS2_PLACEMENT_3D('',#571282,#448134,#448135); #370618=AXIS2_PLACEMENT_3D('',#571283,#448136,#448137); #370619=AXIS2_PLACEMENT_3D('',#571292,#448142,#448143); #370620=AXIS2_PLACEMENT_3D('',#571298,#448147,#448148); #370621=AXIS2_PLACEMENT_3D('',#571304,#448152,#448153); #370622=AXIS2_PLACEMENT_3D('',#571307,#448156,#448157); #370623=AXIS2_PLACEMENT_3D('',#571308,#448158,#448159); #370624=AXIS2_PLACEMENT_3D('',#571309,#448160,#448161); #370625=AXIS2_PLACEMENT_3D('',#571318,#448166,#448167); #370626=AXIS2_PLACEMENT_3D('',#571324,#448171,#448172); #370627=AXIS2_PLACEMENT_3D('',#571330,#448176,#448177); #370628=AXIS2_PLACEMENT_3D('',#571333,#448180,#448181); #370629=AXIS2_PLACEMENT_3D('',#571334,#448182,#448183); #370630=AXIS2_PLACEMENT_3D('',#571335,#448184,#448185); #370631=AXIS2_PLACEMENT_3D('',#571344,#448190,#448191); #370632=AXIS2_PLACEMENT_3D('',#571350,#448195,#448196); #370633=AXIS2_PLACEMENT_3D('',#571356,#448200,#448201); #370634=AXIS2_PLACEMENT_3D('',#571359,#448204,#448205); #370635=AXIS2_PLACEMENT_3D('',#571360,#448206,#448207); #370636=AXIS2_PLACEMENT_3D('',#571361,#448208,#448209); #370637=AXIS2_PLACEMENT_3D('',#571370,#448214,#448215); #370638=AXIS2_PLACEMENT_3D('',#571376,#448219,#448220); #370639=AXIS2_PLACEMENT_3D('',#571382,#448224,#448225); #370640=AXIS2_PLACEMENT_3D('',#571385,#448228,#448229); #370641=AXIS2_PLACEMENT_3D('',#571386,#448230,#448231); #370642=AXIS2_PLACEMENT_3D('',#571387,#448232,#448233); #370643=AXIS2_PLACEMENT_3D('',#571396,#448238,#448239); #370644=AXIS2_PLACEMENT_3D('',#571402,#448243,#448244); #370645=AXIS2_PLACEMENT_3D('',#571408,#448248,#448249); #370646=AXIS2_PLACEMENT_3D('',#571411,#448252,#448253); #370647=AXIS2_PLACEMENT_3D('',#571412,#448254,#448255); #370648=AXIS2_PLACEMENT_3D('',#571413,#448256,#448257); #370649=AXIS2_PLACEMENT_3D('',#571415,#448258,#448259); #370650=AXIS2_PLACEMENT_3D('',#571418,#448261,#448262); #370651=AXIS2_PLACEMENT_3D('',#571419,#448263,#448264); #370652=AXIS2_PLACEMENT_3D('',#571428,#448269,#448270); #370653=AXIS2_PLACEMENT_3D('',#571434,#448274,#448275); #370654=AXIS2_PLACEMENT_3D('',#571440,#448279,#448280); #370655=AXIS2_PLACEMENT_3D('',#571443,#448283,#448284); #370656=AXIS2_PLACEMENT_3D('',#571444,#448285,#448286); #370657=AXIS2_PLACEMENT_3D('',#571445,#448287,#448288); #370658=AXIS2_PLACEMENT_3D('',#571454,#448293,#448294); #370659=AXIS2_PLACEMENT_3D('',#571460,#448298,#448299); #370660=AXIS2_PLACEMENT_3D('',#571466,#448303,#448304); #370661=AXIS2_PLACEMENT_3D('',#571469,#448307,#448308); #370662=AXIS2_PLACEMENT_3D('',#571470,#448309,#448310); #370663=AXIS2_PLACEMENT_3D('',#571471,#448311,#448312); #370664=AXIS2_PLACEMENT_3D('',#571480,#448317,#448318); #370665=AXIS2_PLACEMENT_3D('',#571486,#448322,#448323); #370666=AXIS2_PLACEMENT_3D('',#571492,#448327,#448328); #370667=AXIS2_PLACEMENT_3D('',#571495,#448331,#448332); #370668=AXIS2_PLACEMENT_3D('',#571496,#448333,#448334); #370669=AXIS2_PLACEMENT_3D('',#571497,#448335,#448336); #370670=AXIS2_PLACEMENT_3D('',#571506,#448341,#448342); #370671=AXIS2_PLACEMENT_3D('',#571512,#448346,#448347); #370672=AXIS2_PLACEMENT_3D('',#571518,#448351,#448352); #370673=AXIS2_PLACEMENT_3D('',#571521,#448355,#448356); #370674=AXIS2_PLACEMENT_3D('',#571522,#448357,#448358); #370675=AXIS2_PLACEMENT_3D('',#571523,#448359,#448360); #370676=AXIS2_PLACEMENT_3D('',#571532,#448365,#448366); #370677=AXIS2_PLACEMENT_3D('',#571538,#448370,#448371); #370678=AXIS2_PLACEMENT_3D('',#571544,#448375,#448376); #370679=AXIS2_PLACEMENT_3D('',#571547,#448379,#448380); #370680=AXIS2_PLACEMENT_3D('',#571548,#448381,#448382); #370681=AXIS2_PLACEMENT_3D('',#571549,#448383,#448384); #370682=AXIS2_PLACEMENT_3D('',#571551,#448385,#448386); #370683=AXIS2_PLACEMENT_3D('',#571554,#448388,#448389); #370684=AXIS2_PLACEMENT_3D('',#571555,#448390,#448391); #370685=AXIS2_PLACEMENT_3D('',#571557,#448392,#448393); #370686=AXIS2_PLACEMENT_3D('',#571560,#448395,#448396); #370687=AXIS2_PLACEMENT_3D('',#571561,#448397,#448398); #370688=AXIS2_PLACEMENT_3D('',#571563,#448399,#448400); #370689=AXIS2_PLACEMENT_3D('',#571566,#448402,#448403); #370690=AXIS2_PLACEMENT_3D('',#571567,#448404,#448405); #370691=AXIS2_PLACEMENT_3D('',#571569,#448406,#448407); #370692=AXIS2_PLACEMENT_3D('',#571572,#448409,#448410); #370693=AXIS2_PLACEMENT_3D('',#571573,#448411,#448412); #370694=AXIS2_PLACEMENT_3D('',#571575,#448413,#448414); #370695=AXIS2_PLACEMENT_3D('',#571578,#448416,#448417); #370696=AXIS2_PLACEMENT_3D('',#571579,#448418,#448419); #370697=AXIS2_PLACEMENT_3D('',#571581,#448420,#448421); #370698=AXIS2_PLACEMENT_3D('',#571584,#448423,#448424); #370699=AXIS2_PLACEMENT_3D('',#571585,#448425,#448426); #370700=AXIS2_PLACEMENT_3D('',#571587,#448427,#448428); #370701=AXIS2_PLACEMENT_3D('',#571590,#448430,#448431); #370702=AXIS2_PLACEMENT_3D('',#571591,#448432,#448433); #370703=AXIS2_PLACEMENT_3D('',#571593,#448434,#448435); #370704=AXIS2_PLACEMENT_3D('',#571596,#448437,#448438); #370705=AXIS2_PLACEMENT_3D('',#571597,#448439,#448440); #370706=AXIS2_PLACEMENT_3D('',#571599,#448441,#448442); #370707=AXIS2_PLACEMENT_3D('',#571602,#448444,#448445); #370708=AXIS2_PLACEMENT_3D('',#571603,#448446,#448447); #370709=AXIS2_PLACEMENT_3D('',#571605,#448448,#448449); #370710=AXIS2_PLACEMENT_3D('',#571608,#448451,#448452); #370711=AXIS2_PLACEMENT_3D('',#571609,#448453,#448454); #370712=AXIS2_PLACEMENT_3D('',#571611,#448455,#448456); #370713=AXIS2_PLACEMENT_3D('',#571614,#448458,#448459); #370714=AXIS2_PLACEMENT_3D('',#571615,#448460,#448461); #370715=AXIS2_PLACEMENT_3D('',#571617,#448462,#448463); #370716=AXIS2_PLACEMENT_3D('',#571620,#448465,#448466); #370717=AXIS2_PLACEMENT_3D('',#571621,#448467,#448468); #370718=AXIS2_PLACEMENT_3D('',#571623,#448469,#448470); #370719=AXIS2_PLACEMENT_3D('',#571626,#448472,#448473); #370720=AXIS2_PLACEMENT_3D('',#571627,#448474,#448475); #370721=AXIS2_PLACEMENT_3D('',#571629,#448476,#448477); #370722=AXIS2_PLACEMENT_3D('',#571632,#448479,#448480); #370723=AXIS2_PLACEMENT_3D('',#571633,#448481,#448482); #370724=AXIS2_PLACEMENT_3D('',#571635,#448483,#448484); #370725=AXIS2_PLACEMENT_3D('',#571638,#448486,#448487); #370726=AXIS2_PLACEMENT_3D('',#571639,#448488,#448489); #370727=AXIS2_PLACEMENT_3D('',#571648,#448494,#448495); #370728=AXIS2_PLACEMENT_3D('',#571654,#448499,#448500); #370729=AXIS2_PLACEMENT_3D('',#571660,#448504,#448505); #370730=AXIS2_PLACEMENT_3D('',#571663,#448508,#448509); #370731=AXIS2_PLACEMENT_3D('',#571664,#448510,#448511); #370732=AXIS2_PLACEMENT_3D('',#571665,#448512,#448513); #370733=AXIS2_PLACEMENT_3D('',#571674,#448518,#448519); #370734=AXIS2_PLACEMENT_3D('',#571680,#448523,#448524); #370735=AXIS2_PLACEMENT_3D('',#571686,#448528,#448529); #370736=AXIS2_PLACEMENT_3D('',#571689,#448532,#448533); #370737=AXIS2_PLACEMENT_3D('',#571690,#448534,#448535); #370738=AXIS2_PLACEMENT_3D('',#571691,#448536,#448537); #370739=AXIS2_PLACEMENT_3D('',#571700,#448542,#448543); #370740=AXIS2_PLACEMENT_3D('',#571706,#448547,#448548); #370741=AXIS2_PLACEMENT_3D('',#571712,#448552,#448553); #370742=AXIS2_PLACEMENT_3D('',#571715,#448556,#448557); #370743=AXIS2_PLACEMENT_3D('',#571716,#448558,#448559); #370744=AXIS2_PLACEMENT_3D('',#571717,#448560,#448561); #370745=AXIS2_PLACEMENT_3D('',#571726,#448566,#448567); #370746=AXIS2_PLACEMENT_3D('',#571732,#448571,#448572); #370747=AXIS2_PLACEMENT_3D('',#571738,#448576,#448577); #370748=AXIS2_PLACEMENT_3D('',#571741,#448580,#448581); #370749=AXIS2_PLACEMENT_3D('',#571742,#448582,#448583); #370750=AXIS2_PLACEMENT_3D('',#571743,#448584,#448585); #370751=AXIS2_PLACEMENT_3D('',#571745,#448586,#448587); #370752=AXIS2_PLACEMENT_3D('',#571748,#448589,#448590); #370753=AXIS2_PLACEMENT_3D('',#571749,#448591,#448592); #370754=AXIS2_PLACEMENT_3D('',#571758,#448597,#448598); #370755=AXIS2_PLACEMENT_3D('',#571764,#448602,#448603); #370756=AXIS2_PLACEMENT_3D('',#571770,#448607,#448608); #370757=AXIS2_PLACEMENT_3D('',#571773,#448611,#448612); #370758=AXIS2_PLACEMENT_3D('',#571774,#448613,#448614); #370759=AXIS2_PLACEMENT_3D('',#571775,#448615,#448616); #370760=AXIS2_PLACEMENT_3D('',#571784,#448621,#448622); #370761=AXIS2_PLACEMENT_3D('',#571790,#448626,#448627); #370762=AXIS2_PLACEMENT_3D('',#571796,#448631,#448632); #370763=AXIS2_PLACEMENT_3D('',#571799,#448635,#448636); #370764=AXIS2_PLACEMENT_3D('',#571800,#448637,#448638); #370765=AXIS2_PLACEMENT_3D('',#571801,#448639,#448640); #370766=AXIS2_PLACEMENT_3D('',#571810,#448645,#448646); #370767=AXIS2_PLACEMENT_3D('',#571816,#448650,#448651); #370768=AXIS2_PLACEMENT_3D('',#571822,#448655,#448656); #370769=AXIS2_PLACEMENT_3D('',#571825,#448659,#448660); #370770=AXIS2_PLACEMENT_3D('',#571826,#448661,#448662); #370771=AXIS2_PLACEMENT_3D('',#571827,#448663,#448664); #370772=AXIS2_PLACEMENT_3D('',#571836,#448669,#448670); #370773=AXIS2_PLACEMENT_3D('',#571842,#448674,#448675); #370774=AXIS2_PLACEMENT_3D('',#571848,#448679,#448680); #370775=AXIS2_PLACEMENT_3D('',#571851,#448683,#448684); #370776=AXIS2_PLACEMENT_3D('',#571852,#448685,#448686); #370777=AXIS2_PLACEMENT_3D('',#571853,#448687,#448688); #370778=AXIS2_PLACEMENT_3D('',#571862,#448693,#448694); #370779=AXIS2_PLACEMENT_3D('',#571868,#448698,#448699); #370780=AXIS2_PLACEMENT_3D('',#571874,#448703,#448704); #370781=AXIS2_PLACEMENT_3D('',#571877,#448707,#448708); #370782=AXIS2_PLACEMENT_3D('',#571878,#448709,#448710); #370783=AXIS2_PLACEMENT_3D('',#571879,#448711,#448712); #370784=AXIS2_PLACEMENT_3D('',#571881,#448713,#448714); #370785=AXIS2_PLACEMENT_3D('',#571884,#448716,#448717); #370786=AXIS2_PLACEMENT_3D('',#571885,#448718,#448719); #370787=AXIS2_PLACEMENT_3D('',#571887,#448720,#448721); #370788=AXIS2_PLACEMENT_3D('',#571890,#448723,#448724); #370789=AXIS2_PLACEMENT_3D('',#571891,#448725,#448726); #370790=AXIS2_PLACEMENT_3D('',#571893,#448727,#448728); #370791=AXIS2_PLACEMENT_3D('',#571896,#448730,#448731); #370792=AXIS2_PLACEMENT_3D('',#571897,#448732,#448733); #370793=AXIS2_PLACEMENT_3D('',#571899,#448734,#448735); #370794=AXIS2_PLACEMENT_3D('',#571902,#448737,#448738); #370795=AXIS2_PLACEMENT_3D('',#571903,#448739,#448740); #370796=AXIS2_PLACEMENT_3D('',#571905,#448741,#448742); #370797=AXIS2_PLACEMENT_3D('',#571908,#448744,#448745); #370798=AXIS2_PLACEMENT_3D('',#571909,#448746,#448747); #370799=AXIS2_PLACEMENT_3D('',#571911,#448748,#448749); #370800=AXIS2_PLACEMENT_3D('',#571914,#448751,#448752); #370801=AXIS2_PLACEMENT_3D('',#571915,#448753,#448754); #370802=AXIS2_PLACEMENT_3D('',#571917,#448755,#448756); #370803=AXIS2_PLACEMENT_3D('',#571920,#448758,#448759); #370804=AXIS2_PLACEMENT_3D('',#571921,#448760,#448761); #370805=AXIS2_PLACEMENT_3D('',#571923,#448762,#448763); #370806=AXIS2_PLACEMENT_3D('',#571926,#448765,#448766); #370807=AXIS2_PLACEMENT_3D('',#571927,#448767,#448768); #370808=AXIS2_PLACEMENT_3D('',#571929,#448769,#448770); #370809=AXIS2_PLACEMENT_3D('',#571932,#448772,#448773); #370810=AXIS2_PLACEMENT_3D('',#571933,#448774,#448775); #370811=AXIS2_PLACEMENT_3D('',#571935,#448776,#448777); #370812=AXIS2_PLACEMENT_3D('',#571938,#448779,#448780); #370813=AXIS2_PLACEMENT_3D('',#571939,#448781,#448782); #370814=AXIS2_PLACEMENT_3D('',#571941,#448783,#448784); #370815=AXIS2_PLACEMENT_3D('',#571944,#448786,#448787); #370816=AXIS2_PLACEMENT_3D('',#571945,#448788,#448789); #370817=AXIS2_PLACEMENT_3D('',#571947,#448790,#448791); #370818=AXIS2_PLACEMENT_3D('',#571950,#448793,#448794); #370819=AXIS2_PLACEMENT_3D('',#571951,#448795,#448796); #370820=AXIS2_PLACEMENT_3D('',#571953,#448797,#448798); #370821=AXIS2_PLACEMENT_3D('',#571956,#448800,#448801); #370822=AXIS2_PLACEMENT_3D('',#571957,#448802,#448803); #370823=AXIS2_PLACEMENT_3D('',#571959,#448804,#448805); #370824=AXIS2_PLACEMENT_3D('',#571962,#448807,#448808); #370825=AXIS2_PLACEMENT_3D('',#571963,#448809,#448810); #370826=AXIS2_PLACEMENT_3D('',#571965,#448811,#448812); #370827=AXIS2_PLACEMENT_3D('',#571968,#448814,#448815); #370828=AXIS2_PLACEMENT_3D('',#571969,#448816,#448817); #370829=AXIS2_PLACEMENT_3D('',#571978,#448822,#448823); #370830=AXIS2_PLACEMENT_3D('',#571984,#448827,#448828); #370831=AXIS2_PLACEMENT_3D('',#571990,#448832,#448833); #370832=AXIS2_PLACEMENT_3D('',#571993,#448836,#448837); #370833=AXIS2_PLACEMENT_3D('',#571994,#448838,#448839); #370834=AXIS2_PLACEMENT_3D('',#571995,#448840,#448841); #370835=AXIS2_PLACEMENT_3D('',#572004,#448846,#448847); #370836=AXIS2_PLACEMENT_3D('',#572010,#448851,#448852); #370837=AXIS2_PLACEMENT_3D('',#572016,#448856,#448857); #370838=AXIS2_PLACEMENT_3D('',#572019,#448860,#448861); #370839=AXIS2_PLACEMENT_3D('',#572020,#448862,#448863); #370840=AXIS2_PLACEMENT_3D('',#572021,#448864,#448865); #370841=AXIS2_PLACEMENT_3D('',#572030,#448870,#448871); #370842=AXIS2_PLACEMENT_3D('',#572036,#448875,#448876); #370843=AXIS2_PLACEMENT_3D('',#572042,#448880,#448881); #370844=AXIS2_PLACEMENT_3D('',#572045,#448884,#448885); #370845=AXIS2_PLACEMENT_3D('',#572046,#448886,#448887); #370846=AXIS2_PLACEMENT_3D('',#572047,#448888,#448889); #370847=AXIS2_PLACEMENT_3D('',#572056,#448894,#448895); #370848=AXIS2_PLACEMENT_3D('',#572062,#448899,#448900); #370849=AXIS2_PLACEMENT_3D('',#572068,#448904,#448905); #370850=AXIS2_PLACEMENT_3D('',#572071,#448908,#448909); #370851=AXIS2_PLACEMENT_3D('',#572072,#448910,#448911); #370852=AXIS2_PLACEMENT_3D('',#572073,#448912,#448913); #370853=AXIS2_PLACEMENT_3D('',#572075,#448914,#448915); #370854=AXIS2_PLACEMENT_3D('',#572078,#448917,#448918); #370855=AXIS2_PLACEMENT_3D('',#572079,#448919,#448920); #370856=AXIS2_PLACEMENT_3D('',#572088,#448925,#448926); #370857=AXIS2_PLACEMENT_3D('',#572094,#448930,#448931); #370858=AXIS2_PLACEMENT_3D('',#572100,#448935,#448936); #370859=AXIS2_PLACEMENT_3D('',#572103,#448939,#448940); #370860=AXIS2_PLACEMENT_3D('',#572104,#448941,#448942); #370861=AXIS2_PLACEMENT_3D('',#572105,#448943,#448944); #370862=AXIS2_PLACEMENT_3D('',#572107,#448945,#448946); #370863=AXIS2_PLACEMENT_3D('',#572110,#448948,#448949); #370864=AXIS2_PLACEMENT_3D('',#572111,#448950,#448951); #370865=AXIS2_PLACEMENT_3D('',#572120,#448956,#448957); #370866=AXIS2_PLACEMENT_3D('',#572126,#448961,#448962); #370867=AXIS2_PLACEMENT_3D('',#572132,#448966,#448967); #370868=AXIS2_PLACEMENT_3D('',#572135,#448970,#448971); #370869=AXIS2_PLACEMENT_3D('',#572136,#448972,#448973); #370870=AXIS2_PLACEMENT_3D('',#572137,#448974,#448975); #370871=AXIS2_PLACEMENT_3D('',#572139,#448976,#448977); #370872=AXIS2_PLACEMENT_3D('',#572142,#448979,#448980); #370873=AXIS2_PLACEMENT_3D('',#572143,#448981,#448982); #370874=AXIS2_PLACEMENT_3D('',#572152,#448987,#448988); #370875=AXIS2_PLACEMENT_3D('',#572158,#448992,#448993); #370876=AXIS2_PLACEMENT_3D('',#572164,#448997,#448998); #370877=AXIS2_PLACEMENT_3D('',#572167,#449001,#449002); #370878=AXIS2_PLACEMENT_3D('',#572168,#449003,#449004); #370879=AXIS2_PLACEMENT_3D('',#572169,#449005,#449006); #370880=AXIS2_PLACEMENT_3D('',#572171,#449007,#449008); #370881=AXIS2_PLACEMENT_3D('',#572174,#449010,#449011); #370882=AXIS2_PLACEMENT_3D('',#572175,#449012,#449013); #370883=AXIS2_PLACEMENT_3D('',#572177,#449014,#449015); #370884=AXIS2_PLACEMENT_3D('',#572180,#449017,#449018); #370885=AXIS2_PLACEMENT_3D('',#572181,#449019,#449020); #370886=AXIS2_PLACEMENT_3D('',#572183,#449021,#449022); #370887=AXIS2_PLACEMENT_3D('',#572186,#449024,#449025); #370888=AXIS2_PLACEMENT_3D('',#572187,#449026,#449027); #370889=AXIS2_PLACEMENT_3D('',#572189,#449028,#449029); #370890=AXIS2_PLACEMENT_3D('',#572192,#449031,#449032); #370891=AXIS2_PLACEMENT_3D('',#572193,#449033,#449034); #370892=AXIS2_PLACEMENT_3D('',#572195,#449035,#449036); #370893=AXIS2_PLACEMENT_3D('',#572198,#449038,#449039); #370894=AXIS2_PLACEMENT_3D('',#572199,#449040,#449041); #370895=AXIS2_PLACEMENT_3D('',#572201,#449042,#449043); #370896=AXIS2_PLACEMENT_3D('',#572204,#449045,#449046); #370897=AXIS2_PLACEMENT_3D('',#572205,#449047,#449048); #370898=AXIS2_PLACEMENT_3D('',#572207,#449049,#449050); #370899=AXIS2_PLACEMENT_3D('',#572210,#449052,#449053); #370900=AXIS2_PLACEMENT_3D('',#572211,#449054,#449055); #370901=AXIS2_PLACEMENT_3D('',#572213,#449056,#449057); #370902=AXIS2_PLACEMENT_3D('',#572216,#449059,#449060); #370903=AXIS2_PLACEMENT_3D('',#572217,#449061,#449062); #370904=AXIS2_PLACEMENT_3D('',#572219,#449063,#449064); #370905=AXIS2_PLACEMENT_3D('',#572222,#449066,#449067); #370906=AXIS2_PLACEMENT_3D('',#572223,#449068,#449069); #370907=AXIS2_PLACEMENT_3D('',#572225,#449070,#449071); #370908=AXIS2_PLACEMENT_3D('',#572228,#449073,#449074); #370909=AXIS2_PLACEMENT_3D('',#572229,#449075,#449076); #370910=AXIS2_PLACEMENT_3D('',#572231,#449077,#449078); #370911=AXIS2_PLACEMENT_3D('',#572234,#449080,#449081); #370912=AXIS2_PLACEMENT_3D('',#572235,#449082,#449083); #370913=AXIS2_PLACEMENT_3D('',#572237,#449084,#449085); #370914=AXIS2_PLACEMENT_3D('',#572240,#449087,#449088); #370915=AXIS2_PLACEMENT_3D('',#572241,#449089,#449090); #370916=AXIS2_PLACEMENT_3D('',#572243,#449091,#449092); #370917=AXIS2_PLACEMENT_3D('',#572246,#449094,#449095); #370918=AXIS2_PLACEMENT_3D('',#572247,#449096,#449097); #370919=AXIS2_PLACEMENT_3D('',#572249,#449098,#449099); #370920=AXIS2_PLACEMENT_3D('',#572252,#449101,#449102); #370921=AXIS2_PLACEMENT_3D('',#572253,#449103,#449104); #370922=AXIS2_PLACEMENT_3D('',#572255,#449105,#449106); #370923=AXIS2_PLACEMENT_3D('',#572258,#449108,#449109); #370924=AXIS2_PLACEMENT_3D('',#572259,#449110,#449111); #370925=AXIS2_PLACEMENT_3D('',#572268,#449116,#449117); #370926=AXIS2_PLACEMENT_3D('',#572274,#449121,#449122); #370927=AXIS2_PLACEMENT_3D('',#572280,#449126,#449127); #370928=AXIS2_PLACEMENT_3D('',#572283,#449130,#449131); #370929=AXIS2_PLACEMENT_3D('',#572284,#449132,#449133); #370930=AXIS2_PLACEMENT_3D('',#572285,#449134,#449135); #370931=AXIS2_PLACEMENT_3D('',#572294,#449140,#449141); #370932=AXIS2_PLACEMENT_3D('',#572300,#449145,#449146); #370933=AXIS2_PLACEMENT_3D('',#572306,#449150,#449151); #370934=AXIS2_PLACEMENT_3D('',#572309,#449154,#449155); #370935=AXIS2_PLACEMENT_3D('',#572310,#449156,#449157); #370936=AXIS2_PLACEMENT_3D('',#572311,#449158,#449159); #370937=AXIS2_PLACEMENT_3D('',#572320,#449164,#449165); #370938=AXIS2_PLACEMENT_3D('',#572326,#449169,#449170); #370939=AXIS2_PLACEMENT_3D('',#572332,#449174,#449175); #370940=AXIS2_PLACEMENT_3D('',#572335,#449178,#449179); #370941=AXIS2_PLACEMENT_3D('',#572336,#449180,#449181); #370942=AXIS2_PLACEMENT_3D('',#572337,#449182,#449183); #370943=AXIS2_PLACEMENT_3D('',#572346,#449188,#449189); #370944=AXIS2_PLACEMENT_3D('',#572352,#449193,#449194); #370945=AXIS2_PLACEMENT_3D('',#572358,#449198,#449199); #370946=AXIS2_PLACEMENT_3D('',#572361,#449202,#449203); #370947=AXIS2_PLACEMENT_3D('',#572362,#449204,#449205); #370948=AXIS2_PLACEMENT_3D('',#572363,#449206,#449207); #370949=AXIS2_PLACEMENT_3D('',#572372,#449212,#449213); #370950=AXIS2_PLACEMENT_3D('',#572378,#449217,#449218); #370951=AXIS2_PLACEMENT_3D('',#572384,#449222,#449223); #370952=AXIS2_PLACEMENT_3D('',#572387,#449226,#449227); #370953=AXIS2_PLACEMENT_3D('',#572388,#449228,#449229); #370954=AXIS2_PLACEMENT_3D('',#572389,#449230,#449231); #370955=AXIS2_PLACEMENT_3D('',#572398,#449236,#449237); #370956=AXIS2_PLACEMENT_3D('',#572404,#449241,#449242); #370957=AXIS2_PLACEMENT_3D('',#572410,#449246,#449247); #370958=AXIS2_PLACEMENT_3D('',#572413,#449250,#449251); #370959=AXIS2_PLACEMENT_3D('',#572414,#449252,#449253); #370960=AXIS2_PLACEMENT_3D('',#572415,#449254,#449255); #370961=AXIS2_PLACEMENT_3D('',#572424,#449260,#449261); #370962=AXIS2_PLACEMENT_3D('',#572430,#449265,#449266); #370963=AXIS2_PLACEMENT_3D('',#572436,#449270,#449271); #370964=AXIS2_PLACEMENT_3D('',#572439,#449274,#449275); #370965=AXIS2_PLACEMENT_3D('',#572440,#449276,#449277); #370966=AXIS2_PLACEMENT_3D('',#572441,#449278,#449279); #370967=AXIS2_PLACEMENT_3D('',#572450,#449284,#449285); #370968=AXIS2_PLACEMENT_3D('',#572456,#449289,#449290); #370969=AXIS2_PLACEMENT_3D('',#572462,#449294,#449295); #370970=AXIS2_PLACEMENT_3D('',#572465,#449298,#449299); #370971=AXIS2_PLACEMENT_3D('',#572466,#449300,#449301); #370972=AXIS2_PLACEMENT_3D('',#572467,#449302,#449303); #370973=AXIS2_PLACEMENT_3D('',#572476,#449308,#449309); #370974=AXIS2_PLACEMENT_3D('',#572482,#449313,#449314); #370975=AXIS2_PLACEMENT_3D('',#572488,#449318,#449319); #370976=AXIS2_PLACEMENT_3D('',#572491,#449322,#449323); #370977=AXIS2_PLACEMENT_3D('',#572492,#449324,#449325); #370978=AXIS2_PLACEMENT_3D('',#572493,#449326,#449327); #370979=AXIS2_PLACEMENT_3D('',#572502,#449332,#449333); #370980=AXIS2_PLACEMENT_3D('',#572508,#449337,#449338); #370981=AXIS2_PLACEMENT_3D('',#572514,#449342,#449343); #370982=AXIS2_PLACEMENT_3D('',#572517,#449346,#449347); #370983=AXIS2_PLACEMENT_3D('',#572518,#449348,#449349); #370984=AXIS2_PLACEMENT_3D('',#572519,#449350,#449351); #370985=AXIS2_PLACEMENT_3D('',#572528,#449356,#449357); #370986=AXIS2_PLACEMENT_3D('',#572534,#449361,#449362); #370987=AXIS2_PLACEMENT_3D('',#572540,#449366,#449367); #370988=AXIS2_PLACEMENT_3D('',#572543,#449370,#449371); #370989=AXIS2_PLACEMENT_3D('',#572544,#449372,#449373); #370990=AXIS2_PLACEMENT_3D('',#572545,#449374,#449375); #370991=AXIS2_PLACEMENT_3D('',#572554,#449380,#449381); #370992=AXIS2_PLACEMENT_3D('',#572560,#449385,#449386); #370993=AXIS2_PLACEMENT_3D('',#572566,#449390,#449391); #370994=AXIS2_PLACEMENT_3D('',#572569,#449394,#449395); #370995=AXIS2_PLACEMENT_3D('',#572570,#449396,#449397); #370996=AXIS2_PLACEMENT_3D('',#572571,#449398,#449399); #370997=AXIS2_PLACEMENT_3D('',#572580,#449404,#449405); #370998=AXIS2_PLACEMENT_3D('',#572586,#449409,#449410); #370999=AXIS2_PLACEMENT_3D('',#572592,#449414,#449415); #371000=AXIS2_PLACEMENT_3D('',#572595,#449418,#449419); #371001=AXIS2_PLACEMENT_3D('',#572596,#449420,#449421); #371002=AXIS2_PLACEMENT_3D('',#572597,#449422,#449423); #371003=AXIS2_PLACEMENT_3D('',#572606,#449428,#449429); #371004=AXIS2_PLACEMENT_3D('',#572612,#449433,#449434); #371005=AXIS2_PLACEMENT_3D('',#572618,#449438,#449439); #371006=AXIS2_PLACEMENT_3D('',#572621,#449442,#449443); #371007=AXIS2_PLACEMENT_3D('',#572622,#449444,#449445); #371008=AXIS2_PLACEMENT_3D('',#572623,#449446,#449447); #371009=AXIS2_PLACEMENT_3D('',#572632,#449452,#449453); #371010=AXIS2_PLACEMENT_3D('',#572638,#449457,#449458); #371011=AXIS2_PLACEMENT_3D('',#572644,#449462,#449463); #371012=AXIS2_PLACEMENT_3D('',#572647,#449466,#449467); #371013=AXIS2_PLACEMENT_3D('',#572648,#449468,#449469); #371014=AXIS2_PLACEMENT_3D('',#572649,#449470,#449471); #371015=AXIS2_PLACEMENT_3D('',#572658,#449476,#449477); #371016=AXIS2_PLACEMENT_3D('',#572664,#449481,#449482); #371017=AXIS2_PLACEMENT_3D('',#572670,#449486,#449487); #371018=AXIS2_PLACEMENT_3D('',#572673,#449490,#449491); #371019=AXIS2_PLACEMENT_3D('',#572674,#449492,#449493); #371020=AXIS2_PLACEMENT_3D('',#572675,#449494,#449495); #371021=AXIS2_PLACEMENT_3D('',#572684,#449500,#449501); #371022=AXIS2_PLACEMENT_3D('',#572690,#449505,#449506); #371023=AXIS2_PLACEMENT_3D('',#572696,#449510,#449511); #371024=AXIS2_PLACEMENT_3D('',#572699,#449514,#449515); #371025=AXIS2_PLACEMENT_3D('',#572700,#449516,#449517); #371026=AXIS2_PLACEMENT_3D('',#572701,#449518,#449519); #371027=AXIS2_PLACEMENT_3D('',#572710,#449524,#449525); #371028=AXIS2_PLACEMENT_3D('',#572716,#449529,#449530); #371029=AXIS2_PLACEMENT_3D('',#572722,#449534,#449535); #371030=AXIS2_PLACEMENT_3D('',#572725,#449538,#449539); #371031=AXIS2_PLACEMENT_3D('',#572726,#449540,#449541); #371032=AXIS2_PLACEMENT_3D('',#572727,#449542,#449543); #371033=AXIS2_PLACEMENT_3D('',#572736,#449548,#449549); #371034=AXIS2_PLACEMENT_3D('',#572742,#449553,#449554); #371035=AXIS2_PLACEMENT_3D('',#572748,#449558,#449559); #371036=AXIS2_PLACEMENT_3D('',#572751,#449562,#449563); #371037=AXIS2_PLACEMENT_3D('',#572752,#449564,#449565); #371038=AXIS2_PLACEMENT_3D('',#572753,#449566,#449567); #371039=AXIS2_PLACEMENT_3D('',#572762,#449572,#449573); #371040=AXIS2_PLACEMENT_3D('',#572768,#449577,#449578); #371041=AXIS2_PLACEMENT_3D('',#572774,#449582,#449583); #371042=AXIS2_PLACEMENT_3D('',#572777,#449586,#449587); #371043=AXIS2_PLACEMENT_3D('',#572778,#449588,#449589); #371044=AXIS2_PLACEMENT_3D('',#572779,#449590,#449591); #371045=AXIS2_PLACEMENT_3D('',#572788,#449596,#449597); #371046=AXIS2_PLACEMENT_3D('',#572794,#449601,#449602); #371047=AXIS2_PLACEMENT_3D('',#572800,#449606,#449607); #371048=AXIS2_PLACEMENT_3D('',#572803,#449610,#449611); #371049=AXIS2_PLACEMENT_3D('',#572804,#449612,#449613); #371050=AXIS2_PLACEMENT_3D('',#572805,#449614,#449615); #371051=AXIS2_PLACEMENT_3D('',#572807,#449616,#449617); #371052=AXIS2_PLACEMENT_3D('',#572810,#449619,#449620); #371053=AXIS2_PLACEMENT_3D('',#572811,#449621,#449622); #371054=AXIS2_PLACEMENT_3D('',#572813,#449623,#449624); #371055=AXIS2_PLACEMENT_3D('',#572816,#449626,#449627); #371056=AXIS2_PLACEMENT_3D('',#572817,#449628,#449629); #371057=AXIS2_PLACEMENT_3D('',#572819,#449630,#449631); #371058=AXIS2_PLACEMENT_3D('',#572822,#449633,#449634); #371059=AXIS2_PLACEMENT_3D('',#572823,#449635,#449636); #371060=AXIS2_PLACEMENT_3D('',#572825,#449637,#449638); #371061=AXIS2_PLACEMENT_3D('',#572828,#449640,#449641); #371062=AXIS2_PLACEMENT_3D('',#572829,#449642,#449643); #371063=AXIS2_PLACEMENT_3D('',#572831,#449644,#449645); #371064=AXIS2_PLACEMENT_3D('',#572834,#449647,#449648); #371065=AXIS2_PLACEMENT_3D('',#572835,#449649,#449650); #371066=AXIS2_PLACEMENT_3D('',#572837,#449651,#449652); #371067=AXIS2_PLACEMENT_3D('',#572840,#449654,#449655); #371068=AXIS2_PLACEMENT_3D('',#572841,#449656,#449657); #371069=AXIS2_PLACEMENT_3D('',#572843,#449658,#449659); #371070=AXIS2_PLACEMENT_3D('',#572846,#449661,#449662); #371071=AXIS2_PLACEMENT_3D('',#572847,#449663,#449664); #371072=AXIS2_PLACEMENT_3D('',#572849,#449665,#449666); #371073=AXIS2_PLACEMENT_3D('',#572852,#449668,#449669); #371074=AXIS2_PLACEMENT_3D('',#572853,#449670,#449671); #371075=AXIS2_PLACEMENT_3D('',#572855,#449672,#449673); #371076=AXIS2_PLACEMENT_3D('',#572858,#449675,#449676); #371077=AXIS2_PLACEMENT_3D('',#572859,#449677,#449678); #371078=AXIS2_PLACEMENT_3D('',#572861,#449679,#449680); #371079=AXIS2_PLACEMENT_3D('',#572864,#449682,#449683); #371080=AXIS2_PLACEMENT_3D('',#572865,#449684,#449685); #371081=AXIS2_PLACEMENT_3D('',#572867,#449686,#449687); #371082=AXIS2_PLACEMENT_3D('',#572870,#449689,#449690); #371083=AXIS2_PLACEMENT_3D('',#572871,#449691,#449692); #371084=AXIS2_PLACEMENT_3D('',#572873,#449693,#449694); #371085=AXIS2_PLACEMENT_3D('',#572876,#449696,#449697); #371086=AXIS2_PLACEMENT_3D('',#572877,#449698,#449699); #371087=AXIS2_PLACEMENT_3D('',#572879,#449700,#449701); #371088=AXIS2_PLACEMENT_3D('',#572882,#449703,#449704); #371089=AXIS2_PLACEMENT_3D('',#572883,#449705,#449706); #371090=AXIS2_PLACEMENT_3D('',#572885,#449707,#449708); #371091=AXIS2_PLACEMENT_3D('',#572888,#449710,#449711); #371092=AXIS2_PLACEMENT_3D('',#572889,#449712,#449713); #371093=AXIS2_PLACEMENT_3D('',#572891,#449714,#449715); #371094=AXIS2_PLACEMENT_3D('',#572894,#449717,#449718); #371095=AXIS2_PLACEMENT_3D('',#572895,#449719,#449720); #371096=AXIS2_PLACEMENT_3D('',#572904,#449725,#449726); #371097=AXIS2_PLACEMENT_3D('',#572910,#449730,#449731); #371098=AXIS2_PLACEMENT_3D('',#572916,#449735,#449736); #371099=AXIS2_PLACEMENT_3D('',#572919,#449739,#449740); #371100=AXIS2_PLACEMENT_3D('',#572920,#449741,#449742); #371101=AXIS2_PLACEMENT_3D('',#572921,#449743,#449744); #371102=AXIS2_PLACEMENT_3D('',#572930,#449749,#449750); #371103=AXIS2_PLACEMENT_3D('',#572936,#449754,#449755); #371104=AXIS2_PLACEMENT_3D('',#572942,#449759,#449760); #371105=AXIS2_PLACEMENT_3D('',#572945,#449763,#449764); #371106=AXIS2_PLACEMENT_3D('',#572946,#449765,#449766); #371107=AXIS2_PLACEMENT_3D('',#572947,#449767,#449768); #371108=AXIS2_PLACEMENT_3D('',#572956,#449773,#449774); #371109=AXIS2_PLACEMENT_3D('',#572962,#449778,#449779); #371110=AXIS2_PLACEMENT_3D('',#572968,#449783,#449784); #371111=AXIS2_PLACEMENT_3D('',#572971,#449787,#449788); #371112=AXIS2_PLACEMENT_3D('',#572972,#449789,#449790); #371113=AXIS2_PLACEMENT_3D('',#572973,#449791,#449792); #371114=AXIS2_PLACEMENT_3D('',#572982,#449797,#449798); #371115=AXIS2_PLACEMENT_3D('',#572988,#449802,#449803); #371116=AXIS2_PLACEMENT_3D('',#572994,#449807,#449808); #371117=AXIS2_PLACEMENT_3D('',#572997,#449811,#449812); #371118=AXIS2_PLACEMENT_3D('',#572998,#449813,#449814); #371119=AXIS2_PLACEMENT_3D('',#572999,#449815,#449816); #371120=AXIS2_PLACEMENT_3D('',#573008,#449821,#449822); #371121=AXIS2_PLACEMENT_3D('',#573014,#449826,#449827); #371122=AXIS2_PLACEMENT_3D('',#573020,#449831,#449832); #371123=AXIS2_PLACEMENT_3D('',#573023,#449835,#449836); #371124=AXIS2_PLACEMENT_3D('',#573024,#449837,#449838); #371125=AXIS2_PLACEMENT_3D('',#573025,#449839,#449840); #371126=AXIS2_PLACEMENT_3D('',#573034,#449845,#449846); #371127=AXIS2_PLACEMENT_3D('',#573040,#449850,#449851); #371128=AXIS2_PLACEMENT_3D('',#573046,#449855,#449856); #371129=AXIS2_PLACEMENT_3D('',#573049,#449859,#449860); #371130=AXIS2_PLACEMENT_3D('',#573050,#449861,#449862); #371131=AXIS2_PLACEMENT_3D('',#573051,#449863,#449864); #371132=AXIS2_PLACEMENT_3D('',#573060,#449869,#449870); #371133=AXIS2_PLACEMENT_3D('',#573066,#449874,#449875); #371134=AXIS2_PLACEMENT_3D('',#573072,#449879,#449880); #371135=AXIS2_PLACEMENT_3D('',#573075,#449883,#449884); #371136=AXIS2_PLACEMENT_3D('',#573076,#449885,#449886); #371137=AXIS2_PLACEMENT_3D('',#573077,#449887,#449888); #371138=AXIS2_PLACEMENT_3D('',#573079,#449889,#449890); #371139=AXIS2_PLACEMENT_3D('',#573082,#449892,#449893); #371140=AXIS2_PLACEMENT_3D('',#573083,#449894,#449895); #371141=AXIS2_PLACEMENT_3D('',#573092,#449900,#449901); #371142=AXIS2_PLACEMENT_3D('',#573098,#449905,#449906); #371143=AXIS2_PLACEMENT_3D('',#573104,#449910,#449911); #371144=AXIS2_PLACEMENT_3D('',#573107,#449914,#449915); #371145=AXIS2_PLACEMENT_3D('',#573108,#449916,#449917); #371146=AXIS2_PLACEMENT_3D('',#573109,#449918,#449919); #371147=AXIS2_PLACEMENT_3D('',#573111,#449920,#449921); #371148=AXIS2_PLACEMENT_3D('',#573114,#449923,#449924); #371149=AXIS2_PLACEMENT_3D('',#573115,#449925,#449926); #371150=AXIS2_PLACEMENT_3D('',#573117,#449927,#449928); #371151=AXIS2_PLACEMENT_3D('',#573120,#449930,#449931); #371152=AXIS2_PLACEMENT_3D('',#573121,#449932,#449933); #371153=AXIS2_PLACEMENT_3D('',#573130,#449938,#449939); #371154=AXIS2_PLACEMENT_3D('',#573136,#449943,#449944); #371155=AXIS2_PLACEMENT_3D('',#573142,#449948,#449949); #371156=AXIS2_PLACEMENT_3D('',#573145,#449952,#449953); #371157=AXIS2_PLACEMENT_3D('',#573146,#449954,#449955); #371158=AXIS2_PLACEMENT_3D('',#573147,#449956,#449957); #371159=AXIS2_PLACEMENT_3D('',#573149,#449958,#449959); #371160=AXIS2_PLACEMENT_3D('',#573152,#449961,#449962); #371161=AXIS2_PLACEMENT_3D('',#573153,#449963,#449964); #371162=AXIS2_PLACEMENT_3D('',#573155,#449965,#449966); #371163=AXIS2_PLACEMENT_3D('',#573158,#449968,#449969); #371164=AXIS2_PLACEMENT_3D('',#573159,#449970,#449971); #371165=AXIS2_PLACEMENT_3D('',#573168,#449976,#449977); #371166=AXIS2_PLACEMENT_3D('',#573174,#449981,#449982); #371167=AXIS2_PLACEMENT_3D('',#573180,#449986,#449987); #371168=AXIS2_PLACEMENT_3D('',#573183,#449990,#449991); #371169=AXIS2_PLACEMENT_3D('',#573184,#449992,#449993); #371170=AXIS2_PLACEMENT_3D('',#573185,#449994,#449995); #371171=AXIS2_PLACEMENT_3D('',#573187,#449996,#449997); #371172=AXIS2_PLACEMENT_3D('',#573190,#449999,#450000); #371173=AXIS2_PLACEMENT_3D('',#573191,#450001,#450002); #371174=AXIS2_PLACEMENT_3D('',#573200,#450007,#450008); #371175=AXIS2_PLACEMENT_3D('',#573206,#450012,#450013); #371176=AXIS2_PLACEMENT_3D('',#573212,#450017,#450018); #371177=AXIS2_PLACEMENT_3D('',#573215,#450021,#450022); #371178=AXIS2_PLACEMENT_3D('',#573216,#450023,#450024); #371179=AXIS2_PLACEMENT_3D('',#573217,#450025,#450026); #371180=AXIS2_PLACEMENT_3D('',#573218,#450027,#450028); #371181=AXIS2_PLACEMENT_3D('',#573220,#450029,#450030); #371182=AXIS2_PLACEMENT_3D('',#573223,#450032,#450033); #371183=AXIS2_PLACEMENT_3D('',#573224,#450034,#450035); #371184=AXIS2_PLACEMENT_3D('',#573226,#450036,#450037); #371185=AXIS2_PLACEMENT_3D('',#573229,#450039,#450040); #371186=AXIS2_PLACEMENT_3D('',#573230,#450041,#450042); #371187=AXIS2_PLACEMENT_3D('',#573232,#450043,#450044); #371188=AXIS2_PLACEMENT_3D('',#573235,#450046,#450047); #371189=AXIS2_PLACEMENT_3D('',#573236,#450048,#450049); #371190=AXIS2_PLACEMENT_3D('',#573238,#450050,#450051); #371191=AXIS2_PLACEMENT_3D('',#573241,#450053,#450054); #371192=AXIS2_PLACEMENT_3D('',#573242,#450055,#450056); #371193=AXIS2_PLACEMENT_3D('',#573244,#450057,#450058); #371194=AXIS2_PLACEMENT_3D('',#573247,#450060,#450061); #371195=AXIS2_PLACEMENT_3D('',#573248,#450062,#450063); #371196=AXIS2_PLACEMENT_3D('',#573250,#450064,#450065); #371197=AXIS2_PLACEMENT_3D('',#573253,#450067,#450068); #371198=AXIS2_PLACEMENT_3D('',#573254,#450069,#450070); #371199=AXIS2_PLACEMENT_3D('',#573256,#450071,#450072); #371200=AXIS2_PLACEMENT_3D('',#573259,#450074,#450075); #371201=AXIS2_PLACEMENT_3D('',#573260,#450076,#450077); #371202=AXIS2_PLACEMENT_3D('',#573262,#450078,#450079); #371203=AXIS2_PLACEMENT_3D('',#573265,#450081,#450082); #371204=AXIS2_PLACEMENT_3D('',#573266,#450083,#450084); #371205=AXIS2_PLACEMENT_3D('',#573268,#450085,#450086); #371206=AXIS2_PLACEMENT_3D('',#573271,#450088,#450089); #371207=AXIS2_PLACEMENT_3D('',#573272,#450090,#450091); #371208=AXIS2_PLACEMENT_3D('',#573274,#450092,#450093); #371209=AXIS2_PLACEMENT_3D('',#573277,#450095,#450096); #371210=AXIS2_PLACEMENT_3D('',#573278,#450097,#450098); #371211=AXIS2_PLACEMENT_3D('',#573280,#450099,#450100); #371212=AXIS2_PLACEMENT_3D('',#573283,#450102,#450103); #371213=AXIS2_PLACEMENT_3D('',#573284,#450104,#450105); #371214=AXIS2_PLACEMENT_3D('',#573286,#450106,#450107); #371215=AXIS2_PLACEMENT_3D('',#573289,#450109,#450110); #371216=AXIS2_PLACEMENT_3D('',#573290,#450111,#450112); #371217=AXIS2_PLACEMENT_3D('',#573292,#450113,#450114); #371218=AXIS2_PLACEMENT_3D('',#573295,#450116,#450117); #371219=AXIS2_PLACEMENT_3D('',#573296,#450118,#450119); #371220=AXIS2_PLACEMENT_3D('',#573298,#450120,#450121); #371221=AXIS2_PLACEMENT_3D('',#573301,#450123,#450124); #371222=AXIS2_PLACEMENT_3D('',#573302,#450125,#450126); #371223=AXIS2_PLACEMENT_3D('',#573304,#450127,#450128); #371224=AXIS2_PLACEMENT_3D('',#573307,#450130,#450131); #371225=AXIS2_PLACEMENT_3D('',#573308,#450132,#450133); #371226=AXIS2_PLACEMENT_3D('',#573310,#450134,#450135); #371227=AXIS2_PLACEMENT_3D('',#573313,#450137,#450138); #371228=AXIS2_PLACEMENT_3D('',#573314,#450139,#450140); #371229=AXIS2_PLACEMENT_3D('',#573316,#450141,#450142); #371230=AXIS2_PLACEMENT_3D('',#573319,#450144,#450145); #371231=AXIS2_PLACEMENT_3D('',#573320,#450146,#450147); #371232=AXIS2_PLACEMENT_3D('',#573322,#450148,#450149); #371233=AXIS2_PLACEMENT_3D('',#573325,#450151,#450152); #371234=AXIS2_PLACEMENT_3D('',#573326,#450153,#450154); #371235=AXIS2_PLACEMENT_3D('',#573328,#450155,#450156); #371236=AXIS2_PLACEMENT_3D('',#573331,#450158,#450159); #371237=AXIS2_PLACEMENT_3D('',#573332,#450160,#450161); #371238=AXIS2_PLACEMENT_3D('',#573334,#450162,#450163); #371239=AXIS2_PLACEMENT_3D('',#573337,#450165,#450166); #371240=AXIS2_PLACEMENT_3D('',#573338,#450167,#450168); #371241=AXIS2_PLACEMENT_3D('',#573340,#450169,#450170); #371242=AXIS2_PLACEMENT_3D('',#573343,#450172,#450173); #371243=AXIS2_PLACEMENT_3D('',#573344,#450174,#450175); #371244=AXIS2_PLACEMENT_3D('',#573346,#450176,#450177); #371245=AXIS2_PLACEMENT_3D('',#573349,#450179,#450180); #371246=AXIS2_PLACEMENT_3D('',#573350,#450181,#450182); #371247=AXIS2_PLACEMENT_3D('',#573352,#450183,#450184); #371248=AXIS2_PLACEMENT_3D('',#573355,#450186,#450187); #371249=AXIS2_PLACEMENT_3D('',#573356,#450188,#450189); #371250=AXIS2_PLACEMENT_3D('',#573358,#450190,#450191); #371251=AXIS2_PLACEMENT_3D('',#573361,#450193,#450194); #371252=AXIS2_PLACEMENT_3D('',#573362,#450195,#450196); #371253=AXIS2_PLACEMENT_3D('',#573364,#450197,#450198); #371254=AXIS2_PLACEMENT_3D('',#573367,#450200,#450201); #371255=AXIS2_PLACEMENT_3D('',#573368,#450202,#450203); #371256=AXIS2_PLACEMENT_3D('',#573370,#450204,#450205); #371257=AXIS2_PLACEMENT_3D('',#573373,#450207,#450208); #371258=AXIS2_PLACEMENT_3D('',#573374,#450209,#450210); #371259=AXIS2_PLACEMENT_3D('',#573376,#450211,#450212); #371260=AXIS2_PLACEMENT_3D('',#573379,#450214,#450215); #371261=AXIS2_PLACEMENT_3D('',#573380,#450216,#450217); #371262=AXIS2_PLACEMENT_3D('',#573382,#450218,#450219); #371263=AXIS2_PLACEMENT_3D('',#573385,#450221,#450222); #371264=AXIS2_PLACEMENT_3D('',#573386,#450223,#450224); #371265=AXIS2_PLACEMENT_3D('',#573388,#450225,#450226); #371266=AXIS2_PLACEMENT_3D('',#573391,#450228,#450229); #371267=AXIS2_PLACEMENT_3D('',#573392,#450230,#450231); #371268=AXIS2_PLACEMENT_3D('',#573394,#450232,#450233); #371269=AXIS2_PLACEMENT_3D('',#573397,#450235,#450236); #371270=AXIS2_PLACEMENT_3D('',#573398,#450237,#450238); #371271=AXIS2_PLACEMENT_3D('',#573400,#450239,#450240); #371272=AXIS2_PLACEMENT_3D('',#573403,#450242,#450243); #371273=AXIS2_PLACEMENT_3D('',#573404,#450244,#450245); #371274=AXIS2_PLACEMENT_3D('',#573406,#450246,#450247); #371275=AXIS2_PLACEMENT_3D('',#573409,#450249,#450250); #371276=AXIS2_PLACEMENT_3D('',#573410,#450251,#450252); #371277=AXIS2_PLACEMENT_3D('',#573412,#450253,#450254); #371278=AXIS2_PLACEMENT_3D('',#573415,#450256,#450257); #371279=AXIS2_PLACEMENT_3D('',#573416,#450258,#450259); #371280=AXIS2_PLACEMENT_3D('',#573418,#450260,#450261); #371281=AXIS2_PLACEMENT_3D('',#573421,#450263,#450264); #371282=AXIS2_PLACEMENT_3D('',#573422,#450265,#450266); #371283=AXIS2_PLACEMENT_3D('',#573424,#450267,#450268); #371284=AXIS2_PLACEMENT_3D('',#573427,#450270,#450271); #371285=AXIS2_PLACEMENT_3D('',#573428,#450272,#450273); #371286=AXIS2_PLACEMENT_3D('',#573430,#450274,#450275); #371287=AXIS2_PLACEMENT_3D('',#573433,#450277,#450278); #371288=AXIS2_PLACEMENT_3D('',#573434,#450279,#450280); #371289=AXIS2_PLACEMENT_3D('',#573436,#450281,#450282); #371290=AXIS2_PLACEMENT_3D('',#573439,#450284,#450285); #371291=AXIS2_PLACEMENT_3D('',#573440,#450286,#450287); #371292=AXIS2_PLACEMENT_3D('',#573442,#450288,#450289); #371293=AXIS2_PLACEMENT_3D('',#573445,#450291,#450292); #371294=AXIS2_PLACEMENT_3D('',#573446,#450293,#450294); #371295=AXIS2_PLACEMENT_3D('',#573448,#450295,#450296); #371296=AXIS2_PLACEMENT_3D('',#573451,#450298,#450299); #371297=AXIS2_PLACEMENT_3D('',#573452,#450300,#450301); #371298=AXIS2_PLACEMENT_3D('',#573454,#450302,#450303); #371299=AXIS2_PLACEMENT_3D('',#573457,#450305,#450306); #371300=AXIS2_PLACEMENT_3D('',#573458,#450307,#450308); #371301=AXIS2_PLACEMENT_3D('',#573460,#450309,#450310); #371302=AXIS2_PLACEMENT_3D('',#573463,#450312,#450313); #371303=AXIS2_PLACEMENT_3D('',#573464,#450314,#450315); #371304=AXIS2_PLACEMENT_3D('',#573466,#450316,#450317); #371305=AXIS2_PLACEMENT_3D('',#573469,#450319,#450320); #371306=AXIS2_PLACEMENT_3D('',#573470,#450321,#450322); #371307=AXIS2_PLACEMENT_3D('',#573472,#450323,#450324); #371308=AXIS2_PLACEMENT_3D('',#573475,#450326,#450327); #371309=AXIS2_PLACEMENT_3D('',#573476,#450328,#450329); #371310=AXIS2_PLACEMENT_3D('',#573478,#450330,#450331); #371311=AXIS2_PLACEMENT_3D('',#573481,#450333,#450334); #371312=AXIS2_PLACEMENT_3D('',#573482,#450335,#450336); #371313=AXIS2_PLACEMENT_3D('',#573484,#450337,#450338); #371314=AXIS2_PLACEMENT_3D('',#573487,#450340,#450341); #371315=AXIS2_PLACEMENT_3D('',#573488,#450342,#450343); #371316=AXIS2_PLACEMENT_3D('',#573490,#450344,#450345); #371317=AXIS2_PLACEMENT_3D('',#573493,#450347,#450348); #371318=AXIS2_PLACEMENT_3D('',#573494,#450349,#450350); #371319=AXIS2_PLACEMENT_3D('',#573496,#450351,#450352); #371320=AXIS2_PLACEMENT_3D('',#573499,#450354,#450355); #371321=AXIS2_PLACEMENT_3D('',#573500,#450356,#450357); #371322=AXIS2_PLACEMENT_3D('',#573502,#450358,#450359); #371323=AXIS2_PLACEMENT_3D('',#573505,#450361,#450362); #371324=AXIS2_PLACEMENT_3D('',#573506,#450363,#450364); #371325=AXIS2_PLACEMENT_3D('',#573508,#450365,#450366); #371326=AXIS2_PLACEMENT_3D('',#573511,#450368,#450369); #371327=AXIS2_PLACEMENT_3D('',#573512,#450370,#450371); #371328=AXIS2_PLACEMENT_3D('',#573514,#450372,#450373); #371329=AXIS2_PLACEMENT_3D('',#573517,#450375,#450376); #371330=AXIS2_PLACEMENT_3D('',#573518,#450377,#450378); #371331=AXIS2_PLACEMENT_3D('',#573520,#450379,#450380); #371332=AXIS2_PLACEMENT_3D('',#573523,#450382,#450383); #371333=AXIS2_PLACEMENT_3D('',#573524,#450384,#450385); #371334=AXIS2_PLACEMENT_3D('',#573526,#450386,#450387); #371335=AXIS2_PLACEMENT_3D('',#573529,#450389,#450390); #371336=AXIS2_PLACEMENT_3D('',#573530,#450391,#450392); #371337=AXIS2_PLACEMENT_3D('',#573532,#450393,#450394); #371338=AXIS2_PLACEMENT_3D('',#573535,#450396,#450397); #371339=AXIS2_PLACEMENT_3D('',#573536,#450398,#450399); #371340=AXIS2_PLACEMENT_3D('',#573538,#450400,#450401); #371341=AXIS2_PLACEMENT_3D('',#573541,#450403,#450404); #371342=AXIS2_PLACEMENT_3D('',#573542,#450405,#450406); #371343=AXIS2_PLACEMENT_3D('',#573544,#450407,#450408); #371344=AXIS2_PLACEMENT_3D('',#573547,#450410,#450411); #371345=AXIS2_PLACEMENT_3D('',#573548,#450412,#450413); #371346=AXIS2_PLACEMENT_3D('',#573550,#450414,#450415); #371347=AXIS2_PLACEMENT_3D('',#573553,#450417,#450418); #371348=AXIS2_PLACEMENT_3D('',#573554,#450419,#450420); #371349=AXIS2_PLACEMENT_3D('',#573556,#450421,#450422); #371350=AXIS2_PLACEMENT_3D('',#573559,#450424,#450425); #371351=AXIS2_PLACEMENT_3D('',#573560,#450426,#450427); #371352=AXIS2_PLACEMENT_3D('',#573562,#450428,#450429); #371353=AXIS2_PLACEMENT_3D('',#573565,#450431,#450432); #371354=AXIS2_PLACEMENT_3D('',#573566,#450433,#450434); #371355=AXIS2_PLACEMENT_3D('',#573568,#450435,#450436); #371356=AXIS2_PLACEMENT_3D('',#573571,#450438,#450439); #371357=AXIS2_PLACEMENT_3D('',#573572,#450440,#450441); #371358=AXIS2_PLACEMENT_3D('',#573574,#450442,#450443); #371359=AXIS2_PLACEMENT_3D('',#573577,#450445,#450446); #371360=AXIS2_PLACEMENT_3D('',#573578,#450447,#450448); #371361=AXIS2_PLACEMENT_3D('',#573580,#450449,#450450); #371362=AXIS2_PLACEMENT_3D('',#573583,#450452,#450453); #371363=AXIS2_PLACEMENT_3D('',#573584,#450454,#450455); #371364=AXIS2_PLACEMENT_3D('',#573586,#450456,#450457); #371365=AXIS2_PLACEMENT_3D('',#573589,#450459,#450460); #371366=AXIS2_PLACEMENT_3D('',#573590,#450461,#450462); #371367=AXIS2_PLACEMENT_3D('',#573592,#450463,#450464); #371368=AXIS2_PLACEMENT_3D('',#573595,#450466,#450467); #371369=AXIS2_PLACEMENT_3D('',#573596,#450468,#450469); #371370=AXIS2_PLACEMENT_3D('',#573598,#450470,#450471); #371371=AXIS2_PLACEMENT_3D('',#573601,#450473,#450474); #371372=AXIS2_PLACEMENT_3D('',#573602,#450475,#450476); #371373=AXIS2_PLACEMENT_3D('',#573604,#450477,#450478); #371374=AXIS2_PLACEMENT_3D('',#573607,#450480,#450481); #371375=AXIS2_PLACEMENT_3D('',#573608,#450482,#450483); #371376=AXIS2_PLACEMENT_3D('',#573610,#450484,#450485); #371377=AXIS2_PLACEMENT_3D('',#573613,#450487,#450488); #371378=AXIS2_PLACEMENT_3D('',#573614,#450489,#450490); #371379=AXIS2_PLACEMENT_3D('',#573616,#450491,#450492); #371380=AXIS2_PLACEMENT_3D('',#573619,#450494,#450495); #371381=AXIS2_PLACEMENT_3D('',#573620,#450496,#450497); #371382=AXIS2_PLACEMENT_3D('',#573622,#450498,#450499); #371383=AXIS2_PLACEMENT_3D('',#573625,#450501,#450502); #371384=AXIS2_PLACEMENT_3D('',#573626,#450503,#450504); #371385=AXIS2_PLACEMENT_3D('',#573628,#450505,#450506); #371386=AXIS2_PLACEMENT_3D('',#573631,#450508,#450509); #371387=AXIS2_PLACEMENT_3D('',#573632,#450510,#450511); #371388=AXIS2_PLACEMENT_3D('',#573634,#450512,#450513); #371389=AXIS2_PLACEMENT_3D('',#573637,#450515,#450516); #371390=AXIS2_PLACEMENT_3D('',#573638,#450517,#450518); #371391=AXIS2_PLACEMENT_3D('',#573640,#450519,#450520); #371392=AXIS2_PLACEMENT_3D('',#573643,#450522,#450523); #371393=AXIS2_PLACEMENT_3D('',#573644,#450524,#450525); #371394=AXIS2_PLACEMENT_3D('',#573646,#450526,#450527); #371395=AXIS2_PLACEMENT_3D('',#573649,#450529,#450530); #371396=AXIS2_PLACEMENT_3D('',#573650,#450531,#450532); #371397=AXIS2_PLACEMENT_3D('',#573652,#450533,#450534); #371398=AXIS2_PLACEMENT_3D('',#573655,#450536,#450537); #371399=AXIS2_PLACEMENT_3D('',#573656,#450538,#450539); #371400=AXIS2_PLACEMENT_3D('',#573658,#450540,#450541); #371401=AXIS2_PLACEMENT_3D('',#573661,#450543,#450544); #371402=AXIS2_PLACEMENT_3D('',#573662,#450545,#450546); #371403=AXIS2_PLACEMENT_3D('',#573664,#450547,#450548); #371404=AXIS2_PLACEMENT_3D('',#573667,#450550,#450551); #371405=AXIS2_PLACEMENT_3D('',#573668,#450552,#450553); #371406=AXIS2_PLACEMENT_3D('',#573670,#450554,#450555); #371407=AXIS2_PLACEMENT_3D('',#573673,#450557,#450558); #371408=AXIS2_PLACEMENT_3D('',#573674,#450559,#450560); #371409=AXIS2_PLACEMENT_3D('',#573676,#450561,#450562); #371410=AXIS2_PLACEMENT_3D('',#573679,#450564,#450565); #371411=AXIS2_PLACEMENT_3D('',#573680,#450566,#450567); #371412=AXIS2_PLACEMENT_3D('',#573682,#450568,#450569); #371413=AXIS2_PLACEMENT_3D('',#573685,#450571,#450572); #371414=AXIS2_PLACEMENT_3D('',#573686,#450573,#450574); #371415=AXIS2_PLACEMENT_3D('',#573688,#450575,#450576); #371416=AXIS2_PLACEMENT_3D('',#573691,#450578,#450579); #371417=AXIS2_PLACEMENT_3D('',#573692,#450580,#450581); #371418=AXIS2_PLACEMENT_3D('',#573694,#450582,#450583); #371419=AXIS2_PLACEMENT_3D('',#573697,#450585,#450586); #371420=AXIS2_PLACEMENT_3D('',#573698,#450587,#450588); #371421=AXIS2_PLACEMENT_3D('',#573700,#450589,#450590); #371422=AXIS2_PLACEMENT_3D('',#573703,#450592,#450593); #371423=AXIS2_PLACEMENT_3D('',#573704,#450594,#450595); #371424=AXIS2_PLACEMENT_3D('',#573706,#450596,#450597); #371425=AXIS2_PLACEMENT_3D('',#573709,#450599,#450600); #371426=AXIS2_PLACEMENT_3D('',#573710,#450601,#450602); #371427=AXIS2_PLACEMENT_3D('',#573712,#450603,#450604); #371428=AXIS2_PLACEMENT_3D('',#573715,#450606,#450607); #371429=AXIS2_PLACEMENT_3D('',#573716,#450608,#450609); #371430=AXIS2_PLACEMENT_3D('',#573718,#450610,#450611); #371431=AXIS2_PLACEMENT_3D('',#573721,#450613,#450614); #371432=AXIS2_PLACEMENT_3D('',#573722,#450615,#450616); #371433=AXIS2_PLACEMENT_3D('',#573724,#450617,#450618); #371434=AXIS2_PLACEMENT_3D('',#573727,#450620,#450621); #371435=AXIS2_PLACEMENT_3D('',#573728,#450622,#450623); #371436=AXIS2_PLACEMENT_3D('',#573730,#450624,#450625); #371437=AXIS2_PLACEMENT_3D('',#573733,#450627,#450628); #371438=AXIS2_PLACEMENT_3D('',#573734,#450629,#450630); #371439=AXIS2_PLACEMENT_3D('',#573736,#450631,#450632); #371440=AXIS2_PLACEMENT_3D('',#573739,#450634,#450635); #371441=AXIS2_PLACEMENT_3D('',#573740,#450636,#450637); #371442=AXIS2_PLACEMENT_3D('',#573742,#450638,#450639); #371443=AXIS2_PLACEMENT_3D('',#573745,#450641,#450642); #371444=AXIS2_PLACEMENT_3D('',#573746,#450643,#450644); #371445=AXIS2_PLACEMENT_3D('',#573748,#450645,#450646); #371446=AXIS2_PLACEMENT_3D('',#573751,#450648,#450649); #371447=AXIS2_PLACEMENT_3D('',#573752,#450650,#450651); #371448=AXIS2_PLACEMENT_3D('',#573754,#450652,#450653); #371449=AXIS2_PLACEMENT_3D('',#573757,#450655,#450656); #371450=AXIS2_PLACEMENT_3D('',#573758,#450657,#450658); #371451=AXIS2_PLACEMENT_3D('',#573760,#450659,#450660); #371452=AXIS2_PLACEMENT_3D('',#573763,#450662,#450663); #371453=AXIS2_PLACEMENT_3D('',#573764,#450664,#450665); #371454=AXIS2_PLACEMENT_3D('',#573766,#450666,#450667); #371455=AXIS2_PLACEMENT_3D('',#573769,#450669,#450670); #371456=AXIS2_PLACEMENT_3D('',#573770,#450671,#450672); #371457=AXIS2_PLACEMENT_3D('',#573772,#450673,#450674); #371458=AXIS2_PLACEMENT_3D('',#573775,#450676,#450677); #371459=AXIS2_PLACEMENT_3D('',#573776,#450678,#450679); #371460=AXIS2_PLACEMENT_3D('',#573778,#450680,#450681); #371461=AXIS2_PLACEMENT_3D('',#573781,#450683,#450684); #371462=AXIS2_PLACEMENT_3D('',#573782,#450685,#450686); #371463=AXIS2_PLACEMENT_3D('',#573784,#450687,#450688); #371464=AXIS2_PLACEMENT_3D('',#573787,#450690,#450691); #371465=AXIS2_PLACEMENT_3D('',#573788,#450692,#450693); #371466=AXIS2_PLACEMENT_3D('',#573790,#450694,#450695); #371467=AXIS2_PLACEMENT_3D('',#573793,#450697,#450698); #371468=AXIS2_PLACEMENT_3D('',#573794,#450699,#450700); #371469=AXIS2_PLACEMENT_3D('',#573796,#450701,#450702); #371470=AXIS2_PLACEMENT_3D('',#573799,#450704,#450705); #371471=AXIS2_PLACEMENT_3D('',#573800,#450706,#450707); #371472=AXIS2_PLACEMENT_3D('',#573802,#450708,#450709); #371473=AXIS2_PLACEMENT_3D('',#573805,#450711,#450712); #371474=AXIS2_PLACEMENT_3D('',#573806,#450713,#450714); #371475=AXIS2_PLACEMENT_3D('',#573808,#450715,#450716); #371476=AXIS2_PLACEMENT_3D('',#573811,#450718,#450719); #371477=AXIS2_PLACEMENT_3D('',#573812,#450720,#450721); #371478=AXIS2_PLACEMENT_3D('',#573814,#450722,#450723); #371479=AXIS2_PLACEMENT_3D('',#573817,#450725,#450726); #371480=AXIS2_PLACEMENT_3D('',#573818,#450727,#450728); #371481=AXIS2_PLACEMENT_3D('',#573820,#450729,#450730); #371482=AXIS2_PLACEMENT_3D('',#573823,#450732,#450733); #371483=AXIS2_PLACEMENT_3D('',#573824,#450734,#450735); #371484=AXIS2_PLACEMENT_3D('',#573826,#450736,#450737); #371485=AXIS2_PLACEMENT_3D('',#573829,#450739,#450740); #371486=AXIS2_PLACEMENT_3D('',#573830,#450741,#450742); #371487=AXIS2_PLACEMENT_3D('',#573832,#450743,#450744); #371488=AXIS2_PLACEMENT_3D('',#573835,#450746,#450747); #371489=AXIS2_PLACEMENT_3D('',#573836,#450748,#450749); #371490=AXIS2_PLACEMENT_3D('',#573838,#450750,#450751); #371491=AXIS2_PLACEMENT_3D('',#573841,#450753,#450754); #371492=AXIS2_PLACEMENT_3D('',#573842,#450755,#450756); #371493=AXIS2_PLACEMENT_3D('',#573844,#450757,#450758); #371494=AXIS2_PLACEMENT_3D('',#573847,#450760,#450761); #371495=AXIS2_PLACEMENT_3D('',#573848,#450762,#450763); #371496=AXIS2_PLACEMENT_3D('',#573850,#450764,#450765); #371497=AXIS2_PLACEMENT_3D('',#573853,#450767,#450768); #371498=AXIS2_PLACEMENT_3D('',#573854,#450769,#450770); #371499=AXIS2_PLACEMENT_3D('',#573856,#450771,#450772); #371500=AXIS2_PLACEMENT_3D('',#573859,#450774,#450775); #371501=AXIS2_PLACEMENT_3D('',#573860,#450776,#450777); #371502=AXIS2_PLACEMENT_3D('',#573862,#450778,#450779); #371503=AXIS2_PLACEMENT_3D('',#573865,#450781,#450782); #371504=AXIS2_PLACEMENT_3D('',#573866,#450783,#450784); #371505=AXIS2_PLACEMENT_3D('',#573868,#450785,#450786); #371506=AXIS2_PLACEMENT_3D('',#573871,#450788,#450789); #371507=AXIS2_PLACEMENT_3D('',#573872,#450790,#450791); #371508=AXIS2_PLACEMENT_3D('',#573874,#450792,#450793); #371509=AXIS2_PLACEMENT_3D('',#573877,#450795,#450796); #371510=AXIS2_PLACEMENT_3D('',#573878,#450797,#450798); #371511=AXIS2_PLACEMENT_3D('',#573880,#450799,#450800); #371512=AXIS2_PLACEMENT_3D('',#573883,#450802,#450803); #371513=AXIS2_PLACEMENT_3D('',#573884,#450804,#450805); #371514=AXIS2_PLACEMENT_3D('',#573886,#450806,#450807); #371515=AXIS2_PLACEMENT_3D('',#573889,#450809,#450810); #371516=AXIS2_PLACEMENT_3D('',#573890,#450811,#450812); #371517=AXIS2_PLACEMENT_3D('',#573892,#450813,#450814); #371518=AXIS2_PLACEMENT_3D('',#573895,#450816,#450817); #371519=AXIS2_PLACEMENT_3D('',#573896,#450818,#450819); #371520=AXIS2_PLACEMENT_3D('',#573898,#450820,#450821); #371521=AXIS2_PLACEMENT_3D('',#573901,#450823,#450824); #371522=AXIS2_PLACEMENT_3D('',#573902,#450825,#450826); #371523=AXIS2_PLACEMENT_3D('',#573904,#450827,#450828); #371524=AXIS2_PLACEMENT_3D('',#573907,#450830,#450831); #371525=AXIS2_PLACEMENT_3D('',#573908,#450832,#450833); #371526=AXIS2_PLACEMENT_3D('',#573910,#450834,#450835); #371527=AXIS2_PLACEMENT_3D('',#573913,#450837,#450838); #371528=AXIS2_PLACEMENT_3D('',#573914,#450839,#450840); #371529=AXIS2_PLACEMENT_3D('',#573916,#450841,#450842); #371530=AXIS2_PLACEMENT_3D('',#573919,#450844,#450845); #371531=AXIS2_PLACEMENT_3D('',#573920,#450846,#450847); #371532=AXIS2_PLACEMENT_3D('',#573922,#450848,#450849); #371533=AXIS2_PLACEMENT_3D('',#573925,#450851,#450852); #371534=AXIS2_PLACEMENT_3D('',#573926,#450853,#450854); #371535=AXIS2_PLACEMENT_3D('',#573928,#450855,#450856); #371536=AXIS2_PLACEMENT_3D('',#573931,#450858,#450859); #371537=AXIS2_PLACEMENT_3D('',#573932,#450860,#450861); #371538=AXIS2_PLACEMENT_3D('',#573934,#450862,#450863); #371539=AXIS2_PLACEMENT_3D('',#573937,#450865,#450866); #371540=AXIS2_PLACEMENT_3D('',#573938,#450867,#450868); #371541=AXIS2_PLACEMENT_3D('',#573940,#450869,#450870); #371542=AXIS2_PLACEMENT_3D('',#573943,#450872,#450873); #371543=AXIS2_PLACEMENT_3D('',#573944,#450874,#450875); #371544=AXIS2_PLACEMENT_3D('',#573946,#450876,#450877); #371545=AXIS2_PLACEMENT_3D('',#573949,#450879,#450880); #371546=AXIS2_PLACEMENT_3D('',#573950,#450881,#450882); #371547=AXIS2_PLACEMENT_3D('',#573952,#450883,#450884); #371548=AXIS2_PLACEMENT_3D('',#573955,#450886,#450887); #371549=AXIS2_PLACEMENT_3D('',#573956,#450888,#450889); #371550=AXIS2_PLACEMENT_3D('',#573958,#450890,#450891); #371551=AXIS2_PLACEMENT_3D('',#573961,#450893,#450894); #371552=AXIS2_PLACEMENT_3D('',#573962,#450895,#450896); #371553=AXIS2_PLACEMENT_3D('',#573964,#450897,#450898); #371554=AXIS2_PLACEMENT_3D('',#573967,#450900,#450901); #371555=AXIS2_PLACEMENT_3D('',#573968,#450902,#450903); #371556=AXIS2_PLACEMENT_3D('',#573970,#450904,#450905); #371557=AXIS2_PLACEMENT_3D('',#573973,#450907,#450908); #371558=AXIS2_PLACEMENT_3D('',#573974,#450909,#450910); #371559=AXIS2_PLACEMENT_3D('',#573976,#450911,#450912); #371560=AXIS2_PLACEMENT_3D('',#573979,#450914,#450915); #371561=AXIS2_PLACEMENT_3D('',#573980,#450916,#450917); #371562=AXIS2_PLACEMENT_3D('',#573982,#450918,#450919); #371563=AXIS2_PLACEMENT_3D('',#573985,#450921,#450922); #371564=AXIS2_PLACEMENT_3D('',#573986,#450923,#450924); #371565=AXIS2_PLACEMENT_3D('',#573988,#450925,#450926); #371566=AXIS2_PLACEMENT_3D('',#573991,#450928,#450929); #371567=AXIS2_PLACEMENT_3D('',#573992,#450930,#450931); #371568=AXIS2_PLACEMENT_3D('',#573994,#450932,#450933); #371569=AXIS2_PLACEMENT_3D('',#573997,#450935,#450936); #371570=AXIS2_PLACEMENT_3D('',#573998,#450937,#450938); #371571=AXIS2_PLACEMENT_3D('',#574000,#450939,#450940); #371572=AXIS2_PLACEMENT_3D('',#574003,#450942,#450943); #371573=AXIS2_PLACEMENT_3D('',#574004,#450944,#450945); #371574=AXIS2_PLACEMENT_3D('',#574006,#450946,#450947); #371575=AXIS2_PLACEMENT_3D('',#574009,#450949,#450950); #371576=AXIS2_PLACEMENT_3D('',#574010,#450951,#450952); #371577=AXIS2_PLACEMENT_3D('',#574012,#450953,#450954); #371578=AXIS2_PLACEMENT_3D('',#574015,#450956,#450957); #371579=AXIS2_PLACEMENT_3D('',#574016,#450958,#450959); #371580=AXIS2_PLACEMENT_3D('',#574018,#450960,#450961); #371581=AXIS2_PLACEMENT_3D('',#574021,#450963,#450964); #371582=AXIS2_PLACEMENT_3D('',#574022,#450965,#450966); #371583=AXIS2_PLACEMENT_3D('',#574024,#450967,#450968); #371584=AXIS2_PLACEMENT_3D('',#574027,#450970,#450971); #371585=AXIS2_PLACEMENT_3D('',#574028,#450972,#450973); #371586=AXIS2_PLACEMENT_3D('',#574030,#450974,#450975); #371587=AXIS2_PLACEMENT_3D('',#574033,#450977,#450978); #371588=AXIS2_PLACEMENT_3D('',#574034,#450979,#450980); #371589=AXIS2_PLACEMENT_3D('',#574036,#450981,#450982); #371590=AXIS2_PLACEMENT_3D('',#574039,#450984,#450985); #371591=AXIS2_PLACEMENT_3D('',#574040,#450986,#450987); #371592=AXIS2_PLACEMENT_3D('',#574042,#450988,#450989); #371593=AXIS2_PLACEMENT_3D('',#574045,#450991,#450992); #371594=AXIS2_PLACEMENT_3D('',#574046,#450993,#450994); #371595=AXIS2_PLACEMENT_3D('',#574048,#450995,#450996); #371596=AXIS2_PLACEMENT_3D('',#574051,#450998,#450999); #371597=AXIS2_PLACEMENT_3D('',#574052,#451000,#451001); #371598=AXIS2_PLACEMENT_3D('',#574054,#451002,#451003); #371599=AXIS2_PLACEMENT_3D('',#574057,#451005,#451006); #371600=AXIS2_PLACEMENT_3D('',#574058,#451007,#451008); #371601=AXIS2_PLACEMENT_3D('',#574060,#451009,#451010); #371602=AXIS2_PLACEMENT_3D('',#574063,#451012,#451013); #371603=AXIS2_PLACEMENT_3D('',#574064,#451014,#451015); #371604=AXIS2_PLACEMENT_3D('',#574066,#451016,#451017); #371605=AXIS2_PLACEMENT_3D('',#574069,#451019,#451020); #371606=AXIS2_PLACEMENT_3D('',#574070,#451021,#451022); #371607=AXIS2_PLACEMENT_3D('',#574072,#451023,#451024); #371608=AXIS2_PLACEMENT_3D('',#574075,#451026,#451027); #371609=AXIS2_PLACEMENT_3D('',#574076,#451028,#451029); #371610=AXIS2_PLACEMENT_3D('',#574078,#451030,#451031); #371611=AXIS2_PLACEMENT_3D('',#574081,#451033,#451034); #371612=AXIS2_PLACEMENT_3D('',#574082,#451035,#451036); #371613=AXIS2_PLACEMENT_3D('',#574084,#451037,#451038); #371614=AXIS2_PLACEMENT_3D('',#574087,#451040,#451041); #371615=AXIS2_PLACEMENT_3D('',#574088,#451042,#451043); #371616=AXIS2_PLACEMENT_3D('',#574090,#451044,#451045); #371617=AXIS2_PLACEMENT_3D('',#574093,#451047,#451048); #371618=AXIS2_PLACEMENT_3D('',#574094,#451049,#451050); #371619=AXIS2_PLACEMENT_3D('',#574096,#451051,#451052); #371620=AXIS2_PLACEMENT_3D('',#574099,#451054,#451055); #371621=AXIS2_PLACEMENT_3D('',#574100,#451056,#451057); #371622=AXIS2_PLACEMENT_3D('',#574102,#451058,#451059); #371623=AXIS2_PLACEMENT_3D('',#574105,#451061,#451062); #371624=AXIS2_PLACEMENT_3D('',#574106,#451063,#451064); #371625=AXIS2_PLACEMENT_3D('',#574108,#451065,#451066); #371626=AXIS2_PLACEMENT_3D('',#574111,#451068,#451069); #371627=AXIS2_PLACEMENT_3D('',#574112,#451070,#451071); #371628=AXIS2_PLACEMENT_3D('',#574114,#451072,#451073); #371629=AXIS2_PLACEMENT_3D('',#574117,#451075,#451076); #371630=AXIS2_PLACEMENT_3D('',#574118,#451077,#451078); #371631=AXIS2_PLACEMENT_3D('',#574120,#451079,#451080); #371632=AXIS2_PLACEMENT_3D('',#574123,#451082,#451083); #371633=AXIS2_PLACEMENT_3D('',#574124,#451084,#451085); #371634=AXIS2_PLACEMENT_3D('',#574126,#451086,#451087); #371635=AXIS2_PLACEMENT_3D('',#574129,#451089,#451090); #371636=AXIS2_PLACEMENT_3D('',#574130,#451091,#451092); #371637=AXIS2_PLACEMENT_3D('',#574132,#451093,#451094); #371638=AXIS2_PLACEMENT_3D('',#574135,#451096,#451097); #371639=AXIS2_PLACEMENT_3D('',#574136,#451098,#451099); #371640=AXIS2_PLACEMENT_3D('',#574138,#451100,#451101); #371641=AXIS2_PLACEMENT_3D('',#574141,#451103,#451104); #371642=AXIS2_PLACEMENT_3D('',#574142,#451105,#451106); #371643=AXIS2_PLACEMENT_3D('',#574144,#451107,#451108); #371644=AXIS2_PLACEMENT_3D('',#574147,#451110,#451111); #371645=AXIS2_PLACEMENT_3D('',#574148,#451112,#451113); #371646=AXIS2_PLACEMENT_3D('',#574150,#451114,#451115); #371647=AXIS2_PLACEMENT_3D('',#574153,#451117,#451118); #371648=AXIS2_PLACEMENT_3D('',#574154,#451119,#451120); #371649=AXIS2_PLACEMENT_3D('',#574156,#451121,#451122); #371650=AXIS2_PLACEMENT_3D('',#574159,#451124,#451125); #371651=AXIS2_PLACEMENT_3D('',#574160,#451126,#451127); #371652=AXIS2_PLACEMENT_3D('',#574162,#451128,#451129); #371653=AXIS2_PLACEMENT_3D('',#574165,#451131,#451132); #371654=AXIS2_PLACEMENT_3D('',#574166,#451133,#451134); #371655=AXIS2_PLACEMENT_3D('',#574168,#451135,#451136); #371656=AXIS2_PLACEMENT_3D('',#574171,#451138,#451139); #371657=AXIS2_PLACEMENT_3D('',#574172,#451140,#451141); #371658=AXIS2_PLACEMENT_3D('',#574174,#451142,#451143); #371659=AXIS2_PLACEMENT_3D('',#574177,#451145,#451146); #371660=AXIS2_PLACEMENT_3D('',#574178,#451147,#451148); #371661=AXIS2_PLACEMENT_3D('',#574180,#451149,#451150); #371662=AXIS2_PLACEMENT_3D('',#574183,#451152,#451153); #371663=AXIS2_PLACEMENT_3D('',#574184,#451154,#451155); #371664=AXIS2_PLACEMENT_3D('',#574186,#451156,#451157); #371665=AXIS2_PLACEMENT_3D('',#574189,#451159,#451160); #371666=AXIS2_PLACEMENT_3D('',#574190,#451161,#451162); #371667=AXIS2_PLACEMENT_3D('',#574192,#451163,#451164); #371668=AXIS2_PLACEMENT_3D('',#574195,#451166,#451167); #371669=AXIS2_PLACEMENT_3D('',#574196,#451168,#451169); #371670=AXIS2_PLACEMENT_3D('',#574205,#451174,#451175); #371671=AXIS2_PLACEMENT_3D('',#574207,#451176,#451177); #371672=AXIS2_PLACEMENT_3D('',#574209,#451178,#451179); #371673=AXIS2_PLACEMENT_3D('',#574211,#451181,#451182); #371674=AXIS2_PLACEMENT_3D('',#574217,#451186,#451187); #371675=AXIS2_PLACEMENT_3D('',#574218,#451188,#451189); #371676=AXIS2_PLACEMENT_3D('',#574219,#451190,#451191); #371677=AXIS2_PLACEMENT_3D('',#574220,#451192,#451193); #371678=AXIS2_PLACEMENT_3D('',#574222,#451194,#451195); #371679=AXIS2_PLACEMENT_3D('',#574225,#451197,#451198); #371680=AXIS2_PLACEMENT_3D('',#574226,#451199,#451200); #371681=AXIS2_PLACEMENT_3D('',#574235,#451205,#451206); #371682=AXIS2_PLACEMENT_3D('',#574237,#451207,#451208); #371683=AXIS2_PLACEMENT_3D('',#574239,#451209,#451210); #371684=AXIS2_PLACEMENT_3D('',#574241,#451212,#451213); #371685=AXIS2_PLACEMENT_3D('',#574247,#451217,#451218); #371686=AXIS2_PLACEMENT_3D('',#574248,#451219,#451220); #371687=AXIS2_PLACEMENT_3D('',#574249,#451221,#451222); #371688=AXIS2_PLACEMENT_3D('',#574250,#451223,#451224); #371689=AXIS2_PLACEMENT_3D('',#574252,#451225,#451226); #371690=AXIS2_PLACEMENT_3D('',#574255,#451228,#451229); #371691=AXIS2_PLACEMENT_3D('',#574256,#451230,#451231); #371692=AXIS2_PLACEMENT_3D('',#574258,#451232,#451233); #371693=AXIS2_PLACEMENT_3D('',#574261,#451235,#451236); #371694=AXIS2_PLACEMENT_3D('',#574262,#451237,#451238); #371695=AXIS2_PLACEMENT_3D('',#574264,#451239,#451240); #371696=AXIS2_PLACEMENT_3D('',#574267,#451242,#451243); #371697=AXIS2_PLACEMENT_3D('',#574268,#451244,#451245); #371698=AXIS2_PLACEMENT_3D('',#574277,#451250,#451251); #371699=AXIS2_PLACEMENT_3D('',#574279,#451252,#451253); #371700=AXIS2_PLACEMENT_3D('',#574281,#451254,#451255); #371701=AXIS2_PLACEMENT_3D('',#574283,#451257,#451258); #371702=AXIS2_PLACEMENT_3D('',#574289,#451262,#451263); #371703=AXIS2_PLACEMENT_3D('',#574290,#451264,#451265); #371704=AXIS2_PLACEMENT_3D('',#574291,#451266,#451267); #371705=AXIS2_PLACEMENT_3D('',#574292,#451268,#451269); #371706=AXIS2_PLACEMENT_3D('',#574294,#451270,#451271); #371707=AXIS2_PLACEMENT_3D('',#574297,#451273,#451274); #371708=AXIS2_PLACEMENT_3D('',#574298,#451275,#451276); #371709=AXIS2_PLACEMENT_3D('',#574300,#451277,#451278); #371710=AXIS2_PLACEMENT_3D('',#574303,#451280,#451281); #371711=AXIS2_PLACEMENT_3D('',#574304,#451282,#451283); #371712=AXIS2_PLACEMENT_3D('',#574306,#451284,#451285); #371713=AXIS2_PLACEMENT_3D('',#574309,#451287,#451288); #371714=AXIS2_PLACEMENT_3D('',#574310,#451289,#451290); #371715=AXIS2_PLACEMENT_3D('',#574312,#451291,#451292); #371716=AXIS2_PLACEMENT_3D('',#574315,#451294,#451295); #371717=AXIS2_PLACEMENT_3D('',#574316,#451296,#451297); #371718=AXIS2_PLACEMENT_3D('',#574318,#451298,#451299); #371719=AXIS2_PLACEMENT_3D('',#574321,#451301,#451302); #371720=AXIS2_PLACEMENT_3D('',#574322,#451303,#451304); #371721=AXIS2_PLACEMENT_3D('',#574324,#451305,#451306); #371722=AXIS2_PLACEMENT_3D('',#574327,#451308,#451309); #371723=AXIS2_PLACEMENT_3D('',#574328,#451310,#451311); #371724=AXIS2_PLACEMENT_3D('',#574330,#451312,#451313); #371725=AXIS2_PLACEMENT_3D('',#574333,#451315,#451316); #371726=AXIS2_PLACEMENT_3D('',#574334,#451317,#451318); #371727=AXIS2_PLACEMENT_3D('',#574336,#451319,#451320); #371728=AXIS2_PLACEMENT_3D('',#574339,#451322,#451323); #371729=AXIS2_PLACEMENT_3D('',#574340,#451324,#451325); #371730=AXIS2_PLACEMENT_3D('',#574342,#451326,#451327); #371731=AXIS2_PLACEMENT_3D('',#574345,#451329,#451330); #371732=AXIS2_PLACEMENT_3D('',#574346,#451331,#451332); #371733=AXIS2_PLACEMENT_3D('',#574348,#451333,#451334); #371734=AXIS2_PLACEMENT_3D('',#574351,#451336,#451337); #371735=AXIS2_PLACEMENT_3D('',#574352,#451338,#451339); #371736=AXIS2_PLACEMENT_3D('',#574361,#451344,#451345); #371737=AXIS2_PLACEMENT_3D('',#574363,#451346,#451347); #371738=AXIS2_PLACEMENT_3D('',#574365,#451348,#451349); #371739=AXIS2_PLACEMENT_3D('',#574367,#451351,#451352); #371740=AXIS2_PLACEMENT_3D('',#574373,#451356,#451357); #371741=AXIS2_PLACEMENT_3D('',#574374,#451358,#451359); #371742=AXIS2_PLACEMENT_3D('',#574375,#451360,#451361); #371743=AXIS2_PLACEMENT_3D('',#574376,#451362,#451363); #371744=AXIS2_PLACEMENT_3D('',#574378,#451364,#451365); #371745=AXIS2_PLACEMENT_3D('',#574381,#451367,#451368); #371746=AXIS2_PLACEMENT_3D('',#574382,#451369,#451370); #371747=AXIS2_PLACEMENT_3D('',#574391,#451375,#451376); #371748=AXIS2_PLACEMENT_3D('',#574393,#451377,#451378); #371749=AXIS2_PLACEMENT_3D('',#574395,#451379,#451380); #371750=AXIS2_PLACEMENT_3D('',#574397,#451382,#451383); #371751=AXIS2_PLACEMENT_3D('',#574403,#451387,#451388); #371752=AXIS2_PLACEMENT_3D('',#574404,#451389,#451390); #371753=AXIS2_PLACEMENT_3D('',#574405,#451391,#451392); #371754=AXIS2_PLACEMENT_3D('',#574406,#451393,#451394); #371755=AXIS2_PLACEMENT_3D('',#574408,#451395,#451396); #371756=AXIS2_PLACEMENT_3D('',#574411,#451398,#451399); #371757=AXIS2_PLACEMENT_3D('',#574412,#451400,#451401); #371758=AXIS2_PLACEMENT_3D('',#574421,#451406,#451407); #371759=AXIS2_PLACEMENT_3D('',#574423,#451408,#451409); #371760=AXIS2_PLACEMENT_3D('',#574425,#451410,#451411); #371761=AXIS2_PLACEMENT_3D('',#574427,#451413,#451414); #371762=AXIS2_PLACEMENT_3D('',#574433,#451418,#451419); #371763=AXIS2_PLACEMENT_3D('',#574434,#451420,#451421); #371764=AXIS2_PLACEMENT_3D('',#574435,#451422,#451423); #371765=AXIS2_PLACEMENT_3D('',#574436,#451424,#451425); #371766=AXIS2_PLACEMENT_3D('',#574438,#451426,#451427); #371767=AXIS2_PLACEMENT_3D('',#574441,#451429,#451430); #371768=AXIS2_PLACEMENT_3D('',#574442,#451431,#451432); #371769=AXIS2_PLACEMENT_3D('',#574444,#451433,#451434); #371770=AXIS2_PLACEMENT_3D('',#574447,#451436,#451437); #371771=AXIS2_PLACEMENT_3D('',#574448,#451438,#451439); #371772=AXIS2_PLACEMENT_3D('',#574450,#451440,#451441); #371773=AXIS2_PLACEMENT_3D('',#574453,#451443,#451444); #371774=AXIS2_PLACEMENT_3D('',#574454,#451445,#451446); #371775=AXIS2_PLACEMENT_3D('',#574456,#451447,#451448); #371776=AXIS2_PLACEMENT_3D('',#574459,#451450,#451451); #371777=AXIS2_PLACEMENT_3D('',#574460,#451452,#451453); #371778=AXIS2_PLACEMENT_3D('',#574462,#451454,#451455); #371779=AXIS2_PLACEMENT_3D('',#574465,#451457,#451458); #371780=AXIS2_PLACEMENT_3D('',#574466,#451459,#451460); #371781=AXIS2_PLACEMENT_3D('',#574468,#451461,#451462); #371782=AXIS2_PLACEMENT_3D('',#574471,#451464,#451465); #371783=AXIS2_PLACEMENT_3D('',#574472,#451466,#451467); #371784=AXIS2_PLACEMENT_3D('',#574474,#451468,#451469); #371785=AXIS2_PLACEMENT_3D('',#574477,#451471,#451472); #371786=AXIS2_PLACEMENT_3D('',#574478,#451473,#451474); #371787=AXIS2_PLACEMENT_3D('',#574480,#451475,#451476); #371788=AXIS2_PLACEMENT_3D('',#574483,#451478,#451479); #371789=AXIS2_PLACEMENT_3D('',#574484,#451480,#451481); #371790=AXIS2_PLACEMENT_3D('',#574486,#451482,#451483); #371791=AXIS2_PLACEMENT_3D('',#574489,#451485,#451486); #371792=AXIS2_PLACEMENT_3D('',#574490,#451487,#451488); #371793=AXIS2_PLACEMENT_3D('',#574492,#451489,#451490); #371794=AXIS2_PLACEMENT_3D('',#574495,#451492,#451493); #371795=AXIS2_PLACEMENT_3D('',#574496,#451494,#451495); #371796=AXIS2_PLACEMENT_3D('',#574498,#451496,#451497); #371797=AXIS2_PLACEMENT_3D('',#574501,#451499,#451500); #371798=AXIS2_PLACEMENT_3D('',#574502,#451501,#451502); #371799=AXIS2_PLACEMENT_3D('',#574504,#451503,#451504); #371800=AXIS2_PLACEMENT_3D('',#574507,#451506,#451507); #371801=AXIS2_PLACEMENT_3D('',#574508,#451508,#451509); #371802=AXIS2_PLACEMENT_3D('',#574510,#451510,#451511); #371803=AXIS2_PLACEMENT_3D('',#574513,#451513,#451514); #371804=AXIS2_PLACEMENT_3D('',#574514,#451515,#451516); #371805=AXIS2_PLACEMENT_3D('',#574516,#451517,#451518); #371806=AXIS2_PLACEMENT_3D('',#574519,#451520,#451521); #371807=AXIS2_PLACEMENT_3D('',#574520,#451522,#451523); #371808=AXIS2_PLACEMENT_3D('',#574522,#451524,#451525); #371809=AXIS2_PLACEMENT_3D('',#574525,#451527,#451528); #371810=AXIS2_PLACEMENT_3D('',#574526,#451529,#451530); #371811=AXIS2_PLACEMENT_3D('',#574528,#451531,#451532); #371812=AXIS2_PLACEMENT_3D('',#574531,#451534,#451535); #371813=AXIS2_PLACEMENT_3D('',#574532,#451536,#451537); #371814=AXIS2_PLACEMENT_3D('',#574534,#451538,#451539); #371815=AXIS2_PLACEMENT_3D('',#574537,#451541,#451542); #371816=AXIS2_PLACEMENT_3D('',#574538,#451543,#451544); #371817=AXIS2_PLACEMENT_3D('',#574540,#451545,#451546); #371818=AXIS2_PLACEMENT_3D('',#574543,#451548,#451549); #371819=AXIS2_PLACEMENT_3D('',#574544,#451550,#451551); #371820=AXIS2_PLACEMENT_3D('',#574546,#451552,#451553); #371821=AXIS2_PLACEMENT_3D('',#574549,#451555,#451556); #371822=AXIS2_PLACEMENT_3D('',#574550,#451557,#451558); #371823=AXIS2_PLACEMENT_3D('',#574552,#451559,#451560); #371824=AXIS2_PLACEMENT_3D('',#574555,#451562,#451563); #371825=AXIS2_PLACEMENT_3D('',#574556,#451564,#451565); #371826=AXIS2_PLACEMENT_3D('',#574558,#451566,#451567); #371827=AXIS2_PLACEMENT_3D('',#574561,#451569,#451570); #371828=AXIS2_PLACEMENT_3D('',#574562,#451571,#451572); #371829=AXIS2_PLACEMENT_3D('',#574564,#451573,#451574); #371830=AXIS2_PLACEMENT_3D('',#574567,#451576,#451577); #371831=AXIS2_PLACEMENT_3D('',#574568,#451578,#451579); #371832=AXIS2_PLACEMENT_3D('',#574570,#451580,#451581); #371833=AXIS2_PLACEMENT_3D('',#574573,#451583,#451584); #371834=AXIS2_PLACEMENT_3D('',#574574,#451585,#451586); #371835=AXIS2_PLACEMENT_3D('',#574576,#451587,#451588); #371836=AXIS2_PLACEMENT_3D('',#574579,#451590,#451591); #371837=AXIS2_PLACEMENT_3D('',#574580,#451592,#451593); #371838=AXIS2_PLACEMENT_3D('',#574582,#451594,#451595); #371839=AXIS2_PLACEMENT_3D('',#574585,#451597,#451598); #371840=AXIS2_PLACEMENT_3D('',#574586,#451599,#451600); #371841=AXIS2_PLACEMENT_3D('',#574588,#451601,#451602); #371842=AXIS2_PLACEMENT_3D('',#574591,#451604,#451605); #371843=AXIS2_PLACEMENT_3D('',#574592,#451606,#451607); #371844=AXIS2_PLACEMENT_3D('',#574594,#451608,#451609); #371845=AXIS2_PLACEMENT_3D('',#574597,#451611,#451612); #371846=AXIS2_PLACEMENT_3D('',#574598,#451613,#451614); #371847=AXIS2_PLACEMENT_3D('',#574600,#451615,#451616); #371848=AXIS2_PLACEMENT_3D('',#574603,#451618,#451619); #371849=AXIS2_PLACEMENT_3D('',#574604,#451620,#451621); #371850=AXIS2_PLACEMENT_3D('',#574606,#451622,#451623); #371851=AXIS2_PLACEMENT_3D('',#574609,#451625,#451626); #371852=AXIS2_PLACEMENT_3D('',#574610,#451627,#451628); #371853=AXIS2_PLACEMENT_3D('',#574612,#451629,#451630); #371854=AXIS2_PLACEMENT_3D('',#574615,#451632,#451633); #371855=AXIS2_PLACEMENT_3D('',#574616,#451634,#451635); #371856=AXIS2_PLACEMENT_3D('',#574618,#451636,#451637); #371857=AXIS2_PLACEMENT_3D('',#574621,#451639,#451640); #371858=AXIS2_PLACEMENT_3D('',#574622,#451641,#451642); #371859=AXIS2_PLACEMENT_3D('',#574624,#451643,#451644); #371860=AXIS2_PLACEMENT_3D('',#574627,#451646,#451647); #371861=AXIS2_PLACEMENT_3D('',#574628,#451648,#451649); #371862=AXIS2_PLACEMENT_3D('',#574630,#451650,#451651); #371863=AXIS2_PLACEMENT_3D('',#574633,#451653,#451654); #371864=AXIS2_PLACEMENT_3D('',#574634,#451655,#451656); #371865=AXIS2_PLACEMENT_3D('',#574636,#451657,#451658); #371866=AXIS2_PLACEMENT_3D('',#574639,#451660,#451661); #371867=AXIS2_PLACEMENT_3D('',#574640,#451662,#451663); #371868=AXIS2_PLACEMENT_3D('',#574642,#451664,#451665); #371869=AXIS2_PLACEMENT_3D('',#574645,#451667,#451668); #371870=AXIS2_PLACEMENT_3D('',#574646,#451669,#451670); #371871=AXIS2_PLACEMENT_3D('',#574648,#451671,#451672); #371872=AXIS2_PLACEMENT_3D('',#574651,#451674,#451675); #371873=AXIS2_PLACEMENT_3D('',#574652,#451676,#451677); #371874=AXIS2_PLACEMENT_3D('',#574654,#451678,#451679); #371875=AXIS2_PLACEMENT_3D('',#574657,#451681,#451682); #371876=AXIS2_PLACEMENT_3D('',#574658,#451683,#451684); #371877=AXIS2_PLACEMENT_3D('',#574660,#451685,#451686); #371878=AXIS2_PLACEMENT_3D('',#574663,#451688,#451689); #371879=AXIS2_PLACEMENT_3D('',#574664,#451690,#451691); #371880=AXIS2_PLACEMENT_3D('',#574666,#451692,#451693); #371881=AXIS2_PLACEMENT_3D('',#574669,#451695,#451696); #371882=AXIS2_PLACEMENT_3D('',#574670,#451697,#451698); #371883=AXIS2_PLACEMENT_3D('',#574672,#451699,#451700); #371884=AXIS2_PLACEMENT_3D('',#574675,#451702,#451703); #371885=AXIS2_PLACEMENT_3D('',#574676,#451704,#451705); #371886=AXIS2_PLACEMENT_3D('',#574678,#451706,#451707); #371887=AXIS2_PLACEMENT_3D('',#574681,#451709,#451710); #371888=AXIS2_PLACEMENT_3D('',#574682,#451711,#451712); #371889=AXIS2_PLACEMENT_3D('',#574684,#451713,#451714); #371890=AXIS2_PLACEMENT_3D('',#574687,#451716,#451717); #371891=AXIS2_PLACEMENT_3D('',#574688,#451718,#451719); #371892=AXIS2_PLACEMENT_3D('',#574690,#451720,#451721); #371893=AXIS2_PLACEMENT_3D('',#574693,#451723,#451724); #371894=AXIS2_PLACEMENT_3D('',#574694,#451725,#451726); #371895=AXIS2_PLACEMENT_3D('',#574696,#451727,#451728); #371896=AXIS2_PLACEMENT_3D('',#574699,#451730,#451731); #371897=AXIS2_PLACEMENT_3D('',#574700,#451732,#451733); #371898=AXIS2_PLACEMENT_3D('',#574702,#451734,#451735); #371899=AXIS2_PLACEMENT_3D('',#574705,#451737,#451738); #371900=AXIS2_PLACEMENT_3D('',#574706,#451739,#451740); #371901=AXIS2_PLACEMENT_3D('',#574708,#451741,#451742); #371902=AXIS2_PLACEMENT_3D('',#574711,#451744,#451745); #371903=AXIS2_PLACEMENT_3D('',#574712,#451746,#451747); #371904=AXIS2_PLACEMENT_3D('',#574714,#451748,#451749); #371905=AXIS2_PLACEMENT_3D('',#574717,#451751,#451752); #371906=AXIS2_PLACEMENT_3D('',#574718,#451753,#451754); #371907=AXIS2_PLACEMENT_3D('',#574720,#451755,#451756); #371908=AXIS2_PLACEMENT_3D('',#574723,#451758,#451759); #371909=AXIS2_PLACEMENT_3D('',#574724,#451760,#451761); #371910=AXIS2_PLACEMENT_3D('',#574726,#451762,#451763); #371911=AXIS2_PLACEMENT_3D('',#574729,#451765,#451766); #371912=AXIS2_PLACEMENT_3D('',#574730,#451767,#451768); #371913=AXIS2_PLACEMENT_3D('',#574732,#451769,#451770); #371914=AXIS2_PLACEMENT_3D('',#574735,#451772,#451773); #371915=AXIS2_PLACEMENT_3D('',#574736,#451774,#451775); #371916=AXIS2_PLACEMENT_3D('',#574738,#451776,#451777); #371917=AXIS2_PLACEMENT_3D('',#574741,#451779,#451780); #371918=AXIS2_PLACEMENT_3D('',#574742,#451781,#451782); #371919=AXIS2_PLACEMENT_3D('',#574744,#451783,#451784); #371920=AXIS2_PLACEMENT_3D('',#574747,#451786,#451787); #371921=AXIS2_PLACEMENT_3D('',#574748,#451788,#451789); #371922=AXIS2_PLACEMENT_3D('',#574750,#451790,#451791); #371923=AXIS2_PLACEMENT_3D('',#574753,#451793,#451794); #371924=AXIS2_PLACEMENT_3D('',#574754,#451795,#451796); #371925=AXIS2_PLACEMENT_3D('',#574756,#451797,#451798); #371926=AXIS2_PLACEMENT_3D('',#574759,#451800,#451801); #371927=AXIS2_PLACEMENT_3D('',#574760,#451802,#451803); #371928=AXIS2_PLACEMENT_3D('',#574762,#451804,#451805); #371929=AXIS2_PLACEMENT_3D('',#574765,#451807,#451808); #371930=AXIS2_PLACEMENT_3D('',#574766,#451809,#451810); #371931=AXIS2_PLACEMENT_3D('',#574768,#451811,#451812); #371932=AXIS2_PLACEMENT_3D('',#574771,#451814,#451815); #371933=AXIS2_PLACEMENT_3D('',#574772,#451816,#451817); #371934=AXIS2_PLACEMENT_3D('',#574774,#451818,#451819); #371935=AXIS2_PLACEMENT_3D('',#574777,#451821,#451822); #371936=AXIS2_PLACEMENT_3D('',#574778,#451823,#451824); #371937=AXIS2_PLACEMENT_3D('',#574780,#451825,#451826); #371938=AXIS2_PLACEMENT_3D('',#574783,#451828,#451829); #371939=AXIS2_PLACEMENT_3D('',#574784,#451830,#451831); #371940=AXIS2_PLACEMENT_3D('',#574786,#451832,#451833); #371941=AXIS2_PLACEMENT_3D('',#574789,#451835,#451836); #371942=AXIS2_PLACEMENT_3D('',#574790,#451837,#451838); #371943=AXIS2_PLACEMENT_3D('',#574792,#451839,#451840); #371944=AXIS2_PLACEMENT_3D('',#574795,#451842,#451843); #371945=AXIS2_PLACEMENT_3D('',#574796,#451844,#451845); #371946=AXIS2_PLACEMENT_3D('',#574798,#451846,#451847); #371947=AXIS2_PLACEMENT_3D('',#574801,#451849,#451850); #371948=AXIS2_PLACEMENT_3D('',#574802,#451851,#451852); #371949=AXIS2_PLACEMENT_3D('',#574804,#451853,#451854); #371950=AXIS2_PLACEMENT_3D('',#574807,#451856,#451857); #371951=AXIS2_PLACEMENT_3D('',#574808,#451858,#451859); #371952=AXIS2_PLACEMENT_3D('',#574810,#451860,#451861); #371953=AXIS2_PLACEMENT_3D('',#574813,#451863,#451864); #371954=AXIS2_PLACEMENT_3D('',#574814,#451865,#451866); #371955=AXIS2_PLACEMENT_3D('',#574816,#451867,#451868); #371956=AXIS2_PLACEMENT_3D('',#574819,#451870,#451871); #371957=AXIS2_PLACEMENT_3D('',#574820,#451872,#451873); #371958=AXIS2_PLACEMENT_3D('',#574822,#451874,#451875); #371959=AXIS2_PLACEMENT_3D('',#574825,#451877,#451878); #371960=AXIS2_PLACEMENT_3D('',#574826,#451879,#451880); #371961=AXIS2_PLACEMENT_3D('',#574828,#451881,#451882); #371962=AXIS2_PLACEMENT_3D('',#574831,#451884,#451885); #371963=AXIS2_PLACEMENT_3D('',#574832,#451886,#451887); #371964=AXIS2_PLACEMENT_3D('',#574834,#451888,#451889); #371965=AXIS2_PLACEMENT_3D('',#574837,#451891,#451892); #371966=AXIS2_PLACEMENT_3D('',#574838,#451893,#451894); #371967=AXIS2_PLACEMENT_3D('',#574840,#451895,#451896); #371968=AXIS2_PLACEMENT_3D('',#574843,#451898,#451899); #371969=AXIS2_PLACEMENT_3D('',#574844,#451900,#451901); #371970=AXIS2_PLACEMENT_3D('',#574846,#451902,#451903); #371971=AXIS2_PLACEMENT_3D('',#574849,#451905,#451906); #371972=AXIS2_PLACEMENT_3D('',#574850,#451907,#451908); #371973=AXIS2_PLACEMENT_3D('',#574852,#451909,#451910); #371974=AXIS2_PLACEMENT_3D('',#574855,#451912,#451913); #371975=AXIS2_PLACEMENT_3D('',#574856,#451914,#451915); #371976=AXIS2_PLACEMENT_3D('',#574858,#451916,#451917); #371977=AXIS2_PLACEMENT_3D('',#574861,#451919,#451920); #371978=AXIS2_PLACEMENT_3D('',#574862,#451921,#451922); #371979=AXIS2_PLACEMENT_3D('',#574864,#451923,#451924); #371980=AXIS2_PLACEMENT_3D('',#574867,#451926,#451927); #371981=AXIS2_PLACEMENT_3D('',#574868,#451928,#451929); #371982=AXIS2_PLACEMENT_3D('',#574870,#451930,#451931); #371983=AXIS2_PLACEMENT_3D('',#574873,#451933,#451934); #371984=AXIS2_PLACEMENT_3D('',#574874,#451935,#451936); #371985=AXIS2_PLACEMENT_3D('',#574876,#451937,#451938); #371986=AXIS2_PLACEMENT_3D('',#574879,#451940,#451941); #371987=AXIS2_PLACEMENT_3D('',#574880,#451942,#451943); #371988=AXIS2_PLACEMENT_3D('',#574882,#451944,#451945); #371989=AXIS2_PLACEMENT_3D('',#574885,#451947,#451948); #371990=AXIS2_PLACEMENT_3D('',#574886,#451949,#451950); #371991=AXIS2_PLACEMENT_3D('',#574888,#451951,#451952); #371992=AXIS2_PLACEMENT_3D('',#574891,#451954,#451955); #371993=AXIS2_PLACEMENT_3D('',#574892,#451956,#451957); #371994=AXIS2_PLACEMENT_3D('',#574894,#451958,#451959); #371995=AXIS2_PLACEMENT_3D('',#574897,#451961,#451962); #371996=AXIS2_PLACEMENT_3D('',#574898,#451963,#451964); #371997=AXIS2_PLACEMENT_3D('',#574900,#451965,#451966); #371998=AXIS2_PLACEMENT_3D('',#574903,#451968,#451969); #371999=AXIS2_PLACEMENT_3D('',#574904,#451970,#451971); #372000=AXIS2_PLACEMENT_3D('',#574906,#451972,#451973); #372001=AXIS2_PLACEMENT_3D('',#574909,#451975,#451976); #372002=AXIS2_PLACEMENT_3D('',#574910,#451977,#451978); #372003=AXIS2_PLACEMENT_3D('',#574912,#451979,#451980); #372004=AXIS2_PLACEMENT_3D('',#574915,#451982,#451983); #372005=AXIS2_PLACEMENT_3D('',#574916,#451984,#451985); #372006=AXIS2_PLACEMENT_3D('',#574918,#451986,#451987); #372007=AXIS2_PLACEMENT_3D('',#574921,#451989,#451990); #372008=AXIS2_PLACEMENT_3D('',#574922,#451991,#451992); #372009=AXIS2_PLACEMENT_3D('',#574924,#451993,#451994); #372010=AXIS2_PLACEMENT_3D('',#574927,#451996,#451997); #372011=AXIS2_PLACEMENT_3D('',#574928,#451998,#451999); #372012=AXIS2_PLACEMENT_3D('',#574930,#452000,#452001); #372013=AXIS2_PLACEMENT_3D('',#574933,#452003,#452004); #372014=AXIS2_PLACEMENT_3D('',#574934,#452005,#452006); #372015=AXIS2_PLACEMENT_3D('',#574936,#452007,#452008); #372016=AXIS2_PLACEMENT_3D('',#574939,#452010,#452011); #372017=AXIS2_PLACEMENT_3D('',#574940,#452012,#452013); #372018=AXIS2_PLACEMENT_3D('',#574942,#452014,#452015); #372019=AXIS2_PLACEMENT_3D('',#574945,#452017,#452018); #372020=AXIS2_PLACEMENT_3D('',#574946,#452019,#452020); #372021=AXIS2_PLACEMENT_3D('',#574948,#452021,#452022); #372022=AXIS2_PLACEMENT_3D('',#574951,#452024,#452025); #372023=AXIS2_PLACEMENT_3D('',#574952,#452026,#452027); #372024=AXIS2_PLACEMENT_3D('',#574954,#452028,#452029); #372025=AXIS2_PLACEMENT_3D('',#574957,#452031,#452032); #372026=AXIS2_PLACEMENT_3D('',#574958,#452033,#452034); #372027=AXIS2_PLACEMENT_3D('',#574960,#452035,#452036); #372028=AXIS2_PLACEMENT_3D('',#574963,#452038,#452039); #372029=AXIS2_PLACEMENT_3D('',#574964,#452040,#452041); #372030=AXIS2_PLACEMENT_3D('',#574966,#452042,#452043); #372031=AXIS2_PLACEMENT_3D('',#574969,#452045,#452046); #372032=AXIS2_PLACEMENT_3D('',#574970,#452047,#452048); #372033=AXIS2_PLACEMENT_3D('',#574972,#452049,#452050); #372034=AXIS2_PLACEMENT_3D('',#574975,#452052,#452053); #372035=AXIS2_PLACEMENT_3D('',#574976,#452054,#452055); #372036=AXIS2_PLACEMENT_3D('',#574978,#452056,#452057); #372037=AXIS2_PLACEMENT_3D('',#574981,#452059,#452060); #372038=AXIS2_PLACEMENT_3D('',#574982,#452061,#452062); #372039=AXIS2_PLACEMENT_3D('',#574984,#452063,#452064); #372040=AXIS2_PLACEMENT_3D('',#574987,#452066,#452067); #372041=AXIS2_PLACEMENT_3D('',#574988,#452068,#452069); #372042=AXIS2_PLACEMENT_3D('',#574990,#452070,#452071); #372043=AXIS2_PLACEMENT_3D('',#574993,#452073,#452074); #372044=AXIS2_PLACEMENT_3D('',#574994,#452075,#452076); #372045=AXIS2_PLACEMENT_3D('',#574996,#452077,#452078); #372046=AXIS2_PLACEMENT_3D('',#574999,#452080,#452081); #372047=AXIS2_PLACEMENT_3D('',#575000,#452082,#452083); #372048=AXIS2_PLACEMENT_3D('',#575002,#452084,#452085); #372049=AXIS2_PLACEMENT_3D('',#575005,#452087,#452088); #372050=AXIS2_PLACEMENT_3D('',#575006,#452089,#452090); #372051=AXIS2_PLACEMENT_3D('',#575008,#452091,#452092); #372052=AXIS2_PLACEMENT_3D('',#575011,#452094,#452095); #372053=AXIS2_PLACEMENT_3D('',#575012,#452096,#452097); #372054=AXIS2_PLACEMENT_3D('',#575014,#452098,#452099); #372055=AXIS2_PLACEMENT_3D('',#575017,#452101,#452102); #372056=AXIS2_PLACEMENT_3D('',#575018,#452103,#452104); #372057=AXIS2_PLACEMENT_3D('',#575020,#452105,#452106); #372058=AXIS2_PLACEMENT_3D('',#575023,#452108,#452109); #372059=AXIS2_PLACEMENT_3D('',#575024,#452110,#452111); #372060=AXIS2_PLACEMENT_3D('',#575026,#452112,#452113); #372061=AXIS2_PLACEMENT_3D('',#575029,#452115,#452116); #372062=AXIS2_PLACEMENT_3D('',#575030,#452117,#452118); #372063=AXIS2_PLACEMENT_3D('',#575032,#452119,#452120); #372064=AXIS2_PLACEMENT_3D('',#575035,#452122,#452123); #372065=AXIS2_PLACEMENT_3D('',#575036,#452124,#452125); #372066=AXIS2_PLACEMENT_3D('',#575038,#452126,#452127); #372067=AXIS2_PLACEMENT_3D('',#575041,#452129,#452130); #372068=AXIS2_PLACEMENT_3D('',#575042,#452131,#452132); #372069=AXIS2_PLACEMENT_3D('',#575044,#452133,#452134); #372070=AXIS2_PLACEMENT_3D('',#575047,#452136,#452137); #372071=AXIS2_PLACEMENT_3D('',#575048,#452138,#452139); #372072=AXIS2_PLACEMENT_3D('',#575050,#452140,#452141); #372073=AXIS2_PLACEMENT_3D('',#575053,#452143,#452144); #372074=AXIS2_PLACEMENT_3D('',#575054,#452145,#452146); #372075=AXIS2_PLACEMENT_3D('',#575056,#452147,#452148); #372076=AXIS2_PLACEMENT_3D('',#575059,#452150,#452151); #372077=AXIS2_PLACEMENT_3D('',#575060,#452152,#452153); #372078=AXIS2_PLACEMENT_3D('',#575062,#452154,#452155); #372079=AXIS2_PLACEMENT_3D('',#575065,#452157,#452158); #372080=AXIS2_PLACEMENT_3D('',#575066,#452159,#452160); #372081=AXIS2_PLACEMENT_3D('',#575068,#452161,#452162); #372082=AXIS2_PLACEMENT_3D('',#575071,#452164,#452165); #372083=AXIS2_PLACEMENT_3D('',#575072,#452166,#452167); #372084=AXIS2_PLACEMENT_3D('',#575074,#452168,#452169); #372085=AXIS2_PLACEMENT_3D('',#575077,#452171,#452172); #372086=AXIS2_PLACEMENT_3D('',#575078,#452173,#452174); #372087=AXIS2_PLACEMENT_3D('',#575080,#452175,#452176); #372088=AXIS2_PLACEMENT_3D('',#575083,#452178,#452179); #372089=AXIS2_PLACEMENT_3D('',#575084,#452180,#452181); #372090=AXIS2_PLACEMENT_3D('',#575086,#452182,#452183); #372091=AXIS2_PLACEMENT_3D('',#575089,#452185,#452186); #372092=AXIS2_PLACEMENT_3D('',#575090,#452187,#452188); #372093=AXIS2_PLACEMENT_3D('',#575092,#452189,#452190); #372094=AXIS2_PLACEMENT_3D('',#575095,#452192,#452193); #372095=AXIS2_PLACEMENT_3D('',#575096,#452194,#452195); #372096=AXIS2_PLACEMENT_3D('',#575098,#452196,#452197); #372097=AXIS2_PLACEMENT_3D('',#575101,#452199,#452200); #372098=AXIS2_PLACEMENT_3D('',#575102,#452201,#452202); #372099=AXIS2_PLACEMENT_3D('',#575104,#452203,#452204); #372100=AXIS2_PLACEMENT_3D('',#575107,#452206,#452207); #372101=AXIS2_PLACEMENT_3D('',#575108,#452208,#452209); #372102=AXIS2_PLACEMENT_3D('',#575110,#452210,#452211); #372103=AXIS2_PLACEMENT_3D('',#575113,#452213,#452214); #372104=AXIS2_PLACEMENT_3D('',#575114,#452215,#452216); #372105=AXIS2_PLACEMENT_3D('',#575116,#452217,#452218); #372106=AXIS2_PLACEMENT_3D('',#575119,#452220,#452221); #372107=AXIS2_PLACEMENT_3D('',#575120,#452222,#452223); #372108=AXIS2_PLACEMENT_3D('',#575122,#452224,#452225); #372109=AXIS2_PLACEMENT_3D('',#575125,#452227,#452228); #372110=AXIS2_PLACEMENT_3D('',#575126,#452229,#452230); #372111=AXIS2_PLACEMENT_3D('',#575128,#452231,#452232); #372112=AXIS2_PLACEMENT_3D('',#575131,#452234,#452235); #372113=AXIS2_PLACEMENT_3D('',#575132,#452236,#452237); #372114=AXIS2_PLACEMENT_3D('',#575134,#452238,#452239); #372115=AXIS2_PLACEMENT_3D('',#575137,#452241,#452242); #372116=AXIS2_PLACEMENT_3D('',#575138,#452243,#452244); #372117=AXIS2_PLACEMENT_3D('',#575140,#452245,#452246); #372118=AXIS2_PLACEMENT_3D('',#575143,#452248,#452249); #372119=AXIS2_PLACEMENT_3D('',#575144,#452250,#452251); #372120=AXIS2_PLACEMENT_3D('',#575146,#452252,#452253); #372121=AXIS2_PLACEMENT_3D('',#575149,#452255,#452256); #372122=AXIS2_PLACEMENT_3D('',#575150,#452257,#452258); #372123=AXIS2_PLACEMENT_3D('',#575152,#452259,#452260); #372124=AXIS2_PLACEMENT_3D('',#575155,#452262,#452263); #372125=AXIS2_PLACEMENT_3D('',#575156,#452264,#452265); #372126=AXIS2_PLACEMENT_3D('',#575158,#452266,#452267); #372127=AXIS2_PLACEMENT_3D('',#575161,#452269,#452270); #372128=AXIS2_PLACEMENT_3D('',#575162,#452271,#452272); #372129=AXIS2_PLACEMENT_3D('',#575164,#452273,#452274); #372130=AXIS2_PLACEMENT_3D('',#575167,#452276,#452277); #372131=AXIS2_PLACEMENT_3D('',#575168,#452278,#452279); #372132=AXIS2_PLACEMENT_3D('',#575170,#452280,#452281); #372133=AXIS2_PLACEMENT_3D('',#575173,#452283,#452284); #372134=AXIS2_PLACEMENT_3D('',#575174,#452285,#452286); #372135=AXIS2_PLACEMENT_3D('',#575176,#452287,#452288); #372136=AXIS2_PLACEMENT_3D('',#575179,#452290,#452291); #372137=AXIS2_PLACEMENT_3D('',#575180,#452292,#452293); #372138=AXIS2_PLACEMENT_3D('',#575182,#452294,#452295); #372139=AXIS2_PLACEMENT_3D('',#575185,#452297,#452298); #372140=AXIS2_PLACEMENT_3D('',#575186,#452299,#452300); #372141=AXIS2_PLACEMENT_3D('',#575188,#452301,#452302); #372142=AXIS2_PLACEMENT_3D('',#575191,#452304,#452305); #372143=AXIS2_PLACEMENT_3D('',#575192,#452306,#452307); #372144=AXIS2_PLACEMENT_3D('',#575194,#452308,#452309); #372145=AXIS2_PLACEMENT_3D('',#575197,#452311,#452312); #372146=AXIS2_PLACEMENT_3D('',#575198,#452313,#452314); #372147=AXIS2_PLACEMENT_3D('',#575200,#452315,#452316); #372148=AXIS2_PLACEMENT_3D('',#575203,#452318,#452319); #372149=AXIS2_PLACEMENT_3D('',#575204,#452320,#452321); #372150=AXIS2_PLACEMENT_3D('',#575206,#452322,#452323); #372151=AXIS2_PLACEMENT_3D('',#575209,#452325,#452326); #372152=AXIS2_PLACEMENT_3D('',#575210,#452327,#452328); #372153=AXIS2_PLACEMENT_3D('',#575212,#452329,#452330); #372154=AXIS2_PLACEMENT_3D('',#575215,#452332,#452333); #372155=AXIS2_PLACEMENT_3D('',#575216,#452334,#452335); #372156=AXIS2_PLACEMENT_3D('',#575218,#452336,#452337); #372157=AXIS2_PLACEMENT_3D('',#575221,#452339,#452340); #372158=AXIS2_PLACEMENT_3D('',#575222,#452341,#452342); #372159=AXIS2_PLACEMENT_3D('',#575224,#452343,#452344); #372160=AXIS2_PLACEMENT_3D('',#575227,#452346,#452347); #372161=AXIS2_PLACEMENT_3D('',#575228,#452348,#452349); #372162=AXIS2_PLACEMENT_3D('',#575230,#452350,#452351); #372163=AXIS2_PLACEMENT_3D('',#575233,#452353,#452354); #372164=AXIS2_PLACEMENT_3D('',#575234,#452355,#452356); #372165=AXIS2_PLACEMENT_3D('',#575235,#452357,#452358); #372166=AXIS2_PLACEMENT_3D('',#575236,#452359,#452360); #372167=AXIS2_PLACEMENT_3D('',#575237,#452361,#452362); #372168=AXIS2_PLACEMENT_3D('',#575239,#452363,#452364); #372169=AXIS2_PLACEMENT_3D('',#575242,#452366,#452367); #372170=AXIS2_PLACEMENT_3D('',#575243,#452368,#452369); #372171=AXIS2_PLACEMENT_3D('',#575245,#452370,#452371); #372172=AXIS2_PLACEMENT_3D('',#575248,#452373,#452374); #372173=AXIS2_PLACEMENT_3D('',#575249,#452375,#452376); #372174=AXIS2_PLACEMENT_3D('',#575251,#452377,#452378); #372175=AXIS2_PLACEMENT_3D('',#575254,#452380,#452381); #372176=AXIS2_PLACEMENT_3D('',#575255,#452382,#452383); #372177=AXIS2_PLACEMENT_3D('',#575257,#452384,#452385); #372178=AXIS2_PLACEMENT_3D('',#575260,#452387,#452388); #372179=AXIS2_PLACEMENT_3D('',#575261,#452389,#452390); #372180=AXIS2_PLACEMENT_3D('',#575263,#452391,#452392); #372181=AXIS2_PLACEMENT_3D('',#575266,#452394,#452395); #372182=AXIS2_PLACEMENT_3D('',#575267,#452396,#452397); #372183=AXIS2_PLACEMENT_3D('',#575269,#452398,#452399); #372184=AXIS2_PLACEMENT_3D('',#575272,#452401,#452402); #372185=AXIS2_PLACEMENT_3D('',#575273,#452403,#452404); #372186=AXIS2_PLACEMENT_3D('',#575275,#452405,#452406); #372187=AXIS2_PLACEMENT_3D('',#575278,#452408,#452409); #372188=AXIS2_PLACEMENT_3D('',#575279,#452410,#452411); #372189=AXIS2_PLACEMENT_3D('',#575281,#452412,#452413); #372190=AXIS2_PLACEMENT_3D('',#575284,#452415,#452416); #372191=AXIS2_PLACEMENT_3D('',#575285,#452417,#452418); #372192=AXIS2_PLACEMENT_3D('',#575287,#452419,#452420); #372193=AXIS2_PLACEMENT_3D('',#575290,#452422,#452423); #372194=AXIS2_PLACEMENT_3D('',#575291,#452424,#452425); #372195=AXIS2_PLACEMENT_3D('',#575293,#452426,#452427); #372196=AXIS2_PLACEMENT_3D('',#575296,#452429,#452430); #372197=AXIS2_PLACEMENT_3D('',#575297,#452431,#452432); #372198=AXIS2_PLACEMENT_3D('',#575299,#452433,#452434); #372199=AXIS2_PLACEMENT_3D('',#575302,#452436,#452437); #372200=AXIS2_PLACEMENT_3D('',#575303,#452438,#452439); #372201=AXIS2_PLACEMENT_3D('',#575305,#452440,#452441); #372202=AXIS2_PLACEMENT_3D('',#575308,#452443,#452444); #372203=AXIS2_PLACEMENT_3D('',#575309,#452445,#452446); #372204=AXIS2_PLACEMENT_3D('',#575311,#452447,#452448); #372205=AXIS2_PLACEMENT_3D('',#575314,#452450,#452451); #372206=AXIS2_PLACEMENT_3D('',#575315,#452452,#452453); #372207=AXIS2_PLACEMENT_3D('',#575317,#452454,#452455); #372208=AXIS2_PLACEMENT_3D('',#575320,#452457,#452458); #372209=AXIS2_PLACEMENT_3D('',#575321,#452459,#452460); #372210=AXIS2_PLACEMENT_3D('',#575323,#452461,#452462); #372211=AXIS2_PLACEMENT_3D('',#575326,#452464,#452465); #372212=AXIS2_PLACEMENT_3D('',#575327,#452466,#452467); #372213=AXIS2_PLACEMENT_3D('',#575329,#452468,#452469); #372214=AXIS2_PLACEMENT_3D('',#575332,#452471,#452472); #372215=AXIS2_PLACEMENT_3D('',#575333,#452473,#452474); #372216=AXIS2_PLACEMENT_3D('',#575335,#452475,#452476); #372217=AXIS2_PLACEMENT_3D('',#575338,#452478,#452479); #372218=AXIS2_PLACEMENT_3D('',#575339,#452480,#452481); #372219=AXIS2_PLACEMENT_3D('',#575341,#452482,#452483); #372220=AXIS2_PLACEMENT_3D('',#575344,#452485,#452486); #372221=AXIS2_PLACEMENT_3D('',#575345,#452487,#452488); #372222=AXIS2_PLACEMENT_3D('',#575347,#452489,#452490); #372223=AXIS2_PLACEMENT_3D('',#575350,#452492,#452493); #372224=AXIS2_PLACEMENT_3D('',#575351,#452494,#452495); #372225=AXIS2_PLACEMENT_3D('',#575353,#452496,#452497); #372226=AXIS2_PLACEMENT_3D('',#575356,#452499,#452500); #372227=AXIS2_PLACEMENT_3D('',#575357,#452501,#452502); #372228=AXIS2_PLACEMENT_3D('',#575359,#452503,#452504); #372229=AXIS2_PLACEMENT_3D('',#575362,#452506,#452507); #372230=AXIS2_PLACEMENT_3D('',#575363,#452508,#452509); #372231=AXIS2_PLACEMENT_3D('',#575365,#452510,#452511); #372232=AXIS2_PLACEMENT_3D('',#575368,#452513,#452514); #372233=AXIS2_PLACEMENT_3D('',#575369,#452515,#452516); #372234=AXIS2_PLACEMENT_3D('',#575371,#452517,#452518); #372235=AXIS2_PLACEMENT_3D('',#575374,#452520,#452521); #372236=AXIS2_PLACEMENT_3D('',#575375,#452522,#452523); #372237=AXIS2_PLACEMENT_3D('',#575377,#452524,#452525); #372238=AXIS2_PLACEMENT_3D('',#575380,#452527,#452528); #372239=AXIS2_PLACEMENT_3D('',#575381,#452529,#452530); #372240=AXIS2_PLACEMENT_3D('',#575383,#452531,#452532); #372241=AXIS2_PLACEMENT_3D('',#575386,#452534,#452535); #372242=AXIS2_PLACEMENT_3D('',#575387,#452536,#452537); #372243=AXIS2_PLACEMENT_3D('',#575389,#452538,#452539); #372244=AXIS2_PLACEMENT_3D('',#575392,#452541,#452542); #372245=AXIS2_PLACEMENT_3D('',#575393,#452543,#452544); #372246=AXIS2_PLACEMENT_3D('',#575395,#452545,#452546); #372247=AXIS2_PLACEMENT_3D('',#575398,#452548,#452549); #372248=AXIS2_PLACEMENT_3D('',#575399,#452550,#452551); #372249=AXIS2_PLACEMENT_3D('',#575401,#452552,#452553); #372250=AXIS2_PLACEMENT_3D('',#575404,#452555,#452556); #372251=AXIS2_PLACEMENT_3D('',#575405,#452557,#452558); #372252=AXIS2_PLACEMENT_3D('',#575407,#452559,#452560); #372253=AXIS2_PLACEMENT_3D('',#575410,#452562,#452563); #372254=AXIS2_PLACEMENT_3D('',#575411,#452564,#452565); #372255=AXIS2_PLACEMENT_3D('',#575413,#452566,#452567); #372256=AXIS2_PLACEMENT_3D('',#575416,#452569,#452570); #372257=AXIS2_PLACEMENT_3D('',#575417,#452571,#452572); #372258=AXIS2_PLACEMENT_3D('',#575419,#452573,#452574); #372259=AXIS2_PLACEMENT_3D('',#575422,#452576,#452577); #372260=AXIS2_PLACEMENT_3D('',#575423,#452578,#452579); #372261=AXIS2_PLACEMENT_3D('',#575425,#452580,#452581); #372262=AXIS2_PLACEMENT_3D('',#575428,#452583,#452584); #372263=AXIS2_PLACEMENT_3D('',#575429,#452585,#452586); #372264=AXIS2_PLACEMENT_3D('',#575431,#452587,#452588); #372265=AXIS2_PLACEMENT_3D('',#575434,#452590,#452591); #372266=AXIS2_PLACEMENT_3D('',#575435,#452592,#452593); #372267=AXIS2_PLACEMENT_3D('',#575437,#452594,#452595); #372268=AXIS2_PLACEMENT_3D('',#575440,#452597,#452598); #372269=AXIS2_PLACEMENT_3D('',#575441,#452599,#452600); #372270=AXIS2_PLACEMENT_3D('',#575443,#452601,#452602); #372271=AXIS2_PLACEMENT_3D('',#575446,#452604,#452605); #372272=AXIS2_PLACEMENT_3D('',#575447,#452606,#452607); #372273=AXIS2_PLACEMENT_3D('',#575449,#452608,#452609); #372274=AXIS2_PLACEMENT_3D('',#575452,#452611,#452612); #372275=AXIS2_PLACEMENT_3D('',#575453,#452613,#452614); #372276=AXIS2_PLACEMENT_3D('',#575455,#452615,#452616); #372277=AXIS2_PLACEMENT_3D('',#575458,#452618,#452619); #372278=AXIS2_PLACEMENT_3D('',#575459,#452620,#452621); #372279=AXIS2_PLACEMENT_3D('',#575461,#452622,#452623); #372280=AXIS2_PLACEMENT_3D('',#575464,#452625,#452626); #372281=AXIS2_PLACEMENT_3D('',#575465,#452627,#452628); #372282=AXIS2_PLACEMENT_3D('',#575467,#452629,#452630); #372283=AXIS2_PLACEMENT_3D('',#575470,#452632,#452633); #372284=AXIS2_PLACEMENT_3D('',#575471,#452634,#452635); #372285=AXIS2_PLACEMENT_3D('',#575473,#452636,#452637); #372286=AXIS2_PLACEMENT_3D('',#575476,#452639,#452640); #372287=AXIS2_PLACEMENT_3D('',#575477,#452641,#452642); #372288=AXIS2_PLACEMENT_3D('',#575479,#452643,#452644); #372289=AXIS2_PLACEMENT_3D('',#575482,#452646,#452647); #372290=AXIS2_PLACEMENT_3D('',#575483,#452648,#452649); #372291=AXIS2_PLACEMENT_3D('',#575485,#452650,#452651); #372292=AXIS2_PLACEMENT_3D('',#575488,#452653,#452654); #372293=AXIS2_PLACEMENT_3D('',#575489,#452655,#452656); #372294=AXIS2_PLACEMENT_3D('',#575491,#452657,#452658); #372295=AXIS2_PLACEMENT_3D('',#575494,#452660,#452661); #372296=AXIS2_PLACEMENT_3D('',#575495,#452662,#452663); #372297=AXIS2_PLACEMENT_3D('',#575497,#452664,#452665); #372298=AXIS2_PLACEMENT_3D('',#575500,#452667,#452668); #372299=AXIS2_PLACEMENT_3D('',#575501,#452669,#452670); #372300=AXIS2_PLACEMENT_3D('',#575503,#452671,#452672); #372301=AXIS2_PLACEMENT_3D('',#575506,#452674,#452675); #372302=AXIS2_PLACEMENT_3D('',#575507,#452676,#452677); #372303=AXIS2_PLACEMENT_3D('',#575509,#452678,#452679); #372304=AXIS2_PLACEMENT_3D('',#575512,#452681,#452682); #372305=AXIS2_PLACEMENT_3D('',#575513,#452683,#452684); #372306=AXIS2_PLACEMENT_3D('',#575515,#452685,#452686); #372307=AXIS2_PLACEMENT_3D('',#575518,#452688,#452689); #372308=AXIS2_PLACEMENT_3D('',#575519,#452690,#452691); #372309=AXIS2_PLACEMENT_3D('',#575521,#452692,#452693); #372310=AXIS2_PLACEMENT_3D('',#575524,#452695,#452696); #372311=AXIS2_PLACEMENT_3D('',#575525,#452697,#452698); #372312=AXIS2_PLACEMENT_3D('',#575527,#452699,#452700); #372313=AXIS2_PLACEMENT_3D('',#575530,#452702,#452703); #372314=AXIS2_PLACEMENT_3D('',#575531,#452704,#452705); #372315=AXIS2_PLACEMENT_3D('',#575533,#452706,#452707); #372316=AXIS2_PLACEMENT_3D('',#575536,#452709,#452710); #372317=AXIS2_PLACEMENT_3D('',#575537,#452711,#452712); #372318=AXIS2_PLACEMENT_3D('',#575539,#452713,#452714); #372319=AXIS2_PLACEMENT_3D('',#575542,#452716,#452717); #372320=AXIS2_PLACEMENT_3D('',#575543,#452718,#452719); #372321=AXIS2_PLACEMENT_3D('',#575545,#452720,#452721); #372322=AXIS2_PLACEMENT_3D('',#575548,#452723,#452724); #372323=AXIS2_PLACEMENT_3D('',#575549,#452725,#452726); #372324=AXIS2_PLACEMENT_3D('',#575551,#452727,#452728); #372325=AXIS2_PLACEMENT_3D('',#575554,#452730,#452731); #372326=AXIS2_PLACEMENT_3D('',#575555,#452732,#452733); #372327=AXIS2_PLACEMENT_3D('',#575557,#452734,#452735); #372328=AXIS2_PLACEMENT_3D('',#575560,#452737,#452738); #372329=AXIS2_PLACEMENT_3D('',#575561,#452739,#452740); #372330=AXIS2_PLACEMENT_3D('',#575563,#452741,#452742); #372331=AXIS2_PLACEMENT_3D('',#575566,#452744,#452745); #372332=AXIS2_PLACEMENT_3D('',#575567,#452746,#452747); #372333=AXIS2_PLACEMENT_3D('',#575569,#452748,#452749); #372334=AXIS2_PLACEMENT_3D('',#575572,#452751,#452752); #372335=AXIS2_PLACEMENT_3D('',#575573,#452753,#452754); #372336=AXIS2_PLACEMENT_3D('',#575575,#452755,#452756); #372337=AXIS2_PLACEMENT_3D('',#575578,#452758,#452759); #372338=AXIS2_PLACEMENT_3D('',#575579,#452760,#452761); #372339=AXIS2_PLACEMENT_3D('',#575581,#452762,#452763); #372340=AXIS2_PLACEMENT_3D('',#575584,#452765,#452766); #372341=AXIS2_PLACEMENT_3D('',#575585,#452767,#452768); #372342=AXIS2_PLACEMENT_3D('',#575587,#452769,#452770); #372343=AXIS2_PLACEMENT_3D('',#575590,#452772,#452773); #372344=AXIS2_PLACEMENT_3D('',#575591,#452774,#452775); #372345=AXIS2_PLACEMENT_3D('',#575593,#452776,#452777); #372346=AXIS2_PLACEMENT_3D('',#575596,#452779,#452780); #372347=AXIS2_PLACEMENT_3D('',#575597,#452781,#452782); #372348=AXIS2_PLACEMENT_3D('',#575599,#452783,#452784); #372349=AXIS2_PLACEMENT_3D('',#575602,#452786,#452787); #372350=AXIS2_PLACEMENT_3D('',#575603,#452788,#452789); #372351=AXIS2_PLACEMENT_3D('',#575605,#452790,#452791); #372352=AXIS2_PLACEMENT_3D('',#575608,#452793,#452794); #372353=AXIS2_PLACEMENT_3D('',#575609,#452795,#452796); #372354=AXIS2_PLACEMENT_3D('',#575611,#452797,#452798); #372355=AXIS2_PLACEMENT_3D('',#575614,#452800,#452801); #372356=AXIS2_PLACEMENT_3D('',#575615,#452802,#452803); #372357=AXIS2_PLACEMENT_3D('',#575617,#452804,#452805); #372358=AXIS2_PLACEMENT_3D('',#575620,#452807,#452808); #372359=AXIS2_PLACEMENT_3D('',#575621,#452809,#452810); #372360=AXIS2_PLACEMENT_3D('',#575623,#452811,#452812); #372361=AXIS2_PLACEMENT_3D('',#575626,#452814,#452815); #372362=AXIS2_PLACEMENT_3D('',#575627,#452816,#452817); #372363=AXIS2_PLACEMENT_3D('',#575629,#452818,#452819); #372364=AXIS2_PLACEMENT_3D('',#575632,#452821,#452822); #372365=AXIS2_PLACEMENT_3D('',#575633,#452823,#452824); #372366=AXIS2_PLACEMENT_3D('',#575635,#452825,#452826); #372367=AXIS2_PLACEMENT_3D('',#575638,#452828,#452829); #372368=AXIS2_PLACEMENT_3D('',#575639,#452830,#452831); #372369=AXIS2_PLACEMENT_3D('',#575641,#452832,#452833); #372370=AXIS2_PLACEMENT_3D('',#575644,#452835,#452836); #372371=AXIS2_PLACEMENT_3D('',#575645,#452837,#452838); #372372=AXIS2_PLACEMENT_3D('',#575647,#452839,#452840); #372373=AXIS2_PLACEMENT_3D('',#575650,#452842,#452843); #372374=AXIS2_PLACEMENT_3D('',#575651,#452844,#452845); #372375=AXIS2_PLACEMENT_3D('',#575653,#452846,#452847); #372376=AXIS2_PLACEMENT_3D('',#575656,#452849,#452850); #372377=AXIS2_PLACEMENT_3D('',#575657,#452851,#452852); #372378=AXIS2_PLACEMENT_3D('',#575659,#452853,#452854); #372379=AXIS2_PLACEMENT_3D('',#575662,#452856,#452857); #372380=AXIS2_PLACEMENT_3D('',#575663,#452858,#452859); #372381=AXIS2_PLACEMENT_3D('',#575665,#452860,#452861); #372382=AXIS2_PLACEMENT_3D('',#575668,#452863,#452864); #372383=AXIS2_PLACEMENT_3D('',#575669,#452865,#452866); #372384=AXIS2_PLACEMENT_3D('',#575671,#452867,#452868); #372385=AXIS2_PLACEMENT_3D('',#575674,#452870,#452871); #372386=AXIS2_PLACEMENT_3D('',#575675,#452872,#452873); #372387=AXIS2_PLACEMENT_3D('',#575677,#452874,#452875); #372388=AXIS2_PLACEMENT_3D('',#575680,#452877,#452878); #372389=AXIS2_PLACEMENT_3D('',#575681,#452879,#452880); #372390=AXIS2_PLACEMENT_3D('',#575683,#452881,#452882); #372391=AXIS2_PLACEMENT_3D('',#575686,#452884,#452885); #372392=AXIS2_PLACEMENT_3D('',#575687,#452886,#452887); #372393=AXIS2_PLACEMENT_3D('',#575689,#452888,#452889); #372394=AXIS2_PLACEMENT_3D('',#575692,#452891,#452892); #372395=AXIS2_PLACEMENT_3D('',#575693,#452893,#452894); #372396=AXIS2_PLACEMENT_3D('',#575695,#452895,#452896); #372397=AXIS2_PLACEMENT_3D('',#575698,#452898,#452899); #372398=AXIS2_PLACEMENT_3D('',#575699,#452900,#452901); #372399=AXIS2_PLACEMENT_3D('',#575701,#452902,#452903); #372400=AXIS2_PLACEMENT_3D('',#575704,#452905,#452906); #372401=AXIS2_PLACEMENT_3D('',#575705,#452907,#452908); #372402=AXIS2_PLACEMENT_3D('',#575707,#452909,#452910); #372403=AXIS2_PLACEMENT_3D('',#575710,#452912,#452913); #372404=AXIS2_PLACEMENT_3D('',#575711,#452914,#452915); #372405=AXIS2_PLACEMENT_3D('',#575713,#452916,#452917); #372406=AXIS2_PLACEMENT_3D('',#575716,#452919,#452920); #372407=AXIS2_PLACEMENT_3D('',#575717,#452921,#452922); #372408=AXIS2_PLACEMENT_3D('',#575719,#452923,#452924); #372409=AXIS2_PLACEMENT_3D('',#575722,#452926,#452927); #372410=AXIS2_PLACEMENT_3D('',#575723,#452928,#452929); #372411=AXIS2_PLACEMENT_3D('',#575725,#452930,#452931); #372412=AXIS2_PLACEMENT_3D('',#575728,#452933,#452934); #372413=AXIS2_PLACEMENT_3D('',#575729,#452935,#452936); #372414=AXIS2_PLACEMENT_3D('',#575731,#452937,#452938); #372415=AXIS2_PLACEMENT_3D('',#575734,#452940,#452941); #372416=AXIS2_PLACEMENT_3D('',#575735,#452942,#452943); #372417=AXIS2_PLACEMENT_3D('',#575737,#452944,#452945); #372418=AXIS2_PLACEMENT_3D('',#575740,#452947,#452948); #372419=AXIS2_PLACEMENT_3D('',#575741,#452949,#452950); #372420=AXIS2_PLACEMENT_3D('',#575743,#452951,#452952); #372421=AXIS2_PLACEMENT_3D('',#575746,#452954,#452955); #372422=AXIS2_PLACEMENT_3D('',#575747,#452956,#452957); #372423=AXIS2_PLACEMENT_3D('',#575749,#452958,#452959); #372424=AXIS2_PLACEMENT_3D('',#575752,#452961,#452962); #372425=AXIS2_PLACEMENT_3D('',#575753,#452963,#452964); #372426=AXIS2_PLACEMENT_3D('',#575755,#452965,#452966); #372427=AXIS2_PLACEMENT_3D('',#575758,#452968,#452969); #372428=AXIS2_PLACEMENT_3D('',#575759,#452970,#452971); #372429=AXIS2_PLACEMENT_3D('',#575761,#452972,#452973); #372430=AXIS2_PLACEMENT_3D('',#575764,#452975,#452976); #372431=AXIS2_PLACEMENT_3D('',#575765,#452977,#452978); #372432=AXIS2_PLACEMENT_3D('',#575767,#452979,#452980); #372433=AXIS2_PLACEMENT_3D('',#575770,#452982,#452983); #372434=AXIS2_PLACEMENT_3D('',#575771,#452984,#452985); #372435=AXIS2_PLACEMENT_3D('',#575773,#452986,#452987); #372436=AXIS2_PLACEMENT_3D('',#575776,#452989,#452990); #372437=AXIS2_PLACEMENT_3D('',#575777,#452991,#452992); #372438=AXIS2_PLACEMENT_3D('',#575779,#452993,#452994); #372439=AXIS2_PLACEMENT_3D('',#575782,#452996,#452997); #372440=AXIS2_PLACEMENT_3D('',#575783,#452998,#452999); #372441=AXIS2_PLACEMENT_3D('',#575785,#453000,#453001); #372442=AXIS2_PLACEMENT_3D('',#575788,#453003,#453004); #372443=AXIS2_PLACEMENT_3D('',#575789,#453005,#453006); #372444=AXIS2_PLACEMENT_3D('',#575791,#453007,#453008); #372445=AXIS2_PLACEMENT_3D('',#575794,#453010,#453011); #372446=AXIS2_PLACEMENT_3D('',#575795,#453012,#453013); #372447=AXIS2_PLACEMENT_3D('',#575797,#453014,#453015); #372448=AXIS2_PLACEMENT_3D('',#575800,#453017,#453018); #372449=AXIS2_PLACEMENT_3D('',#575801,#453019,#453020); #372450=AXIS2_PLACEMENT_3D('',#575803,#453021,#453022); #372451=AXIS2_PLACEMENT_3D('',#575806,#453024,#453025); #372452=AXIS2_PLACEMENT_3D('',#575807,#453026,#453027); #372453=AXIS2_PLACEMENT_3D('',#575809,#453028,#453029); #372454=AXIS2_PLACEMENT_3D('',#575812,#453031,#453032); #372455=AXIS2_PLACEMENT_3D('',#575813,#453033,#453034); #372456=AXIS2_PLACEMENT_3D('',#575815,#453035,#453036); #372457=AXIS2_PLACEMENT_3D('',#575818,#453038,#453039); #372458=AXIS2_PLACEMENT_3D('',#575819,#453040,#453041); #372459=AXIS2_PLACEMENT_3D('',#575821,#453042,#453043); #372460=AXIS2_PLACEMENT_3D('',#575824,#453045,#453046); #372461=AXIS2_PLACEMENT_3D('',#575825,#453047,#453048); #372462=AXIS2_PLACEMENT_3D('',#575827,#453049,#453050); #372463=AXIS2_PLACEMENT_3D('',#575830,#453052,#453053); #372464=AXIS2_PLACEMENT_3D('',#575831,#453054,#453055); #372465=AXIS2_PLACEMENT_3D('',#575833,#453056,#453057); #372466=AXIS2_PLACEMENT_3D('',#575836,#453059,#453060); #372467=AXIS2_PLACEMENT_3D('',#575837,#453061,#453062); #372468=AXIS2_PLACEMENT_3D('',#575839,#453063,#453064); #372469=AXIS2_PLACEMENT_3D('',#575842,#453066,#453067); #372470=AXIS2_PLACEMENT_3D('',#575843,#453068,#453069); #372471=AXIS2_PLACEMENT_3D('',#575845,#453070,#453071); #372472=AXIS2_PLACEMENT_3D('',#575848,#453073,#453074); #372473=AXIS2_PLACEMENT_3D('',#575849,#453075,#453076); #372474=AXIS2_PLACEMENT_3D('',#575851,#453077,#453078); #372475=AXIS2_PLACEMENT_3D('',#575854,#453080,#453081); #372476=AXIS2_PLACEMENT_3D('',#575855,#453082,#453083); #372477=AXIS2_PLACEMENT_3D('',#575857,#453084,#453085); #372478=AXIS2_PLACEMENT_3D('',#575860,#453087,#453088); #372479=AXIS2_PLACEMENT_3D('',#575861,#453089,#453090); #372480=AXIS2_PLACEMENT_3D('',#575863,#453091,#453092); #372481=AXIS2_PLACEMENT_3D('',#575866,#453094,#453095); #372482=AXIS2_PLACEMENT_3D('',#575867,#453096,#453097); #372483=AXIS2_PLACEMENT_3D('',#575869,#453098,#453099); #372484=AXIS2_PLACEMENT_3D('',#575872,#453101,#453102); #372485=AXIS2_PLACEMENT_3D('',#575873,#453103,#453104); #372486=AXIS2_PLACEMENT_3D('',#575875,#453105,#453106); #372487=AXIS2_PLACEMENT_3D('',#575878,#453108,#453109); #372488=AXIS2_PLACEMENT_3D('',#575879,#453110,#453111); #372489=AXIS2_PLACEMENT_3D('',#575881,#453112,#453113); #372490=AXIS2_PLACEMENT_3D('',#575884,#453115,#453116); #372491=AXIS2_PLACEMENT_3D('',#575885,#453117,#453118); #372492=AXIS2_PLACEMENT_3D('',#575887,#453119,#453120); #372493=AXIS2_PLACEMENT_3D('',#575890,#453122,#453123); #372494=AXIS2_PLACEMENT_3D('',#575891,#453124,#453125); #372495=AXIS2_PLACEMENT_3D('',#575893,#453126,#453127); #372496=AXIS2_PLACEMENT_3D('',#575896,#453129,#453130); #372497=AXIS2_PLACEMENT_3D('',#575897,#453131,#453132); #372498=AXIS2_PLACEMENT_3D('',#575899,#453133,#453134); #372499=AXIS2_PLACEMENT_3D('',#575902,#453136,#453137); #372500=AXIS2_PLACEMENT_3D('',#575903,#453138,#453139); #372501=AXIS2_PLACEMENT_3D('',#575905,#453140,#453141); #372502=AXIS2_PLACEMENT_3D('',#575908,#453143,#453144); #372503=AXIS2_PLACEMENT_3D('',#575909,#453145,#453146); #372504=AXIS2_PLACEMENT_3D('',#575911,#453147,#453148); #372505=AXIS2_PLACEMENT_3D('',#575914,#453150,#453151); #372506=AXIS2_PLACEMENT_3D('',#575915,#453152,#453153); #372507=AXIS2_PLACEMENT_3D('',#575917,#453154,#453155); #372508=AXIS2_PLACEMENT_3D('',#575920,#453157,#453158); #372509=AXIS2_PLACEMENT_3D('',#575921,#453159,#453160); #372510=AXIS2_PLACEMENT_3D('',#575923,#453161,#453162); #372511=AXIS2_PLACEMENT_3D('',#575926,#453164,#453165); #372512=AXIS2_PLACEMENT_3D('',#575927,#453166,#453167); #372513=AXIS2_PLACEMENT_3D('',#575929,#453168,#453169); #372514=AXIS2_PLACEMENT_3D('',#575932,#453171,#453172); #372515=AXIS2_PLACEMENT_3D('',#575933,#453173,#453174); #372516=AXIS2_PLACEMENT_3D('',#575935,#453175,#453176); #372517=AXIS2_PLACEMENT_3D('',#575938,#453178,#453179); #372518=AXIS2_PLACEMENT_3D('',#575939,#453180,#453181); #372519=AXIS2_PLACEMENT_3D('',#575941,#453182,#453183); #372520=AXIS2_PLACEMENT_3D('',#575944,#453185,#453186); #372521=AXIS2_PLACEMENT_3D('',#575945,#453187,#453188); #372522=AXIS2_PLACEMENT_3D('',#575947,#453189,#453190); #372523=AXIS2_PLACEMENT_3D('',#575950,#453192,#453193); #372524=AXIS2_PLACEMENT_3D('',#575951,#453194,#453195); #372525=AXIS2_PLACEMENT_3D('',#575953,#453196,#453197); #372526=AXIS2_PLACEMENT_3D('',#575956,#453199,#453200); #372527=AXIS2_PLACEMENT_3D('',#575957,#453201,#453202); #372528=AXIS2_PLACEMENT_3D('',#575959,#453203,#453204); #372529=AXIS2_PLACEMENT_3D('',#575962,#453206,#453207); #372530=AXIS2_PLACEMENT_3D('',#575963,#453208,#453209); #372531=AXIS2_PLACEMENT_3D('',#575965,#453210,#453211); #372532=AXIS2_PLACEMENT_3D('',#575968,#453213,#453214); #372533=AXIS2_PLACEMENT_3D('',#575969,#453215,#453216); #372534=AXIS2_PLACEMENT_3D('',#575971,#453217,#453218); #372535=AXIS2_PLACEMENT_3D('',#575974,#453220,#453221); #372536=AXIS2_PLACEMENT_3D('',#575975,#453222,#453223); #372537=AXIS2_PLACEMENT_3D('',#575977,#453224,#453225); #372538=AXIS2_PLACEMENT_3D('',#575980,#453227,#453228); #372539=AXIS2_PLACEMENT_3D('',#575981,#453229,#453230); #372540=AXIS2_PLACEMENT_3D('',#575983,#453231,#453232); #372541=AXIS2_PLACEMENT_3D('',#575986,#453234,#453235); #372542=AXIS2_PLACEMENT_3D('',#575987,#453236,#453237); #372543=AXIS2_PLACEMENT_3D('',#575989,#453238,#453239); #372544=AXIS2_PLACEMENT_3D('',#575992,#453241,#453242); #372545=AXIS2_PLACEMENT_3D('',#575993,#453243,#453244); #372546=AXIS2_PLACEMENT_3D('',#575995,#453245,#453246); #372547=AXIS2_PLACEMENT_3D('',#575998,#453248,#453249); #372548=AXIS2_PLACEMENT_3D('',#575999,#453250,#453251); #372549=AXIS2_PLACEMENT_3D('',#576001,#453252,#453253); #372550=AXIS2_PLACEMENT_3D('',#576004,#453255,#453256); #372551=AXIS2_PLACEMENT_3D('',#576005,#453257,#453258); #372552=AXIS2_PLACEMENT_3D('',#576007,#453259,#453260); #372553=AXIS2_PLACEMENT_3D('',#576010,#453262,#453263); #372554=AXIS2_PLACEMENT_3D('',#576011,#453264,#453265); #372555=AXIS2_PLACEMENT_3D('',#576013,#453266,#453267); #372556=AXIS2_PLACEMENT_3D('',#576016,#453269,#453270); #372557=AXIS2_PLACEMENT_3D('',#576017,#453271,#453272); #372558=AXIS2_PLACEMENT_3D('',#576019,#453273,#453274); #372559=AXIS2_PLACEMENT_3D('',#576022,#453276,#453277); #372560=AXIS2_PLACEMENT_3D('',#576023,#453278,#453279); #372561=AXIS2_PLACEMENT_3D('',#576025,#453280,#453281); #372562=AXIS2_PLACEMENT_3D('',#576028,#453283,#453284); #372563=AXIS2_PLACEMENT_3D('',#576029,#453285,#453286); #372564=AXIS2_PLACEMENT_3D('',#576031,#453287,#453288); #372565=AXIS2_PLACEMENT_3D('',#576034,#453290,#453291); #372566=AXIS2_PLACEMENT_3D('',#576035,#453292,#453293); #372567=AXIS2_PLACEMENT_3D('',#576037,#453294,#453295); #372568=AXIS2_PLACEMENT_3D('',#576040,#453297,#453298); #372569=AXIS2_PLACEMENT_3D('',#576041,#453299,#453300); #372570=AXIS2_PLACEMENT_3D('',#576043,#453301,#453302); #372571=AXIS2_PLACEMENT_3D('',#576046,#453304,#453305); #372572=AXIS2_PLACEMENT_3D('',#576047,#453306,#453307); #372573=AXIS2_PLACEMENT_3D('',#576049,#453308,#453309); #372574=AXIS2_PLACEMENT_3D('',#576052,#453311,#453312); #372575=AXIS2_PLACEMENT_3D('',#576053,#453313,#453314); #372576=AXIS2_PLACEMENT_3D('',#576055,#453315,#453316); #372577=AXIS2_PLACEMENT_3D('',#576058,#453318,#453319); #372578=AXIS2_PLACEMENT_3D('',#576059,#453320,#453321); #372579=AXIS2_PLACEMENT_3D('',#576061,#453322,#453323); #372580=AXIS2_PLACEMENT_3D('',#576064,#453325,#453326); #372581=AXIS2_PLACEMENT_3D('',#576065,#453327,#453328); #372582=AXIS2_PLACEMENT_3D('',#576067,#453329,#453330); #372583=AXIS2_PLACEMENT_3D('',#576070,#453332,#453333); #372584=AXIS2_PLACEMENT_3D('',#576071,#453334,#453335); #372585=AXIS2_PLACEMENT_3D('',#576073,#453336,#453337); #372586=AXIS2_PLACEMENT_3D('',#576076,#453339,#453340); #372587=AXIS2_PLACEMENT_3D('',#576077,#453341,#453342); #372588=AXIS2_PLACEMENT_3D('',#576079,#453343,#453344); #372589=AXIS2_PLACEMENT_3D('',#576082,#453346,#453347); #372590=AXIS2_PLACEMENT_3D('',#576083,#453348,#453349); #372591=AXIS2_PLACEMENT_3D('',#576085,#453350,#453351); #372592=AXIS2_PLACEMENT_3D('',#576088,#453353,#453354); #372593=AXIS2_PLACEMENT_3D('',#576089,#453355,#453356); #372594=AXIS2_PLACEMENT_3D('',#576091,#453357,#453358); #372595=AXIS2_PLACEMENT_3D('',#576094,#453360,#453361); #372596=AXIS2_PLACEMENT_3D('',#576095,#453362,#453363); #372597=AXIS2_PLACEMENT_3D('',#576097,#453364,#453365); #372598=AXIS2_PLACEMENT_3D('',#576100,#453367,#453368); #372599=AXIS2_PLACEMENT_3D('',#576101,#453369,#453370); #372600=AXIS2_PLACEMENT_3D('',#576103,#453371,#453372); #372601=AXIS2_PLACEMENT_3D('',#576106,#453374,#453375); #372602=AXIS2_PLACEMENT_3D('',#576107,#453376,#453377); #372603=AXIS2_PLACEMENT_3D('',#576109,#453378,#453379); #372604=AXIS2_PLACEMENT_3D('',#576112,#453381,#453382); #372605=AXIS2_PLACEMENT_3D('',#576113,#453383,#453384); #372606=AXIS2_PLACEMENT_3D('',#576115,#453385,#453386); #372607=AXIS2_PLACEMENT_3D('',#576118,#453388,#453389); #372608=AXIS2_PLACEMENT_3D('',#576119,#453390,#453391); #372609=AXIS2_PLACEMENT_3D('',#576121,#453392,#453393); #372610=AXIS2_PLACEMENT_3D('',#576124,#453395,#453396); #372611=AXIS2_PLACEMENT_3D('',#576125,#453397,#453398); #372612=AXIS2_PLACEMENT_3D('',#576127,#453399,#453400); #372613=AXIS2_PLACEMENT_3D('',#576130,#453402,#453403); #372614=AXIS2_PLACEMENT_3D('',#576131,#453404,#453405); #372615=AXIS2_PLACEMENT_3D('',#576133,#453406,#453407); #372616=AXIS2_PLACEMENT_3D('',#576136,#453409,#453410); #372617=AXIS2_PLACEMENT_3D('',#576137,#453411,#453412); #372618=AXIS2_PLACEMENT_3D('',#576139,#453413,#453414); #372619=AXIS2_PLACEMENT_3D('',#576142,#453416,#453417); #372620=AXIS2_PLACEMENT_3D('',#576143,#453418,#453419); #372621=AXIS2_PLACEMENT_3D('',#576145,#453420,#453421); #372622=AXIS2_PLACEMENT_3D('',#576148,#453423,#453424); #372623=AXIS2_PLACEMENT_3D('',#576149,#453425,#453426); #372624=AXIS2_PLACEMENT_3D('',#576151,#453427,#453428); #372625=AXIS2_PLACEMENT_3D('',#576154,#453430,#453431); #372626=AXIS2_PLACEMENT_3D('',#576155,#453432,#453433); #372627=AXIS2_PLACEMENT_3D('',#576157,#453434,#453435); #372628=AXIS2_PLACEMENT_3D('',#576160,#453437,#453438); #372629=AXIS2_PLACEMENT_3D('',#576161,#453439,#453440); #372630=AXIS2_PLACEMENT_3D('',#576163,#453441,#453442); #372631=AXIS2_PLACEMENT_3D('',#576166,#453444,#453445); #372632=AXIS2_PLACEMENT_3D('',#576167,#453446,#453447); #372633=AXIS2_PLACEMENT_3D('',#576169,#453448,#453449); #372634=AXIS2_PLACEMENT_3D('',#576172,#453451,#453452); #372635=AXIS2_PLACEMENT_3D('',#576173,#453453,#453454); #372636=AXIS2_PLACEMENT_3D('',#576175,#453455,#453456); #372637=AXIS2_PLACEMENT_3D('',#576178,#453458,#453459); #372638=AXIS2_PLACEMENT_3D('',#576179,#453460,#453461); #372639=AXIS2_PLACEMENT_3D('',#576181,#453462,#453463); #372640=AXIS2_PLACEMENT_3D('',#576184,#453465,#453466); #372641=AXIS2_PLACEMENT_3D('',#576185,#453467,#453468); #372642=AXIS2_PLACEMENT_3D('',#576187,#453469,#453470); #372643=AXIS2_PLACEMENT_3D('',#576190,#453472,#453473); #372644=AXIS2_PLACEMENT_3D('',#576191,#453474,#453475); #372645=AXIS2_PLACEMENT_3D('',#576193,#453476,#453477); #372646=AXIS2_PLACEMENT_3D('',#576196,#453479,#453480); #372647=AXIS2_PLACEMENT_3D('',#576197,#453481,#453482); #372648=AXIS2_PLACEMENT_3D('',#576199,#453483,#453484); #372649=AXIS2_PLACEMENT_3D('',#576202,#453486,#453487); #372650=AXIS2_PLACEMENT_3D('',#576203,#453488,#453489); #372651=AXIS2_PLACEMENT_3D('',#576205,#453490,#453491); #372652=AXIS2_PLACEMENT_3D('',#576208,#453493,#453494); #372653=AXIS2_PLACEMENT_3D('',#576209,#453495,#453496); #372654=AXIS2_PLACEMENT_3D('',#576211,#453497,#453498); #372655=AXIS2_PLACEMENT_3D('',#576214,#453500,#453501); #372656=AXIS2_PLACEMENT_3D('',#576215,#453502,#453503); #372657=AXIS2_PLACEMENT_3D('',#576224,#453508,#453509); #372658=AXIS2_PLACEMENT_3D('',#576226,#453510,#453511); #372659=AXIS2_PLACEMENT_3D('',#576228,#453512,#453513); #372660=AXIS2_PLACEMENT_3D('',#576230,#453515,#453516); #372661=AXIS2_PLACEMENT_3D('',#576236,#453520,#453521); #372662=AXIS2_PLACEMENT_3D('',#576237,#453522,#453523); #372663=AXIS2_PLACEMENT_3D('',#576238,#453524,#453525); #372664=AXIS2_PLACEMENT_3D('',#576239,#453526,#453527); #372665=AXIS2_PLACEMENT_3D('',#576241,#453528,#453529); #372666=AXIS2_PLACEMENT_3D('',#576244,#453531,#453532); #372667=AXIS2_PLACEMENT_3D('',#576245,#453533,#453534); #372668=AXIS2_PLACEMENT_3D('',#576254,#453539,#453540); #372669=AXIS2_PLACEMENT_3D('',#576256,#453541,#453542); #372670=AXIS2_PLACEMENT_3D('',#576258,#453543,#453544); #372671=AXIS2_PLACEMENT_3D('',#576260,#453546,#453547); #372672=AXIS2_PLACEMENT_3D('',#576266,#453551,#453552); #372673=AXIS2_PLACEMENT_3D('',#576267,#453553,#453554); #372674=AXIS2_PLACEMENT_3D('',#576268,#453555,#453556); #372675=AXIS2_PLACEMENT_3D('',#576269,#453557,#453558); #372676=AXIS2_PLACEMENT_3D('',#576271,#453559,#453560); #372677=AXIS2_PLACEMENT_3D('',#576274,#453562,#453563); #372678=AXIS2_PLACEMENT_3D('',#576275,#453564,#453565); #372679=AXIS2_PLACEMENT_3D('',#576277,#453566,#453567); #372680=AXIS2_PLACEMENT_3D('',#576280,#453569,#453570); #372681=AXIS2_PLACEMENT_3D('',#576281,#453571,#453572); #372682=AXIS2_PLACEMENT_3D('',#576283,#453573,#453574); #372683=AXIS2_PLACEMENT_3D('',#576286,#453576,#453577); #372684=AXIS2_PLACEMENT_3D('',#576287,#453578,#453579); #372685=AXIS2_PLACEMENT_3D('',#576296,#453584,#453585); #372686=AXIS2_PLACEMENT_3D('',#576298,#453586,#453587); #372687=AXIS2_PLACEMENT_3D('',#576300,#453588,#453589); #372688=AXIS2_PLACEMENT_3D('',#576302,#453591,#453592); #372689=AXIS2_PLACEMENT_3D('',#576308,#453596,#453597); #372690=AXIS2_PLACEMENT_3D('',#576309,#453598,#453599); #372691=AXIS2_PLACEMENT_3D('',#576310,#453600,#453601); #372692=AXIS2_PLACEMENT_3D('',#576311,#453602,#453603); #372693=AXIS2_PLACEMENT_3D('',#576313,#453604,#453605); #372694=AXIS2_PLACEMENT_3D('',#576316,#453607,#453608); #372695=AXIS2_PLACEMENT_3D('',#576317,#453609,#453610); #372696=AXIS2_PLACEMENT_3D('',#576319,#453611,#453612); #372697=AXIS2_PLACEMENT_3D('',#576322,#453614,#453615); #372698=AXIS2_PLACEMENT_3D('',#576323,#453616,#453617); #372699=AXIS2_PLACEMENT_3D('',#576325,#453618,#453619); #372700=AXIS2_PLACEMENT_3D('',#576328,#453621,#453622); #372701=AXIS2_PLACEMENT_3D('',#576329,#453623,#453624); #372702=AXIS2_PLACEMENT_3D('',#576331,#453625,#453626); #372703=AXIS2_PLACEMENT_3D('',#576334,#453628,#453629); #372704=AXIS2_PLACEMENT_3D('',#576335,#453630,#453631); #372705=AXIS2_PLACEMENT_3D('',#576337,#453632,#453633); #372706=AXIS2_PLACEMENT_3D('',#576340,#453635,#453636); #372707=AXIS2_PLACEMENT_3D('',#576341,#453637,#453638); #372708=AXIS2_PLACEMENT_3D('',#576343,#453639,#453640); #372709=AXIS2_PLACEMENT_3D('',#576346,#453642,#453643); #372710=AXIS2_PLACEMENT_3D('',#576347,#453644,#453645); #372711=AXIS2_PLACEMENT_3D('',#576349,#453646,#453647); #372712=AXIS2_PLACEMENT_3D('',#576352,#453649,#453650); #372713=AXIS2_PLACEMENT_3D('',#576353,#453651,#453652); #372714=AXIS2_PLACEMENT_3D('',#576355,#453653,#453654); #372715=AXIS2_PLACEMENT_3D('',#576358,#453656,#453657); #372716=AXIS2_PLACEMENT_3D('',#576359,#453658,#453659); #372717=AXIS2_PLACEMENT_3D('',#576361,#453660,#453661); #372718=AXIS2_PLACEMENT_3D('',#576364,#453663,#453664); #372719=AXIS2_PLACEMENT_3D('',#576365,#453665,#453666); #372720=AXIS2_PLACEMENT_3D('',#576367,#453667,#453668); #372721=AXIS2_PLACEMENT_3D('',#576370,#453670,#453671); #372722=AXIS2_PLACEMENT_3D('',#576371,#453672,#453673); #372723=AXIS2_PLACEMENT_3D('',#576380,#453678,#453679); #372724=AXIS2_PLACEMENT_3D('',#576382,#453680,#453681); #372725=AXIS2_PLACEMENT_3D('',#576384,#453682,#453683); #372726=AXIS2_PLACEMENT_3D('',#576386,#453685,#453686); #372727=AXIS2_PLACEMENT_3D('',#576392,#453690,#453691); #372728=AXIS2_PLACEMENT_3D('',#576393,#453692,#453693); #372729=AXIS2_PLACEMENT_3D('',#576394,#453694,#453695); #372730=AXIS2_PLACEMENT_3D('',#576395,#453696,#453697); #372731=AXIS2_PLACEMENT_3D('',#576397,#453698,#453699); #372732=AXIS2_PLACEMENT_3D('',#576400,#453701,#453702); #372733=AXIS2_PLACEMENT_3D('',#576401,#453703,#453704); #372734=AXIS2_PLACEMENT_3D('',#576410,#453709,#453710); #372735=AXIS2_PLACEMENT_3D('',#576412,#453711,#453712); #372736=AXIS2_PLACEMENT_3D('',#576414,#453713,#453714); #372737=AXIS2_PLACEMENT_3D('',#576416,#453716,#453717); #372738=AXIS2_PLACEMENT_3D('',#576422,#453721,#453722); #372739=AXIS2_PLACEMENT_3D('',#576423,#453723,#453724); #372740=AXIS2_PLACEMENT_3D('',#576424,#453725,#453726); #372741=AXIS2_PLACEMENT_3D('',#576425,#453727,#453728); #372742=AXIS2_PLACEMENT_3D('',#576427,#453729,#453730); #372743=AXIS2_PLACEMENT_3D('',#576430,#453732,#453733); #372744=AXIS2_PLACEMENT_3D('',#576431,#453734,#453735); #372745=AXIS2_PLACEMENT_3D('',#576440,#453740,#453741); #372746=AXIS2_PLACEMENT_3D('',#576442,#453742,#453743); #372747=AXIS2_PLACEMENT_3D('',#576444,#453744,#453745); #372748=AXIS2_PLACEMENT_3D('',#576446,#453747,#453748); #372749=AXIS2_PLACEMENT_3D('',#576452,#453752,#453753); #372750=AXIS2_PLACEMENT_3D('',#576453,#453754,#453755); #372751=AXIS2_PLACEMENT_3D('',#576454,#453756,#453757); #372752=AXIS2_PLACEMENT_3D('',#576455,#453758,#453759); #372753=AXIS2_PLACEMENT_3D('',#576457,#453760,#453761); #372754=AXIS2_PLACEMENT_3D('',#576460,#453763,#453764); #372755=AXIS2_PLACEMENT_3D('',#576461,#453765,#453766); #372756=AXIS2_PLACEMENT_3D('',#576463,#453767,#453768); #372757=AXIS2_PLACEMENT_3D('',#576466,#453770,#453771); #372758=AXIS2_PLACEMENT_3D('',#576467,#453772,#453773); #372759=AXIS2_PLACEMENT_3D('',#576469,#453774,#453775); #372760=AXIS2_PLACEMENT_3D('',#576472,#453777,#453778); #372761=AXIS2_PLACEMENT_3D('',#576473,#453779,#453780); #372762=AXIS2_PLACEMENT_3D('',#576475,#453781,#453782); #372763=AXIS2_PLACEMENT_3D('',#576478,#453784,#453785); #372764=AXIS2_PLACEMENT_3D('',#576479,#453786,#453787); #372765=AXIS2_PLACEMENT_3D('',#576481,#453788,#453789); #372766=AXIS2_PLACEMENT_3D('',#576484,#453791,#453792); #372767=AXIS2_PLACEMENT_3D('',#576485,#453793,#453794); #372768=AXIS2_PLACEMENT_3D('',#576487,#453795,#453796); #372769=AXIS2_PLACEMENT_3D('',#576490,#453798,#453799); #372770=AXIS2_PLACEMENT_3D('',#576491,#453800,#453801); #372771=AXIS2_PLACEMENT_3D('',#576493,#453802,#453803); #372772=AXIS2_PLACEMENT_3D('',#576496,#453805,#453806); #372773=AXIS2_PLACEMENT_3D('',#576497,#453807,#453808); #372774=AXIS2_PLACEMENT_3D('',#576499,#453809,#453810); #372775=AXIS2_PLACEMENT_3D('',#576502,#453812,#453813); #372776=AXIS2_PLACEMENT_3D('',#576503,#453814,#453815); #372777=AXIS2_PLACEMENT_3D('',#576505,#453816,#453817); #372778=AXIS2_PLACEMENT_3D('',#576508,#453819,#453820); #372779=AXIS2_PLACEMENT_3D('',#576509,#453821,#453822); #372780=AXIS2_PLACEMENT_3D('',#576511,#453823,#453824); #372781=AXIS2_PLACEMENT_3D('',#576514,#453826,#453827); #372782=AXIS2_PLACEMENT_3D('',#576515,#453828,#453829); #372783=AXIS2_PLACEMENT_3D('',#576517,#453830,#453831); #372784=AXIS2_PLACEMENT_3D('',#576520,#453833,#453834); #372785=AXIS2_PLACEMENT_3D('',#576521,#453835,#453836); #372786=AXIS2_PLACEMENT_3D('',#576523,#453837,#453838); #372787=AXIS2_PLACEMENT_3D('',#576526,#453840,#453841); #372788=AXIS2_PLACEMENT_3D('',#576527,#453842,#453843); #372789=AXIS2_PLACEMENT_3D('',#576529,#453844,#453845); #372790=AXIS2_PLACEMENT_3D('',#576532,#453847,#453848); #372791=AXIS2_PLACEMENT_3D('',#576533,#453849,#453850); #372792=AXIS2_PLACEMENT_3D('',#576535,#453851,#453852); #372793=AXIS2_PLACEMENT_3D('',#576538,#453854,#453855); #372794=AXIS2_PLACEMENT_3D('',#576539,#453856,#453857); #372795=AXIS2_PLACEMENT_3D('',#576541,#453858,#453859); #372796=AXIS2_PLACEMENT_3D('',#576544,#453861,#453862); #372797=AXIS2_PLACEMENT_3D('',#576545,#453863,#453864); #372798=AXIS2_PLACEMENT_3D('',#576547,#453865,#453866); #372799=AXIS2_PLACEMENT_3D('',#576550,#453868,#453869); #372800=AXIS2_PLACEMENT_3D('',#576551,#453870,#453871); #372801=AXIS2_PLACEMENT_3D('',#576553,#453872,#453873); #372802=AXIS2_PLACEMENT_3D('',#576556,#453875,#453876); #372803=AXIS2_PLACEMENT_3D('',#576557,#453877,#453878); #372804=AXIS2_PLACEMENT_3D('',#576559,#453879,#453880); #372805=AXIS2_PLACEMENT_3D('',#576562,#453882,#453883); #372806=AXIS2_PLACEMENT_3D('',#576563,#453884,#453885); #372807=AXIS2_PLACEMENT_3D('',#576565,#453886,#453887); #372808=AXIS2_PLACEMENT_3D('',#576568,#453889,#453890); #372809=AXIS2_PLACEMENT_3D('',#576569,#453891,#453892); #372810=AXIS2_PLACEMENT_3D('',#576571,#453893,#453894); #372811=AXIS2_PLACEMENT_3D('',#576574,#453896,#453897); #372812=AXIS2_PLACEMENT_3D('',#576575,#453898,#453899); #372813=AXIS2_PLACEMENT_3D('',#576577,#453900,#453901); #372814=AXIS2_PLACEMENT_3D('',#576580,#453903,#453904); #372815=AXIS2_PLACEMENT_3D('',#576581,#453905,#453906); #372816=AXIS2_PLACEMENT_3D('',#576583,#453907,#453908); #372817=AXIS2_PLACEMENT_3D('',#576586,#453910,#453911); #372818=AXIS2_PLACEMENT_3D('',#576587,#453912,#453913); #372819=AXIS2_PLACEMENT_3D('',#576589,#453914,#453915); #372820=AXIS2_PLACEMENT_3D('',#576592,#453917,#453918); #372821=AXIS2_PLACEMENT_3D('',#576593,#453919,#453920); #372822=AXIS2_PLACEMENT_3D('',#576595,#453921,#453922); #372823=AXIS2_PLACEMENT_3D('',#576598,#453924,#453925); #372824=AXIS2_PLACEMENT_3D('',#576599,#453926,#453927); #372825=AXIS2_PLACEMENT_3D('',#576601,#453928,#453929); #372826=AXIS2_PLACEMENT_3D('',#576604,#453931,#453932); #372827=AXIS2_PLACEMENT_3D('',#576605,#453933,#453934); #372828=AXIS2_PLACEMENT_3D('',#576607,#453935,#453936); #372829=AXIS2_PLACEMENT_3D('',#576610,#453938,#453939); #372830=AXIS2_PLACEMENT_3D('',#576611,#453940,#453941); #372831=AXIS2_PLACEMENT_3D('',#576613,#453942,#453943); #372832=AXIS2_PLACEMENT_3D('',#576616,#453945,#453946); #372833=AXIS2_PLACEMENT_3D('',#576617,#453947,#453948); #372834=AXIS2_PLACEMENT_3D('',#576619,#453949,#453950); #372835=AXIS2_PLACEMENT_3D('',#576622,#453952,#453953); #372836=AXIS2_PLACEMENT_3D('',#576623,#453954,#453955); #372837=AXIS2_PLACEMENT_3D('',#576625,#453956,#453957); #372838=AXIS2_PLACEMENT_3D('',#576628,#453959,#453960); #372839=AXIS2_PLACEMENT_3D('',#576629,#453961,#453962); #372840=AXIS2_PLACEMENT_3D('',#576631,#453963,#453964); #372841=AXIS2_PLACEMENT_3D('',#576634,#453966,#453967); #372842=AXIS2_PLACEMENT_3D('',#576635,#453968,#453969); #372843=AXIS2_PLACEMENT_3D('',#576637,#453970,#453971); #372844=AXIS2_PLACEMENT_3D('',#576640,#453973,#453974); #372845=AXIS2_PLACEMENT_3D('',#576641,#453975,#453976); #372846=AXIS2_PLACEMENT_3D('',#576643,#453977,#453978); #372847=AXIS2_PLACEMENT_3D('',#576646,#453980,#453981); #372848=AXIS2_PLACEMENT_3D('',#576647,#453982,#453983); #372849=AXIS2_PLACEMENT_3D('',#576649,#453984,#453985); #372850=AXIS2_PLACEMENT_3D('',#576652,#453987,#453988); #372851=AXIS2_PLACEMENT_3D('',#576653,#453989,#453990); #372852=AXIS2_PLACEMENT_3D('',#576655,#453991,#453992); #372853=AXIS2_PLACEMENT_3D('',#576658,#453994,#453995); #372854=AXIS2_PLACEMENT_3D('',#576659,#453996,#453997); #372855=AXIS2_PLACEMENT_3D('',#576661,#453998,#453999); #372856=AXIS2_PLACEMENT_3D('',#576664,#454001,#454002); #372857=AXIS2_PLACEMENT_3D('',#576665,#454003,#454004); #372858=AXIS2_PLACEMENT_3D('',#576667,#454005,#454006); #372859=AXIS2_PLACEMENT_3D('',#576670,#454008,#454009); #372860=AXIS2_PLACEMENT_3D('',#576671,#454010,#454011); #372861=AXIS2_PLACEMENT_3D('',#576673,#454012,#454013); #372862=AXIS2_PLACEMENT_3D('',#576676,#454015,#454016); #372863=AXIS2_PLACEMENT_3D('',#576677,#454017,#454018); #372864=AXIS2_PLACEMENT_3D('',#576679,#454019,#454020); #372865=AXIS2_PLACEMENT_3D('',#576682,#454022,#454023); #372866=AXIS2_PLACEMENT_3D('',#576683,#454024,#454025); #372867=AXIS2_PLACEMENT_3D('',#576685,#454026,#454027); #372868=AXIS2_PLACEMENT_3D('',#576688,#454029,#454030); #372869=AXIS2_PLACEMENT_3D('',#576689,#454031,#454032); #372870=AXIS2_PLACEMENT_3D('',#576691,#454033,#454034); #372871=AXIS2_PLACEMENT_3D('',#576694,#454036,#454037); #372872=AXIS2_PLACEMENT_3D('',#576695,#454038,#454039); #372873=AXIS2_PLACEMENT_3D('',#576697,#454040,#454041); #372874=AXIS2_PLACEMENT_3D('',#576700,#454043,#454044); #372875=AXIS2_PLACEMENT_3D('',#576701,#454045,#454046); #372876=AXIS2_PLACEMENT_3D('',#576703,#454047,#454048); #372877=AXIS2_PLACEMENT_3D('',#576706,#454050,#454051); #372878=AXIS2_PLACEMENT_3D('',#576707,#454052,#454053); #372879=AXIS2_PLACEMENT_3D('',#576709,#454054,#454055); #372880=AXIS2_PLACEMENT_3D('',#576712,#454057,#454058); #372881=AXIS2_PLACEMENT_3D('',#576713,#454059,#454060); #372882=AXIS2_PLACEMENT_3D('',#576715,#454061,#454062); #372883=AXIS2_PLACEMENT_3D('',#576718,#454064,#454065); #372884=AXIS2_PLACEMENT_3D('',#576719,#454066,#454067); #372885=AXIS2_PLACEMENT_3D('',#576721,#454068,#454069); #372886=AXIS2_PLACEMENT_3D('',#576724,#454071,#454072); #372887=AXIS2_PLACEMENT_3D('',#576725,#454073,#454074); #372888=AXIS2_PLACEMENT_3D('',#576727,#454075,#454076); #372889=AXIS2_PLACEMENT_3D('',#576730,#454078,#454079); #372890=AXIS2_PLACEMENT_3D('',#576731,#454080,#454081); #372891=AXIS2_PLACEMENT_3D('',#576733,#454082,#454083); #372892=AXIS2_PLACEMENT_3D('',#576736,#454085,#454086); #372893=AXIS2_PLACEMENT_3D('',#576737,#454087,#454088); #372894=AXIS2_PLACEMENT_3D('',#576739,#454089,#454090); #372895=AXIS2_PLACEMENT_3D('',#576742,#454092,#454093); #372896=AXIS2_PLACEMENT_3D('',#576743,#454094,#454095); #372897=AXIS2_PLACEMENT_3D('',#576745,#454096,#454097); #372898=AXIS2_PLACEMENT_3D('',#576748,#454099,#454100); #372899=AXIS2_PLACEMENT_3D('',#576749,#454101,#454102); #372900=AXIS2_PLACEMENT_3D('',#576751,#454103,#454104); #372901=AXIS2_PLACEMENT_3D('',#576754,#454106,#454107); #372902=AXIS2_PLACEMENT_3D('',#576755,#454108,#454109); #372903=AXIS2_PLACEMENT_3D('',#576757,#454110,#454111); #372904=AXIS2_PLACEMENT_3D('',#576760,#454113,#454114); #372905=AXIS2_PLACEMENT_3D('',#576761,#454115,#454116); #372906=AXIS2_PLACEMENT_3D('',#576763,#454117,#454118); #372907=AXIS2_PLACEMENT_3D('',#576766,#454120,#454121); #372908=AXIS2_PLACEMENT_3D('',#576767,#454122,#454123); #372909=AXIS2_PLACEMENT_3D('',#576769,#454124,#454125); #372910=AXIS2_PLACEMENT_3D('',#576772,#454127,#454128); #372911=AXIS2_PLACEMENT_3D('',#576773,#454129,#454130); #372912=AXIS2_PLACEMENT_3D('',#576775,#454131,#454132); #372913=AXIS2_PLACEMENT_3D('',#576778,#454134,#454135); #372914=AXIS2_PLACEMENT_3D('',#576779,#454136,#454137); #372915=AXIS2_PLACEMENT_3D('',#576781,#454138,#454139); #372916=AXIS2_PLACEMENT_3D('',#576784,#454141,#454142); #372917=AXIS2_PLACEMENT_3D('',#576785,#454143,#454144); #372918=AXIS2_PLACEMENT_3D('',#576787,#454145,#454146); #372919=AXIS2_PLACEMENT_3D('',#576790,#454148,#454149); #372920=AXIS2_PLACEMENT_3D('',#576791,#454150,#454151); #372921=AXIS2_PLACEMENT_3D('',#576793,#454152,#454153); #372922=AXIS2_PLACEMENT_3D('',#576796,#454155,#454156); #372923=AXIS2_PLACEMENT_3D('',#576797,#454157,#454158); #372924=AXIS2_PLACEMENT_3D('',#576799,#454159,#454160); #372925=AXIS2_PLACEMENT_3D('',#576802,#454162,#454163); #372926=AXIS2_PLACEMENT_3D('',#576803,#454164,#454165); #372927=AXIS2_PLACEMENT_3D('',#576805,#454166,#454167); #372928=AXIS2_PLACEMENT_3D('',#576808,#454169,#454170); #372929=AXIS2_PLACEMENT_3D('',#576809,#454171,#454172); #372930=AXIS2_PLACEMENT_3D('',#576811,#454173,#454174); #372931=AXIS2_PLACEMENT_3D('',#576814,#454176,#454177); #372932=AXIS2_PLACEMENT_3D('',#576815,#454178,#454179); #372933=AXIS2_PLACEMENT_3D('',#576817,#454180,#454181); #372934=AXIS2_PLACEMENT_3D('',#576820,#454183,#454184); #372935=AXIS2_PLACEMENT_3D('',#576821,#454185,#454186); #372936=AXIS2_PLACEMENT_3D('',#576823,#454187,#454188); #372937=AXIS2_PLACEMENT_3D('',#576826,#454190,#454191); #372938=AXIS2_PLACEMENT_3D('',#576827,#454192,#454193); #372939=AXIS2_PLACEMENT_3D('',#576829,#454194,#454195); #372940=AXIS2_PLACEMENT_3D('',#576832,#454197,#454198); #372941=AXIS2_PLACEMENT_3D('',#576833,#454199,#454200); #372942=AXIS2_PLACEMENT_3D('',#576835,#454201,#454202); #372943=AXIS2_PLACEMENT_3D('',#576838,#454204,#454205); #372944=AXIS2_PLACEMENT_3D('',#576839,#454206,#454207); #372945=AXIS2_PLACEMENT_3D('',#576841,#454208,#454209); #372946=AXIS2_PLACEMENT_3D('',#576844,#454211,#454212); #372947=AXIS2_PLACEMENT_3D('',#576845,#454213,#454214); #372948=AXIS2_PLACEMENT_3D('',#576847,#454215,#454216); #372949=AXIS2_PLACEMENT_3D('',#576850,#454218,#454219); #372950=AXIS2_PLACEMENT_3D('',#576851,#454220,#454221); #372951=AXIS2_PLACEMENT_3D('',#576853,#454222,#454223); #372952=AXIS2_PLACEMENT_3D('',#576856,#454225,#454226); #372953=AXIS2_PLACEMENT_3D('',#576857,#454227,#454228); #372954=AXIS2_PLACEMENT_3D('',#576859,#454229,#454230); #372955=AXIS2_PLACEMENT_3D('',#576862,#454232,#454233); #372956=AXIS2_PLACEMENT_3D('',#576863,#454234,#454235); #372957=AXIS2_PLACEMENT_3D('',#576865,#454236,#454237); #372958=AXIS2_PLACEMENT_3D('',#576868,#454239,#454240); #372959=AXIS2_PLACEMENT_3D('',#576869,#454241,#454242); #372960=AXIS2_PLACEMENT_3D('',#576871,#454243,#454244); #372961=AXIS2_PLACEMENT_3D('',#576874,#454246,#454247); #372962=AXIS2_PLACEMENT_3D('',#576875,#454248,#454249); #372963=AXIS2_PLACEMENT_3D('',#576877,#454250,#454251); #372964=AXIS2_PLACEMENT_3D('',#576880,#454253,#454254); #372965=AXIS2_PLACEMENT_3D('',#576881,#454255,#454256); #372966=AXIS2_PLACEMENT_3D('',#576883,#454257,#454258); #372967=AXIS2_PLACEMENT_3D('',#576886,#454260,#454261); #372968=AXIS2_PLACEMENT_3D('',#576887,#454262,#454263); #372969=AXIS2_PLACEMENT_3D('',#576889,#454264,#454265); #372970=AXIS2_PLACEMENT_3D('',#576892,#454267,#454268); #372971=AXIS2_PLACEMENT_3D('',#576893,#454269,#454270); #372972=AXIS2_PLACEMENT_3D('',#576895,#454271,#454272); #372973=AXIS2_PLACEMENT_3D('',#576898,#454274,#454275); #372974=AXIS2_PLACEMENT_3D('',#576899,#454276,#454277); #372975=AXIS2_PLACEMENT_3D('',#576901,#454278,#454279); #372976=AXIS2_PLACEMENT_3D('',#576904,#454281,#454282); #372977=AXIS2_PLACEMENT_3D('',#576905,#454283,#454284); #372978=AXIS2_PLACEMENT_3D('',#576907,#454285,#454286); #372979=AXIS2_PLACEMENT_3D('',#576910,#454288,#454289); #372980=AXIS2_PLACEMENT_3D('',#576911,#454290,#454291); #372981=AXIS2_PLACEMENT_3D('',#576913,#454292,#454293); #372982=AXIS2_PLACEMENT_3D('',#576916,#454295,#454296); #372983=AXIS2_PLACEMENT_3D('',#576917,#454297,#454298); #372984=AXIS2_PLACEMENT_3D('',#576919,#454299,#454300); #372985=AXIS2_PLACEMENT_3D('',#576922,#454302,#454303); #372986=AXIS2_PLACEMENT_3D('',#576923,#454304,#454305); #372987=AXIS2_PLACEMENT_3D('',#576925,#454306,#454307); #372988=AXIS2_PLACEMENT_3D('',#576928,#454309,#454310); #372989=AXIS2_PLACEMENT_3D('',#576929,#454311,#454312); #372990=AXIS2_PLACEMENT_3D('',#576931,#454313,#454314); #372991=AXIS2_PLACEMENT_3D('',#576934,#454316,#454317); #372992=AXIS2_PLACEMENT_3D('',#576935,#454318,#454319); #372993=AXIS2_PLACEMENT_3D('',#576937,#454320,#454321); #372994=AXIS2_PLACEMENT_3D('',#576940,#454323,#454324); #372995=AXIS2_PLACEMENT_3D('',#576941,#454325,#454326); #372996=AXIS2_PLACEMENT_3D('',#576943,#454327,#454328); #372997=AXIS2_PLACEMENT_3D('',#576946,#454330,#454331); #372998=AXIS2_PLACEMENT_3D('',#576947,#454332,#454333); #372999=AXIS2_PLACEMENT_3D('',#576949,#454334,#454335); #373000=AXIS2_PLACEMENT_3D('',#576952,#454337,#454338); #373001=AXIS2_PLACEMENT_3D('',#576953,#454339,#454340); #373002=AXIS2_PLACEMENT_3D('',#576955,#454341,#454342); #373003=AXIS2_PLACEMENT_3D('',#576958,#454344,#454345); #373004=AXIS2_PLACEMENT_3D('',#576959,#454346,#454347); #373005=AXIS2_PLACEMENT_3D('',#576961,#454348,#454349); #373006=AXIS2_PLACEMENT_3D('',#576964,#454351,#454352); #373007=AXIS2_PLACEMENT_3D('',#576965,#454353,#454354); #373008=AXIS2_PLACEMENT_3D('',#576967,#454355,#454356); #373009=AXIS2_PLACEMENT_3D('',#576970,#454358,#454359); #373010=AXIS2_PLACEMENT_3D('',#576971,#454360,#454361); #373011=AXIS2_PLACEMENT_3D('',#576973,#454362,#454363); #373012=AXIS2_PLACEMENT_3D('',#576976,#454365,#454366); #373013=AXIS2_PLACEMENT_3D('',#576977,#454367,#454368); #373014=AXIS2_PLACEMENT_3D('',#576979,#454369,#454370); #373015=AXIS2_PLACEMENT_3D('',#576982,#454372,#454373); #373016=AXIS2_PLACEMENT_3D('',#576983,#454374,#454375); #373017=AXIS2_PLACEMENT_3D('',#576985,#454376,#454377); #373018=AXIS2_PLACEMENT_3D('',#576988,#454379,#454380); #373019=AXIS2_PLACEMENT_3D('',#576989,#454381,#454382); #373020=AXIS2_PLACEMENT_3D('',#576991,#454383,#454384); #373021=AXIS2_PLACEMENT_3D('',#576994,#454386,#454387); #373022=AXIS2_PLACEMENT_3D('',#576995,#454388,#454389); #373023=AXIS2_PLACEMENT_3D('',#576997,#454390,#454391); #373024=AXIS2_PLACEMENT_3D('',#577000,#454393,#454394); #373025=AXIS2_PLACEMENT_3D('',#577001,#454395,#454396); #373026=AXIS2_PLACEMENT_3D('',#577003,#454397,#454398); #373027=AXIS2_PLACEMENT_3D('',#577006,#454400,#454401); #373028=AXIS2_PLACEMENT_3D('',#577007,#454402,#454403); #373029=AXIS2_PLACEMENT_3D('',#577009,#454404,#454405); #373030=AXIS2_PLACEMENT_3D('',#577012,#454407,#454408); #373031=AXIS2_PLACEMENT_3D('',#577013,#454409,#454410); #373032=AXIS2_PLACEMENT_3D('',#577015,#454411,#454412); #373033=AXIS2_PLACEMENT_3D('',#577018,#454414,#454415); #373034=AXIS2_PLACEMENT_3D('',#577019,#454416,#454417); #373035=AXIS2_PLACEMENT_3D('',#577021,#454418,#454419); #373036=AXIS2_PLACEMENT_3D('',#577024,#454421,#454422); #373037=AXIS2_PLACEMENT_3D('',#577025,#454423,#454424); #373038=AXIS2_PLACEMENT_3D('',#577027,#454425,#454426); #373039=AXIS2_PLACEMENT_3D('',#577030,#454428,#454429); #373040=AXIS2_PLACEMENT_3D('',#577031,#454430,#454431); #373041=AXIS2_PLACEMENT_3D('',#577033,#454432,#454433); #373042=AXIS2_PLACEMENT_3D('',#577036,#454435,#454436); #373043=AXIS2_PLACEMENT_3D('',#577037,#454437,#454438); #373044=AXIS2_PLACEMENT_3D('',#577039,#454439,#454440); #373045=AXIS2_PLACEMENT_3D('',#577042,#454442,#454443); #373046=AXIS2_PLACEMENT_3D('',#577043,#454444,#454445); #373047=AXIS2_PLACEMENT_3D('',#577045,#454446,#454447); #373048=AXIS2_PLACEMENT_3D('',#577048,#454449,#454450); #373049=AXIS2_PLACEMENT_3D('',#577049,#454451,#454452); #373050=AXIS2_PLACEMENT_3D('',#577051,#454453,#454454); #373051=AXIS2_PLACEMENT_3D('',#577054,#454456,#454457); #373052=AXIS2_PLACEMENT_3D('',#577055,#454458,#454459); #373053=AXIS2_PLACEMENT_3D('',#577057,#454460,#454461); #373054=AXIS2_PLACEMENT_3D('',#577060,#454463,#454464); #373055=AXIS2_PLACEMENT_3D('',#577061,#454465,#454466); #373056=AXIS2_PLACEMENT_3D('',#577063,#454467,#454468); #373057=AXIS2_PLACEMENT_3D('',#577066,#454470,#454471); #373058=AXIS2_PLACEMENT_3D('',#577067,#454472,#454473); #373059=AXIS2_PLACEMENT_3D('',#577069,#454474,#454475); #373060=AXIS2_PLACEMENT_3D('',#577072,#454477,#454478); #373061=AXIS2_PLACEMENT_3D('',#577073,#454479,#454480); #373062=AXIS2_PLACEMENT_3D('',#577075,#454481,#454482); #373063=AXIS2_PLACEMENT_3D('',#577078,#454484,#454485); #373064=AXIS2_PLACEMENT_3D('',#577079,#454486,#454487); #373065=AXIS2_PLACEMENT_3D('',#577081,#454488,#454489); #373066=AXIS2_PLACEMENT_3D('',#577084,#454491,#454492); #373067=AXIS2_PLACEMENT_3D('',#577085,#454493,#454494); #373068=AXIS2_PLACEMENT_3D('',#577087,#454495,#454496); #373069=AXIS2_PLACEMENT_3D('',#577090,#454498,#454499); #373070=AXIS2_PLACEMENT_3D('',#577091,#454500,#454501); #373071=AXIS2_PLACEMENT_3D('',#577093,#454502,#454503); #373072=AXIS2_PLACEMENT_3D('',#577096,#454505,#454506); #373073=AXIS2_PLACEMENT_3D('',#577097,#454507,#454508); #373074=AXIS2_PLACEMENT_3D('',#577099,#454509,#454510); #373075=AXIS2_PLACEMENT_3D('',#577102,#454512,#454513); #373076=AXIS2_PLACEMENT_3D('',#577103,#454514,#454515); #373077=AXIS2_PLACEMENT_3D('',#577105,#454516,#454517); #373078=AXIS2_PLACEMENT_3D('',#577108,#454519,#454520); #373079=AXIS2_PLACEMENT_3D('',#577109,#454521,#454522); #373080=AXIS2_PLACEMENT_3D('',#577111,#454523,#454524); #373081=AXIS2_PLACEMENT_3D('',#577114,#454526,#454527); #373082=AXIS2_PLACEMENT_3D('',#577115,#454528,#454529); #373083=AXIS2_PLACEMENT_3D('',#577117,#454530,#454531); #373084=AXIS2_PLACEMENT_3D('',#577120,#454533,#454534); #373085=AXIS2_PLACEMENT_3D('',#577121,#454535,#454536); #373086=AXIS2_PLACEMENT_3D('',#577123,#454537,#454538); #373087=AXIS2_PLACEMENT_3D('',#577126,#454540,#454541); #373088=AXIS2_PLACEMENT_3D('',#577127,#454542,#454543); #373089=AXIS2_PLACEMENT_3D('',#577129,#454544,#454545); #373090=AXIS2_PLACEMENT_3D('',#577132,#454547,#454548); #373091=AXIS2_PLACEMENT_3D('',#577133,#454549,#454550); #373092=AXIS2_PLACEMENT_3D('',#577135,#454551,#454552); #373093=AXIS2_PLACEMENT_3D('',#577138,#454554,#454555); #373094=AXIS2_PLACEMENT_3D('',#577139,#454556,#454557); #373095=AXIS2_PLACEMENT_3D('',#577141,#454558,#454559); #373096=AXIS2_PLACEMENT_3D('',#577144,#454561,#454562); #373097=AXIS2_PLACEMENT_3D('',#577145,#454563,#454564); #373098=AXIS2_PLACEMENT_3D('',#577147,#454565,#454566); #373099=AXIS2_PLACEMENT_3D('',#577150,#454568,#454569); #373100=AXIS2_PLACEMENT_3D('',#577151,#454570,#454571); #373101=AXIS2_PLACEMENT_3D('',#577153,#454572,#454573); #373102=AXIS2_PLACEMENT_3D('',#577156,#454575,#454576); #373103=AXIS2_PLACEMENT_3D('',#577157,#454577,#454578); #373104=AXIS2_PLACEMENT_3D('',#577159,#454579,#454580); #373105=AXIS2_PLACEMENT_3D('',#577162,#454582,#454583); #373106=AXIS2_PLACEMENT_3D('',#577163,#454584,#454585); #373107=AXIS2_PLACEMENT_3D('',#577165,#454586,#454587); #373108=AXIS2_PLACEMENT_3D('',#577168,#454589,#454590); #373109=AXIS2_PLACEMENT_3D('',#577169,#454591,#454592); #373110=AXIS2_PLACEMENT_3D('',#577171,#454593,#454594); #373111=AXIS2_PLACEMENT_3D('',#577174,#454596,#454597); #373112=AXIS2_PLACEMENT_3D('',#577175,#454598,#454599); #373113=AXIS2_PLACEMENT_3D('',#577177,#454600,#454601); #373114=AXIS2_PLACEMENT_3D('',#577180,#454603,#454604); #373115=AXIS2_PLACEMENT_3D('',#577181,#454605,#454606); #373116=AXIS2_PLACEMENT_3D('',#577183,#454607,#454608); #373117=AXIS2_PLACEMENT_3D('',#577186,#454610,#454611); #373118=AXIS2_PLACEMENT_3D('',#577187,#454612,#454613); #373119=AXIS2_PLACEMENT_3D('',#577189,#454614,#454615); #373120=AXIS2_PLACEMENT_3D('',#577192,#454617,#454618); #373121=AXIS2_PLACEMENT_3D('',#577193,#454619,#454620); #373122=AXIS2_PLACEMENT_3D('',#577195,#454621,#454622); #373123=AXIS2_PLACEMENT_3D('',#577198,#454624,#454625); #373124=AXIS2_PLACEMENT_3D('',#577199,#454626,#454627); #373125=AXIS2_PLACEMENT_3D('',#577201,#454628,#454629); #373126=AXIS2_PLACEMENT_3D('',#577204,#454631,#454632); #373127=AXIS2_PLACEMENT_3D('',#577205,#454633,#454634); #373128=AXIS2_PLACEMENT_3D('',#577207,#454635,#454636); #373129=AXIS2_PLACEMENT_3D('',#577210,#454638,#454639); #373130=AXIS2_PLACEMENT_3D('',#577211,#454640,#454641); #373131=AXIS2_PLACEMENT_3D('',#577213,#454642,#454643); #373132=AXIS2_PLACEMENT_3D('',#577216,#454645,#454646); #373133=AXIS2_PLACEMENT_3D('',#577217,#454647,#454648); #373134=AXIS2_PLACEMENT_3D('',#577219,#454649,#454650); #373135=AXIS2_PLACEMENT_3D('',#577222,#454652,#454653); #373136=AXIS2_PLACEMENT_3D('',#577223,#454654,#454655); #373137=AXIS2_PLACEMENT_3D('',#577225,#454656,#454657); #373138=AXIS2_PLACEMENT_3D('',#577228,#454659,#454660); #373139=AXIS2_PLACEMENT_3D('',#577229,#454661,#454662); #373140=AXIS2_PLACEMENT_3D('',#577231,#454663,#454664); #373141=AXIS2_PLACEMENT_3D('',#577234,#454666,#454667); #373142=AXIS2_PLACEMENT_3D('',#577235,#454668,#454669); #373143=AXIS2_PLACEMENT_3D('',#577237,#454670,#454671); #373144=AXIS2_PLACEMENT_3D('',#577240,#454673,#454674); #373145=AXIS2_PLACEMENT_3D('',#577241,#454675,#454676); #373146=AXIS2_PLACEMENT_3D('',#577243,#454677,#454678); #373147=AXIS2_PLACEMENT_3D('',#577246,#454680,#454681); #373148=AXIS2_PLACEMENT_3D('',#577247,#454682,#454683); #373149=AXIS2_PLACEMENT_3D('',#577249,#454684,#454685); #373150=AXIS2_PLACEMENT_3D('',#577252,#454687,#454688); #373151=AXIS2_PLACEMENT_3D('',#577253,#454689,#454690); #373152=AXIS2_PLACEMENT_3D('',#577254,#454691,#454692); #373153=AXIS2_PLACEMENT_3D('',#577255,#454693,#454694); #373154=AXIS2_PLACEMENT_3D('',#577256,#454695,#454696); #373155=AXIS2_PLACEMENT_3D('',#577257,#454697,#454698); #373156=AXIS2_PLACEMENT_3D('',#577258,#454699,#454700); #373157=AXIS2_PLACEMENT_3D('',#577267,#454705,#454706); #373158=AXIS2_PLACEMENT_3D('',#577273,#454710,#454711); #373159=AXIS2_PLACEMENT_3D('',#577321,#454736,#454737); #373160=AXIS2_PLACEMENT_3D('',#577325,#454740,#454741); #373161=AXIS2_PLACEMENT_3D('',#577331,#454745,#454746); #373162=AXIS2_PLACEMENT_3D('',#577341,#454752,#454753); #373163=AXIS2_PLACEMENT_3D('',#577382,#454775,#454776); #373164=AXIS2_PLACEMENT_3D('',#577396,#454784,#454785); #373165=AXIS2_PLACEMENT_3D('',#577410,#454793,#454794); #373166=AXIS2_PLACEMENT_3D('',#577424,#454802,#454803); #373167=AXIS2_PLACEMENT_3D('',#577430,#454807,#454808); #373168=AXIS2_PLACEMENT_3D('',#577436,#454812,#454813); #373169=AXIS2_PLACEMENT_3D('',#577450,#454821,#454822); #373170=AXIS2_PLACEMENT_3D('',#577456,#454826,#454827); #373171=AXIS2_PLACEMENT_3D('',#577473,#454840,#454841); #373172=AXIS2_PLACEMENT_3D('',#577476,#454844,#454845); #373173=AXIS2_PLACEMENT_3D('',#577477,#454846,#454847); #373174=AXIS2_PLACEMENT_3D('',#577478,#454848,#454849); #373175=AXIS2_PLACEMENT_3D('',#577486,#454854,#454855); #373176=AXIS2_PLACEMENT_3D('',#577488,#454857,#454858); #373177=AXIS2_PLACEMENT_3D('',#577490,#454860,#454861); #373178=AXIS2_PLACEMENT_3D('',#577492,#454863,#454864); #373179=AXIS2_PLACEMENT_3D('',#577493,#454865,#454866); #373180=AXIS2_PLACEMENT_3D('',#577494,#454867,#454868); #373181=AXIS2_PLACEMENT_3D('',#577495,#454869,#454870); #373182=AXIS2_PLACEMENT_3D('',#577504,#454875,#454876); #373183=AXIS2_PLACEMENT_3D('',#577510,#454880,#454881); #373184=AXIS2_PLACEMENT_3D('',#577516,#454885,#454886); #373185=AXIS2_PLACEMENT_3D('',#577518,#454888,#454889); #373186=AXIS2_PLACEMENT_3D('',#577520,#454891,#454892); #373187=AXIS2_PLACEMENT_3D('',#577526,#454896,#454897); #373188=AXIS2_PLACEMENT_3D('',#577532,#454901,#454902); #373189=AXIS2_PLACEMENT_3D('',#577534,#454904,#454905); #373190=AXIS2_PLACEMENT_3D('',#577536,#454907,#454908); #373191=AXIS2_PLACEMENT_3D('',#577545,#454913,#454914); #373192=AXIS2_PLACEMENT_3D('',#577548,#454917,#454918); #373193=AXIS2_PLACEMENT_3D('',#577550,#454920,#454921); #373194=AXIS2_PLACEMENT_3D('',#577552,#454923,#454924); #373195=AXIS2_PLACEMENT_3D('',#577553,#454925,#454926); #373196=AXIS2_PLACEMENT_3D('',#577556,#454929,#454930); #373197=AXIS2_PLACEMENT_3D('',#577558,#454932,#454933); #373198=AXIS2_PLACEMENT_3D('',#577560,#454935,#454936); #373199=AXIS2_PLACEMENT_3D('',#577561,#454937,#454938); #373200=AXIS2_PLACEMENT_3D('',#577562,#454939,#454940); #373201=AXIS2_PLACEMENT_3D('',#577563,#454941,#454942); #373202=AXIS2_PLACEMENT_3D('',#577564,#454943,#454944); #373203=AXIS2_PLACEMENT_3D('',#577566,#454946,#454947); #373204=AXIS2_PLACEMENT_3D('',#577568,#454949,#454950); #373205=AXIS2_PLACEMENT_3D('',#577569,#454951,#454952); #373206=AXIS2_PLACEMENT_3D('',#577578,#454957,#454958); #373207=AXIS2_PLACEMENT_3D('',#577584,#454962,#454963); #373208=AXIS2_PLACEMENT_3D('',#577590,#454967,#454968); #373209=AXIS2_PLACEMENT_3D('',#577592,#454970,#454971); #373210=AXIS2_PLACEMENT_3D('',#577594,#454973,#454974); #373211=AXIS2_PLACEMENT_3D('',#577600,#454978,#454979); #373212=AXIS2_PLACEMENT_3D('',#577606,#454983,#454984); #373213=AXIS2_PLACEMENT_3D('',#577608,#454986,#454987); #373214=AXIS2_PLACEMENT_3D('',#577610,#454989,#454990); #373215=AXIS2_PLACEMENT_3D('',#577619,#454995,#454996); #373216=AXIS2_PLACEMENT_3D('',#577628,#455001,#455002); #373217=AXIS2_PLACEMENT_3D('',#577634,#455006,#455007); #373218=AXIS2_PLACEMENT_3D('',#577640,#455011,#455012); #373219=AXIS2_PLACEMENT_3D('',#577642,#455014,#455015); #373220=AXIS2_PLACEMENT_3D('',#577644,#455017,#455018); #373221=AXIS2_PLACEMENT_3D('',#577650,#455022,#455023); #373222=AXIS2_PLACEMENT_3D('',#577656,#455027,#455028); #373223=AXIS2_PLACEMENT_3D('',#577658,#455030,#455031); #373224=AXIS2_PLACEMENT_3D('',#577660,#455033,#455034); #373225=AXIS2_PLACEMENT_3D('',#577669,#455039,#455040); #373226=AXIS2_PLACEMENT_3D('',#577678,#455045,#455046); #373227=AXIS2_PLACEMENT_3D('',#577684,#455050,#455051); #373228=AXIS2_PLACEMENT_3D('',#577690,#455055,#455056); #373229=AXIS2_PLACEMENT_3D('',#577692,#455058,#455059); #373230=AXIS2_PLACEMENT_3D('',#577694,#455061,#455062); #373231=AXIS2_PLACEMENT_3D('',#577700,#455066,#455067); #373232=AXIS2_PLACEMENT_3D('',#577706,#455071,#455072); #373233=AXIS2_PLACEMENT_3D('',#577708,#455074,#455075); #373234=AXIS2_PLACEMENT_3D('',#577710,#455077,#455078); #373235=AXIS2_PLACEMENT_3D('',#577719,#455083,#455084); #373236=AXIS2_PLACEMENT_3D('',#577722,#455087,#455088); #373237=AXIS2_PLACEMENT_3D('',#577724,#455090,#455091); #373238=AXIS2_PLACEMENT_3D('',#577726,#455093,#455094); #373239=AXIS2_PLACEMENT_3D('',#577727,#455095,#455096); #373240=AXIS2_PLACEMENT_3D('',#577730,#455099,#455100); #373241=AXIS2_PLACEMENT_3D('',#577732,#455102,#455103); #373242=AXIS2_PLACEMENT_3D('',#577734,#455105,#455106); #373243=AXIS2_PLACEMENT_3D('',#577735,#455107,#455108); #373244=AXIS2_PLACEMENT_3D('',#577738,#455111,#455112); #373245=AXIS2_PLACEMENT_3D('',#577740,#455114,#455115); #373246=AXIS2_PLACEMENT_3D('',#577742,#455117,#455118); #373247=AXIS2_PLACEMENT_3D('',#577743,#455119,#455120); #373248=AXIS2_PLACEMENT_3D('',#577746,#455123,#455124); #373249=AXIS2_PLACEMENT_3D('',#577748,#455126,#455127); #373250=AXIS2_PLACEMENT_3D('',#577750,#455129,#455130); #373251=AXIS2_PLACEMENT_3D('',#577751,#455131,#455132); #373252=AXIS2_PLACEMENT_3D('',#577754,#455135,#455136); #373253=AXIS2_PLACEMENT_3D('',#577756,#455138,#455139); #373254=AXIS2_PLACEMENT_3D('',#577758,#455141,#455142); #373255=AXIS2_PLACEMENT_3D('',#577759,#455143,#455144); #373256=AXIS2_PLACEMENT_3D('',#577762,#455147,#455148); #373257=AXIS2_PLACEMENT_3D('',#577764,#455150,#455151); #373258=AXIS2_PLACEMENT_3D('',#577766,#455153,#455154); #373259=AXIS2_PLACEMENT_3D('',#577767,#455155,#455156); #373260=AXIS2_PLACEMENT_3D('',#577768,#455157,#455158); #373261=AXIS2_PLACEMENT_3D('',#577769,#455159,#455160); #373262=AXIS2_PLACEMENT_3D('',#577770,#455161,#455162); #373263=AXIS2_PLACEMENT_3D('',#577771,#455163,#455164); #373264=AXIS2_PLACEMENT_3D('',#577772,#455165,#455166); #373265=AXIS2_PLACEMENT_3D('',#577773,#455167,#455168); #373266=AXIS2_PLACEMENT_3D('',#577776,#455169,#455170); #373267=AXIS2_PLACEMENT_3D('',#577778,#455171,#455172); #373268=AXIS2_PLACEMENT_3D('',#577779,#455173,#455174); #373269=AXIS2_PLACEMENT_3D('',#577780,#455175,#455176); #373270=AXIS2_PLACEMENT_3D('',#577783,#455177,#455178); #373271=AXIS2_PLACEMENT_3D('',#577785,#455179,#455180); #373272=AXIS2_PLACEMENT_3D('',#577786,#455181,#455182); #373273=AXIS2_PLACEMENT_3D('',#577787,#455183,#455184); #373274=AXIS2_PLACEMENT_3D('',#577790,#455185,#455186); #373275=AXIS2_PLACEMENT_3D('',#577792,#455187,#455188); #373276=AXIS2_PLACEMENT_3D('',#577793,#455189,#455190); #373277=AXIS2_PLACEMENT_3D('',#577794,#455191,#455192); #373278=AXIS2_PLACEMENT_3D('',#577797,#455193,#455194); #373279=AXIS2_PLACEMENT_3D('',#577799,#455195,#455196); #373280=AXIS2_PLACEMENT_3D('',#577800,#455197,#455198); #373281=AXIS2_PLACEMENT_3D('',#577801,#455199,#455200); #373282=AXIS2_PLACEMENT_3D('',#577804,#455201,#455202); #373283=AXIS2_PLACEMENT_3D('',#577806,#455203,#455204); #373284=AXIS2_PLACEMENT_3D('',#577807,#455205,#455206); #373285=AXIS2_PLACEMENT_3D('',#577808,#455207,#455208); #373286=AXIS2_PLACEMENT_3D('',#577811,#455211,#455212); #373287=AXIS2_PLACEMENT_3D('',#577814,#455213,#455214); #373288=AXIS2_PLACEMENT_3D('',#577816,#455215,#455216); #373289=AXIS2_PLACEMENT_3D('',#577817,#455217,#455218); #373290=AXIS2_PLACEMENT_3D('',#577818,#455219,#455220); #373291=AXIS2_PLACEMENT_3D('',#577821,#455221,#455222); #373292=AXIS2_PLACEMENT_3D('',#577823,#455223,#455224); #373293=AXIS2_PLACEMENT_3D('',#577824,#455225,#455226); #373294=AXIS2_PLACEMENT_3D('',#577825,#455227,#455228); #373295=AXIS2_PLACEMENT_3D('',#577828,#455231,#455232); #373296=AXIS2_PLACEMENT_3D('',#577831,#455235,#455236); #373297=AXIS2_PLACEMENT_3D('',#577834,#455237,#455238); #373298=AXIS2_PLACEMENT_3D('',#577836,#455239,#455240); #373299=AXIS2_PLACEMENT_3D('',#577837,#455241,#455242); #373300=AXIS2_PLACEMENT_3D('',#577838,#455243,#455244); #373301=AXIS2_PLACEMENT_3D('',#577841,#455247,#455248); #373302=AXIS2_PLACEMENT_3D('',#577844,#455251,#455252); #373303=AXIS2_PLACEMENT_3D('',#577847,#455255,#455256); #373304=AXIS2_PLACEMENT_3D('',#577850,#455259,#455260); #373305=AXIS2_PLACEMENT_3D('',#577853,#455263,#455264); #373306=AXIS2_PLACEMENT_3D('',#577856,#455267,#455268); #373307=AXIS2_PLACEMENT_3D('',#577859,#455271,#455272); #373308=AXIS2_PLACEMENT_3D('',#577862,#455275,#455276); #373309=AXIS2_PLACEMENT_3D('',#577865,#455279,#455280); #373310=AXIS2_PLACEMENT_3D('',#577866,#455281,#455282); #373311=AXIS2_PLACEMENT_3D('',#577867,#455283,#455284); #373312=AXIS2_PLACEMENT_3D('',#577868,#455285,#455286); #373313=AXIS2_PLACEMENT_3D('',#577869,#455287,#455288); #373314=AXIS2_PLACEMENT_3D('',#577870,#455289,#455290); #373315=AXIS2_PLACEMENT_3D('',#577871,#455291,#455292); #373316=AXIS2_PLACEMENT_3D('',#577874,#455293,#455294); #373317=AXIS2_PLACEMENT_3D('',#577876,#455295,#455296); #373318=AXIS2_PLACEMENT_3D('',#577877,#455297,#455298); #373319=AXIS2_PLACEMENT_3D('',#577878,#455299,#455300); #373320=AXIS2_PLACEMENT_3D('',#577881,#455301,#455302); #373321=AXIS2_PLACEMENT_3D('',#577883,#455303,#455304); #373322=AXIS2_PLACEMENT_3D('',#577884,#455305,#455306); #373323=AXIS2_PLACEMENT_3D('',#577885,#455307,#455308); #373324=AXIS2_PLACEMENT_3D('',#577888,#455309,#455310); #373325=AXIS2_PLACEMENT_3D('',#577890,#455311,#455312); #373326=AXIS2_PLACEMENT_3D('',#577891,#455313,#455314); #373327=AXIS2_PLACEMENT_3D('',#577892,#455315,#455316); #373328=AXIS2_PLACEMENT_3D('',#577895,#455317,#455318); #373329=AXIS2_PLACEMENT_3D('',#577897,#455319,#455320); #373330=AXIS2_PLACEMENT_3D('',#577898,#455321,#455322); #373331=AXIS2_PLACEMENT_3D('',#577899,#455323,#455324); #373332=AXIS2_PLACEMENT_3D('',#577902,#455325,#455326); #373333=AXIS2_PLACEMENT_3D('',#577904,#455327,#455328); #373334=AXIS2_PLACEMENT_3D('',#577905,#455329,#455330); #373335=AXIS2_PLACEMENT_3D('',#577906,#455331,#455332); #373336=AXIS2_PLACEMENT_3D('',#577909,#455335,#455336); #373337=AXIS2_PLACEMENT_3D('',#577912,#455337,#455338); #373338=AXIS2_PLACEMENT_3D('',#577914,#455339,#455340); #373339=AXIS2_PLACEMENT_3D('',#577915,#455341,#455342); #373340=AXIS2_PLACEMENT_3D('',#577916,#455343,#455344); #373341=AXIS2_PLACEMENT_3D('',#577919,#455345,#455346); #373342=AXIS2_PLACEMENT_3D('',#577921,#455347,#455348); #373343=AXIS2_PLACEMENT_3D('',#577922,#455349,#455350); #373344=AXIS2_PLACEMENT_3D('',#577923,#455351,#455352); #373345=AXIS2_PLACEMENT_3D('',#577926,#455355,#455356); #373346=AXIS2_PLACEMENT_3D('',#577929,#455359,#455360); #373347=AXIS2_PLACEMENT_3D('',#577932,#455361,#455362); #373348=AXIS2_PLACEMENT_3D('',#577934,#455363,#455364); #373349=AXIS2_PLACEMENT_3D('',#577935,#455365,#455366); #373350=AXIS2_PLACEMENT_3D('',#577936,#455367,#455368); #373351=AXIS2_PLACEMENT_3D('',#577939,#455371,#455372); #373352=AXIS2_PLACEMENT_3D('',#577942,#455375,#455376); #373353=AXIS2_PLACEMENT_3D('',#577945,#455379,#455380); #373354=AXIS2_PLACEMENT_3D('',#577948,#455383,#455384); #373355=AXIS2_PLACEMENT_3D('',#577951,#455387,#455388); #373356=AXIS2_PLACEMENT_3D('',#577954,#455391,#455392); #373357=AXIS2_PLACEMENT_3D('',#577957,#455395,#455396); #373358=AXIS2_PLACEMENT_3D('',#577960,#455399,#455400); #373359=AXIS2_PLACEMENT_3D('',#577963,#455403,#455404); #373360=AXIS2_PLACEMENT_3D('',#577964,#455405,#455406); #373361=AXIS2_PLACEMENT_3D('',#577965,#455407,#455408); #373362=AXIS2_PLACEMENT_3D('',#577966,#455409,#455410); #373363=AXIS2_PLACEMENT_3D('',#577967,#455411,#455412); #373364=AXIS2_PLACEMENT_3D('',#577968,#455413,#455414); #373365=AXIS2_PLACEMENT_3D('',#577969,#455415,#455416); #373366=AXIS2_PLACEMENT_3D('',#577978,#455421,#455422); #373367=AXIS2_PLACEMENT_3D('',#577984,#455426,#455427); #373368=AXIS2_PLACEMENT_3D('',#577990,#455431,#455432); #373369=AXIS2_PLACEMENT_3D('',#577993,#455435,#455436); #373370=AXIS2_PLACEMENT_3D('',#577994,#455437,#455438); #373371=AXIS2_PLACEMENT_3D('',#577995,#455439,#455440); #373372=AXIS2_PLACEMENT_3D('',#578004,#455445,#455446); #373373=AXIS2_PLACEMENT_3D('',#578013,#455451,#455452); #373374=AXIS2_PLACEMENT_3D('',#578016,#455455,#455456); #373375=AXIS2_PLACEMENT_3D('',#578018,#455458,#455459); #373376=AXIS2_PLACEMENT_3D('',#578020,#455461,#455462); #373377=AXIS2_PLACEMENT_3D('',#578021,#455463,#455464); #373378=AXIS2_PLACEMENT_3D('',#578030,#455469,#455470); #373379=AXIS2_PLACEMENT_3D('',#578036,#455474,#455475); #373380=AXIS2_PLACEMENT_3D('',#578042,#455479,#455480); #373381=AXIS2_PLACEMENT_3D('',#578045,#455483,#455484); #373382=AXIS2_PLACEMENT_3D('',#578046,#455485,#455486); #373383=AXIS2_PLACEMENT_3D('',#578047,#455487,#455488); #373384=AXIS2_PLACEMENT_3D('',#578048,#455489,#455490); #373385=AXIS2_PLACEMENT_3D('',#578049,#455491,#455492); #373386=AXIS2_PLACEMENT_3D('',#578050,#455493,#455494); #373387=AXIS2_PLACEMENT_3D('',#578051,#455495,#455496); #373388=AXIS2_PLACEMENT_3D('',#578052,#455497,#455498); #373389=AXIS2_PLACEMENT_3D('',#578053,#455499,#455500); #373390=AXIS2_PLACEMENT_3D('',#578054,#455501,#455502); #373391=AXIS2_PLACEMENT_3D('',#578055,#455503,#455504); #373392=AXIS2_PLACEMENT_3D('',#578056,#455505,#455506); #373393=AXIS2_PLACEMENT_3D('',#578057,#455507,#455508); #373394=AXIS2_PLACEMENT_3D('',#578058,#455509,#455510); #373395=AXIS2_PLACEMENT_3D('',#578059,#455511,#455512); #373396=AXIS2_PLACEMENT_3D('',#578060,#455513,#455514); #373397=AXIS2_PLACEMENT_3D('',#578061,#455515,#455516); #373398=AXIS2_PLACEMENT_3D('',#578062,#455517,#455518); #373399=AXIS2_PLACEMENT_3D('',#578063,#455519,#455520); #373400=AXIS2_PLACEMENT_3D('',#578064,#455521,#455522); #373401=AXIS2_PLACEMENT_3D('',#578065,#455523,#455524); #373402=AXIS2_PLACEMENT_3D('',#578066,#455525,#455526); #373403=AXIS2_PLACEMENT_3D('',#578067,#455527,#455528); #373404=AXIS2_PLACEMENT_3D('',#578068,#455529,#455530); #373405=AXIS2_PLACEMENT_3D('',#578069,#455531,#455532); #373406=AXIS2_PLACEMENT_3D('',#578070,#455533,#455534); #373407=AXIS2_PLACEMENT_3D('',#578071,#455535,#455536); #373408=AXIS2_PLACEMENT_3D('',#578072,#455537,#455538); #373409=AXIS2_PLACEMENT_3D('',#578073,#455539,#455540); #373410=AXIS2_PLACEMENT_3D('',#578074,#455541,#455542); #373411=AXIS2_PLACEMENT_3D('',#578075,#455543,#455544); #373412=AXIS2_PLACEMENT_3D('',#578076,#455545,#455546); #373413=AXIS2_PLACEMENT_3D('',#578109,#455563,#455564); #373414=AXIS2_PLACEMENT_3D('',#578118,#455569,#455570); #373415=AXIS2_PLACEMENT_3D('',#578121,#455571,#455572); #373416=AXIS2_PLACEMENT_3D('',#578125,#455574,#455575); #373417=AXIS2_PLACEMENT_3D('',#578129,#455577,#455578); #373418=AXIS2_PLACEMENT_3D('',#578133,#455580,#455581); #373419=AXIS2_PLACEMENT_3D('',#578135,#455583,#455584); #373420=AXIS2_PLACEMENT_3D('',#578138,#455585,#455586); #373421=AXIS2_PLACEMENT_3D('',#578142,#455588,#455589); #373422=AXIS2_PLACEMENT_3D('',#578146,#455591,#455592); #373423=AXIS2_PLACEMENT_3D('',#578150,#455594,#455595); #373424=AXIS2_PLACEMENT_3D('',#578152,#455597,#455598); #373425=AXIS2_PLACEMENT_3D('',#578155,#455601,#455602); #373426=AXIS2_PLACEMENT_3D('',#578158,#455605,#455606); #373427=AXIS2_PLACEMENT_3D('',#578191,#455623,#455624); #373428=AXIS2_PLACEMENT_3D('',#578197,#455628,#455629); #373429=AXIS2_PLACEMENT_3D('',#578236,#455649,#455650); #373430=AXIS2_PLACEMENT_3D('',#578240,#455652,#455653); #373431=AXIS2_PLACEMENT_3D('',#578246,#455657,#455658); #373432=AXIS2_PLACEMENT_3D('',#578249,#455659,#455660); #373433=AXIS2_PLACEMENT_3D('',#578256,#455665,#455666); #373434=AXIS2_PLACEMENT_3D('',#578259,#455667,#455668); #373435=AXIS2_PLACEMENT_3D('',#578266,#455670,#455671); #373436=AXIS2_PLACEMENT_3D('',#578270,#455673,#455674); #373437=AXIS2_PLACEMENT_3D('',#578275,#455675,#455676); #373438=AXIS2_PLACEMENT_3D('',#578284,#455681,#455682); #373439=AXIS2_PLACEMENT_3D('',#578293,#455687,#455688); #373440=AXIS2_PLACEMENT_3D('',#578302,#455693,#455694); #373441=AXIS2_PLACEMENT_3D('',#578311,#455699,#455700); #373442=AXIS2_PLACEMENT_3D('',#578320,#455705,#455706); #373443=AXIS2_PLACEMENT_3D('',#578329,#455711,#455712); #373444=AXIS2_PLACEMENT_3D('',#578338,#455717,#455718); #373445=AXIS2_PLACEMENT_3D('',#578347,#455723,#455724); #373446=AXIS2_PLACEMENT_3D('',#578356,#455729,#455730); #373447=AXIS2_PLACEMENT_3D('',#578365,#455735,#455736); #373448=AXIS2_PLACEMENT_3D('',#578374,#455741,#455742); #373449=AXIS2_PLACEMENT_3D('',#578383,#455747,#455748); #373450=AXIS2_PLACEMENT_3D('',#578389,#455752,#455753); #373451=AXIS2_PLACEMENT_3D('',#578395,#455757,#455758); #373452=AXIS2_PLACEMENT_3D('',#578404,#455763,#455764); #373453=AXIS2_PLACEMENT_3D('',#578407,#455767,#455768); #373454=AXIS2_PLACEMENT_3D('',#578413,#455772,#455773); #373455=AXIS2_PLACEMENT_3D('',#578419,#455777,#455778); #373456=AXIS2_PLACEMENT_3D('',#578428,#455783,#455784); #373457=AXIS2_PLACEMENT_3D('',#578431,#455787,#455788); #373458=AXIS2_PLACEMENT_3D('',#578437,#455792,#455793); #373459=AXIS2_PLACEMENT_3D('',#578443,#455797,#455798); #373460=AXIS2_PLACEMENT_3D('',#578452,#455803,#455804); #373461=AXIS2_PLACEMENT_3D('',#578455,#455807,#455808); #373462=AXIS2_PLACEMENT_3D('',#578461,#455812,#455813); #373463=AXIS2_PLACEMENT_3D('',#578467,#455817,#455818); #373464=AXIS2_PLACEMENT_3D('',#578476,#455823,#455824); #373465=AXIS2_PLACEMENT_3D('',#578479,#455827,#455828); #373466=AXIS2_PLACEMENT_3D('',#578485,#455832,#455833); #373467=AXIS2_PLACEMENT_3D('',#578491,#455837,#455838); #373468=AXIS2_PLACEMENT_3D('',#578500,#455843,#455844); #373469=AXIS2_PLACEMENT_3D('',#578503,#455847,#455848); #373470=AXIS2_PLACEMENT_3D('',#578509,#455852,#455853); #373471=AXIS2_PLACEMENT_3D('',#578515,#455857,#455858); #373472=AXIS2_PLACEMENT_3D('',#578524,#455863,#455864); #373473=AXIS2_PLACEMENT_3D('',#578527,#455867,#455868); #373474=AXIS2_PLACEMENT_3D('',#578533,#455872,#455873); #373475=AXIS2_PLACEMENT_3D('',#578539,#455877,#455878); #373476=AXIS2_PLACEMENT_3D('',#578545,#455882,#455883); #373477=AXIS2_PLACEMENT_3D('',#578551,#455887,#455888); #373478=AXIS2_PLACEMENT_3D('',#578560,#455893,#455894); #373479=AXIS2_PLACEMENT_3D('',#578563,#455897,#455898); #373480=AXIS2_PLACEMENT_3D('',#578569,#455902,#455903); #373481=AXIS2_PLACEMENT_3D('',#578575,#455907,#455908); #373482=AXIS2_PLACEMENT_3D('',#578584,#455913,#455914); #373483=AXIS2_PLACEMENT_3D('',#578587,#455917,#455918); #373484=AXIS2_PLACEMENT_3D('',#578593,#455922,#455923); #373485=AXIS2_PLACEMENT_3D('',#578599,#455927,#455928); #373486=AXIS2_PLACEMENT_3D('',#578608,#455933,#455934); #373487=AXIS2_PLACEMENT_3D('',#578611,#455937,#455938); #373488=AXIS2_PLACEMENT_3D('',#578617,#455942,#455943); #373489=AXIS2_PLACEMENT_3D('',#578623,#455947,#455948); #373490=AXIS2_PLACEMENT_3D('',#578632,#455953,#455954); #373491=AXIS2_PLACEMENT_3D('',#578635,#455957,#455958); #373492=AXIS2_PLACEMENT_3D('',#578641,#455962,#455963); #373493=AXIS2_PLACEMENT_3D('',#578647,#455967,#455968); #373494=AXIS2_PLACEMENT_3D('',#578656,#455973,#455974); #373495=AXIS2_PLACEMENT_3D('',#578659,#455977,#455978); #373496=AXIS2_PLACEMENT_3D('',#578665,#455982,#455983); #373497=AXIS2_PLACEMENT_3D('',#578671,#455987,#455988); #373498=AXIS2_PLACEMENT_3D('',#578679,#455993,#455994); #373499=AXIS2_PLACEMENT_3D('',#578689,#456000,#456001); #373500=AXIS2_PLACEMENT_3D('',#578694,#456005,#456006); #373501=AXIS2_PLACEMENT_3D('',#578708,#456020,#456021); #373502=AXIS2_PLACEMENT_3D('',#578718,#456027,#456028); #373503=AXIS2_PLACEMENT_3D('',#578728,#456034,#456035); #373504=AXIS2_PLACEMENT_3D('',#578733,#456037,#456038); #373505=AXIS2_PLACEMENT_3D('',#578736,#456040,#456041); #373506=AXIS2_PLACEMENT_3D('',#578737,#456042,#456043); #373507=AXIS2_PLACEMENT_3D('',#578743,#456047,#456048); #373508=AXIS2_PLACEMENT_3D('',#578752,#456053,#456054); #373509=AXIS2_PLACEMENT_3D('',#578781,#456069,#456070); #373510=AXIS2_PLACEMENT_3D('',#578790,#456075,#456076); #373511=AXIS2_PLACEMENT_3D('',#578796,#456080,#456081); #373512=AXIS2_PLACEMENT_3D('',#578805,#456085,#456086); #373513=AXIS2_PLACEMENT_3D('',#578809,#456088,#456089); #373514=AXIS2_PLACEMENT_3D('',#578816,#456093,#456094); #373515=AXIS2_PLACEMENT_3D('',#578817,#456095,#456096); #373516=AXIS2_PLACEMENT_3D('',#578818,#456097,#456098); #373517=AXIS2_PLACEMENT_3D('',#578821,#456101,#456102); #373518=AXIS2_PLACEMENT_3D('',#578824,#456105,#456106); #373519=AXIS2_PLACEMENT_3D('',#578835,#456112,#456113); #373520=AXIS2_PLACEMENT_3D('',#578838,#456115,#456116); #373521=AXIS2_PLACEMENT_3D('',#578839,#456117,#456118); #373522=AXIS2_PLACEMENT_3D('',#578848,#456123,#456124); #373523=AXIS2_PLACEMENT_3D('',#578851,#456127,#456128); #373524=AXIS2_PLACEMENT_3D('',#578854,#456131,#456132); #373525=AXIS2_PLACEMENT_3D('',#578857,#456135,#456136); #373526=AXIS2_PLACEMENT_3D('',#578859,#456137,#456138); #373527=AXIS2_PLACEMENT_3D('',#578862,#456140,#456141); #373528=AXIS2_PLACEMENT_3D('',#578863,#456142,#456143); #373529=AXIS2_PLACEMENT_3D('',#578870,#456148,#456149); #373530=AXIS2_PLACEMENT_3D('',#578871,#456150,#456151); #373531=AXIS2_PLACEMENT_3D('',#578872,#456152,#456153); #373532=AXIS2_PLACEMENT_3D('',#578873,#456154,#456155); #373533=AXIS2_PLACEMENT_3D('',#578876,#456157,#456158); #373534=AXIS2_PLACEMENT_3D('',#578877,#456159,#456160); #373535=AXIS2_PLACEMENT_3D('',#578881,#456164,#456165); #373536=AXIS2_PLACEMENT_3D('',#578899,#456176,#456177); #373537=AXIS2_PLACEMENT_3D('',#578901,#456178,#456179); #373538=AXIS2_PLACEMENT_3D('',#578905,#456181,#456182); #373539=AXIS2_PLACEMENT_3D('',#578908,#456184,#456185); #373540=AXIS2_PLACEMENT_3D('',#578909,#456186,#456187); #373541=AXIS2_PLACEMENT_3D('',#578915,#456191,#456192); #373542=AXIS2_PLACEMENT_3D('',#578919,#456194,#456195); #373543=AXIS2_PLACEMENT_3D('',#578922,#456197,#456198); #373544=AXIS2_PLACEMENT_3D('',#578923,#456199,#456200); #373545=AXIS2_PLACEMENT_3D('',#578924,#456201,#456202); #373546=AXIS2_PLACEMENT_3D('',#578936,#456210,#456211); #373547=AXIS2_PLACEMENT_3D('',#578941,#456213,#456214); #373548=AXIS2_PLACEMENT_3D('',#578945,#456216,#456217); #373549=AXIS2_PLACEMENT_3D('',#578949,#456219,#456220); #373550=AXIS2_PLACEMENT_3D('',#578952,#456222,#456223); #373551=AXIS2_PLACEMENT_3D('',#578961,#456228,#456229); #373552=AXIS2_PLACEMENT_3D('',#578967,#456233,#456234); #373553=AXIS2_PLACEMENT_3D('',#578973,#456238,#456239); #373554=AXIS2_PLACEMENT_3D('',#578975,#456240,#456241); #373555=AXIS2_PLACEMENT_3D('',#578977,#456242,#456243); #373556=AXIS2_PLACEMENT_3D('',#578979,#456245,#456246); #373557=AXIS2_PLACEMENT_3D('',#578985,#456250,#456251); #373558=AXIS2_PLACEMENT_3D('',#578991,#456255,#456256); #373559=AXIS2_PLACEMENT_3D('',#579000,#456261,#456262); #373560=AXIS2_PLACEMENT_3D('',#579009,#456267,#456268); #373561=AXIS2_PLACEMENT_3D('',#579015,#456272,#456273); #373562=AXIS2_PLACEMENT_3D('',#579016,#456274,#456275); #373563=AXIS2_PLACEMENT_3D('',#579017,#456276,#456277); #373564=AXIS2_PLACEMENT_3D('',#579018,#456278,#456279); #373565=AXIS2_PLACEMENT_3D('',#579024,#456283,#456284); #373566=AXIS2_PLACEMENT_3D('',#579030,#456288,#456289); #373567=AXIS2_PLACEMENT_3D('',#579033,#456292,#456293); #373568=AXIS2_PLACEMENT_3D('',#579035,#456295,#456296); #373569=AXIS2_PLACEMENT_3D('',#579037,#456298,#456299); #373570=AXIS2_PLACEMENT_3D('',#579039,#456301,#456302); #373571=AXIS2_PLACEMENT_3D('',#579041,#456304,#456305); #373572=AXIS2_PLACEMENT_3D('',#579043,#456307,#456308); #373573=AXIS2_PLACEMENT_3D('',#579045,#456310,#456311); #373574=AXIS2_PLACEMENT_3D('',#579046,#456312,#456313); #373575=AXIS2_PLACEMENT_3D('',#579048,#456315,#456316); #373576=AXIS2_PLACEMENT_3D('',#579050,#456318,#456319); #373577=AXIS2_PLACEMENT_3D('',#579052,#456321,#456322); #373578=AXIS2_PLACEMENT_3D('',#579053,#456323,#456324); #373579=AXIS2_PLACEMENT_3D('',#579055,#456326,#456327); #373580=AXIS2_PLACEMENT_3D('',#579056,#456328,#456329); #373581=AXIS2_PLACEMENT_3D('',#579057,#456330,#456331); #373582=AXIS2_PLACEMENT_3D('',#579058,#456332,#456333); #373583=AXIS2_PLACEMENT_3D('',#579059,#456334,#456335); #373584=AXIS2_PLACEMENT_3D('',#579060,#456336,#456337); #373585=AXIS2_PLACEMENT_3D('',#579061,#456338,#456339); #373586=AXIS2_PLACEMENT_3D('',#579062,#456340,#456341); #373587=AXIS2_PLACEMENT_3D('',#579063,#456342,#456343); #373588=AXIS2_PLACEMENT_3D('',#579064,#456344,#456345); #373589=AXIS2_PLACEMENT_3D('',#579066,#456347,#456348); #373590=AXIS2_PLACEMENT_3D('',#579068,#456350,#456351); #373591=AXIS2_PLACEMENT_3D('',#579069,#456352,#456353); #373592=AXIS2_PLACEMENT_3D('',#579071,#456355,#456356); #373593=AXIS2_PLACEMENT_3D('',#579073,#456358,#456359); #373594=AXIS2_PLACEMENT_3D('',#579074,#456360,#456361); #373595=AXIS2_PLACEMENT_3D('',#579076,#456363,#456364); #373596=AXIS2_PLACEMENT_3D('',#579083,#456369,#456370); #373597=AXIS2_PLACEMENT_3D('',#579085,#456372,#456373); #373598=AXIS2_PLACEMENT_3D('',#579086,#456374,#456375); #373599=AXIS2_PLACEMENT_3D('',#579097,#456382,#456383); #373600=AXIS2_PLACEMENT_3D('',#579106,#456388,#456389); #373601=AXIS2_PLACEMENT_3D('',#579109,#456392,#456393); #373602=AXIS2_PLACEMENT_3D('',#579111,#456395,#456396); #373603=AXIS2_PLACEMENT_3D('',#579118,#456401,#456402); #373604=AXIS2_PLACEMENT_3D('',#579120,#456404,#456405); #373605=AXIS2_PLACEMENT_3D('',#579121,#456406,#456407); #373606=AXIS2_PLACEMENT_3D('',#579123,#456409,#456410); #373607=AXIS2_PLACEMENT_3D('',#579125,#456412,#456413); #373608=AXIS2_PLACEMENT_3D('',#579126,#456414,#456415); #373609=AXIS2_PLACEMENT_3D('',#579128,#456417,#456418); #373610=AXIS2_PLACEMENT_3D('',#579132,#456421,#456422); #373611=AXIS2_PLACEMENT_3D('',#579141,#456428,#456429); #373612=AXIS2_PLACEMENT_3D('',#579143,#456431,#456432); #373613=AXIS2_PLACEMENT_3D('',#579145,#456434,#456435); #373614=AXIS2_PLACEMENT_3D('',#579147,#456436,#456437); #373615=AXIS2_PLACEMENT_3D('',#579149,#456438,#456439); #373616=AXIS2_PLACEMENT_3D('',#579150,#456440,#456441); #373617=AXIS2_PLACEMENT_3D('',#579153,#456443,#456444); #373618=AXIS2_PLACEMENT_3D('',#579154,#456445,#456446); #373619=AXIS2_PLACEMENT_3D('',#579156,#456447,#456448); #373620=AXIS2_PLACEMENT_3D('',#579157,#456449,#456450); #373621=AXIS2_PLACEMENT_3D('',#579160,#456452,#456453); #373622=AXIS2_PLACEMENT_3D('',#579161,#456454,#456455); #373623=AXIS2_PLACEMENT_3D('',#579163,#456456,#456457); #373624=AXIS2_PLACEMENT_3D('',#579164,#456458,#456459); #373625=AXIS2_PLACEMENT_3D('',#579166,#456461,#456462); #373626=AXIS2_PLACEMENT_3D('',#579168,#456464,#456465); #373627=AXIS2_PLACEMENT_3D('',#579169,#456466,#456467); #373628=AXIS2_PLACEMENT_3D('',#579173,#456470,#456471); #373629=AXIS2_PLACEMENT_3D('',#579180,#456472,#456473); #373630=AXIS2_PLACEMENT_3D('',#579186,#456474,#456475); #373631=AXIS2_PLACEMENT_3D('',#579188,#456477,#456478); #373632=AXIS2_PLACEMENT_3D('',#579189,#456479,#456480); #373633=AXIS2_PLACEMENT_3D('',#579191,#456482,#456483); #373634=AXIS2_PLACEMENT_3D('',#579193,#456485,#456486); #373635=AXIS2_PLACEMENT_3D('',#579194,#456487,#456488); #373636=AXIS2_PLACEMENT_3D('',#579207,#456501,#456502); #373637=AXIS2_PLACEMENT_3D('',#579209,#456504,#456505); #373638=AXIS2_PLACEMENT_3D('',#579210,#456506,#456507); #373639=AXIS2_PLACEMENT_3D('',#579212,#456509,#456510); #373640=AXIS2_PLACEMENT_3D('',#579213,#456511,#456512); #373641=AXIS2_PLACEMENT_3D('',#579215,#456514,#456515); #373642=AXIS2_PLACEMENT_3D('',#579216,#456516,#456517); #373643=AXIS2_PLACEMENT_3D('',#579218,#456519,#456520); #373644=AXIS2_PLACEMENT_3D('',#579219,#456521,#456522); #373645=AXIS2_PLACEMENT_3D('',#579221,#456524,#456525); #373646=AXIS2_PLACEMENT_3D('',#579222,#456526,#456527); #373647=AXIS2_PLACEMENT_3D('',#579224,#456529,#456530); #373648=AXIS2_PLACEMENT_3D('',#579225,#456531,#456532); #373649=AXIS2_PLACEMENT_3D('',#579227,#456534,#456535); #373650=AXIS2_PLACEMENT_3D('',#579228,#456536,#456537); #373651=AXIS2_PLACEMENT_3D('',#579230,#456539,#456540); #373652=AXIS2_PLACEMENT_3D('',#579231,#456541,#456542); #373653=AXIS2_PLACEMENT_3D('',#579233,#456544,#456545); #373654=AXIS2_PLACEMENT_3D('',#579234,#456546,#456547); #373655=AXIS2_PLACEMENT_3D('',#579236,#456549,#456550); #373656=AXIS2_PLACEMENT_3D('',#579237,#456551,#456552); #373657=AXIS2_PLACEMENT_3D('',#579239,#456554,#456555); #373658=AXIS2_PLACEMENT_3D('',#579240,#456556,#456557); #373659=AXIS2_PLACEMENT_3D('',#579242,#456559,#456560); #373660=AXIS2_PLACEMENT_3D('',#579243,#456561,#456562); #373661=AXIS2_PLACEMENT_3D('',#579253,#456568,#456569); #373662=AXIS2_PLACEMENT_3D('',#579257,#456572,#456573); #373663=AXIS2_PLACEMENT_3D('',#579264,#456578,#456579); #373664=AXIS2_PLACEMENT_3D('',#579270,#456584,#456585); #373665=AXIS2_PLACEMENT_3D('',#579272,#456587,#456588); #373666=AXIS2_PLACEMENT_3D('',#579278,#456592,#456593); #373667=AXIS2_PLACEMENT_3D('',#579287,#456599,#456600); #373668=AXIS2_PLACEMENT_3D('',#579317,#456616,#456617); #373669=AXIS2_PLACEMENT_3D('',#579321,#456620,#456621); #373670=AXIS2_PLACEMENT_3D('',#579325,#456624,#456625); #373671=AXIS2_PLACEMENT_3D('',#579328,#456628,#456629); #373672=AXIS2_PLACEMENT_3D('',#579329,#456630,#456631); #373673=AXIS2_PLACEMENT_3D('',#579333,#456634,#456635); #373674=AXIS2_PLACEMENT_3D('',#579337,#456638,#456639); #373675=AXIS2_PLACEMENT_3D('',#579340,#456642,#456643); #373676=AXIS2_PLACEMENT_3D('',#579341,#456644,#456645); #373677=AXIS2_PLACEMENT_3D('',#579345,#456648,#456649); #373678=AXIS2_PLACEMENT_3D('',#579349,#456652,#456653); #373679=AXIS2_PLACEMENT_3D('',#579353,#456656,#456657); #373680=AXIS2_PLACEMENT_3D('',#579357,#456660,#456661); #373681=AXIS2_PLACEMENT_3D('',#579361,#456664,#456665); #373682=AXIS2_PLACEMENT_3D('',#579365,#456668,#456669); #373683=AXIS2_PLACEMENT_3D('',#579369,#456672,#456673); #373684=AXIS2_PLACEMENT_3D('',#579373,#456676,#456677); #373685=AXIS2_PLACEMENT_3D('',#579377,#456680,#456681); #373686=AXIS2_PLACEMENT_3D('',#579381,#456684,#456685); #373687=AXIS2_PLACEMENT_3D('',#579385,#456688,#456689); #373688=AXIS2_PLACEMENT_3D('',#579389,#456692,#456693); #373689=AXIS2_PLACEMENT_3D('',#579393,#456696,#456697); #373690=AXIS2_PLACEMENT_3D('',#579397,#456700,#456701); #373691=AXIS2_PLACEMENT_3D('',#579399,#456703,#456704); #373692=AXIS2_PLACEMENT_3D('',#579403,#456707,#456708); #373693=AXIS2_PLACEMENT_3D('',#579407,#456711,#456712); #373694=AXIS2_PLACEMENT_3D('',#579411,#456715,#456716); #373695=AXIS2_PLACEMENT_3D('',#579415,#456719,#456720); #373696=AXIS2_PLACEMENT_3D('',#579419,#456723,#456724); #373697=AXIS2_PLACEMENT_3D('',#579423,#456727,#456728); #373698=AXIS2_PLACEMENT_3D('',#579427,#456731,#456732); #373699=AXIS2_PLACEMENT_3D('',#579431,#456735,#456736); #373700=AXIS2_PLACEMENT_3D('',#579435,#456739,#456740); #373701=AXIS2_PLACEMENT_3D('',#579439,#456743,#456744); #373702=AXIS2_PLACEMENT_3D('',#579443,#456747,#456748); #373703=AXIS2_PLACEMENT_3D('',#579447,#456751,#456752); #373704=AXIS2_PLACEMENT_3D('',#579451,#456755,#456756); #373705=AXIS2_PLACEMENT_3D('',#579455,#456759,#456760); #373706=AXIS2_PLACEMENT_3D('',#579457,#456762,#456763); #373707=AXIS2_PLACEMENT_3D('',#579458,#456764,#456765); #373708=AXIS2_PLACEMENT_3D('',#579460,#456767,#456768); #373709=AXIS2_PLACEMENT_3D('',#579462,#456770,#456771); #373710=AXIS2_PLACEMENT_3D('',#579463,#456772,#456773); #373711=AXIS2_PLACEMENT_3D('',#579465,#456775,#456776); #373712=AXIS2_PLACEMENT_3D('',#579467,#456778,#456779); #373713=AXIS2_PLACEMENT_3D('',#579468,#456780,#456781); #373714=AXIS2_PLACEMENT_3D('',#579469,#456782,#456783); #373715=AXIS2_PLACEMENT_3D('',#579471,#456785,#456786); #373716=AXIS2_PLACEMENT_3D('',#579472,#456787,#456788); #373717=AXIS2_PLACEMENT_3D('',#579473,#456789,#456790); #373718=AXIS2_PLACEMENT_3D('',#579474,#456791,#456792); #373719=AXIS2_PLACEMENT_3D('',#579483,#456797,#456798); #373720=AXIS2_PLACEMENT_3D('',#579487,#456800,#456801); #373721=AXIS2_PLACEMENT_3D('',#579491,#456803,#456804); #373722=AXIS2_PLACEMENT_3D('',#579499,#456808,#456809); #373723=AXIS2_PLACEMENT_3D('',#579503,#456811,#456812); #373724=AXIS2_PLACEMENT_3D('',#579505,#456814,#456815); #373725=AXIS2_PLACEMENT_3D('',#579514,#456819,#456820); #373726=AXIS2_PLACEMENT_3D('',#579518,#456822,#456823); #373727=AXIS2_PLACEMENT_3D('',#579526,#456827,#456828); #373728=AXIS2_PLACEMENT_3D('',#579529,#456830,#456831); #373729=AXIS2_PLACEMENT_3D('',#579530,#456832,#456833); #373730=AXIS2_PLACEMENT_3D('',#579536,#456837,#456838); #373731=AXIS2_PLACEMENT_3D('',#579546,#456844,#456845); #373732=AXIS2_PLACEMENT_3D('',#579552,#456849,#456850); #373733=AXIS2_PLACEMENT_3D('',#579559,#456855,#456856); #373734=AXIS2_PLACEMENT_3D('',#579562,#456859,#456860); #373735=AXIS2_PLACEMENT_3D('',#579565,#456863,#456864); #373736=AXIS2_PLACEMENT_3D('',#579568,#456867,#456868); #373737=AXIS2_PLACEMENT_3D('',#579570,#456870,#456871); #373738=AXIS2_PLACEMENT_3D('',#579572,#456873,#456874); #373739=AXIS2_PLACEMENT_3D('',#579574,#456876,#456877); #373740=AXIS2_PLACEMENT_3D('',#579575,#456878,#456879); #373741=AXIS2_PLACEMENT_3D('',#579577,#456881,#456882); #373742=AXIS2_PLACEMENT_3D('',#579579,#456884,#456885); #373743=AXIS2_PLACEMENT_3D('',#579581,#456887,#456888); #373744=AXIS2_PLACEMENT_3D('',#579582,#456889,#456890); #373745=AXIS2_PLACEMENT_3D('',#579583,#456891,#456892); #373746=AXIS2_PLACEMENT_3D('',#579584,#456893,#456894); #373747=AXIS2_PLACEMENT_3D('',#579585,#456895,#456896); #373748=AXIS2_PLACEMENT_3D('',#579594,#456901,#456902); #373749=AXIS2_PLACEMENT_3D('',#579598,#456904,#456905); #373750=AXIS2_PLACEMENT_3D('',#579602,#456907,#456908); #373751=AXIS2_PLACEMENT_3D('',#579610,#456912,#456913); #373752=AXIS2_PLACEMENT_3D('',#579614,#456915,#456916); #373753=AXIS2_PLACEMENT_3D('',#579616,#456918,#456919); #373754=AXIS2_PLACEMENT_3D('',#579625,#456923,#456924); #373755=AXIS2_PLACEMENT_3D('',#579629,#456926,#456927); #373756=AXIS2_PLACEMENT_3D('',#579637,#456931,#456932); #373757=AXIS2_PLACEMENT_3D('',#579640,#456934,#456935); #373758=AXIS2_PLACEMENT_3D('',#579641,#456936,#456937); #373759=AXIS2_PLACEMENT_3D('',#579647,#456941,#456942); #373760=AXIS2_PLACEMENT_3D('',#579657,#456948,#456949); #373761=AXIS2_PLACEMENT_3D('',#579663,#456953,#456954); #373762=AXIS2_PLACEMENT_3D('',#579670,#456959,#456960); #373763=AXIS2_PLACEMENT_3D('',#579673,#456963,#456964); #373764=AXIS2_PLACEMENT_3D('',#579676,#456967,#456968); #373765=AXIS2_PLACEMENT_3D('',#579679,#456971,#456972); #373766=AXIS2_PLACEMENT_3D('',#579681,#456974,#456975); #373767=AXIS2_PLACEMENT_3D('',#579683,#456977,#456978); #373768=AXIS2_PLACEMENT_3D('',#579685,#456980,#456981); #373769=AXIS2_PLACEMENT_3D('',#579686,#456982,#456983); #373770=AXIS2_PLACEMENT_3D('',#579688,#456985,#456986); #373771=AXIS2_PLACEMENT_3D('',#579690,#456988,#456989); #373772=AXIS2_PLACEMENT_3D('',#579692,#456991,#456992); #373773=AXIS2_PLACEMENT_3D('',#579693,#456993,#456994); #373774=AXIS2_PLACEMENT_3D('',#579694,#456995,#456996); #373775=AXIS2_PLACEMENT_3D('',#579695,#456997,#456998); #373776=AXIS2_PLACEMENT_3D('',#579696,#456999,#457000); #373777=AXIS2_PLACEMENT_3D('',#579705,#457005,#457006); #373778=AXIS2_PLACEMENT_3D('',#579709,#457008,#457009); #373779=AXIS2_PLACEMENT_3D('',#579713,#457011,#457012); #373780=AXIS2_PLACEMENT_3D('',#579721,#457016,#457017); #373781=AXIS2_PLACEMENT_3D('',#579725,#457019,#457020); #373782=AXIS2_PLACEMENT_3D('',#579727,#457022,#457023); #373783=AXIS2_PLACEMENT_3D('',#579736,#457027,#457028); #373784=AXIS2_PLACEMENT_3D('',#579740,#457030,#457031); #373785=AXIS2_PLACEMENT_3D('',#579748,#457035,#457036); #373786=AXIS2_PLACEMENT_3D('',#579751,#457038,#457039); #373787=AXIS2_PLACEMENT_3D('',#579752,#457040,#457041); #373788=AXIS2_PLACEMENT_3D('',#579758,#457045,#457046); #373789=AXIS2_PLACEMENT_3D('',#579768,#457052,#457053); #373790=AXIS2_PLACEMENT_3D('',#579774,#457057,#457058); #373791=AXIS2_PLACEMENT_3D('',#579781,#457063,#457064); #373792=AXIS2_PLACEMENT_3D('',#579784,#457067,#457068); #373793=AXIS2_PLACEMENT_3D('',#579787,#457071,#457072); #373794=AXIS2_PLACEMENT_3D('',#579790,#457075,#457076); #373795=AXIS2_PLACEMENT_3D('',#579792,#457078,#457079); #373796=AXIS2_PLACEMENT_3D('',#579794,#457081,#457082); #373797=AXIS2_PLACEMENT_3D('',#579796,#457084,#457085); #373798=AXIS2_PLACEMENT_3D('',#579797,#457086,#457087); #373799=AXIS2_PLACEMENT_3D('',#579799,#457089,#457090); #373800=AXIS2_PLACEMENT_3D('',#579801,#457092,#457093); #373801=AXIS2_PLACEMENT_3D('',#579803,#457095,#457096); #373802=AXIS2_PLACEMENT_3D('',#579804,#457097,#457098); #373803=AXIS2_PLACEMENT_3D('',#579805,#457099,#457100); #373804=AXIS2_PLACEMENT_3D('',#579806,#457101,#457102); #373805=AXIS2_PLACEMENT_3D('',#579807,#457103,#457104); #373806=AXIS2_PLACEMENT_3D('',#579816,#457109,#457110); #373807=AXIS2_PLACEMENT_3D('',#579820,#457112,#457113); #373808=AXIS2_PLACEMENT_3D('',#579824,#457115,#457116); #373809=AXIS2_PLACEMENT_3D('',#579832,#457120,#457121); #373810=AXIS2_PLACEMENT_3D('',#579836,#457123,#457124); #373811=AXIS2_PLACEMENT_3D('',#579838,#457126,#457127); #373812=AXIS2_PLACEMENT_3D('',#579847,#457131,#457132); #373813=AXIS2_PLACEMENT_3D('',#579851,#457134,#457135); #373814=AXIS2_PLACEMENT_3D('',#579859,#457139,#457140); #373815=AXIS2_PLACEMENT_3D('',#579862,#457142,#457143); #373816=AXIS2_PLACEMENT_3D('',#579863,#457144,#457145); #373817=AXIS2_PLACEMENT_3D('',#579869,#457149,#457150); #373818=AXIS2_PLACEMENT_3D('',#579879,#457156,#457157); #373819=AXIS2_PLACEMENT_3D('',#579885,#457161,#457162); #373820=AXIS2_PLACEMENT_3D('',#579892,#457167,#457168); #373821=AXIS2_PLACEMENT_3D('',#579895,#457171,#457172); #373822=AXIS2_PLACEMENT_3D('',#579898,#457175,#457176); #373823=AXIS2_PLACEMENT_3D('',#579901,#457179,#457180); #373824=AXIS2_PLACEMENT_3D('',#579903,#457182,#457183); #373825=AXIS2_PLACEMENT_3D('',#579905,#457185,#457186); #373826=AXIS2_PLACEMENT_3D('',#579907,#457188,#457189); #373827=AXIS2_PLACEMENT_3D('',#579908,#457190,#457191); #373828=AXIS2_PLACEMENT_3D('',#579910,#457193,#457194); #373829=AXIS2_PLACEMENT_3D('',#579912,#457196,#457197); #373830=AXIS2_PLACEMENT_3D('',#579914,#457199,#457200); #373831=AXIS2_PLACEMENT_3D('',#579915,#457201,#457202); #373832=AXIS2_PLACEMENT_3D('',#579916,#457203,#457204); #373833=AXIS2_PLACEMENT_3D('',#579917,#457205,#457206); #373834=AXIS2_PLACEMENT_3D('',#579918,#457207,#457208); #373835=AXIS2_PLACEMENT_3D('',#579927,#457213,#457214); #373836=AXIS2_PLACEMENT_3D('',#579931,#457216,#457217); #373837=AXIS2_PLACEMENT_3D('',#579935,#457219,#457220); #373838=AXIS2_PLACEMENT_3D('',#579943,#457224,#457225); #373839=AXIS2_PLACEMENT_3D('',#579947,#457227,#457228); #373840=AXIS2_PLACEMENT_3D('',#579949,#457230,#457231); #373841=AXIS2_PLACEMENT_3D('',#579958,#457235,#457236); #373842=AXIS2_PLACEMENT_3D('',#579962,#457238,#457239); #373843=AXIS2_PLACEMENT_3D('',#579970,#457243,#457244); #373844=AXIS2_PLACEMENT_3D('',#579973,#457246,#457247); #373845=AXIS2_PLACEMENT_3D('',#579974,#457248,#457249); #373846=AXIS2_PLACEMENT_3D('',#579980,#457253,#457254); #373847=AXIS2_PLACEMENT_3D('',#579990,#457260,#457261); #373848=AXIS2_PLACEMENT_3D('',#579996,#457265,#457266); #373849=AXIS2_PLACEMENT_3D('',#580003,#457271,#457272); #373850=AXIS2_PLACEMENT_3D('',#580006,#457275,#457276); #373851=AXIS2_PLACEMENT_3D('',#580009,#457279,#457280); #373852=AXIS2_PLACEMENT_3D('',#580012,#457283,#457284); #373853=AXIS2_PLACEMENT_3D('',#580014,#457286,#457287); #373854=AXIS2_PLACEMENT_3D('',#580016,#457289,#457290); #373855=AXIS2_PLACEMENT_3D('',#580018,#457292,#457293); #373856=AXIS2_PLACEMENT_3D('',#580019,#457294,#457295); #373857=AXIS2_PLACEMENT_3D('',#580021,#457297,#457298); #373858=AXIS2_PLACEMENT_3D('',#580023,#457300,#457301); #373859=AXIS2_PLACEMENT_3D('',#580025,#457303,#457304); #373860=AXIS2_PLACEMENT_3D('',#580026,#457305,#457306); #373861=AXIS2_PLACEMENT_3D('',#580027,#457307,#457308); #373862=AXIS2_PLACEMENT_3D('',#580028,#457309,#457310); #373863=AXIS2_PLACEMENT_3D('',#580029,#457311,#457312); #373864=AXIS2_PLACEMENT_3D('',#580038,#457317,#457318); #373865=AXIS2_PLACEMENT_3D('',#580042,#457320,#457321); #373866=AXIS2_PLACEMENT_3D('',#580046,#457323,#457324); #373867=AXIS2_PLACEMENT_3D('',#580054,#457328,#457329); #373868=AXIS2_PLACEMENT_3D('',#580058,#457331,#457332); #373869=AXIS2_PLACEMENT_3D('',#580060,#457334,#457335); #373870=AXIS2_PLACEMENT_3D('',#580069,#457339,#457340); #373871=AXIS2_PLACEMENT_3D('',#580073,#457342,#457343); #373872=AXIS2_PLACEMENT_3D('',#580081,#457347,#457348); #373873=AXIS2_PLACEMENT_3D('',#580084,#457350,#457351); #373874=AXIS2_PLACEMENT_3D('',#580085,#457352,#457353); #373875=AXIS2_PLACEMENT_3D('',#580091,#457357,#457358); #373876=AXIS2_PLACEMENT_3D('',#580101,#457364,#457365); #373877=AXIS2_PLACEMENT_3D('',#580107,#457369,#457370); #373878=AXIS2_PLACEMENT_3D('',#580114,#457375,#457376); #373879=AXIS2_PLACEMENT_3D('',#580117,#457379,#457380); #373880=AXIS2_PLACEMENT_3D('',#580120,#457383,#457384); #373881=AXIS2_PLACEMENT_3D('',#580123,#457387,#457388); #373882=AXIS2_PLACEMENT_3D('',#580125,#457390,#457391); #373883=AXIS2_PLACEMENT_3D('',#580127,#457393,#457394); #373884=AXIS2_PLACEMENT_3D('',#580129,#457396,#457397); #373885=AXIS2_PLACEMENT_3D('',#580130,#457398,#457399); #373886=AXIS2_PLACEMENT_3D('',#580132,#457401,#457402); #373887=AXIS2_PLACEMENT_3D('',#580134,#457404,#457405); #373888=AXIS2_PLACEMENT_3D('',#580136,#457407,#457408); #373889=AXIS2_PLACEMENT_3D('',#580137,#457409,#457410); #373890=AXIS2_PLACEMENT_3D('',#580138,#457411,#457412); #373891=AXIS2_PLACEMENT_3D('',#580139,#457413,#457414); #373892=AXIS2_PLACEMENT_3D('',#580140,#457415,#457416); #373893=AXIS2_PLACEMENT_3D('',#580149,#457421,#457422); #373894=AXIS2_PLACEMENT_3D('',#580153,#457424,#457425); #373895=AXIS2_PLACEMENT_3D('',#580157,#457427,#457428); #373896=AXIS2_PLACEMENT_3D('',#580165,#457432,#457433); #373897=AXIS2_PLACEMENT_3D('',#580169,#457435,#457436); #373898=AXIS2_PLACEMENT_3D('',#580171,#457438,#457439); #373899=AXIS2_PLACEMENT_3D('',#580180,#457443,#457444); #373900=AXIS2_PLACEMENT_3D('',#580184,#457446,#457447); #373901=AXIS2_PLACEMENT_3D('',#580192,#457451,#457452); #373902=AXIS2_PLACEMENT_3D('',#580195,#457454,#457455); #373903=AXIS2_PLACEMENT_3D('',#580196,#457456,#457457); #373904=AXIS2_PLACEMENT_3D('',#580202,#457461,#457462); #373905=AXIS2_PLACEMENT_3D('',#580212,#457468,#457469); #373906=AXIS2_PLACEMENT_3D('',#580218,#457473,#457474); #373907=AXIS2_PLACEMENT_3D('',#580225,#457479,#457480); #373908=AXIS2_PLACEMENT_3D('',#580228,#457483,#457484); #373909=AXIS2_PLACEMENT_3D('',#580231,#457487,#457488); #373910=AXIS2_PLACEMENT_3D('',#580234,#457491,#457492); #373911=AXIS2_PLACEMENT_3D('',#580236,#457494,#457495); #373912=AXIS2_PLACEMENT_3D('',#580238,#457497,#457498); #373913=AXIS2_PLACEMENT_3D('',#580240,#457500,#457501); #373914=AXIS2_PLACEMENT_3D('',#580241,#457502,#457503); #373915=AXIS2_PLACEMENT_3D('',#580243,#457505,#457506); #373916=AXIS2_PLACEMENT_3D('',#580245,#457508,#457509); #373917=AXIS2_PLACEMENT_3D('',#580247,#457511,#457512); #373918=AXIS2_PLACEMENT_3D('',#580248,#457513,#457514); #373919=AXIS2_PLACEMENT_3D('',#580249,#457515,#457516); #373920=AXIS2_PLACEMENT_3D('',#580250,#457517,#457518); #373921=AXIS2_PLACEMENT_3D('',#580251,#457519,#457520); #373922=AXIS2_PLACEMENT_3D('',#580260,#457525,#457526); #373923=AXIS2_PLACEMENT_3D('',#580266,#457529,#457530); #373924=AXIS2_PLACEMENT_3D('',#580270,#457532,#457533); #373925=AXIS2_PLACEMENT_3D('',#580278,#457537,#457538); #373926=AXIS2_PLACEMENT_3D('',#580281,#457540,#457541); #373927=AXIS2_PLACEMENT_3D('',#580282,#457542,#457543); #373928=AXIS2_PLACEMENT_3D('',#580287,#457545,#457546); #373929=AXIS2_PLACEMENT_3D('',#580291,#457548,#457549); #373930=AXIS2_PLACEMENT_3D('',#580299,#457553,#457554); #373931=AXIS2_PLACEMENT_3D('',#580303,#457556,#457557); #373932=AXIS2_PLACEMENT_3D('',#580307,#457560,#457561); #373933=AXIS2_PLACEMENT_3D('',#580313,#457565,#457566); #373934=AXIS2_PLACEMENT_3D('',#580323,#457572,#457573); #373935=AXIS2_PLACEMENT_3D('',#580329,#457577,#457578); #373936=AXIS2_PLACEMENT_3D('',#580336,#457583,#457584); #373937=AXIS2_PLACEMENT_3D('',#580339,#457587,#457588); #373938=AXIS2_PLACEMENT_3D('',#580342,#457591,#457592); #373939=AXIS2_PLACEMENT_3D('',#580345,#457595,#457596); #373940=AXIS2_PLACEMENT_3D('',#580347,#457598,#457599); #373941=AXIS2_PLACEMENT_3D('',#580349,#457601,#457602); #373942=AXIS2_PLACEMENT_3D('',#580351,#457604,#457605); #373943=AXIS2_PLACEMENT_3D('',#580352,#457606,#457607); #373944=AXIS2_PLACEMENT_3D('',#580354,#457609,#457610); #373945=AXIS2_PLACEMENT_3D('',#580356,#457612,#457613); #373946=AXIS2_PLACEMENT_3D('',#580358,#457615,#457616); #373947=AXIS2_PLACEMENT_3D('',#580359,#457617,#457618); #373948=AXIS2_PLACEMENT_3D('',#580360,#457619,#457620); #373949=AXIS2_PLACEMENT_3D('',#580361,#457621,#457622); #373950=AXIS2_PLACEMENT_3D('',#580362,#457623,#457624); #373951=AXIS2_PLACEMENT_3D('',#580371,#457629,#457630); #373952=AXIS2_PLACEMENT_3D('',#580377,#457633,#457634); #373953=AXIS2_PLACEMENT_3D('',#580381,#457636,#457637); #373954=AXIS2_PLACEMENT_3D('',#580389,#457641,#457642); #373955=AXIS2_PLACEMENT_3D('',#580392,#457644,#457645); #373956=AXIS2_PLACEMENT_3D('',#580393,#457646,#457647); #373957=AXIS2_PLACEMENT_3D('',#580398,#457649,#457650); #373958=AXIS2_PLACEMENT_3D('',#580402,#457652,#457653); #373959=AXIS2_PLACEMENT_3D('',#580410,#457657,#457658); #373960=AXIS2_PLACEMENT_3D('',#580414,#457660,#457661); #373961=AXIS2_PLACEMENT_3D('',#580418,#457664,#457665); #373962=AXIS2_PLACEMENT_3D('',#580424,#457669,#457670); #373963=AXIS2_PLACEMENT_3D('',#580434,#457676,#457677); #373964=AXIS2_PLACEMENT_3D('',#580440,#457681,#457682); #373965=AXIS2_PLACEMENT_3D('',#580447,#457687,#457688); #373966=AXIS2_PLACEMENT_3D('',#580450,#457691,#457692); #373967=AXIS2_PLACEMENT_3D('',#580453,#457695,#457696); #373968=AXIS2_PLACEMENT_3D('',#580456,#457699,#457700); #373969=AXIS2_PLACEMENT_3D('',#580458,#457702,#457703); #373970=AXIS2_PLACEMENT_3D('',#580460,#457705,#457706); #373971=AXIS2_PLACEMENT_3D('',#580462,#457708,#457709); #373972=AXIS2_PLACEMENT_3D('',#580463,#457710,#457711); #373973=AXIS2_PLACEMENT_3D('',#580465,#457713,#457714); #373974=AXIS2_PLACEMENT_3D('',#580467,#457716,#457717); #373975=AXIS2_PLACEMENT_3D('',#580469,#457719,#457720); #373976=AXIS2_PLACEMENT_3D('',#580470,#457721,#457722); #373977=AXIS2_PLACEMENT_3D('',#580471,#457723,#457724); #373978=AXIS2_PLACEMENT_3D('',#580472,#457725,#457726); #373979=AXIS2_PLACEMENT_3D('',#580473,#457727,#457728); #373980=AXIS2_PLACEMENT_3D('',#580482,#457733,#457734); #373981=AXIS2_PLACEMENT_3D('',#580488,#457737,#457738); #373982=AXIS2_PLACEMENT_3D('',#580492,#457740,#457741); #373983=AXIS2_PLACEMENT_3D('',#580500,#457745,#457746); #373984=AXIS2_PLACEMENT_3D('',#580503,#457748,#457749); #373985=AXIS2_PLACEMENT_3D('',#580504,#457750,#457751); #373986=AXIS2_PLACEMENT_3D('',#580509,#457753,#457754); #373987=AXIS2_PLACEMENT_3D('',#580513,#457756,#457757); #373988=AXIS2_PLACEMENT_3D('',#580521,#457761,#457762); #373989=AXIS2_PLACEMENT_3D('',#580525,#457764,#457765); #373990=AXIS2_PLACEMENT_3D('',#580529,#457768,#457769); #373991=AXIS2_PLACEMENT_3D('',#580535,#457773,#457774); #373992=AXIS2_PLACEMENT_3D('',#580545,#457780,#457781); #373993=AXIS2_PLACEMENT_3D('',#580551,#457785,#457786); #373994=AXIS2_PLACEMENT_3D('',#580558,#457791,#457792); #373995=AXIS2_PLACEMENT_3D('',#580561,#457795,#457796); #373996=AXIS2_PLACEMENT_3D('',#580564,#457799,#457800); #373997=AXIS2_PLACEMENT_3D('',#580567,#457803,#457804); #373998=AXIS2_PLACEMENT_3D('',#580569,#457806,#457807); #373999=AXIS2_PLACEMENT_3D('',#580571,#457809,#457810); #374000=AXIS2_PLACEMENT_3D('',#580573,#457812,#457813); #374001=AXIS2_PLACEMENT_3D('',#580574,#457814,#457815); #374002=AXIS2_PLACEMENT_3D('',#580576,#457817,#457818); #374003=AXIS2_PLACEMENT_3D('',#580578,#457820,#457821); #374004=AXIS2_PLACEMENT_3D('',#580580,#457823,#457824); #374005=AXIS2_PLACEMENT_3D('',#580581,#457825,#457826); #374006=AXIS2_PLACEMENT_3D('',#580582,#457827,#457828); #374007=AXIS2_PLACEMENT_3D('',#580583,#457829,#457830); #374008=AXIS2_PLACEMENT_3D('',#580584,#457831,#457832); #374009=AXIS2_PLACEMENT_3D('',#580593,#457837,#457838); #374010=AXIS2_PLACEMENT_3D('',#580599,#457841,#457842); #374011=AXIS2_PLACEMENT_3D('',#580603,#457844,#457845); #374012=AXIS2_PLACEMENT_3D('',#580611,#457849,#457850); #374013=AXIS2_PLACEMENT_3D('',#580614,#457852,#457853); #374014=AXIS2_PLACEMENT_3D('',#580615,#457854,#457855); #374015=AXIS2_PLACEMENT_3D('',#580620,#457857,#457858); #374016=AXIS2_PLACEMENT_3D('',#580624,#457860,#457861); #374017=AXIS2_PLACEMENT_3D('',#580632,#457865,#457866); #374018=AXIS2_PLACEMENT_3D('',#580636,#457868,#457869); #374019=AXIS2_PLACEMENT_3D('',#580640,#457872,#457873); #374020=AXIS2_PLACEMENT_3D('',#580646,#457877,#457878); #374021=AXIS2_PLACEMENT_3D('',#580656,#457884,#457885); #374022=AXIS2_PLACEMENT_3D('',#580662,#457889,#457890); #374023=AXIS2_PLACEMENT_3D('',#580669,#457895,#457896); #374024=AXIS2_PLACEMENT_3D('',#580672,#457899,#457900); #374025=AXIS2_PLACEMENT_3D('',#580675,#457903,#457904); #374026=AXIS2_PLACEMENT_3D('',#580678,#457907,#457908); #374027=AXIS2_PLACEMENT_3D('',#580680,#457910,#457911); #374028=AXIS2_PLACEMENT_3D('',#580682,#457913,#457914); #374029=AXIS2_PLACEMENT_3D('',#580684,#457916,#457917); #374030=AXIS2_PLACEMENT_3D('',#580685,#457918,#457919); #374031=AXIS2_PLACEMENT_3D('',#580687,#457921,#457922); #374032=AXIS2_PLACEMENT_3D('',#580689,#457924,#457925); #374033=AXIS2_PLACEMENT_3D('',#580691,#457927,#457928); #374034=AXIS2_PLACEMENT_3D('',#580692,#457929,#457930); #374035=AXIS2_PLACEMENT_3D('',#580693,#457931,#457932); #374036=AXIS2_PLACEMENT_3D('',#580694,#457933,#457934); #374037=AXIS2_PLACEMENT_3D('',#580695,#457935,#457936); #374038=AXIS2_PLACEMENT_3D('',#580704,#457941,#457942); #374039=AXIS2_PLACEMENT_3D('',#580710,#457945,#457946); #374040=AXIS2_PLACEMENT_3D('',#580714,#457948,#457949); #374041=AXIS2_PLACEMENT_3D('',#580722,#457953,#457954); #374042=AXIS2_PLACEMENT_3D('',#580725,#457956,#457957); #374043=AXIS2_PLACEMENT_3D('',#580726,#457958,#457959); #374044=AXIS2_PLACEMENT_3D('',#580731,#457961,#457962); #374045=AXIS2_PLACEMENT_3D('',#580735,#457964,#457965); #374046=AXIS2_PLACEMENT_3D('',#580743,#457969,#457970); #374047=AXIS2_PLACEMENT_3D('',#580747,#457972,#457973); #374048=AXIS2_PLACEMENT_3D('',#580751,#457976,#457977); #374049=AXIS2_PLACEMENT_3D('',#580757,#457981,#457982); #374050=AXIS2_PLACEMENT_3D('',#580767,#457988,#457989); #374051=AXIS2_PLACEMENT_3D('',#580773,#457993,#457994); #374052=AXIS2_PLACEMENT_3D('',#580780,#457999,#458000); #374053=AXIS2_PLACEMENT_3D('',#580783,#458003,#458004); #374054=AXIS2_PLACEMENT_3D('',#580786,#458007,#458008); #374055=AXIS2_PLACEMENT_3D('',#580789,#458011,#458012); #374056=AXIS2_PLACEMENT_3D('',#580791,#458014,#458015); #374057=AXIS2_PLACEMENT_3D('',#580793,#458017,#458018); #374058=AXIS2_PLACEMENT_3D('',#580795,#458020,#458021); #374059=AXIS2_PLACEMENT_3D('',#580796,#458022,#458023); #374060=AXIS2_PLACEMENT_3D('',#580798,#458025,#458026); #374061=AXIS2_PLACEMENT_3D('',#580800,#458028,#458029); #374062=AXIS2_PLACEMENT_3D('',#580802,#458031,#458032); #374063=AXIS2_PLACEMENT_3D('',#580803,#458033,#458034); #374064=AXIS2_PLACEMENT_3D('',#580804,#458035,#458036); #374065=AXIS2_PLACEMENT_3D('',#580805,#458037,#458038); #374066=AXIS2_PLACEMENT_3D('',#580806,#458039,#458040); #374067=AXIS2_PLACEMENT_3D('',#580815,#458045,#458046); #374068=AXIS2_PLACEMENT_3D('',#580821,#458049,#458050); #374069=AXIS2_PLACEMENT_3D('',#580825,#458052,#458053); #374070=AXIS2_PLACEMENT_3D('',#580833,#458057,#458058); #374071=AXIS2_PLACEMENT_3D('',#580836,#458060,#458061); #374072=AXIS2_PLACEMENT_3D('',#580837,#458062,#458063); #374073=AXIS2_PLACEMENT_3D('',#580842,#458065,#458066); #374074=AXIS2_PLACEMENT_3D('',#580846,#458068,#458069); #374075=AXIS2_PLACEMENT_3D('',#580854,#458073,#458074); #374076=AXIS2_PLACEMENT_3D('',#580858,#458076,#458077); #374077=AXIS2_PLACEMENT_3D('',#580862,#458080,#458081); #374078=AXIS2_PLACEMENT_3D('',#580868,#458085,#458086); #374079=AXIS2_PLACEMENT_3D('',#580878,#458092,#458093); #374080=AXIS2_PLACEMENT_3D('',#580884,#458097,#458098); #374081=AXIS2_PLACEMENT_3D('',#580891,#458103,#458104); #374082=AXIS2_PLACEMENT_3D('',#580894,#458107,#458108); #374083=AXIS2_PLACEMENT_3D('',#580897,#458111,#458112); #374084=AXIS2_PLACEMENT_3D('',#580900,#458115,#458116); #374085=AXIS2_PLACEMENT_3D('',#580902,#458118,#458119); #374086=AXIS2_PLACEMENT_3D('',#580904,#458121,#458122); #374087=AXIS2_PLACEMENT_3D('',#580906,#458124,#458125); #374088=AXIS2_PLACEMENT_3D('',#580907,#458126,#458127); #374089=AXIS2_PLACEMENT_3D('',#580909,#458129,#458130); #374090=AXIS2_PLACEMENT_3D('',#580911,#458132,#458133); #374091=AXIS2_PLACEMENT_3D('',#580913,#458135,#458136); #374092=AXIS2_PLACEMENT_3D('',#580914,#458137,#458138); #374093=AXIS2_PLACEMENT_3D('',#580915,#458139,#458140); #374094=AXIS2_PLACEMENT_3D('',#580916,#458141,#458142); #374095=AXIS2_PLACEMENT_3D('',#580917,#458143,#458144); #374096=AXIS2_PLACEMENT_3D('',#580926,#458149,#458150); #374097=AXIS2_PLACEMENT_3D('',#580932,#458153,#458154); #374098=AXIS2_PLACEMENT_3D('',#580936,#458156,#458157); #374099=AXIS2_PLACEMENT_3D('',#580944,#458161,#458162); #374100=AXIS2_PLACEMENT_3D('',#580947,#458164,#458165); #374101=AXIS2_PLACEMENT_3D('',#580948,#458166,#458167); #374102=AXIS2_PLACEMENT_3D('',#580953,#458169,#458170); #374103=AXIS2_PLACEMENT_3D('',#580957,#458172,#458173); #374104=AXIS2_PLACEMENT_3D('',#580965,#458177,#458178); #374105=AXIS2_PLACEMENT_3D('',#580969,#458180,#458181); #374106=AXIS2_PLACEMENT_3D('',#580973,#458184,#458185); #374107=AXIS2_PLACEMENT_3D('',#580979,#458189,#458190); #374108=AXIS2_PLACEMENT_3D('',#580989,#458196,#458197); #374109=AXIS2_PLACEMENT_3D('',#580995,#458201,#458202); #374110=AXIS2_PLACEMENT_3D('',#581002,#458207,#458208); #374111=AXIS2_PLACEMENT_3D('',#581005,#458211,#458212); #374112=AXIS2_PLACEMENT_3D('',#581008,#458215,#458216); #374113=AXIS2_PLACEMENT_3D('',#581011,#458219,#458220); #374114=AXIS2_PLACEMENT_3D('',#581013,#458222,#458223); #374115=AXIS2_PLACEMENT_3D('',#581015,#458225,#458226); #374116=AXIS2_PLACEMENT_3D('',#581017,#458228,#458229); #374117=AXIS2_PLACEMENT_3D('',#581018,#458230,#458231); #374118=AXIS2_PLACEMENT_3D('',#581020,#458233,#458234); #374119=AXIS2_PLACEMENT_3D('',#581022,#458236,#458237); #374120=AXIS2_PLACEMENT_3D('',#581024,#458239,#458240); #374121=AXIS2_PLACEMENT_3D('',#581025,#458241,#458242); #374122=AXIS2_PLACEMENT_3D('',#581026,#458243,#458244); #374123=AXIS2_PLACEMENT_3D('',#581027,#458245,#458246); #374124=AXIS2_PLACEMENT_3D('',#581028,#458247,#458248); #374125=AXIS2_PLACEMENT_3D('',#581037,#458253,#458254); #374126=AXIS2_PLACEMENT_3D('',#581043,#458258,#458259); #374127=AXIS2_PLACEMENT_3D('',#581049,#458263,#458264); #374128=AXIS2_PLACEMENT_3D('',#581055,#458268,#458269); #374129=AXIS2_PLACEMENT_3D('',#581057,#458270,#458271); #374130=AXIS2_PLACEMENT_3D('',#581060,#458273,#458274); #374131=AXIS2_PLACEMENT_3D('',#581061,#458275,#458276); #374132=AXIS2_PLACEMENT_3D('',#581067,#458280,#458281); #374133=AXIS2_PLACEMENT_3D('',#581073,#458285,#458286); #374134=AXIS2_PLACEMENT_3D('',#581079,#458290,#458291); #374135=AXIS2_PLACEMENT_3D('',#581081,#458292,#458293); #374136=AXIS2_PLACEMENT_3D('',#581084,#458295,#458296); #374137=AXIS2_PLACEMENT_3D('',#581085,#458297,#458298); #374138=AXIS2_PLACEMENT_3D('',#581091,#458302,#458303); #374139=AXIS2_PLACEMENT_3D('',#581093,#458304,#458305); #374140=AXIS2_PLACEMENT_3D('',#581096,#458307,#458308); #374141=AXIS2_PLACEMENT_3D('',#581097,#458309,#458310); #374142=AXIS2_PLACEMENT_3D('',#581099,#458311,#458312); #374143=AXIS2_PLACEMENT_3D('',#581102,#458314,#458315); #374144=AXIS2_PLACEMENT_3D('',#581103,#458316,#458317); #374145=AXIS2_PLACEMENT_3D('',#581109,#458321,#458322); #374146=AXIS2_PLACEMENT_3D('',#581111,#458323,#458324); #374147=AXIS2_PLACEMENT_3D('',#581114,#458326,#458327); #374148=AXIS2_PLACEMENT_3D('',#581115,#458328,#458329); #374149=AXIS2_PLACEMENT_3D('',#581121,#458333,#458334); #374150=AXIS2_PLACEMENT_3D('',#581123,#458335,#458336); #374151=AXIS2_PLACEMENT_3D('',#581126,#458338,#458339); #374152=AXIS2_PLACEMENT_3D('',#581127,#458340,#458341); #374153=AXIS2_PLACEMENT_3D('',#581133,#458345,#458346); #374154=AXIS2_PLACEMENT_3D('',#581139,#458350,#458351); #374155=AXIS2_PLACEMENT_3D('',#581145,#458355,#458356); #374156=AXIS2_PLACEMENT_3D('',#581151,#458360,#458361); #374157=AXIS2_PLACEMENT_3D('',#581157,#458365,#458366); #374158=AXIS2_PLACEMENT_3D('',#581163,#458370,#458371); #374159=AXIS2_PLACEMENT_3D('',#581169,#458375,#458376); #374160=AXIS2_PLACEMENT_3D('',#581171,#458377,#458378); #374161=AXIS2_PLACEMENT_3D('',#581174,#458380,#458381); #374162=AXIS2_PLACEMENT_3D('',#581175,#458382,#458383); #374163=AXIS2_PLACEMENT_3D('',#581181,#458387,#458388); #374164=AXIS2_PLACEMENT_3D('',#581183,#458389,#458390); #374165=AXIS2_PLACEMENT_3D('',#581186,#458392,#458393); #374166=AXIS2_PLACEMENT_3D('',#581187,#458394,#458395); #374167=AXIS2_PLACEMENT_3D('',#581193,#458399,#458400); #374168=AXIS2_PLACEMENT_3D('',#581195,#458401,#458402); #374169=AXIS2_PLACEMENT_3D('',#581198,#458404,#458405); #374170=AXIS2_PLACEMENT_3D('',#581199,#458406,#458407); #374171=AXIS2_PLACEMENT_3D('',#581201,#458408,#458409); #374172=AXIS2_PLACEMENT_3D('',#581204,#458411,#458412); #374173=AXIS2_PLACEMENT_3D('',#581205,#458413,#458414); #374174=AXIS2_PLACEMENT_3D('',#581211,#458418,#458419); #374175=AXIS2_PLACEMENT_3D('',#581213,#458420,#458421); #374176=AXIS2_PLACEMENT_3D('',#581216,#458423,#458424); #374177=AXIS2_PLACEMENT_3D('',#581217,#458425,#458426); #374178=AXIS2_PLACEMENT_3D('',#581223,#458430,#458431); #374179=AXIS2_PLACEMENT_3D('',#581229,#458435,#458436); #374180=AXIS2_PLACEMENT_3D('',#581235,#458440,#458441); #374181=AXIS2_PLACEMENT_3D('',#581237,#458442,#458443); #374182=AXIS2_PLACEMENT_3D('',#581240,#458445,#458446); #374183=AXIS2_PLACEMENT_3D('',#581241,#458447,#458448); #374184=AXIS2_PLACEMENT_3D('',#581244,#458451,#458452); #374185=AXIS2_PLACEMENT_3D('',#581245,#458453,#458454); #374186=AXIS2_PLACEMENT_3D('',#581246,#458455,#458456); #374187=AXIS2_PLACEMENT_3D('',#581247,#458457,#458458); #374188=AXIS2_PLACEMENT_3D('',#581256,#458463,#458464); #374189=AXIS2_PLACEMENT_3D('',#581265,#458469,#458470); #374190=AXIS2_PLACEMENT_3D('',#581268,#458471,#458472); #374191=AXIS2_PLACEMENT_3D('',#581272,#458474,#458475); #374192=AXIS2_PLACEMENT_3D('',#581276,#458477,#458478); #374193=AXIS2_PLACEMENT_3D('',#581280,#458480,#458481); #374194=AXIS2_PLACEMENT_3D('',#581284,#458483,#458484); #374195=AXIS2_PLACEMENT_3D('',#581288,#458486,#458487); #374196=AXIS2_PLACEMENT_3D('',#581292,#458489,#458490); #374197=AXIS2_PLACEMENT_3D('',#581296,#458492,#458493); #374198=AXIS2_PLACEMENT_3D('',#581306,#458498,#458499); #374199=AXIS2_PLACEMENT_3D('',#581312,#458502,#458503); #374200=AXIS2_PLACEMENT_3D('',#581316,#458505,#458506); #374201=AXIS2_PLACEMENT_3D('',#581320,#458508,#458509); #374202=AXIS2_PLACEMENT_3D('',#581322,#458510,#458511); #374203=AXIS2_PLACEMENT_3D('',#581326,#458513,#458514); #374204=AXIS2_PLACEMENT_3D('',#581330,#458516,#458517); #374205=AXIS2_PLACEMENT_3D('',#581334,#458519,#458520); #374206=AXIS2_PLACEMENT_3D('',#581340,#458523,#458524); #374207=AXIS2_PLACEMENT_3D('',#581360,#458535,#458536); #374208=AXIS2_PLACEMENT_3D('',#581365,#458538,#458539); #374209=AXIS2_PLACEMENT_3D('',#581371,#458542,#458543); #374210=AXIS2_PLACEMENT_3D('',#581377,#458546,#458547); #374211=AXIS2_PLACEMENT_3D('',#581381,#458549,#458550); #374212=AXIS2_PLACEMENT_3D('',#581385,#458552,#458553); #374213=AXIS2_PLACEMENT_3D('',#581389,#458555,#458556); #374214=AXIS2_PLACEMENT_3D('',#581391,#458557,#458558); #374215=AXIS2_PLACEMENT_3D('',#581395,#458560,#458561); #374216=AXIS2_PLACEMENT_3D('',#581399,#458563,#458564); #374217=AXIS2_PLACEMENT_3D('',#581405,#458567,#458568); #374218=AXIS2_PLACEMENT_3D('',#581415,#458573,#458574); #374219=AXIS2_PLACEMENT_3D('',#581419,#458576,#458577); #374220=AXIS2_PLACEMENT_3D('',#581423,#458579,#458580); #374221=AXIS2_PLACEMENT_3D('',#581427,#458582,#458583); #374222=AXIS2_PLACEMENT_3D('',#581431,#458585,#458586); #374223=AXIS2_PLACEMENT_3D('',#581435,#458588,#458589); #374224=AXIS2_PLACEMENT_3D('',#581438,#458591,#458592); #374225=AXIS2_PLACEMENT_3D('',#581455,#458601,#458602); #374226=AXIS2_PLACEMENT_3D('',#581458,#458605,#458606); #374227=AXIS2_PLACEMENT_3D('',#581463,#458610,#458611); #374228=AXIS2_PLACEMENT_3D('',#581466,#458612,#458613); #374229=AXIS2_PLACEMENT_3D('',#581472,#458616,#458617); #374230=AXIS2_PLACEMENT_3D('',#581474,#458619,#458620); #374231=AXIS2_PLACEMENT_3D('',#581477,#458621,#458622); #374232=AXIS2_PLACEMENT_3D('',#581483,#458625,#458626); #374233=AXIS2_PLACEMENT_3D('',#581485,#458628,#458629); #374234=AXIS2_PLACEMENT_3D('',#581488,#458630,#458631); #374235=AXIS2_PLACEMENT_3D('',#581493,#458634,#458635); #374236=AXIS2_PLACEMENT_3D('',#581536,#458658,#458659); #374237=AXIS2_PLACEMENT_3D('',#581541,#458661,#458662); #374238=AXIS2_PLACEMENT_3D('',#581545,#458664,#458665); #374239=AXIS2_PLACEMENT_3D('',#581547,#458666,#458667); #374240=AXIS2_PLACEMENT_3D('',#581551,#458669,#458670); #374241=AXIS2_PLACEMENT_3D('',#581555,#458672,#458673); #374242=AXIS2_PLACEMENT_3D('',#581559,#458675,#458676); #374243=AXIS2_PLACEMENT_3D('',#581563,#458678,#458679); #374244=AXIS2_PLACEMENT_3D('',#581571,#458683,#458684); #374245=AXIS2_PLACEMENT_3D('',#581573,#458685,#458686); #374246=AXIS2_PLACEMENT_3D('',#581585,#458692,#458693); #374247=AXIS2_PLACEMENT_3D('',#581589,#458695,#458696); #374248=AXIS2_PLACEMENT_3D('',#581593,#458698,#458699); #374249=AXIS2_PLACEMENT_3D('',#581597,#458701,#458702); #374250=AXIS2_PLACEMENT_3D('',#581601,#458704,#458705); #374251=AXIS2_PLACEMENT_3D('',#581605,#458707,#458708); #374252=AXIS2_PLACEMENT_3D('',#581607,#458709,#458710); #374253=AXIS2_PLACEMENT_3D('',#581609,#458711,#458712); #374254=AXIS2_PLACEMENT_3D('',#581613,#458714,#458715); #374255=AXIS2_PLACEMENT_3D('',#581617,#458717,#458718); #374256=AXIS2_PLACEMENT_3D('',#581629,#458725,#458726); #374257=AXIS2_PLACEMENT_3D('',#581634,#458728,#458729); #374258=AXIS2_PLACEMENT_3D('',#581642,#458733,#458734); #374259=AXIS2_PLACEMENT_3D('',#581646,#458737,#458738); #374260=AXIS2_PLACEMENT_3D('',#581649,#458739,#458740); #374261=AXIS2_PLACEMENT_3D('',#581652,#458742,#458743); #374262=AXIS2_PLACEMENT_3D('',#581656,#458745,#458746); #374263=AXIS2_PLACEMENT_3D('',#581660,#458748,#458749); #374264=AXIS2_PLACEMENT_3D('',#581664,#458751,#458752); #374265=AXIS2_PLACEMENT_3D('',#581666,#458753,#458754); #374266=AXIS2_PLACEMENT_3D('',#581670,#458756,#458757); #374267=AXIS2_PLACEMENT_3D('',#581674,#458759,#458760); #374268=AXIS2_PLACEMENT_3D('',#581680,#458763,#458764); #374269=AXIS2_PLACEMENT_3D('',#581690,#458769,#458770); #374270=AXIS2_PLACEMENT_3D('',#581694,#458772,#458773); #374271=AXIS2_PLACEMENT_3D('',#581698,#458775,#458776); #374272=AXIS2_PLACEMENT_3D('',#581701,#458778,#458779); #374273=AXIS2_PLACEMENT_3D('',#581703,#458780,#458781); #374274=AXIS2_PLACEMENT_3D('',#581707,#458783,#458784); #374275=AXIS2_PLACEMENT_3D('',#581711,#458786,#458787); #374276=AXIS2_PLACEMENT_3D('',#581714,#458789,#458790); #374277=AXIS2_PLACEMENT_3D('',#581732,#458800,#458801); #374278=AXIS2_PLACEMENT_3D('',#581738,#458805,#458806); #374279=AXIS2_PLACEMENT_3D('',#581744,#458810,#458811); #374280=AXIS2_PLACEMENT_3D('',#581750,#458815,#458816); #374281=AXIS2_PLACEMENT_3D('',#581756,#458820,#458821); #374282=AXIS2_PLACEMENT_3D('',#581759,#458822,#458823); #374283=AXIS2_PLACEMENT_3D('',#581761,#458824,#458825); #374284=AXIS2_PLACEMENT_3D('',#581763,#458826,#458827); #374285=AXIS2_PLACEMENT_3D('',#581769,#458831,#458832); #374286=AXIS2_PLACEMENT_3D('',#581773,#458834,#458835); #374287=AXIS2_PLACEMENT_3D('',#581777,#458838,#458839); #374288=AXIS2_PLACEMENT_3D('',#581778,#458840,#458841); #374289=AXIS2_PLACEMENT_3D('',#581789,#458846,#458847); #374290=AXIS2_PLACEMENT_3D('',#581791,#458848,#458849); #374291=AXIS2_PLACEMENT_3D('',#581799,#458853,#458854); #374292=AXIS2_PLACEMENT_3D('',#581803,#458856,#458857); #374293=AXIS2_PLACEMENT_3D('',#581807,#458859,#458860); #374294=AXIS2_PLACEMENT_3D('',#581811,#458862,#458863); #374295=AXIS2_PLACEMENT_3D('',#581815,#458865,#458866); #374296=AXIS2_PLACEMENT_3D('',#581817,#458867,#458868); #374297=AXIS2_PLACEMENT_3D('',#581821,#458870,#458871); #374298=AXIS2_PLACEMENT_3D('',#581829,#458875,#458876); #374299=AXIS2_PLACEMENT_3D('',#581833,#458878,#458879); #374300=AXIS2_PLACEMENT_3D('',#581837,#458881,#458882); #374301=AXIS2_PLACEMENT_3D('',#581839,#458883,#458884); #374302=AXIS2_PLACEMENT_3D('',#581841,#458885,#458886); #374303=AXIS2_PLACEMENT_3D('',#581845,#458888,#458889); #374304=AXIS2_PLACEMENT_3D('',#581849,#458891,#458892); #374305=AXIS2_PLACEMENT_3D('',#581853,#458894,#458895); #374306=AXIS2_PLACEMENT_3D('',#581857,#458897,#458898); #374307=AXIS2_PLACEMENT_3D('',#581861,#458900,#458901); #374308=AXIS2_PLACEMENT_3D('',#581871,#458907,#458908); #374309=AXIS2_PLACEMENT_3D('',#581876,#458912,#458913); #374310=AXIS2_PLACEMENT_3D('',#581879,#458916,#458917); #374311=AXIS2_PLACEMENT_3D('',#581882,#458920,#458921); #374312=AXIS2_PLACEMENT_3D('',#581888,#458925,#458926); #374313=AXIS2_PLACEMENT_3D('',#581894,#458930,#458931); #374314=AXIS2_PLACEMENT_3D('',#581907,#458937,#458938); #374315=AXIS2_PLACEMENT_3D('',#581911,#458940,#458941); #374316=AXIS2_PLACEMENT_3D('',#581915,#458943,#458944); #374317=AXIS2_PLACEMENT_3D('',#581919,#458946,#458947); #374318=AXIS2_PLACEMENT_3D('',#581923,#458949,#458950); #374319=AXIS2_PLACEMENT_3D('',#581927,#458952,#458953); #374320=AXIS2_PLACEMENT_3D('',#581929,#458954,#458955); #374321=AXIS2_PLACEMENT_3D('',#581931,#458956,#458957); #374322=AXIS2_PLACEMENT_3D('',#581935,#458959,#458960); #374323=AXIS2_PLACEMENT_3D('',#581939,#458962,#458963); #374324=AXIS2_PLACEMENT_3D('',#581947,#458967,#458968); #374325=AXIS2_PLACEMENT_3D('',#581951,#458970,#458971); #374326=AXIS2_PLACEMENT_3D('',#581953,#458972,#458973); #374327=AXIS2_PLACEMENT_3D('',#581957,#458975,#458976); #374328=AXIS2_PLACEMENT_3D('',#581961,#458978,#458979); #374329=AXIS2_PLACEMENT_3D('',#581965,#458981,#458982); #374330=AXIS2_PLACEMENT_3D('',#581969,#458984,#458985); #374331=AXIS2_PLACEMENT_3D('',#581981,#458991,#458992); #374332=AXIS2_PLACEMENT_3D('',#581984,#458994,#458995); #374333=AXIS2_PLACEMENT_3D('',#581985,#458996,#458997); #374334=AXIS2_PLACEMENT_3D('',#581987,#458998,#458999); #374335=AXIS2_PLACEMENT_3D('',#581993,#459002,#459003); #374336=AXIS2_PLACEMENT_3D('',#581994,#459004,#459005); #374337=AXIS2_PLACEMENT_3D('',#581995,#459006,#459007); #374338=AXIS2_PLACEMENT_3D('',#581999,#459009,#459010); #374339=AXIS2_PLACEMENT_3D('',#582002,#459012,#459013); #374340=AXIS2_PLACEMENT_3D('',#582006,#459015,#459016); #374341=AXIS2_PLACEMENT_3D('',#582010,#459018,#459019); #374342=AXIS2_PLACEMENT_3D('',#582013,#459021,#459022); #374343=AXIS2_PLACEMENT_3D('',#582015,#459023,#459024); #374344=AXIS2_PLACEMENT_3D('',#582019,#459026,#459027); #374345=AXIS2_PLACEMENT_3D('',#582023,#459029,#459030); #374346=AXIS2_PLACEMENT_3D('',#582027,#459032,#459033); #374347=AXIS2_PLACEMENT_3D('',#582037,#459038,#459039); #374348=AXIS2_PLACEMENT_3D('',#582043,#459042,#459043); #374349=AXIS2_PLACEMENT_3D('',#582047,#459045,#459046); #374350=AXIS2_PLACEMENT_3D('',#582051,#459048,#459049); #374351=AXIS2_PLACEMENT_3D('',#582053,#459050,#459051); #374352=AXIS2_PLACEMENT_3D('',#582057,#459053,#459054); #374353=AXIS2_PLACEMENT_3D('',#582061,#459056,#459057); #374354=AXIS2_PLACEMENT_3D('',#582064,#459059,#459060); #374355=AXIS2_PLACEMENT_3D('',#582075,#459067,#459068); #374356=AXIS2_PLACEMENT_3D('',#582076,#459069,#459070); #374357=AXIS2_PLACEMENT_3D('',#582077,#459071,#459072); #374358=AXIS2_PLACEMENT_3D('',#582078,#459073,#459074); #374359=AXIS2_PLACEMENT_3D('',#582080,#459075,#459076); #374360=AXIS2_PLACEMENT_3D('',#582096,#459077,#459078); #374361=AXIS2_PLACEMENT_3D('',#582097,#459079,#459080); #374362=AXIS2_PLACEMENT_3D('',#582099,#459081,#459082); #374363=AXIS2_PLACEMENT_3D('',#582101,#459083,#459084); #374364=AXIS2_PLACEMENT_3D('',#582103,#459086,#459087); #374365=AXIS2_PLACEMENT_3D('',#582110,#459088,#459089); #374366=AXIS2_PLACEMENT_3D('',#582114,#459091,#459092); #374367=AXIS2_PLACEMENT_3D('',#582125,#459093,#459094); #374368=AXIS2_PLACEMENT_3D('',#582126,#459095,#459096); #374369=AXIS2_PLACEMENT_3D('',#582127,#459097,#459098); #374370=AXIS2_PLACEMENT_3D('',#582128,#459099,#459100); #374371=AXIS2_PLACEMENT_3D('',#582129,#459101,#459102); #374372=AXIS2_PLACEMENT_3D('',#582130,#459103,#459104); #374373=AXIS2_PLACEMENT_3D('',#582131,#459105,#459106); #374374=AXIS2_PLACEMENT_3D('',#582134,#459109,#459110); #374375=AXIS2_PLACEMENT_3D('',#582139,#459114,#459115); #374376=AXIS2_PLACEMENT_3D('',#582142,#459117,#459118); #374377=AXIS2_PLACEMENT_3D('',#582145,#459120,#459121); #374378=AXIS2_PLACEMENT_3D('',#582146,#459122,#459123); #374379=AXIS2_PLACEMENT_3D('',#582150,#459126,#459127); #374380=AXIS2_PLACEMENT_3D('',#582154,#459129,#459130); #374381=AXIS2_PLACEMENT_3D('',#582158,#459132,#459133); #374382=AXIS2_PLACEMENT_3D('',#582162,#459135,#459136); #374383=AXIS2_PLACEMENT_3D('',#582166,#459138,#459139); #374384=AXIS2_PLACEMENT_3D('',#582169,#459141,#459142); #374385=AXIS2_PLACEMENT_3D('',#582173,#459144,#459145); #374386=AXIS2_PLACEMENT_3D('',#582175,#459147,#459148); #374387=AXIS2_PLACEMENT_3D('',#582176,#459149,#459150); #374388=AXIS2_PLACEMENT_3D('',#582177,#459151,#459152); #374389=AXIS2_PLACEMENT_3D('',#582178,#459153,#459154); #374390=AXIS2_PLACEMENT_3D('',#582179,#459155,#459156); #374391=AXIS2_PLACEMENT_3D('',#582185,#459157,#459158); #374392=AXIS2_PLACEMENT_3D('',#582187,#459159,#459160); #374393=AXIS2_PLACEMENT_3D('',#582198,#459161,#459162); #374394=AXIS2_PLACEMENT_3D('',#582205,#459166,#459167); #374395=AXIS2_PLACEMENT_3D('',#582209,#459169,#459170); #374396=AXIS2_PLACEMENT_3D('',#582213,#459172,#459173); #374397=AXIS2_PLACEMENT_3D('',#582217,#459175,#459176); #374398=AXIS2_PLACEMENT_3D('',#582221,#459178,#459179); #374399=AXIS2_PLACEMENT_3D('',#582223,#459180,#459181); #374400=AXIS2_PLACEMENT_3D('',#582227,#459183,#459184); #374401=AXIS2_PLACEMENT_3D('',#582232,#459187,#459188); #374402=AXIS2_PLACEMENT_3D('',#582236,#459190,#459191); #374403=AXIS2_PLACEMENT_3D('',#582240,#459193,#459194); #374404=AXIS2_PLACEMENT_3D('',#582242,#459195,#459196); #374405=AXIS2_PLACEMENT_3D('',#582244,#459197,#459198); #374406=AXIS2_PLACEMENT_3D('',#582248,#459200,#459201); #374407=AXIS2_PLACEMENT_3D('',#582252,#459203,#459204); #374408=AXIS2_PLACEMENT_3D('',#582256,#459206,#459207); #374409=AXIS2_PLACEMENT_3D('',#582260,#459209,#459210); #374410=AXIS2_PLACEMENT_3D('',#582264,#459212,#459213); #374411=AXIS2_PLACEMENT_3D('',#582274,#459218,#459219); #374412=AXIS2_PLACEMENT_3D('',#582277,#459221,#459222); #374413=AXIS2_PLACEMENT_3D('',#582278,#459223,#459224); #374414=AXIS2_PLACEMENT_3D('',#582286,#459226,#459227); #374415=AXIS2_PLACEMENT_3D('',#582289,#459229,#459230); #374416=AXIS2_PLACEMENT_3D('',#582293,#459233,#459234); #374417=AXIS2_PLACEMENT_3D('',#582314,#459247,#459248); #374418=AXIS2_PLACEMENT_3D('',#582321,#459249,#459250); #374419=AXIS2_PLACEMENT_3D('',#582323,#459251,#459252); #374420=AXIS2_PLACEMENT_3D('',#582339,#459253,#459254); #374421=AXIS2_PLACEMENT_3D('',#582340,#459255,#459256); #374422=AXIS2_PLACEMENT_3D('',#582344,#459259,#459260); #374423=AXIS2_PLACEMENT_3D('',#582348,#459263,#459264); #374424=AXIS2_PLACEMENT_3D('',#582351,#459267,#459268); #374425=AXIS2_PLACEMENT_3D('',#582353,#459270,#459271); #374426=AXIS2_PLACEMENT_3D('',#582355,#459272,#459273); #374427=AXIS2_PLACEMENT_3D('',#582362,#459277,#459278); #374428=AXIS2_PLACEMENT_3D('',#582364,#459280,#459281); #374429=AXIS2_PLACEMENT_3D('',#582366,#459283,#459284); #374430=AXIS2_PLACEMENT_3D('',#582368,#459286,#459287); #374431=AXIS2_PLACEMENT_3D('',#582370,#459289,#459290); #374432=AXIS2_PLACEMENT_3D('',#582372,#459292,#459293); #374433=AXIS2_PLACEMENT_3D('',#582373,#459294,#459295); #374434=AXIS2_PLACEMENT_3D('',#582374,#459296,#459297); #374435=AXIS2_PLACEMENT_3D('',#582377,#459300,#459301); #374436=AXIS2_PLACEMENT_3D('',#582379,#459303,#459304); #374437=AXIS2_PLACEMENT_3D('',#582381,#459306,#459307); #374438=AXIS2_PLACEMENT_3D('',#582382,#459308,#459309); #374439=AXIS2_PLACEMENT_3D('',#582385,#459312,#459313); #374440=AXIS2_PLACEMENT_3D('',#582387,#459315,#459316); #374441=AXIS2_PLACEMENT_3D('',#582388,#459317,#459318); #374442=AXIS2_PLACEMENT_3D('',#582390,#459320,#459321); #374443=AXIS2_PLACEMENT_3D('',#582394,#459324,#459325); #374444=AXIS2_PLACEMENT_3D('',#582397,#459328,#459329); #374445=AXIS2_PLACEMENT_3D('',#582400,#459332,#459333); #374446=AXIS2_PLACEMENT_3D('',#582402,#459335,#459336); #374447=AXIS2_PLACEMENT_3D('',#582404,#459338,#459339); #374448=AXIS2_PLACEMENT_3D('',#582406,#459341,#459342); #374449=AXIS2_PLACEMENT_3D('',#582408,#459344,#459345); #374450=AXIS2_PLACEMENT_3D('',#582410,#459347,#459348); #374451=AXIS2_PLACEMENT_3D('',#582412,#459350,#459351); #374452=AXIS2_PLACEMENT_3D('',#582414,#459353,#459354); #374453=AXIS2_PLACEMENT_3D('',#582416,#459356,#459357); #374454=AXIS2_PLACEMENT_3D('',#582417,#459358,#459359); #374455=AXIS2_PLACEMENT_3D('',#582420,#459362,#459363); #374456=AXIS2_PLACEMENT_3D('',#582423,#459366,#459367); #374457=AXIS2_PLACEMENT_3D('',#582425,#459369,#459370); #374458=AXIS2_PLACEMENT_3D('',#582427,#459372,#459373); #374459=AXIS2_PLACEMENT_3D('',#582429,#459375,#459376); #374460=AXIS2_PLACEMENT_3D('',#582431,#459378,#459379); #374461=AXIS2_PLACEMENT_3D('',#582433,#459381,#459382); #374462=AXIS2_PLACEMENT_3D('',#582435,#459384,#459385); #374463=AXIS2_PLACEMENT_3D('',#582437,#459387,#459388); #374464=AXIS2_PLACEMENT_3D('',#582439,#459390,#459391); #374465=AXIS2_PLACEMENT_3D('',#582440,#459392,#459393); #374466=AXIS2_PLACEMENT_3D('',#582443,#459396,#459397); #374467=AXIS2_PLACEMENT_3D('',#582445,#459399,#459400); #374468=AXIS2_PLACEMENT_3D('',#582447,#459402,#459403); #374469=AXIS2_PLACEMENT_3D('',#582449,#459405,#459406); #374470=AXIS2_PLACEMENT_3D('',#582451,#459408,#459409); #374471=AXIS2_PLACEMENT_3D('',#582453,#459411,#459412); #374472=AXIS2_PLACEMENT_3D('',#582455,#459414,#459415); #374473=AXIS2_PLACEMENT_3D('',#582457,#459417,#459418); #374474=AXIS2_PLACEMENT_3D('',#582459,#459420,#459421); #374475=AXIS2_PLACEMENT_3D('',#582461,#459423,#459424); #374476=AXIS2_PLACEMENT_3D('',#582463,#459426,#459427); #374477=AXIS2_PLACEMENT_3D('',#582465,#459429,#459430); #374478=AXIS2_PLACEMENT_3D('',#582467,#459432,#459433); #374479=AXIS2_PLACEMENT_3D('',#582469,#459435,#459436); #374480=AXIS2_PLACEMENT_3D('',#582471,#459438,#459439); #374481=AXIS2_PLACEMENT_3D('',#582473,#459441,#459442); #374482=AXIS2_PLACEMENT_3D('',#582475,#459444,#459445); #374483=AXIS2_PLACEMENT_3D('',#582477,#459447,#459448); #374484=AXIS2_PLACEMENT_3D('',#582481,#459451,#459452); #374485=AXIS2_PLACEMENT_3D('',#582484,#459455,#459456); #374486=AXIS2_PLACEMENT_3D('',#582486,#459458,#459459); #374487=AXIS2_PLACEMENT_3D('',#582488,#459461,#459462); #374488=AXIS2_PLACEMENT_3D('',#582490,#459464,#459465); #374489=AXIS2_PLACEMENT_3D('',#582492,#459467,#459468); #374490=AXIS2_PLACEMENT_3D('',#582494,#459470,#459471); #374491=AXIS2_PLACEMENT_3D('',#582496,#459473,#459474); #374492=AXIS2_PLACEMENT_3D('',#582498,#459476,#459477); #374493=AXIS2_PLACEMENT_3D('',#582500,#459479,#459480); #374494=AXIS2_PLACEMENT_3D('',#582502,#459482,#459483); #374495=AXIS2_PLACEMENT_3D('',#582504,#459485,#459486); #374496=AXIS2_PLACEMENT_3D('',#582506,#459488,#459489); #374497=AXIS2_PLACEMENT_3D('',#582507,#459490,#459491); #374498=AXIS2_PLACEMENT_3D('',#582508,#459492,#459493); #374499=AXIS2_PLACEMENT_3D('',#582509,#459494,#459495); #374500=AXIS2_PLACEMENT_3D('',#582510,#459496,#459497); #374501=AXIS2_PLACEMENT_3D('',#582512,#459499,#459500); #374502=AXIS2_PLACEMENT_3D('',#582514,#459502,#459503); #374503=AXIS2_PLACEMENT_3D('',#582516,#459505,#459506); #374504=AXIS2_PLACEMENT_3D('',#582518,#459508,#459509); #374505=AXIS2_PLACEMENT_3D('',#582520,#459511,#459512); #374506=AXIS2_PLACEMENT_3D('',#582522,#459514,#459515); #374507=AXIS2_PLACEMENT_3D('',#582524,#459517,#459518); #374508=AXIS2_PLACEMENT_3D('',#582526,#459520,#459521); #374509=AXIS2_PLACEMENT_3D('',#582527,#459522,#459523); #374510=AXIS2_PLACEMENT_3D('',#582530,#459526,#459527); #374511=AXIS2_PLACEMENT_3D('',#582531,#459528,#459529); #374512=AXIS2_PLACEMENT_3D('',#582534,#459532,#459533); #374513=AXIS2_PLACEMENT_3D('',#582535,#459534,#459535); #374514=AXIS2_PLACEMENT_3D('',#582537,#459536,#459537); #374515=AXIS2_PLACEMENT_3D('',#582541,#459539,#459540); #374516=AXIS2_PLACEMENT_3D('',#582545,#459542,#459543); #374517=AXIS2_PLACEMENT_3D('',#582548,#459545,#459546); #374518=AXIS2_PLACEMENT_3D('',#582550,#459547,#459548); #374519=AXIS2_PLACEMENT_3D('',#582554,#459550,#459551); #374520=AXIS2_PLACEMENT_3D('',#582558,#459553,#459554); #374521=AXIS2_PLACEMENT_3D('',#582561,#459556,#459557); #374522=AXIS2_PLACEMENT_3D('',#582562,#459558,#459559); #374523=AXIS2_PLACEMENT_3D('',#582567,#459561,#459562); #374524=AXIS2_PLACEMENT_3D('',#582571,#459564,#459565); #374525=AXIS2_PLACEMENT_3D('',#582574,#459567,#459568); #374526=AXIS2_PLACEMENT_3D('',#582576,#459569,#459570); #374527=AXIS2_PLACEMENT_3D('',#582580,#459572,#459573); #374528=AXIS2_PLACEMENT_3D('',#582584,#459575,#459576); #374529=AXIS2_PLACEMENT_3D('',#582587,#459578,#459579); #374530=AXIS2_PLACEMENT_3D('',#582588,#459580,#459581); #374531=AXIS2_PLACEMENT_3D('',#582589,#459582,#459583); #374532=AXIS2_PLACEMENT_3D('',#582591,#459585,#459586); #374533=AXIS2_PLACEMENT_3D('',#582593,#459588,#459589); #374534=AXIS2_PLACEMENT_3D('',#582595,#459591,#459592); #374535=AXIS2_PLACEMENT_3D('',#582597,#459594,#459595); #374536=AXIS2_PLACEMENT_3D('',#582599,#459597,#459598); #374537=AXIS2_PLACEMENT_3D('',#582601,#459600,#459601); #374538=AXIS2_PLACEMENT_3D('',#582602,#459602,#459603); #374539=AXIS2_PLACEMENT_3D('',#582604,#459605,#459606); #374540=AXIS2_PLACEMENT_3D('',#582606,#459608,#459609); #374541=AXIS2_PLACEMENT_3D('',#582608,#459611,#459612); #374542=AXIS2_PLACEMENT_3D('',#582610,#459614,#459615); #374543=AXIS2_PLACEMENT_3D('',#582612,#459617,#459618); #374544=AXIS2_PLACEMENT_3D('',#582614,#459620,#459621); #374545=AXIS2_PLACEMENT_3D('',#582615,#459622,#459623); #374546=AXIS2_PLACEMENT_3D('',#582617,#459625,#459626); #374547=AXIS2_PLACEMENT_3D('',#582620,#459629,#459630); #374548=AXIS2_PLACEMENT_3D('',#582621,#459631,#459632); #374549=AXIS2_PLACEMENT_3D('',#582623,#459634,#459635); #374550=AXIS2_PLACEMENT_3D('',#582625,#459636,#459637); #374551=AXIS2_PLACEMENT_3D('',#582629,#459639,#459640); #374552=AXIS2_PLACEMENT_3D('',#582633,#459642,#459643); #374553=AXIS2_PLACEMENT_3D('',#582636,#459645,#459646); #374554=AXIS2_PLACEMENT_3D('',#582638,#459647,#459648); #374555=AXIS2_PLACEMENT_3D('',#582642,#459650,#459651); #374556=AXIS2_PLACEMENT_3D('',#582646,#459653,#459654); #374557=AXIS2_PLACEMENT_3D('',#582649,#459656,#459657); #374558=AXIS2_PLACEMENT_3D('',#582650,#459658,#459659); #374559=AXIS2_PLACEMENT_3D('',#582655,#459661,#459662); #374560=AXIS2_PLACEMENT_3D('',#582659,#459664,#459665); #374561=AXIS2_PLACEMENT_3D('',#582662,#459667,#459668); #374562=AXIS2_PLACEMENT_3D('',#582664,#459669,#459670); #374563=AXIS2_PLACEMENT_3D('',#582668,#459672,#459673); #374564=AXIS2_PLACEMENT_3D('',#582672,#459675,#459676); #374565=AXIS2_PLACEMENT_3D('',#582675,#459678,#459679); #374566=AXIS2_PLACEMENT_3D('',#582676,#459680,#459681); #374567=AXIS2_PLACEMENT_3D('',#582677,#459682,#459683); #374568=AXIS2_PLACEMENT_3D('',#582679,#459685,#459686); #374569=AXIS2_PLACEMENT_3D('',#582681,#459688,#459689); #374570=AXIS2_PLACEMENT_3D('',#582683,#459691,#459692); #374571=AXIS2_PLACEMENT_3D('',#582685,#459694,#459695); #374572=AXIS2_PLACEMENT_3D('',#582687,#459697,#459698); #374573=AXIS2_PLACEMENT_3D('',#582689,#459700,#459701); #374574=AXIS2_PLACEMENT_3D('',#582690,#459702,#459703); #374575=AXIS2_PLACEMENT_3D('',#582692,#459705,#459706); #374576=AXIS2_PLACEMENT_3D('',#582694,#459708,#459709); #374577=AXIS2_PLACEMENT_3D('',#582696,#459711,#459712); #374578=AXIS2_PLACEMENT_3D('',#582698,#459714,#459715); #374579=AXIS2_PLACEMENT_3D('',#582700,#459717,#459718); #374580=AXIS2_PLACEMENT_3D('',#582702,#459720,#459721); #374581=AXIS2_PLACEMENT_3D('',#582703,#459722,#459723); #374582=AXIS2_PLACEMENT_3D('',#582712,#459728,#459729); #374583=AXIS2_PLACEMENT_3D('',#582714,#459730,#459731); #374584=AXIS2_PLACEMENT_3D('',#582716,#459732,#459733); #374585=AXIS2_PLACEMENT_3D('',#582718,#459735,#459736); #374586=AXIS2_PLACEMENT_3D('',#582724,#459740,#459741); #374587=AXIS2_PLACEMENT_3D('',#582725,#459742,#459743); #374588=AXIS2_PLACEMENT_3D('',#582726,#459744,#459745); #374589=AXIS2_PLACEMENT_3D('',#582727,#459746,#459747); #374590=AXIS2_PLACEMENT_3D('',#582730,#459749,#459750); #374591=AXIS2_PLACEMENT_3D('',#582731,#459751,#459752); #374592=AXIS2_PLACEMENT_3D('',#582735,#459754,#459755); #374593=AXIS2_PLACEMENT_3D('',#582737,#459757,#459758); #374594=AXIS2_PLACEMENT_3D('',#582789,#459760,#459761); #374595=AXIS2_PLACEMENT_3D('',#582790,#459762,#459763); #374596=AXIS2_PLACEMENT_3D('',#582791,#459764,#459765); #374597=AXIS2_PLACEMENT_3D('',#582795,#459767,#459768); #374598=AXIS2_PLACEMENT_3D('',#582796,#459769,#459770); #374599=AXIS2_PLACEMENT_3D('',#582797,#459771,#459772); #374600=AXIS2_PLACEMENT_3D('',#582803,#459776,#459777); #374601=AXIS2_PLACEMENT_3D('',#582804,#459778,#459779); #374602=AXIS2_PLACEMENT_3D('',#582805,#459780,#459781); #374603=AXIS2_PLACEMENT_3D('',#582806,#459782,#459783); #374604=AXIS2_PLACEMENT_3D('',#582807,#459784,#459785); #374605=AXIS2_PLACEMENT_3D('',#582808,#459786,#459787); #374606=AXIS2_PLACEMENT_3D('',#582809,#459788,#459789); #374607=AXIS2_PLACEMENT_3D('',#582810,#459790,#459791); #374608=AXIS2_PLACEMENT_3D('',#582811,#459792,#459793); #374609=AXIS2_PLACEMENT_3D('',#582812,#459794,#459795); #374610=AXIS2_PLACEMENT_3D('',#582818,#459799,#459800); #374611=AXIS2_PLACEMENT_3D('',#582824,#459804,#459805); #374612=AXIS2_PLACEMENT_3D('',#582827,#459808,#459809); #374613=AXIS2_PLACEMENT_3D('',#582828,#459810,#459811); #374614=AXIS2_PLACEMENT_3D('',#582829,#459812,#459813); #374615=AXIS2_PLACEMENT_3D('',#582832,#459816,#459817); #374616=AXIS2_PLACEMENT_3D('',#582835,#459820,#459821); #374617=AXIS2_PLACEMENT_3D('',#582837,#459823,#459824); #374618=AXIS2_PLACEMENT_3D('',#582839,#459826,#459827); #374619=AXIS2_PLACEMENT_3D('',#582841,#459829,#459830); #374620=AXIS2_PLACEMENT_3D('',#582843,#459832,#459833); #374621=AXIS2_PLACEMENT_3D('',#582845,#459835,#459836); #374622=AXIS2_PLACEMENT_3D('',#582847,#459838,#459839); #374623=AXIS2_PLACEMENT_3D('',#582849,#459841,#459842); #374624=AXIS2_PLACEMENT_3D('',#582851,#459844,#459845); #374625=AXIS2_PLACEMENT_3D('',#582853,#459847,#459848); #374626=AXIS2_PLACEMENT_3D('',#582855,#459850,#459851); #374627=AXIS2_PLACEMENT_3D('',#582857,#459853,#459854); #374628=AXIS2_PLACEMENT_3D('',#582859,#459856,#459857); #374629=AXIS2_PLACEMENT_3D('',#582860,#459858,#459859); #374630=AXIS2_PLACEMENT_3D('',#582863,#459862,#459863); #374631=AXIS2_PLACEMENT_3D('',#582865,#459865,#459866); #374632=AXIS2_PLACEMENT_3D('',#582867,#459868,#459869); #374633=AXIS2_PLACEMENT_3D('',#582869,#459871,#459872); #374634=AXIS2_PLACEMENT_3D('',#582871,#459874,#459875); #374635=AXIS2_PLACEMENT_3D('',#582873,#459877,#459878); #374636=AXIS2_PLACEMENT_3D('',#582875,#459880,#459881); #374637=AXIS2_PLACEMENT_3D('',#582877,#459883,#459884); #374638=AXIS2_PLACEMENT_3D('',#582879,#459886,#459887); #374639=AXIS2_PLACEMENT_3D('',#582881,#459889,#459890); #374640=AXIS2_PLACEMENT_3D('',#582883,#459892,#459893); #374641=AXIS2_PLACEMENT_3D('',#582887,#459896,#459897); #374642=AXIS2_PLACEMENT_3D('',#582890,#459900,#459901); #374643=AXIS2_PLACEMENT_3D('',#582892,#459903,#459904); #374644=AXIS2_PLACEMENT_3D('',#582894,#459906,#459907); #374645=AXIS2_PLACEMENT_3D('',#582895,#459908,#459909); #374646=AXIS2_PLACEMENT_3D('',#582896,#459910,#459911); #374647=AXIS2_PLACEMENT_3D('',#582897,#459912,#459913); #374648=AXIS2_PLACEMENT_3D('',#582898,#459914,#459915); #374649=AXIS2_PLACEMENT_3D('',#582899,#459916,#459917); #374650=AXIS2_PLACEMENT_3D('',#582901,#459919,#459920); #374651=AXIS2_PLACEMENT_3D('',#582904,#459923,#459924); #374652=AXIS2_PLACEMENT_3D('',#582905,#459925,#459926); #374653=AXIS2_PLACEMENT_3D('',#582907,#459928,#459929); #374654=AXIS2_PLACEMENT_3D('',#582909,#459930,#459931); #374655=AXIS2_PLACEMENT_3D('',#582913,#459933,#459934); #374656=AXIS2_PLACEMENT_3D('',#582917,#459936,#459937); #374657=AXIS2_PLACEMENT_3D('',#582920,#459939,#459940); #374658=AXIS2_PLACEMENT_3D('',#582922,#459941,#459942); #374659=AXIS2_PLACEMENT_3D('',#582926,#459944,#459945); #374660=AXIS2_PLACEMENT_3D('',#582930,#459947,#459948); #374661=AXIS2_PLACEMENT_3D('',#582933,#459950,#459951); #374662=AXIS2_PLACEMENT_3D('',#582934,#459952,#459953); #374663=AXIS2_PLACEMENT_3D('',#582939,#459955,#459956); #374664=AXIS2_PLACEMENT_3D('',#582943,#459958,#459959); #374665=AXIS2_PLACEMENT_3D('',#582946,#459961,#459962); #374666=AXIS2_PLACEMENT_3D('',#582948,#459963,#459964); #374667=AXIS2_PLACEMENT_3D('',#582952,#459966,#459967); #374668=AXIS2_PLACEMENT_3D('',#582956,#459969,#459970); #374669=AXIS2_PLACEMENT_3D('',#582959,#459972,#459973); #374670=AXIS2_PLACEMENT_3D('',#582960,#459974,#459975); #374671=AXIS2_PLACEMENT_3D('',#582961,#459976,#459977); #374672=AXIS2_PLACEMENT_3D('',#582963,#459979,#459980); #374673=AXIS2_PLACEMENT_3D('',#582965,#459982,#459983); #374674=AXIS2_PLACEMENT_3D('',#582967,#459985,#459986); #374675=AXIS2_PLACEMENT_3D('',#582969,#459988,#459989); #374676=AXIS2_PLACEMENT_3D('',#582971,#459991,#459992); #374677=AXIS2_PLACEMENT_3D('',#582973,#459994,#459995); #374678=AXIS2_PLACEMENT_3D('',#582974,#459996,#459997); #374679=AXIS2_PLACEMENT_3D('',#582976,#459999,#460000); #374680=AXIS2_PLACEMENT_3D('',#582978,#460002,#460003); #374681=AXIS2_PLACEMENT_3D('',#582980,#460005,#460006); #374682=AXIS2_PLACEMENT_3D('',#582982,#460008,#460009); #374683=AXIS2_PLACEMENT_3D('',#582984,#460011,#460012); #374684=AXIS2_PLACEMENT_3D('',#582986,#460014,#460015); #374685=AXIS2_PLACEMENT_3D('',#582987,#460016,#460017); #374686=AXIS2_PLACEMENT_3D('',#582990,#460020,#460021); #374687=AXIS2_PLACEMENT_3D('',#582991,#460022,#460023); #374688=AXIS2_PLACEMENT_3D('',#582994,#460026,#460027); #374689=AXIS2_PLACEMENT_3D('',#582995,#460028,#460029); #374690=AXIS2_PLACEMENT_3D('',#582997,#460030,#460031); #374691=AXIS2_PLACEMENT_3D('',#583001,#460033,#460034); #374692=AXIS2_PLACEMENT_3D('',#583005,#460036,#460037); #374693=AXIS2_PLACEMENT_3D('',#583008,#460039,#460040); #374694=AXIS2_PLACEMENT_3D('',#583010,#460041,#460042); #374695=AXIS2_PLACEMENT_3D('',#583014,#460044,#460045); #374696=AXIS2_PLACEMENT_3D('',#583018,#460047,#460048); #374697=AXIS2_PLACEMENT_3D('',#583021,#460050,#460051); #374698=AXIS2_PLACEMENT_3D('',#583022,#460052,#460053); #374699=AXIS2_PLACEMENT_3D('',#583027,#460055,#460056); #374700=AXIS2_PLACEMENT_3D('',#583031,#460058,#460059); #374701=AXIS2_PLACEMENT_3D('',#583034,#460061,#460062); #374702=AXIS2_PLACEMENT_3D('',#583036,#460063,#460064); #374703=AXIS2_PLACEMENT_3D('',#583040,#460066,#460067); #374704=AXIS2_PLACEMENT_3D('',#583044,#460069,#460070); #374705=AXIS2_PLACEMENT_3D('',#583047,#460072,#460073); #374706=AXIS2_PLACEMENT_3D('',#583048,#460074,#460075); #374707=AXIS2_PLACEMENT_3D('',#583049,#460076,#460077); #374708=AXIS2_PLACEMENT_3D('',#583051,#460079,#460080); #374709=AXIS2_PLACEMENT_3D('',#583053,#460082,#460083); #374710=AXIS2_PLACEMENT_3D('',#583055,#460085,#460086); #374711=AXIS2_PLACEMENT_3D('',#583057,#460088,#460089); #374712=AXIS2_PLACEMENT_3D('',#583059,#460091,#460092); #374713=AXIS2_PLACEMENT_3D('',#583061,#460094,#460095); #374714=AXIS2_PLACEMENT_3D('',#583062,#460096,#460097); #374715=AXIS2_PLACEMENT_3D('',#583064,#460099,#460100); #374716=AXIS2_PLACEMENT_3D('',#583066,#460102,#460103); #374717=AXIS2_PLACEMENT_3D('',#583068,#460105,#460106); #374718=AXIS2_PLACEMENT_3D('',#583070,#460108,#460109); #374719=AXIS2_PLACEMENT_3D('',#583072,#460111,#460112); #374720=AXIS2_PLACEMENT_3D('',#583074,#460114,#460115); #374721=AXIS2_PLACEMENT_3D('',#583075,#460116,#460117); #374722=AXIS2_PLACEMENT_3D('',#583078,#460118,#460119); #374723=AXIS2_PLACEMENT_3D('',#583082,#460121,#460122); #374724=AXIS2_PLACEMENT_3D('',#583084,#460124,#460125); #374725=AXIS2_PLACEMENT_3D('',#583090,#460129,#460130); #374726=AXIS2_PLACEMENT_3D('',#583091,#460131,#460132); #374727=AXIS2_PLACEMENT_3D('',#583092,#460133,#460134); #374728=AXIS2_PLACEMENT_3D('',#583093,#460135,#460136); #374729=AXIS2_PLACEMENT_3D('',#583099,#460140,#460141); #374730=AXIS2_PLACEMENT_3D('',#583101,#460142,#460143); #374731=AXIS2_PLACEMENT_3D('',#583106,#460146,#460147); #374732=AXIS2_PLACEMENT_3D('',#583107,#460148,#460149); #374733=AXIS2_PLACEMENT_3D('',#583109,#460151,#460152); #374734=AXIS2_PLACEMENT_3D('',#583161,#460154,#460155); #374735=AXIS2_PLACEMENT_3D('',#583162,#460156,#460157); #374736=AXIS2_PLACEMENT_3D('',#583163,#460158,#460159); #374737=AXIS2_PLACEMENT_3D('',#583165,#460160,#460161); #374738=AXIS2_PLACEMENT_3D('',#583168,#460163,#460164); #374739=AXIS2_PLACEMENT_3D('',#583169,#460165,#460166); #374740=AXIS2_PLACEMENT_3D('',#583173,#460168,#460169); #374741=AXIS2_PLACEMENT_3D('',#583174,#460170,#460171); #374742=AXIS2_PLACEMENT_3D('',#583175,#460172,#460173); #374743=AXIS2_PLACEMENT_3D('',#583178,#460176,#460177); #374744=AXIS2_PLACEMENT_3D('',#583179,#460178,#460179); #374745=AXIS2_PLACEMENT_3D('',#583180,#460180,#460181); #374746=AXIS2_PLACEMENT_3D('',#583181,#460182,#460183); #374747=AXIS2_PLACEMENT_3D('',#583182,#460184,#460185); #374748=AXIS2_PLACEMENT_3D('',#583183,#460186,#460187); #374749=AXIS2_PLACEMENT_3D('',#583184,#460188,#460189); #374750=AXIS2_PLACEMENT_3D('',#583190,#460193,#460194); #374751=AXIS2_PLACEMENT_3D('',#583196,#460198,#460199); #374752=AXIS2_PLACEMENT_3D('',#583199,#460202,#460203); #374753=AXIS2_PLACEMENT_3D('',#583200,#460204,#460205); #374754=AXIS2_PLACEMENT_3D('',#583201,#460206,#460207); #374755=AXIS2_PLACEMENT_3D('',#583210,#460212,#460213); #374756=AXIS2_PLACEMENT_3D('',#583212,#460214,#460215); #374757=AXIS2_PLACEMENT_3D('',#583215,#460217,#460218); #374758=AXIS2_PLACEMENT_3D('',#583216,#460219,#460220); #374759=AXIS2_PLACEMENT_3D('',#583225,#460225,#460226); #374760=AXIS2_PLACEMENT_3D('',#583227,#460227,#460228); #374761=AXIS2_PLACEMENT_3D('',#583229,#460229,#460230); #374762=AXIS2_PLACEMENT_3D('',#583231,#460232,#460233); #374763=AXIS2_PLACEMENT_3D('',#583233,#460234,#460235); #374764=AXIS2_PLACEMENT_3D('',#583235,#460236,#460237); #374765=AXIS2_PLACEMENT_3D('',#583237,#460239,#460240); #374766=AXIS2_PLACEMENT_3D('',#583239,#460241,#460242); #374767=AXIS2_PLACEMENT_3D('',#583241,#460243,#460244); #374768=AXIS2_PLACEMENT_3D('',#583243,#460246,#460247); #374769=AXIS2_PLACEMENT_3D('',#583249,#460251,#460252); #374770=AXIS2_PLACEMENT_3D('',#583251,#460253,#460254); #374771=AXIS2_PLACEMENT_3D('',#583253,#460255,#460256); #374772=AXIS2_PLACEMENT_3D('',#583255,#460258,#460259); #374773=AXIS2_PLACEMENT_3D('',#583257,#460260,#460261); #374774=AXIS2_PLACEMENT_3D('',#583259,#460262,#460263); #374775=AXIS2_PLACEMENT_3D('',#583261,#460265,#460266); #374776=AXIS2_PLACEMENT_3D('',#583263,#460267,#460268); #374777=AXIS2_PLACEMENT_3D('',#583265,#460269,#460270); #374778=AXIS2_PLACEMENT_3D('',#583267,#460272,#460273); #374779=AXIS2_PLACEMENT_3D('',#583273,#460277,#460278); #374780=AXIS2_PLACEMENT_3D('',#583275,#460279,#460280); #374781=AXIS2_PLACEMENT_3D('',#583277,#460281,#460282); #374782=AXIS2_PLACEMENT_3D('',#583279,#460284,#460285); #374783=AXIS2_PLACEMENT_3D('',#583285,#460289,#460290); #374784=AXIS2_PLACEMENT_3D('',#583286,#460291,#460292); #374785=AXIS2_PLACEMENT_3D('',#583287,#460293,#460294); #374786=AXIS2_PLACEMENT_3D('',#583288,#460295,#460296); #374787=AXIS2_PLACEMENT_3D('',#583297,#460301,#460302); #374788=AXIS2_PLACEMENT_3D('',#583299,#460303,#460304); #374789=AXIS2_PLACEMENT_3D('',#583302,#460306,#460307); #374790=AXIS2_PLACEMENT_3D('',#583303,#460308,#460309); #374791=AXIS2_PLACEMENT_3D('',#583305,#460310,#460311); #374792=AXIS2_PLACEMENT_3D('',#583308,#460313,#460314); #374793=AXIS2_PLACEMENT_3D('',#583309,#460315,#460316); #374794=AXIS2_PLACEMENT_3D('',#583311,#460317,#460318); #374795=AXIS2_PLACEMENT_3D('',#583314,#460320,#460321); #374796=AXIS2_PLACEMENT_3D('',#583315,#460322,#460323); #374797=AXIS2_PLACEMENT_3D('',#583321,#460327,#460328); #374798=AXIS2_PLACEMENT_3D('',#583323,#460329,#460330); #374799=AXIS2_PLACEMENT_3D('',#583326,#460332,#460333); #374800=AXIS2_PLACEMENT_3D('',#583327,#460334,#460335); #374801=AXIS2_PLACEMENT_3D('',#583329,#460336,#460337); #374802=AXIS2_PLACEMENT_3D('',#583332,#460339,#460340); #374803=AXIS2_PLACEMENT_3D('',#583333,#460341,#460342); #374804=AXIS2_PLACEMENT_3D('',#583335,#460343,#460344); #374805=AXIS2_PLACEMENT_3D('',#583338,#460346,#460347); #374806=AXIS2_PLACEMENT_3D('',#583339,#460348,#460349); #374807=AXIS2_PLACEMENT_3D('',#583345,#460353,#460354); #374808=AXIS2_PLACEMENT_3D('',#583347,#460355,#460356); #374809=AXIS2_PLACEMENT_3D('',#583350,#460358,#460359); #374810=AXIS2_PLACEMENT_3D('',#583351,#460360,#460361); #374811=AXIS2_PLACEMENT_3D('',#583357,#460365,#460366); #374812=AXIS2_PLACEMENT_3D('',#583358,#460367,#460368); #374813=AXIS2_PLACEMENT_3D('',#583359,#460369,#460370); #374814=AXIS2_PLACEMENT_3D('',#583360,#460371,#460372); #374815=AXIS2_PLACEMENT_3D('',#583369,#460377,#460378); #374816=AXIS2_PLACEMENT_3D('',#583373,#460380,#460381); #374817=AXIS2_PLACEMENT_3D('',#583374,#460382,#460383); #374818=AXIS2_PLACEMENT_3D('',#583470,#460388,#460389); #374819=AXIS2_PLACEMENT_3D('',#583475,#460392,#460393); #374820=AXIS2_PLACEMENT_3D('',#583477,#460394,#460395); #374821=AXIS2_PLACEMENT_3D('',#583479,#460397,#460398); #374822=AXIS2_PLACEMENT_3D('',#583484,#460401,#460402); #374823=AXIS2_PLACEMENT_3D('',#583485,#460403,#460404); #374824=AXIS2_PLACEMENT_3D('',#583488,#460406,#460407); #374825=AXIS2_PLACEMENT_3D('',#583489,#460408,#460409); #374826=AXIS2_PLACEMENT_3D('',#583493,#460412,#460413); #374827=AXIS2_PLACEMENT_3D('',#583497,#460416,#460417); #374828=AXIS2_PLACEMENT_3D('',#583506,#460422,#460423); #374829=AXIS2_PLACEMENT_3D('',#583510,#460426,#460427); #374830=AXIS2_PLACEMENT_3D('',#583511,#460428,#460429); #374831=AXIS2_PLACEMENT_3D('',#583514,#460431,#460432); #374832=AXIS2_PLACEMENT_3D('',#583580,#460435,#460436); #374833=AXIS2_PLACEMENT_3D('',#583586,#460440,#460441); #374834=AXIS2_PLACEMENT_3D('',#583587,#460442,#460443); #374835=AXIS2_PLACEMENT_3D('',#583588,#460444,#460445); #374836=AXIS2_PLACEMENT_3D('',#583619,#460448,#460449); #374837=AXIS2_PLACEMENT_3D('',#583622,#460451,#460452); #374838=AXIS2_PLACEMENT_3D('',#583623,#460453,#460454); #374839=AXIS2_PLACEMENT_3D('',#583632,#460459,#460460); #374840=AXIS2_PLACEMENT_3D('',#583641,#460465,#460466); #374841=AXIS2_PLACEMENT_3D('',#583643,#460467,#460468); #374842=AXIS2_PLACEMENT_3D('',#583645,#460470,#460471); #374843=AXIS2_PLACEMENT_3D('',#583654,#460476,#460477); #374844=AXIS2_PLACEMENT_3D('',#583656,#460478,#460479); #374845=AXIS2_PLACEMENT_3D('',#583659,#460481,#460482); #374846=AXIS2_PLACEMENT_3D('',#583660,#460483,#460484); #374847=AXIS2_PLACEMENT_3D('',#583662,#460485,#460486); #374848=AXIS2_PLACEMENT_3D('',#583665,#460488,#460489); #374849=AXIS2_PLACEMENT_3D('',#583666,#460490,#460491); #374850=AXIS2_PLACEMENT_3D('',#583667,#460492,#460493); #374851=AXIS2_PLACEMENT_3D('',#583668,#460494,#460495); #374852=AXIS2_PLACEMENT_3D('',#583669,#460496,#460497); #374853=AXIS2_PLACEMENT_3D('',#583671,#460498,#460499); #374854=AXIS2_PLACEMENT_3D('',#583673,#460501,#460502); #374855=AXIS2_PLACEMENT_3D('',#583679,#460506,#460507); #374856=AXIS2_PLACEMENT_3D('',#583681,#460508,#460509); #374857=AXIS2_PLACEMENT_3D('',#583684,#460511,#460512); #374858=AXIS2_PLACEMENT_3D('',#583685,#460513,#460514); #374859=AXIS2_PLACEMENT_3D('',#583691,#460518,#460519); #374860=AXIS2_PLACEMENT_3D('',#583693,#460520,#460521); #374861=AXIS2_PLACEMENT_3D('',#583696,#460523,#460524); #374862=AXIS2_PLACEMENT_3D('',#583697,#460525,#460526); #374863=AXIS2_PLACEMENT_3D('',#583703,#460530,#460531); #374864=AXIS2_PLACEMENT_3D('',#583705,#460532,#460533); #374865=AXIS2_PLACEMENT_3D('',#583708,#460535,#460536); #374866=AXIS2_PLACEMENT_3D('',#583709,#460537,#460538); #374867=AXIS2_PLACEMENT_3D('',#583711,#460539,#460540); #374868=AXIS2_PLACEMENT_3D('',#583712,#460541,#460542); #374869=AXIS2_PLACEMENT_3D('',#583713,#460543,#460544); #374870=AXIS2_PLACEMENT_3D('',#583714,#460545,#460546); #374871=AXIS2_PLACEMENT_3D('',#583716,#460547,#460548); #374872=AXIS2_PLACEMENT_3D('',#583718,#460550,#460551); #374873=AXIS2_PLACEMENT_3D('',#583720,#460552,#460553); #374874=AXIS2_PLACEMENT_3D('',#583723,#460555,#460556); #374875=AXIS2_PLACEMENT_3D('',#583724,#460557,#460558); #374876=AXIS2_PLACEMENT_3D('',#583726,#460559,#460560); #374877=AXIS2_PLACEMENT_3D('',#583729,#460562,#460563); #374878=AXIS2_PLACEMENT_3D('',#583730,#460564,#460565); #374879=AXIS2_PLACEMENT_3D('',#583736,#460569,#460570); #374880=AXIS2_PLACEMENT_3D('',#583738,#460571,#460572); #374881=AXIS2_PLACEMENT_3D('',#583741,#460574,#460575); #374882=AXIS2_PLACEMENT_3D('',#583742,#460576,#460577); #374883=AXIS2_PLACEMENT_3D('',#583744,#460578,#460579); #374884=AXIS2_PLACEMENT_3D('',#583745,#460580,#460581); #374885=AXIS2_PLACEMENT_3D('',#583746,#460582,#460583); #374886=AXIS2_PLACEMENT_3D('',#583747,#460584,#460585); #374887=AXIS2_PLACEMENT_3D('',#583749,#460586,#460587); #374888=AXIS2_PLACEMENT_3D('',#583751,#460589,#460590); #374889=AXIS2_PLACEMENT_3D('',#583753,#460591,#460592); #374890=AXIS2_PLACEMENT_3D('',#583756,#460594,#460595); #374891=AXIS2_PLACEMENT_3D('',#583757,#460596,#460597); #374892=AXIS2_PLACEMENT_3D('',#583759,#460598,#460599); #374893=AXIS2_PLACEMENT_3D('',#583762,#460601,#460602); #374894=AXIS2_PLACEMENT_3D('',#583763,#460603,#460604); #374895=AXIS2_PLACEMENT_3D('',#583769,#460608,#460609); #374896=AXIS2_PLACEMENT_3D('',#583771,#460610,#460611); #374897=AXIS2_PLACEMENT_3D('',#583774,#460613,#460614); #374898=AXIS2_PLACEMENT_3D('',#583775,#460615,#460616); #374899=AXIS2_PLACEMENT_3D('',#583781,#460620,#460621); #374900=AXIS2_PLACEMENT_3D('',#583783,#460622,#460623); #374901=AXIS2_PLACEMENT_3D('',#583786,#460625,#460626); #374902=AXIS2_PLACEMENT_3D('',#583787,#460627,#460628); #374903=AXIS2_PLACEMENT_3D('',#583791,#460631,#460632); #374904=AXIS2_PLACEMENT_3D('',#583792,#460633,#460634); #374905=AXIS2_PLACEMENT_3D('',#583794,#460636,#460637); #374906=AXIS2_PLACEMENT_3D('',#583796,#460638,#460639); #374907=AXIS2_PLACEMENT_3D('',#583798,#460640,#460641); #374908=AXIS2_PLACEMENT_3D('',#583800,#460643,#460644); #374909=AXIS2_PLACEMENT_3D('',#583802,#460645,#460646); #374910=AXIS2_PLACEMENT_3D('',#583805,#460648,#460649); #374911=AXIS2_PLACEMENT_3D('',#583806,#460650,#460651); #374912=AXIS2_PLACEMENT_3D('',#583808,#460652,#460653); #374913=AXIS2_PLACEMENT_3D('',#583811,#460655,#460656); #374914=AXIS2_PLACEMENT_3D('',#583812,#460657,#460658); #374915=AXIS2_PLACEMENT_3D('',#583818,#460662,#460663); #374916=AXIS2_PLACEMENT_3D('',#583827,#460668,#460669); #374917=AXIS2_PLACEMENT_3D('',#583829,#460670,#460671); #374918=AXIS2_PLACEMENT_3D('',#583832,#460673,#460674); #374919=AXIS2_PLACEMENT_3D('',#583833,#460675,#460676); #374920=AXIS2_PLACEMENT_3D('',#583835,#460677,#460678); #374921=AXIS2_PLACEMENT_3D('',#583837,#460679,#460680); #374922=AXIS2_PLACEMENT_3D('',#583839,#460682,#460683); #374923=AXIS2_PLACEMENT_3D('',#583843,#460685,#460686); #374924=AXIS2_PLACEMENT_3D('',#583847,#460688,#460689); #374925=AXIS2_PLACEMENT_3D('',#583849,#460691,#460692); #374926=AXIS2_PLACEMENT_3D('',#583851,#460693,#460694); #374927=AXIS2_PLACEMENT_3D('',#583857,#460698,#460699); #374928=AXIS2_PLACEMENT_3D('',#583858,#460700,#460701); #374929=AXIS2_PLACEMENT_3D('',#583862,#460703,#460704); #374930=AXIS2_PLACEMENT_3D('',#583864,#460706,#460707); #374931=AXIS2_PLACEMENT_3D('',#583868,#460709,#460710); #374932=AXIS2_PLACEMENT_3D('',#583871,#460713,#460714); #374933=AXIS2_PLACEMENT_3D('',#583875,#460717,#460718); #374934=AXIS2_PLACEMENT_3D('',#583877,#460719,#460720); #374935=AXIS2_PLACEMENT_3D('',#583880,#460722,#460723); #374936=AXIS2_PLACEMENT_3D('',#583894,#460724,#460725); #374937=AXIS2_PLACEMENT_3D('',#583897,#460727,#460728); #374938=AXIS2_PLACEMENT_3D('',#583898,#460729,#460730); #374939=AXIS2_PLACEMENT_3D('',#583900,#460731,#460732); #374940=AXIS2_PLACEMENT_3D('',#583903,#460734,#460735); #374941=AXIS2_PLACEMENT_3D('',#583948,#460736,#460737); #374942=AXIS2_PLACEMENT_3D('',#583949,#460738,#460739); #374943=AXIS2_PLACEMENT_3D('',#583997,#460741,#460742); #374944=AXIS2_PLACEMENT_3D('',#583999,#460743,#460744); #374945=AXIS2_PLACEMENT_3D('',#584002,#460746,#460747); #374946=AXIS2_PLACEMENT_3D('',#584015,#460748,#460749); #374947=AXIS2_PLACEMENT_3D('',#584016,#460750,#460751); #374948=AXIS2_PLACEMENT_3D('',#584017,#460752,#460753); #374949=AXIS2_PLACEMENT_3D('',#584018,#460754,#460755); #374950=AXIS2_PLACEMENT_3D('',#584019,#460756,#460757); #374951=AXIS2_PLACEMENT_3D('',#584021,#460759,#460760); #374952=AXIS2_PLACEMENT_3D('',#584023,#460762,#460763); #374953=AXIS2_PLACEMENT_3D('',#584025,#460765,#460766); #374954=AXIS2_PLACEMENT_3D('',#584027,#460768,#460769); #374955=AXIS2_PLACEMENT_3D('',#584029,#460771,#460772); #374956=AXIS2_PLACEMENT_3D('',#584031,#460774,#460775); #374957=AXIS2_PLACEMENT_3D('',#584032,#460776,#460777); #374958=AXIS2_PLACEMENT_3D('',#584034,#460779,#460780); #374959=AXIS2_PLACEMENT_3D('',#584036,#460782,#460783); #374960=AXIS2_PLACEMENT_3D('',#584038,#460785,#460786); #374961=AXIS2_PLACEMENT_3D('',#584040,#460788,#460789); #374962=AXIS2_PLACEMENT_3D('',#584042,#460791,#460792); #374963=AXIS2_PLACEMENT_3D('',#584044,#460794,#460795); #374964=AXIS2_PLACEMENT_3D('',#584045,#460796,#460797); #374965=AXIS2_PLACEMENT_3D('',#584047,#460799,#460800); #374966=AXIS2_PLACEMENT_3D('',#584049,#460802,#460803); #374967=AXIS2_PLACEMENT_3D('',#584051,#460805,#460806); #374968=AXIS2_PLACEMENT_3D('',#584053,#460808,#460809); #374969=AXIS2_PLACEMENT_3D('',#584055,#460811,#460812); #374970=AXIS2_PLACEMENT_3D('',#584057,#460814,#460815); #374971=AXIS2_PLACEMENT_3D('',#584058,#460816,#460817); #374972=AXIS2_PLACEMENT_3D('',#584060,#460819,#460820); #374973=AXIS2_PLACEMENT_3D('',#584062,#460822,#460823); #374974=AXIS2_PLACEMENT_3D('',#584064,#460825,#460826); #374975=AXIS2_PLACEMENT_3D('',#584066,#460828,#460829); #374976=AXIS2_PLACEMENT_3D('',#584068,#460831,#460832); #374977=AXIS2_PLACEMENT_3D('',#584070,#460834,#460835); #374978=AXIS2_PLACEMENT_3D('',#584071,#460836,#460837); #374979=AXIS2_PLACEMENT_3D('',#584072,#460838,#460839); #374980=AXIS2_PLACEMENT_3D('',#584081,#460844,#460845); #374981=AXIS2_PLACEMENT_3D('',#584085,#460847,#460848); #374982=AXIS2_PLACEMENT_3D('',#584089,#460850,#460851); #374983=AXIS2_PLACEMENT_3D('',#584097,#460855,#460856); #374984=AXIS2_PLACEMENT_3D('',#584101,#460858,#460859); #374985=AXIS2_PLACEMENT_3D('',#584103,#460861,#460862); #374986=AXIS2_PLACEMENT_3D('',#584112,#460866,#460867); #374987=AXIS2_PLACEMENT_3D('',#584116,#460869,#460870); #374988=AXIS2_PLACEMENT_3D('',#584124,#460874,#460875); #374989=AXIS2_PLACEMENT_3D('',#584127,#460877,#460878); #374990=AXIS2_PLACEMENT_3D('',#584128,#460879,#460880); #374991=AXIS2_PLACEMENT_3D('',#584134,#460884,#460885); #374992=AXIS2_PLACEMENT_3D('',#584144,#460891,#460892); #374993=AXIS2_PLACEMENT_3D('',#584150,#460896,#460897); #374994=AXIS2_PLACEMENT_3D('',#584157,#460902,#460903); #374995=AXIS2_PLACEMENT_3D('',#584160,#460906,#460907); #374996=AXIS2_PLACEMENT_3D('',#584163,#460910,#460911); #374997=AXIS2_PLACEMENT_3D('',#584166,#460914,#460915); #374998=AXIS2_PLACEMENT_3D('',#584168,#460917,#460918); #374999=AXIS2_PLACEMENT_3D('',#584170,#460920,#460921); #375000=AXIS2_PLACEMENT_3D('',#584172,#460923,#460924); #375001=AXIS2_PLACEMENT_3D('',#584173,#460925,#460926); #375002=AXIS2_PLACEMENT_3D('',#584175,#460928,#460929); #375003=AXIS2_PLACEMENT_3D('',#584177,#460931,#460932); #375004=AXIS2_PLACEMENT_3D('',#584179,#460934,#460935); #375005=AXIS2_PLACEMENT_3D('',#584180,#460936,#460937); #375006=AXIS2_PLACEMENT_3D('',#584181,#460938,#460939); #375007=AXIS2_PLACEMENT_3D('',#584182,#460940,#460941); #375008=AXIS2_PLACEMENT_3D('',#584183,#460942,#460943); #375009=AXIS2_PLACEMENT_3D('',#584184,#460944,#460945); #375010=AXIS2_PLACEMENT_3D('',#584185,#460946,#460947); #375011=AXIS2_PLACEMENT_3D('',#584186,#460948,#460949); #375012=AXIS2_PLACEMENT_3D('',#584187,#460950,#460951); #375013=AXIS2_PLACEMENT_3D('',#584188,#460952,#460953); #375014=AXIS2_PLACEMENT_3D('',#584189,#460954,#460955); #375015=AXIS2_PLACEMENT_3D('',#584190,#460956,#460957); #375016=AXIS2_PLACEMENT_3D('',#584191,#460958,#460959); #375017=AXIS2_PLACEMENT_3D('',#584192,#460960,#460961); #375018=AXIS2_PLACEMENT_3D('',#584193,#460962,#460963); #375019=AXIS2_PLACEMENT_3D('',#584194,#460964,#460965); #375020=AXIS2_PLACEMENT_3D('',#584195,#460966,#460967); #375021=AXIS2_PLACEMENT_3D('',#584196,#460968,#460969); #375022=AXIS2_PLACEMENT_3D('',#584197,#460970,#460971); #375023=AXIS2_PLACEMENT_3D('',#584198,#460972,#460973); #375024=AXIS2_PLACEMENT_3D('',#584199,#460974,#460975); #375025=AXIS2_PLACEMENT_3D('',#584200,#460976,#460977); #375026=AXIS2_PLACEMENT_3D('',#584201,#460978,#460979); #375027=AXIS2_PLACEMENT_3D('',#584202,#460980,#460981); #375028=AXIS2_PLACEMENT_3D('',#584203,#460982,#460983); #375029=AXIS2_PLACEMENT_3D('',#584204,#460984,#460985); #375030=AXIS2_PLACEMENT_3D('',#584205,#460986,#460987); #375031=AXIS2_PLACEMENT_3D('',#584206,#460988,#460989); #375032=AXIS2_PLACEMENT_3D('',#584231,#461002,#461003); #375033=AXIS2_PLACEMENT_3D('',#584240,#461008,#461009); #375034=AXIS2_PLACEMENT_3D('',#584249,#461014,#461015); #375035=AXIS2_PLACEMENT_3D('',#584266,#461024,#461025); #375036=AXIS2_PLACEMENT_3D('',#584283,#461034,#461035); #375037=AXIS2_PLACEMENT_3D('',#584308,#461048,#461049); #375038=AXIS2_PLACEMENT_3D('',#584315,#461054,#461055); #375039=AXIS2_PLACEMENT_3D('',#584322,#461060,#461061); #375040=AXIS2_PLACEMENT_3D('',#584326,#461064,#461065); #375041=AXIS2_PLACEMENT_3D('',#584333,#461070,#461071); #375042=AXIS2_PLACEMENT_3D('',#584338,#461075,#461076); #375043=AXIS2_PLACEMENT_3D('',#584342,#461079,#461080); #375044=AXIS2_PLACEMENT_3D('',#584356,#461088,#461089); #375045=AXIS2_PLACEMENT_3D('',#584361,#461091,#461092); #375046=AXIS2_PLACEMENT_3D('',#584365,#461096,#461097); #375047=AXIS2_PLACEMENT_3D('',#584369,#461099,#461100); #375048=AXIS2_PLACEMENT_3D('',#584376,#461105,#461106); #375049=AXIS2_PLACEMENT_3D('',#584379,#461107,#461108); #375050=AXIS2_PLACEMENT_3D('',#584383,#461110,#461111); #375051=AXIS2_PLACEMENT_3D('',#584401,#461123,#461124); #375052=AXIS2_PLACEMENT_3D('',#584402,#461125,#461126); #375053=AXIS2_PLACEMENT_3D('',#584405,#461127,#461128); #375054=AXIS2_PLACEMENT_3D('',#584409,#461130,#461131); #375055=AXIS2_PLACEMENT_3D('',#584430,#461144,#461145); #375056=AXIS2_PLACEMENT_3D('',#584439,#461150,#461151); #375057=AXIS2_PLACEMENT_3D('',#584440,#461152,#461153); #375058=AXIS2_PLACEMENT_3D('',#584444,#461156,#461157); #375059=AXIS2_PLACEMENT_3D('',#584450,#461160,#461161); #375060=AXIS2_PLACEMENT_3D('',#584452,#461163,#461164); #375061=AXIS2_PLACEMENT_3D('',#584454,#461166,#461167); #375062=AXIS2_PLACEMENT_3D('',#584456,#461169,#461170); #375063=AXIS2_PLACEMENT_3D('',#584458,#461172,#461173); #375064=AXIS2_PLACEMENT_3D('',#584460,#461175,#461176); #375065=AXIS2_PLACEMENT_3D('',#584462,#461178,#461179); #375066=AXIS2_PLACEMENT_3D('',#584464,#461181,#461182); #375067=AXIS2_PLACEMENT_3D('',#584466,#461184,#461185); #375068=AXIS2_PLACEMENT_3D('',#584468,#461187,#461188); #375069=AXIS2_PLACEMENT_3D('',#584470,#461190,#461191); #375070=AXIS2_PLACEMENT_3D('',#584472,#461193,#461194); #375071=AXIS2_PLACEMENT_3D('',#584473,#461195,#461196); #375072=AXIS2_PLACEMENT_3D('',#584474,#461197,#461198); #375073=AXIS2_PLACEMENT_3D('',#584475,#461199,#461200); #375074=AXIS2_PLACEMENT_3D('',#584476,#461201,#461202); #375075=AXIS2_PLACEMENT_3D('',#584477,#461203,#461204); #375076=AXIS2_PLACEMENT_3D('',#584483,#461208,#461209); #375077=AXIS2_PLACEMENT_3D('',#584487,#461212,#461213); #375078=AXIS2_PLACEMENT_3D('',#584491,#461216,#461217); #375079=AXIS2_PLACEMENT_3D('',#584493,#461219,#461220); #375080=AXIS2_PLACEMENT_3D('',#584502,#461225,#461226); #375081=AXIS2_PLACEMENT_3D('',#584505,#461229,#461230); #375082=AXIS2_PLACEMENT_3D('',#584507,#461232,#461233); #375083=AXIS2_PLACEMENT_3D('',#584509,#461235,#461236); #375084=AXIS2_PLACEMENT_3D('',#584510,#461237,#461238); #375085=AXIS2_PLACEMENT_3D('',#584516,#461242,#461243); #375086=AXIS2_PLACEMENT_3D('',#584520,#461246,#461247); #375087=AXIS2_PLACEMENT_3D('',#584524,#461250,#461251); #375088=AXIS2_PLACEMENT_3D('',#584526,#461253,#461254); #375089=AXIS2_PLACEMENT_3D('',#584535,#461259,#461260); #375090=AXIS2_PLACEMENT_3D('',#584538,#461263,#461264); #375091=AXIS2_PLACEMENT_3D('',#584540,#461266,#461267); #375092=AXIS2_PLACEMENT_3D('',#584542,#461269,#461270); #375093=AXIS2_PLACEMENT_3D('',#584543,#461271,#461272); #375094=AXIS2_PLACEMENT_3D('',#584549,#461276,#461277); #375095=AXIS2_PLACEMENT_3D('',#584558,#461282,#461283); #375096=AXIS2_PLACEMENT_3D('',#584564,#461287,#461288); #375097=AXIS2_PLACEMENT_3D('',#584566,#461290,#461291); #375098=AXIS2_PLACEMENT_3D('',#584568,#461293,#461294); #375099=AXIS2_PLACEMENT_3D('',#584571,#461297,#461298); #375100=AXIS2_PLACEMENT_3D('',#584573,#461300,#461301); #375101=AXIS2_PLACEMENT_3D('',#584575,#461303,#461304); #375102=AXIS2_PLACEMENT_3D('',#584576,#461305,#461306); #375103=AXIS2_PLACEMENT_3D('',#584582,#461310,#461311); #375104=AXIS2_PLACEMENT_3D('',#584591,#461316,#461317); #375105=AXIS2_PLACEMENT_3D('',#584597,#461321,#461322); #375106=AXIS2_PLACEMENT_3D('',#584599,#461324,#461325); #375107=AXIS2_PLACEMENT_3D('',#584601,#461327,#461328); #375108=AXIS2_PLACEMENT_3D('',#584604,#461331,#461332); #375109=AXIS2_PLACEMENT_3D('',#584606,#461334,#461335); #375110=AXIS2_PLACEMENT_3D('',#584608,#461337,#461338); #375111=AXIS2_PLACEMENT_3D('',#584609,#461339,#461340); #375112=AXIS2_PLACEMENT_3D('',#584612,#461343,#461344); #375113=AXIS2_PLACEMENT_3D('',#584614,#461346,#461347); #375114=AXIS2_PLACEMENT_3D('',#584617,#461350,#461351); #375115=AXIS2_PLACEMENT_3D('',#584619,#461353,#461354); #375116=AXIS2_PLACEMENT_3D('',#584620,#461355,#461356); #375117=AXIS2_PLACEMENT_3D('',#584621,#461357,#461358); #375118=AXIS2_PLACEMENT_3D('',#584623,#461360,#461361); #375119=AXIS2_PLACEMENT_3D('',#584625,#461363,#461364); #375120=AXIS2_PLACEMENT_3D('',#584626,#461365,#461366); #375121=AXIS2_PLACEMENT_3D('',#584627,#461367,#461368); #375122=AXIS2_PLACEMENT_3D('',#584628,#461369,#461370); #375123=AXIS2_PLACEMENT_3D('',#584629,#461371,#461372); #375124=AXIS2_PLACEMENT_3D('',#584630,#461373,#461374); #375125=AXIS2_PLACEMENT_3D('',#584633,#461375,#461376); #375126=AXIS2_PLACEMENT_3D('',#584635,#461377,#461378); #375127=AXIS2_PLACEMENT_3D('',#584636,#461379,#461380); #375128=AXIS2_PLACEMENT_3D('',#584637,#461381,#461382); #375129=AXIS2_PLACEMENT_3D('',#584640,#461383,#461384); #375130=AXIS2_PLACEMENT_3D('',#584642,#461385,#461386); #375131=AXIS2_PLACEMENT_3D('',#584643,#461387,#461388); #375132=AXIS2_PLACEMENT_3D('',#584644,#461389,#461390); #375133=AXIS2_PLACEMENT_3D('',#584647,#461391,#461392); #375134=AXIS2_PLACEMENT_3D('',#584649,#461393,#461394); #375135=AXIS2_PLACEMENT_3D('',#584650,#461395,#461396); #375136=AXIS2_PLACEMENT_3D('',#584651,#461397,#461398); #375137=AXIS2_PLACEMENT_3D('',#584654,#461399,#461400); #375138=AXIS2_PLACEMENT_3D('',#584656,#461401,#461402); #375139=AXIS2_PLACEMENT_3D('',#584657,#461403,#461404); #375140=AXIS2_PLACEMENT_3D('',#584658,#461405,#461406); #375141=AXIS2_PLACEMENT_3D('',#584661,#461407,#461408); #375142=AXIS2_PLACEMENT_3D('',#584663,#461409,#461410); #375143=AXIS2_PLACEMENT_3D('',#584664,#461411,#461412); #375144=AXIS2_PLACEMENT_3D('',#584665,#461413,#461414); #375145=AXIS2_PLACEMENT_3D('',#584668,#461417,#461418); #375146=AXIS2_PLACEMENT_3D('',#584671,#461419,#461420); #375147=AXIS2_PLACEMENT_3D('',#584673,#461421,#461422); #375148=AXIS2_PLACEMENT_3D('',#584674,#461423,#461424); #375149=AXIS2_PLACEMENT_3D('',#584675,#461425,#461426); #375150=AXIS2_PLACEMENT_3D('',#584678,#461427,#461428); #375151=AXIS2_PLACEMENT_3D('',#584680,#461429,#461430); #375152=AXIS2_PLACEMENT_3D('',#584681,#461431,#461432); #375153=AXIS2_PLACEMENT_3D('',#584682,#461433,#461434); #375154=AXIS2_PLACEMENT_3D('',#584685,#461437,#461438); #375155=AXIS2_PLACEMENT_3D('',#584688,#461441,#461442); #375156=AXIS2_PLACEMENT_3D('',#584691,#461443,#461444); #375157=AXIS2_PLACEMENT_3D('',#584693,#461445,#461446); #375158=AXIS2_PLACEMENT_3D('',#584694,#461447,#461448); #375159=AXIS2_PLACEMENT_3D('',#584695,#461449,#461450); #375160=AXIS2_PLACEMENT_3D('',#584698,#461453,#461454); #375161=AXIS2_PLACEMENT_3D('',#584701,#461457,#461458); #375162=AXIS2_PLACEMENT_3D('',#584704,#461461,#461462); #375163=AXIS2_PLACEMENT_3D('',#584707,#461465,#461466); #375164=AXIS2_PLACEMENT_3D('',#584710,#461469,#461470); #375165=AXIS2_PLACEMENT_3D('',#584713,#461473,#461474); #375166=AXIS2_PLACEMENT_3D('',#584716,#461477,#461478); #375167=AXIS2_PLACEMENT_3D('',#584719,#461481,#461482); #375168=AXIS2_PLACEMENT_3D('',#584722,#461485,#461486); #375169=AXIS2_PLACEMENT_3D('',#584723,#461487,#461488); #375170=AXIS2_PLACEMENT_3D('',#584724,#461489,#461490); #375171=AXIS2_PLACEMENT_3D('',#584725,#461491,#461492); #375172=AXIS2_PLACEMENT_3D('',#584726,#461493,#461494); #375173=AXIS2_PLACEMENT_3D('',#584727,#461495,#461496); #375174=AXIS2_PLACEMENT_3D('',#584728,#461497,#461498); #375175=AXIS2_PLACEMENT_3D('',#584731,#461499,#461500); #375176=AXIS2_PLACEMENT_3D('',#584733,#461501,#461502); #375177=AXIS2_PLACEMENT_3D('',#584734,#461503,#461504); #375178=AXIS2_PLACEMENT_3D('',#584735,#461505,#461506); #375179=AXIS2_PLACEMENT_3D('',#584738,#461507,#461508); #375180=AXIS2_PLACEMENT_3D('',#584740,#461509,#461510); #375181=AXIS2_PLACEMENT_3D('',#584741,#461511,#461512); #375182=AXIS2_PLACEMENT_3D('',#584742,#461513,#461514); #375183=AXIS2_PLACEMENT_3D('',#584745,#461515,#461516); #375184=AXIS2_PLACEMENT_3D('',#584747,#461517,#461518); #375185=AXIS2_PLACEMENT_3D('',#584748,#461519,#461520); #375186=AXIS2_PLACEMENT_3D('',#584749,#461521,#461522); #375187=AXIS2_PLACEMENT_3D('',#584752,#461523,#461524); #375188=AXIS2_PLACEMENT_3D('',#584754,#461525,#461526); #375189=AXIS2_PLACEMENT_3D('',#584755,#461527,#461528); #375190=AXIS2_PLACEMENT_3D('',#584756,#461529,#461530); #375191=AXIS2_PLACEMENT_3D('',#584759,#461531,#461532); #375192=AXIS2_PLACEMENT_3D('',#584761,#461533,#461534); #375193=AXIS2_PLACEMENT_3D('',#584762,#461535,#461536); #375194=AXIS2_PLACEMENT_3D('',#584763,#461537,#461538); #375195=AXIS2_PLACEMENT_3D('',#584766,#461541,#461542); #375196=AXIS2_PLACEMENT_3D('',#584769,#461543,#461544); #375197=AXIS2_PLACEMENT_3D('',#584771,#461545,#461546); #375198=AXIS2_PLACEMENT_3D('',#584772,#461547,#461548); #375199=AXIS2_PLACEMENT_3D('',#584773,#461549,#461550); #375200=AXIS2_PLACEMENT_3D('',#584776,#461551,#461552); #375201=AXIS2_PLACEMENT_3D('',#584778,#461553,#461554); #375202=AXIS2_PLACEMENT_3D('',#584779,#461555,#461556); #375203=AXIS2_PLACEMENT_3D('',#584780,#461557,#461558); #375204=AXIS2_PLACEMENT_3D('',#584783,#461561,#461562); #375205=AXIS2_PLACEMENT_3D('',#584786,#461565,#461566); #375206=AXIS2_PLACEMENT_3D('',#584789,#461567,#461568); #375207=AXIS2_PLACEMENT_3D('',#584791,#461569,#461570); #375208=AXIS2_PLACEMENT_3D('',#584792,#461571,#461572); #375209=AXIS2_PLACEMENT_3D('',#584793,#461573,#461574); #375210=AXIS2_PLACEMENT_3D('',#584796,#461577,#461578); #375211=AXIS2_PLACEMENT_3D('',#584799,#461581,#461582); #375212=AXIS2_PLACEMENT_3D('',#584802,#461585,#461586); #375213=AXIS2_PLACEMENT_3D('',#584805,#461589,#461590); #375214=AXIS2_PLACEMENT_3D('',#584808,#461593,#461594); #375215=AXIS2_PLACEMENT_3D('',#584811,#461597,#461598); #375216=AXIS2_PLACEMENT_3D('',#584814,#461601,#461602); #375217=AXIS2_PLACEMENT_3D('',#584817,#461605,#461606); #375218=AXIS2_PLACEMENT_3D('',#584820,#461609,#461610); #375219=AXIS2_PLACEMENT_3D('',#584821,#461611,#461612); #375220=AXIS2_PLACEMENT_3D('',#584822,#461613,#461614); #375221=AXIS2_PLACEMENT_3D('',#584823,#461615,#461616); #375222=AXIS2_PLACEMENT_3D('',#584824,#461617,#461618); #375223=AXIS2_PLACEMENT_3D('',#584825,#461619,#461620); #375224=AXIS2_PLACEMENT_3D('',#584826,#461621,#461622); #375225=AXIS2_PLACEMENT_3D('',#584835,#461627,#461628); #375226=AXIS2_PLACEMENT_3D('',#584841,#461632,#461633); #375227=AXIS2_PLACEMENT_3D('',#584847,#461637,#461638); #375228=AXIS2_PLACEMENT_3D('',#584850,#461641,#461642); #375229=AXIS2_PLACEMENT_3D('',#584851,#461643,#461644); #375230=AXIS2_PLACEMENT_3D('',#584852,#461645,#461646); #375231=AXIS2_PLACEMENT_3D('',#584853,#461647,#461648); #375232=AXIS2_PLACEMENT_3D('',#584854,#461649,#461650); #375233=AXIS2_PLACEMENT_3D('',#584855,#461651,#461652); #375234=AXIS2_PLACEMENT_3D('',#584856,#461653,#461654); #375235=AXIS2_PLACEMENT_3D('',#584857,#461655,#461656); #375236=AXIS2_PLACEMENT_3D('',#584858,#461657,#461658); #375237=AXIS2_PLACEMENT_3D('',#584859,#461659,#461660); #375238=AXIS2_PLACEMENT_3D('',#584860,#461661,#461662); #375239=AXIS2_PLACEMENT_3D('',#584861,#461663,#461664); #375240=AXIS2_PLACEMENT_3D('',#584862,#461665,#461666); #375241=AXIS2_PLACEMENT_3D('',#584863,#461667,#461668); #375242=AXIS2_PLACEMENT_3D('',#584864,#461669,#461670); #375243=AXIS2_PLACEMENT_3D('',#584865,#461671,#461672); #375244=AXIS2_PLACEMENT_3D('',#584866,#461673,#461674); #375245=AXIS2_PLACEMENT_3D('',#584867,#461675,#461676); #375246=AXIS2_PLACEMENT_3D('',#584868,#461677,#461678); #375247=AXIS2_PLACEMENT_3D('',#584869,#461679,#461680); #375248=AXIS2_PLACEMENT_3D('',#584870,#461681,#461682); #375249=AXIS2_PLACEMENT_3D('',#584871,#461683,#461684); #375250=AXIS2_PLACEMENT_3D('',#584872,#461685,#461686); #375251=AXIS2_PLACEMENT_3D('',#584873,#461687,#461688); #375252=AXIS2_PLACEMENT_3D('',#584874,#461689,#461690); #375253=AXIS2_PLACEMENT_3D('',#584875,#461691,#461692); #375254=AXIS2_PLACEMENT_3D('',#584876,#461693,#461694); #375255=AXIS2_PLACEMENT_3D('',#584877,#461695,#461696); #375256=AXIS2_PLACEMENT_3D('',#584880,#461697,#461698); #375257=AXIS2_PLACEMENT_3D('',#584882,#461699,#461700); #375258=AXIS2_PLACEMENT_3D('',#584883,#461701,#461702); #375259=AXIS2_PLACEMENT_3D('',#584884,#461703,#461704); #375260=AXIS2_PLACEMENT_3D('',#584887,#461705,#461706); #375261=AXIS2_PLACEMENT_3D('',#584889,#461707,#461708); #375262=AXIS2_PLACEMENT_3D('',#584890,#461709,#461710); #375263=AXIS2_PLACEMENT_3D('',#584891,#461711,#461712); #375264=AXIS2_PLACEMENT_3D('',#584894,#461713,#461714); #375265=AXIS2_PLACEMENT_3D('',#584896,#461715,#461716); #375266=AXIS2_PLACEMENT_3D('',#584897,#461717,#461718); #375267=AXIS2_PLACEMENT_3D('',#584898,#461719,#461720); #375268=AXIS2_PLACEMENT_3D('',#584901,#461721,#461722); #375269=AXIS2_PLACEMENT_3D('',#584903,#461723,#461724); #375270=AXIS2_PLACEMENT_3D('',#584904,#461725,#461726); #375271=AXIS2_PLACEMENT_3D('',#584905,#461727,#461728); #375272=AXIS2_PLACEMENT_3D('',#584908,#461729,#461730); #375273=AXIS2_PLACEMENT_3D('',#584910,#461731,#461732); #375274=AXIS2_PLACEMENT_3D('',#584911,#461733,#461734); #375275=AXIS2_PLACEMENT_3D('',#584912,#461735,#461736); #375276=AXIS2_PLACEMENT_3D('',#584915,#461739,#461740); #375277=AXIS2_PLACEMENT_3D('',#584918,#461741,#461742); #375278=AXIS2_PLACEMENT_3D('',#584920,#461743,#461744); #375279=AXIS2_PLACEMENT_3D('',#584921,#461745,#461746); #375280=AXIS2_PLACEMENT_3D('',#584922,#461747,#461748); #375281=AXIS2_PLACEMENT_3D('',#584925,#461749,#461750); #375282=AXIS2_PLACEMENT_3D('',#584927,#461751,#461752); #375283=AXIS2_PLACEMENT_3D('',#584928,#461753,#461754); #375284=AXIS2_PLACEMENT_3D('',#584929,#461755,#461756); #375285=AXIS2_PLACEMENT_3D('',#584932,#461759,#461760); #375286=AXIS2_PLACEMENT_3D('',#584935,#461763,#461764); #375287=AXIS2_PLACEMENT_3D('',#584938,#461765,#461766); #375288=AXIS2_PLACEMENT_3D('',#584940,#461767,#461768); #375289=AXIS2_PLACEMENT_3D('',#584941,#461769,#461770); #375290=AXIS2_PLACEMENT_3D('',#584942,#461771,#461772); #375291=AXIS2_PLACEMENT_3D('',#584945,#461775,#461776); #375292=AXIS2_PLACEMENT_3D('',#584948,#461779,#461780); #375293=AXIS2_PLACEMENT_3D('',#584951,#461783,#461784); #375294=AXIS2_PLACEMENT_3D('',#584954,#461787,#461788); #375295=AXIS2_PLACEMENT_3D('',#584957,#461791,#461792); #375296=AXIS2_PLACEMENT_3D('',#584960,#461795,#461796); #375297=AXIS2_PLACEMENT_3D('',#584963,#461799,#461800); #375298=AXIS2_PLACEMENT_3D('',#584966,#461803,#461804); #375299=AXIS2_PLACEMENT_3D('',#584969,#461807,#461808); #375300=AXIS2_PLACEMENT_3D('',#584970,#461809,#461810); #375301=AXIS2_PLACEMENT_3D('',#584971,#461811,#461812); #375302=AXIS2_PLACEMENT_3D('',#584972,#461813,#461814); #375303=AXIS2_PLACEMENT_3D('',#584973,#461815,#461816); #375304=AXIS2_PLACEMENT_3D('',#584974,#461817,#461818); #375305=AXIS2_PLACEMENT_3D('',#584975,#461819,#461820); #375306=AXIS2_PLACEMENT_3D('',#584978,#461821,#461822); #375307=AXIS2_PLACEMENT_3D('',#584980,#461823,#461824); #375308=AXIS2_PLACEMENT_3D('',#584981,#461825,#461826); #375309=AXIS2_PLACEMENT_3D('',#584982,#461827,#461828); #375310=AXIS2_PLACEMENT_3D('',#584985,#461829,#461830); #375311=AXIS2_PLACEMENT_3D('',#584987,#461831,#461832); #375312=AXIS2_PLACEMENT_3D('',#584988,#461833,#461834); #375313=AXIS2_PLACEMENT_3D('',#584989,#461835,#461836); #375314=AXIS2_PLACEMENT_3D('',#584992,#461837,#461838); #375315=AXIS2_PLACEMENT_3D('',#584994,#461839,#461840); #375316=AXIS2_PLACEMENT_3D('',#584995,#461841,#461842); #375317=AXIS2_PLACEMENT_3D('',#584996,#461843,#461844); #375318=AXIS2_PLACEMENT_3D('',#584999,#461845,#461846); #375319=AXIS2_PLACEMENT_3D('',#585001,#461847,#461848); #375320=AXIS2_PLACEMENT_3D('',#585002,#461849,#461850); #375321=AXIS2_PLACEMENT_3D('',#585003,#461851,#461852); #375322=AXIS2_PLACEMENT_3D('',#585006,#461853,#461854); #375323=AXIS2_PLACEMENT_3D('',#585008,#461855,#461856); #375324=AXIS2_PLACEMENT_3D('',#585009,#461857,#461858); #375325=AXIS2_PLACEMENT_3D('',#585010,#461859,#461860); #375326=AXIS2_PLACEMENT_3D('',#585013,#461863,#461864); #375327=AXIS2_PLACEMENT_3D('',#585016,#461865,#461866); #375328=AXIS2_PLACEMENT_3D('',#585018,#461867,#461868); #375329=AXIS2_PLACEMENT_3D('',#585019,#461869,#461870); #375330=AXIS2_PLACEMENT_3D('',#585020,#461871,#461872); #375331=AXIS2_PLACEMENT_3D('',#585023,#461873,#461874); #375332=AXIS2_PLACEMENT_3D('',#585025,#461875,#461876); #375333=AXIS2_PLACEMENT_3D('',#585026,#461877,#461878); #375334=AXIS2_PLACEMENT_3D('',#585027,#461879,#461880); #375335=AXIS2_PLACEMENT_3D('',#585030,#461883,#461884); #375336=AXIS2_PLACEMENT_3D('',#585033,#461887,#461888); #375337=AXIS2_PLACEMENT_3D('',#585036,#461889,#461890); #375338=AXIS2_PLACEMENT_3D('',#585038,#461891,#461892); #375339=AXIS2_PLACEMENT_3D('',#585039,#461893,#461894); #375340=AXIS2_PLACEMENT_3D('',#585040,#461895,#461896); #375341=AXIS2_PLACEMENT_3D('',#585043,#461899,#461900); #375342=AXIS2_PLACEMENT_3D('',#585046,#461903,#461904); #375343=AXIS2_PLACEMENT_3D('',#585049,#461907,#461908); #375344=AXIS2_PLACEMENT_3D('',#585052,#461911,#461912); #375345=AXIS2_PLACEMENT_3D('',#585055,#461915,#461916); #375346=AXIS2_PLACEMENT_3D('',#585058,#461919,#461920); #375347=AXIS2_PLACEMENT_3D('',#585061,#461923,#461924); #375348=AXIS2_PLACEMENT_3D('',#585064,#461927,#461928); #375349=AXIS2_PLACEMENT_3D('',#585067,#461931,#461932); #375350=AXIS2_PLACEMENT_3D('',#585068,#461933,#461934); #375351=AXIS2_PLACEMENT_3D('',#585069,#461935,#461936); #375352=AXIS2_PLACEMENT_3D('',#585070,#461937,#461938); #375353=AXIS2_PLACEMENT_3D('',#585071,#461939,#461940); #375354=AXIS2_PLACEMENT_3D('',#585072,#461941,#461942); #375355=AXIS2_PLACEMENT_3D('',#585073,#461943,#461944); #375356=AXIS2_PLACEMENT_3D('',#585082,#461949,#461950); #375357=AXIS2_PLACEMENT_3D('',#585088,#461954,#461955); #375358=AXIS2_PLACEMENT_3D('',#585094,#461959,#461960); #375359=AXIS2_PLACEMENT_3D('',#585097,#461963,#461964); #375360=AXIS2_PLACEMENT_3D('',#585098,#461965,#461966); #375361=AXIS2_PLACEMENT_3D('',#585099,#461967,#461968); #375362=AXIS2_PLACEMENT_3D('',#585100,#461969,#461970); #375363=AXIS2_PLACEMENT_3D('',#585101,#461971,#461972); #375364=AXIS2_PLACEMENT_3D('',#585102,#461973,#461974); #375365=AXIS2_PLACEMENT_3D('',#585105,#461975,#461976); #375366=AXIS2_PLACEMENT_3D('',#585107,#461977,#461978); #375367=AXIS2_PLACEMENT_3D('',#585108,#461979,#461980); #375368=AXIS2_PLACEMENT_3D('',#585109,#461981,#461982); #375369=AXIS2_PLACEMENT_3D('',#585112,#461983,#461984); #375370=AXIS2_PLACEMENT_3D('',#585114,#461985,#461986); #375371=AXIS2_PLACEMENT_3D('',#585115,#461987,#461988); #375372=AXIS2_PLACEMENT_3D('',#585116,#461989,#461990); #375373=AXIS2_PLACEMENT_3D('',#585119,#461991,#461992); #375374=AXIS2_PLACEMENT_3D('',#585121,#461993,#461994); #375375=AXIS2_PLACEMENT_3D('',#585122,#461995,#461996); #375376=AXIS2_PLACEMENT_3D('',#585123,#461997,#461998); #375377=AXIS2_PLACEMENT_3D('',#585126,#461999,#462000); #375378=AXIS2_PLACEMENT_3D('',#585128,#462001,#462002); #375379=AXIS2_PLACEMENT_3D('',#585129,#462003,#462004); #375380=AXIS2_PLACEMENT_3D('',#585130,#462005,#462006); #375381=AXIS2_PLACEMENT_3D('',#585133,#462007,#462008); #375382=AXIS2_PLACEMENT_3D('',#585135,#462009,#462010); #375383=AXIS2_PLACEMENT_3D('',#585136,#462011,#462012); #375384=AXIS2_PLACEMENT_3D('',#585137,#462013,#462014); #375385=AXIS2_PLACEMENT_3D('',#585140,#462017,#462018); #375386=AXIS2_PLACEMENT_3D('',#585143,#462019,#462020); #375387=AXIS2_PLACEMENT_3D('',#585145,#462021,#462022); #375388=AXIS2_PLACEMENT_3D('',#585146,#462023,#462024); #375389=AXIS2_PLACEMENT_3D('',#585147,#462025,#462026); #375390=AXIS2_PLACEMENT_3D('',#585150,#462027,#462028); #375391=AXIS2_PLACEMENT_3D('',#585152,#462029,#462030); #375392=AXIS2_PLACEMENT_3D('',#585153,#462031,#462032); #375393=AXIS2_PLACEMENT_3D('',#585154,#462033,#462034); #375394=AXIS2_PLACEMENT_3D('',#585157,#462037,#462038); #375395=AXIS2_PLACEMENT_3D('',#585160,#462041,#462042); #375396=AXIS2_PLACEMENT_3D('',#585163,#462043,#462044); #375397=AXIS2_PLACEMENT_3D('',#585165,#462045,#462046); #375398=AXIS2_PLACEMENT_3D('',#585166,#462047,#462048); #375399=AXIS2_PLACEMENT_3D('',#585167,#462049,#462050); #375400=AXIS2_PLACEMENT_3D('',#585170,#462053,#462054); #375401=AXIS2_PLACEMENT_3D('',#585173,#462057,#462058); #375402=AXIS2_PLACEMENT_3D('',#585176,#462061,#462062); #375403=AXIS2_PLACEMENT_3D('',#585179,#462065,#462066); #375404=AXIS2_PLACEMENT_3D('',#585182,#462069,#462070); #375405=AXIS2_PLACEMENT_3D('',#585185,#462073,#462074); #375406=AXIS2_PLACEMENT_3D('',#585188,#462077,#462078); #375407=AXIS2_PLACEMENT_3D('',#585191,#462081,#462082); #375408=AXIS2_PLACEMENT_3D('',#585194,#462085,#462086); #375409=AXIS2_PLACEMENT_3D('',#585195,#462087,#462088); #375410=AXIS2_PLACEMENT_3D('',#585196,#462089,#462090); #375411=AXIS2_PLACEMENT_3D('',#585197,#462091,#462092); #375412=AXIS2_PLACEMENT_3D('',#585198,#462093,#462094); #375413=AXIS2_PLACEMENT_3D('',#585199,#462095,#462096); #375414=AXIS2_PLACEMENT_3D('',#585200,#462097,#462098); #375415=AXIS2_PLACEMENT_3D('',#585203,#462099,#462100); #375416=AXIS2_PLACEMENT_3D('',#585205,#462101,#462102); #375417=AXIS2_PLACEMENT_3D('',#585206,#462103,#462104); #375418=AXIS2_PLACEMENT_3D('',#585207,#462105,#462106); #375419=AXIS2_PLACEMENT_3D('',#585210,#462107,#462108); #375420=AXIS2_PLACEMENT_3D('',#585212,#462109,#462110); #375421=AXIS2_PLACEMENT_3D('',#585213,#462111,#462112); #375422=AXIS2_PLACEMENT_3D('',#585214,#462113,#462114); #375423=AXIS2_PLACEMENT_3D('',#585217,#462115,#462116); #375424=AXIS2_PLACEMENT_3D('',#585219,#462117,#462118); #375425=AXIS2_PLACEMENT_3D('',#585220,#462119,#462120); #375426=AXIS2_PLACEMENT_3D('',#585221,#462121,#462122); #375427=AXIS2_PLACEMENT_3D('',#585224,#462123,#462124); #375428=AXIS2_PLACEMENT_3D('',#585226,#462125,#462126); #375429=AXIS2_PLACEMENT_3D('',#585227,#462127,#462128); #375430=AXIS2_PLACEMENT_3D('',#585228,#462129,#462130); #375431=AXIS2_PLACEMENT_3D('',#585231,#462131,#462132); #375432=AXIS2_PLACEMENT_3D('',#585233,#462133,#462134); #375433=AXIS2_PLACEMENT_3D('',#585234,#462135,#462136); #375434=AXIS2_PLACEMENT_3D('',#585235,#462137,#462138); #375435=AXIS2_PLACEMENT_3D('',#585238,#462141,#462142); #375436=AXIS2_PLACEMENT_3D('',#585241,#462143,#462144); #375437=AXIS2_PLACEMENT_3D('',#585243,#462145,#462146); #375438=AXIS2_PLACEMENT_3D('',#585244,#462147,#462148); #375439=AXIS2_PLACEMENT_3D('',#585245,#462149,#462150); #375440=AXIS2_PLACEMENT_3D('',#585248,#462151,#462152); #375441=AXIS2_PLACEMENT_3D('',#585250,#462153,#462154); #375442=AXIS2_PLACEMENT_3D('',#585251,#462155,#462156); #375443=AXIS2_PLACEMENT_3D('',#585252,#462157,#462158); #375444=AXIS2_PLACEMENT_3D('',#585255,#462161,#462162); #375445=AXIS2_PLACEMENT_3D('',#585258,#462165,#462166); #375446=AXIS2_PLACEMENT_3D('',#585261,#462167,#462168); #375447=AXIS2_PLACEMENT_3D('',#585263,#462169,#462170); #375448=AXIS2_PLACEMENT_3D('',#585264,#462171,#462172); #375449=AXIS2_PLACEMENT_3D('',#585265,#462173,#462174); #375450=AXIS2_PLACEMENT_3D('',#585268,#462177,#462178); #375451=AXIS2_PLACEMENT_3D('',#585271,#462181,#462182); #375452=AXIS2_PLACEMENT_3D('',#585274,#462185,#462186); #375453=AXIS2_PLACEMENT_3D('',#585277,#462189,#462190); #375454=AXIS2_PLACEMENT_3D('',#585280,#462193,#462194); #375455=AXIS2_PLACEMENT_3D('',#585283,#462197,#462198); #375456=AXIS2_PLACEMENT_3D('',#585286,#462201,#462202); #375457=AXIS2_PLACEMENT_3D('',#585289,#462205,#462206); #375458=AXIS2_PLACEMENT_3D('',#585292,#462209,#462210); #375459=AXIS2_PLACEMENT_3D('',#585293,#462211,#462212); #375460=AXIS2_PLACEMENT_3D('',#585294,#462213,#462214); #375461=AXIS2_PLACEMENT_3D('',#585295,#462215,#462216); #375462=AXIS2_PLACEMENT_3D('',#585296,#462217,#462218); #375463=AXIS2_PLACEMENT_3D('',#585297,#462219,#462220); #375464=AXIS2_PLACEMENT_3D('',#585298,#462221,#462222); #375465=AXIS2_PLACEMENT_3D('',#585307,#462227,#462228); #375466=AXIS2_PLACEMENT_3D('',#585313,#462232,#462233); #375467=AXIS2_PLACEMENT_3D('',#585319,#462237,#462238); #375468=AXIS2_PLACEMENT_3D('',#585322,#462241,#462242); #375469=AXIS2_PLACEMENT_3D('',#585323,#462243,#462244); #375470=AXIS2_PLACEMENT_3D('',#585324,#462245,#462246); #375471=AXIS2_PLACEMENT_3D('',#585325,#462247,#462248); #375472=AXIS2_PLACEMENT_3D('',#585326,#462249,#462250); #375473=AXIS2_PLACEMENT_3D('',#585327,#462251,#462252); #375474=AXIS2_PLACEMENT_3D('',#585329,#462253,#462254); #375475=AXIS2_PLACEMENT_3D('',#585332,#462256,#462257); #375476=AXIS2_PLACEMENT_3D('',#585333,#462258,#462259); #375477=AXIS2_PLACEMENT_3D('',#585334,#462260,#462261); #375478=AXIS2_PLACEMENT_3D('',#585336,#462262,#462263); #375479=AXIS2_PLACEMENT_3D('',#585337,#462264,#462265); #375480=AXIS2_PLACEMENT_3D('',#585339,#462266,#462267); #375481=AXIS2_PLACEMENT_3D('',#585340,#462268,#462269); #375482=AXIS2_PLACEMENT_3D('',#585341,#462270,#462271); #375483=AXIS2_PLACEMENT_3D('',#585343,#462272,#462273); #375484=AXIS2_PLACEMENT_3D('',#585345,#462275,#462276); #375485=AXIS2_PLACEMENT_3D('',#585347,#462277,#462278); #375486=AXIS2_PLACEMENT_3D('',#585348,#462279,#462280); #375487=AXIS2_PLACEMENT_3D('',#585349,#462281,#462282); #375488=AXIS2_PLACEMENT_3D('',#585351,#462283,#462284); #375489=AXIS2_PLACEMENT_3D('',#585352,#462285,#462286); #375490=AXIS2_PLACEMENT_3D('',#585353,#462287,#462288); #375491=AXIS2_PLACEMENT_3D('',#585355,#462289,#462290); #375492=AXIS2_PLACEMENT_3D('',#585356,#462291,#462292); #375493=AXIS2_PLACEMENT_3D('',#585357,#462293,#462294); #375494=AXIS2_PLACEMENT_3D('',#585359,#462295,#462296); #375495=AXIS2_PLACEMENT_3D('',#585361,#462298,#462299); #375496=AXIS2_PLACEMENT_3D('',#585363,#462300,#462301); #375497=AXIS2_PLACEMENT_3D('',#585364,#462302,#462303); #375498=AXIS2_PLACEMENT_3D('',#585365,#462304,#462305); #375499=AXIS2_PLACEMENT_3D('',#585366,#462306,#462307); #375500=AXIS2_PLACEMENT_3D('',#585369,#462308,#462309); #375501=AXIS2_PLACEMENT_3D('',#585371,#462310,#462311); #375502=AXIS2_PLACEMENT_3D('',#585373,#462312,#462313); #375503=AXIS2_PLACEMENT_3D('',#585377,#462315,#462316); #375504=AXIS2_PLACEMENT_3D('',#585381,#462318,#462319); #375505=AXIS2_PLACEMENT_3D('',#585385,#462321,#462322); #375506=AXIS2_PLACEMENT_3D('',#585387,#462323,#462324); #375507=AXIS2_PLACEMENT_3D('',#585389,#462325,#462326); #375508=AXIS2_PLACEMENT_3D('',#585393,#462328,#462329); #375509=AXIS2_PLACEMENT_3D('',#585397,#462331,#462332); #375510=AXIS2_PLACEMENT_3D('',#585399,#462334,#462335); #375511=AXIS2_PLACEMENT_3D('',#585401,#462336,#462337); #375512=AXIS2_PLACEMENT_3D('',#585403,#462338,#462339); #375513=AXIS2_PLACEMENT_3D('',#585404,#462340,#462341); #375514=AXIS2_PLACEMENT_3D('',#585405,#462342,#462343); #375515=AXIS2_PLACEMENT_3D('',#585407,#462344,#462345); #375516=AXIS2_PLACEMENT_3D('',#585408,#462346,#462347); #375517=AXIS2_PLACEMENT_3D('',#585409,#462348,#462349); #375518=AXIS2_PLACEMENT_3D('',#585412,#462351,#462352); #375519=AXIS2_PLACEMENT_3D('',#585413,#462353,#462354); #375520=AXIS2_PLACEMENT_3D('',#585415,#462355,#462356); #375521=AXIS2_PLACEMENT_3D('',#585416,#462357,#462358); #375522=AXIS2_PLACEMENT_3D('',#585417,#462359,#462360); #375523=AXIS2_PLACEMENT_3D('',#585420,#462362,#462363); #375524=AXIS2_PLACEMENT_3D('',#585421,#462364,#462365); #375525=AXIS2_PLACEMENT_3D('',#585423,#462366,#462367); #375526=AXIS2_PLACEMENT_3D('',#585424,#462368,#462369); #375527=AXIS2_PLACEMENT_3D('',#585425,#462370,#462371); #375528=AXIS2_PLACEMENT_3D('',#585428,#462373,#462374); #375529=AXIS2_PLACEMENT_3D('',#585429,#462375,#462376); #375530=AXIS2_PLACEMENT_3D('',#585431,#462377,#462378); #375531=AXIS2_PLACEMENT_3D('',#585432,#462379,#462380); #375532=AXIS2_PLACEMENT_3D('',#585433,#462381,#462382); #375533=AXIS2_PLACEMENT_3D('',#585435,#462383,#462384); #375534=AXIS2_PLACEMENT_3D('',#585436,#462385,#462386); #375535=AXIS2_PLACEMENT_3D('',#585437,#462387,#462388); #375536=AXIS2_PLACEMENT_3D('',#585439,#462389,#462390); #375537=AXIS2_PLACEMENT_3D('',#585440,#462391,#462392); #375538=AXIS2_PLACEMENT_3D('',#585441,#462393,#462394); #375539=AXIS2_PLACEMENT_3D('',#585444,#462396,#462397); #375540=AXIS2_PLACEMENT_3D('',#585445,#462398,#462399); #375541=AXIS2_PLACEMENT_3D('',#585447,#462400,#462401); #375542=AXIS2_PLACEMENT_3D('',#585448,#462402,#462403); #375543=AXIS2_PLACEMENT_3D('',#585449,#462404,#462405); #375544=AXIS2_PLACEMENT_3D('',#585452,#462407,#462408); #375545=AXIS2_PLACEMENT_3D('',#585453,#462409,#462410); #375546=AXIS2_PLACEMENT_3D('',#585455,#462411,#462412); #375547=AXIS2_PLACEMENT_3D('',#585456,#462413,#462414); #375548=AXIS2_PLACEMENT_3D('',#585457,#462415,#462416); #375549=AXIS2_PLACEMENT_3D('',#585460,#462418,#462419); #375550=AXIS2_PLACEMENT_3D('',#585461,#462420,#462421); #375551=AXIS2_PLACEMENT_3D('',#585462,#462422,#462423); #375552=AXIS2_PLACEMENT_3D('',#585463,#462424,#462425); #375553=AXIS2_PLACEMENT_3D('',#585464,#462426,#462427); #375554=AXIS2_PLACEMENT_3D('',#585466,#462428,#462429); #375555=AXIS2_PLACEMENT_3D('',#585469,#462431,#462432); #375556=AXIS2_PLACEMENT_3D('',#585470,#462433,#462434); #375557=AXIS2_PLACEMENT_3D('',#585471,#462435,#462436); #375558=AXIS2_PLACEMENT_3D('',#585472,#462437,#462438); #375559=AXIS2_PLACEMENT_3D('',#585474,#462439,#462440); #375560=AXIS2_PLACEMENT_3D('',#585477,#462442,#462443); #375561=AXIS2_PLACEMENT_3D('',#585478,#462444,#462445); #375562=AXIS2_PLACEMENT_3D('',#585479,#462446,#462447); #375563=AXIS2_PLACEMENT_3D('',#585480,#462448,#462449); #375564=AXIS2_PLACEMENT_3D('',#585489,#462454,#462455); #375565=AXIS2_PLACEMENT_3D('',#585491,#462456,#462457); #375566=AXIS2_PLACEMENT_3D('',#585494,#462459,#462460); #375567=AXIS2_PLACEMENT_3D('',#585495,#462461,#462462); #375568=AXIS2_PLACEMENT_3D('',#585498,#462464,#462465); #375569=AXIS2_PLACEMENT_3D('',#585499,#462466,#462467); #375570=AXIS2_PLACEMENT_3D('',#585502,#462469,#462470); #375571=AXIS2_PLACEMENT_3D('',#585503,#462471,#462472); #375572=AXIS2_PLACEMENT_3D('',#585505,#462474,#462475); #375573=AXIS2_PLACEMENT_3D('',#585506,#462476,#462477); #375574=AXIS2_PLACEMENT_3D('',#585515,#462482,#462483); #375575=AXIS2_PLACEMENT_3D('',#585517,#462484,#462485); #375576=AXIS2_PLACEMENT_3D('',#585520,#462487,#462488); #375577=AXIS2_PLACEMENT_3D('',#585521,#462489,#462490); #375578=AXIS2_PLACEMENT_3D('',#585524,#462492,#462493); #375579=AXIS2_PLACEMENT_3D('',#585525,#462494,#462495); #375580=AXIS2_PLACEMENT_3D('',#585528,#462497,#462498); #375581=AXIS2_PLACEMENT_3D('',#585529,#462499,#462500); #375582=AXIS2_PLACEMENT_3D('',#585531,#462502,#462503); #375583=AXIS2_PLACEMENT_3D('',#585532,#462504,#462505); #375584=AXIS2_PLACEMENT_3D('',#585541,#462510,#462511); #375585=AXIS2_PLACEMENT_3D('',#585543,#462512,#462513); #375586=AXIS2_PLACEMENT_3D('',#585546,#462515,#462516); #375587=AXIS2_PLACEMENT_3D('',#585547,#462517,#462518); #375588=AXIS2_PLACEMENT_3D('',#585550,#462520,#462521); #375589=AXIS2_PLACEMENT_3D('',#585551,#462522,#462523); #375590=AXIS2_PLACEMENT_3D('',#585554,#462525,#462526); #375591=AXIS2_PLACEMENT_3D('',#585555,#462527,#462528); #375592=AXIS2_PLACEMENT_3D('',#585557,#462530,#462531); #375593=AXIS2_PLACEMENT_3D('',#585558,#462532,#462533); #375594=AXIS2_PLACEMENT_3D('',#585567,#462538,#462539); #375595=AXIS2_PLACEMENT_3D('',#585569,#462540,#462541); #375596=AXIS2_PLACEMENT_3D('',#585572,#462543,#462544); #375597=AXIS2_PLACEMENT_3D('',#585573,#462545,#462546); #375598=AXIS2_PLACEMENT_3D('',#585576,#462548,#462549); #375599=AXIS2_PLACEMENT_3D('',#585577,#462550,#462551); #375600=AXIS2_PLACEMENT_3D('',#585580,#462553,#462554); #375601=AXIS2_PLACEMENT_3D('',#585581,#462555,#462556); #375602=AXIS2_PLACEMENT_3D('',#585583,#462558,#462559); #375603=AXIS2_PLACEMENT_3D('',#585584,#462560,#462561); #375604=AXIS2_PLACEMENT_3D('',#585593,#462566,#462567); #375605=AXIS2_PLACEMENT_3D('',#585595,#462568,#462569); #375606=AXIS2_PLACEMENT_3D('',#585598,#462571,#462572); #375607=AXIS2_PLACEMENT_3D('',#585599,#462573,#462574); #375608=AXIS2_PLACEMENT_3D('',#585602,#462576,#462577); #375609=AXIS2_PLACEMENT_3D('',#585603,#462578,#462579); #375610=AXIS2_PLACEMENT_3D('',#585606,#462581,#462582); #375611=AXIS2_PLACEMENT_3D('',#585607,#462583,#462584); #375612=AXIS2_PLACEMENT_3D('',#585609,#462586,#462587); #375613=AXIS2_PLACEMENT_3D('',#585610,#462588,#462589); #375614=AXIS2_PLACEMENT_3D('',#585619,#462594,#462595); #375615=AXIS2_PLACEMENT_3D('',#585621,#462596,#462597); #375616=AXIS2_PLACEMENT_3D('',#585624,#462599,#462600); #375617=AXIS2_PLACEMENT_3D('',#585625,#462601,#462602); #375618=AXIS2_PLACEMENT_3D('',#585628,#462604,#462605); #375619=AXIS2_PLACEMENT_3D('',#585629,#462606,#462607); #375620=AXIS2_PLACEMENT_3D('',#585632,#462609,#462610); #375621=AXIS2_PLACEMENT_3D('',#585633,#462611,#462612); #375622=AXIS2_PLACEMENT_3D('',#585635,#462614,#462615); #375623=AXIS2_PLACEMENT_3D('',#585636,#462616,#462617); #375624=AXIS2_PLACEMENT_3D('',#585645,#462622,#462623); #375625=AXIS2_PLACEMENT_3D('',#585647,#462624,#462625); #375626=AXIS2_PLACEMENT_3D('',#585650,#462627,#462628); #375627=AXIS2_PLACEMENT_3D('',#585651,#462629,#462630); #375628=AXIS2_PLACEMENT_3D('',#585654,#462632,#462633); #375629=AXIS2_PLACEMENT_3D('',#585655,#462634,#462635); #375630=AXIS2_PLACEMENT_3D('',#585658,#462637,#462638); #375631=AXIS2_PLACEMENT_3D('',#585659,#462639,#462640); #375632=AXIS2_PLACEMENT_3D('',#585661,#462642,#462643); #375633=AXIS2_PLACEMENT_3D('',#585662,#462644,#462645); #375634=AXIS2_PLACEMENT_3D('',#585671,#462650,#462651); #375635=AXIS2_PLACEMENT_3D('',#585673,#462652,#462653); #375636=AXIS2_PLACEMENT_3D('',#585676,#462655,#462656); #375637=AXIS2_PLACEMENT_3D('',#585677,#462657,#462658); #375638=AXIS2_PLACEMENT_3D('',#585680,#462660,#462661); #375639=AXIS2_PLACEMENT_3D('',#585681,#462662,#462663); #375640=AXIS2_PLACEMENT_3D('',#585684,#462665,#462666); #375641=AXIS2_PLACEMENT_3D('',#585685,#462667,#462668); #375642=AXIS2_PLACEMENT_3D('',#585687,#462670,#462671); #375643=AXIS2_PLACEMENT_3D('',#585688,#462672,#462673); #375644=AXIS2_PLACEMENT_3D('',#585697,#462678,#462679); #375645=AXIS2_PLACEMENT_3D('',#585699,#462680,#462681); #375646=AXIS2_PLACEMENT_3D('',#585702,#462683,#462684); #375647=AXIS2_PLACEMENT_3D('',#585703,#462685,#462686); #375648=AXIS2_PLACEMENT_3D('',#585706,#462688,#462689); #375649=AXIS2_PLACEMENT_3D('',#585707,#462690,#462691); #375650=AXIS2_PLACEMENT_3D('',#585710,#462693,#462694); #375651=AXIS2_PLACEMENT_3D('',#585711,#462695,#462696); #375652=AXIS2_PLACEMENT_3D('',#585713,#462698,#462699); #375653=AXIS2_PLACEMENT_3D('',#585714,#462700,#462701); #375654=AXIS2_PLACEMENT_3D('',#585715,#462702,#462703); #375655=AXIS2_PLACEMENT_3D('',#585716,#462704,#462705); #375656=AXIS2_PLACEMENT_3D('',#585717,#462706,#462707); #375657=AXIS2_PLACEMENT_3D('',#585719,#462708,#462709); #375658=AXIS2_PLACEMENT_3D('',#585722,#462711,#462712); #375659=AXIS2_PLACEMENT_3D('',#585723,#462713,#462714); #375660=AXIS2_PLACEMENT_3D('',#585724,#462715,#462716); #375661=AXIS2_PLACEMENT_3D('',#585733,#462721,#462722); #375662=AXIS2_PLACEMENT_3D('',#585739,#462726,#462727); #375663=AXIS2_PLACEMENT_3D('',#585745,#462731,#462732); #375664=AXIS2_PLACEMENT_3D('',#585748,#462735,#462736); #375665=AXIS2_PLACEMENT_3D('',#585754,#462740,#462741); #375666=AXIS2_PLACEMENT_3D('',#585758,#462744,#462745); #375667=AXIS2_PLACEMENT_3D('',#585759,#462746,#462747); #375668=AXIS2_PLACEMENT_3D('',#585763,#462750,#462751); #375669=AXIS2_PLACEMENT_3D('',#585765,#462753,#462754); #375670=AXIS2_PLACEMENT_3D('',#585766,#462755,#462756); #375671=AXIS2_PLACEMENT_3D('',#585775,#462761,#462762); #375672=AXIS2_PLACEMENT_3D('',#585781,#462766,#462767); #375673=AXIS2_PLACEMENT_3D('',#585787,#462771,#462772); #375674=AXIS2_PLACEMENT_3D('',#585790,#462775,#462776); #375675=AXIS2_PLACEMENT_3D('',#585791,#462777,#462778); #375676=AXIS2_PLACEMENT_3D('',#585792,#462779,#462780); #375677=AXIS2_PLACEMENT_3D('',#585801,#462785,#462786); #375678=AXIS2_PLACEMENT_3D('',#585807,#462790,#462791); #375679=AXIS2_PLACEMENT_3D('',#585809,#462792,#462793); #375680=AXIS2_PLACEMENT_3D('',#585811,#462794,#462795); #375681=AXIS2_PLACEMENT_3D('',#585813,#462797,#462798); #375682=AXIS2_PLACEMENT_3D('',#585819,#462802,#462803); #375683=AXIS2_PLACEMENT_3D('',#585821,#462804,#462805); #375684=AXIS2_PLACEMENT_3D('',#585823,#462806,#462807); #375685=AXIS2_PLACEMENT_3D('',#585825,#462809,#462810); #375686=AXIS2_PLACEMENT_3D('',#585828,#462813,#462814); #375687=AXIS2_PLACEMENT_3D('',#585829,#462815,#462816); #375688=AXIS2_PLACEMENT_3D('',#585830,#462817,#462818); #375689=AXIS2_PLACEMENT_3D('',#585839,#462823,#462824); #375690=AXIS2_PLACEMENT_3D('',#585845,#462828,#462829); #375691=AXIS2_PLACEMENT_3D('',#585847,#462830,#462831); #375692=AXIS2_PLACEMENT_3D('',#585850,#462833,#462834); #375693=AXIS2_PLACEMENT_3D('',#585851,#462835,#462836); #375694=AXIS2_PLACEMENT_3D('',#585857,#462840,#462841); #375695=AXIS2_PLACEMENT_3D('',#585859,#462842,#462843); #375696=AXIS2_PLACEMENT_3D('',#585862,#462845,#462846); #375697=AXIS2_PLACEMENT_3D('',#585863,#462847,#462848); #375698=AXIS2_PLACEMENT_3D('',#585866,#462851,#462852); #375699=AXIS2_PLACEMENT_3D('',#585867,#462853,#462854); #375700=AXIS2_PLACEMENT_3D('',#585868,#462855,#462856); #375701=AXIS2_PLACEMENT_3D('',#585877,#462861,#462862); #375702=AXIS2_PLACEMENT_3D('',#585883,#462866,#462867); #375703=AXIS2_PLACEMENT_3D('',#585885,#462868,#462869); #375704=AXIS2_PLACEMENT_3D('',#585887,#462870,#462871); #375705=AXIS2_PLACEMENT_3D('',#585889,#462873,#462874); #375706=AXIS2_PLACEMENT_3D('',#585895,#462878,#462879); #375707=AXIS2_PLACEMENT_3D('',#585897,#462880,#462881); #375708=AXIS2_PLACEMENT_3D('',#585899,#462882,#462883); #375709=AXIS2_PLACEMENT_3D('',#585901,#462885,#462886); #375710=AXIS2_PLACEMENT_3D('',#585904,#462889,#462890); #375711=AXIS2_PLACEMENT_3D('',#585905,#462891,#462892); #375712=AXIS2_PLACEMENT_3D('',#585906,#462893,#462894); #375713=AXIS2_PLACEMENT_3D('',#585915,#462899,#462900); #375714=AXIS2_PLACEMENT_3D('',#585921,#462904,#462905); #375715=AXIS2_PLACEMENT_3D('',#585923,#462906,#462907); #375716=AXIS2_PLACEMENT_3D('',#585925,#462908,#462909); #375717=AXIS2_PLACEMENT_3D('',#585927,#462911,#462912); #375718=AXIS2_PLACEMENT_3D('',#585933,#462916,#462917); #375719=AXIS2_PLACEMENT_3D('',#585935,#462918,#462919); #375720=AXIS2_PLACEMENT_3D('',#585937,#462920,#462921); #375721=AXIS2_PLACEMENT_3D('',#585939,#462923,#462924); #375722=AXIS2_PLACEMENT_3D('',#585942,#462927,#462928); #375723=AXIS2_PLACEMENT_3D('',#585943,#462929,#462930); #375724=AXIS2_PLACEMENT_3D('',#585944,#462931,#462932); #375725=AXIS2_PLACEMENT_3D('',#585953,#462937,#462938); #375726=AXIS2_PLACEMENT_3D('',#585959,#462942,#462943); #375727=AXIS2_PLACEMENT_3D('',#585961,#462944,#462945); #375728=AXIS2_PLACEMENT_3D('',#585963,#462946,#462947); #375729=AXIS2_PLACEMENT_3D('',#585965,#462949,#462950); #375730=AXIS2_PLACEMENT_3D('',#585971,#462954,#462955); #375731=AXIS2_PLACEMENT_3D('',#585973,#462956,#462957); #375732=AXIS2_PLACEMENT_3D('',#585975,#462958,#462959); #375733=AXIS2_PLACEMENT_3D('',#585977,#462961,#462962); #375734=AXIS2_PLACEMENT_3D('',#585980,#462965,#462966); #375735=AXIS2_PLACEMENT_3D('',#585981,#462967,#462968); #375736=AXIS2_PLACEMENT_3D('',#585982,#462969,#462970); #375737=AXIS2_PLACEMENT_3D('',#585991,#462975,#462976); #375738=AXIS2_PLACEMENT_3D('',#585997,#462980,#462981); #375739=AXIS2_PLACEMENT_3D('',#585999,#462982,#462983); #375740=AXIS2_PLACEMENT_3D('',#586002,#462985,#462986); #375741=AXIS2_PLACEMENT_3D('',#586003,#462987,#462988); #375742=AXIS2_PLACEMENT_3D('',#586009,#462992,#462993); #375743=AXIS2_PLACEMENT_3D('',#586011,#462994,#462995); #375744=AXIS2_PLACEMENT_3D('',#586014,#462997,#462998); #375745=AXIS2_PLACEMENT_3D('',#586015,#462999,#463000); #375746=AXIS2_PLACEMENT_3D('',#586018,#463003,#463004); #375747=AXIS2_PLACEMENT_3D('',#586019,#463005,#463006); #375748=AXIS2_PLACEMENT_3D('',#586020,#463007,#463008); #375749=AXIS2_PLACEMENT_3D('',#586029,#463013,#463014); #375750=AXIS2_PLACEMENT_3D('',#586035,#463018,#463019); #375751=AXIS2_PLACEMENT_3D('',#586037,#463020,#463021); #375752=AXIS2_PLACEMENT_3D('',#586040,#463023,#463024); #375753=AXIS2_PLACEMENT_3D('',#586041,#463025,#463026); #375754=AXIS2_PLACEMENT_3D('',#586047,#463030,#463031); #375755=AXIS2_PLACEMENT_3D('',#586049,#463032,#463033); #375756=AXIS2_PLACEMENT_3D('',#586052,#463035,#463036); #375757=AXIS2_PLACEMENT_3D('',#586053,#463037,#463038); #375758=AXIS2_PLACEMENT_3D('',#586056,#463041,#463042); #375759=AXIS2_PLACEMENT_3D('',#586057,#463043,#463044); #375760=AXIS2_PLACEMENT_3D('',#586058,#463045,#463046); #375761=AXIS2_PLACEMENT_3D('',#586067,#463051,#463052); #375762=AXIS2_PLACEMENT_3D('',#586073,#463056,#463057); #375763=AXIS2_PLACEMENT_3D('',#586075,#463058,#463059); #375764=AXIS2_PLACEMENT_3D('',#586078,#463061,#463062); #375765=AXIS2_PLACEMENT_3D('',#586079,#463063,#463064); #375766=AXIS2_PLACEMENT_3D('',#586085,#463068,#463069); #375767=AXIS2_PLACEMENT_3D('',#586087,#463070,#463071); #375768=AXIS2_PLACEMENT_3D('',#586090,#463073,#463074); #375769=AXIS2_PLACEMENT_3D('',#586091,#463075,#463076); #375770=AXIS2_PLACEMENT_3D('',#586094,#463079,#463080); #375771=AXIS2_PLACEMENT_3D('',#586095,#463081,#463082); #375772=AXIS2_PLACEMENT_3D('',#586096,#463083,#463084); #375773=AXIS2_PLACEMENT_3D('',#586105,#463089,#463090); #375774=AXIS2_PLACEMENT_3D('',#586111,#463094,#463095); #375775=AXIS2_PLACEMENT_3D('',#586117,#463099,#463100); #375776=AXIS2_PLACEMENT_3D('',#586120,#463103,#463104); #375777=AXIS2_PLACEMENT_3D('',#586121,#463105,#463106); #375778=AXIS2_PLACEMENT_3D('',#586122,#463107,#463108); #375779=AXIS2_PLACEMENT_3D('',#586131,#463113,#463114); #375780=AXIS2_PLACEMENT_3D('',#586137,#463118,#463119); #375781=AXIS2_PLACEMENT_3D('',#586143,#463123,#463124); #375782=AXIS2_PLACEMENT_3D('',#586146,#463127,#463128); #375783=AXIS2_PLACEMENT_3D('',#586147,#463129,#463130); #375784=AXIS2_PLACEMENT_3D('',#586148,#463131,#463132); #375785=AXIS2_PLACEMENT_3D('',#586157,#463137,#463138); #375786=AXIS2_PLACEMENT_3D('',#586163,#463142,#463143); #375787=AXIS2_PLACEMENT_3D('',#586169,#463147,#463148); #375788=AXIS2_PLACEMENT_3D('',#586172,#463151,#463152); #375789=AXIS2_PLACEMENT_3D('',#586173,#463153,#463154); #375790=AXIS2_PLACEMENT_3D('',#586174,#463155,#463156); #375791=AXIS2_PLACEMENT_3D('',#586183,#463161,#463162); #375792=AXIS2_PLACEMENT_3D('',#586189,#463166,#463167); #375793=AXIS2_PLACEMENT_3D('',#586195,#463171,#463172); #375794=AXIS2_PLACEMENT_3D('',#586198,#463175,#463176); #375795=AXIS2_PLACEMENT_3D('',#586199,#463177,#463178); #375796=AXIS2_PLACEMENT_3D('',#586200,#463179,#463180); #375797=AXIS2_PLACEMENT_3D('',#586209,#463185,#463186); #375798=AXIS2_PLACEMENT_3D('',#586215,#463190,#463191); #375799=AXIS2_PLACEMENT_3D('',#586221,#463195,#463196); #375800=AXIS2_PLACEMENT_3D('',#586224,#463199,#463200); #375801=AXIS2_PLACEMENT_3D('',#586225,#463201,#463202); #375802=AXIS2_PLACEMENT_3D('',#586226,#463203,#463204); #375803=AXIS2_PLACEMENT_3D('',#586235,#463209,#463210); #375804=AXIS2_PLACEMENT_3D('',#586241,#463214,#463215); #375805=AXIS2_PLACEMENT_3D('',#586247,#463219,#463220); #375806=AXIS2_PLACEMENT_3D('',#586250,#463223,#463224); #375807=AXIS2_PLACEMENT_3D('',#586251,#463225,#463226); #375808=AXIS2_PLACEMENT_3D('',#586252,#463227,#463228); #375809=AXIS2_PLACEMENT_3D('',#586261,#463233,#463234); #375810=AXIS2_PLACEMENT_3D('',#586267,#463238,#463239); #375811=AXIS2_PLACEMENT_3D('',#586273,#463243,#463244); #375812=AXIS2_PLACEMENT_3D('',#586276,#463247,#463248); #375813=AXIS2_PLACEMENT_3D('',#586277,#463249,#463250); #375814=AXIS2_PLACEMENT_3D('',#586278,#463251,#463252); #375815=AXIS2_PLACEMENT_3D('',#586287,#463257,#463258); #375816=AXIS2_PLACEMENT_3D('',#586293,#463262,#463263); #375817=AXIS2_PLACEMENT_3D('',#586299,#463267,#463268); #375818=AXIS2_PLACEMENT_3D('',#586302,#463271,#463272); #375819=AXIS2_PLACEMENT_3D('',#586303,#463273,#463274); #375820=AXIS2_PLACEMENT_3D('',#586304,#463275,#463276); #375821=AXIS2_PLACEMENT_3D('',#586305,#463277,#463278); #375822=AXIS2_PLACEMENT_3D('',#586306,#463279,#463280); #375823=AXIS2_PLACEMENT_3D('',#586307,#463281,#463282); #375824=AXIS2_PLACEMENT_3D('',#586309,#463283,#463284); #375825=AXIS2_PLACEMENT_3D('',#586312,#463286,#463287); #375826=AXIS2_PLACEMENT_3D('',#586313,#463288,#463289); #375827=AXIS2_PLACEMENT_3D('',#586314,#463290,#463291); #375828=AXIS2_PLACEMENT_3D('',#586323,#463296,#463297); #375829=AXIS2_PLACEMENT_3D('',#586329,#463301,#463302); #375830=AXIS2_PLACEMENT_3D('',#586335,#463306,#463307); #375831=AXIS2_PLACEMENT_3D('',#586338,#463310,#463311); #375832=AXIS2_PLACEMENT_3D('',#586344,#463315,#463316); #375833=AXIS2_PLACEMENT_3D('',#586348,#463319,#463320); #375834=AXIS2_PLACEMENT_3D('',#586349,#463321,#463322); #375835=AXIS2_PLACEMENT_3D('',#586353,#463325,#463326); #375836=AXIS2_PLACEMENT_3D('',#586355,#463328,#463329); #375837=AXIS2_PLACEMENT_3D('',#586356,#463330,#463331); #375838=AXIS2_PLACEMENT_3D('',#586365,#463336,#463337); #375839=AXIS2_PLACEMENT_3D('',#586371,#463341,#463342); #375840=AXIS2_PLACEMENT_3D('',#586377,#463346,#463347); #375841=AXIS2_PLACEMENT_3D('',#586380,#463350,#463351); #375842=AXIS2_PLACEMENT_3D('',#586381,#463352,#463353); #375843=AXIS2_PLACEMENT_3D('',#586382,#463354,#463355); #375844=AXIS2_PLACEMENT_3D('',#586391,#463360,#463361); #375845=AXIS2_PLACEMENT_3D('',#586397,#463365,#463366); #375846=AXIS2_PLACEMENT_3D('',#586399,#463367,#463368); #375847=AXIS2_PLACEMENT_3D('',#586401,#463369,#463370); #375848=AXIS2_PLACEMENT_3D('',#586403,#463372,#463373); #375849=AXIS2_PLACEMENT_3D('',#586409,#463377,#463378); #375850=AXIS2_PLACEMENT_3D('',#586411,#463379,#463380); #375851=AXIS2_PLACEMENT_3D('',#586413,#463381,#463382); #375852=AXIS2_PLACEMENT_3D('',#586415,#463384,#463385); #375853=AXIS2_PLACEMENT_3D('',#586418,#463388,#463389); #375854=AXIS2_PLACEMENT_3D('',#586419,#463390,#463391); #375855=AXIS2_PLACEMENT_3D('',#586420,#463392,#463393); #375856=AXIS2_PLACEMENT_3D('',#586429,#463398,#463399); #375857=AXIS2_PLACEMENT_3D('',#586435,#463403,#463404); #375858=AXIS2_PLACEMENT_3D('',#586437,#463405,#463406); #375859=AXIS2_PLACEMENT_3D('',#586440,#463408,#463409); #375860=AXIS2_PLACEMENT_3D('',#586441,#463410,#463411); #375861=AXIS2_PLACEMENT_3D('',#586447,#463415,#463416); #375862=AXIS2_PLACEMENT_3D('',#586449,#463417,#463418); #375863=AXIS2_PLACEMENT_3D('',#586452,#463420,#463421); #375864=AXIS2_PLACEMENT_3D('',#586453,#463422,#463423); #375865=AXIS2_PLACEMENT_3D('',#586456,#463426,#463427); #375866=AXIS2_PLACEMENT_3D('',#586457,#463428,#463429); #375867=AXIS2_PLACEMENT_3D('',#586458,#463430,#463431); #375868=AXIS2_PLACEMENT_3D('',#586467,#463436,#463437); #375869=AXIS2_PLACEMENT_3D('',#586473,#463441,#463442); #375870=AXIS2_PLACEMENT_3D('',#586475,#463443,#463444); #375871=AXIS2_PLACEMENT_3D('',#586477,#463445,#463446); #375872=AXIS2_PLACEMENT_3D('',#586479,#463448,#463449); #375873=AXIS2_PLACEMENT_3D('',#586485,#463453,#463454); #375874=AXIS2_PLACEMENT_3D('',#586487,#463455,#463456); #375875=AXIS2_PLACEMENT_3D('',#586489,#463457,#463458); #375876=AXIS2_PLACEMENT_3D('',#586491,#463460,#463461); #375877=AXIS2_PLACEMENT_3D('',#586494,#463464,#463465); #375878=AXIS2_PLACEMENT_3D('',#586495,#463466,#463467); #375879=AXIS2_PLACEMENT_3D('',#586496,#463468,#463469); #375880=AXIS2_PLACEMENT_3D('',#586505,#463474,#463475); #375881=AXIS2_PLACEMENT_3D('',#586511,#463479,#463480); #375882=AXIS2_PLACEMENT_3D('',#586513,#463481,#463482); #375883=AXIS2_PLACEMENT_3D('',#586515,#463483,#463484); #375884=AXIS2_PLACEMENT_3D('',#586517,#463486,#463487); #375885=AXIS2_PLACEMENT_3D('',#586523,#463491,#463492); #375886=AXIS2_PLACEMENT_3D('',#586525,#463493,#463494); #375887=AXIS2_PLACEMENT_3D('',#586527,#463495,#463496); #375888=AXIS2_PLACEMENT_3D('',#586529,#463498,#463499); #375889=AXIS2_PLACEMENT_3D('',#586532,#463502,#463503); #375890=AXIS2_PLACEMENT_3D('',#586533,#463504,#463505); #375891=AXIS2_PLACEMENT_3D('',#586534,#463506,#463507); #375892=AXIS2_PLACEMENT_3D('',#586543,#463512,#463513); #375893=AXIS2_PLACEMENT_3D('',#586549,#463517,#463518); #375894=AXIS2_PLACEMENT_3D('',#586551,#463519,#463520); #375895=AXIS2_PLACEMENT_3D('',#586553,#463521,#463522); #375896=AXIS2_PLACEMENT_3D('',#586555,#463524,#463525); #375897=AXIS2_PLACEMENT_3D('',#586561,#463529,#463530); #375898=AXIS2_PLACEMENT_3D('',#586563,#463531,#463532); #375899=AXIS2_PLACEMENT_3D('',#586565,#463533,#463534); #375900=AXIS2_PLACEMENT_3D('',#586567,#463536,#463537); #375901=AXIS2_PLACEMENT_3D('',#586570,#463540,#463541); #375902=AXIS2_PLACEMENT_3D('',#586571,#463542,#463543); #375903=AXIS2_PLACEMENT_3D('',#586572,#463544,#463545); #375904=AXIS2_PLACEMENT_3D('',#586581,#463550,#463551); #375905=AXIS2_PLACEMENT_3D('',#586587,#463555,#463556); #375906=AXIS2_PLACEMENT_3D('',#586589,#463557,#463558); #375907=AXIS2_PLACEMENT_3D('',#586592,#463560,#463561); #375908=AXIS2_PLACEMENT_3D('',#586593,#463562,#463563); #375909=AXIS2_PLACEMENT_3D('',#586599,#463567,#463568); #375910=AXIS2_PLACEMENT_3D('',#586601,#463569,#463570); #375911=AXIS2_PLACEMENT_3D('',#586604,#463572,#463573); #375912=AXIS2_PLACEMENT_3D('',#586605,#463574,#463575); #375913=AXIS2_PLACEMENT_3D('',#586608,#463578,#463579); #375914=AXIS2_PLACEMENT_3D('',#586609,#463580,#463581); #375915=AXIS2_PLACEMENT_3D('',#586610,#463582,#463583); #375916=AXIS2_PLACEMENT_3D('',#586619,#463588,#463589); #375917=AXIS2_PLACEMENT_3D('',#586625,#463593,#463594); #375918=AXIS2_PLACEMENT_3D('',#586627,#463595,#463596); #375919=AXIS2_PLACEMENT_3D('',#586630,#463598,#463599); #375920=AXIS2_PLACEMENT_3D('',#586631,#463600,#463601); #375921=AXIS2_PLACEMENT_3D('',#586637,#463605,#463606); #375922=AXIS2_PLACEMENT_3D('',#586639,#463607,#463608); #375923=AXIS2_PLACEMENT_3D('',#586642,#463610,#463611); #375924=AXIS2_PLACEMENT_3D('',#586643,#463612,#463613); #375925=AXIS2_PLACEMENT_3D('',#586646,#463616,#463617); #375926=AXIS2_PLACEMENT_3D('',#586647,#463618,#463619); #375927=AXIS2_PLACEMENT_3D('',#586648,#463620,#463621); #375928=AXIS2_PLACEMENT_3D('',#586657,#463626,#463627); #375929=AXIS2_PLACEMENT_3D('',#586663,#463631,#463632); #375930=AXIS2_PLACEMENT_3D('',#586665,#463633,#463634); #375931=AXIS2_PLACEMENT_3D('',#586668,#463636,#463637); #375932=AXIS2_PLACEMENT_3D('',#586669,#463638,#463639); #375933=AXIS2_PLACEMENT_3D('',#586675,#463643,#463644); #375934=AXIS2_PLACEMENT_3D('',#586677,#463645,#463646); #375935=AXIS2_PLACEMENT_3D('',#586680,#463648,#463649); #375936=AXIS2_PLACEMENT_3D('',#586681,#463650,#463651); #375937=AXIS2_PLACEMENT_3D('',#586684,#463654,#463655); #375938=AXIS2_PLACEMENT_3D('',#586685,#463656,#463657); #375939=AXIS2_PLACEMENT_3D('',#586686,#463658,#463659); #375940=AXIS2_PLACEMENT_3D('',#586695,#463664,#463665); #375941=AXIS2_PLACEMENT_3D('',#586701,#463669,#463670); #375942=AXIS2_PLACEMENT_3D('',#586707,#463674,#463675); #375943=AXIS2_PLACEMENT_3D('',#586710,#463678,#463679); #375944=AXIS2_PLACEMENT_3D('',#586711,#463680,#463681); #375945=AXIS2_PLACEMENT_3D('',#586712,#463682,#463683); #375946=AXIS2_PLACEMENT_3D('',#586721,#463688,#463689); #375947=AXIS2_PLACEMENT_3D('',#586727,#463693,#463694); #375948=AXIS2_PLACEMENT_3D('',#586733,#463698,#463699); #375949=AXIS2_PLACEMENT_3D('',#586736,#463702,#463703); #375950=AXIS2_PLACEMENT_3D('',#586737,#463704,#463705); #375951=AXIS2_PLACEMENT_3D('',#586738,#463706,#463707); #375952=AXIS2_PLACEMENT_3D('',#586747,#463712,#463713); #375953=AXIS2_PLACEMENT_3D('',#586753,#463717,#463718); #375954=AXIS2_PLACEMENT_3D('',#586759,#463722,#463723); #375955=AXIS2_PLACEMENT_3D('',#586762,#463726,#463727); #375956=AXIS2_PLACEMENT_3D('',#586763,#463728,#463729); #375957=AXIS2_PLACEMENT_3D('',#586764,#463730,#463731); #375958=AXIS2_PLACEMENT_3D('',#586773,#463736,#463737); #375959=AXIS2_PLACEMENT_3D('',#586779,#463741,#463742); #375960=AXIS2_PLACEMENT_3D('',#586785,#463746,#463747); #375961=AXIS2_PLACEMENT_3D('',#586788,#463750,#463751); #375962=AXIS2_PLACEMENT_3D('',#586789,#463752,#463753); #375963=AXIS2_PLACEMENT_3D('',#586790,#463754,#463755); #375964=AXIS2_PLACEMENT_3D('',#586799,#463760,#463761); #375965=AXIS2_PLACEMENT_3D('',#586805,#463765,#463766); #375966=AXIS2_PLACEMENT_3D('',#586811,#463770,#463771); #375967=AXIS2_PLACEMENT_3D('',#586814,#463774,#463775); #375968=AXIS2_PLACEMENT_3D('',#586815,#463776,#463777); #375969=AXIS2_PLACEMENT_3D('',#586816,#463778,#463779); #375970=AXIS2_PLACEMENT_3D('',#586825,#463784,#463785); #375971=AXIS2_PLACEMENT_3D('',#586831,#463789,#463790); #375972=AXIS2_PLACEMENT_3D('',#586837,#463794,#463795); #375973=AXIS2_PLACEMENT_3D('',#586840,#463798,#463799); #375974=AXIS2_PLACEMENT_3D('',#586841,#463800,#463801); #375975=AXIS2_PLACEMENT_3D('',#586842,#463802,#463803); #375976=AXIS2_PLACEMENT_3D('',#586851,#463808,#463809); #375977=AXIS2_PLACEMENT_3D('',#586857,#463813,#463814); #375978=AXIS2_PLACEMENT_3D('',#586863,#463818,#463819); #375979=AXIS2_PLACEMENT_3D('',#586866,#463822,#463823); #375980=AXIS2_PLACEMENT_3D('',#586867,#463824,#463825); #375981=AXIS2_PLACEMENT_3D('',#586868,#463826,#463827); #375982=AXIS2_PLACEMENT_3D('',#586877,#463832,#463833); #375983=AXIS2_PLACEMENT_3D('',#586883,#463837,#463838); #375984=AXIS2_PLACEMENT_3D('',#586889,#463842,#463843); #375985=AXIS2_PLACEMENT_3D('',#586892,#463846,#463847); #375986=AXIS2_PLACEMENT_3D('',#586893,#463848,#463849); #375987=AXIS2_PLACEMENT_3D('',#586894,#463850,#463851); #375988=AXIS2_PLACEMENT_3D('',#586895,#463852,#463853); #375989=AXIS2_PLACEMENT_3D('',#586896,#463854,#463855); #375990=AXIS2_PLACEMENT_3D('',#586897,#463856,#463857); #375991=AXIS2_PLACEMENT_3D('',#586906,#463862,#463863); #375992=AXIS2_PLACEMENT_3D('',#586912,#463867,#463868); #375993=AXIS2_PLACEMENT_3D('',#586918,#463872,#463873); #375994=AXIS2_PLACEMENT_3D('',#586921,#463876,#463877); #375995=AXIS2_PLACEMENT_3D('',#586927,#463881,#463882); #375996=AXIS2_PLACEMENT_3D('',#586931,#463885,#463886); #375997=AXIS2_PLACEMENT_3D('',#586932,#463887,#463888); #375998=AXIS2_PLACEMENT_3D('',#586936,#463891,#463892); #375999=AXIS2_PLACEMENT_3D('',#586938,#463894,#463895); #376000=AXIS2_PLACEMENT_3D('',#586939,#463896,#463897); #376001=AXIS2_PLACEMENT_3D('',#586948,#463902,#463903); #376002=AXIS2_PLACEMENT_3D('',#586954,#463907,#463908); #376003=AXIS2_PLACEMENT_3D('',#586960,#463912,#463913); #376004=AXIS2_PLACEMENT_3D('',#586963,#463916,#463917); #376005=AXIS2_PLACEMENT_3D('',#586964,#463918,#463919); #376006=AXIS2_PLACEMENT_3D('',#586965,#463920,#463921); #376007=AXIS2_PLACEMENT_3D('',#586974,#463926,#463927); #376008=AXIS2_PLACEMENT_3D('',#586980,#463931,#463932); #376009=AXIS2_PLACEMENT_3D('',#586986,#463936,#463937); #376010=AXIS2_PLACEMENT_3D('',#586989,#463940,#463941); #376011=AXIS2_PLACEMENT_3D('',#586990,#463942,#463943); #376012=AXIS2_PLACEMENT_3D('',#586991,#463944,#463945); #376013=AXIS2_PLACEMENT_3D('',#587000,#463950,#463951); #376014=AXIS2_PLACEMENT_3D('',#587006,#463955,#463956); #376015=AXIS2_PLACEMENT_3D('',#587012,#463960,#463961); #376016=AXIS2_PLACEMENT_3D('',#587015,#463964,#463965); #376017=AXIS2_PLACEMENT_3D('',#587016,#463966,#463967); #376018=AXIS2_PLACEMENT_3D('',#587017,#463968,#463969); #376019=AXIS2_PLACEMENT_3D('',#587026,#463974,#463975); #376020=AXIS2_PLACEMENT_3D('',#587032,#463979,#463980); #376021=AXIS2_PLACEMENT_3D('',#587038,#463984,#463985); #376022=AXIS2_PLACEMENT_3D('',#587041,#463988,#463989); #376023=AXIS2_PLACEMENT_3D('',#587042,#463990,#463991); #376024=AXIS2_PLACEMENT_3D('',#587043,#463992,#463993); #376025=AXIS2_PLACEMENT_3D('',#587044,#463994,#463995); #376026=AXIS2_PLACEMENT_3D('',#587045,#463996,#463997); #376027=AXIS2_PLACEMENT_3D('',#587046,#463998,#463999); #376028=AXIS2_PLACEMENT_3D('',#587048,#464000,#464001); #376029=AXIS2_PLACEMENT_3D('',#587051,#464003,#464004); #376030=AXIS2_PLACEMENT_3D('',#587052,#464005,#464006); #376031=AXIS2_PLACEMENT_3D('',#587054,#464007,#464008); #376032=AXIS2_PLACEMENT_3D('',#587057,#464010,#464011); #376033=AXIS2_PLACEMENT_3D('',#587058,#464012,#464013); #376034=AXIS2_PLACEMENT_3D('',#587059,#464014,#464015); #376035=AXIS2_PLACEMENT_3D('',#587060,#464016,#464017); #376036=AXIS2_PLACEMENT_3D('',#587113,#464019,#464020); #376037=AXIS2_PLACEMENT_3D('',#587167,#464022,#464023); #376038=AXIS2_PLACEMENT_3D('',#587180,#464025,#464026); #376039=AXIS2_PLACEMENT_3D('',#587194,#464027,#464028); #376040=AXIS2_PLACEMENT_3D('',#587216,#464030,#464031); #376041=AXIS2_PLACEMENT_3D('',#587239,#464032,#464033); #376042=AXIS2_PLACEMENT_3D('',#587290,#464035,#464036); #376043=AXIS2_PLACEMENT_3D('',#588089,#464038,#464039); #376044=AXIS2_PLACEMENT_3D('',#588090,#464040,#464041); #376045=AXIS2_PLACEMENT_3D('',#588091,#464042,#464043); #376046=AXIS2_PLACEMENT_3D('',#588104,#464050,#464051); #376047=AXIS2_PLACEMENT_3D('',#588107,#464054,#464055); #376048=AXIS2_PLACEMENT_3D('',#588109,#464057,#464058); #376049=AXIS2_PLACEMENT_3D('',#588111,#464060,#464061); #376050=AXIS2_PLACEMENT_3D('',#588113,#464063,#464064); #376051=AXIS2_PLACEMENT_3D('',#588115,#464066,#464067); #376052=AXIS2_PLACEMENT_3D('',#588116,#464068,#464069); #376053=AXIS2_PLACEMENT_3D('',#588132,#464071,#464072); #376054=AXIS2_PLACEMENT_3D('',#588140,#464073,#464074); #376055=AXIS2_PLACEMENT_3D('',#588188,#464076,#464077); #376056=AXIS2_PLACEMENT_3D('',#588236,#464079,#464080); #376057=AXIS2_PLACEMENT_3D('',#588284,#464082,#464083); #376058=AXIS2_PLACEMENT_3D('',#588338,#464085,#464086); #376059=AXIS2_PLACEMENT_3D('',#588386,#464088,#464089); #376060=AXIS2_PLACEMENT_3D('',#588434,#464091,#464092); #376061=AXIS2_PLACEMENT_3D('',#588443,#464094,#464095); #376062=AXIS2_PLACEMENT_3D('',#588444,#464096,#464097); #376063=AXIS2_PLACEMENT_3D('',#588459,#464099,#464100); #376064=AXIS2_PLACEMENT_3D('',#588469,#464101,#464102); #376065=AXIS2_PLACEMENT_3D('',#588493,#464104,#464105); #376066=AXIS2_PLACEMENT_3D('',#588518,#464107,#464108); #376067=AXIS2_PLACEMENT_3D('',#588543,#464110,#464111); #376068=AXIS2_PLACEMENT_3D('',#588567,#464113,#464114); #376069=AXIS2_PLACEMENT_3D('',#588591,#464116,#464117); #376070=AXIS2_PLACEMENT_3D('',#588600,#464122,#464123); #376071=AXIS2_PLACEMENT_3D('',#588609,#464128,#464129); #376072=AXIS2_PLACEMENT_3D('',#588618,#464134,#464135); #376073=AXIS2_PLACEMENT_3D('',#588627,#464140,#464141); #376074=AXIS2_PLACEMENT_3D('',#588636,#464146,#464147); #376075=AXIS2_PLACEMENT_3D('',#588645,#464152,#464153); #376076=AXIS2_PLACEMENT_3D('',#588695,#464161,#464162); #376077=AXIS2_PLACEMENT_3D('',#588701,#464166,#464167); #376078=AXIS2_PLACEMENT_3D('',#588704,#464170,#464171); #376079=AXIS2_PLACEMENT_3D('',#588708,#464173,#464174); #376080=AXIS2_PLACEMENT_3D('',#588710,#464175,#464176); #376081=AXIS2_PLACEMENT_3D('',#588722,#464182,#464183); #376082=AXIS2_PLACEMENT_3D('',#588724,#464185,#464186); #376083=AXIS2_PLACEMENT_3D('',#588771,#464193,#464194); #376084=AXIS2_PLACEMENT_3D('',#588774,#464197,#464198); #376085=AXIS2_PLACEMENT_3D('',#588776,#464200,#464201); #376086=AXIS2_PLACEMENT_3D('',#588787,#464205,#464206); #376087=AXIS2_PLACEMENT_3D('',#588795,#464209,#464210); #376088=AXIS2_PLACEMENT_3D('',#588806,#464214,#464215); #376089=AXIS2_PLACEMENT_3D('',#588814,#464218,#464219); #376090=AXIS2_PLACEMENT_3D('',#588825,#464223,#464224); #376091=AXIS2_PLACEMENT_3D('',#588833,#464227,#464228); #376092=AXIS2_PLACEMENT_3D('',#588839,#464230,#464231); #376093=AXIS2_PLACEMENT_3D('',#588845,#464233,#464234); #376094=AXIS2_PLACEMENT_3D('',#588856,#464238,#464239); #376095=AXIS2_PLACEMENT_3D('',#588864,#464242,#464243); #376096=AXIS2_PLACEMENT_3D('',#588875,#464247,#464248); #376097=AXIS2_PLACEMENT_3D('',#588883,#464251,#464252); #376098=AXIS2_PLACEMENT_3D('',#588894,#464256,#464257); #376099=AXIS2_PLACEMENT_3D('',#588902,#464260,#464261); #376100=AXIS2_PLACEMENT_3D('',#588913,#464265,#464266); #376101=AXIS2_PLACEMENT_3D('',#588921,#464269,#464270); #376102=AXIS2_PLACEMENT_3D('',#588922,#464271,#464272); #376103=AXIS2_PLACEMENT_3D('',#588923,#464273,#464274); #376104=AXIS2_PLACEMENT_3D('',#588924,#464275,#464276); #376105=AXIS2_PLACEMENT_3D('',#588925,#464277,#464278); #376106=AXIS2_PLACEMENT_3D('',#588926,#464279,#464280); #376107=AXIS2_PLACEMENT_3D('',#588927,#464281,#464282); #376108=AXIS2_PLACEMENT_3D('',#588928,#464283,#464284); #376109=AXIS2_PLACEMENT_3D('',#588929,#464285,#464286); #376110=AXIS2_PLACEMENT_3D('',#588930,#464287,#464288); #376111=AXIS2_PLACEMENT_3D('',#588931,#464289,#464290); #376112=AXIS2_PLACEMENT_3D('',#588932,#464291,#464292); #376113=AXIS2_PLACEMENT_3D('',#588933,#464293,#464294); #376114=AXIS2_PLACEMENT_3D('',#588934,#464295,#464296); #376115=AXIS2_PLACEMENT_3D('',#588935,#464297,#464298); #376116=AXIS2_PLACEMENT_3D('',#588936,#464299,#464300); #376117=AXIS2_PLACEMENT_3D('',#588937,#464301,#464302); #376118=AXIS2_PLACEMENT_3D('',#588938,#464303,#464304); #376119=AXIS2_PLACEMENT_3D('',#588939,#464305,#464306); #376120=AXIS2_PLACEMENT_3D('',#588940,#464307,#464308); #376121=AXIS2_PLACEMENT_3D('',#588941,#464309,#464310); #376122=AXIS2_PLACEMENT_3D('',#588942,#464311,#464312); #376123=AXIS2_PLACEMENT_3D('',#588943,#464313,#464314); #376124=AXIS2_PLACEMENT_3D('',#588944,#464315,#464316); #376125=AXIS2_PLACEMENT_3D('',#588945,#464317,#464318); #376126=AXIS2_PLACEMENT_3D('',#588946,#464319,#464320); #376127=AXIS2_PLACEMENT_3D('',#588947,#464321,#464322); #376128=AXIS2_PLACEMENT_3D('',#588948,#464323,#464324); #376129=AXIS2_PLACEMENT_3D('',#588949,#464325,#464326); #376130=AXIS2_PLACEMENT_3D('',#588950,#464327,#464328); #376131=AXIS2_PLACEMENT_3D('',#588951,#464329,#464330); #376132=AXIS2_PLACEMENT_3D('',#588952,#464331,#464332); #376133=AXIS2_PLACEMENT_3D('',#588953,#464333,#464334); #376134=AXIS2_PLACEMENT_3D('',#588954,#464335,#464336); #376135=AXIS2_PLACEMENT_3D('',#588955,#464337,#464338); #376136=AXIS2_PLACEMENT_3D('',#588956,#464339,#464340); #376137=AXIS2_PLACEMENT_3D('',#588957,#464341,#464342); #376138=AXIS2_PLACEMENT_3D('',#588958,#464343,#464344); #376139=AXIS2_PLACEMENT_3D('',#588969,#464348,#464349); #376140=AXIS2_PLACEMENT_3D('',#588977,#464352,#464353); #376141=AXIS2_PLACEMENT_3D('',#588988,#464357,#464358); #376142=AXIS2_PLACEMENT_3D('',#588996,#464361,#464362); #376143=AXIS2_PLACEMENT_3D('',#589007,#464366,#464367); #376144=AXIS2_PLACEMENT_3D('',#589015,#464370,#464371); #376145=AXIS2_PLACEMENT_3D('',#589026,#464375,#464376); #376146=AXIS2_PLACEMENT_3D('',#589034,#464379,#464380); #376147=AXIS2_PLACEMENT_3D('',#589039,#464381,#464382); #376148=AXIS2_PLACEMENT_3D('',#589040,#464383,#464384); #376149=AXIS2_PLACEMENT_3D('',#589041,#464385,#464386); #376150=AXIS2_PLACEMENT_3D('',#589042,#464387,#464388); #376151=AXIS2_PLACEMENT_3D('',#589043,#464389,#464390); #376152=AXIS2_PLACEMENT_3D('',#589044,#464391,#464392); #376153=AXIS2_PLACEMENT_3D('',#589045,#464393,#464394); #376154=AXIS2_PLACEMENT_3D('',#589046,#464395,#464396); #376155=AXIS2_PLACEMENT_3D('',#589047,#464397,#464398); #376156=AXIS2_PLACEMENT_3D('',#589053,#464399,#464400); #376157=AXIS2_PLACEMENT_3D('',#589054,#464401,#464402); #376158=AXIS2_PLACEMENT_3D('',#589055,#464403,#464404); #376159=AXIS2_PLACEMENT_3D('',#589061,#464405,#464406); #376160=AXIS2_PLACEMENT_3D('',#589066,#464407,#464408); #376161=AXIS2_PLACEMENT_3D('',#589067,#464409,#464410); #376162=AXIS2_PLACEMENT_3D('',#589073,#464411,#464412); #376163=AXIS2_PLACEMENT_3D('',#589078,#464413,#464414); #376164=AXIS2_PLACEMENT_3D('',#589079,#464415,#464416); #376165=AXIS2_PLACEMENT_3D('',#589080,#464417,#464418); #376166=AXIS2_PLACEMENT_3D('',#589081,#464419,#464420); #376167=AXIS2_PLACEMENT_3D('',#589082,#464421,#464422); #376168=AXIS2_PLACEMENT_3D('',#589083,#464423,#464424); #376169=AXIS2_PLACEMENT_3D('',#589084,#464425,#464426); #376170=AXIS2_PLACEMENT_3D('',#589093,#464427,#464428); #376171=AXIS2_PLACEMENT_3D('',#589094,#464429,#464430); #376172=AXIS2_PLACEMENT_3D('',#589096,#464432,#464433); #376173=AXIS2_PLACEMENT_3D('',#589098,#464435,#464436); #376174=AXIS2_PLACEMENT_3D('',#589104,#464440,#464441); #376175=AXIS2_PLACEMENT_3D('',#589108,#464444,#464445); #376176=AXIS2_PLACEMENT_3D('',#589114,#464449,#464450); #376177=AXIS2_PLACEMENT_3D('',#589118,#464453,#464454); #376178=AXIS2_PLACEMENT_3D('',#589124,#464458,#464459); #376179=AXIS2_PLACEMENT_3D('',#589128,#464462,#464463); #376180=AXIS2_PLACEMENT_3D('',#589129,#464464,#464465); #376181=AXIS2_PLACEMENT_3D('',#589130,#464466,#464467); #376182=AXIS2_PLACEMENT_3D('',#589131,#464468,#464469); #376183=AXIS2_PLACEMENT_3D('',#589132,#464470,#464471); #376184=AXIS2_PLACEMENT_3D('',#589133,#464472,#464473); #376185=AXIS2_PLACEMENT_3D('',#589134,#464474,#464475); #376186=AXIS2_PLACEMENT_3D('',#589135,#464476,#464477); #376187=AXIS2_PLACEMENT_3D('',#589136,#464478,#464479); #376188=AXIS2_PLACEMENT_3D('',#589137,#464480,#464481); #376189=AXIS2_PLACEMENT_3D('',#589138,#464482,#464483); #376190=AXIS2_PLACEMENT_3D('',#589139,#464484,#464485); #376191=AXIS2_PLACEMENT_3D('',#589140,#464486,#464487); #376192=AXIS2_PLACEMENT_3D('',#589141,#464488,#464489); #376193=AXIS2_PLACEMENT_3D('',#589142,#464490,#464491); #376194=AXIS2_PLACEMENT_3D('',#589143,#464492,#464493); #376195=AXIS2_PLACEMENT_3D('',#589144,#464494,#464495); #376196=AXIS2_PLACEMENT_3D('',#589146,#464496,#464497); #376197=AXIS2_PLACEMENT_3D('',#589148,#464499,#464500); #376198=AXIS2_PLACEMENT_3D('',#589157,#464505,#464506); #376199=AXIS2_PLACEMENT_3D('',#589166,#464511,#464512); #376200=AXIS2_PLACEMENT_3D('',#589168,#464513,#464514); #376201=AXIS2_PLACEMENT_3D('',#589171,#464516,#464517); #376202=AXIS2_PLACEMENT_3D('',#589172,#464518,#464519); #376203=AXIS2_PLACEMENT_3D('',#589174,#464520,#464521); #376204=AXIS2_PLACEMENT_3D('',#589176,#464522,#464523); #376205=AXIS2_PLACEMENT_3D('',#589178,#464525,#464526); #376206=AXIS2_PLACEMENT_3D('',#589182,#464528,#464529); #376207=AXIS2_PLACEMENT_3D('',#589188,#464532,#464533); #376208=AXIS2_PLACEMENT_3D('',#589192,#464535,#464536); #376209=AXIS2_PLACEMENT_3D('',#589198,#464539,#464540); #376210=AXIS2_PLACEMENT_3D('',#589200,#464542,#464543); #376211=AXIS2_PLACEMENT_3D('',#589205,#464547,#464548); #376212=AXIS2_PLACEMENT_3D('',#589211,#464552,#464553); #376213=AXIS2_PLACEMENT_3D('',#589217,#464557,#464558); #376214=AXIS2_PLACEMENT_3D('',#589221,#464561,#464562); #376215=AXIS2_PLACEMENT_3D('',#589223,#464563,#464564); #376216=AXIS2_PLACEMENT_3D('',#589225,#464566,#464567); #376217=AXIS2_PLACEMENT_3D('',#589229,#464570,#464571); #376218=AXIS2_PLACEMENT_3D('',#589230,#464572,#464573); #376219=AXIS2_PLACEMENT_3D('',#589231,#464574,#464575); #376220=AXIS2_PLACEMENT_3D('',#589233,#464576,#464577); #376221=AXIS2_PLACEMENT_3D('',#589235,#464579,#464580); #376222=AXIS2_PLACEMENT_3D('',#589239,#464583,#464584); #376223=AXIS2_PLACEMENT_3D('',#589241,#464586,#464587); #376224=AXIS2_PLACEMENT_3D('',#589242,#464588,#464589); #376225=AXIS2_PLACEMENT_3D('',#589243,#464590,#464591); #376226=AXIS2_PLACEMENT_3D('',#589245,#464593,#464594); #376227=AXIS2_PLACEMENT_3D('',#589252,#464597,#464598); #376228=AXIS2_PLACEMENT_3D('',#589258,#464601,#464602); #376229=AXIS2_PLACEMENT_3D('',#589262,#464604,#464605); #376230=AXIS2_PLACEMENT_3D('',#589268,#464608,#464609); #376231=AXIS2_PLACEMENT_3D('',#589270,#464611,#464612); #376232=AXIS2_PLACEMENT_3D('',#589276,#464616,#464617); #376233=AXIS2_PLACEMENT_3D('',#589282,#464620,#464621); #376234=AXIS2_PLACEMENT_3D('',#589288,#464624,#464625); #376235=AXIS2_PLACEMENT_3D('',#589292,#464627,#464628); #376236=AXIS2_PLACEMENT_3D('',#589297,#464631,#464632); #376237=AXIS2_PLACEMENT_3D('',#589298,#464633,#464634); #376238=AXIS2_PLACEMENT_3D('',#589301,#464637,#464638); #376239=AXIS2_PLACEMENT_3D('',#589303,#464640,#464641); #376240=AXIS2_PLACEMENT_3D('',#589305,#464643,#464644); #376241=AXIS2_PLACEMENT_3D('',#589308,#464647,#464648); #376242=AXIS2_PLACEMENT_3D('',#589310,#464650,#464651); #376243=AXIS2_PLACEMENT_3D('',#589312,#464653,#464654); #376244=AXIS2_PLACEMENT_3D('',#589314,#464656,#464657); #376245=AXIS2_PLACEMENT_3D('',#589315,#464658,#464659); #376246=AXIS2_PLACEMENT_3D('',#589316,#464660,#464661); #376247=AXIS2_PLACEMENT_3D('',#589318,#464663,#464664); #376248=AXIS2_PLACEMENT_3D('',#589327,#464669,#464670); #376249=AXIS2_PLACEMENT_3D('',#589329,#464671,#464672); #376250=AXIS2_PLACEMENT_3D('',#589331,#464673,#464674); #376251=AXIS2_PLACEMENT_3D('',#589333,#464676,#464677); #376252=AXIS2_PLACEMENT_3D('',#589338,#464679,#464680); #376253=AXIS2_PLACEMENT_3D('',#589341,#464682,#464683); #376254=AXIS2_PLACEMENT_3D('',#589342,#464684,#464685); #376255=AXIS2_PLACEMENT_3D('',#589348,#464689,#464690); #376256=AXIS2_PLACEMENT_3D('',#589352,#464692,#464693); #376257=AXIS2_PLACEMENT_3D('',#589358,#464696,#464697); #376258=AXIS2_PLACEMENT_3D('',#589362,#464699,#464700); #376259=AXIS2_PLACEMENT_3D('',#589368,#464703,#464704); #376260=AXIS2_PLACEMENT_3D('',#589370,#464706,#464707); #376261=AXIS2_PLACEMENT_3D('',#589375,#464711,#464712); #376262=AXIS2_PLACEMENT_3D('',#589378,#464713,#464714); #376263=AXIS2_PLACEMENT_3D('',#589381,#464717,#464718); #376264=AXIS2_PLACEMENT_3D('',#589385,#464720,#464721); #376265=AXIS2_PLACEMENT_3D('',#589392,#464725,#464726); #376266=AXIS2_PLACEMENT_3D('',#589397,#464729,#464730); #376267=AXIS2_PLACEMENT_3D('',#589398,#464731,#464732); #376268=AXIS2_PLACEMENT_3D('',#589400,#464734,#464735); #376269=AXIS2_PLACEMENT_3D('',#589402,#464737,#464738); #376270=AXIS2_PLACEMENT_3D('',#589404,#464740,#464741); #376271=AXIS2_PLACEMENT_3D('',#589406,#464743,#464744); #376272=AXIS2_PLACEMENT_3D('',#589409,#464747,#464748); #376273=AXIS2_PLACEMENT_3D('',#589411,#464750,#464751); #376274=AXIS2_PLACEMENT_3D('',#589413,#464753,#464754); #376275=AXIS2_PLACEMENT_3D('',#589414,#464755,#464756); #376276=AXIS2_PLACEMENT_3D('',#589415,#464757,#464758); #376277=AXIS2_PLACEMENT_3D('',#589424,#464763,#464764); #376278=AXIS2_PLACEMENT_3D('',#589433,#464769,#464770); #376279=AXIS2_PLACEMENT_3D('',#589435,#464771,#464772); #376280=AXIS2_PLACEMENT_3D('',#589438,#464774,#464775); #376281=AXIS2_PLACEMENT_3D('',#589439,#464776,#464777); #376282=AXIS2_PLACEMENT_3D('',#589441,#464778,#464779); #376283=AXIS2_PLACEMENT_3D('',#589443,#464780,#464781); #376284=AXIS2_PLACEMENT_3D('',#589445,#464783,#464784); #376285=AXIS2_PLACEMENT_3D('',#589449,#464786,#464787); #376286=AXIS2_PLACEMENT_3D('',#589455,#464790,#464791); #376287=AXIS2_PLACEMENT_3D('',#589459,#464793,#464794); #376288=AXIS2_PLACEMENT_3D('',#589465,#464797,#464798); #376289=AXIS2_PLACEMENT_3D('',#589467,#464800,#464801); #376290=AXIS2_PLACEMENT_3D('',#589472,#464805,#464806); #376291=AXIS2_PLACEMENT_3D('',#589478,#464810,#464811); #376292=AXIS2_PLACEMENT_3D('',#589484,#464815,#464816); #376293=AXIS2_PLACEMENT_3D('',#589488,#464819,#464820); #376294=AXIS2_PLACEMENT_3D('',#589490,#464821,#464822); #376295=AXIS2_PLACEMENT_3D('',#589492,#464824,#464825); #376296=AXIS2_PLACEMENT_3D('',#589496,#464828,#464829); #376297=AXIS2_PLACEMENT_3D('',#589497,#464830,#464831); #376298=AXIS2_PLACEMENT_3D('',#589498,#464832,#464833); #376299=AXIS2_PLACEMENT_3D('',#589500,#464834,#464835); #376300=AXIS2_PLACEMENT_3D('',#589502,#464837,#464838); #376301=AXIS2_PLACEMENT_3D('',#589506,#464841,#464842); #376302=AXIS2_PLACEMENT_3D('',#589508,#464844,#464845); #376303=AXIS2_PLACEMENT_3D('',#589509,#464846,#464847); #376304=AXIS2_PLACEMENT_3D('',#589510,#464848,#464849); #376305=AXIS2_PLACEMENT_3D('',#589512,#464851,#464852); #376306=AXIS2_PLACEMENT_3D('',#589519,#464855,#464856); #376307=AXIS2_PLACEMENT_3D('',#589525,#464859,#464860); #376308=AXIS2_PLACEMENT_3D('',#589529,#464862,#464863); #376309=AXIS2_PLACEMENT_3D('',#589535,#464866,#464867); #376310=AXIS2_PLACEMENT_3D('',#589537,#464869,#464870); #376311=AXIS2_PLACEMENT_3D('',#589543,#464874,#464875); #376312=AXIS2_PLACEMENT_3D('',#589549,#464878,#464879); #376313=AXIS2_PLACEMENT_3D('',#589555,#464882,#464883); #376314=AXIS2_PLACEMENT_3D('',#589559,#464885,#464886); #376315=AXIS2_PLACEMENT_3D('',#589564,#464889,#464890); #376316=AXIS2_PLACEMENT_3D('',#589565,#464891,#464892); #376317=AXIS2_PLACEMENT_3D('',#589568,#464895,#464896); #376318=AXIS2_PLACEMENT_3D('',#589571,#464899,#464900); #376319=AXIS2_PLACEMENT_3D('',#589574,#464903,#464904); #376320=AXIS2_PLACEMENT_3D('',#589576,#464906,#464907); #376321=AXIS2_PLACEMENT_3D('',#589577,#464908,#464909); #376322=AXIS2_PLACEMENT_3D('',#589579,#464911,#464912); #376323=AXIS2_PLACEMENT_3D('',#589580,#464913,#464914); #376324=AXIS2_PLACEMENT_3D('',#589582,#464916,#464917); #376325=AXIS2_PLACEMENT_3D('',#589584,#464919,#464920); #376326=AXIS2_PLACEMENT_3D('',#589585,#464921,#464922); #376327=AXIS2_PLACEMENT_3D('',#589600,#464924,#464925); #376328=AXIS2_PLACEMENT_3D('',#589604,#464927,#464928); #376329=AXIS2_PLACEMENT_3D('',#589608,#464931,#464932); #376330=AXIS2_PLACEMENT_3D('',#589623,#464941,#464942); #376331=AXIS2_PLACEMENT_3D('',#589631,#464946,#464947); #376332=AXIS2_PLACEMENT_3D('',#589645,#464949,#464950); #376333=AXIS2_PLACEMENT_3D('',#589646,#464951,#464952); #376334=AXIS2_PLACEMENT_3D('',#589651,#464954,#464955); #376335=AXIS2_PLACEMENT_3D('',#589655,#464957,#464958); #376336=AXIS2_PLACEMENT_3D('',#589658,#464961,#464962); #376337=AXIS2_PLACEMENT_3D('',#589660,#464963,#464964); #376338=AXIS2_PLACEMENT_3D('',#589664,#464966,#464967); #376339=AXIS2_PLACEMENT_3D('',#589668,#464969,#464970); #376340=AXIS2_PLACEMENT_3D('',#589671,#464972,#464973); #376341=AXIS2_PLACEMENT_3D('',#590086,#464983,#464984); #376342=AXIS2_PLACEMENT_3D('',#590088,#464985,#464986); #376343=AXIS2_PLACEMENT_3D('',#590481,#464987,#464988); #376344=AXIS2_PLACEMENT_3D('',#590482,#464989,#464990); #376345=AXIS2_PLACEMENT_3D('',#590483,#464991,#464992); #376346=AXIS2_PLACEMENT_3D('',#590496,#464999,#465000); #376347=AXIS2_PLACEMENT_3D('',#590502,#465004,#465005); #376348=AXIS2_PLACEMENT_3D('',#590508,#465009,#465010); #376349=AXIS2_PLACEMENT_3D('',#590512,#465013,#465014); #376350=AXIS2_PLACEMENT_3D('',#590517,#465018,#465019); #376351=AXIS2_PLACEMENT_3D('',#590518,#465020,#465021); #376352=AXIS2_PLACEMENT_3D('',#590536,#465031,#465032); #376353=AXIS2_PLACEMENT_3D('',#590537,#465033,#465034); #376354=AXIS2_PLACEMENT_3D('',#590546,#465039,#465040); #376355=AXIS2_PLACEMENT_3D('',#590552,#465044,#465045); #376356=AXIS2_PLACEMENT_3D('',#590561,#465050,#465051); #376357=AXIS2_PLACEMENT_3D('',#590570,#465056,#465057); #376358=AXIS2_PLACEMENT_3D('',#590576,#465061,#465062); #376359=AXIS2_PLACEMENT_3D('',#590585,#465067,#465068); #376360=AXIS2_PLACEMENT_3D('',#590587,#465069,#465070); #376361=AXIS2_PLACEMENT_3D('',#590590,#465072,#465073); #376362=AXIS2_PLACEMENT_3D('',#590591,#465074,#465075); #376363=AXIS2_PLACEMENT_3D('',#590597,#465079,#465080); #376364=AXIS2_PLACEMENT_3D('',#590599,#465081,#465082); #376365=AXIS2_PLACEMENT_3D('',#590605,#465085,#465086); #376366=AXIS2_PLACEMENT_3D('',#590609,#465089,#465090); #376367=AXIS2_PLACEMENT_3D('',#590615,#465094,#465095); #376368=AXIS2_PLACEMENT_3D('',#590619,#465098,#465099); #376369=AXIS2_PLACEMENT_3D('',#590623,#465102,#465103); #376370=AXIS2_PLACEMENT_3D('',#590624,#465104,#465105); #376371=AXIS2_PLACEMENT_3D('',#590625,#465106,#465107); #376372=AXIS2_PLACEMENT_3D('',#590634,#465112,#465113); #376373=AXIS2_PLACEMENT_3D('',#590636,#465114,#465115); #376374=AXIS2_PLACEMENT_3D('',#590639,#465117,#465118); #376375=AXIS2_PLACEMENT_3D('',#590640,#465119,#465120); #376376=AXIS2_PLACEMENT_3D('',#590646,#465124,#465125); #376377=AXIS2_PLACEMENT_3D('',#590655,#465130,#465131); #376378=AXIS2_PLACEMENT_3D('',#590658,#465134,#465135); #376379=AXIS2_PLACEMENT_3D('',#590667,#465140,#465141); #376380=AXIS2_PLACEMENT_3D('',#590668,#465142,#465143); #376381=AXIS2_PLACEMENT_3D('',#590669,#465144,#465145); #376382=AXIS2_PLACEMENT_3D('',#590670,#465146,#465147); #376383=AXIS2_PLACEMENT_3D('',#590674,#465151,#465152); #376384=AXIS2_PLACEMENT_3D('',#590677,#465153,#465154); #376385=AXIS2_PLACEMENT_3D('',#590681,#465156,#465157); #376386=AXIS2_PLACEMENT_3D('',#590683,#465159,#465160); #376387=AXIS2_PLACEMENT_3D('',#590689,#465163,#465164); #376388=AXIS2_PLACEMENT_3D('',#590693,#465166,#465167); #376389=AXIS2_PLACEMENT_3D('',#590706,#465175,#465176); #376390=AXIS2_PLACEMENT_3D('',#590712,#465180,#465181); #376391=AXIS2_PLACEMENT_3D('',#590717,#465185,#465186); #376392=AXIS2_PLACEMENT_3D('',#590720,#465187,#465188); #376393=AXIS2_PLACEMENT_3D('',#590724,#465190,#465191); #376394=AXIS2_PLACEMENT_3D('',#590731,#465196,#465197); #376395=AXIS2_PLACEMENT_3D('',#590734,#465200,#465201); #376396=AXIS2_PLACEMENT_3D('',#590737,#465202,#465203); #376397=AXIS2_PLACEMENT_3D('',#590747,#465209,#465210); #376398=AXIS2_PLACEMENT_3D('',#590749,#465212,#465213); #376399=AXIS2_PLACEMENT_3D('',#590751,#465215,#465216); #376400=AXIS2_PLACEMENT_3D('',#590760,#465221,#465222); #376401=AXIS2_PLACEMENT_3D('',#590762,#465223,#465224); #376402=AXIS2_PLACEMENT_3D('',#590764,#465225,#465226); #376403=AXIS2_PLACEMENT_3D('',#590766,#465228,#465229); #376404=AXIS2_PLACEMENT_3D('',#590772,#465233,#465234); #376405=AXIS2_PLACEMENT_3D('',#590774,#465235,#465236); #376406=AXIS2_PLACEMENT_3D('',#590776,#465237,#465238); #376407=AXIS2_PLACEMENT_3D('',#590778,#465240,#465241); #376408=AXIS2_PLACEMENT_3D('',#590784,#465245,#465246); #376409=AXIS2_PLACEMENT_3D('',#590789,#465250,#465251); #376410=AXIS2_PLACEMENT_3D('',#590793,#465254,#465255); #376411=AXIS2_PLACEMENT_3D('',#590799,#465259,#465260); #376412=AXIS2_PLACEMENT_3D('',#590803,#465263,#465264); #376413=AXIS2_PLACEMENT_3D('',#590807,#465267,#465268); #376414=AXIS2_PLACEMENT_3D('',#590809,#465269,#465270); #376415=AXIS2_PLACEMENT_3D('',#590811,#465272,#465273); #376416=AXIS2_PLACEMENT_3D('',#590815,#465276,#465277); #376417=AXIS2_PLACEMENT_3D('',#590816,#465278,#465279); #376418=AXIS2_PLACEMENT_3D('',#590817,#465280,#465281); #376419=AXIS2_PLACEMENT_3D('',#590821,#465284,#465285); #376420=AXIS2_PLACEMENT_3D('',#590823,#465287,#465288); #376421=AXIS2_PLACEMENT_3D('',#590826,#465289,#465290); #376422=AXIS2_PLACEMENT_3D('',#590829,#465293,#465294); #376423=AXIS2_PLACEMENT_3D('',#590832,#465297,#465298); #376424=AXIS2_PLACEMENT_3D('',#590843,#465305,#465306); #376425=AXIS2_PLACEMENT_3D('',#590844,#465307,#465308); #376426=AXIS2_PLACEMENT_3D('',#590845,#465309,#465310); #376427=AXIS2_PLACEMENT_3D('',#590846,#465311,#465312); #376428=AXIS2_PLACEMENT_3D('',#590847,#465313,#465314); #376429=AXIS2_PLACEMENT_3D('',#590849,#465315,#465316); #376430=AXIS2_PLACEMENT_3D('',#590851,#465318,#465319); #376431=AXIS2_PLACEMENT_3D('',#590853,#465320,#465321); #376432=AXIS2_PLACEMENT_3D('',#590855,#465323,#465324); #376433=AXIS2_PLACEMENT_3D('',#590857,#465326,#465327); #376434=AXIS2_PLACEMENT_3D('',#590859,#465329,#465330); #376435=AXIS2_PLACEMENT_3D('',#590861,#465332,#465333); #376436=AXIS2_PLACEMENT_3D('',#590863,#465335,#465336); #376437=AXIS2_PLACEMENT_3D('',#590870,#465341,#465342); #376438=AXIS2_PLACEMENT_3D('',#590871,#465343,#465344); #376439=AXIS2_PLACEMENT_3D('',#590872,#465345,#465346); #376440=AXIS2_PLACEMENT_3D('',#590873,#465347,#465348); #376441=AXIS2_PLACEMENT_3D('',#590877,#465351,#465352); #376442=AXIS2_PLACEMENT_3D('',#590878,#465353,#465354); #376443=AXIS2_PLACEMENT_3D('',#590879,#465355,#465356); #376444=AXIS2_PLACEMENT_3D('',#590880,#465357,#465358); #376445=AXIS2_PLACEMENT_3D('',#590888,#465362,#465363); #376446=AXIS2_PLACEMENT_3D('',#590891,#465365,#465366); #376447=AXIS2_PLACEMENT_3D('',#590892,#465367,#465368); #376448=AXIS2_PLACEMENT_3D('',#590893,#465369,#465370); #376449=AXIS2_PLACEMENT_3D('',#590896,#465373,#465374); #376450=AXIS2_PLACEMENT_3D('',#590903,#465379,#465380); #376451=AXIS2_PLACEMENT_3D('',#590904,#465381,#465382); #376452=AXIS2_PLACEMENT_3D('',#590905,#465383,#465384); #376453=AXIS2_PLACEMENT_3D('',#590907,#465385,#465386); #376454=AXIS2_PLACEMENT_3D('',#590926,#465396,#465397); #376455=AXIS2_PLACEMENT_3D('',#590930,#465399,#465400); #376456=AXIS2_PLACEMENT_3D('',#590945,#465402,#465403); #376457=AXIS2_PLACEMENT_3D('',#590953,#465407,#465408); #376458=AXIS2_PLACEMENT_3D('',#590957,#465410,#465411); #376459=AXIS2_PLACEMENT_3D('',#590983,#465420,#465421); #376460=AXIS2_PLACEMENT_3D('',#590987,#465423,#465424); #376461=AXIS2_PLACEMENT_3D('',#590991,#465426,#465427); #376462=AXIS2_PLACEMENT_3D('',#590999,#465431,#465432); #376463=AXIS2_PLACEMENT_3D('',#591003,#465434,#465435); #376464=AXIS2_PLACEMENT_3D('',#591005,#465437,#465438); #376465=AXIS2_PLACEMENT_3D('',#591007,#465440,#465441); #376466=AXIS2_PLACEMENT_3D('',#591009,#465443,#465444); #376467=AXIS2_PLACEMENT_3D('',#591010,#465445,#465446); #376468=AXIS2_PLACEMENT_3D('',#591011,#465447,#465448); #376469=AXIS2_PLACEMENT_3D('',#591013,#465450,#465451); #376470=AXIS2_PLACEMENT_3D('',#591015,#465453,#465454); #376471=AXIS2_PLACEMENT_3D('',#591017,#465456,#465457); #376472=AXIS2_PLACEMENT_3D('',#591019,#465459,#465460); #376473=AXIS2_PLACEMENT_3D('',#591021,#465462,#465463); #376474=AXIS2_PLACEMENT_3D('',#591023,#465465,#465466); #376475=AXIS2_PLACEMENT_3D('',#591025,#465468,#465469); #376476=AXIS2_PLACEMENT_3D('',#591027,#465471,#465472); #376477=AXIS2_PLACEMENT_3D('',#591029,#465474,#465475); #376478=AXIS2_PLACEMENT_3D('',#591038,#465480,#465481); #376479=AXIS2_PLACEMENT_3D('',#591047,#465486,#465487); #376480=AXIS2_PLACEMENT_3D('',#591048,#465488,#465489); #376481=AXIS2_PLACEMENT_3D('',#591049,#465490,#465491); #376482=AXIS2_PLACEMENT_3D('',#591051,#465493,#465494); #376483=AXIS2_PLACEMENT_3D('',#591052,#465495,#465496); #376484=AXIS2_PLACEMENT_3D('',#591054,#465498,#465499); #376485=AXIS2_PLACEMENT_3D('',#591055,#465500,#465501); #376486=AXIS2_PLACEMENT_3D('',#591057,#465503,#465504); #376487=AXIS2_PLACEMENT_3D('',#591058,#465505,#465506); #376488=AXIS2_PLACEMENT_3D('',#591060,#465508,#465509); #376489=AXIS2_PLACEMENT_3D('',#591062,#465510,#465511); #376490=AXIS2_PLACEMENT_3D('',#591065,#465513,#465514); #376491=AXIS2_PLACEMENT_3D('',#591066,#465515,#465516); #376492=AXIS2_PLACEMENT_3D('',#591068,#465517,#465518); #376493=AXIS2_PLACEMENT_3D('',#591070,#465520,#465521); #376494=AXIS2_PLACEMENT_3D('',#591072,#465522,#465523); #376495=AXIS2_PLACEMENT_3D('',#591074,#465525,#465526); #376496=AXIS2_PLACEMENT_3D('',#591076,#465528,#465529); #376497=AXIS2_PLACEMENT_3D('',#591077,#465530,#465531); #376498=AXIS2_PLACEMENT_3D('',#591079,#465533,#465534); #376499=AXIS2_PLACEMENT_3D('',#591082,#465537,#465538); #376500=AXIS2_PLACEMENT_3D('',#591091,#465543,#465544); #376501=AXIS2_PLACEMENT_3D('',#591100,#465549,#465550); #376502=AXIS2_PLACEMENT_3D('',#591101,#465551,#465552); #376503=AXIS2_PLACEMENT_3D('',#591102,#465553,#465554); #376504=AXIS2_PLACEMENT_3D('',#591104,#465556,#465557); #376505=AXIS2_PLACEMENT_3D('',#591105,#465558,#465559); #376506=AXIS2_PLACEMENT_3D('',#591107,#465561,#465562); #376507=AXIS2_PLACEMENT_3D('',#591108,#465563,#465564); #376508=AXIS2_PLACEMENT_3D('',#591109,#465565,#465566); #376509=AXIS2_PLACEMENT_3D('',#591111,#465568,#465569); #376510=AXIS2_PLACEMENT_3D('',#591112,#465570,#465571); #376511=AXIS2_PLACEMENT_3D('',#591114,#465572,#465573); #376512=AXIS2_PLACEMENT_3D('',#591117,#465575,#465576); #376513=AXIS2_PLACEMENT_3D('',#591118,#465577,#465578); #376514=AXIS2_PLACEMENT_3D('',#591120,#465579,#465580); #376515=AXIS2_PLACEMENT_3D('',#591122,#465582,#465583); #376516=AXIS2_PLACEMENT_3D('',#591124,#465584,#465585); #376517=AXIS2_PLACEMENT_3D('',#591126,#465587,#465588); #376518=AXIS2_PLACEMENT_3D('',#591128,#465590,#465591); #376519=AXIS2_PLACEMENT_3D('',#591129,#465592,#465593); #376520=AXIS2_PLACEMENT_3D('',#591130,#465594,#465595); #376521=AXIS2_PLACEMENT_3D('',#591131,#465596,#465597); #376522=AXIS2_PLACEMENT_3D('',#591133,#465599,#465600); #376523=AXIS2_PLACEMENT_3D('',#591134,#465601,#465602); #376524=AXIS2_PLACEMENT_3D('',#591136,#465604,#465605); #376525=AXIS2_PLACEMENT_3D('',#591139,#465608,#465609); #376526=AXIS2_PLACEMENT_3D('',#591141,#465610,#465611); #376527=AXIS2_PLACEMENT_3D('',#591148,#465615,#465616); #376528=AXIS2_PLACEMENT_3D('',#591149,#465617,#465618); #376529=AXIS2_PLACEMENT_3D('',#591151,#465619,#465620); #376530=AXIS2_PLACEMENT_3D('',#591154,#465622,#465623); #376531=AXIS2_PLACEMENT_3D('',#591155,#465624,#465625); #376532=AXIS2_PLACEMENT_3D('',#591161,#465629,#465630); #376533=AXIS2_PLACEMENT_3D('',#591167,#465633,#465634); #376534=AXIS2_PLACEMENT_3D('',#591171,#465637,#465638); #376535=AXIS2_PLACEMENT_3D('',#591174,#465641,#465642); #376536=AXIS2_PLACEMENT_3D('',#591176,#465643,#465644); #376537=AXIS2_PLACEMENT_3D('',#591180,#465647,#465648); #376538=AXIS2_PLACEMENT_3D('',#591181,#465649,#465650); #376539=AXIS2_PLACEMENT_3D('',#591183,#465651,#465652); #376540=AXIS2_PLACEMENT_3D('',#591185,#465654,#465655); #376541=AXIS2_PLACEMENT_3D('',#591190,#465659,#465660); #376542=AXIS2_PLACEMENT_3D('',#591193,#465661,#465662); #376543=AXIS2_PLACEMENT_3D('',#591197,#465664,#465665); #376544=AXIS2_PLACEMENT_3D('',#591199,#465667,#465668); #376545=AXIS2_PLACEMENT_3D('',#591207,#465672,#465673); #376546=AXIS2_PLACEMENT_3D('',#591213,#465677,#465678); #376547=AXIS2_PLACEMENT_3D('',#591217,#465680,#465681); #376548=AXIS2_PLACEMENT_3D('',#591220,#465684,#465685); #376549=AXIS2_PLACEMENT_3D('',#591221,#465686,#465687); #376550=AXIS2_PLACEMENT_3D('',#591223,#465689,#465690); #376551=AXIS2_PLACEMENT_3D('',#591226,#465691,#465692); #376552=AXIS2_PLACEMENT_3D('',#591229,#465695,#465696); #376553=AXIS2_PLACEMENT_3D('',#591238,#465702,#465703); #376554=AXIS2_PLACEMENT_3D('',#591239,#465704,#465705); #376555=AXIS2_PLACEMENT_3D('',#591243,#465708,#465709); #376556=AXIS2_PLACEMENT_3D('',#591244,#465710,#465711); #376557=AXIS2_PLACEMENT_3D('',#591246,#465713,#465714); #376558=AXIS2_PLACEMENT_3D('',#591247,#465715,#465716); #376559=AXIS2_PLACEMENT_3D('',#591249,#465718,#465719); #376560=AXIS2_PLACEMENT_3D('',#591250,#465720,#465721); #376561=AXIS2_PLACEMENT_3D('',#591252,#465723,#465724); #376562=AXIS2_PLACEMENT_3D('',#591254,#465726,#465727); #376563=AXIS2_PLACEMENT_3D('',#591256,#465729,#465730); #376564=AXIS2_PLACEMENT_3D('',#591257,#465731,#465732); #376565=AXIS2_PLACEMENT_3D('',#591259,#465734,#465735); #376566=AXIS2_PLACEMENT_3D('',#591260,#465736,#465737); #376567=AXIS2_PLACEMENT_3D('',#591262,#465739,#465740); #376568=AXIS2_PLACEMENT_3D('',#591264,#465742,#465743); #376569=AXIS2_PLACEMENT_3D('',#591266,#465745,#465746); #376570=AXIS2_PLACEMENT_3D('',#591268,#465748,#465749); #376571=AXIS2_PLACEMENT_3D('',#591283,#465752,#465753); #376572=AXIS2_PLACEMENT_3D('',#591297,#465755,#465756); #376573=AXIS2_PLACEMENT_3D('',#591298,#465757,#465758); #376574=AXIS2_PLACEMENT_3D('',#591299,#465759,#465760); #376575=AXIS2_PLACEMENT_3D('',#591300,#465761,#465762); #376576=AXIS2_PLACEMENT_3D('',#591303,#465763,#465764); #376577=AXIS2_PLACEMENT_3D('',#591307,#465766,#465767); #376578=AXIS2_PLACEMENT_3D('',#591309,#465769,#465770); #376579=AXIS2_PLACEMENT_3D('',#591312,#465771,#465772); #376580=AXIS2_PLACEMENT_3D('',#591316,#465774,#465775); #376581=AXIS2_PLACEMENT_3D('',#591318,#465777,#465778); #376582=AXIS2_PLACEMENT_3D('',#591323,#465780,#465781); #376583=AXIS2_PLACEMENT_3D('',#591326,#465783,#465784); #376584=AXIS2_PLACEMENT_3D('',#591327,#465785,#465786); #376585=AXIS2_PLACEMENT_3D('',#591332,#465788,#465789); #376586=AXIS2_PLACEMENT_3D('',#591335,#465791,#465792); #376587=AXIS2_PLACEMENT_3D('',#591336,#465793,#465794); #376588=AXIS2_PLACEMENT_3D('',#591340,#465796,#465797); #376589=AXIS2_PLACEMENT_3D('',#591341,#465798,#465799); #376590=AXIS2_PLACEMENT_3D('',#591342,#465800,#465801); #376591=AXIS2_PLACEMENT_3D('',#591344,#465802,#465803); #376592=AXIS2_PLACEMENT_3D('',#591347,#465805,#465806); #376593=AXIS2_PLACEMENT_3D('',#591348,#465807,#465808); #376594=AXIS2_PLACEMENT_3D('',#591353,#465813,#465814); #376595=AXIS2_PLACEMENT_3D('',#591355,#465816,#465817); #376596=AXIS2_PLACEMENT_3D('',#591361,#465821,#465822); #376597=AXIS2_PLACEMENT_3D('',#591363,#465823,#465824); #376598=AXIS2_PLACEMENT_3D('',#591366,#465826,#465827); #376599=AXIS2_PLACEMENT_3D('',#591367,#465828,#465829); #376600=AXIS2_PLACEMENT_3D('',#591370,#465832,#465833); #376601=AXIS2_PLACEMENT_3D('',#591372,#465835,#465836); #376602=AXIS2_PLACEMENT_3D('',#591374,#465838,#465839); #376603=AXIS2_PLACEMENT_3D('',#591376,#465841,#465842); #376604=AXIS2_PLACEMENT_3D('',#591378,#465844,#465845); #376605=AXIS2_PLACEMENT_3D('',#591380,#465847,#465848); #376606=AXIS2_PLACEMENT_3D('',#591386,#465852,#465853); #376607=AXIS2_PLACEMENT_3D('',#591390,#465855,#465856); #376608=AXIS2_PLACEMENT_3D('',#591391,#465857,#465858); #376609=AXIS2_PLACEMENT_3D('',#591392,#465859,#465860); #376610=AXIS2_PLACEMENT_3D('',#591395,#465863,#465864); #376611=AXIS2_PLACEMENT_3D('',#591397,#465866,#465867); #376612=AXIS2_PLACEMENT_3D('',#591399,#465869,#465870); #376613=AXIS2_PLACEMENT_3D('',#591401,#465872,#465873); #376614=AXIS2_PLACEMENT_3D('',#591402,#465874,#465875); #376615=AXIS2_PLACEMENT_3D('',#591404,#465877,#465878); #376616=AXIS2_PLACEMENT_3D('',#591406,#465880,#465881); #376617=AXIS2_PLACEMENT_3D('',#591408,#465883,#465884); #376618=AXIS2_PLACEMENT_3D('',#591409,#465885,#465886); #376619=AXIS2_PLACEMENT_3D('',#591412,#465887,#465888); #376620=AXIS2_PLACEMENT_3D('',#591416,#465890,#465891); #376621=AXIS2_PLACEMENT_3D('',#591418,#465893,#465894); #376622=AXIS2_PLACEMENT_3D('',#591421,#465895,#465896); #376623=AXIS2_PLACEMENT_3D('',#591425,#465898,#465899); #376624=AXIS2_PLACEMENT_3D('',#591427,#465901,#465902); #376625=AXIS2_PLACEMENT_3D('',#591432,#465904,#465905); #376626=AXIS2_PLACEMENT_3D('',#591435,#465907,#465908); #376627=AXIS2_PLACEMENT_3D('',#591436,#465909,#465910); #376628=AXIS2_PLACEMENT_3D('',#591441,#465912,#465913); #376629=AXIS2_PLACEMENT_3D('',#591444,#465915,#465916); #376630=AXIS2_PLACEMENT_3D('',#591445,#465917,#465918); #376631=AXIS2_PLACEMENT_3D('',#591447,#465919,#465920); #376632=AXIS2_PLACEMENT_3D('',#591450,#465922,#465923); #376633=AXIS2_PLACEMENT_3D('',#591451,#465924,#465925); #376634=AXIS2_PLACEMENT_3D('',#591453,#465926,#465927); #376635=AXIS2_PLACEMENT_3D('',#591456,#465929,#465930); #376636=AXIS2_PLACEMENT_3D('',#591457,#465931,#465932); #376637=AXIS2_PLACEMENT_3D('',#591462,#465937,#465938); #376638=AXIS2_PLACEMENT_3D('',#591464,#465940,#465941); #376639=AXIS2_PLACEMENT_3D('',#591470,#465945,#465946); #376640=AXIS2_PLACEMENT_3D('',#591476,#465950,#465951); #376641=AXIS2_PLACEMENT_3D('',#591478,#465953,#465954); #376642=AXIS2_PLACEMENT_3D('',#591480,#465956,#465957); #376643=AXIS2_PLACEMENT_3D('',#591482,#465959,#465960); #376644=AXIS2_PLACEMENT_3D('',#591484,#465962,#465963); #376645=AXIS2_PLACEMENT_3D('',#591486,#465965,#465966); #376646=AXIS2_PLACEMENT_3D('',#591492,#465970,#465971); #376647=AXIS2_PLACEMENT_3D('',#591498,#465975,#465976); #376648=AXIS2_PLACEMENT_3D('',#591500,#465978,#465979); #376649=AXIS2_PLACEMENT_3D('',#591502,#465981,#465982); #376650=AXIS2_PLACEMENT_3D('',#591503,#465983,#465984); #376651=AXIS2_PLACEMENT_3D('',#591504,#465985,#465986); #376652=AXIS2_PLACEMENT_3D('',#591506,#465988,#465989); #376653=AXIS2_PLACEMENT_3D('',#591507,#465990,#465991); #376654=AXIS2_PLACEMENT_3D('',#591509,#465993,#465994); #376655=AXIS2_PLACEMENT_3D('',#591511,#465996,#465997); #376656=AXIS2_PLACEMENT_3D('',#591513,#465999,#466000); #376657=AXIS2_PLACEMENT_3D('',#591514,#466001,#466002); #376658=AXIS2_PLACEMENT_3D('',#591515,#466003,#466004); #376659=AXIS2_PLACEMENT_3D('',#591516,#466005,#466006); #376660=AXIS2_PLACEMENT_3D('',#591517,#466007,#466008); #376661=AXIS2_PLACEMENT_3D('',#591518,#466009,#466010); #376662=AXIS2_PLACEMENT_3D('',#591519,#466011,#466012); #376663=AXIS2_PLACEMENT_3D('',#591520,#466013,#466014); #376664=AXIS2_PLACEMENT_3D('',#591521,#466015,#466016); #376665=AXIS2_PLACEMENT_3D('',#591523,#466017,#466018); #376666=AXIS2_PLACEMENT_3D('',#591526,#466020,#466021); #376667=AXIS2_PLACEMENT_3D('',#591527,#466022,#466023); #376668=AXIS2_PLACEMENT_3D('',#591528,#466024,#466025); #376669=AXIS2_PLACEMENT_3D('',#591537,#466030,#466031); #376670=AXIS2_PLACEMENT_3D('',#591543,#466035,#466036); #376671=AXIS2_PLACEMENT_3D('',#591549,#466040,#466041); #376672=AXIS2_PLACEMENT_3D('',#591552,#466044,#466045); #376673=AXIS2_PLACEMENT_3D('',#591558,#466049,#466050); #376674=AXIS2_PLACEMENT_3D('',#591562,#466053,#466054); #376675=AXIS2_PLACEMENT_3D('',#591563,#466055,#466056); #376676=AXIS2_PLACEMENT_3D('',#591567,#466059,#466060); #376677=AXIS2_PLACEMENT_3D('',#591569,#466062,#466063); #376678=AXIS2_PLACEMENT_3D('',#591570,#466064,#466065); #376679=AXIS2_PLACEMENT_3D('',#591573,#466066,#466067); #376680=AXIS2_PLACEMENT_3D('',#591579,#466071,#466072); #376681=AXIS2_PLACEMENT_3D('',#591583,#466074,#466075); #376682=AXIS2_PLACEMENT_3D('',#591585,#466077,#466078); #376683=AXIS2_PLACEMENT_3D('',#591594,#466083,#466084); #376684=AXIS2_PLACEMENT_3D('',#591597,#466087,#466088); #376685=AXIS2_PLACEMENT_3D('',#591603,#466092,#466093); #376686=AXIS2_PLACEMENT_3D('',#591606,#466096,#466097); #376687=AXIS2_PLACEMENT_3D('',#591607,#466098,#466099); #376688=AXIS2_PLACEMENT_3D('',#591608,#466100,#466101); #376689=AXIS2_PLACEMENT_3D('',#591611,#466102,#466103); #376690=AXIS2_PLACEMENT_3D('',#591617,#466107,#466108); #376691=AXIS2_PLACEMENT_3D('',#591621,#466110,#466111); #376692=AXIS2_PLACEMENT_3D('',#591623,#466113,#466114); #376693=AXIS2_PLACEMENT_3D('',#591632,#466119,#466120); #376694=AXIS2_PLACEMENT_3D('',#591635,#466123,#466124); #376695=AXIS2_PLACEMENT_3D('',#591641,#466128,#466129); #376696=AXIS2_PLACEMENT_3D('',#591644,#466132,#466133); #376697=AXIS2_PLACEMENT_3D('',#591645,#466134,#466135); #376698=AXIS2_PLACEMENT_3D('',#591646,#466136,#466137); #376699=AXIS2_PLACEMENT_3D('',#591649,#466138,#466139); #376700=AXIS2_PLACEMENT_3D('',#591655,#466143,#466144); #376701=AXIS2_PLACEMENT_3D('',#591659,#466146,#466147); #376702=AXIS2_PLACEMENT_3D('',#591661,#466149,#466150); #376703=AXIS2_PLACEMENT_3D('',#591670,#466155,#466156); #376704=AXIS2_PLACEMENT_3D('',#591673,#466159,#466160); #376705=AXIS2_PLACEMENT_3D('',#591679,#466164,#466165); #376706=AXIS2_PLACEMENT_3D('',#591682,#466168,#466169); #376707=AXIS2_PLACEMENT_3D('',#591683,#466170,#466171); #376708=AXIS2_PLACEMENT_3D('',#591684,#466172,#466173); #376709=AXIS2_PLACEMENT_3D('',#591687,#466174,#466175); #376710=AXIS2_PLACEMENT_3D('',#591693,#466179,#466180); #376711=AXIS2_PLACEMENT_3D('',#591697,#466182,#466183); #376712=AXIS2_PLACEMENT_3D('',#591699,#466185,#466186); #376713=AXIS2_PLACEMENT_3D('',#591708,#466191,#466192); #376714=AXIS2_PLACEMENT_3D('',#591711,#466195,#466196); #376715=AXIS2_PLACEMENT_3D('',#591717,#466200,#466201); #376716=AXIS2_PLACEMENT_3D('',#591720,#466204,#466205); #376717=AXIS2_PLACEMENT_3D('',#591721,#466206,#466207); #376718=AXIS2_PLACEMENT_3D('',#591722,#466208,#466209); #376719=AXIS2_PLACEMENT_3D('',#591725,#466210,#466211); #376720=AXIS2_PLACEMENT_3D('',#591731,#466215,#466216); #376721=AXIS2_PLACEMENT_3D('',#591735,#466218,#466219); #376722=AXIS2_PLACEMENT_3D('',#591737,#466221,#466222); #376723=AXIS2_PLACEMENT_3D('',#591746,#466227,#466228); #376724=AXIS2_PLACEMENT_3D('',#591749,#466231,#466232); #376725=AXIS2_PLACEMENT_3D('',#591755,#466236,#466237); #376726=AXIS2_PLACEMENT_3D('',#591758,#466240,#466241); #376727=AXIS2_PLACEMENT_3D('',#591759,#466242,#466243); #376728=AXIS2_PLACEMENT_3D('',#591760,#466244,#466245); #376729=AXIS2_PLACEMENT_3D('',#591763,#466246,#466247); #376730=AXIS2_PLACEMENT_3D('',#591769,#466251,#466252); #376731=AXIS2_PLACEMENT_3D('',#591773,#466254,#466255); #376732=AXIS2_PLACEMENT_3D('',#591775,#466257,#466258); #376733=AXIS2_PLACEMENT_3D('',#591784,#466263,#466264); #376734=AXIS2_PLACEMENT_3D('',#591787,#466267,#466268); #376735=AXIS2_PLACEMENT_3D('',#591793,#466272,#466273); #376736=AXIS2_PLACEMENT_3D('',#591796,#466276,#466277); #376737=AXIS2_PLACEMENT_3D('',#591797,#466278,#466279); #376738=AXIS2_PLACEMENT_3D('',#591798,#466280,#466281); #376739=AXIS2_PLACEMENT_3D('',#591801,#466282,#466283); #376740=AXIS2_PLACEMENT_3D('',#591807,#466287,#466288); #376741=AXIS2_PLACEMENT_3D('',#591811,#466290,#466291); #376742=AXIS2_PLACEMENT_3D('',#591813,#466293,#466294); #376743=AXIS2_PLACEMENT_3D('',#591822,#466299,#466300); #376744=AXIS2_PLACEMENT_3D('',#591825,#466303,#466304); #376745=AXIS2_PLACEMENT_3D('',#591831,#466308,#466309); #376746=AXIS2_PLACEMENT_3D('',#591834,#466312,#466313); #376747=AXIS2_PLACEMENT_3D('',#591835,#466314,#466315); #376748=AXIS2_PLACEMENT_3D('',#591836,#466316,#466317); #376749=AXIS2_PLACEMENT_3D('',#591839,#466318,#466319); #376750=AXIS2_PLACEMENT_3D('',#591845,#466323,#466324); #376751=AXIS2_PLACEMENT_3D('',#591849,#466326,#466327); #376752=AXIS2_PLACEMENT_3D('',#591851,#466329,#466330); #376753=AXIS2_PLACEMENT_3D('',#591860,#466335,#466336); #376754=AXIS2_PLACEMENT_3D('',#591863,#466339,#466340); #376755=AXIS2_PLACEMENT_3D('',#591869,#466344,#466345); #376756=AXIS2_PLACEMENT_3D('',#591872,#466348,#466349); #376757=AXIS2_PLACEMENT_3D('',#591873,#466350,#466351); #376758=AXIS2_PLACEMENT_3D('',#591874,#466352,#466353); #376759=AXIS2_PLACEMENT_3D('',#591877,#466354,#466355); #376760=AXIS2_PLACEMENT_3D('',#591883,#466359,#466360); #376761=AXIS2_PLACEMENT_3D('',#591887,#466362,#466363); #376762=AXIS2_PLACEMENT_3D('',#591889,#466365,#466366); #376763=AXIS2_PLACEMENT_3D('',#591898,#466371,#466372); #376764=AXIS2_PLACEMENT_3D('',#591901,#466375,#466376); #376765=AXIS2_PLACEMENT_3D('',#591907,#466380,#466381); #376766=AXIS2_PLACEMENT_3D('',#591910,#466384,#466385); #376767=AXIS2_PLACEMENT_3D('',#591911,#466386,#466387); #376768=AXIS2_PLACEMENT_3D('',#591912,#466388,#466389); #376769=AXIS2_PLACEMENT_3D('',#591915,#466390,#466391); #376770=AXIS2_PLACEMENT_3D('',#591921,#466395,#466396); #376771=AXIS2_PLACEMENT_3D('',#591925,#466398,#466399); #376772=AXIS2_PLACEMENT_3D('',#591927,#466401,#466402); #376773=AXIS2_PLACEMENT_3D('',#591936,#466407,#466408); #376774=AXIS2_PLACEMENT_3D('',#591939,#466411,#466412); #376775=AXIS2_PLACEMENT_3D('',#591945,#466416,#466417); #376776=AXIS2_PLACEMENT_3D('',#591948,#466420,#466421); #376777=AXIS2_PLACEMENT_3D('',#591949,#466422,#466423); #376778=AXIS2_PLACEMENT_3D('',#591950,#466424,#466425); #376779=AXIS2_PLACEMENT_3D('',#591953,#466426,#466427); #376780=AXIS2_PLACEMENT_3D('',#591959,#466431,#466432); #376781=AXIS2_PLACEMENT_3D('',#591963,#466434,#466435); #376782=AXIS2_PLACEMENT_3D('',#591965,#466437,#466438); #376783=AXIS2_PLACEMENT_3D('',#591974,#466443,#466444); #376784=AXIS2_PLACEMENT_3D('',#591977,#466447,#466448); #376785=AXIS2_PLACEMENT_3D('',#591983,#466452,#466453); #376786=AXIS2_PLACEMENT_3D('',#591986,#466456,#466457); #376787=AXIS2_PLACEMENT_3D('',#591987,#466458,#466459); #376788=AXIS2_PLACEMENT_3D('',#591988,#466460,#466461); #376789=AXIS2_PLACEMENT_3D('',#591991,#466462,#466463); #376790=AXIS2_PLACEMENT_3D('',#591997,#466467,#466468); #376791=AXIS2_PLACEMENT_3D('',#592001,#466470,#466471); #376792=AXIS2_PLACEMENT_3D('',#592003,#466473,#466474); #376793=AXIS2_PLACEMENT_3D('',#592012,#466479,#466480); #376794=AXIS2_PLACEMENT_3D('',#592015,#466483,#466484); #376795=AXIS2_PLACEMENT_3D('',#592021,#466488,#466489); #376796=AXIS2_PLACEMENT_3D('',#592024,#466492,#466493); #376797=AXIS2_PLACEMENT_3D('',#592025,#466494,#466495); #376798=AXIS2_PLACEMENT_3D('',#592026,#466496,#466497); #376799=AXIS2_PLACEMENT_3D('',#592029,#466498,#466499); #376800=AXIS2_PLACEMENT_3D('',#592035,#466503,#466504); #376801=AXIS2_PLACEMENT_3D('',#592039,#466506,#466507); #376802=AXIS2_PLACEMENT_3D('',#592041,#466509,#466510); #376803=AXIS2_PLACEMENT_3D('',#592050,#466515,#466516); #376804=AXIS2_PLACEMENT_3D('',#592053,#466519,#466520); #376805=AXIS2_PLACEMENT_3D('',#592059,#466524,#466525); #376806=AXIS2_PLACEMENT_3D('',#592062,#466528,#466529); #376807=AXIS2_PLACEMENT_3D('',#592063,#466530,#466531); #376808=AXIS2_PLACEMENT_3D('',#592064,#466532,#466533); #376809=AXIS2_PLACEMENT_3D('',#592067,#466534,#466535); #376810=AXIS2_PLACEMENT_3D('',#592073,#466539,#466540); #376811=AXIS2_PLACEMENT_3D('',#592077,#466542,#466543); #376812=AXIS2_PLACEMENT_3D('',#592079,#466545,#466546); #376813=AXIS2_PLACEMENT_3D('',#592088,#466551,#466552); #376814=AXIS2_PLACEMENT_3D('',#592091,#466555,#466556); #376815=AXIS2_PLACEMENT_3D('',#592097,#466560,#466561); #376816=AXIS2_PLACEMENT_3D('',#592100,#466564,#466565); #376817=AXIS2_PLACEMENT_3D('',#592101,#466566,#466567); #376818=AXIS2_PLACEMENT_3D('',#592102,#466568,#466569); #376819=AXIS2_PLACEMENT_3D('',#592105,#466570,#466571); #376820=AXIS2_PLACEMENT_3D('',#592111,#466575,#466576); #376821=AXIS2_PLACEMENT_3D('',#592115,#466578,#466579); #376822=AXIS2_PLACEMENT_3D('',#592117,#466581,#466582); #376823=AXIS2_PLACEMENT_3D('',#592126,#466587,#466588); #376824=AXIS2_PLACEMENT_3D('',#592129,#466591,#466592); #376825=AXIS2_PLACEMENT_3D('',#592135,#466596,#466597); #376826=AXIS2_PLACEMENT_3D('',#592138,#466600,#466601); #376827=AXIS2_PLACEMENT_3D('',#592139,#466602,#466603); #376828=AXIS2_PLACEMENT_3D('',#592140,#466604,#466605); #376829=AXIS2_PLACEMENT_3D('',#592143,#466606,#466607); #376830=AXIS2_PLACEMENT_3D('',#592149,#466611,#466612); #376831=AXIS2_PLACEMENT_3D('',#592153,#466614,#466615); #376832=AXIS2_PLACEMENT_3D('',#592155,#466617,#466618); #376833=AXIS2_PLACEMENT_3D('',#592164,#466623,#466624); #376834=AXIS2_PLACEMENT_3D('',#592167,#466627,#466628); #376835=AXIS2_PLACEMENT_3D('',#592173,#466632,#466633); #376836=AXIS2_PLACEMENT_3D('',#592176,#466636,#466637); #376837=AXIS2_PLACEMENT_3D('',#592177,#466638,#466639); #376838=AXIS2_PLACEMENT_3D('',#592178,#466640,#466641); #376839=AXIS2_PLACEMENT_3D('',#592181,#466642,#466643); #376840=AXIS2_PLACEMENT_3D('',#592187,#466647,#466648); #376841=AXIS2_PLACEMENT_3D('',#592191,#466650,#466651); #376842=AXIS2_PLACEMENT_3D('',#592193,#466653,#466654); #376843=AXIS2_PLACEMENT_3D('',#592202,#466659,#466660); #376844=AXIS2_PLACEMENT_3D('',#592205,#466663,#466664); #376845=AXIS2_PLACEMENT_3D('',#592211,#466668,#466669); #376846=AXIS2_PLACEMENT_3D('',#592214,#466672,#466673); #376847=AXIS2_PLACEMENT_3D('',#592215,#466674,#466675); #376848=AXIS2_PLACEMENT_3D('',#592216,#466676,#466677); #376849=AXIS2_PLACEMENT_3D('',#592219,#466678,#466679); #376850=AXIS2_PLACEMENT_3D('',#592225,#466683,#466684); #376851=AXIS2_PLACEMENT_3D('',#592229,#466686,#466687); #376852=AXIS2_PLACEMENT_3D('',#592231,#466689,#466690); #376853=AXIS2_PLACEMENT_3D('',#592240,#466695,#466696); #376854=AXIS2_PLACEMENT_3D('',#592243,#466699,#466700); #376855=AXIS2_PLACEMENT_3D('',#592249,#466704,#466705); #376856=AXIS2_PLACEMENT_3D('',#592252,#466708,#466709); #376857=AXIS2_PLACEMENT_3D('',#592253,#466710,#466711); #376858=AXIS2_PLACEMENT_3D('',#592254,#466712,#466713); #376859=AXIS2_PLACEMENT_3D('',#592257,#466714,#466715); #376860=AXIS2_PLACEMENT_3D('',#592263,#466719,#466720); #376861=AXIS2_PLACEMENT_3D('',#592267,#466722,#466723); #376862=AXIS2_PLACEMENT_3D('',#592269,#466725,#466726); #376863=AXIS2_PLACEMENT_3D('',#592278,#466731,#466732); #376864=AXIS2_PLACEMENT_3D('',#592281,#466735,#466736); #376865=AXIS2_PLACEMENT_3D('',#592287,#466740,#466741); #376866=AXIS2_PLACEMENT_3D('',#592290,#466744,#466745); #376867=AXIS2_PLACEMENT_3D('',#592291,#466746,#466747); #376868=AXIS2_PLACEMENT_3D('',#592292,#466748,#466749); #376869=AXIS2_PLACEMENT_3D('',#592295,#466750,#466751); #376870=AXIS2_PLACEMENT_3D('',#592301,#466755,#466756); #376871=AXIS2_PLACEMENT_3D('',#592305,#466758,#466759); #376872=AXIS2_PLACEMENT_3D('',#592307,#466761,#466762); #376873=AXIS2_PLACEMENT_3D('',#592316,#466767,#466768); #376874=AXIS2_PLACEMENT_3D('',#592319,#466771,#466772); #376875=AXIS2_PLACEMENT_3D('',#592325,#466776,#466777); #376876=AXIS2_PLACEMENT_3D('',#592328,#466780,#466781); #376877=AXIS2_PLACEMENT_3D('',#592329,#466782,#466783); #376878=AXIS2_PLACEMENT_3D('',#592330,#466784,#466785); #376879=AXIS2_PLACEMENT_3D('',#592333,#466786,#466787); #376880=AXIS2_PLACEMENT_3D('',#592339,#466791,#466792); #376881=AXIS2_PLACEMENT_3D('',#592343,#466794,#466795); #376882=AXIS2_PLACEMENT_3D('',#592345,#466797,#466798); #376883=AXIS2_PLACEMENT_3D('',#592354,#466803,#466804); #376884=AXIS2_PLACEMENT_3D('',#592357,#466807,#466808); #376885=AXIS2_PLACEMENT_3D('',#592363,#466812,#466813); #376886=AXIS2_PLACEMENT_3D('',#592366,#466816,#466817); #376887=AXIS2_PLACEMENT_3D('',#592367,#466818,#466819); #376888=AXIS2_PLACEMENT_3D('',#592368,#466820,#466821); #376889=AXIS2_PLACEMENT_3D('',#592371,#466822,#466823); #376890=AXIS2_PLACEMENT_3D('',#592377,#466827,#466828); #376891=AXIS2_PLACEMENT_3D('',#592381,#466830,#466831); #376892=AXIS2_PLACEMENT_3D('',#592383,#466833,#466834); #376893=AXIS2_PLACEMENT_3D('',#592392,#466839,#466840); #376894=AXIS2_PLACEMENT_3D('',#592395,#466843,#466844); #376895=AXIS2_PLACEMENT_3D('',#592401,#466848,#466849); #376896=AXIS2_PLACEMENT_3D('',#592404,#466852,#466853); #376897=AXIS2_PLACEMENT_3D('',#592405,#466854,#466855); #376898=AXIS2_PLACEMENT_3D('',#592406,#466856,#466857); #376899=AXIS2_PLACEMENT_3D('',#592409,#466858,#466859); #376900=AXIS2_PLACEMENT_3D('',#592415,#466863,#466864); #376901=AXIS2_PLACEMENT_3D('',#592419,#466866,#466867); #376902=AXIS2_PLACEMENT_3D('',#592421,#466869,#466870); #376903=AXIS2_PLACEMENT_3D('',#592430,#466875,#466876); #376904=AXIS2_PLACEMENT_3D('',#592433,#466879,#466880); #376905=AXIS2_PLACEMENT_3D('',#592439,#466884,#466885); #376906=AXIS2_PLACEMENT_3D('',#592442,#466888,#466889); #376907=AXIS2_PLACEMENT_3D('',#592443,#466890,#466891); #376908=AXIS2_PLACEMENT_3D('',#592444,#466892,#466893); #376909=AXIS2_PLACEMENT_3D('',#592447,#466894,#466895); #376910=AXIS2_PLACEMENT_3D('',#592453,#466899,#466900); #376911=AXIS2_PLACEMENT_3D('',#592457,#466902,#466903); #376912=AXIS2_PLACEMENT_3D('',#592459,#466905,#466906); #376913=AXIS2_PLACEMENT_3D('',#592468,#466911,#466912); #376914=AXIS2_PLACEMENT_3D('',#592471,#466915,#466916); #376915=AXIS2_PLACEMENT_3D('',#592477,#466920,#466921); #376916=AXIS2_PLACEMENT_3D('',#592480,#466924,#466925); #376917=AXIS2_PLACEMENT_3D('',#592481,#466926,#466927); #376918=AXIS2_PLACEMENT_3D('',#592482,#466928,#466929); #376919=AXIS2_PLACEMENT_3D('',#592485,#466930,#466931); #376920=AXIS2_PLACEMENT_3D('',#592491,#466935,#466936); #376921=AXIS2_PLACEMENT_3D('',#592494,#466937,#466938); #376922=AXIS2_PLACEMENT_3D('',#592497,#466941,#466942); #376923=AXIS2_PLACEMENT_3D('',#592503,#466946,#466947); #376924=AXIS2_PLACEMENT_3D('',#592509,#466951,#466952); #376925=AXIS2_PLACEMENT_3D('',#592515,#466956,#466957); #376926=AXIS2_PLACEMENT_3D('',#592518,#466960,#466961); #376927=AXIS2_PLACEMENT_3D('',#592519,#466962,#466963); #376928=AXIS2_PLACEMENT_3D('',#592520,#466964,#466965); #376929=AXIS2_PLACEMENT_3D('',#592523,#466966,#466967); #376930=AXIS2_PLACEMENT_3D('',#592529,#466971,#466972); #376931=AXIS2_PLACEMENT_3D('',#592532,#466973,#466974); #376932=AXIS2_PLACEMENT_3D('',#592535,#466977,#466978); #376933=AXIS2_PLACEMENT_3D('',#592541,#466982,#466983); #376934=AXIS2_PLACEMENT_3D('',#592547,#466987,#466988); #376935=AXIS2_PLACEMENT_3D('',#592553,#466992,#466993); #376936=AXIS2_PLACEMENT_3D('',#592556,#466996,#466997); #376937=AXIS2_PLACEMENT_3D('',#592557,#466998,#466999); #376938=AXIS2_PLACEMENT_3D('',#592558,#467000,#467001); #376939=AXIS2_PLACEMENT_3D('',#592561,#467002,#467003); #376940=AXIS2_PLACEMENT_3D('',#592567,#467007,#467008); #376941=AXIS2_PLACEMENT_3D('',#592570,#467009,#467010); #376942=AXIS2_PLACEMENT_3D('',#592573,#467013,#467014); #376943=AXIS2_PLACEMENT_3D('',#592579,#467018,#467019); #376944=AXIS2_PLACEMENT_3D('',#592585,#467023,#467024); #376945=AXIS2_PLACEMENT_3D('',#592591,#467028,#467029); #376946=AXIS2_PLACEMENT_3D('',#592594,#467032,#467033); #376947=AXIS2_PLACEMENT_3D('',#592595,#467034,#467035); #376948=AXIS2_PLACEMENT_3D('',#592596,#467036,#467037); #376949=AXIS2_PLACEMENT_3D('',#592599,#467038,#467039); #376950=AXIS2_PLACEMENT_3D('',#592605,#467043,#467044); #376951=AXIS2_PLACEMENT_3D('',#592608,#467045,#467046); #376952=AXIS2_PLACEMENT_3D('',#592611,#467049,#467050); #376953=AXIS2_PLACEMENT_3D('',#592617,#467054,#467055); #376954=AXIS2_PLACEMENT_3D('',#592623,#467059,#467060); #376955=AXIS2_PLACEMENT_3D('',#592629,#467064,#467065); #376956=AXIS2_PLACEMENT_3D('',#592632,#467068,#467069); #376957=AXIS2_PLACEMENT_3D('',#592633,#467070,#467071); #376958=AXIS2_PLACEMENT_3D('',#592634,#467072,#467073); #376959=AXIS2_PLACEMENT_3D('',#592637,#467074,#467075); #376960=AXIS2_PLACEMENT_3D('',#592643,#467079,#467080); #376961=AXIS2_PLACEMENT_3D('',#592646,#467081,#467082); #376962=AXIS2_PLACEMENT_3D('',#592649,#467085,#467086); #376963=AXIS2_PLACEMENT_3D('',#592655,#467090,#467091); #376964=AXIS2_PLACEMENT_3D('',#592661,#467095,#467096); #376965=AXIS2_PLACEMENT_3D('',#592667,#467100,#467101); #376966=AXIS2_PLACEMENT_3D('',#592670,#467104,#467105); #376967=AXIS2_PLACEMENT_3D('',#592671,#467106,#467107); #376968=AXIS2_PLACEMENT_3D('',#592672,#467108,#467109); #376969=AXIS2_PLACEMENT_3D('',#592675,#467110,#467111); #376970=AXIS2_PLACEMENT_3D('',#592681,#467115,#467116); #376971=AXIS2_PLACEMENT_3D('',#592684,#467117,#467118); #376972=AXIS2_PLACEMENT_3D('',#592687,#467121,#467122); #376973=AXIS2_PLACEMENT_3D('',#592693,#467126,#467127); #376974=AXIS2_PLACEMENT_3D('',#592699,#467131,#467132); #376975=AXIS2_PLACEMENT_3D('',#592705,#467136,#467137); #376976=AXIS2_PLACEMENT_3D('',#592708,#467140,#467141); #376977=AXIS2_PLACEMENT_3D('',#592709,#467142,#467143); #376978=AXIS2_PLACEMENT_3D('',#592710,#467144,#467145); #376979=AXIS2_PLACEMENT_3D('',#592713,#467146,#467147); #376980=AXIS2_PLACEMENT_3D('',#592719,#467151,#467152); #376981=AXIS2_PLACEMENT_3D('',#592722,#467153,#467154); #376982=AXIS2_PLACEMENT_3D('',#592725,#467157,#467158); #376983=AXIS2_PLACEMENT_3D('',#592731,#467162,#467163); #376984=AXIS2_PLACEMENT_3D('',#592737,#467167,#467168); #376985=AXIS2_PLACEMENT_3D('',#592743,#467172,#467173); #376986=AXIS2_PLACEMENT_3D('',#592746,#467176,#467177); #376987=AXIS2_PLACEMENT_3D('',#592747,#467178,#467179); #376988=AXIS2_PLACEMENT_3D('',#592748,#467180,#467181); #376989=AXIS2_PLACEMENT_3D('',#592751,#467182,#467183); #376990=AXIS2_PLACEMENT_3D('',#592757,#467187,#467188); #376991=AXIS2_PLACEMENT_3D('',#592760,#467189,#467190); #376992=AXIS2_PLACEMENT_3D('',#592763,#467193,#467194); #376993=AXIS2_PLACEMENT_3D('',#592769,#467198,#467199); #376994=AXIS2_PLACEMENT_3D('',#592775,#467203,#467204); #376995=AXIS2_PLACEMENT_3D('',#592781,#467208,#467209); #376996=AXIS2_PLACEMENT_3D('',#592784,#467212,#467213); #376997=AXIS2_PLACEMENT_3D('',#592785,#467214,#467215); #376998=AXIS2_PLACEMENT_3D('',#592786,#467216,#467217); #376999=AXIS2_PLACEMENT_3D('',#592789,#467218,#467219); #377000=AXIS2_PLACEMENT_3D('',#592795,#467223,#467224); #377001=AXIS2_PLACEMENT_3D('',#592798,#467225,#467226); #377002=AXIS2_PLACEMENT_3D('',#592801,#467229,#467230); #377003=AXIS2_PLACEMENT_3D('',#592807,#467234,#467235); #377004=AXIS2_PLACEMENT_3D('',#592813,#467239,#467240); #377005=AXIS2_PLACEMENT_3D('',#592819,#467244,#467245); #377006=AXIS2_PLACEMENT_3D('',#592822,#467248,#467249); #377007=AXIS2_PLACEMENT_3D('',#592823,#467250,#467251); #377008=AXIS2_PLACEMENT_3D('',#592824,#467252,#467253); #377009=AXIS2_PLACEMENT_3D('',#592827,#467254,#467255); #377010=AXIS2_PLACEMENT_3D('',#592833,#467259,#467260); #377011=AXIS2_PLACEMENT_3D('',#592836,#467261,#467262); #377012=AXIS2_PLACEMENT_3D('',#592839,#467265,#467266); #377013=AXIS2_PLACEMENT_3D('',#592845,#467270,#467271); #377014=AXIS2_PLACEMENT_3D('',#592851,#467275,#467276); #377015=AXIS2_PLACEMENT_3D('',#592857,#467280,#467281); #377016=AXIS2_PLACEMENT_3D('',#592860,#467284,#467285); #377017=AXIS2_PLACEMENT_3D('',#592861,#467286,#467287); #377018=AXIS2_PLACEMENT_3D('',#592862,#467288,#467289); #377019=AXIS2_PLACEMENT_3D('',#592865,#467290,#467291); #377020=AXIS2_PLACEMENT_3D('',#592871,#467295,#467296); #377021=AXIS2_PLACEMENT_3D('',#592874,#467297,#467298); #377022=AXIS2_PLACEMENT_3D('',#592877,#467301,#467302); #377023=AXIS2_PLACEMENT_3D('',#592883,#467306,#467307); #377024=AXIS2_PLACEMENT_3D('',#592889,#467311,#467312); #377025=AXIS2_PLACEMENT_3D('',#592895,#467316,#467317); #377026=AXIS2_PLACEMENT_3D('',#592898,#467320,#467321); #377027=AXIS2_PLACEMENT_3D('',#592899,#467322,#467323); #377028=AXIS2_PLACEMENT_3D('',#592900,#467324,#467325); #377029=AXIS2_PLACEMENT_3D('',#592903,#467326,#467327); #377030=AXIS2_PLACEMENT_3D('',#592909,#467331,#467332); #377031=AXIS2_PLACEMENT_3D('',#592912,#467333,#467334); #377032=AXIS2_PLACEMENT_3D('',#592915,#467337,#467338); #377033=AXIS2_PLACEMENT_3D('',#592921,#467342,#467343); #377034=AXIS2_PLACEMENT_3D('',#592927,#467347,#467348); #377035=AXIS2_PLACEMENT_3D('',#592933,#467352,#467353); #377036=AXIS2_PLACEMENT_3D('',#592936,#467356,#467357); #377037=AXIS2_PLACEMENT_3D('',#592937,#467358,#467359); #377038=AXIS2_PLACEMENT_3D('',#592938,#467360,#467361); #377039=AXIS2_PLACEMENT_3D('',#592941,#467362,#467363); #377040=AXIS2_PLACEMENT_3D('',#592947,#467367,#467368); #377041=AXIS2_PLACEMENT_3D('',#592950,#467369,#467370); #377042=AXIS2_PLACEMENT_3D('',#592953,#467373,#467374); #377043=AXIS2_PLACEMENT_3D('',#592959,#467378,#467379); #377044=AXIS2_PLACEMENT_3D('',#592965,#467383,#467384); #377045=AXIS2_PLACEMENT_3D('',#592971,#467388,#467389); #377046=AXIS2_PLACEMENT_3D('',#592974,#467392,#467393); #377047=AXIS2_PLACEMENT_3D('',#592975,#467394,#467395); #377048=AXIS2_PLACEMENT_3D('',#592976,#467396,#467397); #377049=AXIS2_PLACEMENT_3D('',#592979,#467398,#467399); #377050=AXIS2_PLACEMENT_3D('',#592985,#467403,#467404); #377051=AXIS2_PLACEMENT_3D('',#592988,#467405,#467406); #377052=AXIS2_PLACEMENT_3D('',#592991,#467409,#467410); #377053=AXIS2_PLACEMENT_3D('',#592997,#467414,#467415); #377054=AXIS2_PLACEMENT_3D('',#593003,#467419,#467420); #377055=AXIS2_PLACEMENT_3D('',#593009,#467424,#467425); #377056=AXIS2_PLACEMENT_3D('',#593012,#467428,#467429); #377057=AXIS2_PLACEMENT_3D('',#593013,#467430,#467431); #377058=AXIS2_PLACEMENT_3D('',#593014,#467432,#467433); #377059=AXIS2_PLACEMENT_3D('',#593017,#467434,#467435); #377060=AXIS2_PLACEMENT_3D('',#593023,#467439,#467440); #377061=AXIS2_PLACEMENT_3D('',#593026,#467441,#467442); #377062=AXIS2_PLACEMENT_3D('',#593029,#467445,#467446); #377063=AXIS2_PLACEMENT_3D('',#593035,#467450,#467451); #377064=AXIS2_PLACEMENT_3D('',#593041,#467455,#467456); #377065=AXIS2_PLACEMENT_3D('',#593047,#467460,#467461); #377066=AXIS2_PLACEMENT_3D('',#593050,#467464,#467465); #377067=AXIS2_PLACEMENT_3D('',#593051,#467466,#467467); #377068=AXIS2_PLACEMENT_3D('',#593052,#467468,#467469); #377069=AXIS2_PLACEMENT_3D('',#593055,#467470,#467471); #377070=AXIS2_PLACEMENT_3D('',#593061,#467475,#467476); #377071=AXIS2_PLACEMENT_3D('',#593064,#467477,#467478); #377072=AXIS2_PLACEMENT_3D('',#593067,#467481,#467482); #377073=AXIS2_PLACEMENT_3D('',#593073,#467486,#467487); #377074=AXIS2_PLACEMENT_3D('',#593079,#467491,#467492); #377075=AXIS2_PLACEMENT_3D('',#593085,#467496,#467497); #377076=AXIS2_PLACEMENT_3D('',#593088,#467500,#467501); #377077=AXIS2_PLACEMENT_3D('',#593089,#467502,#467503); #377078=AXIS2_PLACEMENT_3D('',#593090,#467504,#467505); #377079=AXIS2_PLACEMENT_3D('',#593093,#467506,#467507); #377080=AXIS2_PLACEMENT_3D('',#593099,#467511,#467512); #377081=AXIS2_PLACEMENT_3D('',#593102,#467513,#467514); #377082=AXIS2_PLACEMENT_3D('',#593105,#467517,#467518); #377083=AXIS2_PLACEMENT_3D('',#593111,#467522,#467523); #377084=AXIS2_PLACEMENT_3D('',#593117,#467527,#467528); #377085=AXIS2_PLACEMENT_3D('',#593123,#467532,#467533); #377086=AXIS2_PLACEMENT_3D('',#593126,#467536,#467537); #377087=AXIS2_PLACEMENT_3D('',#593127,#467538,#467539); #377088=AXIS2_PLACEMENT_3D('',#593128,#467540,#467541); #377089=AXIS2_PLACEMENT_3D('',#593131,#467542,#467543); #377090=AXIS2_PLACEMENT_3D('',#593137,#467547,#467548); #377091=AXIS2_PLACEMENT_3D('',#593140,#467549,#467550); #377092=AXIS2_PLACEMENT_3D('',#593143,#467553,#467554); #377093=AXIS2_PLACEMENT_3D('',#593149,#467558,#467559); #377094=AXIS2_PLACEMENT_3D('',#593155,#467563,#467564); #377095=AXIS2_PLACEMENT_3D('',#593161,#467568,#467569); #377096=AXIS2_PLACEMENT_3D('',#593164,#467572,#467573); #377097=AXIS2_PLACEMENT_3D('',#593165,#467574,#467575); #377098=AXIS2_PLACEMENT_3D('',#593166,#467576,#467577); #377099=AXIS2_PLACEMENT_3D('',#593169,#467578,#467579); #377100=AXIS2_PLACEMENT_3D('',#593175,#467583,#467584); #377101=AXIS2_PLACEMENT_3D('',#593178,#467585,#467586); #377102=AXIS2_PLACEMENT_3D('',#593181,#467589,#467590); #377103=AXIS2_PLACEMENT_3D('',#593187,#467594,#467595); #377104=AXIS2_PLACEMENT_3D('',#593193,#467599,#467600); #377105=AXIS2_PLACEMENT_3D('',#593199,#467604,#467605); #377106=AXIS2_PLACEMENT_3D('',#593202,#467608,#467609); #377107=AXIS2_PLACEMENT_3D('',#593203,#467610,#467611); #377108=AXIS2_PLACEMENT_3D('',#593204,#467612,#467613); #377109=AXIS2_PLACEMENT_3D('',#593207,#467614,#467615); #377110=AXIS2_PLACEMENT_3D('',#593213,#467619,#467620); #377111=AXIS2_PLACEMENT_3D('',#593216,#467621,#467622); #377112=AXIS2_PLACEMENT_3D('',#593219,#467625,#467626); #377113=AXIS2_PLACEMENT_3D('',#593225,#467630,#467631); #377114=AXIS2_PLACEMENT_3D('',#593231,#467635,#467636); #377115=AXIS2_PLACEMENT_3D('',#593237,#467640,#467641); #377116=AXIS2_PLACEMENT_3D('',#593240,#467644,#467645); #377117=AXIS2_PLACEMENT_3D('',#593241,#467646,#467647); #377118=AXIS2_PLACEMENT_3D('',#593242,#467648,#467649); #377119=AXIS2_PLACEMENT_3D('',#593245,#467650,#467651); #377120=AXIS2_PLACEMENT_3D('',#593251,#467655,#467656); #377121=AXIS2_PLACEMENT_3D('',#593254,#467657,#467658); #377122=AXIS2_PLACEMENT_3D('',#593257,#467661,#467662); #377123=AXIS2_PLACEMENT_3D('',#593263,#467666,#467667); #377124=AXIS2_PLACEMENT_3D('',#593269,#467671,#467672); #377125=AXIS2_PLACEMENT_3D('',#593275,#467676,#467677); #377126=AXIS2_PLACEMENT_3D('',#593278,#467680,#467681); #377127=AXIS2_PLACEMENT_3D('',#593279,#467682,#467683); #377128=AXIS2_PLACEMENT_3D('',#593280,#467684,#467685); #377129=AXIS2_PLACEMENT_3D('',#593283,#467686,#467687); #377130=AXIS2_PLACEMENT_3D('',#593289,#467691,#467692); #377131=AXIS2_PLACEMENT_3D('',#593292,#467693,#467694); #377132=AXIS2_PLACEMENT_3D('',#593295,#467697,#467698); #377133=AXIS2_PLACEMENT_3D('',#593301,#467702,#467703); #377134=AXIS2_PLACEMENT_3D('',#593307,#467707,#467708); #377135=AXIS2_PLACEMENT_3D('',#593313,#467712,#467713); #377136=AXIS2_PLACEMENT_3D('',#593316,#467716,#467717); #377137=AXIS2_PLACEMENT_3D('',#593317,#467718,#467719); #377138=AXIS2_PLACEMENT_3D('',#593318,#467720,#467721); #377139=AXIS2_PLACEMENT_3D('',#593321,#467722,#467723); #377140=AXIS2_PLACEMENT_3D('',#593327,#467727,#467728); #377141=AXIS2_PLACEMENT_3D('',#593330,#467729,#467730); #377142=AXIS2_PLACEMENT_3D('',#593333,#467733,#467734); #377143=AXIS2_PLACEMENT_3D('',#593339,#467738,#467739); #377144=AXIS2_PLACEMENT_3D('',#593345,#467743,#467744); #377145=AXIS2_PLACEMENT_3D('',#593351,#467748,#467749); #377146=AXIS2_PLACEMENT_3D('',#593354,#467752,#467753); #377147=AXIS2_PLACEMENT_3D('',#593355,#467754,#467755); #377148=AXIS2_PLACEMENT_3D('',#593356,#467756,#467757); #377149=AXIS2_PLACEMENT_3D('',#593359,#467758,#467759); #377150=AXIS2_PLACEMENT_3D('',#593365,#467763,#467764); #377151=AXIS2_PLACEMENT_3D('',#593368,#467765,#467766); #377152=AXIS2_PLACEMENT_3D('',#593371,#467769,#467770); #377153=AXIS2_PLACEMENT_3D('',#593377,#467774,#467775); #377154=AXIS2_PLACEMENT_3D('',#593383,#467779,#467780); #377155=AXIS2_PLACEMENT_3D('',#593389,#467784,#467785); #377156=AXIS2_PLACEMENT_3D('',#593392,#467788,#467789); #377157=AXIS2_PLACEMENT_3D('',#593393,#467790,#467791); #377158=AXIS2_PLACEMENT_3D('',#593394,#467792,#467793); #377159=AXIS2_PLACEMENT_3D('',#593403,#467798,#467799); #377160=AXIS2_PLACEMENT_3D('',#593409,#467803,#467804); #377161=AXIS2_PLACEMENT_3D('',#593415,#467808,#467809); #377162=AXIS2_PLACEMENT_3D('',#593418,#467812,#467813); #377163=AXIS2_PLACEMENT_3D('',#593419,#467814,#467815); #377164=AXIS2_PLACEMENT_3D('',#593420,#467816,#467817); #377165=AXIS2_PLACEMENT_3D('',#593421,#467818,#467819); #377166=AXIS2_PLACEMENT_3D('',#593422,#467820,#467821); #377167=AXIS2_PLACEMENT_3D('',#593423,#467822,#467823); #377168=AXIS2_PLACEMENT_3D('',#593426,#467824,#467825); #377169=AXIS2_PLACEMENT_3D('',#593428,#467826,#467827); #377170=AXIS2_PLACEMENT_3D('',#593429,#467828,#467829); #377171=AXIS2_PLACEMENT_3D('',#593430,#467830,#467831); #377172=AXIS2_PLACEMENT_3D('',#593433,#467832,#467833); #377173=AXIS2_PLACEMENT_3D('',#593435,#467834,#467835); #377174=AXIS2_PLACEMENT_3D('',#593436,#467836,#467837); #377175=AXIS2_PLACEMENT_3D('',#593437,#467838,#467839); #377176=AXIS2_PLACEMENT_3D('',#593440,#467840,#467841); #377177=AXIS2_PLACEMENT_3D('',#593442,#467842,#467843); #377178=AXIS2_PLACEMENT_3D('',#593443,#467844,#467845); #377179=AXIS2_PLACEMENT_3D('',#593444,#467846,#467847); #377180=AXIS2_PLACEMENT_3D('',#593447,#467848,#467849); #377181=AXIS2_PLACEMENT_3D('',#593449,#467850,#467851); #377182=AXIS2_PLACEMENT_3D('',#593450,#467852,#467853); #377183=AXIS2_PLACEMENT_3D('',#593451,#467854,#467855); #377184=AXIS2_PLACEMENT_3D('',#593454,#467856,#467857); #377185=AXIS2_PLACEMENT_3D('',#593456,#467858,#467859); #377186=AXIS2_PLACEMENT_3D('',#593457,#467860,#467861); #377187=AXIS2_PLACEMENT_3D('',#593458,#467862,#467863); #377188=AXIS2_PLACEMENT_3D('',#593461,#467866,#467867); #377189=AXIS2_PLACEMENT_3D('',#593464,#467868,#467869); #377190=AXIS2_PLACEMENT_3D('',#593466,#467870,#467871); #377191=AXIS2_PLACEMENT_3D('',#593467,#467872,#467873); #377192=AXIS2_PLACEMENT_3D('',#593468,#467874,#467875); #377193=AXIS2_PLACEMENT_3D('',#593471,#467876,#467877); #377194=AXIS2_PLACEMENT_3D('',#593473,#467878,#467879); #377195=AXIS2_PLACEMENT_3D('',#593474,#467880,#467881); #377196=AXIS2_PLACEMENT_3D('',#593475,#467882,#467883); #377197=AXIS2_PLACEMENT_3D('',#593478,#467886,#467887); #377198=AXIS2_PLACEMENT_3D('',#593481,#467890,#467891); #377199=AXIS2_PLACEMENT_3D('',#593484,#467892,#467893); #377200=AXIS2_PLACEMENT_3D('',#593486,#467894,#467895); #377201=AXIS2_PLACEMENT_3D('',#593487,#467896,#467897); #377202=AXIS2_PLACEMENT_3D('',#593488,#467898,#467899); #377203=AXIS2_PLACEMENT_3D('',#593491,#467902,#467903); #377204=AXIS2_PLACEMENT_3D('',#593494,#467906,#467907); #377205=AXIS2_PLACEMENT_3D('',#593497,#467910,#467911); #377206=AXIS2_PLACEMENT_3D('',#593500,#467914,#467915); #377207=AXIS2_PLACEMENT_3D('',#593503,#467918,#467919); #377208=AXIS2_PLACEMENT_3D('',#593506,#467922,#467923); #377209=AXIS2_PLACEMENT_3D('',#593509,#467926,#467927); #377210=AXIS2_PLACEMENT_3D('',#593512,#467930,#467931); #377211=AXIS2_PLACEMENT_3D('',#593515,#467934,#467935); #377212=AXIS2_PLACEMENT_3D('',#593516,#467936,#467937); #377213=AXIS2_PLACEMENT_3D('',#593517,#467938,#467939); #377214=AXIS2_PLACEMENT_3D('',#593518,#467940,#467941); #377215=AXIS2_PLACEMENT_3D('',#593519,#467942,#467943); #377216=AXIS2_PLACEMENT_3D('',#593520,#467944,#467945); #377217=AXIS2_PLACEMENT_3D('',#593521,#467946,#467947); #377218=AXIS2_PLACEMENT_3D('',#593524,#467948,#467949); #377219=AXIS2_PLACEMENT_3D('',#593526,#467950,#467951); #377220=AXIS2_PLACEMENT_3D('',#593527,#467952,#467953); #377221=AXIS2_PLACEMENT_3D('',#593528,#467954,#467955); #377222=AXIS2_PLACEMENT_3D('',#593531,#467956,#467957); #377223=AXIS2_PLACEMENT_3D('',#593533,#467958,#467959); #377224=AXIS2_PLACEMENT_3D('',#593534,#467960,#467961); #377225=AXIS2_PLACEMENT_3D('',#593535,#467962,#467963); #377226=AXIS2_PLACEMENT_3D('',#593538,#467964,#467965); #377227=AXIS2_PLACEMENT_3D('',#593540,#467966,#467967); #377228=AXIS2_PLACEMENT_3D('',#593541,#467968,#467969); #377229=AXIS2_PLACEMENT_3D('',#593542,#467970,#467971); #377230=AXIS2_PLACEMENT_3D('',#593545,#467972,#467973); #377231=AXIS2_PLACEMENT_3D('',#593547,#467974,#467975); #377232=AXIS2_PLACEMENT_3D('',#593548,#467976,#467977); #377233=AXIS2_PLACEMENT_3D('',#593549,#467978,#467979); #377234=AXIS2_PLACEMENT_3D('',#593552,#467980,#467981); #377235=AXIS2_PLACEMENT_3D('',#593554,#467982,#467983); #377236=AXIS2_PLACEMENT_3D('',#593555,#467984,#467985); #377237=AXIS2_PLACEMENT_3D('',#593556,#467986,#467987); #377238=AXIS2_PLACEMENT_3D('',#593559,#467990,#467991); #377239=AXIS2_PLACEMENT_3D('',#593562,#467992,#467993); #377240=AXIS2_PLACEMENT_3D('',#593564,#467994,#467995); #377241=AXIS2_PLACEMENT_3D('',#593565,#467996,#467997); #377242=AXIS2_PLACEMENT_3D('',#593566,#467998,#467999); #377243=AXIS2_PLACEMENT_3D('',#593569,#468000,#468001); #377244=AXIS2_PLACEMENT_3D('',#593571,#468002,#468003); #377245=AXIS2_PLACEMENT_3D('',#593572,#468004,#468005); #377246=AXIS2_PLACEMENT_3D('',#593573,#468006,#468007); #377247=AXIS2_PLACEMENT_3D('',#593576,#468010,#468011); #377248=AXIS2_PLACEMENT_3D('',#593579,#468014,#468015); #377249=AXIS2_PLACEMENT_3D('',#593582,#468016,#468017); #377250=AXIS2_PLACEMENT_3D('',#593584,#468018,#468019); #377251=AXIS2_PLACEMENT_3D('',#593585,#468020,#468021); #377252=AXIS2_PLACEMENT_3D('',#593586,#468022,#468023); #377253=AXIS2_PLACEMENT_3D('',#593589,#468026,#468027); #377254=AXIS2_PLACEMENT_3D('',#593592,#468030,#468031); #377255=AXIS2_PLACEMENT_3D('',#593595,#468034,#468035); #377256=AXIS2_PLACEMENT_3D('',#593598,#468038,#468039); #377257=AXIS2_PLACEMENT_3D('',#593601,#468042,#468043); #377258=AXIS2_PLACEMENT_3D('',#593604,#468046,#468047); #377259=AXIS2_PLACEMENT_3D('',#593607,#468050,#468051); #377260=AXIS2_PLACEMENT_3D('',#593610,#468054,#468055); #377261=AXIS2_PLACEMENT_3D('',#593613,#468058,#468059); #377262=AXIS2_PLACEMENT_3D('',#593614,#468060,#468061); #377263=AXIS2_PLACEMENT_3D('',#593615,#468062,#468063); #377264=AXIS2_PLACEMENT_3D('',#593616,#468064,#468065); #377265=AXIS2_PLACEMENT_3D('',#593617,#468066,#468067); #377266=AXIS2_PLACEMENT_3D('',#593618,#468068,#468069); #377267=AXIS2_PLACEMENT_3D('',#593619,#468070,#468071); #377268=AXIS2_PLACEMENT_3D('',#593628,#468076,#468077); #377269=AXIS2_PLACEMENT_3D('',#593634,#468081,#468082); #377270=AXIS2_PLACEMENT_3D('',#593640,#468086,#468087); #377271=AXIS2_PLACEMENT_3D('',#593643,#468090,#468091); #377272=AXIS2_PLACEMENT_3D('',#593644,#468092,#468093); #377273=AXIS2_PLACEMENT_3D('',#593645,#468094,#468095); #377274=AXIS2_PLACEMENT_3D('',#593646,#468096,#468097); #377275=AXIS2_PLACEMENT_3D('',#593647,#468098,#468099); #377276=AXIS2_PLACEMENT_3D('',#593648,#468100,#468101); #377277=AXIS2_PLACEMENT_3D('',#593657,#468106,#468107); #377278=AXIS2_PLACEMENT_3D('',#593663,#468111,#468112); #377279=AXIS2_PLACEMENT_3D('',#593669,#468116,#468117); #377280=AXIS2_PLACEMENT_3D('',#593672,#468120,#468121); #377281=AXIS2_PLACEMENT_3D('',#593678,#468125,#468126); #377282=AXIS2_PLACEMENT_3D('',#593682,#468129,#468130); #377283=AXIS2_PLACEMENT_3D('',#593683,#468131,#468132); #377284=AXIS2_PLACEMENT_3D('',#593687,#468135,#468136); #377285=AXIS2_PLACEMENT_3D('',#593689,#468138,#468139); #377286=AXIS2_PLACEMENT_3D('',#593690,#468140,#468141); #377287=AXIS2_PLACEMENT_3D('',#593699,#468146,#468147); #377288=AXIS2_PLACEMENT_3D('',#593705,#468151,#468152); #377289=AXIS2_PLACEMENT_3D('',#593711,#468156,#468157); #377290=AXIS2_PLACEMENT_3D('',#593714,#468160,#468161); #377291=AXIS2_PLACEMENT_3D('',#593715,#468162,#468163); #377292=AXIS2_PLACEMENT_3D('',#593716,#468164,#468165); #377293=AXIS2_PLACEMENT_3D('',#593725,#468170,#468171); #377294=AXIS2_PLACEMENT_3D('',#593731,#468175,#468176); #377295=AXIS2_PLACEMENT_3D('',#593737,#468180,#468181); #377296=AXIS2_PLACEMENT_3D('',#593740,#468184,#468185); #377297=AXIS2_PLACEMENT_3D('',#593741,#468186,#468187); #377298=AXIS2_PLACEMENT_3D('',#593742,#468188,#468189); #377299=AXIS2_PLACEMENT_3D('',#593751,#468194,#468195); #377300=AXIS2_PLACEMENT_3D('',#593757,#468199,#468200); #377301=AXIS2_PLACEMENT_3D('',#593763,#468204,#468205); #377302=AXIS2_PLACEMENT_3D('',#593766,#468208,#468209); #377303=AXIS2_PLACEMENT_3D('',#593767,#468210,#468211); #377304=AXIS2_PLACEMENT_3D('',#593768,#468212,#468213); #377305=AXIS2_PLACEMENT_3D('',#593777,#468218,#468219); #377306=AXIS2_PLACEMENT_3D('',#593783,#468223,#468224); #377307=AXIS2_PLACEMENT_3D('',#593789,#468228,#468229); #377308=AXIS2_PLACEMENT_3D('',#593792,#468232,#468233); #377309=AXIS2_PLACEMENT_3D('',#593793,#468234,#468235); #377310=AXIS2_PLACEMENT_3D('',#593794,#468236,#468237); #377311=AXIS2_PLACEMENT_3D('',#593803,#468242,#468243); #377312=AXIS2_PLACEMENT_3D('',#593809,#468247,#468248); #377313=AXIS2_PLACEMENT_3D('',#593815,#468252,#468253); #377314=AXIS2_PLACEMENT_3D('',#593818,#468256,#468257); #377315=AXIS2_PLACEMENT_3D('',#593819,#468258,#468259); #377316=AXIS2_PLACEMENT_3D('',#593820,#468260,#468261); #377317=AXIS2_PLACEMENT_3D('',#593821,#468262,#468263); #377318=AXIS2_PLACEMENT_3D('',#593822,#468264,#468265); #377319=AXIS2_PLACEMENT_3D('',#593823,#468266,#468267); #377320=AXIS2_PLACEMENT_3D('',#593825,#468268,#468269); #377321=AXIS2_PLACEMENT_3D('',#593828,#468271,#468272); #377322=AXIS2_PLACEMENT_3D('',#593829,#468273,#468274); #377323=AXIS2_PLACEMENT_3D('',#593830,#468275,#468276); #377324=AXIS2_PLACEMENT_3D('',#593839,#468281,#468282); #377325=AXIS2_PLACEMENT_3D('',#593845,#468286,#468287); #377326=AXIS2_PLACEMENT_3D('',#593851,#468291,#468292); #377327=AXIS2_PLACEMENT_3D('',#593854,#468295,#468296); #377328=AXIS2_PLACEMENT_3D('',#593860,#468300,#468301); #377329=AXIS2_PLACEMENT_3D('',#593864,#468304,#468305); #377330=AXIS2_PLACEMENT_3D('',#593865,#468306,#468307); #377331=AXIS2_PLACEMENT_3D('',#593873,#468312,#468313); #377332=AXIS2_PLACEMENT_3D('',#593877,#468316,#468317); #377333=AXIS2_PLACEMENT_3D('',#593882,#468321,#468322); #377334=AXIS2_PLACEMENT_3D('',#593884,#468324,#468325); #377335=AXIS2_PLACEMENT_3D('',#593886,#468327,#468328); #377336=AXIS2_PLACEMENT_3D('',#593887,#468329,#468330); #377337=AXIS2_PLACEMENT_3D('',#593888,#468331,#468332); #377338=AXIS2_PLACEMENT_3D('',#593891,#468333,#468334); #377339=AXIS2_PLACEMENT_3D('',#593895,#468336,#468337); #377340=AXIS2_PLACEMENT_3D('',#593903,#468341,#468342); #377341=AXIS2_PLACEMENT_3D('',#593907,#468344,#468345); #377342=AXIS2_PLACEMENT_3D('',#593913,#468349,#468350); #377343=AXIS2_PLACEMENT_3D('',#593919,#468354,#468355); #377344=AXIS2_PLACEMENT_3D('',#593921,#468356,#468357); #377345=AXIS2_PLACEMENT_3D('',#593923,#468359,#468360); #377346=AXIS2_PLACEMENT_3D('',#593927,#468363,#468364); #377347=AXIS2_PLACEMENT_3D('',#593931,#468367,#468368); #377348=AXIS2_PLACEMENT_3D('',#593935,#468371,#468372); #377349=AXIS2_PLACEMENT_3D('',#593937,#468373,#468374); #377350=AXIS2_PLACEMENT_3D('',#593939,#468376,#468377); #377351=AXIS2_PLACEMENT_3D('',#593943,#468380,#468381); #377352=AXIS2_PLACEMENT_3D('',#593945,#468382,#468383); #377353=AXIS2_PLACEMENT_3D('',#593947,#468385,#468386); #377354=AXIS2_PLACEMENT_3D('',#593951,#468389,#468390); #377355=AXIS2_PLACEMENT_3D('',#593955,#468393,#468394); #377356=AXIS2_PLACEMENT_3D('',#593959,#468397,#468398); #377357=AXIS2_PLACEMENT_3D('',#593960,#468399,#468400); #377358=AXIS2_PLACEMENT_3D('',#593961,#468401,#468402); #377359=AXIS2_PLACEMENT_3D('',#593962,#468403,#468404); #377360=AXIS2_PLACEMENT_3D('',#593965,#468405,#468406); #377361=AXIS2_PLACEMENT_3D('',#593973,#468410,#468411); #377362=AXIS2_PLACEMENT_3D('',#593977,#468413,#468414); #377363=AXIS2_PLACEMENT_3D('',#593985,#468418,#468419); #377364=AXIS2_PLACEMENT_3D('',#593987,#468421,#468422); #377365=AXIS2_PLACEMENT_3D('',#593993,#468426,#468427); #377366=AXIS2_PLACEMENT_3D('',#593996,#468429,#468430); #377367=AXIS2_PLACEMENT_3D('',#593997,#468431,#468432); #377368=AXIS2_PLACEMENT_3D('',#594001,#468435,#468436); #377369=AXIS2_PLACEMENT_3D('',#594005,#468439,#468440); #377370=AXIS2_PLACEMENT_3D('',#594009,#468443,#468444); #377371=AXIS2_PLACEMENT_3D('',#594012,#468446,#468447); #377372=AXIS2_PLACEMENT_3D('',#594013,#468448,#468449); #377373=AXIS2_PLACEMENT_3D('',#594017,#468452,#468453); #377374=AXIS2_PLACEMENT_3D('',#594020,#468455,#468456); #377375=AXIS2_PLACEMENT_3D('',#594021,#468457,#468458); #377376=AXIS2_PLACEMENT_3D('',#594025,#468461,#468462); #377377=AXIS2_PLACEMENT_3D('',#594029,#468465,#468466); #377378=AXIS2_PLACEMENT_3D('',#594033,#468469,#468470); #377379=AXIS2_PLACEMENT_3D('',#594034,#468471,#468472); #377380=AXIS2_PLACEMENT_3D('',#594035,#468473,#468474); #377381=AXIS2_PLACEMENT_3D('',#594036,#468475,#468476); #377382=AXIS2_PLACEMENT_3D('',#594039,#468477,#468478); #377383=AXIS2_PLACEMENT_3D('',#594043,#468480,#468481); #377384=AXIS2_PLACEMENT_3D('',#594051,#468485,#468486); #377385=AXIS2_PLACEMENT_3D('',#594055,#468488,#468489); #377386=AXIS2_PLACEMENT_3D('',#594061,#468493,#468494); #377387=AXIS2_PLACEMENT_3D('',#594067,#468498,#468499); #377388=AXIS2_PLACEMENT_3D('',#594069,#468500,#468501); #377389=AXIS2_PLACEMENT_3D('',#594071,#468503,#468504); #377390=AXIS2_PLACEMENT_3D('',#594075,#468507,#468508); #377391=AXIS2_PLACEMENT_3D('',#594079,#468511,#468512); #377392=AXIS2_PLACEMENT_3D('',#594083,#468515,#468516); #377393=AXIS2_PLACEMENT_3D('',#594085,#468517,#468518); #377394=AXIS2_PLACEMENT_3D('',#594087,#468520,#468521); #377395=AXIS2_PLACEMENT_3D('',#594091,#468524,#468525); #377396=AXIS2_PLACEMENT_3D('',#594093,#468526,#468527); #377397=AXIS2_PLACEMENT_3D('',#594095,#468529,#468530); #377398=AXIS2_PLACEMENT_3D('',#594099,#468533,#468534); #377399=AXIS2_PLACEMENT_3D('',#594103,#468537,#468538); #377400=AXIS2_PLACEMENT_3D('',#594107,#468541,#468542); #377401=AXIS2_PLACEMENT_3D('',#594108,#468543,#468544); #377402=AXIS2_PLACEMENT_3D('',#594109,#468545,#468546); #377403=AXIS2_PLACEMENT_3D('',#594110,#468547,#468548); #377404=AXIS2_PLACEMENT_3D('',#594113,#468549,#468550); #377405=AXIS2_PLACEMENT_3D('',#594117,#468552,#468553); #377406=AXIS2_PLACEMENT_3D('',#594125,#468557,#468558); #377407=AXIS2_PLACEMENT_3D('',#594129,#468560,#468561); #377408=AXIS2_PLACEMENT_3D('',#594135,#468565,#468566); #377409=AXIS2_PLACEMENT_3D('',#594141,#468570,#468571); #377410=AXIS2_PLACEMENT_3D('',#594143,#468572,#468573); #377411=AXIS2_PLACEMENT_3D('',#594145,#468575,#468576); #377412=AXIS2_PLACEMENT_3D('',#594149,#468579,#468580); #377413=AXIS2_PLACEMENT_3D('',#594153,#468583,#468584); #377414=AXIS2_PLACEMENT_3D('',#594157,#468587,#468588); #377415=AXIS2_PLACEMENT_3D('',#594159,#468589,#468590); #377416=AXIS2_PLACEMENT_3D('',#594161,#468592,#468593); #377417=AXIS2_PLACEMENT_3D('',#594165,#468596,#468597); #377418=AXIS2_PLACEMENT_3D('',#594167,#468598,#468599); #377419=AXIS2_PLACEMENT_3D('',#594169,#468601,#468602); #377420=AXIS2_PLACEMENT_3D('',#594173,#468605,#468606); #377421=AXIS2_PLACEMENT_3D('',#594177,#468609,#468610); #377422=AXIS2_PLACEMENT_3D('',#594181,#468613,#468614); #377423=AXIS2_PLACEMENT_3D('',#594182,#468615,#468616); #377424=AXIS2_PLACEMENT_3D('',#594183,#468617,#468618); #377425=AXIS2_PLACEMENT_3D('',#594184,#468619,#468620); #377426=AXIS2_PLACEMENT_3D('',#594187,#468621,#468622); #377427=AXIS2_PLACEMENT_3D('',#594191,#468624,#468625); #377428=AXIS2_PLACEMENT_3D('',#594199,#468629,#468630); #377429=AXIS2_PLACEMENT_3D('',#594203,#468632,#468633); #377430=AXIS2_PLACEMENT_3D('',#594209,#468637,#468638); #377431=AXIS2_PLACEMENT_3D('',#594215,#468642,#468643); #377432=AXIS2_PLACEMENT_3D('',#594217,#468644,#468645); #377433=AXIS2_PLACEMENT_3D('',#594219,#468647,#468648); #377434=AXIS2_PLACEMENT_3D('',#594223,#468651,#468652); #377435=AXIS2_PLACEMENT_3D('',#594227,#468655,#468656); #377436=AXIS2_PLACEMENT_3D('',#594231,#468659,#468660); #377437=AXIS2_PLACEMENT_3D('',#594233,#468661,#468662); #377438=AXIS2_PLACEMENT_3D('',#594235,#468664,#468665); #377439=AXIS2_PLACEMENT_3D('',#594239,#468668,#468669); #377440=AXIS2_PLACEMENT_3D('',#594241,#468670,#468671); #377441=AXIS2_PLACEMENT_3D('',#594243,#468673,#468674); #377442=AXIS2_PLACEMENT_3D('',#594247,#468677,#468678); #377443=AXIS2_PLACEMENT_3D('',#594251,#468681,#468682); #377444=AXIS2_PLACEMENT_3D('',#594255,#468685,#468686); #377445=AXIS2_PLACEMENT_3D('',#594256,#468687,#468688); #377446=AXIS2_PLACEMENT_3D('',#594257,#468689,#468690); #377447=AXIS2_PLACEMENT_3D('',#594258,#468691,#468692); #377448=AXIS2_PLACEMENT_3D('',#594261,#468693,#468694); #377449=AXIS2_PLACEMENT_3D('',#594269,#468698,#468699); #377450=AXIS2_PLACEMENT_3D('',#594273,#468701,#468702); #377451=AXIS2_PLACEMENT_3D('',#594281,#468706,#468707); #377452=AXIS2_PLACEMENT_3D('',#594283,#468709,#468710); #377453=AXIS2_PLACEMENT_3D('',#594289,#468714,#468715); #377454=AXIS2_PLACEMENT_3D('',#594292,#468717,#468718); #377455=AXIS2_PLACEMENT_3D('',#594293,#468719,#468720); #377456=AXIS2_PLACEMENT_3D('',#594297,#468723,#468724); #377457=AXIS2_PLACEMENT_3D('',#594301,#468727,#468728); #377458=AXIS2_PLACEMENT_3D('',#594305,#468731,#468732); #377459=AXIS2_PLACEMENT_3D('',#594308,#468734,#468735); #377460=AXIS2_PLACEMENT_3D('',#594309,#468736,#468737); #377461=AXIS2_PLACEMENT_3D('',#594313,#468740,#468741); #377462=AXIS2_PLACEMENT_3D('',#594316,#468743,#468744); #377463=AXIS2_PLACEMENT_3D('',#594317,#468745,#468746); #377464=AXIS2_PLACEMENT_3D('',#594321,#468749,#468750); #377465=AXIS2_PLACEMENT_3D('',#594325,#468753,#468754); #377466=AXIS2_PLACEMENT_3D('',#594329,#468757,#468758); #377467=AXIS2_PLACEMENT_3D('',#594330,#468759,#468760); #377468=AXIS2_PLACEMENT_3D('',#594331,#468761,#468762); #377469=AXIS2_PLACEMENT_3D('',#594332,#468763,#468764); #377470=AXIS2_PLACEMENT_3D('',#594335,#468765,#468766); #377471=AXIS2_PLACEMENT_3D('',#594343,#468770,#468771); #377472=AXIS2_PLACEMENT_3D('',#594347,#468773,#468774); #377473=AXIS2_PLACEMENT_3D('',#594355,#468778,#468779); #377474=AXIS2_PLACEMENT_3D('',#594357,#468781,#468782); #377475=AXIS2_PLACEMENT_3D('',#594363,#468786,#468787); #377476=AXIS2_PLACEMENT_3D('',#594366,#468789,#468790); #377477=AXIS2_PLACEMENT_3D('',#594367,#468791,#468792); #377478=AXIS2_PLACEMENT_3D('',#594371,#468795,#468796); #377479=AXIS2_PLACEMENT_3D('',#594375,#468799,#468800); #377480=AXIS2_PLACEMENT_3D('',#594379,#468803,#468804); #377481=AXIS2_PLACEMENT_3D('',#594382,#468806,#468807); #377482=AXIS2_PLACEMENT_3D('',#594383,#468808,#468809); #377483=AXIS2_PLACEMENT_3D('',#594387,#468812,#468813); #377484=AXIS2_PLACEMENT_3D('',#594390,#468815,#468816); #377485=AXIS2_PLACEMENT_3D('',#594391,#468817,#468818); #377486=AXIS2_PLACEMENT_3D('',#594395,#468821,#468822); #377487=AXIS2_PLACEMENT_3D('',#594399,#468825,#468826); #377488=AXIS2_PLACEMENT_3D('',#594403,#468829,#468830); #377489=AXIS2_PLACEMENT_3D('',#594404,#468831,#468832); #377490=AXIS2_PLACEMENT_3D('',#594405,#468833,#468834); #377491=AXIS2_PLACEMENT_3D('',#594406,#468835,#468836); #377492=AXIS2_PLACEMENT_3D('',#594409,#468837,#468838); #377493=AXIS2_PLACEMENT_3D('',#594417,#468842,#468843); #377494=AXIS2_PLACEMENT_3D('',#594421,#468845,#468846); #377495=AXIS2_PLACEMENT_3D('',#594429,#468850,#468851); #377496=AXIS2_PLACEMENT_3D('',#594431,#468853,#468854); #377497=AXIS2_PLACEMENT_3D('',#594437,#468858,#468859); #377498=AXIS2_PLACEMENT_3D('',#594440,#468861,#468862); #377499=AXIS2_PLACEMENT_3D('',#594441,#468863,#468864); #377500=AXIS2_PLACEMENT_3D('',#594445,#468867,#468868); #377501=AXIS2_PLACEMENT_3D('',#594449,#468871,#468872); #377502=AXIS2_PLACEMENT_3D('',#594453,#468875,#468876); #377503=AXIS2_PLACEMENT_3D('',#594456,#468878,#468879); #377504=AXIS2_PLACEMENT_3D('',#594457,#468880,#468881); #377505=AXIS2_PLACEMENT_3D('',#594461,#468884,#468885); #377506=AXIS2_PLACEMENT_3D('',#594464,#468887,#468888); #377507=AXIS2_PLACEMENT_3D('',#594465,#468889,#468890); #377508=AXIS2_PLACEMENT_3D('',#594469,#468893,#468894); #377509=AXIS2_PLACEMENT_3D('',#594473,#468897,#468898); #377510=AXIS2_PLACEMENT_3D('',#594477,#468901,#468902); #377511=AXIS2_PLACEMENT_3D('',#594478,#468903,#468904); #377512=AXIS2_PLACEMENT_3D('',#594479,#468905,#468906); #377513=AXIS2_PLACEMENT_3D('',#594480,#468907,#468908); #377514=AXIS2_PLACEMENT_3D('',#594481,#468909,#468910); #377515=AXIS2_PLACEMENT_3D('',#594482,#468911,#468912); #377516=AXIS2_PLACEMENT_3D('',#594483,#468913,#468914); #377517=AXIS2_PLACEMENT_3D('',#594485,#468915,#468916); #377518=AXIS2_PLACEMENT_3D('',#594488,#468918,#468919); #377519=AXIS2_PLACEMENT_3D('',#594489,#468920,#468921); #377520=AXIS2_PLACEMENT_3D('',#594490,#468922,#468923); #377521=AXIS2_PLACEMENT_3D('',#594499,#468928,#468929); #377522=AXIS2_PLACEMENT_3D('',#594505,#468933,#468934); #377523=AXIS2_PLACEMENT_3D('',#594511,#468938,#468939); #377524=AXIS2_PLACEMENT_3D('',#594514,#468942,#468943); #377525=AXIS2_PLACEMENT_3D('',#594520,#468947,#468948); #377526=AXIS2_PLACEMENT_3D('',#594524,#468951,#468952); #377527=AXIS2_PLACEMENT_3D('',#594525,#468953,#468954); #377528=AXIS2_PLACEMENT_3D('',#594533,#468959,#468960); #377529=AXIS2_PLACEMENT_3D('',#594537,#468963,#468964); #377530=AXIS2_PLACEMENT_3D('',#594542,#468968,#468969); #377531=AXIS2_PLACEMENT_3D('',#594544,#468971,#468972); #377532=AXIS2_PLACEMENT_3D('',#594546,#468974,#468975); #377533=AXIS2_PLACEMENT_3D('',#594547,#468976,#468977); #377534=AXIS2_PLACEMENT_3D('',#594548,#468978,#468979); #377535=AXIS2_PLACEMENT_3D('',#594551,#468980,#468981); #377536=AXIS2_PLACEMENT_3D('',#594559,#468985,#468986); #377537=AXIS2_PLACEMENT_3D('',#594563,#468988,#468989); #377538=AXIS2_PLACEMENT_3D('',#594571,#468993,#468994); #377539=AXIS2_PLACEMENT_3D('',#594573,#468996,#468997); #377540=AXIS2_PLACEMENT_3D('',#594579,#469001,#469002); #377541=AXIS2_PLACEMENT_3D('',#594583,#469005,#469006); #377542=AXIS2_PLACEMENT_3D('',#594587,#469009,#469010); #377543=AXIS2_PLACEMENT_3D('',#594589,#469011,#469012); #377544=AXIS2_PLACEMENT_3D('',#594591,#469014,#469015); #377545=AXIS2_PLACEMENT_3D('',#594595,#469018,#469019); #377546=AXIS2_PLACEMENT_3D('',#594597,#469020,#469021); #377547=AXIS2_PLACEMENT_3D('',#594599,#469023,#469024); #377548=AXIS2_PLACEMENT_3D('',#594603,#469027,#469028); #377549=AXIS2_PLACEMENT_3D('',#594607,#469031,#469032); #377550=AXIS2_PLACEMENT_3D('',#594611,#469035,#469036); #377551=AXIS2_PLACEMENT_3D('',#594613,#469037,#469038); #377552=AXIS2_PLACEMENT_3D('',#594615,#469040,#469041); #377553=AXIS2_PLACEMENT_3D('',#594619,#469044,#469045); #377554=AXIS2_PLACEMENT_3D('',#594620,#469046,#469047); #377555=AXIS2_PLACEMENT_3D('',#594621,#469048,#469049); #377556=AXIS2_PLACEMENT_3D('',#594622,#469050,#469051); #377557=AXIS2_PLACEMENT_3D('',#594625,#469052,#469053); #377558=AXIS2_PLACEMENT_3D('',#594633,#469057,#469058); #377559=AXIS2_PLACEMENT_3D('',#594637,#469060,#469061); #377560=AXIS2_PLACEMENT_3D('',#594645,#469065,#469066); #377561=AXIS2_PLACEMENT_3D('',#594647,#469068,#469069); #377562=AXIS2_PLACEMENT_3D('',#594653,#469073,#469074); #377563=AXIS2_PLACEMENT_3D('',#594657,#469077,#469078); #377564=AXIS2_PLACEMENT_3D('',#594661,#469081,#469082); #377565=AXIS2_PLACEMENT_3D('',#594663,#469083,#469084); #377566=AXIS2_PLACEMENT_3D('',#594665,#469086,#469087); #377567=AXIS2_PLACEMENT_3D('',#594669,#469090,#469091); #377568=AXIS2_PLACEMENT_3D('',#594671,#469092,#469093); #377569=AXIS2_PLACEMENT_3D('',#594673,#469095,#469096); #377570=AXIS2_PLACEMENT_3D('',#594677,#469099,#469100); #377571=AXIS2_PLACEMENT_3D('',#594681,#469103,#469104); #377572=AXIS2_PLACEMENT_3D('',#594685,#469107,#469108); #377573=AXIS2_PLACEMENT_3D('',#594687,#469109,#469110); #377574=AXIS2_PLACEMENT_3D('',#594689,#469112,#469113); #377575=AXIS2_PLACEMENT_3D('',#594693,#469116,#469117); #377576=AXIS2_PLACEMENT_3D('',#594694,#469118,#469119); #377577=AXIS2_PLACEMENT_3D('',#594695,#469120,#469121); #377578=AXIS2_PLACEMENT_3D('',#594696,#469122,#469123); #377579=AXIS2_PLACEMENT_3D('',#594699,#469124,#469125); #377580=AXIS2_PLACEMENT_3D('',#594707,#469129,#469130); #377581=AXIS2_PLACEMENT_3D('',#594711,#469132,#469133); #377582=AXIS2_PLACEMENT_3D('',#594719,#469137,#469138); #377583=AXIS2_PLACEMENT_3D('',#594721,#469140,#469141); #377584=AXIS2_PLACEMENT_3D('',#594727,#469145,#469146); #377585=AXIS2_PLACEMENT_3D('',#594731,#469149,#469150); #377586=AXIS2_PLACEMENT_3D('',#594735,#469153,#469154); #377587=AXIS2_PLACEMENT_3D('',#594737,#469155,#469156); #377588=AXIS2_PLACEMENT_3D('',#594739,#469158,#469159); #377589=AXIS2_PLACEMENT_3D('',#594743,#469162,#469163); #377590=AXIS2_PLACEMENT_3D('',#594745,#469164,#469165); #377591=AXIS2_PLACEMENT_3D('',#594747,#469167,#469168); #377592=AXIS2_PLACEMENT_3D('',#594751,#469171,#469172); #377593=AXIS2_PLACEMENT_3D('',#594755,#469175,#469176); #377594=AXIS2_PLACEMENT_3D('',#594759,#469179,#469180); #377595=AXIS2_PLACEMENT_3D('',#594761,#469181,#469182); #377596=AXIS2_PLACEMENT_3D('',#594763,#469184,#469185); #377597=AXIS2_PLACEMENT_3D('',#594767,#469188,#469189); #377598=AXIS2_PLACEMENT_3D('',#594768,#469190,#469191); #377599=AXIS2_PLACEMENT_3D('',#594769,#469192,#469193); #377600=AXIS2_PLACEMENT_3D('',#594770,#469194,#469195); #377601=AXIS2_PLACEMENT_3D('',#594773,#469196,#469197); #377602=AXIS2_PLACEMENT_3D('',#594781,#469201,#469202); #377603=AXIS2_PLACEMENT_3D('',#594785,#469204,#469205); #377604=AXIS2_PLACEMENT_3D('',#594793,#469209,#469210); #377605=AXIS2_PLACEMENT_3D('',#594795,#469212,#469213); #377606=AXIS2_PLACEMENT_3D('',#594801,#469217,#469218); #377607=AXIS2_PLACEMENT_3D('',#594805,#469221,#469222); #377608=AXIS2_PLACEMENT_3D('',#594809,#469225,#469226); #377609=AXIS2_PLACEMENT_3D('',#594811,#469227,#469228); #377610=AXIS2_PLACEMENT_3D('',#594813,#469230,#469231); #377611=AXIS2_PLACEMENT_3D('',#594817,#469234,#469235); #377612=AXIS2_PLACEMENT_3D('',#594819,#469236,#469237); #377613=AXIS2_PLACEMENT_3D('',#594821,#469239,#469240); #377614=AXIS2_PLACEMENT_3D('',#594825,#469243,#469244); #377615=AXIS2_PLACEMENT_3D('',#594829,#469247,#469248); #377616=AXIS2_PLACEMENT_3D('',#594833,#469251,#469252); #377617=AXIS2_PLACEMENT_3D('',#594835,#469253,#469254); #377618=AXIS2_PLACEMENT_3D('',#594837,#469256,#469257); #377619=AXIS2_PLACEMENT_3D('',#594841,#469260,#469261); #377620=AXIS2_PLACEMENT_3D('',#594842,#469262,#469263); #377621=AXIS2_PLACEMENT_3D('',#594843,#469264,#469265); #377622=AXIS2_PLACEMENT_3D('',#594844,#469266,#469267); #377623=AXIS2_PLACEMENT_3D('',#594847,#469268,#469269); #377624=AXIS2_PLACEMENT_3D('',#594855,#469273,#469274); #377625=AXIS2_PLACEMENT_3D('',#594859,#469276,#469277); #377626=AXIS2_PLACEMENT_3D('',#594867,#469281,#469282); #377627=AXIS2_PLACEMENT_3D('',#594869,#469284,#469285); #377628=AXIS2_PLACEMENT_3D('',#594875,#469289,#469290); #377629=AXIS2_PLACEMENT_3D('',#594879,#469293,#469294); #377630=AXIS2_PLACEMENT_3D('',#594883,#469297,#469298); #377631=AXIS2_PLACEMENT_3D('',#594885,#469299,#469300); #377632=AXIS2_PLACEMENT_3D('',#594887,#469302,#469303); #377633=AXIS2_PLACEMENT_3D('',#594891,#469306,#469307); #377634=AXIS2_PLACEMENT_3D('',#594893,#469308,#469309); #377635=AXIS2_PLACEMENT_3D('',#594895,#469311,#469312); #377636=AXIS2_PLACEMENT_3D('',#594899,#469315,#469316); #377637=AXIS2_PLACEMENT_3D('',#594903,#469319,#469320); #377638=AXIS2_PLACEMENT_3D('',#594907,#469323,#469324); #377639=AXIS2_PLACEMENT_3D('',#594909,#469325,#469326); #377640=AXIS2_PLACEMENT_3D('',#594911,#469328,#469329); #377641=AXIS2_PLACEMENT_3D('',#594915,#469332,#469333); #377642=AXIS2_PLACEMENT_3D('',#594916,#469334,#469335); #377643=AXIS2_PLACEMENT_3D('',#594917,#469336,#469337); #377644=AXIS2_PLACEMENT_3D('',#594918,#469338,#469339); #377645=AXIS2_PLACEMENT_3D('',#594919,#469340,#469341); #377646=AXIS2_PLACEMENT_3D('',#594920,#469342,#469343); #377647=AXIS2_PLACEMENT_3D('',#594921,#469344,#469345); #377648=AXIS2_PLACEMENT_3D('',#594924,#469346,#469347); #377649=AXIS2_PLACEMENT_3D('',#594926,#469348,#469349); #377650=AXIS2_PLACEMENT_3D('',#594927,#469350,#469351); #377651=AXIS2_PLACEMENT_3D('',#594928,#469352,#469353); #377652=AXIS2_PLACEMENT_3D('',#594931,#469354,#469355); #377653=AXIS2_PLACEMENT_3D('',#594933,#469356,#469357); #377654=AXIS2_PLACEMENT_3D('',#594934,#469358,#469359); #377655=AXIS2_PLACEMENT_3D('',#594935,#469360,#469361); #377656=AXIS2_PLACEMENT_3D('',#594938,#469362,#469363); #377657=AXIS2_PLACEMENT_3D('',#594940,#469364,#469365); #377658=AXIS2_PLACEMENT_3D('',#594941,#469366,#469367); #377659=AXIS2_PLACEMENT_3D('',#594942,#469368,#469369); #377660=AXIS2_PLACEMENT_3D('',#594945,#469370,#469371); #377661=AXIS2_PLACEMENT_3D('',#594947,#469372,#469373); #377662=AXIS2_PLACEMENT_3D('',#594948,#469374,#469375); #377663=AXIS2_PLACEMENT_3D('',#594949,#469376,#469377); #377664=AXIS2_PLACEMENT_3D('',#594952,#469378,#469379); #377665=AXIS2_PLACEMENT_3D('',#594954,#469380,#469381); #377666=AXIS2_PLACEMENT_3D('',#594955,#469382,#469383); #377667=AXIS2_PLACEMENT_3D('',#594956,#469384,#469385); #377668=AXIS2_PLACEMENT_3D('',#594959,#469388,#469389); #377669=AXIS2_PLACEMENT_3D('',#594962,#469390,#469391); #377670=AXIS2_PLACEMENT_3D('',#594964,#469392,#469393); #377671=AXIS2_PLACEMENT_3D('',#594965,#469394,#469395); #377672=AXIS2_PLACEMENT_3D('',#594966,#469396,#469397); #377673=AXIS2_PLACEMENT_3D('',#594969,#469398,#469399); #377674=AXIS2_PLACEMENT_3D('',#594971,#469400,#469401); #377675=AXIS2_PLACEMENT_3D('',#594972,#469402,#469403); #377676=AXIS2_PLACEMENT_3D('',#594973,#469404,#469405); #377677=AXIS2_PLACEMENT_3D('',#594976,#469408,#469409); #377678=AXIS2_PLACEMENT_3D('',#594979,#469412,#469413); #377679=AXIS2_PLACEMENT_3D('',#594982,#469414,#469415); #377680=AXIS2_PLACEMENT_3D('',#594984,#469416,#469417); #377681=AXIS2_PLACEMENT_3D('',#594985,#469418,#469419); #377682=AXIS2_PLACEMENT_3D('',#594986,#469420,#469421); #377683=AXIS2_PLACEMENT_3D('',#594989,#469424,#469425); #377684=AXIS2_PLACEMENT_3D('',#594992,#469428,#469429); #377685=AXIS2_PLACEMENT_3D('',#594995,#469432,#469433); #377686=AXIS2_PLACEMENT_3D('',#594998,#469436,#469437); #377687=AXIS2_PLACEMENT_3D('',#595001,#469440,#469441); #377688=AXIS2_PLACEMENT_3D('',#595004,#469444,#469445); #377689=AXIS2_PLACEMENT_3D('',#595007,#469448,#469449); #377690=AXIS2_PLACEMENT_3D('',#595010,#469452,#469453); #377691=AXIS2_PLACEMENT_3D('',#595013,#469456,#469457); #377692=AXIS2_PLACEMENT_3D('',#595014,#469458,#469459); #377693=AXIS2_PLACEMENT_3D('',#595015,#469460,#469461); #377694=AXIS2_PLACEMENT_3D('',#595016,#469462,#469463); #377695=AXIS2_PLACEMENT_3D('',#595017,#469464,#469465); #377696=AXIS2_PLACEMENT_3D('',#595018,#469466,#469467); #377697=AXIS2_PLACEMENT_3D('',#595019,#469468,#469469); #377698=AXIS2_PLACEMENT_3D('',#595022,#469470,#469471); #377699=AXIS2_PLACEMENT_3D('',#595024,#469472,#469473); #377700=AXIS2_PLACEMENT_3D('',#595025,#469474,#469475); #377701=AXIS2_PLACEMENT_3D('',#595026,#469476,#469477); #377702=AXIS2_PLACEMENT_3D('',#595029,#469478,#469479); #377703=AXIS2_PLACEMENT_3D('',#595031,#469480,#469481); #377704=AXIS2_PLACEMENT_3D('',#595032,#469482,#469483); #377705=AXIS2_PLACEMENT_3D('',#595033,#469484,#469485); #377706=AXIS2_PLACEMENT_3D('',#595036,#469486,#469487); #377707=AXIS2_PLACEMENT_3D('',#595038,#469488,#469489); #377708=AXIS2_PLACEMENT_3D('',#595039,#469490,#469491); #377709=AXIS2_PLACEMENT_3D('',#595040,#469492,#469493); #377710=AXIS2_PLACEMENT_3D('',#595043,#469494,#469495); #377711=AXIS2_PLACEMENT_3D('',#595045,#469496,#469497); #377712=AXIS2_PLACEMENT_3D('',#595046,#469498,#469499); #377713=AXIS2_PLACEMENT_3D('',#595047,#469500,#469501); #377714=AXIS2_PLACEMENT_3D('',#595050,#469502,#469503); #377715=AXIS2_PLACEMENT_3D('',#595052,#469504,#469505); #377716=AXIS2_PLACEMENT_3D('',#595053,#469506,#469507); #377717=AXIS2_PLACEMENT_3D('',#595054,#469508,#469509); #377718=AXIS2_PLACEMENT_3D('',#595057,#469512,#469513); #377719=AXIS2_PLACEMENT_3D('',#595060,#469514,#469515); #377720=AXIS2_PLACEMENT_3D('',#595062,#469516,#469517); #377721=AXIS2_PLACEMENT_3D('',#595063,#469518,#469519); #377722=AXIS2_PLACEMENT_3D('',#595064,#469520,#469521); #377723=AXIS2_PLACEMENT_3D('',#595067,#469522,#469523); #377724=AXIS2_PLACEMENT_3D('',#595069,#469524,#469525); #377725=AXIS2_PLACEMENT_3D('',#595070,#469526,#469527); #377726=AXIS2_PLACEMENT_3D('',#595071,#469528,#469529); #377727=AXIS2_PLACEMENT_3D('',#595074,#469532,#469533); #377728=AXIS2_PLACEMENT_3D('',#595077,#469536,#469537); #377729=AXIS2_PLACEMENT_3D('',#595080,#469538,#469539); #377730=AXIS2_PLACEMENT_3D('',#595082,#469540,#469541); #377731=AXIS2_PLACEMENT_3D('',#595083,#469542,#469543); #377732=AXIS2_PLACEMENT_3D('',#595084,#469544,#469545); #377733=AXIS2_PLACEMENT_3D('',#595087,#469548,#469549); #377734=AXIS2_PLACEMENT_3D('',#595090,#469552,#469553); #377735=AXIS2_PLACEMENT_3D('',#595093,#469556,#469557); #377736=AXIS2_PLACEMENT_3D('',#595096,#469560,#469561); #377737=AXIS2_PLACEMENT_3D('',#595099,#469564,#469565); #377738=AXIS2_PLACEMENT_3D('',#595102,#469568,#469569); #377739=AXIS2_PLACEMENT_3D('',#595105,#469572,#469573); #377740=AXIS2_PLACEMENT_3D('',#595108,#469576,#469577); #377741=AXIS2_PLACEMENT_3D('',#595111,#469580,#469581); #377742=AXIS2_PLACEMENT_3D('',#595112,#469582,#469583); #377743=AXIS2_PLACEMENT_3D('',#595113,#469584,#469585); #377744=AXIS2_PLACEMENT_3D('',#595114,#469586,#469587); #377745=AXIS2_PLACEMENT_3D('',#595115,#469588,#469589); #377746=AXIS2_PLACEMENT_3D('',#595116,#469590,#469591); #377747=AXIS2_PLACEMENT_3D('',#595117,#469592,#469593); #377748=AXIS2_PLACEMENT_3D('',#595126,#469598,#469599); #377749=AXIS2_PLACEMENT_3D('',#595132,#469603,#469604); #377750=AXIS2_PLACEMENT_3D('',#595138,#469608,#469609); #377751=AXIS2_PLACEMENT_3D('',#595141,#469612,#469613); #377752=AXIS2_PLACEMENT_3D('',#595142,#469614,#469615); #377753=AXIS2_PLACEMENT_3D('',#595143,#469616,#469617); #377754=AXIS2_PLACEMENT_3D('',#595144,#469618,#469619); #377755=AXIS2_PLACEMENT_3D('',#595145,#469620,#469621); #377756=AXIS2_PLACEMENT_3D('',#595146,#469622,#469623); #377757=AXIS2_PLACEMENT_3D('',#595147,#469624,#469625); #377758=AXIS2_PLACEMENT_3D('',#595148,#469626,#469627); #377759=AXIS2_PLACEMENT_3D('',#595149,#469628,#469629); #377760=AXIS2_PLACEMENT_3D('',#595150,#469630,#469631); #377761=AXIS2_PLACEMENT_3D('',#595151,#469632,#469633); #377762=AXIS2_PLACEMENT_3D('',#595152,#469634,#469635); #377763=AXIS2_PLACEMENT_3D('',#595153,#469636,#469637); #377764=AXIS2_PLACEMENT_3D('',#595154,#469638,#469639); #377765=AXIS2_PLACEMENT_3D('',#595155,#469640,#469641); #377766=AXIS2_PLACEMENT_3D('',#595156,#469642,#469643); #377767=AXIS2_PLACEMENT_3D('',#595157,#469644,#469645); #377768=AXIS2_PLACEMENT_3D('',#595158,#469646,#469647); #377769=AXIS2_PLACEMENT_3D('',#595159,#469648,#469649); #377770=AXIS2_PLACEMENT_3D('',#595160,#469650,#469651); #377771=AXIS2_PLACEMENT_3D('',#595161,#469652,#469653); #377772=AXIS2_PLACEMENT_3D('',#595162,#469654,#469655); #377773=AXIS2_PLACEMENT_3D('',#595163,#469656,#469657); #377774=AXIS2_PLACEMENT_3D('',#595164,#469658,#469659); #377775=AXIS2_PLACEMENT_3D('',#595165,#469660,#469661); #377776=AXIS2_PLACEMENT_3D('',#595166,#469662,#469663); #377777=AXIS2_PLACEMENT_3D('',#595167,#469664,#469665); #377778=AXIS2_PLACEMENT_3D('',#595168,#469666,#469667); #377779=AXIS2_PLACEMENT_3D('',#595169,#469668,#469669); #377780=AXIS2_PLACEMENT_3D('',#595170,#469670,#469671); #377781=AXIS2_PLACEMENT_3D('',#595171,#469672,#469673); #377782=AXIS2_PLACEMENT_3D('',#595172,#469674,#469675); #377783=AXIS2_PLACEMENT_3D('',#595173,#469676,#469677); #377784=AXIS2_PLACEMENT_3D('',#595174,#469678,#469679); #377785=AXIS2_PLACEMENT_3D('',#595175,#469680,#469681); #377786=AXIS2_PLACEMENT_3D('',#595176,#469682,#469683); #377787=AXIS2_PLACEMENT_3D('',#595177,#469684,#469685); #377788=AXIS2_PLACEMENT_3D('',#595178,#469686,#469687); #377789=AXIS2_PLACEMENT_3D('',#595179,#469688,#469689); #377790=AXIS2_PLACEMENT_3D('',#595180,#469690,#469691); #377791=AXIS2_PLACEMENT_3D('',#595181,#469692,#469693); #377792=AXIS2_PLACEMENT_3D('',#595182,#469694,#469695); #377793=AXIS2_PLACEMENT_3D('',#595183,#469696,#469697); #377794=AXIS2_PLACEMENT_3D('',#595184,#469698,#469699); #377795=AXIS2_PLACEMENT_3D('',#595185,#469700,#469701); #377796=AXIS2_PLACEMENT_3D('',#595186,#469702,#469703); #377797=AXIS2_PLACEMENT_3D('',#595187,#469704,#469705); #377798=AXIS2_PLACEMENT_3D('',#595188,#469706,#469707); #377799=AXIS2_PLACEMENT_3D('',#595189,#469708,#469709); #377800=AXIS2_PLACEMENT_3D('',#595190,#469710,#469711); #377801=AXIS2_PLACEMENT_3D('',#595191,#469712,#469713); #377802=AXIS2_PLACEMENT_3D('',#595192,#469714,#469715); #377803=AXIS2_PLACEMENT_3D('',#595193,#469716,#469717); #377804=AXIS2_PLACEMENT_3D('',#595194,#469718,#469719); #377805=AXIS2_PLACEMENT_3D('',#595195,#469720,#469721); #377806=AXIS2_PLACEMENT_3D('',#595196,#469722,#469723); #377807=AXIS2_PLACEMENT_3D('',#595197,#469724,#469725); #377808=AXIS2_PLACEMENT_3D('',#595198,#469726,#469727); #377809=AXIS2_PLACEMENT_3D('',#595199,#469728,#469729); #377810=AXIS2_PLACEMENT_3D('',#595200,#469730,#469731); #377811=AXIS2_PLACEMENT_3D('',#595201,#469732,#469733); #377812=AXIS2_PLACEMENT_3D('',#595202,#469734,#469735); #377813=AXIS2_PLACEMENT_3D('',#595203,#469736,#469737); #377814=AXIS2_PLACEMENT_3D('',#595204,#469738,#469739); #377815=AXIS2_PLACEMENT_3D('',#595205,#469740,#469741); #377816=AXIS2_PLACEMENT_3D('',#595206,#469742,#469743); #377817=AXIS2_PLACEMENT_3D('',#595207,#469744,#469745); #377818=AXIS2_PLACEMENT_3D('',#595208,#469746,#469747); #377819=AXIS2_PLACEMENT_3D('',#595209,#469748,#469749); #377820=AXIS2_PLACEMENT_3D('',#595210,#469750,#469751); #377821=AXIS2_PLACEMENT_3D('',#595211,#469752,#469753); #377822=AXIS2_PLACEMENT_3D('',#595212,#469754,#469755); #377823=AXIS2_PLACEMENT_3D('',#595213,#469756,#469757); #377824=AXIS2_PLACEMENT_3D('',#595214,#469758,#469759); #377825=AXIS2_PLACEMENT_3D('',#595215,#469760,#469761); #377826=AXIS2_PLACEMENT_3D('',#595216,#469762,#469763); #377827=AXIS2_PLACEMENT_3D('',#595217,#469764,#469765); #377828=AXIS2_PLACEMENT_3D('',#595218,#469766,#469767); #377829=AXIS2_PLACEMENT_3D('',#595219,#469768,#469769); #377830=AXIS2_PLACEMENT_3D('',#595220,#469770,#469771); #377831=AXIS2_PLACEMENT_3D('',#595222,#469772,#469773); #377832=AXIS2_PLACEMENT_3D('',#595225,#469775,#469776); #377833=AXIS2_PLACEMENT_3D('',#595226,#469777,#469778); #377834=AXIS2_PLACEMENT_3D('',#595228,#469779,#469780); #377835=AXIS2_PLACEMENT_3D('',#595231,#469782,#469783); #377836=AXIS2_PLACEMENT_3D('',#595232,#469784,#469785); #377837=AXIS2_PLACEMENT_3D('',#595241,#469790,#469791); #377838=AXIS2_PLACEMENT_3D('',#595247,#469795,#469796); #377839=AXIS2_PLACEMENT_3D('',#595253,#469800,#469801); #377840=AXIS2_PLACEMENT_3D('',#595259,#469805,#469806); #377841=AXIS2_PLACEMENT_3D('',#595265,#469810,#469811); #377842=AXIS2_PLACEMENT_3D('',#595271,#469815,#469816); #377843=AXIS2_PLACEMENT_3D('',#595277,#469820,#469821); #377844=AXIS2_PLACEMENT_3D('',#595283,#469825,#469826); #377845=AXIS2_PLACEMENT_3D('',#595289,#469830,#469831); #377846=AXIS2_PLACEMENT_3D('',#595295,#469835,#469836); #377847=AXIS2_PLACEMENT_3D('',#595301,#469840,#469841); #377848=AXIS2_PLACEMENT_3D('',#595304,#469844,#469845); #377849=AXIS2_PLACEMENT_3D('',#595305,#469846,#469847); #377850=AXIS2_PLACEMENT_3D('',#595306,#469848,#469849); #377851=AXIS2_PLACEMENT_3D('',#595307,#469850,#469851); #377852=AXIS2_PLACEMENT_3D('',#595309,#469852,#469853); #377853=AXIS2_PLACEMENT_3D('',#595312,#469855,#469856); #377854=AXIS2_PLACEMENT_3D('',#595313,#469857,#469858); #377855=AXIS2_PLACEMENT_3D('',#595315,#469859,#469860); #377856=AXIS2_PLACEMENT_3D('',#595318,#469862,#469863); #377857=AXIS2_PLACEMENT_3D('',#595319,#469864,#469865); #377858=AXIS2_PLACEMENT_3D('',#595328,#469870,#469871); #377859=AXIS2_PLACEMENT_3D('',#595334,#469875,#469876); #377860=AXIS2_PLACEMENT_3D('',#595340,#469880,#469881); #377861=AXIS2_PLACEMENT_3D('',#595346,#469885,#469886); #377862=AXIS2_PLACEMENT_3D('',#595352,#469890,#469891); #377863=AXIS2_PLACEMENT_3D('',#595358,#469895,#469896); #377864=AXIS2_PLACEMENT_3D('',#595364,#469900,#469901); #377865=AXIS2_PLACEMENT_3D('',#595370,#469905,#469906); #377866=AXIS2_PLACEMENT_3D('',#595376,#469910,#469911); #377867=AXIS2_PLACEMENT_3D('',#595382,#469915,#469916); #377868=AXIS2_PLACEMENT_3D('',#595388,#469920,#469921); #377869=AXIS2_PLACEMENT_3D('',#595391,#469924,#469925); #377870=AXIS2_PLACEMENT_3D('',#595392,#469926,#469927); #377871=AXIS2_PLACEMENT_3D('',#595393,#469928,#469929); #377872=AXIS2_PLACEMENT_3D('',#595394,#469930,#469931); #377873=AXIS2_PLACEMENT_3D('',#595396,#469932,#469933); #377874=AXIS2_PLACEMENT_3D('',#595399,#469935,#469936); #377875=AXIS2_PLACEMENT_3D('',#595400,#469937,#469938); #377876=AXIS2_PLACEMENT_3D('',#595402,#469939,#469940); #377877=AXIS2_PLACEMENT_3D('',#595405,#469942,#469943); #377878=AXIS2_PLACEMENT_3D('',#595406,#469944,#469945); #377879=AXIS2_PLACEMENT_3D('',#595415,#469950,#469951); #377880=AXIS2_PLACEMENT_3D('',#595421,#469955,#469956); #377881=AXIS2_PLACEMENT_3D('',#595427,#469960,#469961); #377882=AXIS2_PLACEMENT_3D('',#595433,#469965,#469966); #377883=AXIS2_PLACEMENT_3D('',#595439,#469970,#469971); #377884=AXIS2_PLACEMENT_3D('',#595445,#469975,#469976); #377885=AXIS2_PLACEMENT_3D('',#595451,#469980,#469981); #377886=AXIS2_PLACEMENT_3D('',#595457,#469985,#469986); #377887=AXIS2_PLACEMENT_3D('',#595463,#469990,#469991); #377888=AXIS2_PLACEMENT_3D('',#595469,#469995,#469996); #377889=AXIS2_PLACEMENT_3D('',#595475,#470000,#470001); #377890=AXIS2_PLACEMENT_3D('',#595478,#470004,#470005); #377891=AXIS2_PLACEMENT_3D('',#595479,#470006,#470007); #377892=AXIS2_PLACEMENT_3D('',#595480,#470008,#470009); #377893=AXIS2_PLACEMENT_3D('',#595489,#470014,#470015); #377894=AXIS2_PLACEMENT_3D('',#595495,#470019,#470020); #377895=AXIS2_PLACEMENT_3D('',#595499,#470023,#470024); #377896=AXIS2_PLACEMENT_3D('',#595503,#470027,#470028); #377897=AXIS2_PLACEMENT_3D('',#595505,#470030,#470031); #377898=AXIS2_PLACEMENT_3D('',#595506,#470032,#470033); #377899=AXIS2_PLACEMENT_3D('',#595507,#470034,#470035); #377900=AXIS2_PLACEMENT_3D('',#595508,#470036,#470037); #377901=AXIS2_PLACEMENT_3D('',#595509,#470038,#470039); #377902=AXIS2_PLACEMENT_3D('',#595511,#470040,#470041); #377903=AXIS2_PLACEMENT_3D('',#595514,#470043,#470044); #377904=AXIS2_PLACEMENT_3D('',#595515,#470045,#470046); #377905=AXIS2_PLACEMENT_3D('',#595518,#470047,#470048); #377906=AXIS2_PLACEMENT_3D('',#595524,#470052,#470053); #377907=AXIS2_PLACEMENT_3D('',#595527,#470054,#470055); #377908=AXIS2_PLACEMENT_3D('',#595529,#470057,#470058); #377909=AXIS2_PLACEMENT_3D('',#595530,#470059,#470060); #377910=AXIS2_PLACEMENT_3D('',#595536,#470064,#470065); #377911=AXIS2_PLACEMENT_3D('',#595540,#470068,#470069); #377912=AXIS2_PLACEMENT_3D('',#595544,#470072,#470073); #377913=AXIS2_PLACEMENT_3D('',#595545,#470074,#470075); #377914=AXIS2_PLACEMENT_3D('',#595546,#470076,#470077); #377915=AXIS2_PLACEMENT_3D('',#595548,#470078,#470079); #377916=AXIS2_PLACEMENT_3D('',#595551,#470081,#470082); #377917=AXIS2_PLACEMENT_3D('',#595552,#470083,#470084); #377918=AXIS2_PLACEMENT_3D('',#595554,#470085,#470086); #377919=AXIS2_PLACEMENT_3D('',#595555,#470087,#470088); #377920=AXIS2_PLACEMENT_3D('',#595558,#470090,#470091); #377921=AXIS2_PLACEMENT_3D('',#595561,#470092,#470093); #377922=AXIS2_PLACEMENT_3D('',#595565,#470095,#470096); #377923=AXIS2_PLACEMENT_3D('',#595571,#470099,#470100); #377924=AXIS2_PLACEMENT_3D('',#595574,#470102,#470103); #377925=AXIS2_PLACEMENT_3D('',#595579,#470105,#470106); #377926=AXIS2_PLACEMENT_3D('',#595582,#470108,#470109); #377927=AXIS2_PLACEMENT_3D('',#595583,#470110,#470111); #377928=AXIS2_PLACEMENT_3D('',#595586,#470113,#470114); #377929=AXIS2_PLACEMENT_3D('',#595587,#470115,#470116); #377930=AXIS2_PLACEMENT_3D('',#595590,#470118,#470119); #377931=AXIS2_PLACEMENT_3D('',#595591,#470120,#470121); #377932=AXIS2_PLACEMENT_3D('',#595593,#470123,#470124); #377933=AXIS2_PLACEMENT_3D('',#595603,#470126,#470127); #377934=AXIS2_PLACEMENT_3D('',#595613,#470129,#470130); #377935=AXIS2_PLACEMENT_3D('',#595623,#470132,#470133); #377936=AXIS2_PLACEMENT_3D('',#595633,#470135,#470136); #377937=AXIS2_PLACEMENT_3D('',#595643,#470138,#470139); #377938=AXIS2_PLACEMENT_3D('',#595653,#470141,#470142); #377939=AXIS2_PLACEMENT_3D('',#595654,#470143,#470144); #377940=AXIS2_PLACEMENT_3D('',#595655,#470145,#470146); #377941=AXIS2_PLACEMENT_3D('',#595656,#470147,#470148); #377942=AXIS2_PLACEMENT_3D('',#595657,#470149,#470150); #377943=AXIS2_PLACEMENT_3D('',#595658,#470151,#470152); #377944=AXIS2_PLACEMENT_3D('',#595659,#470153,#470154); #377945=AXIS2_PLACEMENT_3D('',#595660,#470155,#470156); #377946=AXIS2_PLACEMENT_3D('',#595661,#470157,#470158); #377947=AXIS2_PLACEMENT_3D('',#595662,#470159,#470160); #377948=AXIS2_PLACEMENT_3D('',#595663,#470161,#470162); #377949=AXIS2_PLACEMENT_3D('',#595664,#470163,#470164); #377950=AXIS2_PLACEMENT_3D('',#595665,#470165,#470166); #377951=AXIS2_PLACEMENT_3D('',#595666,#470167,#470168); #377952=AXIS2_PLACEMENT_3D('',#595667,#470169,#470170); #377953=AXIS2_PLACEMENT_3D('',#595668,#470171,#470172); #377954=AXIS2_PLACEMENT_3D('',#595670,#470173,#470174); #377955=AXIS2_PLACEMENT_3D('',#595672,#470176,#470177); #377956=AXIS2_PLACEMENT_3D('',#595673,#470178,#470179); #377957=AXIS2_PLACEMENT_3D('',#595688,#470182,#470183); #377958=AXIS2_PLACEMENT_3D('',#595691,#470184,#470185); #377959=AXIS2_PLACEMENT_3D('',#595696,#470186,#470187); #377960=AXIS2_PLACEMENT_3D('',#595705,#470188,#470189); #377961=AXIS2_PLACEMENT_3D('',#595714,#470192,#470193); #377962=AXIS2_PLACEMENT_3D('',#595717,#470194,#470195); #377963=AXIS2_PLACEMENT_3D('',#595721,#470196,#470197); #377964=AXIS2_PLACEMENT_3D('',#595725,#470198,#470199); #377965=AXIS2_PLACEMENT_3D('',#595731,#470203,#470204); #377966=AXIS2_PLACEMENT_3D('',#595734,#470206,#470207); #377967=AXIS2_PLACEMENT_3D('',#595735,#470208,#470209); #377968=AXIS2_PLACEMENT_3D('',#595736,#470210,#470211); #377969=AXIS2_PLACEMENT_3D('',#595738,#470212,#470213); #377970=AXIS2_PLACEMENT_3D('',#595740,#470215,#470216); #377971=AXIS2_PLACEMENT_3D('',#595742,#470218,#470219); #377972=AXIS2_PLACEMENT_3D('',#595745,#470220,#470221); #377973=AXIS2_PLACEMENT_3D('',#595749,#470224,#470225); #377974=AXIS2_PLACEMENT_3D('',#595752,#470226,#470227); #377975=AXIS2_PLACEMENT_3D('',#595756,#470229,#470230); #377976=AXIS2_PLACEMENT_3D('',#595762,#470234,#470235); #377977=AXIS2_PLACEMENT_3D('',#595777,#470237,#470238); #377978=AXIS2_PLACEMENT_3D('',#595778,#470239,#470240); #377979=AXIS2_PLACEMENT_3D('',#595792,#470241,#470242); #377980=AXIS2_PLACEMENT_3D('',#595794,#470244,#470245); #377981=AXIS2_PLACEMENT_3D('',#595809,#470247,#470248); #377982=AXIS2_PLACEMENT_3D('',#595810,#470249,#470250); #377983=AXIS2_PLACEMENT_3D('',#595824,#470251,#470252); #377984=AXIS2_PLACEMENT_3D('',#595826,#470254,#470255); #377985=AXIS2_PLACEMENT_3D('',#595829,#470256,#470257); #377986=AXIS2_PLACEMENT_3D('',#595833,#470260,#470261); #377987=AXIS2_PLACEMENT_3D('',#595848,#470264,#470265); #377988=AXIS2_PLACEMENT_3D('',#595852,#470267,#470268); #377989=AXIS2_PLACEMENT_3D('',#595856,#470271,#470272); #377990=AXIS2_PLACEMENT_3D('',#595859,#470275,#470276); #377991=AXIS2_PLACEMENT_3D('',#595872,#470278,#470279); #377992=AXIS2_PLACEMENT_3D('',#595885,#470281,#470282); #377993=AXIS2_PLACEMENT_3D('',#595887,#470283,#470284); #377994=AXIS2_PLACEMENT_3D('',#595892,#470287,#470288); #377995=AXIS2_PLACEMENT_3D('',#595893,#470289,#470290); #377996=AXIS2_PLACEMENT_3D('',#595908,#470293,#470294); #377997=AXIS2_PLACEMENT_3D('',#595923,#470297,#470298); #377998=AXIS2_PLACEMENT_3D('',#595933,#470305,#470306); #377999=AXIS2_PLACEMENT_3D('',#595946,#470308,#470309); #378000=AXIS2_PLACEMENT_3D('',#595959,#470311,#470312); #378001=AXIS2_PLACEMENT_3D('',#595965,#470317,#470318); #378002=AXIS2_PLACEMENT_3D('',#595978,#470320,#470321); #378003=AXIS2_PLACEMENT_3D('',#595979,#470322,#470323); #378004=AXIS2_PLACEMENT_3D('',#595980,#470324,#470325); #378005=AXIS2_PLACEMENT_3D('',#595985,#470327,#470328); #378006=AXIS2_PLACEMENT_3D('',#595988,#470330,#470331); #378007=AXIS2_PLACEMENT_3D('',#595990,#470332,#470333); #378008=AXIS2_PLACEMENT_3D('',#595994,#470335,#470336); #378009=AXIS2_PLACEMENT_3D('',#595997,#470338,#470339); #378010=AXIS2_PLACEMENT_3D('',#595999,#470340,#470341); #378011=AXIS2_PLACEMENT_3D('',#596003,#470343,#470344); #378012=AXIS2_PLACEMENT_3D('',#596005,#470345,#470346); #378013=AXIS2_PLACEMENT_3D('',#596007,#470347,#470348); #378014=AXIS2_PLACEMENT_3D('',#596011,#470350,#470351); #378015=AXIS2_PLACEMENT_3D('',#596015,#470353,#470354); #378016=AXIS2_PLACEMENT_3D('',#596017,#470355,#470356); #378017=AXIS2_PLACEMENT_3D('',#596018,#470357,#470358); #378018=AXIS2_PLACEMENT_3D('',#596019,#470359,#470360); #378019=AXIS2_PLACEMENT_3D('',#596021,#470361,#470362); #378020=AXIS2_PLACEMENT_3D('',#596023,#470364,#470365); #378021=AXIS2_PLACEMENT_3D('',#596027,#470367,#470368); #378022=AXIS2_PLACEMENT_3D('',#596029,#470370,#470371); #378023=AXIS2_PLACEMENT_3D('',#596035,#470375,#470376); #378024=AXIS2_PLACEMENT_3D('',#596037,#470377,#470378); #378025=AXIS2_PLACEMENT_3D('',#596039,#470380,#470381); #378026=AXIS2_PLACEMENT_3D('',#596040,#470382,#470383); #378027=AXIS2_PLACEMENT_3D('',#596042,#470385,#470386); #378028=AXIS2_PLACEMENT_3D('',#596043,#470387,#470388); #378029=AXIS2_PLACEMENT_3D('',#596045,#470389,#470390); #378030=AXIS2_PLACEMENT_3D('',#596047,#470391,#470392); #378031=AXIS2_PLACEMENT_3D('',#596048,#470393,#470394); #378032=AXIS2_PLACEMENT_3D('',#596050,#470395,#470396); #378033=AXIS2_PLACEMENT_3D('',#596052,#470398,#470399); #378034=AXIS2_PLACEMENT_3D('',#596054,#470400,#470401); #378035=AXIS2_PLACEMENT_3D('',#596055,#470402,#470403); #378036=AXIS2_PLACEMENT_3D('',#596059,#470405,#470406); #378037=AXIS2_PLACEMENT_3D('',#596061,#470408,#470409); #378038=AXIS2_PLACEMENT_3D('',#596067,#470412,#470413); #378039=AXIS2_PLACEMENT_3D('',#596068,#470414,#470415); #378040=AXIS2_PLACEMENT_3D('',#596069,#470416,#470417); #378041=AXIS2_PLACEMENT_3D('',#596071,#470419,#470420); #378042=AXIS2_PLACEMENT_3D('',#596072,#470421,#470422); #378043=AXIS2_PLACEMENT_3D('',#596073,#470423,#470424); #378044=AXIS2_PLACEMENT_3D('',#596075,#470426,#470427); #378045=AXIS2_PLACEMENT_3D('',#596077,#470429,#470430); #378046=AXIS2_PLACEMENT_3D('',#596080,#470433,#470434); #378047=AXIS2_PLACEMENT_3D('',#596081,#470435,#470436); #378048=AXIS2_PLACEMENT_3D('',#596084,#470439,#470440); #378049=AXIS2_PLACEMENT_3D('',#596085,#470441,#470442); #378050=AXIS2_PLACEMENT_3D('',#596086,#470443,#470444); #378051=AXIS2_PLACEMENT_3D('',#596087,#470445,#470446); #378052=AXIS2_PLACEMENT_3D('',#596088,#470447,#470448); #378053=AXIS2_PLACEMENT_3D('',#596089,#470449,#470450); #378054=AXIS2_PLACEMENT_3D('',#596090,#470451,#470452); #378055=AXIS2_PLACEMENT_3D('',#596092,#470454,#470455); #378056=AXIS2_PLACEMENT_3D('',#596101,#470460,#470461); #378057=AXIS2_PLACEMENT_3D('',#596103,#470462,#470463); #378058=AXIS2_PLACEMENT_3D('',#596106,#470465,#470466); #378059=AXIS2_PLACEMENT_3D('',#596107,#470467,#470468); #378060=AXIS2_PLACEMENT_3D('',#596116,#470473,#470474); #378061=AXIS2_PLACEMENT_3D('',#596122,#470478,#470479); #378062=AXIS2_PLACEMENT_3D('',#596128,#470483,#470484); #378063=AXIS2_PLACEMENT_3D('',#596131,#470487,#470488); #378064=AXIS2_PLACEMENT_3D('',#596137,#470492,#470493); #378065=AXIS2_PLACEMENT_3D('',#596138,#470494,#470495); #378066=AXIS2_PLACEMENT_3D('',#596139,#470496,#470497); #378067=AXIS2_PLACEMENT_3D('',#596140,#470498,#470499); #378068=AXIS2_PLACEMENT_3D('',#596142,#470500,#470501); #378069=AXIS2_PLACEMENT_3D('',#596145,#470503,#470504); #378070=AXIS2_PLACEMENT_3D('',#596146,#470505,#470506); #378071=AXIS2_PLACEMENT_3D('',#596151,#470508,#470509); #378072=AXIS2_PLACEMENT_3D('',#596154,#470511,#470512); #378073=AXIS2_PLACEMENT_3D('',#596156,#470513,#470514); #378074=AXIS2_PLACEMENT_3D('',#596159,#470515,#470516); #378075=AXIS2_PLACEMENT_3D('',#596163,#470518,#470519); #378076=AXIS2_PLACEMENT_3D('',#596165,#470521,#470522); #378077=AXIS2_PLACEMENT_3D('',#596168,#470524,#470525); #378078=AXIS2_PLACEMENT_3D('',#596169,#470526,#470527); #378079=AXIS2_PLACEMENT_3D('',#596172,#470529,#470530); #378080=AXIS2_PLACEMENT_3D('',#596173,#470531,#470532); #378081=AXIS2_PLACEMENT_3D('',#596176,#470534,#470535); #378082=AXIS2_PLACEMENT_3D('',#596177,#470536,#470537); #378083=AXIS2_PLACEMENT_3D('',#596179,#470538,#470539); #378084=AXIS2_PLACEMENT_3D('',#596182,#470541,#470542); #378085=AXIS2_PLACEMENT_3D('',#596183,#470543,#470544); #378086=AXIS2_PLACEMENT_3D('',#596186,#470545,#470546); #378087=AXIS2_PLACEMENT_3D('',#596187,#470547,#470548); #378088=AXIS2_PLACEMENT_3D('',#596189,#470549,#470550); #378089=AXIS2_PLACEMENT_3D('',#596193,#470552,#470553); #378090=AXIS2_PLACEMENT_3D('',#596197,#470555,#470556); #378091=AXIS2_PLACEMENT_3D('',#596198,#470557,#470558); #378092=AXIS2_PLACEMENT_3D('',#596201,#470560,#470561); #378093=AXIS2_PLACEMENT_3D('',#596202,#470562,#470563); #378094=AXIS2_PLACEMENT_3D('',#596205,#470565,#470566); #378095=AXIS2_PLACEMENT_3D('',#596206,#470567,#470568); #378096=AXIS2_PLACEMENT_3D('',#596209,#470570,#470571); #378097=AXIS2_PLACEMENT_3D('',#596210,#470572,#470573); #378098=AXIS2_PLACEMENT_3D('',#596222,#470575,#470576); #378099=AXIS2_PLACEMENT_3D('',#596223,#470577,#470578); #378100=AXIS2_PLACEMENT_3D('',#596224,#470579,#470580); #378101=AXIS2_PLACEMENT_3D('',#596230,#470584,#470585); #378102=AXIS2_PLACEMENT_3D('',#596235,#470586,#470587); #378103=AXIS2_PLACEMENT_3D('',#596240,#470588,#470589); #378104=AXIS2_PLACEMENT_3D('',#596241,#470590,#470591); #378105=AXIS2_PLACEMENT_3D('',#596242,#470592,#470593); #378106=AXIS2_PLACEMENT_3D('',#596249,#470594,#470595); #378107=AXIS2_PLACEMENT_3D('',#596254,#470596,#470597); #378108=AXIS2_PLACEMENT_3D('',#596256,#470599,#470600); #378109=AXIS2_PLACEMENT_3D('',#596260,#470602,#470603); #378110=AXIS2_PLACEMENT_3D('',#596262,#470605,#470606); #378111=AXIS2_PLACEMENT_3D('',#596272,#470608,#470609); #378112=AXIS2_PLACEMENT_3D('',#596273,#470610,#470611); #378113=AXIS2_PLACEMENT_3D('',#596274,#470612,#470613); #378114=AXIS2_PLACEMENT_3D('',#596275,#470614,#470615); #378115=AXIS2_PLACEMENT_3D('',#596276,#470616,#470617); #378116=AXIS2_PLACEMENT_3D('',#596281,#470619,#470620); #378117=AXIS2_PLACEMENT_3D('',#596284,#470622,#470623); #378118=AXIS2_PLACEMENT_3D('',#596285,#470624,#470625); #378119=AXIS2_PLACEMENT_3D('',#596288,#470626,#470627); #378120=AXIS2_PLACEMENT_3D('',#596290,#470629,#470630); #378121=AXIS2_PLACEMENT_3D('',#596292,#470632,#470633); #378122=AXIS2_PLACEMENT_3D('',#596295,#470636,#470637); #378123=AXIS2_PLACEMENT_3D('',#596299,#470640,#470641); #378124=AXIS2_PLACEMENT_3D('',#596301,#470642,#470643); #378125=AXIS2_PLACEMENT_3D('',#596303,#470645,#470646); #378126=AXIS2_PLACEMENT_3D('',#596305,#470648,#470649); #378127=AXIS2_PLACEMENT_3D('',#596310,#470653,#470654); #378128=AXIS2_PLACEMENT_3D('',#596312,#470656,#470657); #378129=AXIS2_PLACEMENT_3D('',#596316,#470660,#470661); #378130=AXIS2_PLACEMENT_3D('',#596317,#470662,#470663); #378131=AXIS2_PLACEMENT_3D('',#596318,#470664,#470665); #378132=AXIS2_PLACEMENT_3D('',#596323,#470667,#470668); #378133=AXIS2_PLACEMENT_3D('',#596326,#470670,#470671); #378134=AXIS2_PLACEMENT_3D('',#596327,#470672,#470673); #378135=AXIS2_PLACEMENT_3D('',#596330,#470676,#470677); #378136=AXIS2_PLACEMENT_3D('',#596332,#470679,#470680); #378137=AXIS2_PLACEMENT_3D('',#596334,#470682,#470683); #378138=AXIS2_PLACEMENT_3D('',#596335,#470684,#470685); #378139=AXIS2_PLACEMENT_3D('',#596338,#470686,#470687); #378140=AXIS2_PLACEMENT_3D('',#596342,#470690,#470691); #378141=AXIS2_PLACEMENT_3D('',#596350,#470696,#470697); #378142=AXIS2_PLACEMENT_3D('',#596352,#470698,#470699); #378143=AXIS2_PLACEMENT_3D('',#596354,#470701,#470702); #378144=AXIS2_PLACEMENT_3D('',#596356,#470703,#470704); #378145=AXIS2_PLACEMENT_3D('',#596360,#470707,#470708); #378146=AXIS2_PLACEMENT_3D('',#596368,#470713,#470714); #378147=AXIS2_PLACEMENT_3D('',#596369,#470715,#470716); #378148=AXIS2_PLACEMENT_3D('',#596371,#470718,#470719); #378149=AXIS2_PLACEMENT_3D('',#596376,#470721,#470722); #378150=AXIS2_PLACEMENT_3D('',#596378,#470724,#470725); #378151=AXIS2_PLACEMENT_3D('',#596382,#470727,#470728); #378152=AXIS2_PLACEMENT_3D('',#596384,#470730,#470731); #378153=AXIS2_PLACEMENT_3D('',#596392,#470736,#470737); #378154=AXIS2_PLACEMENT_3D('',#596394,#470738,#470739); #378155=AXIS2_PLACEMENT_3D('',#596396,#470741,#470742); #378156=AXIS2_PLACEMENT_3D('',#596404,#470747,#470748); #378157=AXIS2_PLACEMENT_3D('',#596406,#470750,#470751); #378158=AXIS2_PLACEMENT_3D('',#596407,#470752,#470753); #378159=AXIS2_PLACEMENT_3D('',#596413,#470757,#470758); #378160=AXIS2_PLACEMENT_3D('',#596419,#470762,#470763); #378161=AXIS2_PLACEMENT_3D('',#596427,#470768,#470769); #378162=AXIS2_PLACEMENT_3D('',#596431,#470772,#470773); #378163=AXIS2_PLACEMENT_3D('',#596437,#470777,#470778); #378164=AXIS2_PLACEMENT_3D('',#596440,#470781,#470782); #378165=AXIS2_PLACEMENT_3D('',#596441,#470783,#470784); #378166=AXIS2_PLACEMENT_3D('',#596450,#470789,#470790); #378167=AXIS2_PLACEMENT_3D('',#596453,#470793,#470794); #378168=AXIS2_PLACEMENT_3D('',#596457,#470797,#470798); #378169=AXIS2_PLACEMENT_3D('',#596461,#470801,#470802); #378170=AXIS2_PLACEMENT_3D('',#596463,#470804,#470805); #378171=AXIS2_PLACEMENT_3D('',#596469,#470809,#470810); #378172=AXIS2_PLACEMENT_3D('',#596475,#470814,#470815); #378173=AXIS2_PLACEMENT_3D('',#596481,#470819,#470820); #378174=AXIS2_PLACEMENT_3D('',#596489,#470825,#470826); #378175=AXIS2_PLACEMENT_3D('',#596493,#470829,#470830); #378176=AXIS2_PLACEMENT_3D('',#596499,#470834,#470835); #378177=AXIS2_PLACEMENT_3D('',#596505,#470839,#470840); #378178=AXIS2_PLACEMENT_3D('',#596511,#470844,#470845); #378179=AXIS2_PLACEMENT_3D('',#596520,#470850,#470851); #378180=AXIS2_PLACEMENT_3D('',#596523,#470854,#470855); #378181=AXIS2_PLACEMENT_3D('',#596532,#470860,#470861); #378182=AXIS2_PLACEMENT_3D('',#596537,#470864,#470865); #378183=AXIS2_PLACEMENT_3D('',#596539,#470867,#470868); #378184=AXIS2_PLACEMENT_3D('',#596548,#470873,#470874); #378185=AXIS2_PLACEMENT_3D('',#596551,#470877,#470878); #378186=AXIS2_PLACEMENT_3D('',#596560,#470883,#470884); #378187=AXIS2_PLACEMENT_3D('',#596565,#470887,#470888); #378188=AXIS2_PLACEMENT_3D('',#596567,#470889,#470890); #378189=AXIS2_PLACEMENT_3D('',#596569,#470892,#470893); #378190=AXIS2_PLACEMENT_3D('',#596571,#470894,#470895); #378191=AXIS2_PLACEMENT_3D('',#596573,#470897,#470898); #378192=AXIS2_PLACEMENT_3D('',#596575,#470899,#470900); #378193=AXIS2_PLACEMENT_3D('',#596577,#470902,#470903); #378194=AXIS2_PLACEMENT_3D('',#596580,#470906,#470907); #378195=AXIS2_PLACEMENT_3D('',#596586,#470911,#470912); #378196=AXIS2_PLACEMENT_3D('',#596603,#470921,#470922); #378197=AXIS2_PLACEMENT_3D('',#596606,#470925,#470926); #378198=AXIS2_PLACEMENT_3D('',#596623,#470935,#470936); #378199=AXIS2_PLACEMENT_3D('',#596624,#470937,#470938); #378200=AXIS2_PLACEMENT_3D('',#596625,#470939,#470940); #378201=AXIS2_PLACEMENT_3D('',#596626,#470941,#470942); #378202=AXIS2_PLACEMENT_3D('',#596628,#470943,#470944); #378203=AXIS2_PLACEMENT_3D('',#596631,#470947,#470948); #378204=AXIS2_PLACEMENT_3D('',#596637,#470952,#470953); #378205=AXIS2_PLACEMENT_3D('',#596638,#470954,#470955); #378206=AXIS2_PLACEMENT_3D('',#596639,#470956,#470957); #378207=AXIS2_PLACEMENT_3D('',#596640,#470958,#470959); #378208=AXIS2_PLACEMENT_3D('',#596641,#470960,#470961); #378209=AXIS2_PLACEMENT_3D('',#596642,#470962,#470963); #378210=AXIS2_PLACEMENT_3D('',#596646,#470965,#470966); #378211=AXIS2_PLACEMENT_3D('',#596648,#470967,#470968); #378212=AXIS2_PLACEMENT_3D('',#596649,#470969,#470970); #378213=AXIS2_PLACEMENT_3D('',#596650,#470971,#470972); #378214=AXIS2_PLACEMENT_3D('',#596652,#470974,#470975); #378215=AXIS2_PLACEMENT_3D('',#596654,#470977,#470978); #378216=AXIS2_PLACEMENT_3D('',#596657,#470980,#470981); #378217=AXIS2_PLACEMENT_3D('',#596658,#470982,#470983); #378218=AXIS2_PLACEMENT_3D('',#596659,#470984,#470985); #378219=AXIS2_PLACEMENT_3D('',#596660,#470986,#470987); #378220=AXIS2_PLACEMENT_3D('',#596670,#470993,#470994); #378221=AXIS2_PLACEMENT_3D('',#596672,#470995,#470996); #378222=AXIS2_PLACEMENT_3D('',#596675,#470998,#470999); #378223=AXIS2_PLACEMENT_3D('',#596676,#471000,#471001); #378224=AXIS2_PLACEMENT_3D('',#596678,#471002,#471003); #378225=AXIS2_PLACEMENT_3D('',#596681,#471005,#471006); #378226=AXIS2_PLACEMENT_3D('',#596682,#471007,#471008); #378227=AXIS2_PLACEMENT_3D('',#596686,#471012,#471013); #378228=AXIS2_PLACEMENT_3D('',#596687,#471014,#471015); #378229=AXIS2_PLACEMENT_3D('',#596688,#471016,#471017); #378230=AXIS2_PLACEMENT_3D('',#596689,#471018,#471019); #378231=AXIS2_PLACEMENT_3D('',#596698,#471024,#471025); #378232=AXIS2_PLACEMENT_3D('',#596700,#471026,#471027); #378233=AXIS2_PLACEMENT_3D('',#596703,#471029,#471030); #378234=AXIS2_PLACEMENT_3D('',#596704,#471031,#471032); #378235=AXIS2_PLACEMENT_3D('',#596713,#471037,#471038); #378236=AXIS2_PLACEMENT_3D('',#596719,#471042,#471043); #378237=AXIS2_PLACEMENT_3D('',#596725,#471047,#471048); #378238=AXIS2_PLACEMENT_3D('',#596726,#471049,#471050); #378239=AXIS2_PLACEMENT_3D('',#596727,#471051,#471052); #378240=AXIS2_PLACEMENT_3D('',#596728,#471053,#471054); #378241=AXIS2_PLACEMENT_3D('',#596734,#471058,#471059); #378242=AXIS2_PLACEMENT_3D('',#596737,#471062,#471063); #378243=AXIS2_PLACEMENT_3D('',#596746,#471068,#471069); #378244=AXIS2_PLACEMENT_3D('',#596752,#471073,#471074); #378245=AXIS2_PLACEMENT_3D('',#596758,#471078,#471079); #378246=AXIS2_PLACEMENT_3D('',#596761,#471082,#471083); #378247=AXIS2_PLACEMENT_3D('',#596763,#471084,#471085); #378248=AXIS2_PLACEMENT_3D('',#596766,#471087,#471088); #378249=AXIS2_PLACEMENT_3D('',#596767,#471089,#471090); #378250=AXIS2_PLACEMENT_3D('',#596776,#471095,#471096); #378251=AXIS2_PLACEMENT_3D('',#596778,#471097,#471098); #378252=AXIS2_PLACEMENT_3D('',#596780,#471099,#471100); #378253=AXIS2_PLACEMENT_3D('',#596782,#471102,#471103); #378254=AXIS2_PLACEMENT_3D('',#596788,#471107,#471108); #378255=AXIS2_PLACEMENT_3D('',#596789,#471109,#471110); #378256=AXIS2_PLACEMENT_3D('',#596790,#471111,#471112); #378257=AXIS2_PLACEMENT_3D('',#596791,#471113,#471114); #378258=AXIS2_PLACEMENT_3D('',#596792,#471115,#471116); #378259=AXIS2_PLACEMENT_3D('',#596793,#471117,#471118); #378260=AXIS2_PLACEMENT_3D('',#596794,#471119,#471120); #378261=AXIS2_PLACEMENT_3D('',#596795,#471121,#471122); #378262=AXIS2_PLACEMENT_3D('',#596796,#471123,#471124); #378263=AXIS2_PLACEMENT_3D('',#596823,#471125,#471126); #378264=AXIS2_PLACEMENT_3D('',#596866,#471127,#471128); #378265=AXIS2_PLACEMENT_3D('',#596867,#471129,#471130); #378266=AXIS2_PLACEMENT_3D('',#596904,#471131,#471132); #378267=AXIS2_PLACEMENT_3D('',#596957,#471133,#471134); #378268=AXIS2_PLACEMENT_3D('',#596998,#471135,#471136); #378269=AXIS2_PLACEMENT_3D('',#597031,#471137,#471138); #378270=AXIS2_PLACEMENT_3D('',#597067,#471139,#471140); #378271=AXIS2_PLACEMENT_3D('',#597119,#471141,#471142); #378272=AXIS2_PLACEMENT_3D('',#597130,#471143,#471144); #378273=AXIS2_PLACEMENT_3D('',#597135,#471146,#471147); #378274=AXIS2_PLACEMENT_3D('',#597139,#471149,#471150); #378275=AXIS2_PLACEMENT_3D('',#597143,#471152,#471153); #378276=AXIS2_PLACEMENT_3D('',#597146,#471155,#471156); #378277=AXIS2_PLACEMENT_3D('',#597166,#471158,#471159); #378278=AXIS2_PLACEMENT_3D('',#597197,#471161,#471162); #378279=AXIS2_PLACEMENT_3D('',#597240,#471164,#471165); #378280=AXIS2_PLACEMENT_3D('',#597271,#471167,#471168); #378281=AXIS2_PLACEMENT_3D('',#597290,#471170,#471171); #378282=AXIS2_PLACEMENT_3D('',#597292,#471172,#471173); #378283=AXIS2_PLACEMENT_3D('',#597323,#471175,#471176); #378284=AXIS2_PLACEMENT_3D('',#597366,#471178,#471179); #378285=AXIS2_PLACEMENT_3D('',#597396,#471181,#471182); #378286=AXIS2_PLACEMENT_3D('',#597397,#471183,#471184); #378287=AXIS2_PLACEMENT_3D('',#597399,#471185,#471186); #378288=AXIS2_PLACEMENT_3D('',#597402,#471188,#471189); #378289=AXIS2_PLACEMENT_3D('',#597403,#471190,#471191); #378290=AXIS2_PLACEMENT_3D('',#597405,#471192,#471193); #378291=AXIS2_PLACEMENT_3D('',#597407,#471195,#471196); #378292=AXIS2_PLACEMENT_3D('',#597409,#471197,#471198); #378293=AXIS2_PLACEMENT_3D('',#597410,#471199,#471200); #378294=AXIS2_PLACEMENT_3D('',#597413,#471202,#471203); #378295=AXIS2_PLACEMENT_3D('',#597414,#471204,#471205); #378296=AXIS2_PLACEMENT_3D('',#597415,#471206,#471207); #378297=AXIS2_PLACEMENT_3D('',#597417,#471209,#471210); #378298=AXIS2_PLACEMENT_3D('',#597419,#471211,#471212); #378299=AXIS2_PLACEMENT_3D('',#597422,#471214,#471215); #378300=AXIS2_PLACEMENT_3D('',#597423,#471216,#471217); #378301=AXIS2_PLACEMENT_3D('',#597424,#471218,#471219); #378302=AXIS2_PLACEMENT_3D('',#597425,#471220,#471221); #378303=AXIS2_PLACEMENT_3D('',#597427,#471222,#471223); #378304=AXIS2_PLACEMENT_3D('',#597430,#471225,#471226); #378305=AXIS2_PLACEMENT_3D('',#597431,#471227,#471228); #378306=AXIS2_PLACEMENT_3D('',#597432,#471229,#471230); #378307=AXIS2_PLACEMENT_3D('',#597433,#471231,#471232); #378308=AXIS2_PLACEMENT_3D('',#597435,#471233,#471234); #378309=AXIS2_PLACEMENT_3D('',#597438,#471236,#471237); #378310=AXIS2_PLACEMENT_3D('',#597439,#471238,#471239); #378311=AXIS2_PLACEMENT_3D('',#597440,#471240,#471241); #378312=AXIS2_PLACEMENT_3D('',#597441,#471242,#471243); #378313=AXIS2_PLACEMENT_3D('',#597443,#471244,#471245); #378314=AXIS2_PLACEMENT_3D('',#597446,#471247,#471248); #378315=AXIS2_PLACEMENT_3D('',#597447,#471249,#471250); #378316=AXIS2_PLACEMENT_3D('',#597448,#471251,#471252); #378317=AXIS2_PLACEMENT_3D('',#597449,#471253,#471254); #378318=AXIS2_PLACEMENT_3D('',#597450,#471255,#471256); #378319=AXIS2_PLACEMENT_3D('',#597451,#471257,#471258); #378320=AXIS2_PLACEMENT_3D('',#597452,#471259,#471260); #378321=AXIS2_PLACEMENT_3D('',#597453,#471261,#471262); #378322=DIRECTION('axis',(0.,0.,1.)); #378323=DIRECTION('refdir',(1.,0.,0.)); #378324=DIRECTION('axis',(0.,0.,1.)); #378325=DIRECTION('refdir',(1.,0.,0.)); #378326=DIRECTION('axis',(0.,0.,1.)); #378327=DIRECTION('refdir',(1.,0.,0.)); #378328=DIRECTION('center_axis',(0.,0.,1.)); #378329=DIRECTION('ref_axis',(-1.,-6.667259337216E-14,0.)); #378330=DIRECTION('',(0.,0.,-1.)); #378331=DIRECTION('center_axis',(0.,0.,-1.)); #378332=DIRECTION('ref_axis',(0.455201185198312,0.890388612345223,0.)); #378333=DIRECTION('center_axis',(0.,0.,-1.)); #378334=DIRECTION('ref_axis',(1.,0.,0.)); #378335=DIRECTION('',(9.592326932761E-14,-1.705302565824E-13,-1.)); #378336=DIRECTION('center_axis',(0.,0.,-1.)); #378337=DIRECTION('ref_axis',(-0.818819210675826,-0.574051478726618,0.)); #378338=DIRECTION('',(4.263256414561E-14,-1.95399252334E-14,1.)); #378339=DIRECTION('center_axis',(0.,0.,1.)); #378340=DIRECTION('ref_axis',(-0.923076923076914,-0.384615384615406,0.)); #378341=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #378342=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #378343=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #378344=DIRECTION('',(-1.22730108904E-14,0.,-1.)); #378345=DIRECTION('',(-0.707106781186698,-0.707106781186398,0.)); #378346=DIRECTION('',(9.592326932761E-14,-1.705302565824E-13,-1.)); #378347=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #378348=DIRECTION('',(-1.546475366066E-14,-1.149407366671E-14,-1.)); #378349=DIRECTION('center_axis',(0.703689715919213,-0.710505538234558,0.00163212976145254)); #378350=DIRECTION('ref_axis',(-0.673377192644463,-0.666181143237997,0.320571116634634)); #378351=DIRECTION('',(-0.707106781186498,-0.707106781186597,0.)); #378352=DIRECTION('',(3.730349362741E-14,4.840572387366E-14,1.)); #378353=DIRECTION('',(0.707106781186597,0.707106781186498,0.)); #378354=DIRECTION('',(-1.492139745096E-14,0.,-1.)); #378355=DIRECTION('',(-0.707106781186797,-0.707106781186297,0.)); #378356=DIRECTION('center_axis',(0.,0.,1.)); #378357=DIRECTION('ref_axis',(-1.,0.,0.)); #378358=DIRECTION('center_axis',(0.,0.,1.)); #378359=DIRECTION('ref_axis',(-0.916515138991173,-0.399999999999988,0.)); #378360=DIRECTION('',(-1.,-1.960800294933E-13,0.)); #378361=DIRECTION('center_axis',(0.,1.,0.)); #378362=DIRECTION('ref_axis',(1.,0.,0.)); #378363=DIRECTION('',(0.,-1.42108547152E-14,-1.)); #378364=DIRECTION('',(1.,1.381610875089E-14,0.)); #378365=DIRECTION('',(2.257018101826E-14,-1.128509050913E-14,1.)); #378366=DIRECTION('',(1.,1.960800294933E-13,0.)); #378367=DIRECTION('',(4.263256414561E-14,-1.95399252334E-14,1.)); #378368=DIRECTION('',(-1.,-1.960800294933E-13,0.)); #378369=DIRECTION('',(0.,0.,1.)); #378370=DIRECTION('',(1.,1.960800294933E-13,0.)); #378371=DIRECTION('',(-1.7763568394E-14,5.435651928565E-14,1.)); #378372=DIRECTION('',(-1.,-9.92267491801E-14,0.)); #378373=DIRECTION('center_axis',(1.,0.,0.)); #378374=DIRECTION('ref_axis',(0.,0.,-1.)); #378375=DIRECTION('',(0.,0.,-1.)); #378376=DIRECTION('',(0.,-1.,0.)); #378377=DIRECTION('',(0.,1.,0.)); #378378=DIRECTION('center_axis',(0.,1.,0.)); #378379=DIRECTION('ref_axis',(-1.,0.,0.)); #378380=DIRECTION('',(1.,2.681804031895E-14,0.)); #378381=DIRECTION('',(1.136868377216E-14,2.522426711948E-14,-1.)); #378382=DIRECTION('',(-1.,2.385640358835E-13,0.)); #378383=DIRECTION('',(0.,-2.30926389122E-14,-1.)); #378384=DIRECTION('',(1.,-2.418320363751E-13,0.)); #378385=DIRECTION('',(-2.19622300144E-14,-4.812312164921E-14,-1.)); #378386=DIRECTION('',(1.,-2.712440407991E-13,0.)); #378387=DIRECTION('',(1.669775429036E-13,3.517186542013E-13,-1.)); #378388=DIRECTION('',(-1.,1.960800294933E-13,0.)); #378389=DIRECTION('',(-2.549594522434E-14,-4.848409255775E-14,-1.)); #378390=DIRECTION('',(-1.,-3.118493118058E-13,5.230384027123E-14)); #378391=DIRECTION('center_axis',(0.,0.,1.)); #378392=DIRECTION('ref_axis',(-1.,0.,0.)); #378393=DIRECTION('',(-1.,-8.732984597133E-13,0.)); #378394=DIRECTION('center_axis',(0.,0.,-1.)); #378395=DIRECTION('ref_axis',(1.,0.,0.)); #378396=DIRECTION('',(0.,1.,0.)); #378397=DIRECTION('center_axis',(0.,0.,-1.)); #378398=DIRECTION('ref_axis',(0.,1.,0.)); #378399=DIRECTION('',(1.,0.,0.)); #378400=DIRECTION('center_axis',(0.,0.,-1.)); #378401=DIRECTION('ref_axis',(-1.,0.,0.)); #378402=DIRECTION('',(0.,1.,0.)); #378403=DIRECTION('center_axis',(0.,0.,-1.)); #378404=DIRECTION('ref_axis',(0.,-1.,0.)); #378405=DIRECTION('',(-1.,0.,0.)); #378406=DIRECTION('center_axis',(0.,0.,-1.)); #378407=DIRECTION('ref_axis',(1.,0.,0.)); #378408=DIRECTION('',(0.,-1.,0.)); #378409=DIRECTION('center_axis',(0.,0.,-1.)); #378410=DIRECTION('ref_axis',(0.0226659546723803,0.999743094249112,0.)); #378411=DIRECTION('',(0.276752447865907,0.960941248256223,0.)); #378412=DIRECTION('',(-1.719998036936E-13,1.,0.)); #378413=DIRECTION('center_axis',(0.,0.,1.)); #378414=DIRECTION('ref_axis',(9.237055564883E-14,-1.,0.)); #378415=DIRECTION('',(1.,-7.261391212211E-13,1.569577638947E-12)); #378416=DIRECTION('center_axis',(0.,0.,1.)); #378417=DIRECTION('ref_axis',(-0.707106781190098,-0.707106781182997,0.)); #378418=DIRECTION('',(0.707106781186498,-0.707106781186598,1.91516814941699E-14)); #378419=DIRECTION('center_axis',(0.,0.,1.)); #378420=DIRECTION('ref_axis',(0.755963595993206,-0.654613658223705,0.)); #378421=DIRECTION('center_axis',(0.,0.,-1.)); #378422=DIRECTION('ref_axis',(-0.993369701213973,0.114963632119297,0.)); #378423=DIRECTION('center_axis',(0.,0.,1.)); #378424=DIRECTION('ref_axis',(0.620794693238094,-0.783973181204192,0.)); #378425=DIRECTION('',(-0.707106781186398,0.707106781186697,-1.391207051935E-13)); #378426=DIRECTION('center_axis',(0.,0.,1.)); #378427=DIRECTION('ref_axis',(-0.707106781200797,-0.707106781172298,0.)); #378428=DIRECTION('',(0.707106781186098,-0.707106781186998,1.79184957374599E-14)); #378429=DIRECTION('center_axis',(0.,0.,1.)); #378430=DIRECTION('ref_axis',(0.384615384615406,-0.923076923076914,0.)); #378431=DIRECTION('',(-5.526443500356E-14,1.,-5.230384027122E-14)); #378432=DIRECTION('',(1.,0.,0.)); #378433=DIRECTION('',(-1.381610875089E-14,-1.,5.230384027122E-14)); #378434=DIRECTION('center_axis',(0.,0.,1.)); #378435=DIRECTION('ref_axis',(-0.574051478726685,-0.818819210675779,0.)); #378436=DIRECTION('',(0.707106781185997,0.707106781187098,-1.75866717422899E-14)); #378437=DIRECTION('center_axis',(0.,0.,1.)); #378438=DIRECTION('ref_axis',(-1.,0.,0.)); #378439=DIRECTION('',(-0.707106781186398,-0.707106781186698,0.)); #378440=DIRECTION('center_axis',(0.,0.,1.)); #378441=DIRECTION('ref_axis',(-0.654613658223705,-0.755963595993206,0.)); #378442=DIRECTION('center_axis',(0.,0.,-1.)); #378443=DIRECTION('ref_axis',(0.114963632119396,0.993369701213962,0.)); #378444=DIRECTION('center_axis',(0.,0.,1.)); #378445=DIRECTION('ref_axis',(-0.783973181204241,-0.620794693238032,0.)); #378446=DIRECTION('',(0.707106781186498,0.707106781186598,-1.250279584336E-13)); #378447=DIRECTION('center_axis',(0.,0.,1.)); #378448=DIRECTION('ref_axis',(-1.,0.,0.)); #378449=DIRECTION('center_axis',(0.,0.,1.)); #378450=DIRECTION('ref_axis',(-0.923076923076914,-0.384615384615406,0.)); #378451=DIRECTION('center_axis',(0.,0.,1.)); #378452=DIRECTION('ref_axis',(-0.818819210675779,0.574051478726685,0.)); #378453=DIRECTION('',(0.707106781186698,-0.707106781186397,-1.75866717422899E-14)); #378454=DIRECTION('center_axis',(0.,0.,1.)); #378455=DIRECTION('ref_axis',(-1.,0.,0.)); #378456=DIRECTION('',(-0.707106781186998,0.707106781186097,1.91516814941399E-14)); #378457=DIRECTION('center_axis',(0.,0.,1.)); #378458=DIRECTION('ref_axis',(-0.755963595993206,0.654613658223705,0.)); #378459=DIRECTION('center_axis',(0.,0.,-1.)); #378460=DIRECTION('ref_axis',(0.993369701213938,-0.114963632119604,0.)); #378461=DIRECTION('center_axis',(0.,0.,1.)); #378462=DIRECTION('ref_axis',(-0.620794693238032,0.783973181204241,0.)); #378463=DIRECTION('',(0.707106781186598,-0.707106781186498,-1.387593527124E-13)); #378464=DIRECTION('center_axis',(0.,0.,1.)); #378465=DIRECTION('ref_axis',(-1.,0.,0.)); #378466=DIRECTION('',(-0.707106781186698,0.707106781186398,0.)); #378467=DIRECTION('center_axis',(0.,0.,1.)); #378468=DIRECTION('ref_axis',(-0.384615384615406,0.923076923076914,0.)); #378469=DIRECTION('',(0.,-1.,0.)); #378470=DIRECTION('',(-1.,0.,0.)); #378471=DIRECTION('',(5.526443500355E-14,1.,5.3290705182E-14)); #378472=DIRECTION('center_axis',(0.,0.,1.)); #378473=DIRECTION('ref_axis',(0.574051478726618,0.818819210675826,0.)); #378474=DIRECTION('',(-0.707106781186698,-0.707106781186397,-1.79184957374299E-14)); #378475=DIRECTION('center_axis',(0.,0.,1.)); #378476=DIRECTION('ref_axis',(0.707106781181898,-0.707106781191198,0.)); #378477=DIRECTION('',(0.707106781186598,0.707106781186498,1.95130339751999E-14)); #378478=DIRECTION('center_axis',(0.,0.,1.)); #378479=DIRECTION('ref_axis',(0.654613658223705,0.755963595993206,0.)); #378480=DIRECTION('center_axis',(0.,0.,-1.)); #378481=DIRECTION('ref_axis',(-0.114963632119396,-0.993369701213962,0.)); #378482=DIRECTION('center_axis',(0.,0.,1.)); #378483=DIRECTION('ref_axis',(0.783973181204192,0.620794693238094,0.)); #378484=DIRECTION('',(-0.707106781186898,-0.707106781186198,-1.391207051935E-13)); #378485=DIRECTION('center_axis',(0.,0.,1.)); #378486=DIRECTION('ref_axis',(0.707106781170797,-0.707106781202298,0.)); #378487=DIRECTION('',(0.707106781186898,0.707106781186198,1.75866717423199E-14)); #378488=DIRECTION('center_axis',(0.,0.,1.)); #378489=DIRECTION('ref_axis',(0.923076923076914,0.384615384615406,0.)); #378490=DIRECTION('',(-1.,-4.934324553889E-14,-5.230384027122E-14)); #378491=DIRECTION('',(0.,1.,0.)); #378492=DIRECTION('',(1.,3.214049257408E-13,0.)); #378493=DIRECTION('',(0.707106781185897,-0.707106781187197,0.)); #378494=DIRECTION('center_axis',(0.,0.,1.)); #378495=DIRECTION('ref_axis',(0.838291398761886,-0.545222459883891,0.)); #378496=DIRECTION('',(-0.449679150297615,0.89319015992543,-4.38715238938715E-9)); #378497=DIRECTION('',(-0.707106781187698,0.707106781185397,4.79080073707898E-9)); #378498=DIRECTION('center_axis',(0.,0.,1.)); #378499=DIRECTION('ref_axis',(-0.825822109041821,0.563930708702514,0.)); #378500=DIRECTION('center_axis',(0.,0.,-1.)); #378501=DIRECTION('ref_axis',(0.909778373678562,-0.415094339622683,0.)); #378502=DIRECTION('center_axis',(0.,0.,1.)); #378503=DIRECTION('ref_axis',(1.,0.,0.)); #378504=DIRECTION('center_axis',(0.,0.,1.)); #378505=DIRECTION('ref_axis',(1.,0.,0.)); #378506=DIRECTION('center_axis',(0.,0.,1.)); #378507=DIRECTION('ref_axis',(1.,0.,0.)); #378508=DIRECTION('center_axis',(0.,0.,1.)); #378509=DIRECTION('ref_axis',(1.,0.,0.)); #378510=DIRECTION('center_axis',(0.,1.,0.)); #378511=DIRECTION('ref_axis',(-1.,0.,0.)); #378512=DIRECTION('',(1.,8.671914774705E-13,0.)); #378513=DIRECTION('',(-6.368239269249E-13,-1.021405182655E-13,1.)); #378514=DIRECTION('',(4.61852778244E-14,1.016964290557E-13,-1.)); #378515=DIRECTION('center_axis',(0.,0.,1.)); #378516=DIRECTION('ref_axis',(-1.,0.,0.)); #378517=DIRECTION('',(0.,1.,0.)); #378518=DIRECTION('center_axis',(0.,0.,1.)); #378519=DIRECTION('ref_axis',(7.863339609078E-12,-1.,0.)); #378520=DIRECTION('center_axis',(0.,0.,1.)); #378521=DIRECTION('ref_axis',(0.825822109083208,-0.563930708641906,0.)); #378522=DIRECTION('center_axis',(0.,0.,-1.)); #378523=DIRECTION('ref_axis',(-0.707106781168397,-0.707106781204698,0.)); #378524=DIRECTION('',(-0.707106781186397,0.707106781186698,0.)); #378525=DIRECTION('',(-1.,1.117137109574E-12,0.)); #378526=DIRECTION('center_axis',(0.,0.,-1.)); #378527=DIRECTION('ref_axis',(1.,1.698197138467E-12,0.)); #378528=DIRECTION('',(1.719998036933E-13,-1.,0.)); #378529=DIRECTION('',(-0.276752447865907,-0.960941248256223,0.)); #378530=DIRECTION('center_axis',(0.,0.,1.)); #378531=DIRECTION('ref_axis',(1.,1.7763568394E-14,0.)); #378532=DIRECTION('',(0.,1.,0.)); #378533=DIRECTION('center_axis',(0.,0.,1.)); #378534=DIRECTION('ref_axis',(0.,-1.,0.)); #378535=DIRECTION('',(1.,0.,0.)); #378536=DIRECTION('center_axis',(0.,0.,1.)); #378537=DIRECTION('ref_axis',(-1.,0.,0.)); #378538=DIRECTION('',(0.,-1.,0.)); #378539=DIRECTION('center_axis',(0.,0.,1.)); #378540=DIRECTION('ref_axis',(0.,1.,0.)); #378541=DIRECTION('',(-1.,0.,0.)); #378542=DIRECTION('center_axis',(0.,0.,1.)); #378543=DIRECTION('ref_axis',(1.,0.,0.)); #378544=DIRECTION('center_axis',(0.,0.,-1.)); #378545=DIRECTION('ref_axis',(-0.759788468441777,-0.65017034938768,0.)); #378546=DIRECTION('center_axis',(0.,0.,1.)); #378547=DIRECTION('ref_axis',(0.992493841783994,0.122294619754299,0.)); #378548=DIRECTION('center_axis',(0.,0.,-1.)); #378549=DIRECTION('ref_axis',(-0.386043398387306,-0.922480620155014,0.)); #378550=DIRECTION('',(-1.,3.281649541399E-14,-2.282886637495E-14)); #378551=DIRECTION('center_axis',(0.,0.,-1.)); #378552=DIRECTION('ref_axis',(0.65017034938768,-0.759788468441777,0.)); #378553=DIRECTION('center_axis',(0.,0.,1.)); #378554=DIRECTION('ref_axis',(-0.122294619754398,0.992493841783982,0.)); #378555=DIRECTION('center_axis',(0.,0.,-1.)); #378556=DIRECTION('ref_axis',(0.922480620155014,-0.386043398387306,0.)); #378557=DIRECTION('',(2.140206222651E-14,-1.,1.058211625335E-14)); #378558=DIRECTION('center_axis',(0.,0.,-1.)); #378559=DIRECTION('ref_axis',(0.759788468441777,0.65017034938768,0.)); #378560=DIRECTION('center_axis',(0.,0.,1.)); #378561=DIRECTION('ref_axis',(-0.992493841783957,-0.122294619754595,0.)); #378562=DIRECTION('center_axis',(0.,0.,-1.)); #378563=DIRECTION('ref_axis',(0.386043398387221,0.92248062015505,0.)); #378564=DIRECTION('',(1.,4.637113482412E-14,1.724052109851E-14)); #378565=DIRECTION('center_axis',(0.,0.,-1.)); #378566=DIRECTION('ref_axis',(-0.650170349387623,0.759788468441827,0.)); #378567=DIRECTION('center_axis',(0.,0.,1.)); #378568=DIRECTION('ref_axis',(0.122294619754398,-0.992493841783982,0.)); #378569=DIRECTION('center_axis',(0.,0.,-1.)); #378570=DIRECTION('ref_axis',(-0.922480620155014,0.386043398387306,0.)); #378571=DIRECTION('',(3.370824800676E-14,1.,-2.996288711712E-14)); #378572=DIRECTION('center_axis',(0.,0.,-1.)); #378573=DIRECTION('ref_axis',(1.,0.,0.)); #378574=DIRECTION('center_axis',(0.,0.,-1.)); #378575=DIRECTION('ref_axis',(1.,0.,0.)); #378576=DIRECTION('center_axis',(0.,0.,-1.)); #378577=DIRECTION('ref_axis',(0.,-1.,0.)); #378578=DIRECTION('center_axis',(0.,0.,-1.)); #378579=DIRECTION('ref_axis',(1.,0.,0.)); #378580=DIRECTION('center_axis',(1.,0.,0.)); #378581=DIRECTION('ref_axis',(0.,-1.,0.)); #378582=DIRECTION('',(0.,0.,-1.)); #378583=DIRECTION('',(0.,0.,1.)); #378584=DIRECTION('center_axis',(0.,0.,-1.)); #378585=DIRECTION('ref_axis',(1.,0.,0.)); #378586=DIRECTION('',(0.,0.,-1.)); #378587=DIRECTION('center_axis',(0.,1.,0.)); #378588=DIRECTION('ref_axis',(0.,0.,1.)); #378589=DIRECTION('',(0.,0.,1.)); #378590=DIRECTION('center_axis',(0.,0.,-1.)); #378591=DIRECTION('ref_axis',(1.,0.,0.)); #378592=DIRECTION('',(0.,0.,-1.)); #378593=DIRECTION('center_axis',(1.,0.,0.)); #378594=DIRECTION('ref_axis',(0.,0.,1.)); #378595=DIRECTION('',(0.,0.,1.)); #378596=DIRECTION('center_axis',(0.,0.,-1.)); #378597=DIRECTION('ref_axis',(1.,0.,0.)); #378598=DIRECTION('',(0.,0.,-1.)); #378599=DIRECTION('center_axis',(0.,1.,0.)); #378600=DIRECTION('ref_axis',(0.,0.,-1.)); #378601=DIRECTION('',(0.,0.,1.)); #378602=DIRECTION('center_axis',(0.,0.,-1.)); #378603=DIRECTION('ref_axis',(1.,0.,0.)); #378604=DIRECTION('',(0.,0.,-1.)); #378605=DIRECTION('center_axis',(1.,0.,0.)); #378606=DIRECTION('ref_axis',(0.,-1.,0.)); #378607=DIRECTION('',(0.,0.,1.)); #378608=DIRECTION('center_axis',(0.,0.,1.)); #378609=DIRECTION('ref_axis',(-1.,0.,0.)); #378610=DIRECTION('',(-2.957634137601E-13,0.,-1.)); #378611=DIRECTION('center_axis',(0.960941248256083,-0.276752447866395,0.)); #378612=DIRECTION('ref_axis',(0.276752447866395,0.960941248256083,0.)); #378613=DIRECTION('',(0.,-1.049826892085E-12,1.)); #378614=DIRECTION('center_axis',(1.,0.,0.)); #378615=DIRECTION('ref_axis',(0.,0.,1.)); #378616=DIRECTION('',(0.,-3.01980662698E-14,1.)); #378617=DIRECTION('center_axis',(0.,0.,1.)); #378618=DIRECTION('ref_axis',(1.,0.,0.)); #378619=DIRECTION('',(-1.296740492762E-13,-2.13162820728E-14,-1.)); #378620=DIRECTION('center_axis',(0.,1.,0.)); #378621=DIRECTION('ref_axis',(0.,0.,1.)); #378622=DIRECTION('',(-6.128431095931E-14,0.,1.)); #378623=DIRECTION('center_axis',(0.707106781185898,0.707106781187198,0.)); #378624=DIRECTION('ref_axis',(0.707106781187198,-0.707106781185898,0.)); #378625=DIRECTION('',(1.354210861096E-13,0.,1.)); #378626=DIRECTION('',(0.707106781187597,-0.707106781185498,0.)); #378627=DIRECTION('',(-3.268496584496E-13,-5.222489107837E-13,1.)); #378628=DIRECTION('',(-0.707106781187198,0.707106781185897,0.)); #378629=DIRECTION('',(1.80865423648E-14,3.681903267121E-14,1.)); #378630=DIRECTION('',(0.707106781187498,-0.707106781185598,0.)); #378631=DIRECTION('',(-3.268496584496E-13,-5.222489107837E-13,1.)); #378632=DIRECTION('',(-0.707106781187198,0.707106781185897,0.)); #378633=DIRECTION('',(2.060573933704E-14,4.050093593833E-14,1.)); #378634=DIRECTION('',(0.707106781187347,-0.707106781185748,0.)); #378635=DIRECTION('',(4.014566457045E-13,-6.123990203832E-13,1.)); #378636=DIRECTION('',(0.707106781186498,-0.707106781186598,0.)); #378637=DIRECTION('',(6.217248937901E-14,5.240252676231E-14,1.)); #378638=DIRECTION('',(0.,0.,-1.)); #378639=DIRECTION('',(3.061551009859E-12,-3.938183109287E-12,1.)); #378640=DIRECTION('',(1.14989499903E-12,-2.482162634364E-12,-1.)); #378641=DIRECTION('center_axis',(0.,0.,-1.)); #378642=DIRECTION('ref_axis',(1.,0.,0.)); #378643=DIRECTION('',(0.,0.,-1.)); #378644=DIRECTION('center_axis',(0.,0.,-1.)); #378645=DIRECTION('ref_axis',(0.916515138991173,-0.399999999999988,0.)); #378646=DIRECTION('center_axis',(0.,0.,1.)); #378647=DIRECTION('ref_axis',(0.822836551104759,-0.568278109877572,0.)); #378648=DIRECTION('center_axis',(0.,1.,0.)); #378649=DIRECTION('ref_axis',(1.,0.,0.)); #378650=DIRECTION('',(0.,0.,-1.)); #378651=DIRECTION('',(0.,0.,-1.)); #378652=DIRECTION('',(-1.,9.74388798255E-14,0.)); #378653=DIRECTION('',(0.,0.,-1.)); #378654=DIRECTION('',(-1.,1.960800294933E-13,0.)); #378655=DIRECTION('',(-5.684341886081E-14,-3.907985046681E-14,-1.)); #378656=DIRECTION('',(1.,-1.944460292476E-13,0.)); #378657=DIRECTION('',(0.,0.,-1.)); #378658=DIRECTION('',(-1.,1.960800294933E-13,0.)); #378659=DIRECTION('',(-5.684341886081E-14,-3.907985046681E-14,-1.)); #378660=DIRECTION('',(1.,0.,0.)); #378661=DIRECTION('center_axis',(0.,0.,1.)); #378662=DIRECTION('ref_axis',(-1.,0.,0.)); #378663=DIRECTION('center_axis',(0.,0.,1.)); #378664=DIRECTION('ref_axis',(0.818819210675906,-0.574051478726504,0.)); #378665=DIRECTION('center_axis',(0.,0.,-1.)); #378666=DIRECTION('ref_axis',(1.,0.,0.)); #378667=DIRECTION('center_axis',(0.,0.,-1.)); #378668=DIRECTION('ref_axis',(0.923076923076914,-0.384615384615406,0.)); #378669=DIRECTION('center_axis',(0.,0.,1.)); #378670=DIRECTION('ref_axis',(-1.,0.,0.)); #378671=DIRECTION('center_axis',(0.,0.,1.)); #378672=DIRECTION('ref_axis',(0.822836551104727,-0.568278109877618,0.)); #378673=DIRECTION('center_axis',(0.,0.,-1.)); #378674=DIRECTION('ref_axis',(1.,0.,0.)); #378675=DIRECTION('center_axis',(0.,0.,-1.)); #378676=DIRECTION('ref_axis',(0.916515138991173,-0.399999999999988,0.)); #378677=DIRECTION('center_axis',(0.,0.,1.)); #378678=DIRECTION('ref_axis',(-1.,0.,0.)); #378679=DIRECTION('center_axis',(0.,0.,1.)); #378680=DIRECTION('ref_axis',(0.818819210675906,-0.574051478726504,0.)); #378681=DIRECTION('center_axis',(0.,0.,-1.)); #378682=DIRECTION('ref_axis',(1.,0.,0.)); #378683=DIRECTION('center_axis',(0.,0.,-1.)); #378684=DIRECTION('ref_axis',(0.923076923076914,-0.384615384615406,0.)); #378685=DIRECTION('center_axis',(0.,0.,1.)); #378686=DIRECTION('ref_axis',(-1.,0.,0.)); #378687=DIRECTION('center_axis',(0.,0.,1.)); #378688=DIRECTION('ref_axis',(0.822836551104727,-0.568278109877618,0.)); #378689=DIRECTION('center_axis',(0.,0.,-1.)); #378690=DIRECTION('ref_axis',(1.,0.,0.)); #378691=DIRECTION('center_axis',(0.,0.,-1.)); #378692=DIRECTION('ref_axis',(0.916515138991173,-0.399999999999988,0.)); #378693=DIRECTION('center_axis',(0.,0.,1.)); #378694=DIRECTION('ref_axis',(-1.,0.,0.)); #378695=DIRECTION('',(0.,1.,0.)); #378696=DIRECTION('center_axis',(0.,0.,1.)); #378697=DIRECTION('ref_axis',(7.898866745866E-12,-1.,0.)); #378698=DIRECTION('',(1.,8.671914774705E-13,0.)); #378699=DIRECTION('center_axis',(0.,0.,1.)); #378700=DIRECTION('ref_axis',(0.825822109083208,-0.563930708641906,0.)); #378701=DIRECTION('center_axis',(0.,0.,-1.)); #378702=DIRECTION('ref_axis',(-0.707106781182498,-0.707106781190597,0.)); #378703=DIRECTION('',(0.,-1.,0.)); #378704=DIRECTION('',(1.,9.92267491801E-14,0.)); #378705=DIRECTION('center_axis',(0.,0.,-1.)); #378706=DIRECTION('ref_axis',(0.822836551104727,0.568278109877618,0.)); #378707=DIRECTION('',(-0.707106781186897,-0.707106781186198,0.)); #378708=DIRECTION('center_axis',(0.,0.,-1.)); #378709=DIRECTION('ref_axis',(-0.707106781136298,0.707106781236798,0.)); #378710=DIRECTION('',(0.707106781186797,0.707106781186298,0.)); #378711=DIRECTION('center_axis',(0.,0.,-1.)); #378712=DIRECTION('ref_axis',(0.61714433724891,0.786849964733813,0.)); #378713=DIRECTION('',(-0.707106781186198,-0.707106781186897,0.)); #378714=DIRECTION('center_axis',(0.,0.,-1.)); #378715=DIRECTION('ref_axis',(-0.707106781180098,0.707106781192997,0.)); #378716=DIRECTION('',(0.707106781186698,0.707106781186397,0.)); #378717=DIRECTION('center_axis',(0.,0.,-1.)); #378718=DIRECTION('ref_axis',(0.399999999999988,0.916515138991173,0.)); #378719=DIRECTION('',(0.,-1.,0.)); #378720=DIRECTION('',(1.,0.,0.)); #378721=DIRECTION('',(-9.565101047091E-14,1.,0.)); #378722=DIRECTION('center_axis',(0.,0.,-1.)); #378723=DIRECTION('ref_axis',(-0.568278109877572,0.822836551104759,0.)); #378724=DIRECTION('',(0.707106781186598,-0.707106781186498,0.)); #378725=DIRECTION('center_axis',(0.,0.,-1.)); #378726=DIRECTION('ref_axis',(-1.,0.,0.)); #378727=DIRECTION('',(-0.707106781186797,0.707106781186297,0.)); #378728=DIRECTION('center_axis',(0.,0.,-1.)); #378729=DIRECTION('ref_axis',(-0.786849964733678,0.617144337249083,0.)); #378730=DIRECTION('',(0.707106781186998,-0.707106781186097,0.)); #378731=DIRECTION('center_axis',(0.,0.,-1.)); #378732=DIRECTION('ref_axis',(-1.,0.,0.)); #378733=DIRECTION('',(-0.707106781186697,0.707106781186398,0.)); #378734=DIRECTION('center_axis',(0.,0.,-1.)); #378735=DIRECTION('ref_axis',(-0.916515138991173,0.399999999999988,0.)); #378736=DIRECTION('center_axis',(0.,0.,-1.)); #378737=DIRECTION('ref_axis',(-0.822836551104759,-0.568278109877572,0.)); #378738=DIRECTION('center_axis',(0.,0.,-1.)); #378739=DIRECTION('ref_axis',(-1.,0.,0.)); #378740=DIRECTION('',(-0.707106781186498,-0.707106781186598,0.)); #378741=DIRECTION('center_axis',(0.,0.,-1.)); #378742=DIRECTION('ref_axis',(-0.617144337249021,-0.786849964733727,0.)); #378743=DIRECTION('',(0.707106781186498,0.707106781186598,0.)); #378744=DIRECTION('center_axis',(0.,0.,-1.)); #378745=DIRECTION('ref_axis',(-1.,0.,0.)); #378746=DIRECTION('',(-0.707106781185897,-0.707106781187198,0.)); #378747=DIRECTION('center_axis',(0.,0.,-1.)); #378748=DIRECTION('ref_axis',(-0.399999999999988,-0.916515138991173,0.)); #378749=DIRECTION('',(2.949984435084E-14,1.,0.)); #378750=DIRECTION('',(-1.,0.,0.)); #378751=DIRECTION('',(9.83328145028E-14,-1.,0.)); #378752=DIRECTION('center_axis',(0.,0.,-1.)); #378753=DIRECTION('ref_axis',(0.568278109877618,-0.822836551104727,0.)); #378754=DIRECTION('',(-0.707106781186198,0.707106781186897,0.)); #378755=DIRECTION('center_axis',(0.,0.,-1.)); #378756=DIRECTION('ref_axis',(0.707106781194398,0.707106781178698,0.)); #378757=DIRECTION('',(0.707106781185998,-0.707106781187098,0.)); #378758=DIRECTION('center_axis',(0.,0.,-1.)); #378759=DIRECTION('ref_axis',(0.786849964733813,-0.61714433724891,0.)); #378760=DIRECTION('',(-0.707106781186348,0.707106781186748,0.)); #378761=DIRECTION('center_axis',(0.,0.,-1.)); #378762=DIRECTION('ref_axis',(0.707106781186597,0.707106781186498,0.)); #378763=DIRECTION('',(-1.,8.15510089988E-13,1.117137109573E-14)); #378764=DIRECTION('center_axis',(0.,0.,-1.)); #378765=DIRECTION('ref_axis',(1.,1.698197138467E-12,0.)); #378766=DIRECTION('',(1.719998036933E-13,-1.,0.)); #378767=DIRECTION('',(-0.276752447865907,-0.960941248256223,0.)); #378768=DIRECTION('center_axis',(0.,0.,1.)); #378769=DIRECTION('ref_axis',(1.,-1.184237892933E-14,0.)); #378770=DIRECTION('',(0.,1.,0.)); #378771=DIRECTION('center_axis',(0.,0.,1.)); #378772=DIRECTION('ref_axis',(0.,-1.,0.)); #378773=DIRECTION('',(1.,0.,0.)); #378774=DIRECTION('center_axis',(0.,0.,1.)); #378775=DIRECTION('ref_axis',(-1.,0.,0.)); #378776=DIRECTION('',(0.,-1.,0.)); #378777=DIRECTION('center_axis',(0.,0.,1.)); #378778=DIRECTION('ref_axis',(0.,1.,0.)); #378779=DIRECTION('',(-1.,0.,0.)); #378780=DIRECTION('center_axis',(0.,0.,1.)); #378781=DIRECTION('ref_axis',(1.,0.,0.)); #378782=DIRECTION('center_axis',(0.,0.,-1.)); #378783=DIRECTION('ref_axis',(-1.,0.,0.)); #378784=DIRECTION('center_axis',(0.,0.,-1.)); #378785=DIRECTION('ref_axis',(-1.,0.,0.)); #378786=DIRECTION('center_axis',(0.,0.,-1.)); #378787=DIRECTION('ref_axis',(-1.,0.,0.)); #378788=DIRECTION('center_axis',(0.,0.,-1.)); #378789=DIRECTION('ref_axis',(-1.,0.,0.)); #378790=DIRECTION('center_axis',(1.,0.,0.)); #378791=DIRECTION('ref_axis',(0.,-1.,0.)); #378792=DIRECTION('',(0.,1.,0.)); #378793=DIRECTION('',(0.,0.,-1.)); #378794=DIRECTION('',(0.,0.,1.)); #378795=DIRECTION('center_axis',(0.,0.,1.)); #378796=DIRECTION('ref_axis',(-1.,0.,0.)); #378797=DIRECTION('',(-1.,-8.732984597133E-13,0.)); #378798=DIRECTION('center_axis',(0.,0.,-1.)); #378799=DIRECTION('ref_axis',(1.,0.,0.)); #378800=DIRECTION('center_axis',(0.,0.,-1.)); #378801=DIRECTION('ref_axis',(0.,1.,0.)); #378802=DIRECTION('',(1.,0.,0.)); #378803=DIRECTION('center_axis',(0.,0.,-1.)); #378804=DIRECTION('ref_axis',(-1.,0.,0.)); #378805=DIRECTION('',(0.,1.,0.)); #378806=DIRECTION('center_axis',(0.,0.,-1.)); #378807=DIRECTION('ref_axis',(0.,-1.,0.)); #378808=DIRECTION('',(-1.,0.,0.)); #378809=DIRECTION('center_axis',(0.,0.,-1.)); #378810=DIRECTION('ref_axis',(1.,0.,0.)); #378811=DIRECTION('',(0.,-1.,0.)); #378812=DIRECTION('center_axis',(0.,0.,-1.)); #378813=DIRECTION('ref_axis',(0.0226659546723803,0.999743094249112,0.)); #378814=DIRECTION('',(0.276752447865907,0.960941248256223,0.)); #378815=DIRECTION('',(-1.719998036936E-13,1.,0.)); #378816=DIRECTION('center_axis',(0.,0.,1.)); #378817=DIRECTION('ref_axis',(4.902744876746E-13,-1.,0.)); #378818=DIRECTION('',(1.,-1.206508078337E-12,2.234274219143E-14)); #378819=DIRECTION('center_axis',(0.,0.,1.)); #378820=DIRECTION('ref_axis',(-0.825822109041821,0.563930708702514,0.)); #378821=DIRECTION('center_axis',(0.,0.,-1.)); #378822=DIRECTION('ref_axis',(0.909778373678562,-0.415094339622683,0.)); #378823=DIRECTION('center_axis',(0.,0.,1.)); #378824=DIRECTION('ref_axis',(0.759788468441777,-0.65017034938768,0.)); #378825=DIRECTION('center_axis',(0.,0.,-1.)); #378826=DIRECTION('ref_axis',(-0.992493841783994,0.122294619754299,0.)); #378827=DIRECTION('center_axis',(0.,0.,1.)); #378828=DIRECTION('ref_axis',(0.386043398387306,-0.922480620155014,0.)); #378829=DIRECTION('',(1.,0.,0.)); #378830=DIRECTION('center_axis',(0.,0.,1.)); #378831=DIRECTION('ref_axis',(-0.65017034938768,-0.759788468441777,0.)); #378832=DIRECTION('center_axis',(0.,0.,-1.)); #378833=DIRECTION('ref_axis',(0.122294619754496,0.99249384178397,0.)); #378834=DIRECTION('center_axis',(0.,0.,1.)); #378835=DIRECTION('ref_axis',(-0.922480620155014,-0.386043398387306,0.)); #378836=DIRECTION('',(1.480309304001E-14,-1.,0.)); #378837=DIRECTION('center_axis',(0.,0.,1.)); #378838=DIRECTION('ref_axis',(-0.759788468441777,0.65017034938768,0.)); #378839=DIRECTION('center_axis',(0.,0.,-1.)); #378840=DIRECTION('ref_axis',(0.992493841783957,-0.122294619754595,0.)); #378841=DIRECTION('center_axis',(0.,0.,1.)); #378842=DIRECTION('ref_axis',(-0.386043398387221,0.92248062015505,0.)); #378843=DIRECTION('',(-1.,0.,0.)); #378844=DIRECTION('center_axis',(0.,0.,1.)); #378845=DIRECTION('ref_axis',(0.650170349387623,0.759788468441827,0.)); #378846=DIRECTION('center_axis',(0.,0.,-1.)); #378847=DIRECTION('ref_axis',(-0.122294619754398,-0.992493841783982,0.)); #378848=DIRECTION('center_axis',(0.,0.,1.)); #378849=DIRECTION('ref_axis',(0.922480620155014,0.386043398387306,0.)); #378850=DIRECTION('',(0.,1.,0.)); #378851=DIRECTION('center_axis',(0.,0.,1.)); #378852=DIRECTION('ref_axis',(-1.,0.,0.)); #378853=DIRECTION('center_axis',(0.,0.,1.)); #378854=DIRECTION('ref_axis',(-1.,0.,0.)); #378855=DIRECTION('center_axis',(0.,0.,1.)); #378856=DIRECTION('ref_axis',(-1.,0.,0.)); #378857=DIRECTION('center_axis',(0.,0.,1.)); #378858=DIRECTION('ref_axis',(-1.,0.,0.)); #378859=DIRECTION('center_axis',(0.,1.,0.)); #378860=DIRECTION('ref_axis',(-1.,0.,0.)); #378861=DIRECTION('',(-6.377121053447E-13,-1.021405182655E-13,1.)); #378862=DIRECTION('',(4.618527782441E-14,1.016964290557E-13,-1.)); #378863=DIRECTION('center_axis',(0.,0.,-1.)); #378864=DIRECTION('ref_axis',(1.,0.,0.)); #378865=DIRECTION('',(1.039168751049E-13,1.492139745096E-13,1.)); #378866=DIRECTION('center_axis',(0.,0.,-1.)); #378867=DIRECTION('ref_axis',(-1.,0.,0.)); #378868=DIRECTION('center_axis',(0.,0.,-1.)); #378869=DIRECTION('ref_axis',(1.,0.,0.)); #378870=DIRECTION('center_axis',(0.,1.,0.)); #378871=DIRECTION('ref_axis',(0.,0.,1.)); #378872=DIRECTION('',(-1.394440118929E-13,-2.22044604925E-14,-1.)); #378873=DIRECTION('center_axis',(0.,0.,1.)); #378874=DIRECTION('ref_axis',(1.,0.,0.)); #378875=DIRECTION('',(0.,-3.01980662698E-14,1.)); #378876=DIRECTION('center_axis',(1.,0.,0.)); #378877=DIRECTION('ref_axis',(0.,0.,1.)); #378878=DIRECTION('',(0.,-1.049826892086E-12,1.)); #378879=DIRECTION('center_axis',(0.960941248256083,-0.276752447866395,0.)); #378880=DIRECTION('ref_axis',(0.276752447866395,0.960941248256083,0.)); #378881=DIRECTION('',(-2.957634137601E-13,0.,-1.)); #378882=DIRECTION('center_axis',(0.,0.,1.)); #378883=DIRECTION('ref_axis',(-1.,0.,0.)); #378884=DIRECTION('',(0.,0.,1.)); #378885=DIRECTION('center_axis',(1.,0.,0.)); #378886=DIRECTION('ref_axis',(0.,-1.,0.)); #378887=DIRECTION('',(0.,0.,-1.)); #378888=DIRECTION('center_axis',(0.,0.,-1.)); #378889=DIRECTION('ref_axis',(1.,0.,0.)); #378890=DIRECTION('',(0.,0.,1.)); #378891=DIRECTION('center_axis',(0.,1.,0.)); #378892=DIRECTION('ref_axis',(0.,0.,-1.)); #378893=DIRECTION('',(0.,0.,-1.)); #378894=DIRECTION('center_axis',(0.,0.,-1.)); #378895=DIRECTION('ref_axis',(1.,0.,0.)); #378896=DIRECTION('',(0.,0.,1.)); #378897=DIRECTION('center_axis',(1.,0.,0.)); #378898=DIRECTION('ref_axis',(0.,0.,1.)); #378899=DIRECTION('',(0.,0.,-1.)); #378900=DIRECTION('center_axis',(0.,0.,-1.)); #378901=DIRECTION('ref_axis',(1.,0.,0.)); #378902=DIRECTION('',(0.,0.,1.)); #378903=DIRECTION('center_axis',(0.,1.,0.)); #378904=DIRECTION('ref_axis',(0.,0.,1.)); #378905=DIRECTION('',(0.,0.,-1.)); #378906=DIRECTION('center_axis',(0.,0.,-1.)); #378907=DIRECTION('ref_axis',(1.,0.,0.)); #378908=DIRECTION('center_axis',(0.,0.,1.)); #378909=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #378910=DIRECTION('center_axis',(0.,0.,1.)); #378911=DIRECTION('ref_axis',(-1.66604659989E-14,-1.,0.)); #378912=DIRECTION('center_axis',(0.,0.,1.)); #378913=DIRECTION('ref_axis',(-1.,0.,0.)); #378914=DIRECTION('center_axis',(0.,1.,0.)); #378915=DIRECTION('ref_axis',(0.,0.,-1.)); #378916=DIRECTION('center_axis',(0.,0.,1.)); #378917=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #378918=DIRECTION('center_axis',(0.,0.,1.)); #378919=DIRECTION('ref_axis',(-1.,-4.202054204127E-14,0.)); #378920=DIRECTION('center_axis',(0.,0.,1.)); #378921=DIRECTION('ref_axis',(-1.,0.,0.)); #378922=DIRECTION('center_axis',(1.,0.,0.)); #378923=DIRECTION('ref_axis',(0.,1.,0.)); #378924=DIRECTION('center_axis',(0.,0.,1.)); #378925=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #378926=DIRECTION('center_axis',(0.,0.,1.)); #378927=DIRECTION('ref_axis',(1.678293067881E-14,1.,0.)); #378928=DIRECTION('center_axis',(0.,0.,1.)); #378929=DIRECTION('ref_axis',(-1.,0.,0.)); #378930=DIRECTION('center_axis',(0.,1.,0.)); #378931=DIRECTION('ref_axis',(1.,0.,0.)); #378932=DIRECTION('center_axis',(0.,0.,1.)); #378933=DIRECTION('ref_axis',(-1.,-4.118115011246E-14,0.)); #378934=DIRECTION('center_axis',(0.,0.,1.)); #378935=DIRECTION('ref_axis',(1.,-1.316898466005E-14,0.)); #378936=DIRECTION('center_axis',(0.,0.,1.)); #378937=DIRECTION('ref_axis',(-1.,0.,0.)); #378938=DIRECTION('center_axis',(1.,0.,0.)); #378939=DIRECTION('ref_axis',(0.,-1.,0.)); #378940=DIRECTION('center_axis',(0.,0.,1.)); #378941=DIRECTION('ref_axis',(-1.,-4.202054204127E-14,0.)); #378942=DIRECTION('center_axis',(0.,0.,-1.)); #378943=DIRECTION('ref_axis',(-0.998532322188037,-0.054159039372632,0.)); #378944=DIRECTION('center_axis',(0.,0.,-1.)); #378945=DIRECTION('ref_axis',(-0.998911465135118,0.0466463805842708,0.)); #378946=DIRECTION('center_axis',(0.,0.,-1.)); #378947=DIRECTION('ref_axis',(-0.2643172747441,0.964435782347302,0.)); #378948=DIRECTION('center_axis',(0.,0.,-1.)); #378949=DIRECTION('ref_axis',(-2.40732766274E-14,1.,0.)); #378950=DIRECTION('center_axis',(0.,0.,-1.)); #378951=DIRECTION('ref_axis',(0.835554219730916,0.54940799583721,0.)); #378952=DIRECTION('',(0.,0.,1.)); #378953=DIRECTION('center_axis',(0.,0.,-1.)); #378954=DIRECTION('ref_axis',(1.,-1.316898466005E-14,0.)); #378955=DIRECTION('',(2.427687680516E-13,9.533115038125E-14,-1.)); #378956=DIRECTION('center_axis',(0.,0.,-1.)); #378957=DIRECTION('ref_axis',(0.924242630972538,-0.381805656182516,0.)); #378958=DIRECTION('',(-8.996182782625E-9,-7.868428297609E-9,1.)); #378959=DIRECTION('center_axis',(0.,0.,-1.)); #378960=DIRECTION('ref_axis',(0.838291400399586,-0.545222457365891,0.)); #378961=DIRECTION('center_axis',(0.,0.,-1.)); #378962=DIRECTION('ref_axis',(0.780718508091608,-0.624882877924506,0.)); #378963=DIRECTION('center_axis',(0.,0.,-1.)); #378964=DIRECTION('ref_axis',(-3.198154373872E-14,-1.,0.)); #378965=DIRECTION('center_axis',(0.,0.,-1.)); #378966=DIRECTION('ref_axis',(-0.353044395459086,-0.935606570538562,0.)); #378967=DIRECTION('center_axis',(0.,0.,1.)); #378968=DIRECTION('ref_axis',(0.,-1.,0.)); #378969=DIRECTION('center_axis',(0.,0.,-1.)); #378970=DIRECTION('ref_axis',(-0.646592966673226,-0.762835195470631,0.)); #378971=DIRECTION('center_axis',(0.,0.,-1.)); #378972=DIRECTION('ref_axis',(-0.999306181670251,-0.0372445334998682,0.)); #378973=DIRECTION('center_axis',(0.,0.,-1.)); #378974=DIRECTION('ref_axis',(-1.,2.647129795536E-13,0.)); #378975=DIRECTION('center_axis',(0.,0.,-1.)); #378976=DIRECTION('ref_axis',(1.,0.,0.)); #378977=DIRECTION('center_axis',(0.,0.,1.)); #378978=DIRECTION('ref_axis',(-1.,-6.667259337216E-14,0.)); #378979=DIRECTION('center_axis',(0.,0.,-1.)); #378980=DIRECTION('ref_axis',(0.971489116007671,0.237084156954093,0.)); #378981=DIRECTION('',(0.,0.,-1.)); #378982=DIRECTION('center_axis',(0.,0.,1.)); #378983=DIRECTION('ref_axis',(-1.,0.,0.)); #378984=DIRECTION('center_axis',(0.,0.,-1.)); #378985=DIRECTION('ref_axis',(0.987474839151005,-0.157776557332501,0.)); #378986=DIRECTION('center_axis',(0.,0.,-1.)); #378987=DIRECTION('ref_axis',(0.525686327410879,-0.850678485194766,0.)); #378988=DIRECTION('center_axis',(0.,0.,-1.)); #378989=DIRECTION('ref_axis',(0.155091591298397,-0.987900095307481,0.)); #378990=DIRECTION('center_axis',(0.,0.,-1.)); #378991=DIRECTION('ref_axis',(-0.891622455638474,-0.452779633598087,0.)); #378992=DIRECTION('center_axis',(0.,0.,-1.)); #378993=DIRECTION('ref_axis',(-0.92530577571012,0.379221863079508,0.)); #378994=DIRECTION('center_axis',(0.,0.,-1.)); #378995=DIRECTION('ref_axis',(-0.70614454230231,0.70806771242351,0.)); #378996=DIRECTION('center_axis',(0.,0.,-1.)); #378997=DIRECTION('ref_axis',(0.0747214206551302,0.997204447089602,0.)); #378998=DIRECTION('center_axis',(0.,0.,-1.)); #378999=DIRECTION('ref_axis',(0.455201185198312,0.890388612345223,0.)); #379000=DIRECTION('center_axis',(0.,0.,1.)); #379001=DIRECTION('ref_axis',(-1.,0.,0.)); #379002=DIRECTION('center_axis',(0.,0.,-1.)); #379003=DIRECTION('ref_axis',(0.155089940662792,-0.987900354441283,0.)); #379004=DIRECTION('center_axis',(0.,0.,-1.)); #379005=DIRECTION('ref_axis',(0.455202055046309,0.890388167644661,0.)); #379006=DIRECTION('center_axis',(0.,0.,1.)); #379007=DIRECTION('ref_axis',(-1.,0.,0.)); #379008=DIRECTION('center_axis',(-0.757777272946247,-0.625507984597715, 0.185777732278801)); #379009=DIRECTION('ref_axis',(0.438322492206247,-0.698874837826313,-0.56519673909122)); #379010=DIRECTION('center_axis',(0.503632222374855,0.652510177626207,0.566202307201216)); #379011=DIRECTION('ref_axis',(0.758674027995982,-0.0205548896785328,-0.651146078660277)); #379012=DIRECTION('center_axis',(-0.325913808688028,-0.51066838695829,-0.795611706718724)); #379013=DIRECTION('ref_axis',(0.842955698954802,-0.537982982630139,-5.66727405781837E-10)); #379014=DIRECTION('center_axis',(-0.272368213091274,-0.452786857889594, -0.84899918599486)); #379015=DIRECTION('ref_axis',(0.856911228039249,-0.515464011605334,-5.86442909125866E-8)); #379016=DIRECTION('center_axis',(-0.203428577540228,-0.397901307039576, -0.894590053430149)); #379017=DIRECTION('ref_axis',(0.890383105310265,-0.455211956980531,7.16344635406167E-8)); #379018=DIRECTION('center_axis',(-0.116806310638556,-0.273820478594279, -0.9546615270856)); #379019=DIRECTION('ref_axis',(0.919933249605022,-0.392075000722703,-0.000100396396668783)); #379020=DIRECTION('center_axis',(0.,0.,1.)); #379021=DIRECTION('ref_axis',(0.,-1.,0.)); #379022=DIRECTION('center_axis',(0.,0.,-1.)); #379023=DIRECTION('ref_axis',(-0.344184140895901,0.938902165912802,0.)); #379024=DIRECTION('center_axis',(-0.22759016562498,-0.288328160413611,-0.930091172102659)); #379025=DIRECTION('ref_axis',(-0.965282228514971,0.192545093271248,0.176512340561044)); #379026=DIRECTION('center_axis',(-0.270558903986335,-0.326468517285535, -0.905657875080374)); #379027=DIRECTION('ref_axis',(-0.956926065979287,0.194109125434553,0.215903107139379)); #379028=DIRECTION('center_axis',(-0.338457190723998,-0.366036009086722, -0.866870445971648)); #379029=DIRECTION('ref_axis',(-0.938165920012878,0.202479899622305,0.280796361755866)); #379030=DIRECTION('center_axis',(-0.347352474247406,-0.373073390936282, -0.860326974823822)); #379031=DIRECTION('ref_axis',(-0.9354612816143,0.201702916354435,0.290220819609219)); #379032=DIRECTION('center_axis',(-0.22279455589752,-0.218428530715661,-0.950079766562695)); #379033=DIRECTION('ref_axis',(-0.948598086263366,0.273283456731297,0.159617740287456)); #379034=DIRECTION('center_axis',(-0.22279455560906,-0.218428530814905,-0.950079766607522)); #379035=DIRECTION('ref_axis',(-0.97358700679985,-4.03993741973615E-5,0.228316312510515)); #379036=DIRECTION('center_axis',(-0.187561322037849,-0.181105198456862, -0.965412687697502)); #379037=DIRECTION('ref_axis',(-0.948436814108264,0.289064137917982,0.130036663345)); #379038=DIRECTION('center_axis',(-0.231738119613697,-0.227253430148788, 0.945861153871708)); #379039=DIRECTION('ref_axis',(0.7001424357403,-0.714003199967762,-1.05563164691904E-5)); #379040=DIRECTION('center_axis',(0.,0.,-1.)); #379041=DIRECTION('ref_axis',(1.,0.,0.)); #379042=DIRECTION('center_axis',(0.,1.,0.)); #379043=DIRECTION('ref_axis',(1.,0.,0.)); #379044=DIRECTION('center_axis',(0.,0.,-1.)); #379045=DIRECTION('ref_axis',(1.,0.,0.)); #379046=DIRECTION('center_axis',(0.,0.,-1.)); #379047=DIRECTION('ref_axis',(0.786581973529367,0.617485869408074,0.)); #379048=DIRECTION('center_axis',(0.,0.,1.)); #379049=DIRECTION('ref_axis',(0.,-1.,0.)); #379050=DIRECTION('center_axis',(-0.344545604716746,0.127352808534349,-0.930091172105609)); #379051=DIRECTION('ref_axis',(-0.115167347334943,0.977537659499701,0.176512340553515)); #379052=DIRECTION('center_axis',(0.287742070392661,-0.150170829668195,-0.945861101242096)); #379053=DIRECTION('ref_axis',(0.0648028271327899,0.988419418469468,-0.137213872433061)); #379054=DIRECTION('center_axis',(0.,0.,1.)); #379055=DIRECTION('ref_axis',(-1.,0.,0.)); #379056=DIRECTION('center_axis',(-0.829059500213293,0.527396415748504,0.185777732147093)); #379057=DIRECTION('ref_axis',(0.529220455493308,0.632833935375483,0.565196355017117)); #379058=DIRECTION('center_axis',(0.776204972010853,-0.277345973025616,0.566202307194262)); #379059=DIRECTION('ref_axis',(0.21489430607573,-0.727893688280252,-0.651146078678204)); #379060=DIRECTION('center_axis',(-0.586387402666251,0.152157241462649,-0.795611706716791)); #379061=DIRECTION('ref_axis',(-0.251164584821992,-0.96794439475168,-5.77082085882989E-10)); #379062=DIRECTION('center_axis',(-0.514792298262209,0.119118730019889,-0.848999185988044)); #379063=DIRECTION('ref_axis',(-0.225435275036685,-0.974258146883633,-5.86841567949566E-8)); #379064=DIRECTION('center_axis',(-0.441289518500904,0.0705138083130024, -0.894590053431424)); #379065=DIRECTION('ref_axis',(-0.1577887869669,-0.98747288504926,7.16471356373473E-8)); #379066=DIRECTION('center_axis',(-0.296513885497713,0.0264742215415308, -0.954661527087385)); #379067=DIRECTION('ref_axis',(-0.0886104764419464,-0.99606634989106,-0.000100396369556163)); #379068=DIRECTION('center_axis',(0.394097310034996,-0.156432488712286,0.905657875082231)); #379069=DIRECTION('ref_axis',(-0.368952666552205,-0.9294481856338,8.12736338064942E-6)); #379070=DIRECTION('center_axis',(0.452709955444174,-0.208780569373666,0.866870445968567)); #379071=DIRECTION('ref_axis',(-0.418804797106141,-0.908076286347468,9.70957011790471E-6)); #379072=DIRECTION('center_axis',(-0.46215169710092,0.215065816140687,-0.860326974815087)); #379073=DIRECTION('ref_axis',(-0.097242560631153,0.952006176589968,0.290220819612321)); #379074=DIRECTION('center_axis',(0.276585181555378,-0.14439208583219,0.950079766594894)); #379075=DIRECTION('ref_axis',(-0.462815389171172,-0.886454688868096,1.14063255753956E-5)); #379076=DIRECTION('center_axis',(-0.230200915128351,0.122416833439086,-0.965412687696208)); #379077=DIRECTION('ref_axis',(-0.0181667616742577,0.991342743431583,0.130036663352355)); #379078=DIRECTION('center_axis',(-0.0664493487485117,0.290182447356138, -0.954661527085543)); #379079=DIRECTION('ref_axis',(-0.974697535814089,-0.223527858663081,-0.000100396417772333)); #379080=DIRECTION('center_axis',(0.,0.,1.)); #379081=DIRECTION('ref_axis',(0.,-1.,0.)); #379082=DIRECTION('center_axis',(0.,0.,-1.)); #379083=DIRECTION('ref_axis',(0.830331104510724,-0.557270362465116,0.)); #379084=DIRECTION('center_axis',(0.01464927124029,0.367036524670034,-0.930091172095657)); #379085=DIRECTION('ref_axis',(0.893992232125596,0.411816296471716,0.176593394155655)); #379086=DIRECTION('center_axis',(0.0269933715094612,0.423149112237239,-0.90565787508716)); #379087=DIRECTION('ref_axis',(0.888263931008517,0.405429447870199,0.215903107131329)); #379088=DIRECTION('center_axis',(0.0586670512117323,0.495069497142474,-0.866870445972879)); #379089=DIRECTION('ref_axis',(0.878006871712904,0.387630412182956,0.280796361756685)); #379090=DIRECTION('center_axis',(0.0617270174881759,0.505991375135184,-0.860326974818772)); #379091=DIRECTION('ref_axis',(0.875362073977203,0.386669258285148,0.290220819617653)); #379092=DIRECTION('center_axis',(0.0518555126242052,0.307667747589257,-0.950079766600591)); #379093=DIRECTION('ref_axis',(0.928204742784195,0.336141060054291,0.159515338505438)); #379094=DIRECTION('center_axis',(0.0452893322523593,0.256762962318511,-0.965412687696593)); #379095=DIRECTION('ref_axis',(0.937209137938018,0.323619372025964,0.130036663349216)); #379096=DIRECTION('center_axis',(-0.0539036831001642,-0.320064324975256, -0.945861100176115)); #379097=DIRECTION('ref_axis',(0.960077905725805,0.243773922760593,-0.13720309588003)); #379098=DIRECTION('center_axis',(0.,0.,1.)); #379099=DIRECTION('ref_axis',(-1.,0.,0.)); #379100=DIRECTION('center_axis',(0.245390316310411,0.951456898912674,0.185777722487262)); #379101=DIRECTION('ref_axis',(0.765399297258013,-0.307762109006494,0.565195895258286)); #379102=DIRECTION('center_axis',(-0.02391038160353,-0.823919063031891,0.566202853422892)); #379103=DIRECTION('ref_axis',(-0.625861660785694,-0.42930916549811,-0.651145776288144)); #379104=DIRECTION('center_axis',(-0.0364935367215744,0.604706733810943, -0.795611706714558)); #379105=DIRECTION('ref_axis',(-0.998183949129675,-0.060239552620246,-6.39472178779725E-10)); #379106=DIRECTION('center_axis',(-0.0457909243307925,0.52640628172871,-0.84899918598635)); #379107=DIRECTION('ref_axis',(-0.996237890265917,-0.0866606369611503,-5.86883075529095E-8)); #379108=DIRECTION('center_axis',(-0.0693033438253052,0.441481237233371, -0.894590053435384)); #379109=DIRECTION('ref_axis',(-0.987901938678715,-0.155079848964431,7.16877579544257E-8)); #379110=DIRECTION('center_axis',(0.893190144845772,0.449679180250086,0.)); #379111=DIRECTION('ref_axis',(0.449679180250086,-0.893190144845772,0.)); #379112=DIRECTION('',(0.449679172805887,-0.893190148593575,-8.96027765471775E-14)); #379113=DIRECTION('center_axis',(0.,0.,1.)); #379114=DIRECTION('ref_axis',(-1.,0.,0.)); #379115=DIRECTION('',(-0.707106781185897,0.707106781187197,0.)); #379116=DIRECTION('center_axis',(0.707106781187198,0.707106781185898,0.)); #379117=DIRECTION('ref_axis',(-0.707106781185898,0.707106781187198,0.)); #379118=DIRECTION('center_axis',(0.,0.,-1.)); #379119=DIRECTION('ref_axis',(1.,0.,0.)); #379120=DIRECTION('center_axis',(0.,1.,0.)); #379121=DIRECTION('ref_axis',(0.,0.,-1.)); #379122=DIRECTION('center_axis',(0.,0.,-1.)); #379123=DIRECTION('ref_axis',(1.,0.,0.)); #379124=DIRECTION('center_axis',(0.,0.,-1.)); #379125=DIRECTION('ref_axis',(-0.273409394353587,-0.961897761240354,0.)); #379126=DIRECTION('center_axis',(0.,0.,1.)); #379127=DIRECTION('ref_axis',(0.,-1.,0.)); #379128=DIRECTION('center_axis',(0.3235384532192,0.0940466849636668,-0.941529654517516)); #379129=DIRECTION('ref_axis',(0.671528975397605,-0.723837384599267,0.158455911401045)); #379130=DIRECTION('center_axis',(0.410620481392824,0.10508756361265,-0.905730326440869)); #379131=DIRECTION('ref_axis',(0.660104100915982,-0.719514225642457,0.215781961831934)); #379132=DIRECTION('center_axis',(0.487119114212449,0.0971255704766482,-0.867917963939256)); #379133=DIRECTION('ref_axis',(0.640152210135487,-0.715721397536095,0.279191742298425)); #379134=DIRECTION('center_axis',(0.319931257121376,0.0476349381867107,-0.946242518269331)); #379135=DIRECTION('ref_axis',(0.60785424961684,-0.776410198196768,0.166434417590845)); #379136=DIRECTION('center_axis',(-0.320816897550563,-0.0474806538337671, -0.945950371720181)); #379137=DIRECTION('ref_axis',(0.528247155368346,-0.837949971421898,-0.137094085354876)); #379138=DIRECTION('center_axis',(0.,0.,1.)); #379139=DIRECTION('ref_axis',(-1.,0.,0.)); #379140=DIRECTION('center_axis',(0.980719061839148,0.0606362923907765,0.18577772145885)); #379141=DIRECTION('ref_axis',(-0.0561778127494078,-0.823041575634603,0.565196088213288)); #379142=DIRECTION('center_axis',(-0.790982803233582,-0.231864253321756, 0.566202413470916)); #379143=DIRECTION('ref_axis',(-0.601699169254706,0.462560877971085,-0.651149402125822)); #379144=DIRECTION('center_axis',(0.559255853050916,0.220572759921036,-0.799112350304454)); #379145=DIRECTION('ref_axis',(-0.366898652562832,0.930260919714237,-5.18335004773703E-10)); #379146=DIRECTION('center_axis',(0.431615412115841,0.204994533828066,-0.878456246562506)); #379147=DIRECTION('ref_axis',(-0.429296941617152,0.903163389248982,-0.000168043003921275)); #379148=DIRECTION('center_axis',(0.300957679777512,0.172481709709424,-0.937909662386869)); #379149=DIRECTION('ref_axis',(-0.496396715442192,0.868095716142169,0.000358488238741473)); #379150=DIRECTION('center_axis',(0.255224223835019,0.152710099069626,-0.954746155378464)); #379151=DIRECTION('ref_axis',(-0.51372227177724,0.857956535859631,-0.00010027847927138)); #379152=DIRECTION('center_axis',(0.735230162621099,0.669559729615,-0.1054816403458)); #379153=DIRECTION('ref_axis',(-0.00986990235052565,0.166178498230208,0.986046292905939)); #379154=DIRECTION('',(0.673315990826967,-0.739354838015347,0.)); #379155=DIRECTION('',(0.,0.155619521801071,0.987817070329525)); #379156=DIRECTION('center_axis',(0.,0.,-1.)); #379157=DIRECTION('ref_axis',(0.,1.,0.)); #379158=DIRECTION('',(-6.27191333475699E-7,-0.999999999999799,8.99813764214099E-8)); #379159=DIRECTION('center_axis',(1.,0.,0.)); #379160=DIRECTION('ref_axis',(0.,1.,0.)); #379161=DIRECTION('center_axis',(-1.,0.,0.)); #379162=DIRECTION('ref_axis',(0.,-0.962784520601,-0.270270173883659)); #379163=DIRECTION('',(-1.48794543373904E-6,-0.999999999998725,-5.79791013622315E-7)); #379164=DIRECTION('center_axis',(0.,0.,-1.)); #379165=DIRECTION('ref_axis',(0.,1.,0.)); #379166=DIRECTION('center_axis',(0.,0.,-1.)); #379167=DIRECTION('ref_axis',(-0.583220912144536,0.81231358946979,0.)); #379168=DIRECTION('center_axis',(0.568624619637516,-0.791983785595422,-0.222323469962206)); #379169=DIRECTION('ref_axis',(-0.810995213609905,-0.494509361208852,-0.312645574378782)); #379170=DIRECTION('center_axis',(0.,0.,-1.)); #379171=DIRECTION('ref_axis',(1.,0.,0.)); #379172=DIRECTION('center_axis',(0.,0.,-1.)); #379173=DIRECTION('ref_axis',(1.,0.,0.)); #379174=DIRECTION('center_axis',(1.,0.,0.)); #379175=DIRECTION('ref_axis',(0.,-1.,0.)); #379176=DIRECTION('center_axis',(0.,0.,-1.)); #379177=DIRECTION('ref_axis',(1.,0.,0.)); #379178=DIRECTION('center_axis',(0.,0.,-1.)); #379179=DIRECTION('ref_axis',(1.,0.,0.)); #379180=DIRECTION('center_axis',(0.,0.,1.)); #379181=DIRECTION('ref_axis',(-1.,0.,0.)); #379182=DIRECTION('center_axis',(0.360727389768863,-0.913981610596425,0.185777732148143)); #379183=DIRECTION('ref_axis',(0.800118614973124,0.200905295802829,-0.565196659660925)); #379184=DIRECTION('center_axis',(-0.464943140721483,0.680619440832814,0.566202307180455)); #379185=DIRECTION('ref_axis',(0.253992029614043,0.715190067804591,-0.651146078699859)); #379186=DIRECTION('center_axis',(0.384961591507189,-0.467767661550308,-0.795611706721318)); #379187=DIRECTION('ref_axis',(0.772139857725108,0.635452626174642,-5.97932074123302E-10)); #379188=DIRECTION('center_axis',(0.346459485137345,-0.398956397779777,-0.848999186000169)); #379189=DIRECTION('ref_axis',(0.75503553925728,0.655683867773537,-5.86311132956031E-8)); #379190=DIRECTION('center_axis',(0.315563743334778,-0.316430340171799,-0.894590053438614)); #379191=DIRECTION('ref_axis',(0.708075809054153,0.706136423527565,7.17260443741213E-8)); #379192=DIRECTION('center_axis',(0.224323615436139,-0.195704584147908,-0.954661527087561)); #379193=DIRECTION('ref_axis',(0.657160492095793,0.753750673332356,-0.000100396385812649)); #379194=DIRECTION('center_axis',(-0.144520317497155,0.290621316414039,-0.945861051252399)); #379195=DIRECTION('ref_axis',(0.833801822973735,-0.478949055561187,-0.27455841306127)); #379196=DIRECTION('center_axis',(-0.144520505753254,0.290618969463747,-0.945861743599271)); #379197=DIRECTION('ref_axis',(0.336866216256051,0.913247870058403,0.229127650402831)); #379198=DIRECTION('center_axis',(0.114281643130501,-0.234346001627065,-0.9654126876962)); #379199=DIRECTION('ref_axis',(-0.567999425638434,-0.812691281274124,0.130036663350886)); #379200=DIRECTION('center_axis',(0.138890573684955,-0.279388341985261,-0.950079766600779)); #379201=DIRECTION('ref_axis',(-0.553349028291565,-0.817532338043547,0.159517175068495)); #379202=DIRECTION('center_axis',(0.247476061928607,-0.445637852033303,-0.860326974822627)); #379203=DIRECTION('ref_axis',(-0.480904306555634,-0.827346918651784,0.29022081960749)); #379204=DIRECTION('center_axis',(0.243531907834538,-0.43500326409026,-0.866870445970613)); #379205=DIRECTION('ref_axis',(-0.48246383483233,-0.829685404446302,0.28080380646932)); #379206=DIRECTION('center_axis',(0.226882711401789,-0.358201128639326,-0.905657875087765)); #379207=DIRECTION('ref_axis',(-0.480295708056584,-0.850116616892047,0.215911487666694)); #379208=DIRECTION('center_axis',(0.203887146860516,-0.30554941158055,-0.93009117210511)); #379209=DIRECTION('ref_axis',(-0.481409878611983,-0.858538247491543,0.176512340557103)); #379210=DIRECTION('center_axis',(0.,0.,-1.)); #379211=DIRECTION('ref_axis',(0.,1.,0.)); #379212=DIRECTION('center_axis',(0.,0.,1.)); #379213=DIRECTION('ref_axis',(-1.,0.,0.)); #379214=DIRECTION('',(0.,0.,1.)); #379215=DIRECTION('center_axis',(0.,0.,1.)); #379216=DIRECTION('ref_axis',(-1.,0.,0.)); #379217=DIRECTION('',(0.,0.,1.)); #379218=DIRECTION('center_axis',(0.,0.,1.)); #379219=DIRECTION('ref_axis',(-1.,0.,0.)); #379220=DIRECTION('',(0.,0.,1.)); #379221=DIRECTION('center_axis',(0.,0.,1.)); #379222=DIRECTION('ref_axis',(-1.,0.,0.)); #379223=DIRECTION('',(0.,0.,1.)); #379224=DIRECTION('center_axis',(0.,0.,-1.)); #379225=DIRECTION('ref_axis',(1.,0.,0.)); #379226=DIRECTION('',(3.478698810493E-14,-2.427687680514E-14,1.)); #379227=DIRECTION('center_axis',(0.707106781186698,0.707106781186398,0.)); #379228=DIRECTION('ref_axis',(-0.707106781186397,0.707106781186698,0.)); #379229=DIRECTION('',(2.238209617644E-13,2.806643806252E-13,-1.)); #379230=DIRECTION('',(-0.707106781186098,0.707106781186998,0.)); #379231=DIRECTION('',(-5.167583532801E-14,-6.459479416001E-14,-1.)); #379232=DIRECTION('',(0.707106781185997,-0.707106781187098,0.)); #379233=DIRECTION('',(2.202682480856E-13,2.806643806252E-13,-1.)); #379234=DIRECTION('',(-0.707106781185898,0.707106781187198,0.)); #379235=DIRECTION('',(-1.462882103036E-14,-2.507797890918E-14,-1.)); #379236=DIRECTION('',(-7.247535904753E-14,-8.917311333789E-14,-1.)); #379237=DIRECTION('',(0.707106781186198,-0.707106781186898,0.)); #379238=DIRECTION('center_axis',(0.,0.,-1.)); #379239=DIRECTION('ref_axis',(1.,0.,0.)); #379240=DIRECTION('',(4.618527782441E-13,3.730349362741E-13,1.)); #379241=DIRECTION('center_axis',(0.,0.,1.)); #379242=DIRECTION('ref_axis',(0.620794693237984,-0.78397318120428,0.)); #379243=DIRECTION('center_axis',(0.,0.,-1.)); #379244=DIRECTION('ref_axis',(0.783973181204192,-0.620794693238094,0.)); #379245=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #379246=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #379247=DIRECTION('',(-3.35892929632E-14,-2.19622300144E-14,1.)); #379248=DIRECTION('',(0.707106781184497,-0.707106781188597,0.)); #379249=DIRECTION('',(-0.707106781184897,0.707106781188197,0.)); #379250=DIRECTION('',(-3.694822225953E-14,-2.273736754432E-14,1.)); #379251=DIRECTION('',(-7.934393882656E-14,1.033247561585E-13,-1.)); #379252=DIRECTION('',(-2.234029954493E-13,-1.696943239521E-13,1.)); #379253=DIRECTION('',(0.707106781184598,-0.707106781188498,0.)); #379254=DIRECTION('',(4.618527782441E-13,3.730349362741E-13,1.)); #379255=DIRECTION('',(-0.707106781184798,0.707106781188298,0.)); #379256=DIRECTION('center_axis',(0.,0.,-1.)); #379257=DIRECTION('ref_axis',(1.,0.,0.)); #379258=DIRECTION('center_axis',(0.,0.,1.)); #379259=DIRECTION('ref_axis',(0.617144337248972,-0.786849964733765,0.)); #379260=DIRECTION('center_axis',(0.,0.,-1.)); #379261=DIRECTION('ref_axis',(0.786849964733813,-0.61714433724891,0.)); #379262=DIRECTION('center_axis',(0.,0.,1.)); #379263=DIRECTION('ref_axis',(-1.,0.,0.)); #379264=DIRECTION('center_axis',(0.,0.,1.)); #379265=DIRECTION('ref_axis',(-1.,0.,0.)); #379266=DIRECTION('center_axis',(0.,0.,-1.)); #379267=DIRECTION('ref_axis',(0.783973181204192,-0.620794693238094,0.)); #379268=DIRECTION('center_axis',(0.,0.,-1.)); #379269=DIRECTION('ref_axis',(1.,0.,0.)); #379270=DIRECTION('center_axis',(0.,0.,1.)); #379271=DIRECTION('ref_axis',(0.620794693237984,-0.78397318120428,0.)); #379272=DIRECTION('center_axis',(0.,0.,1.)); #379273=DIRECTION('ref_axis',(-1.,0.,0.)); #379274=DIRECTION('center_axis',(0.,0.,-1.)); #379275=DIRECTION('ref_axis',(0.786849964733813,-0.61714433724891,0.)); #379276=DIRECTION('center_axis',(0.,0.,-1.)); #379277=DIRECTION('ref_axis',(1.,0.,0.)); #379278=DIRECTION('center_axis',(0.,0.,1.)); #379279=DIRECTION('ref_axis',(0.617144337249193,-0.786849964733591,0.)); #379280=DIRECTION('center_axis',(0.,0.,-1.)); #379281=DIRECTION('ref_axis',(1.,0.,0.)); #379282=DIRECTION('center_axis',(0.,0.,-1.)); #379283=DIRECTION('ref_axis',(1.,0.,0.)); #379284=DIRECTION('center_axis',(0.,0.,-1.)); #379285=DIRECTION('ref_axis',(1.,0.,0.)); #379286=DIRECTION('',(1.653492158009E-13,-1.167954621906E-13,1.)); #379287=DIRECTION('center_axis',(0.707106781187448,0.707106781185648,0.)); #379288=DIRECTION('ref_axis',(-0.707106781185648,0.707106781187448,0.)); #379289=DIRECTION('',(6.927791673661E-14,3.19744231092E-14,-1.)); #379290=DIRECTION('',(-0.707106781186198,0.707106781186898,0.)); #379291=DIRECTION('',(3.843390252521E-14,2.97136053136E-14,-1.)); #379292=DIRECTION('',(0.707106781185898,-0.707106781187198,0.)); #379293=DIRECTION('',(6.927791673661E-14,3.19744231092E-14,-1.)); #379294=DIRECTION('',(-0.707106781186297,0.707106781186798,0.)); #379295=DIRECTION('',(3.343730521225E-14,2.04803494425E-14,-1.)); #379296=DIRECTION('center_axis',(0.710505537205603,0.703689716959284,0.00163212926598558)); #379297=DIRECTION('ref_axis',(0.666181144335911,-0.673377191557117,0.320571116637082)); #379298=DIRECTION('',(-7.780442956573E-14,-4.476419235289E-14,-1.)); #379299=DIRECTION('',(0.707106781186198,-0.707106781186898,0.)); #379300=DIRECTION('center_axis',(0.,0.,-1.)); #379301=DIRECTION('ref_axis',(1.,0.,0.)); #379302=DIRECTION('center_axis',(0.,0.,-1.)); #379303=DIRECTION('ref_axis',(0.574051478726618,-0.818819210675826,0.)); #379304=DIRECTION('',(1.95399252334E-14,-2.13162820728E-14,1.)); #379305=DIRECTION('center_axis',(0.,0.,1.)); #379306=DIRECTION('ref_axis',(0.384615384615406,-0.923076923076914,0.)); #379307=DIRECTION('center_axis',(0.,0.,1.)); #379308=DIRECTION('ref_axis',(-1.,0.,0.)); #379309=DIRECTION('',(-1.928120290018E-13,1.,0.)); #379310=DIRECTION('center_axis',(0.,0.,-1.)); #379311=DIRECTION('ref_axis',(0.568278109877504,-0.822836551104806,0.)); #379312=DIRECTION('center_axis',(0.,0.,-1.)); #379313=DIRECTION('ref_axis',(1.,0.,0.)); #379314=DIRECTION('',(0.,0.,1.)); #379315=DIRECTION('center_axis',(0.,0.,1.)); #379316=DIRECTION('ref_axis',(0.399999999999988,-0.916515138991173,0.)); #379317=DIRECTION('center_axis',(0.,0.,1.)); #379318=DIRECTION('ref_axis',(-1.,0.,0.)); #379319=DIRECTION('center_axis',(0.,0.,-1.)); #379320=DIRECTION('ref_axis',(0.574051478726618,-0.818819210675826,0.)); #379321=DIRECTION('',(1.944460292475E-13,-1.,0.)); #379322=DIRECTION('center_axis',(0.,0.,-1.)); #379323=DIRECTION('ref_axis',(1.,0.,0.)); #379324=DIRECTION('',(1.95399252334E-14,-2.13162820728E-14,1.)); #379325=DIRECTION('center_axis',(0.,0.,1.)); #379326=DIRECTION('ref_axis',(0.384615384615406,-0.923076923076914,0.)); #379327=DIRECTION('center_axis',(0.,0.,1.)); #379328=DIRECTION('ref_axis',(-1.,0.,0.)); #379329=DIRECTION('',(-1.928120290018E-13,1.,0.)); #379330=DIRECTION('center_axis',(0.,0.,-1.)); #379331=DIRECTION('ref_axis',(0.568278109877504,-0.822836551104806,0.)); #379332=DIRECTION('center_axis',(0.,0.,-1.)); #379333=DIRECTION('ref_axis',(1.,0.,0.)); #379334=DIRECTION('',(1.567373681824E-14,1.128509050913E-14,1.)); #379335=DIRECTION('center_axis',(0.,0.,1.)); #379336=DIRECTION('ref_axis',(0.399999999999988,-0.916515138991173,0.)); #379337=DIRECTION('center_axis',(0.,0.,-1.)); #379338=DIRECTION('ref_axis',(1.,0.,0.)); #379339=DIRECTION('center_axis',(1.,0.,0.)); #379340=DIRECTION('ref_axis',(0.,0.,1.)); #379341=DIRECTION('',(0.,0.,-1.)); #379342=DIRECTION('',(1.944460292475E-13,-1.,0.)); #379343=DIRECTION('',(-3.481659405224E-14,-2.202682480856E-14,1.)); #379344=DIRECTION('center_axis',(0.,1.,0.)); #379345=DIRECTION('ref_axis',(0.,0.,-1.)); #379346=DIRECTION('',(0.,0.,-1.)); #379347=DIRECTION('center_axis',(1.,0.,0.)); #379348=DIRECTION('ref_axis',(0.,0.,-1.)); #379349=DIRECTION('',(1.06581410364E-14,-1.136868377216E-14,-1.)); #379350=DIRECTION('',(-3.758200565288E-14,-1.,0.)); #379351=DIRECTION('',(-3.552713678801E-14,-1.06581410364E-14,-1.)); #379352=DIRECTION('',(3.59480054071E-14,1.,0.)); #379353=DIRECTION('',(-2.84217094304E-14,0.,-1.)); #379354=DIRECTION('',(6.536000983112E-14,1.,0.)); #379355=DIRECTION('',(3.37507799486E-14,-4.263256414561E-14,-1.)); #379356=DIRECTION('',(-1.437920216284E-13,-1.,0.)); #379357=DIRECTION('',(1.609170313339E-14,-1.504678734551E-14,-1.)); #379358=DIRECTION('center_axis',(0.,0.,-1.)); #379359=DIRECTION('ref_axis',(1.,0.,0.)); #379360=DIRECTION('center_axis',(-0.71050553719874,0.703689716966221,0.00163212926262696)); #379361=DIRECTION('ref_axis',(-0.666094326024653,-0.673290373231223,0.320933672511821)); #379362=DIRECTION('center_axis',(0.,0.,1.)); #379363=DIRECTION('ref_axis',(-0.568278109877572,-0.822836551104759,0.)); #379364=DIRECTION('center_axis',(0.707106781187798,-0.707106781185298,0.)); #379365=DIRECTION('ref_axis',(-0.707106781185298,-0.707106781187797,0.)); #379366=DIRECTION('',(2.93906313428E-14,-1.42108547152E-14,1.)); #379367=DIRECTION('',(-0.707106781185897,-0.707106781187198,0.)); #379368=DIRECTION('',(-2.007283228522E-13,1.705302565824E-13,1.)); #379369=DIRECTION('',(0.707106781185898,0.707106781187198,0.)); #379370=DIRECTION('',(3.161915174132E-14,-1.492139745096E-14,1.)); #379371=DIRECTION('',(-1.924386576017E-13,-1.360393279508E-13,-1.)); #379372=DIRECTION('',(0.,0.,1.)); #379373=DIRECTION('',(-0.707106781185897,-0.707106781187198,0.)); #379374=DIRECTION('',(-2.007283228522E-13,1.705302565824E-13,1.)); #379375=DIRECTION('',(0.707106781185997,0.707106781187097,0.)); #379376=DIRECTION('center_axis',(0.,0.,-1.)); #379377=DIRECTION('ref_axis',(1.,0.,0.)); #379378=DIRECTION('center_axis',(0.,0.,1.)); #379379=DIRECTION('ref_axis',(-0.568278109877572,-0.822836551104759,0.)); #379380=DIRECTION('center_axis',(0.,0.,-1.)); #379381=DIRECTION('ref_axis',(-0.399999999999988,-0.916515138991173,0.)); #379382=DIRECTION('center_axis',(0.,0.,1.)); #379383=DIRECTION('ref_axis',(-1.,0.,0.)); #379384=DIRECTION('center_axis',(0.,0.,1.)); #379385=DIRECTION('ref_axis',(-0.574051478726571,-0.818819210675859,0.)); #379386=DIRECTION('center_axis',(0.,0.,-1.)); #379387=DIRECTION('ref_axis',(1.,0.,0.)); #379388=DIRECTION('center_axis',(0.,0.,-1.)); #379389=DIRECTION('ref_axis',(-0.384615384615406,-0.923076923076914,0.)); #379390=DIRECTION('center_axis',(0.,0.,1.)); #379391=DIRECTION('ref_axis',(-1.,0.,0.)); #379392=DIRECTION('center_axis',(0.,0.,1.)); #379393=DIRECTION('ref_axis',(-0.568278109877572,-0.822836551104759,0.)); #379394=DIRECTION('center_axis',(0.,0.,-1.)); #379395=DIRECTION('ref_axis',(1.,0.,0.)); #379396=DIRECTION('center_axis',(0.,0.,1.)); #379397=DIRECTION('ref_axis',(-1.,0.,0.)); #379398=DIRECTION('center_axis',(0.,0.,-1.)); #379399=DIRECTION('ref_axis',(-0.384615384615406,-0.923076923076914,0.)); #379400=DIRECTION('center_axis',(0.,0.,-1.)); #379401=DIRECTION('ref_axis',(1.,0.,0.)); #379402=DIRECTION('center_axis',(0.,0.,1.)); #379403=DIRECTION('ref_axis',(-0.574051478726571,-0.818819210675859,0.)); #379404=DIRECTION('center_axis',(0.,0.,1.)); #379405=DIRECTION('ref_axis',(-1.,0.,0.)); #379406=DIRECTION('center_axis',(0.,0.,-1.)); #379407=DIRECTION('ref_axis',(-0.399999999999988,-0.916515138991173,0.)); #379408=DIRECTION('center_axis',(0.,0.,-1.)); #379409=DIRECTION('ref_axis',(1.,0.,0.)); #379410=DIRECTION('center_axis',(0.,0.,-1.)); #379411=DIRECTION('ref_axis',(-0.707106781184656,-0.707106781188439,0.)); #379412=DIRECTION('',(7.815970093362E-14,1.019924885289E-13,1.)); #379413=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379414=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379415=DIRECTION('',(-4.263256414561E-14,0.,-1.)); #379416=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #379417=DIRECTION('',(-1.16270629488E-14,0.,-1.)); #379418=DIRECTION('',(-0.707106781186748,-0.707106781186348,0.)); #379419=DIRECTION('',(-3.907985046681E-14,-1.42108547152E-14,-1.)); #379420=DIRECTION('',(0.707106781186898,0.707106781186198,0.)); #379421=DIRECTION('',(0.,-2.424204627887E-14,-1.)); #379422=DIRECTION('',(6.039613253961E-14,-5.471179065353E-14,-1.)); #379423=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #379424=DIRECTION('center_axis',(0.,0.,-1.)); #379425=DIRECTION('ref_axis',(1.,0.,0.)); #379426=DIRECTION('',(-3.907985046681E-14,6.394884621841E-14,1.)); #379427=DIRECTION('center_axis',(0.,0.,1.)); #379428=DIRECTION('ref_axis',(-0.783973181204192,-0.620794693238094,0.)); #379429=DIRECTION('center_axis',(0.,0.,-1.)); #379430=DIRECTION('ref_axis',(-0.620794693238094,-0.783973181204192,0.)); #379431=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379432=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #379433=DIRECTION('',(1.87324903064E-14,-1.22730108904E-14,1.)); #379434=DIRECTION('',(-0.707106781186398,-0.707106781186698,0.)); #379435=DIRECTION('',(0.707106781186297,0.707106781186798,0.)); #379436=DIRECTION('',(1.989519660128E-14,-1.385558334732E-14,1.)); #379437=DIRECTION('',(-5.018208071307E-14,-3.523107731478E-14,-1.)); #379438=DIRECTION('',(2.842170943041E-14,0.,1.)); #379439=DIRECTION('',(-0.707106781186398,-0.707106781186698,0.)); #379440=DIRECTION('',(-3.907985046681E-14,6.394884621841E-14,1.)); #379441=DIRECTION('',(0.707106781186398,0.707106781186698,0.)); #379442=DIRECTION('center_axis',(0.,0.,-1.)); #379443=DIRECTION('ref_axis',(1.,0.,0.)); #379444=DIRECTION('center_axis',(0.,0.,1.)); #379445=DIRECTION('ref_axis',(-0.786849964733765,-0.617144337248972,0.)); #379446=DIRECTION('center_axis',(0.,0.,-1.)); #379447=DIRECTION('ref_axis',(-0.617144337248972,-0.786849964733765,0.)); #379448=DIRECTION('center_axis',(0.,0.,1.)); #379449=DIRECTION('ref_axis',(-1.,0.,0.)); #379450=DIRECTION('center_axis',(0.,0.,1.)); #379451=DIRECTION('ref_axis',(-1.,0.,0.)); #379452=DIRECTION('center_axis',(0.,0.,-1.)); #379453=DIRECTION('ref_axis',(-0.620794693238094,-0.783973181204192,0.)); #379454=DIRECTION('center_axis',(0.,0.,-1.)); #379455=DIRECTION('ref_axis',(1.,0.,0.)); #379456=DIRECTION('center_axis',(0.,0.,1.)); #379457=DIRECTION('ref_axis',(-0.783973181204192,-0.620794693238094,0.)); #379458=DIRECTION('center_axis',(0.,0.,1.)); #379459=DIRECTION('ref_axis',(-1.,0.,0.)); #379460=DIRECTION('center_axis',(0.,0.,-1.)); #379461=DIRECTION('ref_axis',(-0.617144337248972,-0.786849964733765,0.)); #379462=DIRECTION('center_axis',(0.,0.,-1.)); #379463=DIRECTION('ref_axis',(1.,0.,0.)); #379464=DIRECTION('center_axis',(0.,0.,1.)); #379465=DIRECTION('ref_axis',(-0.786849964733813,-0.61714433724891,0.)); #379466=DIRECTION('center_axis',(0.,0.,-1.)); #379467=DIRECTION('ref_axis',(1.,0.,0.)); #379468=DIRECTION('center_axis',(0.,0.,-1.)); #379469=DIRECTION('ref_axis',(1.,0.,0.)); #379470=DIRECTION('center_axis',(0.,0.,-1.)); #379471=DIRECTION('ref_axis',(-0.707106781187233,-0.707106781185862,0.)); #379472=DIRECTION('center_axis',(0.,0.,-1.)); #379473=DIRECTION('ref_axis',(1.,0.,0.)); #379474=DIRECTION('center_axis',(0.,0.,1.)); #379475=DIRECTION('ref_axis',(-0.786849964733765,-0.617144337248972,0.)); #379476=DIRECTION('center_axis',(0.,0.,1.)); #379477=DIRECTION('ref_axis',(-1.,0.,0.)); #379478=DIRECTION('center_axis',(0.,0.,-1.)); #379479=DIRECTION('ref_axis',(1.,0.,0.)); #379480=DIRECTION('center_axis',(0.,0.,1.)); #379481=DIRECTION('ref_axis',(0.399999999999988,-0.916515138991173,0.)); #379482=DIRECTION('center_axis',(0.,0.,1.)); #379483=DIRECTION('ref_axis',(-1.,0.,0.)); #379484=DIRECTION('center_axis',(0.,0.,-1.)); #379485=DIRECTION('ref_axis',(1.,0.,0.)); #379486=DIRECTION('center_axis',(0.,0.,1.)); #379487=DIRECTION('ref_axis',(0.617144337248972,-0.786849964733765,0.)); #379488=DIRECTION('center_axis',(0.,0.,1.)); #379489=DIRECTION('ref_axis',(-1.,0.,0.)); #379490=DIRECTION('center_axis',(0.,0.,-1.)); #379491=DIRECTION('ref_axis',(1.,0.,0.)); #379492=DIRECTION('center_axis',(0.,0.,-1.)); #379493=DIRECTION('ref_axis',(1.,0.,0.)); #379494=DIRECTION('',(3.836930773105E-14,5.009326287109E-14,1.)); #379495=DIRECTION('center_axis',(0.,0.,1.)); #379496=DIRECTION('ref_axis',(-0.822836551104806,0.568278109877504,0.)); #379497=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #379498=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #379499=DIRECTION('',(3.42352409048E-14,4.553932988281E-14,1.)); #379500=DIRECTION('',(-0.707106781186448,0.707106781186647,0.)); #379501=DIRECTION('',(-2.344791028008E-13,-3.46389583683E-13,1.)); #379502=DIRECTION('',(0.707106781186597,-0.707106781186498,0.)); #379503=DIRECTION('',(-1.178316703469E-13,1.539509260814E-13,-1.)); #379504=DIRECTION('center_axis',(0.703689715926086,0.710505538227758,0.0016321297582143)); #379505=DIRECTION('ref_axis',(-0.67329037431882,0.666094324927214,0.320933672507859)); #379506=DIRECTION('',(0.,0.,1.)); #379507=DIRECTION('',(-0.707106781186398,0.707106781186698,0.)); #379508=DIRECTION('',(-2.451372438372E-13,-3.623767952377E-13,1.)); #379509=DIRECTION('',(0.707106781186498,-0.707106781186597,0.)); #379510=DIRECTION('center_axis',(0.,0.,-1.)); #379511=DIRECTION('ref_axis',(1.,0.,0.)); #379512=DIRECTION('center_axis',(0.,0.,1.)); #379513=DIRECTION('ref_axis',(-0.822836551104806,0.568278109877504,0.)); #379514=DIRECTION('center_axis',(0.,0.,-1.)); #379515=DIRECTION('ref_axis',(-0.916515138991173,0.399999999999988,0.)); #379516=DIRECTION('center_axis',(0.,0.,1.)); #379517=DIRECTION('ref_axis',(-1.,0.,0.)); #379518=DIRECTION('center_axis',(0.,0.,1.)); #379519=DIRECTION('ref_axis',(-0.818819210675826,0.574051478726618,0.)); #379520=DIRECTION('center_axis',(0.,0.,-1.)); #379521=DIRECTION('ref_axis',(1.,0.,0.)); #379522=DIRECTION('center_axis',(0.,0.,-1.)); #379523=DIRECTION('ref_axis',(-0.923076923076914,0.384615384615406,0.)); #379524=DIRECTION('center_axis',(0.,0.,1.)); #379525=DIRECTION('ref_axis',(-1.,0.,0.)); #379526=DIRECTION('center_axis',(0.,0.,1.)); #379527=DIRECTION('ref_axis',(-1.,0.,0.)); #379528=DIRECTION('center_axis',(0.,0.,-1.)); #379529=DIRECTION('ref_axis',(-0.92307692307695,0.384615384615321,0.)); #379530=DIRECTION('center_axis',(0.,0.,-1.)); #379531=DIRECTION('ref_axis',(1.,0.,0.)); #379532=DIRECTION('center_axis',(0.,0.,1.)); #379533=DIRECTION('ref_axis',(-0.818819210675826,0.574051478726618,0.)); #379534=DIRECTION('center_axis',(0.,0.,1.)); #379535=DIRECTION('ref_axis',(-1.,0.,0.)); #379536=DIRECTION('center_axis',(0.,0.,-1.)); #379537=DIRECTION('ref_axis',(-0.916515138991173,0.399999999999988,0.)); #379538=DIRECTION('center_axis',(0.,0.,-1.)); #379539=DIRECTION('ref_axis',(1.,0.,0.)); #379540=DIRECTION('center_axis',(0.,0.,1.)); #379541=DIRECTION('ref_axis',(-0.822836551104759,0.568278109877572,0.)); #379542=DIRECTION('center_axis',(0.,0.,-1.)); #379543=DIRECTION('ref_axis',(1.,0.,0.)); #379544=DIRECTION('center_axis',(0.,0.,-1.)); #379545=DIRECTION('ref_axis',(-0.707106781184794,0.707106781188302,0.)); #379546=DIRECTION('',(1.284898113833E-13,-9.074222854604E-14,1.)); #379547=DIRECTION('center_axis',(0.707106781185898,0.707106781187198,0.)); #379548=DIRECTION('ref_axis',(0.707106781187198,-0.707106781185898,0.)); #379549=DIRECTION('',(4.227729277773E-13,5.471179065353E-13,-1.)); #379550=DIRECTION('',(0.707106781187098,-0.707106781185997,0.)); #379551=DIRECTION('',(-7.105427357601E-14,-9.366245153201E-14,-1.)); #379552=DIRECTION('',(-0.707106781186998,0.707106781186098,0.)); #379553=DIRECTION('',(4.227729277773E-13,5.506706202141E-13,-1.)); #379554=DIRECTION('',(0.707106781186947,-0.707106781186148,0.)); #379555=DIRECTION('',(-6.520274516387E-14,-7.753275146088E-14,-1.)); #379556=DIRECTION('',(-1.541877736599E-13,-1.92557081391E-13,-1.)); #379557=DIRECTION('',(-0.707106781187198,0.707106781185898,0.)); #379558=DIRECTION('center_axis',(0.,0.,-1.)); #379559=DIRECTION('ref_axis',(1.,0.,0.)); #379560=DIRECTION('',(-6.110667527537E-13,-5.400124791777E-13,1.)); #379561=DIRECTION('center_axis',(0.,0.,1.)); #379562=DIRECTION('ref_axis',(-0.620794693237984,0.78397318120428,0.)); #379563=DIRECTION('center_axis',(0.,0.,-1.)); #379564=DIRECTION('ref_axis',(-0.78397318120428,0.620794693237984,0.)); #379565=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #379566=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #379567=DIRECTION('',(2.338331548592E-13,1.705302565824E-13,1.)); #379568=DIRECTION('',(-0.707106781187998,0.707106781185098,0.)); #379569=DIRECTION('',(0.707106781187298,-0.707106781185798,0.)); #379570=DIRECTION('',(-1.143973804574E-13,-1.058708676283E-13,1.)); #379571=DIRECTION('',(-2.069455717902E-13,2.697101801156E-13,-1.)); #379572=DIRECTION('',(0.,0.,1.)); #379573=DIRECTION('',(-0.707106781189197,0.707106781183897,0.)); #379574=DIRECTION('',(-6.110667527537E-13,-5.400124791777E-13,1.)); #379575=DIRECTION('',(0.707106781187498,-0.707106781185597,0.)); #379576=DIRECTION('center_axis',(0.,0.,-1.)); #379577=DIRECTION('ref_axis',(1.,0.,0.)); #379578=DIRECTION('center_axis',(0.,0.,1.)); #379579=DIRECTION('ref_axis',(-0.61714433724891,0.786849964733813,0.)); #379580=DIRECTION('center_axis',(0.,0.,-1.)); #379581=DIRECTION('ref_axis',(-0.786849964733727,0.617144337249021,0.)); #379582=DIRECTION('center_axis',(0.,0.,1.)); #379583=DIRECTION('ref_axis',(-1.,0.,0.)); #379584=DIRECTION('center_axis',(0.,0.,1.)); #379585=DIRECTION('ref_axis',(-1.,0.,0.)); #379586=DIRECTION('center_axis',(0.,0.,-1.)); #379587=DIRECTION('ref_axis',(-0.78397318120428,0.620794693237984,0.)); #379588=DIRECTION('center_axis',(0.,0.,-1.)); #379589=DIRECTION('ref_axis',(1.,0.,0.)); #379590=DIRECTION('center_axis',(0.,0.,1.)); #379591=DIRECTION('ref_axis',(-0.620794693237984,0.78397318120428,0.)); #379592=DIRECTION('center_axis',(0.,0.,1.)); #379593=DIRECTION('ref_axis',(-1.,0.,0.)); #379594=DIRECTION('center_axis',(0.,0.,-1.)); #379595=DIRECTION('ref_axis',(-0.786849964733727,0.617144337249021,0.)); #379596=DIRECTION('center_axis',(0.,0.,-1.)); #379597=DIRECTION('ref_axis',(1.,0.,0.)); #379598=DIRECTION('center_axis',(0.,0.,1.)); #379599=DIRECTION('ref_axis',(-0.6171443372488,0.7868499647339,0.)); #379600=DIRECTION('center_axis',(0.,0.,-1.)); #379601=DIRECTION('ref_axis',(1.,0.,0.)); #379602=DIRECTION('center_axis',(0.,0.,-1.)); #379603=DIRECTION('ref_axis',(1.,0.,0.)); #379604=DIRECTION('center_axis',(0.,0.,-1.)); #379605=DIRECTION('ref_axis',(-0.707106781192361,0.707106781180734,0.)); #379606=DIRECTION('',(6.276460832549E-14,-4.411286151178E-14,1.)); #379607=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #379608=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #379609=DIRECTION('',(-1.492139745096E-13,-8.881784197001E-14,-1.)); #379610=DIRECTION('',(0.707106781186398,-0.707106781186698,0.)); #379611=DIRECTION('',(0.,0.,-1.)); #379612=DIRECTION('',(-0.707106781186297,0.707106781186797,0.)); #379613=DIRECTION('',(-1.492139745096E-13,-8.881784197001E-14,-1.)); #379614=DIRECTION('',(0.707106781186398,-0.707106781186698,0.)); #379615=DIRECTION('',(-1.003119156367E-14,1.42108547152E-14,-1.)); #379616=DIRECTION('center_axis',(-0.710505537426613,-0.703689716735886, 0.00163212937238707)); #379617=DIRECTION('ref_axis',(-0.666181144100071,0.673377191790697,0.320571116636535)); #379618=DIRECTION('',(-1.740829702612E-14,0.,-1.)); #379619=DIRECTION('',(-0.707106781186297,0.707106781186797,0.)); #379620=DIRECTION('center_axis',(0.,0.,-1.)); #379621=DIRECTION('ref_axis',(1.,0.,0.)); #379622=DIRECTION('center_axis',(0.,0.,-1.)); #379623=DIRECTION('ref_axis',(-0.574051478726618,0.818819210675826,0.)); #379624=DIRECTION('',(-2.6645352591E-14,-1.42108547152E-14,1.)); #379625=DIRECTION('center_axis',(0.,0.,1.)); #379626=DIRECTION('ref_axis',(-0.384615384615406,0.923076923076914,0.)); #379627=DIRECTION('center_axis',(0.,0.,1.)); #379628=DIRECTION('ref_axis',(-1.,0.,0.)); #379629=DIRECTION('',(1.91178028756E-13,-1.,0.)); #379630=DIRECTION('center_axis',(0.,0.,-1.)); #379631=DIRECTION('ref_axis',(-0.568278109877572,0.822836551104759,0.)); #379632=DIRECTION('center_axis',(0.,0.,-1.)); #379633=DIRECTION('ref_axis',(1.,0.,0.)); #379634=DIRECTION('',(0.,0.,1.)); #379635=DIRECTION('center_axis',(0.,0.,1.)); #379636=DIRECTION('ref_axis',(-0.399999999999988,0.916515138991173,0.)); #379637=DIRECTION('center_axis',(0.,0.,1.)); #379638=DIRECTION('ref_axis',(-1.,0.,0.)); #379639=DIRECTION('center_axis',(0.,0.,-1.)); #379640=DIRECTION('ref_axis',(-0.574051478726618,0.818819210675826,0.)); #379641=DIRECTION('',(-1.928120290018E-13,1.,0.)); #379642=DIRECTION('center_axis',(0.,0.,-1.)); #379643=DIRECTION('ref_axis',(1.,0.,0.)); #379644=DIRECTION('',(-2.6645352591E-14,-2.13162820728E-14,1.)); #379645=DIRECTION('center_axis',(0.,0.,1.)); #379646=DIRECTION('ref_axis',(-0.384615384615406,0.923076923076914,0.)); #379647=DIRECTION('center_axis',(0.,0.,1.)); #379648=DIRECTION('ref_axis',(-1.,0.,0.)); #379649=DIRECTION('',(1.91178028756E-13,-1.,0.)); #379650=DIRECTION('center_axis',(0.,0.,-1.)); #379651=DIRECTION('ref_axis',(-0.568278109877572,0.822836551104759,0.)); #379652=DIRECTION('center_axis',(0.,0.,-1.)); #379653=DIRECTION('ref_axis',(1.,0.,0.)); #379654=DIRECTION('',(0.,-1.755458523643E-14,1.)); #379655=DIRECTION('center_axis',(0.,0.,1.)); #379656=DIRECTION('ref_axis',(-0.399999999999988,0.916515138991173,0.)); #379657=DIRECTION('center_axis',(0.,0.,-1.)); #379658=DIRECTION('ref_axis',(1.,0.,0.)); #379659=DIRECTION('center_axis',(1.,0.,0.)); #379660=DIRECTION('ref_axis',(0.,-1.,0.)); #379661=DIRECTION('',(0.,0.,-1.)); #379662=DIRECTION('',(-1.928120290018E-13,1.,0.)); #379663=DIRECTION('',(-1.385558334732E-14,0.,1.)); #379664=DIRECTION('center_axis',(0.,1.,0.)); #379665=DIRECTION('ref_axis',(0.,0.,-1.)); #379666=DIRECTION('',(0.,0.,-1.)); #379667=DIRECTION('center_axis',(1.,0.,0.)); #379668=DIRECTION('ref_axis',(0.,1.,0.)); #379669=DIRECTION('',(2.48689957516E-14,-1.136868377216E-14,-1.)); #379670=DIRECTION('',(-1.797400270355E-14,1.,0.)); #379671=DIRECTION('',(-2.30926389122E-14,0.,-1.)); #379672=DIRECTION('',(1.797400270355E-14,-1.,0.)); #379673=DIRECTION('',(0.,0.,-1.)); #379674=DIRECTION('',(-1.470600221199E-14,-1.,0.)); #379675=DIRECTION('',(2.30926389122E-14,-1.42108547152E-14,-1.)); #379676=DIRECTION('',(1.960800294933E-13,1.,0.)); #379677=DIRECTION('',(0.,0.,-1.)); #379678=DIRECTION('center_axis',(0.,0.,-1.)); #379679=DIRECTION('ref_axis',(1.,0.,0.)); #379680=DIRECTION('center_axis',(0.710505539305303,-0.703689714836901,0.00163213027701795)); #379681=DIRECTION('ref_axis',(0.666094323778478,0.673290375457862,0.320933672502441)); #379682=DIRECTION('center_axis',(0.,0.,1.)); #379683=DIRECTION('ref_axis',(0.568278109877572,0.822836551104759,0.)); #379684=DIRECTION('center_axis',(-0.707106781186198,0.707106781186898,0.)); #379685=DIRECTION('ref_axis',(0.707106781186898,0.707106781186198,0.)); #379686=DIRECTION('',(6.039613253961E-14,-4.392446002881E-14,1.)); #379687=DIRECTION('',(0.707106781186597,0.707106781186498,0.)); #379688=DIRECTION('',(-4.085620730621E-13,3.01980662698E-13,1.)); #379689=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #379690=DIRECTION('',(6.643574579357E-14,-4.831690603169E-14,1.)); #379691=DIRECTION('',(-8.763360407709E-14,-6.20244596424E-14,-1.)); #379692=DIRECTION('',(0.,0.,1.)); #379693=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #379694=DIRECTION('',(-4.085620730621E-13,3.01980662698E-13,1.)); #379695=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #379696=DIRECTION('center_axis',(0.,0.,-1.)); #379697=DIRECTION('ref_axis',(1.,0.,0.)); #379698=DIRECTION('center_axis',(0.,0.,1.)); #379699=DIRECTION('ref_axis',(0.568278109877504,0.822836551104806,0.)); #379700=DIRECTION('center_axis',(0.,0.,-1.)); #379701=DIRECTION('ref_axis',(0.399999999999988,0.916515138991173,0.)); #379702=DIRECTION('center_axis',(0.,0.,1.)); #379703=DIRECTION('ref_axis',(-1.,0.,0.)); #379704=DIRECTION('center_axis',(0.,0.,1.)); #379705=DIRECTION('ref_axis',(0.574051478726685,0.818819210675779,0.)); #379706=DIRECTION('center_axis',(0.,0.,-1.)); #379707=DIRECTION('ref_axis',(1.,0.,0.)); #379708=DIRECTION('center_axis',(0.,0.,-1.)); #379709=DIRECTION('ref_axis',(0.384615384615406,0.923076923076914,0.)); #379710=DIRECTION('center_axis',(0.,0.,1.)); #379711=DIRECTION('ref_axis',(-1.,0.,0.)); #379712=DIRECTION('center_axis',(0.,0.,1.)); #379713=DIRECTION('ref_axis',(0.568278109877504,0.822836551104806,0.)); #379714=DIRECTION('center_axis',(0.,0.,-1.)); #379715=DIRECTION('ref_axis',(1.,0.,0.)); #379716=DIRECTION('center_axis',(0.,0.,1.)); #379717=DIRECTION('ref_axis',(-1.,0.,0.)); #379718=DIRECTION('center_axis',(0.,0.,-1.)); #379719=DIRECTION('ref_axis',(0.384615384615406,0.923076923076914,0.)); #379720=DIRECTION('center_axis',(0.,0.,-1.)); #379721=DIRECTION('ref_axis',(1.,0.,0.)); #379722=DIRECTION('center_axis',(0.,0.,1.)); #379723=DIRECTION('ref_axis',(0.574051478726685,0.818819210675779,0.)); #379724=DIRECTION('center_axis',(0.,0.,1.)); #379725=DIRECTION('ref_axis',(-1.,0.,0.)); #379726=DIRECTION('center_axis',(0.,0.,-1.)); #379727=DIRECTION('ref_axis',(0.399999999999988,0.916515138991173,0.)); #379728=DIRECTION('center_axis',(0.,0.,-1.)); #379729=DIRECTION('ref_axis',(1.,0.,0.)); #379730=DIRECTION('center_axis',(0.,0.,-1.)); #379731=DIRECTION('ref_axis',(1.,0.,0.)); #379732=DIRECTION('',(3.345472047538E-14,4.352074256531E-14,1.)); #379733=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379734=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #379735=DIRECTION('',(-2.074784788419E-12,1.762145984685E-12,-1.)); #379736=DIRECTION('',(-0.707106781185198,-0.707106781187898,0.)); #379737=DIRECTION('',(4.121147867409E-13,-3.210361269752E-13,-1.)); #379738=DIRECTION('',(0.707106781185198,0.707106781187898,0.)); #379739=DIRECTION('',(-2.074784788419E-12,1.762145984685E-12,-1.)); #379740=DIRECTION('',(-0.707106781185298,-0.707106781187797,0.)); #379741=DIRECTION('',(2.697972564313E-13,-2.060573933705E-13,-1.)); #379742=DIRECTION('',(4.73932004752E-13,-4.000355602329E-13,-1.)); #379743=DIRECTION('',(0.707106781185098,0.707106781187998,0.)); #379744=DIRECTION('center_axis',(0.,0.,-1.)); #379745=DIRECTION('ref_axis',(1.,0.,0.)); #379746=DIRECTION('',(-1.790567694115E-12,2.145839061996E-12,1.)); #379747=DIRECTION('center_axis',(0.,0.,1.)); #379748=DIRECTION('ref_axis',(0.783973181204415,0.620794693237812,0.)); #379749=DIRECTION('center_axis',(0.,0.,-1.)); #379750=DIRECTION('ref_axis',(0.620794693238314,0.783973181204018,0.)); #379751=DIRECTION('center_axis',(-0.707106781185998,0.707106781187098,0.)); #379752=DIRECTION('ref_axis',(0.707106781187098,0.707106781185998,0.)); #379753=DIRECTION('',(2.887387298952E-13,-3.720660143617E-13,1.)); #379754=DIRECTION('',(0.707106781186698,0.707106781186398,0.)); #379755=DIRECTION('',(-0.707106781186597,-0.707106781186498,0.)); #379756=DIRECTION('',(3.296918293927E-13,-3.971933892899E-13,1.)); #379757=DIRECTION('',(-6.217248937902E-13,-4.393522582784E-13,-1.)); #379758=DIRECTION('',(0.,0.,1.)); #379759=DIRECTION('',(0.707106781185298,0.707106781187797,0.)); #379760=DIRECTION('',(-1.790567694115E-12,2.145839061996E-12,1.)); #379761=DIRECTION('',(-0.707106781186498,-0.707106781186597,0.)); #379762=DIRECTION('center_axis',(0.,0.,-1.)); #379763=DIRECTION('ref_axis',(1.,0.,0.)); #379764=DIRECTION('center_axis',(0.,0.,1.)); #379765=DIRECTION('ref_axis',(0.786849964733765,0.617144337248972,0.)); #379766=DIRECTION('center_axis',(0.,0.,-1.)); #379767=DIRECTION('ref_axis',(0.61714433724891,0.786849964733813,0.)); #379768=DIRECTION('center_axis',(0.,0.,1.)); #379769=DIRECTION('ref_axis',(-1.,0.,0.)); #379770=DIRECTION('center_axis',(0.,0.,1.)); #379771=DIRECTION('ref_axis',(-1.,0.,0.)); #379772=DIRECTION('center_axis',(0.,0.,-1.)); #379773=DIRECTION('ref_axis',(0.620794693238314,0.783973181204018,0.)); #379774=DIRECTION('center_axis',(0.,0.,-1.)); #379775=DIRECTION('ref_axis',(1.,0.,0.)); #379776=DIRECTION('center_axis',(0.,0.,1.)); #379777=DIRECTION('ref_axis',(0.783973181204415,0.620794693237812,0.)); #379778=DIRECTION('center_axis',(0.,0.,1.)); #379779=DIRECTION('ref_axis',(-1.,0.,0.)); #379780=DIRECTION('center_axis',(0.,0.,-1.)); #379781=DIRECTION('ref_axis',(0.61714433724891,0.786849964733813,0.)); #379782=DIRECTION('center_axis',(0.,0.,-1.)); #379783=DIRECTION('ref_axis',(1.,0.,0.)); #379784=DIRECTION('center_axis',(0.,0.,1.)); #379785=DIRECTION('ref_axis',(0.7868499647339,0.6171443372488,0.)); #379786=DIRECTION('center_axis',(0.,0.,-1.)); #379787=DIRECTION('ref_axis',(1.,0.,0.)); #379788=DIRECTION('center_axis',(0.,0.,-1.)); #379789=DIRECTION('ref_axis',(1.,0.,0.)); #379790=DIRECTION('center_axis',(0.,0.,-1.)); #379791=DIRECTION('ref_axis',(1.,0.,0.)); #379792=DIRECTION('',(1.348550900578E-13,1.755632676274E-13,1.)); #379793=DIRECTION('center_axis',(-0.707106781185998,0.707106781187098,0.)); #379794=DIRECTION('ref_axis',(-0.707106781187098,-0.707106781185998,0.)); #379795=DIRECTION('',(-1.136868377216E-13,1.882938249764E-13,-1.)); #379796=DIRECTION('',(-0.707106781186998,-0.707106781186098,0.)); #379797=DIRECTION('',(0.,-1.1304088978E-14,-1.)); #379798=DIRECTION('',(0.707106781187097,0.707106781185997,0.)); #379799=DIRECTION('',(-1.136868377216E-13,1.882938249764E-13,-1.)); #379800=DIRECTION('',(-0.707106781186998,-0.707106781186098,0.)); #379801=DIRECTION('',(0.,0.,-1.)); #379802=DIRECTION('center_axis',(-0.703689746394552,0.710505508084914,0.00163211524395492)); #379803=DIRECTION('ref_axis',(0.673377160795629,0.666181175396873,0.320571116705261)); #379804=DIRECTION('',(8.668621376273E-14,-1.357136625302E-13,-1.)); #379805=DIRECTION('',(0.707106781186998,0.707106781186098,0.)); #379806=DIRECTION('center_axis',(0.,0.,-1.)); #379807=DIRECTION('ref_axis',(1.,0.,0.)); #379808=DIRECTION('center_axis',(0.,0.,-1.)); #379809=DIRECTION('ref_axis',(0.818819210675859,0.574051478726571,0.)); #379810=DIRECTION('',(-1.7763568394E-14,3.37507799486E-14,1.)); #379811=DIRECTION('center_axis',(0.,0.,1.)); #379812=DIRECTION('ref_axis',(0.923076923076914,0.384615384615406,0.)); #379813=DIRECTION('center_axis',(0.,0.,1.)); #379814=DIRECTION('ref_axis',(-1.,0.,0.)); #379815=DIRECTION('',(-1.,-1.977140297391E-13,0.)); #379816=DIRECTION('center_axis',(0.,0.,-1.)); #379817=DIRECTION('ref_axis',(0.822836551104759,0.568278109877572,0.)); #379818=DIRECTION('center_axis',(0.,0.,-1.)); #379819=DIRECTION('ref_axis',(1.,0.,0.)); #379820=DIRECTION('',(0.,0.,1.)); #379821=DIRECTION('center_axis',(0.,0.,1.)); #379822=DIRECTION('ref_axis',(0.916515138991173,0.399999999999988,0.)); #379823=DIRECTION('center_axis',(0.,0.,1.)); #379824=DIRECTION('ref_axis',(-1.,0.,0.)); #379825=DIRECTION('center_axis',(0.,0.,-1.)); #379826=DIRECTION('ref_axis',(0.818819210675859,0.574051478726571,0.)); #379827=DIRECTION('',(1.,1.977140297391E-13,0.)); #379828=DIRECTION('center_axis',(0.,0.,-1.)); #379829=DIRECTION('ref_axis',(1.,0.,0.)); #379830=DIRECTION('',(-1.7763568394E-14,3.37507799486E-14,1.)); #379831=DIRECTION('center_axis',(0.,0.,1.)); #379832=DIRECTION('ref_axis',(0.923076923076914,0.384615384615406,0.)); #379833=DIRECTION('center_axis',(0.,0.,1.)); #379834=DIRECTION('ref_axis',(-1.,0.,0.)); #379835=DIRECTION('',(-1.,-1.977140297391E-13,0.)); #379836=DIRECTION('center_axis',(0.,0.,-1.)); #379837=DIRECTION('ref_axis',(0.822836551104759,0.568278109877572,0.)); #379838=DIRECTION('center_axis',(0.,0.,-1.)); #379839=DIRECTION('ref_axis',(1.,0.,0.)); #379840=DIRECTION('',(0.,1.295695576974E-14,1.)); #379841=DIRECTION('center_axis',(0.,0.,1.)); #379842=DIRECTION('ref_axis',(0.916515138991173,0.399999999999988,0.)); #379843=DIRECTION('center_axis',(0.,0.,-1.)); #379844=DIRECTION('ref_axis',(1.,0.,0.)); #379845=DIRECTION('center_axis',(0.,1.,0.)); #379846=DIRECTION('ref_axis',(-1.,0.,0.)); #379847=DIRECTION('',(0.,0.,-1.)); #379848=DIRECTION('',(1.,1.977140297391E-13,0.)); #379849=DIRECTION('',(0.,-1.350031197944E-14,1.)); #379850=DIRECTION('center_axis',(1.,0.,0.)); #379851=DIRECTION('ref_axis',(0.,0.,-1.)); #379852=DIRECTION('center_axis',(0.,0.,-1.)); #379853=DIRECTION('ref_axis',(1.,0.,0.)); #379854=DIRECTION('center_axis',(0.,0.,1.)); #379855=DIRECTION('ref_axis',(0.916515138991173,0.399999999999988,0.)); #379856=DIRECTION('center_axis',(0.,0.,1.)); #379857=DIRECTION('ref_axis',(-1.,0.,0.)); #379858=DIRECTION('center_axis',(0.,0.,-1.)); #379859=DIRECTION('ref_axis',(1.,0.,0.)); #379860=DIRECTION('center_axis',(0.,0.,1.)); #379861=DIRECTION('ref_axis',(0.786849964733765,0.617144337248972,0.)); #379862=DIRECTION('center_axis',(0.,0.,1.)); #379863=DIRECTION('ref_axis',(-1.,0.,0.)); #379864=DIRECTION('center_axis',(0.,0.,-1.)); #379865=DIRECTION('ref_axis',(1.,0.,0.)); #379866=DIRECTION('center_axis',(0.,0.,1.)); #379867=DIRECTION('ref_axis',(-0.399999999999988,0.916515138991173,0.)); #379868=DIRECTION('center_axis',(0.,0.,1.)); #379869=DIRECTION('ref_axis',(-1.,0.,0.)); #379870=DIRECTION('center_axis',(0.,0.,-1.)); #379871=DIRECTION('ref_axis',(1.,0.,0.)); #379872=DIRECTION('center_axis',(0.,0.,1.)); #379873=DIRECTION('ref_axis',(-0.61714433724891,0.786849964733813,0.)); #379874=DIRECTION('center_axis',(0.,0.,1.)); #379875=DIRECTION('ref_axis',(-1.,0.,0.)); #379876=DIRECTION('center_axis',(0.,0.,-1.)); #379877=DIRECTION('ref_axis',(-1.,0.,0.)); #379878=DIRECTION('',(1.056932319443E-13,1.496580637195E-13,1.)); #379879=DIRECTION('center_axis',(0.,0.,-1.)); #379880=DIRECTION('ref_axis',(1.,0.,0.)); #379881=DIRECTION('center_axis',(0.,0.,-1.)); #379882=DIRECTION('ref_axis',(1.,0.,0.)); #379883=DIRECTION('center_axis',(0.,0.,1.)); #379884=DIRECTION('ref_axis',(-1.,0.,0.)); #379885=DIRECTION('',(0.,0.,1.)); #379886=DIRECTION('center_axis',(0.,0.,1.)); #379887=DIRECTION('ref_axis',(-1.,0.,0.)); #379888=DIRECTION('',(0.,0.,1.)); #379889=DIRECTION('center_axis',(0.,0.,1.)); #379890=DIRECTION('ref_axis',(-1.,0.,0.)); #379891=DIRECTION('',(0.,0.,1.)); #379892=DIRECTION('center_axis',(0.,0.,1.)); #379893=DIRECTION('ref_axis',(-1.,0.,0.)); #379894=DIRECTION('',(0.,0.,1.)); #379895=DIRECTION('center_axis',(0.,0.,-1.)); #379896=DIRECTION('ref_axis',(1.,0.,0.)); #379897=DIRECTION('center_axis',(0.,0.,1.)); #379898=DIRECTION('ref_axis',(-0.916515138991173,-0.399999999999988,0.)); #379899=DIRECTION('center_axis',(0.,0.,-1.)); #379900=DIRECTION('ref_axis',(1.,0.,0.)); #379901=DIRECTION('center_axis',(0.,0.,-1.)); #379902=DIRECTION('ref_axis',(-0.822836551104759,-0.568278109877572,0.)); #379903=DIRECTION('center_axis',(0.,0.,1.)); #379904=DIRECTION('ref_axis',(-1.,0.,0.)); #379905=DIRECTION('center_axis',(0.,0.,1.)); #379906=DIRECTION('ref_axis',(-0.923076923076914,-0.384615384615406,0.)); #379907=DIRECTION('center_axis',(0.,0.,-1.)); #379908=DIRECTION('ref_axis',(1.,0.,0.)); #379909=DIRECTION('center_axis',(0.,0.,-1.)); #379910=DIRECTION('ref_axis',(-0.818819210675826,-0.574051478726618,0.)); #379911=DIRECTION('center_axis',(0.,0.,1.)); #379912=DIRECTION('ref_axis',(-1.,0.,0.)); #379913=DIRECTION('center_axis',(0.,0.,1.)); #379914=DIRECTION('ref_axis',(-0.916515138991173,-0.399999999999988,0.)); #379915=DIRECTION('center_axis',(0.,0.,-1.)); #379916=DIRECTION('ref_axis',(1.,0.,0.)); #379917=DIRECTION('center_axis',(0.,0.,-1.)); #379918=DIRECTION('ref_axis',(-0.822836551104759,-0.568278109877572,0.)); #379919=DIRECTION('center_axis',(0.,0.,1.)); #379920=DIRECTION('ref_axis',(-1.,0.,0.)); #379921=DIRECTION('center_axis',(-1.18954605297317E-11,1.72085948340647E-12, -1.)); #379922=DIRECTION('ref_axis',(0.602298084079708,-0.798271268375552,-8.53846779156844E-12)); #379923=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379924=DIRECTION('ref_axis',(1.,-8.942015932947E-13,6.60374595951103E-24)); #379925=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379926=DIRECTION('ref_axis',(1.,-8.942015932947E-13,6.60374595951103E-24)); #379927=DIRECTION('center_axis',(0.,0.,-1.)); #379928=DIRECTION('ref_axis',(0.927692790836049,-0.373344460024282,0.)); #379929=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379930=DIRECTION('ref_axis',(1.,-2.492085997527E-13,1.84042423546647E-24)); #379931=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #379932=DIRECTION('ref_axis',(0.999086283008368,-0.0427387307312987,3.15628737968964E-13)); #379933=DIRECTION('',(-0.869138229308908,-0.437656715603204,-0.230337442985702)); #379934=DIRECTION('center_axis',(0.,0.,-1.)); #379935=DIRECTION('ref_axis',(-1.,0.,0.)); #379936=DIRECTION('',(-0.418300135754987,5.12269922335248E-17,0.908308866205411)); #379937=DIRECTION('center_axis',(0.,0.,-1.)); #379938=DIRECTION('ref_axis',(-1.,0.,0.)); #379939=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379940=DIRECTION('ref_axis',(0.918594632387959,0.395201089760682,-2.91858974449551E-12)); #379941=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379942=DIRECTION('ref_axis',(-0.0919972193053898,0.995759263898698,-7.35375698827406E-12)); #379943=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379944=DIRECTION('ref_axis',(-0.975452040789399,0.2202119799643,-1.62628201943485E-12)); #379945=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379946=DIRECTION('ref_axis',(-0.510865296314317,-0.859660775551429,6.34865942497479E-12)); #379947=DIRECTION('',(0.960395760963464,-0.156794912767994,-0.230337443012391)); #379948=DIRECTION('center_axis',(0.,0.,-1.)); #379949=DIRECTION('ref_axis',(-1.,0.,0.)); #379950=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #379951=DIRECTION('ref_axis',(-0.892298845034057,0.451445202821878,-3.33395674580631E-12)); #379952=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379953=DIRECTION('ref_axis',(-0.0173764825095108,0.999849017529945,-7.38396012615736E-12)); #379954=DIRECTION('',(-0.152173949004304,0.907111022551922,0.392419013312609)); #379955=DIRECTION('',(0.272684786392205,-0.151320303606104,-0.950129029651654)); #379956=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #379957=DIRECTION('ref_axis',(0.,1.,-7.385075143044E-12)); #379958=DIRECTION('',(0.90965998092246,-0.144159647335894,-0.389533971802383)); #379959=DIRECTION('center_axis',(0.,0.,1.)); #379960=DIRECTION('ref_axis',(1.,0.,0.)); #379961=DIRECTION('center_axis',(0.,0.,1.)); #379962=DIRECTION('ref_axis',(0.485001113484397,0.874513533296595,0.)); #379963=DIRECTION('',(-0.909738185351449,0.135586695940592,0.392419013297478)); #379964=DIRECTION('center_axis',(2.040152895208E-11,2.139900887588E-11, 1.)); #379965=DIRECTION('ref_axis',(-0.809016994374918,-0.587785252292513,2.90832054643835E-11)); #379966=DIRECTION('',(0.228177017474592,0.212576814629273,-0.950129647247402)); #379967=DIRECTION('center_axis',(0.,0.,-1.)); #379968=DIRECTION('ref_axis',(-1.,0.,0.)); #379969=DIRECTION('center_axis',(-1.30197643478793E-11,-1.95867858525618E-14, 1.)); #379970=DIRECTION('ref_axis',(-0.705134466064219,-0.709073610260831,-9.19461323209006E-12)); #379971=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379972=DIRECTION('ref_axis',(-0.956282551828026,0.292444321314808,-2.15972328806636E-12)); #379973=DIRECTION('',(0.445899466859398,0.864938346365595,-0.230337409989899)); #379974=DIRECTION('',(-0.410075170395801,-0.823313836047301,0.392419013298201)); #379975=DIRECTION('center_axis',(0.,0.,-1.)); #379976=DIRECTION('ref_axis',(-1.,0.,0.)); #379977=DIRECTION('center_axis',(-1.88197333992464E-16,7.3849894289548E-12, 1.)); #379978=DIRECTION('ref_axis',(0.456470544187596,-0.889738524674008,6.57064411739023E-12)); #379979=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379980=DIRECTION('ref_axis',(-0.573638637377373,-0.819108487141862,6.04917772784774E-12)); #379981=DIRECTION('',(-0.684814754592412,0.691356213718012,-0.230337442996204)); #379982=DIRECTION('',(0.656297792098208,-0.644422630010108,0.392419013323605)); #379983=DIRECTION('',(-0.309545823931947,-0.0378588294394588,-0.950130565722294)); #379984=DIRECTION('center_axis',(0.,0.,1.)); #379985=DIRECTION('ref_axis',(1.,0.,0.)); #379986=DIRECTION('center_axis',(0.,0.,1.)); #379987=DIRECTION('ref_axis',(0.121652014379998,-0.992572812138882,0.)); #379988=DIRECTION('',(-0.820665298344186,-0.418057116742893,-0.389533971861593)); #379989=DIRECTION('center_axis',(1.647436714953E-11,-7.904870809734E-12, 1.)); #379990=DIRECTION('ref_axis',(0.309016994374891,0.951056516295172,2.42711947329281E-12)); #379991=DIRECTION('',(0.815689512666374,0.425038747575986,0.392419013281887)); #379992=DIRECTION('',(-0.0596478946724418,-0.306088741661762,-0.950132522803564)); #379993=DIRECTION('center_axis',(0.,0.,-1.)); #379994=DIRECTION('ref_axis',(-1.,0.,0.)); #379995=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #379996=DIRECTION('ref_axis',(0.153614394700499,0.988130870755893,-7.29742073169377E-12)); #379997=DIRECTION('center_axis',(0.,7.385075143044E-12,1.)); #379998=DIRECTION('ref_axis',(0.945543295032552,0.325496355154684,-2.40381504160428E-12)); #379999=DIRECTION('',(0.147657787952699,-0.961842939367695,-0.230337442994899)); #380000=DIRECTION('center_axis',(2.908320546438E-11,5.320444001123E-12, 1.)); #380001=DIRECTION('ref_axis',(-0.809016994374918,0.587785252292513,2.04015289520726E-11)); #380002=DIRECTION('',(0.143996421217403,-0.909685833412419,-0.389533971773108)); #380003=DIRECTION('center_axis',(0.,0.,1.)); #380004=DIRECTION('ref_axis',(1.,0.,0.)); #380005=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #380006=DIRECTION('ref_axis',(0.349381588691008,0.936980525669422,-6.91967158963755E-12)); #380007=DIRECTION('center_axis',(0.,0.,1.)); #380008=DIRECTION('ref_axis',(0.981585380823014,-0.191023925607603,0.)); #380009=DIRECTION('center_axis',(0.,0.,-1.)); #380010=DIRECTION('ref_axis',(-1.,0.,0.)); #380011=DIRECTION('center_axis',(0.,0.,1.)); #380012=DIRECTION('ref_axis',(-0.906400301114437,-0.422419807939517,0.)); #380013=DIRECTION('center_axis',(2.427119473291E-12,1.811079364795E-11, 1.)); #380014=DIRECTION('ref_axis',(-0.908862150027497,-0.417096622196099,9.75986787871937E-12)); #380015=DIRECTION('center_axis',(0.,0.,1.)); #380016=DIRECTION('ref_axis',(-1.,0.,0.)); #380017=DIRECTION('center_axis',(2.040152895208E-11,2.139900887588E-11, 1.)); #380018=DIRECTION('ref_axis',(-0.677536310208582,0.73548932578858,-1.91596596185899E-12)); #380019=DIRECTION('center_axis',(2.908320546438E-11,5.320444001123E-12, 1.)); #380020=DIRECTION('ref_axis',(0.490121682058579,0.871654023552963,-1.88918960025243E-11)); #380021=DIRECTION('center_axis',(1.647436714953E-11,-7.904870809734E-12, 1.)); #380022=DIRECTION('ref_axis',(0.980448168123753,-0.196777513000791,-1.77077639112868E-11)); #380023=DIRECTION('center_axis',(0.,0.,1.)); #380024=DIRECTION('ref_axis',(0.115769393332604,-0.993276118492436,0.)); #380025=DIRECTION('center_axis',(0.,0.,1.)); #380026=DIRECTION('ref_axis',(1.,0.,0.)); #380027=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #380028=DIRECTION('ref_axis',(0.268088146945905,-0.963394387292718,7.11473994254355E-12)); #380029=DIRECTION('',(-0.651195407087124,0.651312326182324,-0.389534074955715)); #380030=DIRECTION('center_axis',(0.,0.,1.)); #380031=DIRECTION('ref_axis',(1.,0.,0.)); #380032=DIRECTION('center_axis',(0.,0.,1.)); #380033=DIRECTION('ref_axis',(0.,-1.,0.)); #380034=DIRECTION('center_axis',(1.647436714953E-11,-7.904870809734E-12, 1.)); #380035=DIRECTION('ref_axis',(0.951056516295172,-0.309016994374891,-1.81107936479454E-11)); #380036=DIRECTION('center_axis',(2.908320546438E-11,5.320444001123E-12, 1.)); #380037=DIRECTION('ref_axis',(0.587785252292513,0.809016994374918,-2.13990088758842E-11)); #380038=DIRECTION('center_axis',(2.040152895208E-11,2.139900887588E-11, 1.)); #380039=DIRECTION('ref_axis',(-0.587785252292513,0.809016994374918,-5.32044400111528E-12)); #380040=DIRECTION('center_axis',(0.,0.,1.)); #380041=DIRECTION('ref_axis',(-0.993107335684711,0.11720844598072,0.)); #380042=DIRECTION('center_axis',(0.,-7.385075143044E-12,-1.)); #380043=DIRECTION('ref_axis',(-0.833398985460472,-0.552671811325181,4.0815228560787E-12)); #380044=DIRECTION('',(0.418204365199103,0.820590271505307,-0.389533971868003)); #380045=DIRECTION('center_axis',(2.427119473291E-12,1.811079364795E-11, 1.)); #380046=DIRECTION('ref_axis',(0.309016994374891,-0.951056516295172,1.64743671495349E-11)); #380047=DIRECTION('center_axis',(2.427119473291E-12,1.811079364795E-11, 1.)); #380048=DIRECTION('ref_axis',(-0.951056516295172,-0.309016994374891,7.90487080973369E-12)); #380049=DIRECTION('center_axis',(0.,0.,-1.)); #380050=DIRECTION('ref_axis',(-1.,0.,0.)); #380051=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #380052=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #380053=DIRECTION('center_axis',(0.,0.,-1.)); #380054=DIRECTION('ref_axis',(1.,0.,0.)); #380055=DIRECTION('center_axis',(0.,0.,1.)); #380056=DIRECTION('ref_axis',(1.,0.,0.)); #380057=DIRECTION('axis',(0.,0.,1.)); #380058=DIRECTION('refdir',(1.,0.,0.)); #380059=DIRECTION('center_axis',(0.,0.,-1.)); #380060=DIRECTION('ref_axis',(-1.,0.,0.)); #380061=DIRECTION('',(0.866025403784439,0.5,0.)); #380062=DIRECTION('',(0.50000000000004,-0.866025403784416,0.)); #380063=DIRECTION('',(-0.866025403784439,-0.5,0.)); #380064=DIRECTION('center_axis',(0.,0.,1.)); #380065=DIRECTION('ref_axis',(1.,0.,0.)); #380066=DIRECTION('center_axis',(0.,0.,-1.)); #380067=DIRECTION('ref_axis',(-1.,0.,0.)); #380068=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #380069=DIRECTION('',(-0.5,0.866025403784439,0.)); #380070=DIRECTION('center_axis',(0.,0.,1.)); #380071=DIRECTION('ref_axis',(1.,0.,0.)); #380072=DIRECTION('center_axis',(0.,0.,-1.)); #380073=DIRECTION('ref_axis',(-1.,0.,0.)); #380074=DIRECTION('',(0.866025403784438,-0.5,0.)); #380075=DIRECTION('',(-0.50000000000086,-0.866025403783942,0.)); #380076=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #380077=DIRECTION('center_axis',(0.,0.,1.)); #380078=DIRECTION('ref_axis',(1.,0.,0.)); #380079=DIRECTION('center_axis',(0.,0.,-1.)); #380080=DIRECTION('ref_axis',(-1.,0.,0.)); #380081=DIRECTION('',(0.866025403784438,-0.5,0.)); #380082=DIRECTION('center_axis',(0.,0.,1.)); #380083=DIRECTION('ref_axis',(1.,0.,0.)); #380084=DIRECTION('',(-0.5,0.866025403784439,0.)); #380085=DIRECTION('center_axis',(0.,0.,1.)); #380086=DIRECTION('ref_axis',(1.,0.,0.)); #380087=DIRECTION('',(0.866025403784439,0.5,0.)); #380088=DIRECTION('center_axis',(0.,0.,-1.)); #380089=DIRECTION('ref_axis',(1.,0.,0.)); #380090=DIRECTION('center_axis',(0.,0.,1.)); #380091=DIRECTION('ref_axis',(1.,0.,0.)); #380092=DIRECTION('',(-0.866025403784439,-0.5,0.)); #380093=DIRECTION('center_axis',(0.,0.,-1.)); #380094=DIRECTION('ref_axis',(1.,0.,0.)); #380095=DIRECTION('center_axis',(0.,0.,-1.)); #380096=DIRECTION('ref_axis',(1.,0.,0.)); #380097=DIRECTION('center_axis',(0.866025403784416,0.50000000000004,0.)); #380098=DIRECTION('ref_axis',(0.50000000000004,-0.866025403784416,0.)); #380099=DIRECTION('',(0.,0.,-1.)); #380100=DIRECTION('',(0.50000000000004,-0.866025403784416,0.)); #380101=DIRECTION('',(0.,0.,-1.)); #380102=DIRECTION('center_axis',(0.,0.,1.)); #380103=DIRECTION('ref_axis',(1.,0.,0.)); #380104=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #380105=DIRECTION('center_axis',(0.,0.,-1.)); #380106=DIRECTION('ref_axis',(1.,0.,0.)); #380107=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #380108=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #380109=DIRECTION('',(0.,0.,1.)); #380110=DIRECTION('',(0.,0.,-1.)); #380111=DIRECTION('',(-0.5,0.866025403784439,0.)); #380112=DIRECTION('',(0.,0.,-1.)); #380113=DIRECTION('',(0.,0.,-1.)); #380114=DIRECTION('',(0.5,-0.866025403784439,0.)); #380115=DIRECTION('center_axis',(-1.,2.77555756156289E-16,-1.58603289232165E-16)); #380116=DIRECTION('ref_axis',(-1.58603289232165E-16,6.26080083508734E-32, 1.)); #380117=DIRECTION('',(-1.58603289232165E-16,6.26080083508734E-32,1.)); #380118=DIRECTION('',(-2.77555756156289E-16,-1.,0.)); #380119=DIRECTION('',(-1.58603289232165E-16,6.26080083508734E-32,1.)); #380120=DIRECTION('',(2.77555756156289E-16,1.,1.54074395550979E-32)); #380121=DIRECTION('center_axis',(1.,-2.77555756156289E-16,7.93016446160826E-17)); #380122=DIRECTION('ref_axis',(7.93016446160826E-17,-3.13040041754367E-32, -1.)); #380123=DIRECTION('',(7.93016446160826E-17,-3.13040041754367E-32,-1.)); #380124=DIRECTION('',(-2.77555756156289E-16,-1.,-1.54074395550979E-32)); #380125=DIRECTION('',(7.93016446160826E-17,-3.13040041754367E-32,-1.)); #380126=DIRECTION('',(2.77555756156289E-16,1.,0.)); #380127=DIRECTION('center_axis',(2.77555756156289E-16,1.,0.)); #380128=DIRECTION('ref_axis',(0.,0.,1.)); #380129=DIRECTION('',(1.,-2.77555756156289E-16,5.55111512312579E-17)); #380130=DIRECTION('',(-1.,2.77555756156289E-16,0.)); #380131=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #380132=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380133=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #380134=DIRECTION('',(0.,0.,1.)); #380135=DIRECTION('',(-1.,3.94745964311164E-16,4.20389539297445E-45)); #380136=DIRECTION('',(0.,0.,-1.)); #380137=DIRECTION('',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #380138=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #380139=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380140=DIRECTION('',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #380141=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #380142=DIRECTION('',(-1.,3.94745964311164E-16,1.75302423382431E-30)); #380143=DIRECTION('',(0.,0.,1.)); #380144=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #380145=DIRECTION('center_axis',(5.55111512312579E-17,0.,-1.)); #380146=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #380147=DIRECTION('',(0.,1.,0.)); #380148=DIRECTION('',(-1.,3.94745964311164E-16,-5.55111512312579E-17)); #380149=DIRECTION('',(0.,1.,0.)); #380150=DIRECTION('center_axis',(0.707106781186547,-1.30390984949063E-17, -0.707106781186548)); #380151=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #380152=DIRECTION('center_axis',(0.707106781186547,-1.30390984949063E-17, -0.707106781186548)); #380153=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #380154=DIRECTION('',(1.84400699326152E-17,1.,0.)); #380155=DIRECTION('center_axis',(0.707106781186547,-1.30390984949063E-17, -0.707106781186548)); #380156=DIRECTION('ref_axis',(0.707106781186548,-5.70942712248646E-17,0.707106781186547)); #380157=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #380158=DIRECTION('center_axis',(0.,0.,-1.)); #380159=DIRECTION('ref_axis',(0.,1.,0.)); #380160=DIRECTION('center_axis',(0.,0.,-1.)); #380161=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #380162=DIRECTION('',(-5.91680826579558E-16,-3.41607084500047E-16,-1.)); #380163=DIRECTION('center_axis',(0.,0.,-1.)); #380164=DIRECTION('ref_axis',(-5.22457893941253E-16,1.,0.)); #380165=DIRECTION('',(0.,0.,-1.)); #380166=DIRECTION('center_axis',(0.,0.,-1.)); #380167=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #380168=DIRECTION('',(0.,0.,-1.)); #380169=DIRECTION('center_axis',(0.,0.,1.)); #380170=DIRECTION('ref_axis',(1.,0.,0.)); #380171=DIRECTION('center_axis',(0.,0.,1.)); #380172=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380173=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #380174=DIRECTION('',(-0.86602540378444,-0.499999999999998,0.)); #380175=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #380176=DIRECTION('center_axis',(0.353553390593275,-0.612372435695791,0.70710678118655)); #380177=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499958)); #380178=DIRECTION('center_axis',(0.353553390593275,-0.612372435695791,0.70710678118655)); #380179=DIRECTION('ref_axis',(0.353553390593277,-0.612372435695795,-0.707106781186545)); #380180=DIRECTION('',(-0.866025403784439,-0.5,4.44089209850028E-15)); #380181=DIRECTION('center_axis',(0.353553390593275,-0.612372435695791,0.70710678118655)); #380182=DIRECTION('ref_axis',(-0.353553390593278,0.612372435695795,0.707106781186545)); #380183=DIRECTION('',(0.866025403784439,0.5,-4.44089209850028E-15)); #380184=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #380185=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380186=DIRECTION('',(0.,0.,1.)); #380187=DIRECTION('',(-0.866025403784439,-0.5,0.)); #380188=DIRECTION('',(0.,0.,1.)); #380189=DIRECTION('',(0.866025403784439,0.5,0.)); #380190=DIRECTION('',(0.,0.,1.)); #380191=DIRECTION('center_axis',(-0.353553390593267,-0.612372435695795, 0.707106781186551)); #380192=DIRECTION('ref_axis',(0.89442719099992,0.,0.44721359549995)); #380193=DIRECTION('',(-0.353553390593275,-0.612372435695797,-0.707106781186545)); #380194=DIRECTION('',(-0.866025403784445,0.499999999999989,-4.44089209850017E-15)); #380195=DIRECTION('',(-0.353553390593275,-0.612372435695797,-0.707106781186545)); #380196=DIRECTION('',(0.866025403784445,-0.499999999999989,4.44089209850017E-15)); #380197=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #380198=DIRECTION('ref_axis',(0.,-1.,8.88178419700055E-15)); #380199=DIRECTION('',(0.5,0.866025403784439,-7.69185074553365E-15)); #380200=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #380201=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380202=DIRECTION('',(-1.34848017986487E-30,-1.,8.88178419700055E-15)); #380203=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #380204=DIRECTION('center_axis',(0.,0.,-1.)); #380205=DIRECTION('ref_axis',(-1.21906841919625E-15,-1.,0.)); #380206=DIRECTION('',(0.5,0.866025403784439,0.)); #380207=DIRECTION('center_axis',(0.353553390593273,0.612372435695795,-0.707106781186548)); #380208=DIRECTION('ref_axis',(-0.894427190999916,0.,-0.447213595499957)); #380209=DIRECTION('',(0.353553390593273,0.612372435695795,0.707106781186548)); #380210=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #380211=DIRECTION('',(0.353553390593274,0.612372435695794,0.707106781186548)); #380212=DIRECTION('',(0.866025403784439,-0.499999999999999,0.)); #380213=DIRECTION('center_axis',(0.,0.,1.)); #380214=DIRECTION('ref_axis',(1.,0.,0.)); #380215=DIRECTION('',(-0.5,-0.866025403784439,0.)); #380216=DIRECTION('center_axis',(0.,0.,1.)); #380217=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380218=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #380219=DIRECTION('center_axis',(2.59978587333891E-15,-4.50296122142282E-15, 1.)); #380220=DIRECTION('ref_axis',(-7.83686840911876E-16,1.,4.17966315153E-15)); #380221=DIRECTION('',(-0.5,-0.866025403784439,0.)); #380222=DIRECTION('center_axis',(-0.707106781186548,-1.30390984949064E-17, 0.707106781186547)); #380223=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #380224=DIRECTION('center_axis',(-0.707106781186548,-1.30390984949064E-17, 0.707106781186547)); #380225=DIRECTION('ref_axis',(-0.707106781186547,-1.23144506563433E-16, -0.707106781186548)); #380226=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #380227=DIRECTION('center_axis',(-0.707106781186548,-1.30390984949064E-17, 0.707106781186547)); #380228=DIRECTION('ref_axis',(0.707106781186547,5.70942712248645E-17,0.707106781186548)); #380229=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #380230=DIRECTION('center_axis',(1.,1.84400699326152E-17,0.)); #380231=DIRECTION('ref_axis',(1.84400699326152E-17,-1.,0.)); #380232=DIRECTION('',(0.,0.,1.)); #380233=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #380234=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #380235=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #380236=DIRECTION('',(0.,0.,-1.)); #380237=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #380238=DIRECTION('',(0.,0.,1.)); #380239=DIRECTION('center_axis',(-0.35355339059328,0.612372435695791,0.707106781186548)); #380240=DIRECTION('ref_axis',(0.894427190999913,0.,0.447213595499965)); #380241=DIRECTION('',(-0.353553390593273,0.612372435695795,-0.707106781186548)); #380242=DIRECTION('',(0.866025403784433,0.500000000000009,0.)); #380243=DIRECTION('',(-0.353553390593273,0.612372435695795,-0.707106781186548)); #380244=DIRECTION('',(-0.866025403784433,-0.500000000000009,0.)); #380245=DIRECTION('center_axis',(0.,0.,-1.)); #380246=DIRECTION('ref_axis',(-1.,0.,0.)); #380247=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #380248=DIRECTION('center_axis',(0.,0.,-1.)); #380249=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380250=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #380251=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #380252=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695796, -0.707106781186546)); #380253=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499958)); #380254=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695796, -0.707106781186546)); #380255=DIRECTION('ref_axis',(-0.353553390593272,-0.612372435695794,0.707106781186549)); #380256=DIRECTION('',(0.866025403784438,-0.500000000000001,2.22044604925015E-15)); #380257=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695796, -0.707106781186546)); #380258=DIRECTION('ref_axis',(-0.353553390593272,-0.612372435695794,0.707106781186548)); #380259=DIRECTION('',(-0.866025403784438,0.500000000000001,-2.22044604925015E-15)); #380260=DIRECTION('center_axis',(0.500000000000001,0.866025403784438,0.)); #380261=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380262=DIRECTION('',(0.,0.,-1.)); #380263=DIRECTION('',(0.866025403784438,-0.500000000000001,0.)); #380264=DIRECTION('',(0.866025403784438,-0.500000000000001,0.)); #380265=DIRECTION('',(0.,0.,1.)); #380266=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #380267=DIRECTION('',(0.,0.,-1.)); #380268=DIRECTION('center_axis',(0.353553390593272,-0.612372435695797,-0.707106781186546)); #380269=DIRECTION('ref_axis',(-0.894427190999917,0.,-0.447213595499956)); #380270=DIRECTION('',(0.353553390593272,-0.612372435695794,0.707106781186549)); #380271=DIRECTION('',(0.86602540378444,0.499999999999998,-2.22044604925014E-15)); #380272=DIRECTION('',(0.353553390593273,-0.612372435695794,0.707106781186549)); #380273=DIRECTION('',(-0.86602540378444,-0.499999999999998,2.22044604925014E-15)); #380274=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #380275=DIRECTION('ref_axis',(0.,-1.,4.4408920985003E-15)); #380276=DIRECTION('',(-0.499999999999999,0.866025403784439,-3.84592537276684E-15)); #380277=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #380278=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380279=DIRECTION('',(1.,-3.94745964311164E-16,-2.45227231256843E-45)); #380280=DIRECTION('',(0.,-1.,4.4408920985003E-15)); #380281=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380282=DIRECTION('center_axis',(4.02188274276302E-16,-6.96610525255002E-16, 1.)); #380283=DIRECTION('ref_axis',(-8.70763156568752E-16,-1.,-6.96610525255002E-16)); #380284=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #380285=DIRECTION('center_axis',(0.,0.,1.)); #380286=DIRECTION('ref_axis',(1.,0.,0.)); #380287=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #380288=DIRECTION('center_axis',(0.,0.,1.)); #380289=DIRECTION('ref_axis',(1.,0.,0.)); #380290=DIRECTION('',(1.84400699326152E-17,1.,0.)); #380291=DIRECTION('center_axis',(0.,0.,-1.)); #380292=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380293=DIRECTION('',(0.,0.,1.)); #380294=DIRECTION('',(0.,0.,1.)); #380295=DIRECTION('center_axis',(0.,0.,-1.)); #380296=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380297=DIRECTION('center_axis',(0.,0.,-1.)); #380298=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380299=DIRECTION('',(0.,0.,-1.)); #380300=DIRECTION('center_axis',(0.,0.,-1.)); #380301=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380302=DIRECTION('',(0.,0.,1.)); #380303=DIRECTION('center_axis',(0.,0.,-1.)); #380304=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380305=DIRECTION('center_axis',(0.,0.,1.)); #380306=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380307=DIRECTION('',(0.,0.,1.)); #380308=DIRECTION('center_axis',(-0.499999999999998,0.86602540378444,0.)); #380309=DIRECTION('ref_axis',(-0.86602540378444,-0.499999999999998,0.)); #380310=DIRECTION('',(0.,0.,1.)); #380311=DIRECTION('',(0.,0.,-1.)); #380312=DIRECTION('center_axis',(0.500000000000009,-0.866025403784433,0.)); #380313=DIRECTION('ref_axis',(0.866025403784433,0.500000000000009,0.)); #380314=DIRECTION('',(0.,0.,1.)); #380315=DIRECTION('',(-0.866025403784433,-0.500000000000009,2.22044604925018E-15)); #380316=DIRECTION('',(0.,0.,1.)); #380317=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #380318=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #380319=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #380320=DIRECTION('',(0.,0.,-1.)); #380321=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #380322=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #380323=DIRECTION('',(0.499999999999999,-0.866025403784439,3.84592537276683E-15)); #380324=DIRECTION('',(0.,0.,-1.)); #380325=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #380326=DIRECTION('center_axis',(-1.75302423382432E-30,-4.44089209850028E-15, -1.)); #380327=DIRECTION('ref_axis',(0.,1.,-4.44089209850028E-15)); #380328=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #380329=DIRECTION('center_axis',(4.02188274276302E-16,-6.96610525255002E-16, 1.)); #380330=DIRECTION('ref_axis',(-5.22457893941251E-16,-1.,-6.96610525255001E-16)); #380331=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380332=DIRECTION('',(0.,1.,-4.44089209850028E-15)); #380333=DIRECTION('',(-1.,3.94745964311164E-16,2.10194769648723E-45)); #380334=DIRECTION('center_axis',(-1.75302423382432E-30,-4.44089209850028E-15, -1.)); #380335=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380336=DIRECTION('center_axis',(-0.866025403784439,-0.499999999999999, -6.83214169000097E-16)); #380337=DIRECTION('ref_axis',(-5.91680826579558E-16,-3.41607084500048E-16, 1.)); #380338=DIRECTION('',(-5.91680826579558E-16,-3.41607084500048E-16,1.)); #380339=DIRECTION('center_axis',(0.,0.,1.)); #380340=DIRECTION('ref_axis',(-8.7076315656875E-16,-1.,0.)); #380341=DIRECTION('',(0.,6.83214169000096E-16,1.)); #380342=DIRECTION('center_axis',(3.94745964311164E-16,1.,-6.83214169000096E-16)); #380343=DIRECTION('ref_axis',(0.,6.83214169000096E-16,1.)); #380344=DIRECTION('',(0.,6.83214169000096E-16,1.)); #380345=DIRECTION('center_axis',(0.,0.,-1.)); #380346=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380347=DIRECTION('',(0.,0.,1.)); #380348=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #380349=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380350=DIRECTION('center_axis',(0.,0.,-1.)); #380351=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380352=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #380353=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380354=DIRECTION('',(0.,0.,-1.)); #380355=DIRECTION('',(1.,-3.94745964311164E-16,-2.45227231256843E-45)); #380356=DIRECTION('center_axis',(0.,0.,-1.)); #380357=DIRECTION('ref_axis',(-1.,0.,0.)); #380358=DIRECTION('center_axis',(0.,0.,1.)); #380359=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #380360=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #380361=DIRECTION('center_axis',(0.,0.,-1.)); #380362=DIRECTION('ref_axis',(1.,0.,0.)); #380363=DIRECTION('center_axis',(0.,0.,-1.)); #380364=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380365=DIRECTION('',(0.,0.,-1.)); #380366=DIRECTION('',(0.,0.,1.)); #380367=DIRECTION('center_axis',(0.,0.,1.)); #380368=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380369=DIRECTION('center_axis',(-0.499999999999999,-0.866025403784439, 0.)); #380370=DIRECTION('ref_axis',(-0.866025403784439,0.499999999999999,0.)); #380371=DIRECTION('',(0.,0.,-1.)); #380372=DIRECTION('',(0.866025403784439,-0.499999999999999,2.22044604925014E-15)); #380373=DIRECTION('',(0.,0.,-1.)); #380374=DIRECTION('center_axis',(0.499999999999989,0.866025403784445,0.)); #380375=DIRECTION('ref_axis',(0.866025403784445,-0.499999999999989,0.)); #380376=DIRECTION('',(0.,0.,-1.)); #380377=DIRECTION('',(0.866025403784445,-0.499999999999989,0.)); #380378=DIRECTION('',(0.,0.,1.)); #380379=DIRECTION('center_axis',(0.866025403784439,-0.5,6.83214169000096E-16)); #380380=DIRECTION('ref_axis',(-5.91680826579558E-16,3.41607084500048E-16, 1.)); #380381=DIRECTION('',(-5.91680826579558E-16,3.41607084500048E-16,-1.)); #380382=DIRECTION('',(0.5,0.866025403784439,0.)); #380383=DIRECTION('',(-5.91680826579558E-16,3.41607084500048E-16,1.)); #380384=DIRECTION('',(-0.5,-0.866025403784439,0.)); #380385=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #380386=DIRECTION('ref_axis',(0.5,0.866025403784439,0.)); #380387=DIRECTION('',(-0.5,-0.866025403784439,3.84592537276684E-15)); #380388=DIRECTION('',(0.,0.,1.)); #380389=DIRECTION('',(-0.5,-0.866025403784439,0.)); #380390=DIRECTION('center_axis',(2.62953635073649E-30,4.4408920985003E-15, 1.)); #380391=DIRECTION('ref_axis',(0.,1.,-4.4408920985003E-15)); #380392=DIRECTION('center_axis',(0.,0.,-1.)); #380393=DIRECTION('ref_axis',(-1.0449157878825E-15,-1.,0.)); #380394=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #380395=DIRECTION('',(6.74240089932439E-31,1.,-4.4408920985003E-15)); #380396=DIRECTION('',(1.,-3.94745964311164E-16,-8.76512116912161E-31)); #380397=DIRECTION('center_axis',(2.62953635073649E-30,4.4408920985003E-15, 1.)); #380398=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380399=DIRECTION('center_axis',(0.,0.,1.)); #380400=DIRECTION('ref_axis',(-1.7415263131375E-16,1.,0.)); #380401=DIRECTION('center_axis',(2.59978587333891E-15,-4.50296122142282E-15, 1.)); #380402=DIRECTION('ref_axis',(-5.22457893941251E-16,1.,4.17966315153001E-15)); #380403=DIRECTION('',(0.,0.,1.)); #380404=DIRECTION('center_axis',(0.,0.,-1.)); #380405=DIRECTION('ref_axis',(-1.,0.,0.)); #380406=DIRECTION('',(0.5,0.866025403784439,0.)); #380407=DIRECTION('center_axis',(0.,0.,-1.)); #380408=DIRECTION('ref_axis',(-5.2245789394125E-16,-1.,0.)); #380409=DIRECTION('',(0.,-6.83214169000096E-16,-1.)); #380410=DIRECTION('center_axis',(5.92118946466745E-16,1.,-6.83214169000096E-16)); #380411=DIRECTION('ref_axis',(0.,-6.83214169000096E-16,-1.)); #380412=DIRECTION('',(1.5182537088891E-16,-6.83214169000097E-16,-1.)); #380413=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #380414=DIRECTION('ref_axis',(0.5,0.866025403784439,0.)); #380415=DIRECTION('',(0.5,0.866025403784439,0.)); #380416=DIRECTION('',(0.,0.,1.)); #380417=DIRECTION('center_axis',(0.,0.,-1.)); #380418=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380419=DIRECTION('',(0.,0.,1.)); #380420=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #380421=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380422=DIRECTION('center_axis',(0.,0.,1.)); #380423=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380424=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #380425=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380426=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #380427=DIRECTION('',(-1.,3.94745964311164E-16,1.75302423382431E-30)); #380428=DIRECTION('center_axis',(0.,0.,-1.)); #380429=DIRECTION('ref_axis',(-1.,0.,0.)); #380430=DIRECTION('center_axis',(0.,0.,1.)); #380431=DIRECTION('ref_axis',(-0.866025403784438,0.5,0.)); #380432=DIRECTION('',(0.866025403784439,0.5,0.)); #380433=DIRECTION('center_axis',(0.,0.,-1.)); #380434=DIRECTION('ref_axis',(1.,0.,0.)); #380435=DIRECTION('center_axis',(0.,0.,-1.)); #380436=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380437=DIRECTION('',(0.,0.,-1.)); #380438=DIRECTION('center_axis',(5.25907270147298E-30,8.88178419700059E-15, 1.)); #380439=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380440=DIRECTION('',(0.,0.,1.)); #380441=DIRECTION('center_axis',(0.,0.,1.)); #380442=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380443=DIRECTION('center_axis',(5.25907270147298E-30,8.88178419700059E-15, 1.)); #380444=DIRECTION('ref_axis',(0.,1.,-8.88178419700059E-15)); #380445=DIRECTION('',(0.866025403784439,0.5,-4.4408920985003E-15)); #380446=DIRECTION('center_axis',(0.,0.,-1.)); #380447=DIRECTION('ref_axis',(-1.74152631313751E-16,-1.,0.)); #380448=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #380449=DIRECTION('',(1.34848017986488E-30,1.,-8.88178419700059E-15)); #380450=DIRECTION('',(1.,-3.94745964311164E-16,-1.75302423382432E-30)); #380451=DIRECTION('center_axis',(0.,0.,-1.)); #380452=DIRECTION('ref_axis',(-5.2245789394125E-16,-1.,0.)); #380453=DIRECTION('center_axis',(0.,0.,-1.)); #380454=DIRECTION('ref_axis',(3.48305262627502E-16,-1.,0.)); #380455=DIRECTION('',(0.,-6.83214169000097E-16,-1.)); #380456=DIRECTION('',(0.,0.,1.)); #380457=DIRECTION('center_axis',(-5.25907270147295E-30,-8.88178419700055E-15, -1.)); #380458=DIRECTION('ref_axis',(0.,-1.,8.88178419700055E-15)); #380459=DIRECTION('',(-1.34848017986487E-30,-1.,8.88178419700055E-15)); #380460=DIRECTION('',(-1.,5.92118946466745E-16,0.)); #380461=DIRECTION('center_axis',(5.92118946466745E-16,1.,-6.83214169000097E-16)); #380462=DIRECTION('ref_axis',(0.,-6.83214169000097E-16,-1.)); #380463=DIRECTION('',(1.5182537088891E-16,-6.83214169000097E-16,-1.)); #380464=DIRECTION('center_axis',(0.,0.,-1.)); #380465=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380466=DIRECTION('center_axis',(0.,0.,-1.)); #380467=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380468=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #380469=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380470=DIRECTION('',(0.,0.,1.)); #380471=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380472=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #380473=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380474=DIRECTION('',(0.,0.,-1.)); #380475=DIRECTION('center_axis',(0.,0.,1.)); #380476=DIRECTION('ref_axis',(1.,0.,0.)); #380477=DIRECTION('center_axis',(0.,0.,-1.)); #380478=DIRECTION('ref_axis',(-8.7076315656875E-16,-1.,0.)); #380479=DIRECTION('',(-0.866025403784438,0.5,0.)); #380480=DIRECTION('center_axis',(0.,0.,1.)); #380481=DIRECTION('ref_axis',(1.,0.,0.)); #380482=DIRECTION('center_axis',(0.,0.,-1.)); #380483=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380484=DIRECTION('',(0.,0.,1.)); #380485=DIRECTION('center_axis',(-3.50604846764864E-30,-8.88178419700055E-15, -1.)); #380486=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380487=DIRECTION('center_axis',(0.,0.,-1.)); #380488=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380489=DIRECTION('center_axis',(-3.50604846764864E-30,-8.88178419700055E-15, -1.)); #380490=DIRECTION('ref_axis',(0.,1.,-8.88178419700055E-15)); #380491=DIRECTION('',(-0.866025403784438,0.5,-4.44089209850028E-15)); #380492=DIRECTION('center_axis',(-1.73572860496985E-16,6.45291843107677E-16, 1.)); #380493=DIRECTION('ref_axis',(-3.483052626275E-16,-1.,6.96610525255001E-16)); #380494=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380495=DIRECTION('',(0.,1.,-8.88178419700055E-15)); #380496=DIRECTION('center_axis',(0.,0.,1.)); #380497=DIRECTION('ref_axis',(-8.7076315656875E-16,-1.,0.)); #380498=DIRECTION('center_axis',(-1.73572860496985E-16,6.45291843107678E-16, 1.)); #380499=DIRECTION('ref_axis',(0.,-1.,6.96610525255001E-16)); #380500=DIRECTION('',(0.,6.83214169000096E-16,1.)); #380501=DIRECTION('',(0.,0.,-1.)); #380502=DIRECTION('center_axis',(1.75302423382433E-30,4.4408920985003E-15, 1.)); #380503=DIRECTION('ref_axis',(0.,-1.,4.4408920985003E-15)); #380504=DIRECTION('',(0.,-1.,4.4408920985003E-15)); #380505=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380506=DIRECTION('center_axis',(3.94745964311164E-16,1.,-6.83214169000096E-16)); #380507=DIRECTION('ref_axis',(0.,6.83214169000096E-16,1.)); #380508=DIRECTION('',(0.,6.83214169000096E-16,1.)); #380509=DIRECTION('center_axis',(0.,0.,-1.)); #380510=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380511=DIRECTION('center_axis',(0.,0.,1.)); #380512=DIRECTION('ref_axis',(-1.13040889780016E-15,-1.,0.)); #380513=DIRECTION('center_axis',(-1.,0.,-1.5182537088891E-16)); #380514=DIRECTION('ref_axis',(-1.5182537088891E-16,5.9932452438443E-32, 1.)); #380515=DIRECTION('',(-1.5182537088891E-16,5.9932452438443E-32,1.)); #380516=DIRECTION('',(0.,1.,0.)); #380517=DIRECTION('center_axis',(1.,0.,0.)); #380518=DIRECTION('ref_axis',(0.,0.,-1.)); #380519=DIRECTION('',(0.,1.,0.)); #380520=DIRECTION('',(0.,0.,-1.)); #380521=DIRECTION('center_axis',(0.,0.,1.)); #380522=DIRECTION('ref_axis',(1.,-3.94745964311164E-16,0.)); #380523=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380524=DIRECTION('center_axis',(0.,1.,0.)); #380525=DIRECTION('ref_axis',(0.,0.,1.)); #380526=DIRECTION('center_axis',(0.,0.,-1.)); #380527=DIRECTION('ref_axis',(-1.,0.,0.)); #380528=DIRECTION('center_axis',(0.,0.,-1.)); #380529=DIRECTION('ref_axis',(1.,0.,0.)); #380530=DIRECTION('',(-0.866025403784438,0.500000000000001,0.)); #380531=DIRECTION('center_axis',(0.,0.,-1.)); #380532=DIRECTION('ref_axis',(1.,0.,0.)); #380533=DIRECTION('center_axis',(-1.,1.84400699326152E-17,0.)); #380534=DIRECTION('ref_axis',(1.84400699326152E-17,1.,0.)); #380535=DIRECTION('',(1.84400699326152E-17,1.,0.)); #380536=DIRECTION('',(0.,0.,1.)); #380537=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #380538=DIRECTION('center_axis',(-0.5,-0.866025403784438,0.)); #380539=DIRECTION('ref_axis',(-0.866025403784438,0.5,0.)); #380540=DIRECTION('',(0.866025403784438,-0.5,0.)); #380541=DIRECTION('',(0.,0.,1.)); #380542=DIRECTION('',(-0.866025403784438,0.5,0.)); #380543=DIRECTION('',(0.,0.,-1.)); #380544=DIRECTION('',(0.,0.,1.)); #380545=DIRECTION('center_axis',(0.866025403783942,-0.50000000000086,0.)); #380546=DIRECTION('ref_axis',(-0.50000000000086,-0.866025403783942,0.)); #380547=DIRECTION('',(-0.50000000000086,-0.866025403783942,0.)); #380548=DIRECTION('',(0.,0.,-1.)); #380549=DIRECTION('center_axis',(0.500000000000001,0.866025403784438,0.)); #380550=DIRECTION('ref_axis',(0.866025403784438,-0.500000000000001,0.)); #380551=DIRECTION('',(0.,0.,1.)); #380552=DIRECTION('',(0.866025403784438,-0.500000000000001,0.)); #380553=DIRECTION('center_axis',(0.5,-0.866025403784439,0.)); #380554=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #380555=DIRECTION('',(-0.866025403784439,-0.5,0.)); #380556=DIRECTION('',(0.,0.,1.)); #380557=DIRECTION('center_axis',(0.,0.,1.)); #380558=DIRECTION('ref_axis',(1.,0.,0.)); #380559=DIRECTION('center_axis',(0.,0.,-1.)); #380560=DIRECTION('ref_axis',(1.,0.,0.)); #380561=DIRECTION('center_axis',(0.,0.,1.)); #380562=DIRECTION('ref_axis',(1.,0.,0.)); #380563=DIRECTION('center_axis',(0.,0.,-1.)); #380564=DIRECTION('ref_axis',(1.,0.,0.)); #380565=DIRECTION('center_axis',(0.,0.,-1.)); #380566=DIRECTION('ref_axis',(1.,0.,0.)); #380567=DIRECTION('center_axis',(0.,0.,1.)); #380568=DIRECTION('ref_axis',(1.,0.,0.)); #380569=DIRECTION('center_axis',(0.,0.,1.)); #380570=DIRECTION('ref_axis',(1.,0.,0.)); #380571=DIRECTION('',(0.866025403784439,0.5,0.)); #380572=DIRECTION('',(1.84400699326152E-17,1.,0.)); #380573=DIRECTION('center_axis',(0.,0.,1.)); #380574=DIRECTION('ref_axis',(1.,0.,0.)); #380575=DIRECTION('',(-0.5,-0.866025403784438,0.)); #380576=DIRECTION('center_axis',(-0.866025403784939,-0.499999999999133, 0.)); #380577=DIRECTION('ref_axis',(0.499999999999133,-0.866025403784939,0.)); #380578=DIRECTION('',(-0.499999999999133,0.866025403784939,0.)); #380579=DIRECTION('',(0.,0.,1.)); #380580=DIRECTION('',(0.499999999999133,-0.866025403784939,0.)); #380581=DIRECTION('',(0.,0.,1.)); #380582=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #380583=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #380584=DIRECTION('',(0.866025403784439,0.5,0.)); #380585=DIRECTION('',(-0.866025403784439,-0.5,0.)); #380586=DIRECTION('',(0.,0.,1.)); #380587=DIRECTION('center_axis',(-1.,1.84400699326152E-17,0.)); #380588=DIRECTION('ref_axis',(-1.84400699326152E-17,-1.,0.)); #380589=DIRECTION('',(1.84400699326152E-17,1.,0.)); #380590=DIRECTION('',(-1.84400699326152E-17,-1.,0.)); #380591=DIRECTION('center_axis',(1.,1.84400699326152E-17,0.)); #380592=DIRECTION('ref_axis',(-1.84400699326152E-17,1.,0.)); #380593=DIRECTION('',(-1.84400699326152E-17,1.,0.)); #380594=DIRECTION('',(0.,0.,1.)); #380595=DIRECTION('',(1.84400699326152E-17,-1.,0.)); #380596=DIRECTION('center_axis',(0.866025403784438,-0.5,0.)); #380597=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #380598=DIRECTION('',(-0.5,-0.866025403784438,0.)); #380599=DIRECTION('',(0.5,0.866025403784438,0.)); #380600=DIRECTION('',(0.,0.,1.)); #380601=DIRECTION('',(-0.5,-0.866025403784438,0.)); #380602=DIRECTION('center_axis',(0.5,-0.866025403784439,0.)); #380603=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380604=DIRECTION('',(-0.866025403784439,-0.5,0.)); #380605=DIRECTION('',(0.866025403784439,0.5,0.)); #380606=DIRECTION('center_axis',(-0.866025403784438,0.500000000000001,0.)); #380607=DIRECTION('ref_axis',(-0.500000000000001,-0.866025403784438,0.)); #380608=DIRECTION('',(0.500000000000001,0.866025403784438,0.)); #380609=DIRECTION('',(0.,0.,1.)); #380610=DIRECTION('',(-0.500000000000001,-0.866025403784438,0.)); #380611=DIRECTION('center_axis',(-0.5,-0.866025403784438,0.)); #380612=DIRECTION('ref_axis',(0.866025403784438,-0.5,0.)); #380613=DIRECTION('',(0.866025403784438,-0.5,0.)); #380614=DIRECTION('',(-0.866025403784438,0.5,0.)); #380615=DIRECTION('center_axis',(0.,0.,1.)); #380616=DIRECTION('ref_axis',(1.,0.,0.)); #380617=DIRECTION('center_axis',(0.,0.,1.)); #380618=DIRECTION('ref_axis',(1.,0.,0.)); #380619=DIRECTION('center_axis',(0.,0.,1.)); #380620=DIRECTION('ref_axis',(1.,0.,0.)); #380621=DIRECTION('center_axis',(0.,0.,-1.)); #380622=DIRECTION('ref_axis',(1.,0.,0.)); #380623=DIRECTION('center_axis',(0.,0.,-1.)); #380624=DIRECTION('ref_axis',(1.,0.,0.)); #380625=DIRECTION('center_axis',(0.,0.,1.)); #380626=DIRECTION('ref_axis',(1.,0.,0.)); #380627=DIRECTION('',(0.,0.,-1.)); #380628=DIRECTION('center_axis',(0.,0.,1.)); #380629=DIRECTION('ref_axis',(1.,0.,0.)); #380630=DIRECTION('center_axis',(0.,0.,1.)); #380631=DIRECTION('ref_axis',(1.,0.,0.)); #380632=DIRECTION('center_axis',(0.,0.,1.)); #380633=DIRECTION('ref_axis',(1.,0.,0.)); #380634=DIRECTION('center_axis',(0.,0.,1.)); #380635=DIRECTION('ref_axis',(1.,0.,0.)); #380636=DIRECTION('center_axis',(0.,0.,1.)); #380637=DIRECTION('ref_axis',(1.,0.,0.)); #380638=DIRECTION('center_axis',(0.,0.,1.)); #380639=DIRECTION('ref_axis',(1.,0.,0.)); #380640=DIRECTION('center_axis',(0.,0.,1.)); #380641=DIRECTION('ref_axis',(1.,0.,0.)); #380642=DIRECTION('center_axis',(0.,0.,1.)); #380643=DIRECTION('ref_axis',(1.,0.,0.)); #380644=DIRECTION('center_axis',(0.,0.,1.)); #380645=DIRECTION('ref_axis',(1.,0.,0.)); #380646=DIRECTION('',(0.,0.,-1.)); #380647=DIRECTION('center_axis',(0.,0.,1.)); #380648=DIRECTION('ref_axis',(1.,0.,0.)); #380649=DIRECTION('',(0.,0.,-1.)); #380650=DIRECTION('center_axis',(0.,0.,1.)); #380651=DIRECTION('ref_axis',(1.,0.,0.)); #380652=DIRECTION('center_axis',(0.,0.,1.)); #380653=DIRECTION('ref_axis',(1.,0.,0.)); #380654=DIRECTION('center_axis',(0.,0.,1.)); #380655=DIRECTION('ref_axis',(1.,0.,0.)); #380656=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #380657=DIRECTION('ref_axis',(0.,0.,1.)); #380658=DIRECTION('',(0.,0.,1.)); #380659=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #380660=DIRECTION('',(0.,0.,1.)); #380661=DIRECTION('',(0.577350269189626,0.577350269189627,0.577350269189624)); #380662=DIRECTION('center_axis',(0.,0.707106781186544,-0.707106781186551)); #380663=DIRECTION('ref_axis',(-1.,0.,0.)); #380664=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #380665=DIRECTION('',(-1.,0.,0.)); #380666=DIRECTION('',(-0.577350269189627,0.577350269189629,0.577350269189621)); #380667=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,1.07356749311711E-16)); #380668=DIRECTION('ref_axis',(1.5182537088891E-16,0.,-1.)); #380669=DIRECTION('',(1.5182537088891E-16,0.,-1.)); #380670=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #380671=DIRECTION('',(1.5182537088891E-16,-5.9932452438443E-32,-1.)); #380672=DIRECTION('center_axis',(3.94745964311164E-16,1.,0.)); #380673=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380674=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380675=DIRECTION('',(0.,0.,-1.)); #380676=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380677=DIRECTION('',(0.,0.,-1.)); #380678=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380679=DIRECTION('center_axis',(0.,0.,-1.)); #380680=DIRECTION('ref_axis',(-1.,0.,0.)); #380681=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #380682=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #380683=DIRECTION('',(-1.,0.,0.)); #380684=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #380685=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #380686=DIRECTION('center_axis',(0.,0.,-1.)); #380687=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380688=DIRECTION('',(0.5,0.866025403784438,0.)); #380689=DIRECTION('center_axis',(0.,0.,-1.)); #380690=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #380691=DIRECTION('',(0.5,-0.866025403784439,0.)); #380692=DIRECTION('center_axis',(0.,0.,-1.)); #380693=DIRECTION('ref_axis',(-9.03232290307977E-16,-1.,0.)); #380694=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380695=DIRECTION('center_axis',(0.,0.,1.)); #380696=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #380697=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #380698=DIRECTION('center_axis',(0.,0.,1.)); #380699=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380700=DIRECTION('',(-0.5,-0.866025403784438,0.)); #380701=DIRECTION('center_axis',(0.,0.,1.)); #380702=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380703=DIRECTION('center_axis',(0.,-1.,0.)); #380704=DIRECTION('ref_axis',(0.,0.,-1.)); #380705=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #380706=DIRECTION('ref_axis',(0.,0.,1.)); #380707=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #380708=DIRECTION('',(0.,0.,-1.)); #380709=DIRECTION('',(0.,0.,-1.)); #380710=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #380711=DIRECTION('ref_axis',(1.,-1.97372982155582E-16,0.)); #380712=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #380713=DIRECTION('',(0.,0.,-1.)); #380714=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #380715=DIRECTION('ref_axis',(0.,0.,-1.)); #380716=DIRECTION('',(0.,0.,1.)); #380717=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #380718=DIRECTION('',(0.,0.,-1.)); #380719=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #380720=DIRECTION('ref_axis',(1.,-1.97372982155582E-16,0.)); #380721=DIRECTION('',(0.,0.,1.)); #380722=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #380723=DIRECTION('center_axis',(0.,0.,1.)); #380724=DIRECTION('ref_axis',(1.,0.,0.)); #380725=DIRECTION('center_axis',(0.,0.,1.)); #380726=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380727=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #380728=DIRECTION('center_axis',(0.,0.,1.)); #380729=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #380730=DIRECTION('center_axis',(0.,0.,1.)); #380731=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380732=DIRECTION('',(-0.5,-0.866025403784438,0.)); #380733=DIRECTION('center_axis',(0.,0.,1.)); #380734=DIRECTION('ref_axis',(1.,0.,0.)); #380735=DIRECTION('',(1.,0.,0.)); #380736=DIRECTION('center_axis',(0.,0.,1.)); #380737=DIRECTION('ref_axis',(-9.03232290307977E-16,-1.,0.)); #380738=DIRECTION('',(-0.5,0.866025403784439,0.)); #380739=DIRECTION('center_axis',(0.,0.,1.)); #380740=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #380741=DIRECTION('',(-0.5,-0.866025403784438,0.)); #380742=DIRECTION('center_axis',(0.,0.,1.)); #380743=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380744=DIRECTION('center_axis',(0.,0.,1.)); #380745=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380746=DIRECTION('',(0.,0.,-1.)); #380747=DIRECTION('',(0.,0.,-1.)); #380748=DIRECTION('center_axis',(0.866025403784438,-0.5,0.)); #380749=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #380750=DIRECTION('',(0.,0.,1.)); #380751=DIRECTION('center_axis',(0.,0.,1.)); #380752=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380753=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #380754=DIRECTION('ref_axis',(-0.5,-0.866025403784438,0.)); #380755=DIRECTION('',(0.,0.,-1.)); #380756=DIRECTION('',(0.,0.,-1.)); #380757=DIRECTION('center_axis',(0.,0.,1.)); #380758=DIRECTION('ref_axis',(0.866025403784439,0.499999999999999,0.)); #380759=DIRECTION('',(0.,0.,-1.)); #380760=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #380761=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #380762=DIRECTION('',(0.,0.,-1.)); #380763=DIRECTION('center_axis',(0.,0.,1.)); #380764=DIRECTION('ref_axis',(-9.03232290307977E-16,-1.,0.)); #380765=DIRECTION('center_axis',(0.,0.,1.)); #380766=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #380767=DIRECTION('',(0.,0.,-1.)); #380768=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #380769=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #380770=DIRECTION('center_axis',(0.,-1.,0.)); #380771=DIRECTION('ref_axis',(1.,0.,0.)); #380772=DIRECTION('center_axis',(0.,0.,1.)); #380773=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380774=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #380775=DIRECTION('ref_axis',(0.,0.,-1.)); #380776=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #380777=DIRECTION('',(0.,0.,-1.)); #380778=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #380779=DIRECTION('',(0.,0.,-1.)); #380780=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #380781=DIRECTION('ref_axis',(-1.,1.97372982155582E-16,0.)); #380782=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #380783=DIRECTION('',(0.,0.,-1.)); #380784=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #380785=DIRECTION('center_axis',(0.,0.,-1.)); #380786=DIRECTION('ref_axis',(-1.,0.,0.)); #380787=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380788=DIRECTION('center_axis',(0.,0.,1.)); #380789=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #380790=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #380791=DIRECTION('center_axis',(0.,0.,1.)); #380792=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380793=DIRECTION('',(-0.5,-0.866025403784438,0.)); #380794=DIRECTION('center_axis',(0.,0.,1.)); #380795=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380796=DIRECTION('',(1.,-3.94745964311164E-16,0.)); #380797=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #380798=DIRECTION('',(1.,-1.97372982155582E-16,0.)); #380799=DIRECTION('',(2.77555756156289E-16,1.,0.)); #380800=DIRECTION('',(1.,0.,0.)); #380801=DIRECTION('',(-5.04646821855245E-16,-1.,0.)); #380802=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #380803=DIRECTION('ref_axis',(0.,0.,1.)); #380804=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #380805=DIRECTION('',(0.,0.,1.)); #380806=DIRECTION('',(0.,0.,-1.)); #380807=DIRECTION('center_axis',(-1.97372982155582E-16,-1.,0.)); #380808=DIRECTION('ref_axis',(-1.,1.97372982155582E-16,0.)); #380809=DIRECTION('',(-1.,1.97372982155582E-16,0.)); #380810=DIRECTION('',(0.,0.,1.)); #380811=DIRECTION('center_axis',(0.,-1.,0.)); #380812=DIRECTION('ref_axis',(-1.,0.,0.)); #380813=DIRECTION('',(0.,0.,-1.)); #380814=DIRECTION('',(-1.,0.,0.)); #380815=DIRECTION('',(0.,0.,-1.)); #380816=DIRECTION('center_axis',(-1.,0.,0.)); #380817=DIRECTION('ref_axis',(0.,1.,0.)); #380818=DIRECTION('',(5.04646821855245E-16,1.,0.)); #380819=DIRECTION('center_axis',(1.,-2.77555756156289E-16,0.)); #380820=DIRECTION('ref_axis',(-2.77555756156289E-16,-1.,0.)); #380821=DIRECTION('',(-2.77555756156289E-16,-1.,0.)); #380822=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #380823=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380824=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #380825=DIRECTION('',(0.,0.,-1.)); #380826=DIRECTION('center_axis',(0.,0.,-1.)); #380827=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #380828=DIRECTION('center_axis',(0.,0.,-1.)); #380829=DIRECTION('ref_axis',(-1.29189588320018E-15,-1.,0.)); #380830=DIRECTION('',(0.,0.,-1.)); #380831=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #380832=DIRECTION('ref_axis',(0.499999999999999,-0.866025403784439,0.)); #380833=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #380834=DIRECTION('',(0.,0.,-1.)); #380835=DIRECTION('center_axis',(0.,0.,-1.)); #380836=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380837=DIRECTION('center_axis',(0.,0.,-1.)); #380838=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #380839=DIRECTION('',(0.,0.,-1.)); #380840=DIRECTION('center_axis',(-0.866025403784438,0.5,0.)); #380841=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #380842=DIRECTION('',(0.5,0.866025403784438,0.)); #380843=DIRECTION('',(0.,0.,-1.)); #380844=DIRECTION('center_axis',(0.,0.,-1.)); #380845=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380846=DIRECTION('center_axis',(0.,0.,-1.)); #380847=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #380848=DIRECTION('',(0.,0.,-1.)); #380849=DIRECTION('center_axis',(-3.94745964311164E-16,-1.,0.)); #380850=DIRECTION('ref_axis',(-1.,3.94745964311164E-16,0.)); #380851=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #380852=DIRECTION('center_axis',(0.,0.,-1.)); #380853=DIRECTION('ref_axis',(-1.,0.,0.)); #380854=DIRECTION('center_axis',(-0.280136775642075,0.649248324551448,0.707106781186547)); #380855=DIRECTION('ref_axis',(0.929698433687633,0.,0.368321629012961)); #380856=DIRECTION('',(0.918175785928666,0.39617322743249,0.)); #380857=DIRECTION('',(-0.918175785928666,-0.396173227432491,0.)); #380858=DIRECTION('',(0.28013677564208,-0.649248324551446,0.707106781186547)); #380859=DIRECTION('',(-0.918175785928666,-0.39617322743249,0.)); #380860=DIRECTION('center_axis',(0.,0.,-1.)); #380861=DIRECTION('ref_axis',(1.,0.,0.)); #380862=DIRECTION('center_axis',(0.,0.,-1.)); #380863=DIRECTION('ref_axis',(1.,0.,0.)); #380864=DIRECTION('',(0.707106781186549,-8.65956056235495E-17,0.707106781186546)); #380865=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #380866=DIRECTION('ref_axis',(-0.5,-0.866025403784439,0.)); #380867=DIRECTION('',(0.,0.,1.)); #380868=DIRECTION('',(0.5,0.866025403784439,0.)); #380869=DIRECTION('',(0.5,0.866025403784439,0.)); #380870=DIRECTION('',(0.,0.,1.)); #380871=DIRECTION('center_axis',(-0.500000000000001,0.866025403784438,0.)); #380872=DIRECTION('ref_axis',(-0.866025403784438,-0.500000000000001,0.)); #380873=DIRECTION('',(0.,0.,1.)); #380874=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #380875=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #380876=DIRECTION('center_axis',(-5.65956885267273E-16,1.,0.)); #380877=DIRECTION('ref_axis',(-1.,-5.65956885267273E-16,0.)); #380878=DIRECTION('',(0.,0.,1.)); #380879=DIRECTION('',(1.,5.65956885267273E-16,0.)); #380880=DIRECTION('',(1.,5.65956885267273E-16,0.)); #380881=DIRECTION('center_axis',(0.499999999999999,0.866025403784439,0.)); #380882=DIRECTION('ref_axis',(-0.866025403784439,0.499999999999999,0.)); #380883=DIRECTION('',(0.,0.,1.)); #380884=DIRECTION('',(0.866025403784439,-0.499999999999999,0.)); #380885=DIRECTION('',(0.866025403784439,-0.499999999999999,0.)); #380886=DIRECTION('center_axis',(0.866025403784438,0.500000000000001,0.)); #380887=DIRECTION('ref_axis',(-0.500000000000001,0.866025403784438,0.)); #380888=DIRECTION('',(0.,0.,1.)); #380889=DIRECTION('',(0.500000000000001,-0.866025403784438,0.)); #380890=DIRECTION('',(0.500000000000001,-0.866025403784438,0.)); #380891=DIRECTION('center_axis',(1.,5.65956885267273E-16,0.)); #380892=DIRECTION('ref_axis',(-5.65956885267273E-16,1.,0.)); #380893=DIRECTION('',(0.,0.,1.)); #380894=DIRECTION('',(5.65956885267273E-16,-1.,0.)); #380895=DIRECTION('',(5.65956885267273E-16,-1.,0.)); #380896=DIRECTION('center_axis',(0.866025403784439,-0.499999999999999,0.)); #380897=DIRECTION('ref_axis',(0.499999999999999,0.866025403784439,0.)); #380898=DIRECTION('',(0.,0.,1.)); #380899=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #380900=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #380901=DIRECTION('center_axis',(0.500000000000001,-0.866025403784438,0.)); #380902=DIRECTION('ref_axis',(0.866025403784438,0.500000000000001,0.)); #380903=DIRECTION('',(0.,0.,1.)); #380904=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #380905=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #380906=DIRECTION('center_axis',(5.65956885267273E-16,-1.,0.)); #380907=DIRECTION('ref_axis',(1.,5.65956885267273E-16,0.)); #380908=DIRECTION('',(0.,0.,1.)); #380909=DIRECTION('',(-1.,-5.65956885267273E-16,0.)); #380910=DIRECTION('',(-1.,-5.65956885267273E-16,0.)); #380911=DIRECTION('center_axis',(-0.499999999999999,-0.866025403784439, 0.)); #380912=DIRECTION('ref_axis',(0.866025403784439,-0.499999999999999,0.)); #380913=DIRECTION('',(0.,0.,1.)); #380914=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #380915=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #380916=DIRECTION('center_axis',(-0.866025403784438,-0.500000000000001, 0.)); #380917=DIRECTION('ref_axis',(0.500000000000001,-0.866025403784438,0.)); #380918=DIRECTION('',(0.,0.,1.)); #380919=DIRECTION('',(-0.500000000000001,0.866025403784438,0.)); #380920=DIRECTION('',(-0.500000000000001,0.866025403784438,0.)); #380921=DIRECTION('center_axis',(0.,0.,1.)); #380922=DIRECTION('ref_axis',(1.,0.,0.)); #380923=DIRECTION('center_axis',(0.,0.,1.)); #380924=DIRECTION('ref_axis',(1.,0.,0.)); #380925=DIRECTION('',(0.,0.,1.)); #380926=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, -0.707106781186541)); #380927=DIRECTION('ref_axis',(-1.,0.,-5.65956885267283E-16)); #380928=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, 0.707106781186541)); #380929=DIRECTION('ref_axis',(0.,0.707106781186541,-0.707106781186554)); #380930=DIRECTION('',(-0.18615678789739,0.694746590606856,-0.694746590606874)); #380931=DIRECTION('center_axis',(0.353553390593278,-0.6123724356958,-0.707106781186541)); #380932=DIRECTION('ref_axis',(-0.894427190999912,0.,-0.447213595499966)); #380933=DIRECTION('center_axis',(-0.353553390593278,0.6123724356958,0.707106781186541)); #380934=DIRECTION('ref_axis',(0.353553390593271,-0.612372435695788,0.707106781186554)); #380935=DIRECTION('center_axis',(0.353553390593278,-0.6123724356958,-0.707106781186541)); #380936=DIRECTION('ref_axis',(-0.894427190999912,0.,-0.447213595499966)); #380937=DIRECTION('center_axis',(-0.353553390593278,0.6123724356958,0.707106781186541)); #380938=DIRECTION('ref_axis',(0.353553390593271,-0.612372435695788,0.707106781186554)); #380939=DIRECTION('',(-0.508589802709492,0.508589802709461,-0.694746590606872)); #380940=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,-0.707106781186544)); #380941=DIRECTION('ref_axis',(-0.755928946018451,0.,-0.654653670707981)); #380942=DIRECTION('center_axis',(-0.612372435695798,0.353553390593275,0.707106781186544)); #380943=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,0.707106781186551)); #380944=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,-0.707106781186544)); #380945=DIRECTION('ref_axis',(-0.755928946018451,0.,-0.654653670707981)); #380946=DIRECTION('center_axis',(-0.612372435695798,0.353553390593275,0.707106781186544)); #380947=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,0.707106781186551)); #380948=DIRECTION('',(-0.694746590606858,0.186156787897397,-0.69474659060687)); #380949=DIRECTION('center_axis',(0.707106781186557,2.00095975715856E-16, -0.707106781186538)); #380950=DIRECTION('ref_axis',(-0.707106781186538,0.,-0.707106781186557)); #380951=DIRECTION('center_axis',(-0.707106781186554,-2.00095975715855E-16, 0.707106781186541)); #380952=DIRECTION('ref_axis',(0.707106781186541,0.,0.707106781186554)); #380953=DIRECTION('',(-2.82978442633637E-16,1.,0.)); #380954=DIRECTION('center_axis',(-1.,-2.82978442633637E-16,0.)); #380955=DIRECTION('ref_axis',(2.82978442633637E-16,-1.,0.)); #380956=DIRECTION('',(-2.82978442633637E-16,1.,0.)); #380957=DIRECTION('center_axis',(0.707106781186557,2.00095975715856E-16, -0.707106781186538)); #380958=DIRECTION('ref_axis',(-0.707106781186538,0.,-0.707106781186557)); #380959=DIRECTION('center_axis',(-0.707106781186557,-2.00095975715856E-16, 0.707106781186538)); #380960=DIRECTION('ref_axis',(0.707106781186538,0.,0.707106781186557)); #380961=DIRECTION('',(-0.694746590606858,-0.186156787897376,-0.694746590606876)); #380962=DIRECTION('center_axis',(0.6123724356958,0.353553390593277,-0.707106781186542)); #380963=DIRECTION('ref_axis',(-0.755928946018449,0.,-0.654653670707983)); #380964=DIRECTION('center_axis',(-0.612372435695803,-0.353553390593279, 0.707106781186537)); #380965=DIRECTION('ref_axis',(0.612372435695785,0.353553390593269,0.707106781186558)); #380966=DIRECTION('center_axis',(0.6123724356958,0.353553390593277,-0.707106781186542)); #380967=DIRECTION('ref_axis',(-0.755928946018449,0.,-0.654653670707983)); #380968=DIRECTION('center_axis',(-0.6123724356958,-0.353553390593277,0.707106781186542)); #380969=DIRECTION('ref_axis',(0.612372435695789,0.353553390593271,0.707106781186553)); #380970=DIRECTION('',(-0.508589802709467,-0.508589802709486,-0.694746590606872)); #380971=DIRECTION('center_axis',(0.353553390593277,0.612372435695801,-0.707106781186541)); #380972=DIRECTION('ref_axis',(-0.894427190999913,0.,-0.447213595499964)); #380973=DIRECTION('center_axis',(-0.353553390593277,-0.612372435695801, 0.707106781186541)); #380974=DIRECTION('ref_axis',(0.35355339059327,0.612372435695789,0.707106781186554)); #380975=DIRECTION('center_axis',(0.353553390593277,0.612372435695801,-0.707106781186541)); #380976=DIRECTION('ref_axis',(-0.894427190999913,0.,-0.447213595499964)); #380977=DIRECTION('center_axis',(-0.353553390593277,-0.612372435695801, 0.707106781186541)); #380978=DIRECTION('ref_axis',(0.35355339059327,0.612372435695789,0.707106781186554)); #380979=DIRECTION('',(-0.186156787897389,-0.694746590606859,-0.694746590606871)); #380980=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, -0.707106781186541)); #380981=DIRECTION('ref_axis',(-1.,0.,5.65956885267283E-16)); #380982=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, 0.707106781186541)); #380983=DIRECTION('ref_axis',(0.,0.707106781186541,0.707106781186554)); #380984=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, -0.707106781186541)); #380985=DIRECTION('ref_axis',(-1.,0.,5.65956885267283E-16)); #380986=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, 0.707106781186541)); #380987=DIRECTION('ref_axis',(0.,0.707106781186541,0.707106781186554)); #380988=DIRECTION('',(0.186156787897378,-0.694746590606858,-0.694746590606876)); #380989=DIRECTION('center_axis',(-0.35355339059328,0.612372435695803,-0.707106781186537)); #380990=DIRECTION('ref_axis',(-0.89442719099991,0.,0.447213595499969)); #380991=DIRECTION('center_axis',(0.35355339059328,-0.612372435695803,0.707106781186537)); #380992=DIRECTION('ref_axis',(0.35355339059327,-0.612372435695785,-0.707106781186558)); #380993=DIRECTION('center_axis',(-0.35355339059328,0.612372435695803,-0.707106781186537)); #380994=DIRECTION('ref_axis',(-0.89442719099991,0.,0.447213595499969)); #380995=DIRECTION('center_axis',(0.35355339059328,-0.612372435695803,0.707106781186537)); #380996=DIRECTION('ref_axis',(0.35355339059327,-0.612372435695785,-0.707106781186558)); #380997=DIRECTION('',(0.508589802709504,-0.508589802709449,-0.694746590606872)); #380998=DIRECTION('center_axis',(-0.612372435695798,0.353553390593275,-0.707106781186544)); #380999=DIRECTION('ref_axis',(-0.755928946018451,0.,0.654653670707981)); #381000=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,0.707106781186544)); #381001=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,-0.707106781186551)); #381002=DIRECTION('center_axis',(-0.612372435695798,0.353553390593275,-0.707106781186544)); #381003=DIRECTION('ref_axis',(-0.755928946018451,0.,0.654653670707981)); #381004=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,0.707106781186544)); #381005=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,-0.707106781186551)); #381006=DIRECTION('',(0.694746590606856,-0.186156787897416,-0.694746590606868)); #381007=DIRECTION('center_axis',(-0.707106781186554,-4.00191951431709E-16, -0.707106781186541)); #381008=DIRECTION('ref_axis',(-0.707106781186541,0.,0.707106781186554)); #381009=DIRECTION('center_axis',(0.707106781186554,4.00191951431709E-16, 0.707106781186541)); #381010=DIRECTION('ref_axis',(0.707106781186541,0.,-0.707106781186554)); #381011=DIRECTION('center_axis',(-0.707106781186554,-4.00191951431709E-16, -0.707106781186541)); #381012=DIRECTION('ref_axis',(-0.707106781186541,0.,0.707106781186554)); #381013=DIRECTION('center_axis',(0.707106781186554,4.00191951431709E-16, 0.707106781186541)); #381014=DIRECTION('ref_axis',(0.707106781186541,0.,-0.707106781186554)); #381015=DIRECTION('',(0.694746590606859,0.186156787897389,-0.694746590606871)); #381016=DIRECTION('center_axis',(-0.6123724356958,-0.353553390593278,-0.707106781186541)); #381017=DIRECTION('ref_axis',(-0.755928946018448,0.,0.654653670707984)); #381018=DIRECTION('center_axis',(0.6123724356958,0.353553390593278,0.707106781186541)); #381019=DIRECTION('ref_axis',(0.612372435695788,0.353553390593271,-0.707106781186554)); #381020=DIRECTION('center_axis',(-0.6123724356958,-0.353553390593278,-0.707106781186541)); #381021=DIRECTION('ref_axis',(-0.755928946018448,0.,0.654653670707984)); #381022=DIRECTION('center_axis',(0.6123724356958,0.353553390593278,0.707106781186541)); #381023=DIRECTION('ref_axis',(0.612372435695788,0.353553390593271,-0.707106781186554)); #381024=DIRECTION('',(0.508589802709469,0.508589802709481,-0.694746590606874)); #381025=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695795, -0.707106781186548)); #381026=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499957)); #381027=DIRECTION('center_axis',(0.353553390593277,0.612372435695801,0.707106781186541)); #381028=DIRECTION('ref_axis',(0.35355339059327,0.612372435695789,-0.707106781186554)); #381029=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695795, -0.707106781186548)); #381030=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499957)); #381031=DIRECTION('center_axis',(0.353553390593273,0.612372435695795,0.707106781186548)); #381032=DIRECTION('ref_axis',(0.353553390593273,0.612372435695795,-0.707106781186547)); #381033=DIRECTION('',(0.186156787897416,0.694746590606856,-0.694746590606868)); #381034=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, -0.707106781186541)); #381035=DIRECTION('ref_axis',(-1.,0.,-5.65956885267283E-16)); #381036=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, 0.707106781186541)); #381037=DIRECTION('ref_axis',(0.,0.707106781186541,-0.707106781186554)); #381038=DIRECTION('center_axis',(0.,0.,1.)); #381039=DIRECTION('ref_axis',(1.,0.,0.)); #381040=DIRECTION('',(0.,0.,1.)); #381041=DIRECTION('center_axis',(0.,0.,-1.)); #381042=DIRECTION('ref_axis',(1.,0.,0.)); #381043=DIRECTION('center_axis',(0.,0.,-1.)); #381044=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #381045=DIRECTION('center_axis',(0.,0.,-1.)); #381046=DIRECTION('ref_axis',(0.567724520094942,-0.823218603581678,0.)); #381047=DIRECTION('',(1.57009245868378E-14,0.707106781186547,0.707106781186548)); #381048=DIRECTION('center_axis',(0.,0.,1.)); #381049=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #381050=DIRECTION('center_axis',(1.59102702479956E-14,0.707106781186549, -0.707106781186546)); #381051=DIRECTION('ref_axis',(-1.,0.,-2.25005199657366E-14)); #381052=DIRECTION('',(-1.,2.25005199657365E-14,0.)); #381053=DIRECTION('',(1.57009245868377E-14,0.707106781186546,0.707106781186549)); #381054=DIRECTION('',(1.,-2.25005199657365E-14,0.)); #381055=DIRECTION('center_axis',(0.,0.,1.)); #381056=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381057=DIRECTION('',(-0.707106781186546,1.72710170455215E-14,0.707106781186549)); #381058=DIRECTION('center_axis',(0.,0.,-1.)); #381059=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381060=DIRECTION('center_axis',(0.,0.,1.)); #381061=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381062=DIRECTION('center_axis',(-0.707106781186551,1.73059079890478E-14, -0.707106781186544)); #381063=DIRECTION('ref_axis',(-0.707106781186544,0.,0.707106781186551)); #381064=DIRECTION('',(-2.44742497872922E-14,-1.,0.)); #381065=DIRECTION('',(-0.707106781186541,1.64859708161797E-14,0.707106781186554)); #381066=DIRECTION('',(2.44742497872922E-14,1.,0.)); #381067=DIRECTION('center_axis',(0.,0.,1.)); #381068=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381069=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #381070=DIRECTION('center_axis',(0.,0.,-1.)); #381071=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381072=DIRECTION('center_axis',(0.,0.,1.)); #381073=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381074=DIRECTION('center_axis',(3.14018491736756E-16,-0.707106781186549, -0.707106781186546)); #381075=DIRECTION('ref_axis',(-1.,0.,-4.44089209850065E-16)); #381076=DIRECTION('',(1.,4.44089209850063E-16,0.)); #381077=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); #381078=DIRECTION('',(-1.,-4.44089209850063E-16,0.)); #381079=DIRECTION('center_axis',(0.,0.,-1.)); #381080=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #381081=DIRECTION('center_axis',(0.,0.,1.)); #381082=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #381083=DIRECTION('center_axis',(0.,0.,-1.)); #381084=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #381085=DIRECTION('center_axis',(0.,0.,1.)); #381086=DIRECTION('ref_axis',(-1.,0.,0.)); #381087=DIRECTION('center_axis',(0.,0.,-1.)); #381088=DIRECTION('ref_axis',(1.,0.,0.)); #381089=DIRECTION('center_axis',(0.,0.,-1.)); #381090=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #381091=DIRECTION('',(1.,-2.46469511466784E-14,0.)); #381092=DIRECTION('center_axis',(0.,0.,-1.)); #381093=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381094=DIRECTION('',(2.41584530158434E-14,1.,0.)); #381095=DIRECTION('center_axis',(0.,0.,-1.)); #381096=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381097=DIRECTION('',(-1.,0.,0.)); #381098=DIRECTION('center_axis',(0.,0.,-1.)); #381099=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #381100=DIRECTION('center_axis',(0.,0.,-1.)); #381101=DIRECTION('ref_axis',(1.,0.,0.)); #381102=DIRECTION('center_axis',(0.,0.,-1.)); #381103=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #381104=DIRECTION('',(0.,0.707106781186546,0.707106781186549)); #381105=DIRECTION('center_axis',(0.,0.,1.)); #381106=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #381107=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186546)); #381108=DIRECTION('ref_axis',(-1.,0.,0.)); #381109=DIRECTION('',(0.,0.707106781186546,0.707106781186549)); #381110=DIRECTION('',(1.,0.,0.)); #381111=DIRECTION('center_axis',(0.,0.,-1.)); #381112=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381113=DIRECTION('',(0.707106781186544,-1.68784939308506E-14,0.707106781186551)); #381114=DIRECTION('center_axis',(0.,0.,1.)); #381115=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381116=DIRECTION('center_axis',(0.707106781186549,-1.70826059504795E-14, -0.707106781186546)); #381117=DIRECTION('ref_axis',(-0.707106781186546,0.,-0.707106781186549)); #381118=DIRECTION('',(0.707106781186546,-1.76635401601924E-14,0.707106781186549)); #381119=DIRECTION('',(-2.41584530158434E-14,-1.,0.)); #381120=DIRECTION('center_axis',(0.,0.,-1.)); #381121=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381122=DIRECTION('',(-1.57009245868378E-14,-0.707106781186547,0.707106781186548)); #381123=DIRECTION('center_axis',(0.,0.,1.)); #381124=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381125=DIRECTION('center_axis',(-1.74280262913899E-14,-0.707106781186549, -0.707106781186546)); #381126=DIRECTION('ref_axis',(-1.,0.,2.46469511466786E-14)); #381127=DIRECTION('',(-1.88411095042052E-14,-0.707106781186546,0.707106781186549)); #381128=DIRECTION('',(-1.,2.46469511466784E-14,0.)); #381129=DIRECTION('center_axis',(0.,0.,-1.)); #381130=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #381131=DIRECTION('center_axis',(0.,0.,1.)); #381132=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #381133=DIRECTION('center_axis',(0.,0.,-1.)); #381134=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #381135=DIRECTION('center_axis',(0.,0.,-1.)); #381136=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #381137=DIRECTION('',(-7.91326599176623E-13,-0.707106781186547,0.707106781186548)); #381138=DIRECTION('center_axis',(0.,0.,1.)); #381139=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #381140=DIRECTION('center_axis',(-7.90384543701414E-13,-0.707106781186549, -0.707106781186546)); #381141=DIRECTION('ref_axis',(-1.,0.,1.11777254119261E-12)); #381142=DIRECTION('',(1.,-1.11777254119261E-12,0.)); #381143=DIRECTION('',(-7.91326599176621E-13,-0.707106781186546,0.707106781186549)); #381144=DIRECTION('',(-1.,1.11777254119261E-12,0.)); #381145=DIRECTION('center_axis',(0.,0.,-1.)); #381146=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #381147=DIRECTION('',(-0.707106781186544,-1.68784939308506E-14,0.707106781186551)); #381148=DIRECTION('center_axis',(0.,0.,1.)); #381149=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #381150=DIRECTION('center_axis',(0.,0.,-1.)); #381151=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #381152=DIRECTION('center_axis',(-0.707106781186552,-1.70826059504796E-14, -0.707106781186543)); #381153=DIRECTION('ref_axis',(-0.707106781186543,0.,0.707106781186552)); #381154=DIRECTION('',(2.41584530158434E-14,-1.,0.)); #381155=DIRECTION('',(-0.707106781186543,-1.76635401601925E-14,0.707106781186552)); #381156=DIRECTION('',(-2.41584530158434E-14,1.,0.)); #381157=DIRECTION('center_axis',(0.,0.,-1.)); #381158=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #381159=DIRECTION('',(-3.14018491736755E-15,0.707106781186547,0.707106781186547)); #381160=DIRECTION('center_axis',(0.,0.,1.)); #381161=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #381162=DIRECTION('center_axis',(0.,0.,-1.)); #381163=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #381164=DIRECTION('center_axis',(-3.45420340910431E-15,0.707106781186549, -0.707106781186546)); #381165=DIRECTION('ref_axis',(-1.,0.,4.88498130835071E-15)); #381166=DIRECTION('',(-1.,-4.88498130835069E-15,0.)); #381167=DIRECTION('',(-3.14018491736754E-15,0.707106781186546,0.707106781186549)); #381168=DIRECTION('',(1.,4.88498130835069E-15,0.)); #381169=DIRECTION('center_axis',(0.,0.,-1.)); #381170=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #381171=DIRECTION('center_axis',(0.,0.,1.)); #381172=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #381173=DIRECTION('center_axis',(0.,0.,-1.)); #381174=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #381175=DIRECTION('center_axis',(0.,0.,1.)); #381176=DIRECTION('ref_axis',(-1.,0.,0.)); #381177=DIRECTION('center_axis',(0.,0.,-1.)); #381178=DIRECTION('ref_axis',(1.,0.,0.)); #381179=DIRECTION('center_axis',(0.,0.,-1.)); #381180=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #381181=DIRECTION('',(-1.,-2.20564307558864E-14,0.)); #381182=DIRECTION('center_axis',(0.,0.,1.)); #381183=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #381184=DIRECTION('',(-2.44742497872922E-14,1.,0.)); #381185=DIRECTION('center_axis',(0.,0.,1.)); #381186=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #381187=DIRECTION('',(1.,-2.96059473233375E-16,0.)); #381188=DIRECTION('center_axis',(0.,0.,-1.)); #381189=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #381190=DIRECTION('center_axis',(0.,0.,-1.)); #381191=DIRECTION('ref_axis',(1.,0.,0.)); #381192=DIRECTION('center_axis',(0.,0.,-1.)); #381193=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #381194=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #381195=DIRECTION('center_axis',(0.,0.,1.)); #381196=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #381197=DIRECTION('center_axis',(-2.09345661157837E-16,-0.70710678118655, -0.707106781186545)); #381198=DIRECTION('ref_axis',(-1.,0.,2.96059473233377E-16)); #381199=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); #381200=DIRECTION('',(-1.,2.96059473233375E-16,0.)); #381201=DIRECTION('center_axis',(0.,0.,1.)); #381202=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #381203=DIRECTION('',(0.707106781186546,1.72710170455215E-14,0.707106781186549)); #381204=DIRECTION('center_axis',(0.,0.,-1.)); #381205=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #381206=DIRECTION('center_axis',(0.707106781186551,1.73059079890478E-14, -0.707106781186544)); #381207=DIRECTION('ref_axis',(-0.707106781186544,0.,-0.707106781186551)); #381208=DIRECTION('',(0.707106781186548,1.72710170455214E-14,0.707106781186548)); #381209=DIRECTION('',(2.44742497872922E-14,-1.,0.)); #381210=DIRECTION('center_axis',(0.,0.,1.)); #381211=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #381212=DIRECTION('',(-1.57009245868378E-14,0.707106781186545,0.70710678118655)); #381213=DIRECTION('center_axis',(0.,0.,-1.)); #381214=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #381215=DIRECTION('center_axis',(-1.55962517562588E-14,0.707106781186549, -0.707106781186546)); #381216=DIRECTION('ref_axis',(-1.,0.,2.20564307558865E-14)); #381217=DIRECTION('',(-1.25607396694702E-14,0.707106781186546,0.707106781186549)); #381218=DIRECTION('',(1.,2.20564307558864E-14,0.)); #381219=DIRECTION('center_axis',(0.,0.,-1.)); #381220=DIRECTION('ref_axis',(-0.56772452009494,-0.823218603581679,0.)); #381221=DIRECTION('center_axis',(0.,0.,1.)); #381222=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #381223=DIRECTION('center_axis',(0.,0.,1.)); #381224=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #381225=DIRECTION('center_axis',(0.,0.,1.)); #381226=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #381227=DIRECTION('',(-3.14018491736755E-15,0.707106781186548,-0.707106781186547)); #381228=DIRECTION('center_axis',(0.,0.,-1.)); #381229=DIRECTION('ref_axis',(-0.746320670340522,-0.665586551112982,0.)); #381230=DIRECTION('center_axis',(-3.4542034091043E-15,0.707106781186547, 0.707106781186548)); #381231=DIRECTION('ref_axis',(1.,0.,4.88498130835068E-15)); #381232=DIRECTION('',(1.,4.88498130835069E-15,0.)); #381233=DIRECTION('',(-3.14018491736755E-15,0.707106781186548,-0.707106781186547)); #381234=DIRECTION('',(-1.,-4.88498130835069E-15,0.)); #381235=DIRECTION('center_axis',(0.,0.,1.)); #381236=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #381237=DIRECTION('',(-0.707106781186547,-1.68784939308506E-14,-0.707106781186547)); #381238=DIRECTION('center_axis',(0.,0.,-1.)); #381239=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #381240=DIRECTION('center_axis',(0.,0.,1.)); #381241=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #381242=DIRECTION('center_axis',(-0.70710678118655,-1.70826059504795E-14, 0.707106781186545)); #381243=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); #381244=DIRECTION('',(-2.41584530158434E-14,1.,0.)); #381245=DIRECTION('',(-0.707106781186545,-1.72710170455216E-14,-0.70710678118655)); #381246=DIRECTION('',(2.41584530158434E-14,-1.,0.)); #381247=DIRECTION('center_axis',(0.,0.,1.)); #381248=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #381249=DIRECTION('',(-7.88186414259255E-13,-0.707106781186547,-0.707106781186548)); #381250=DIRECTION('center_axis',(0.,0.,-1.)); #381251=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #381252=DIRECTION('center_axis',(0.,0.,1.)); #381253=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #381254=DIRECTION('center_axis',(-7.90384543701411E-13,-0.707106781186547, 0.707106781186548)); #381255=DIRECTION('ref_axis',(1.,0.,1.11777254119261E-12)); #381256=DIRECTION('',(-1.,1.11777254119261E-12,0.)); #381257=DIRECTION('',(-7.91326599176623E-13,-0.707106781186548,-0.707106781186547)); #381258=DIRECTION('',(1.,-1.11777254119261E-12,0.)); #381259=DIRECTION('center_axis',(0.,0.,1.)); #381260=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #381261=DIRECTION('center_axis',(0.,0.,-1.)); #381262=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #381263=DIRECTION('center_axis',(0.,0.,1.)); #381264=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #381265=DIRECTION('center_axis',(0.,0.,1.)); #381266=DIRECTION('ref_axis',(-1.,0.,0.)); #381267=DIRECTION('center_axis',(0.,0.,1.)); #381268=DIRECTION('ref_axis',(1.,0.,0.)); #381269=DIRECTION('center_axis',(0.,0.,1.)); #381270=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #381271=DIRECTION('',(-1.,2.96059473233375E-16,0.)); #381272=DIRECTION('center_axis',(0.,0.,-1.)); #381273=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #381274=DIRECTION('',(2.44742497872922E-14,-1.,0.)); #381275=DIRECTION('center_axis',(0.,0.,-1.)); #381276=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #381277=DIRECTION('',(1.,2.20564307558864E-14,0.)); #381278=DIRECTION('center_axis',(0.,0.,1.)); #381279=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #381280=DIRECTION('center_axis',(0.,0.,1.)); #381281=DIRECTION('ref_axis',(1.,0.,0.)); #381282=DIRECTION('center_axis',(0.,0.,1.)); #381283=DIRECTION('ref_axis',(-0.56772452009494,-0.823218603581679,0.)); #381284=DIRECTION('',(-1.57009245868378E-14,0.707106781186547,-0.707106781186548)); #381285=DIRECTION('center_axis',(0.,0.,-1.)); #381286=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #381287=DIRECTION('center_axis',(-1.55962517562588E-14,0.707106781186547, 0.707106781186548)); #381288=DIRECTION('ref_axis',(1.,0.,2.20564307558864E-14)); #381289=DIRECTION('',(-1.25607396694702E-14,0.707106781186548,-0.707106781186547)); #381290=DIRECTION('',(-1.,-2.20564307558864E-14,0.)); #381291=DIRECTION('center_axis',(0.,0.,-1.)); #381292=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #381293=DIRECTION('',(0.707106781186546,1.64859708161797E-14,-0.707106781186549)); #381294=DIRECTION('center_axis',(0.,0.,1.)); #381295=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #381296=DIRECTION('center_axis',(0.707106781186548,1.73059079890478E-14, 0.707106781186547)); #381297=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #381298=DIRECTION('',(0.707106781186547,1.72710170455216E-14,-0.707106781186548)); #381299=DIRECTION('',(-2.44742497872922E-14,1.,0.)); #381300=DIRECTION('center_axis',(0.,0.,-1.)); #381301=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #381302=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #381303=DIRECTION('center_axis',(0.,0.,1.)); #381304=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #381305=DIRECTION('center_axis',(-2.09345661157837E-16,-0.707106781186548, 0.707106781186548)); #381306=DIRECTION('ref_axis',(1.,0.,2.96059473233375E-16)); #381307=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #381308=DIRECTION('',(1.,-2.96059473233375E-16,0.)); #381309=DIRECTION('center_axis',(0.,0.,1.)); #381310=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #381311=DIRECTION('center_axis',(0.,0.,-1.)); #381312=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #381313=DIRECTION('center_axis',(0.,0.,1.)); #381314=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #381315=DIRECTION('center_axis',(0.,0.,1.)); #381316=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #381317=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #381318=DIRECTION('center_axis',(0.,0.,-1.)); #381319=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #381320=DIRECTION('center_axis',(3.14018491736755E-16,-0.707106781186547, 0.707106781186548)); #381321=DIRECTION('ref_axis',(1.,0.,-4.44089209850062E-16)); #381322=DIRECTION('',(-1.,-4.44089209850063E-16,0.)); #381323=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #381324=DIRECTION('',(1.,4.44089209850063E-16,0.)); #381325=DIRECTION('center_axis',(0.,0.,-1.)); #381326=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381327=DIRECTION('',(-0.707106781186546,1.72710170455215E-14,-0.707106781186549)); #381328=DIRECTION('center_axis',(0.,0.,1.)); #381329=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381330=DIRECTION('center_axis',(0.,0.,-1.)); #381331=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381332=DIRECTION('center_axis',(-0.707106781186548,1.73059079890478E-14, 0.707106781186547)); #381333=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #381334=DIRECTION('',(2.44742497872922E-14,1.,0.)); #381335=DIRECTION('',(-0.707106781186547,1.72710170455216E-14,-0.707106781186548)); #381336=DIRECTION('',(-2.44742497872922E-14,-1.,0.)); #381337=DIRECTION('center_axis',(0.,0.,-1.)); #381338=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381339=DIRECTION('',(1.57009245868378E-14,0.707106781186545,-0.70710678118655)); #381340=DIRECTION('center_axis',(0.,0.,1.)); #381341=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381342=DIRECTION('center_axis',(0.,0.,-1.)); #381343=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381344=DIRECTION('center_axis',(1.59102702479955E-14,0.707106781186547, 0.707106781186548)); #381345=DIRECTION('ref_axis',(1.,0.,-2.25005199657364E-14)); #381346=DIRECTION('',(1.,-2.25005199657365E-14,0.)); #381347=DIRECTION('',(1.57009245868378E-14,0.707106781186548,-0.707106781186548)); #381348=DIRECTION('',(-1.,2.25005199657365E-14,0.)); #381349=DIRECTION('center_axis',(0.,0.,1.)); #381350=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #381351=DIRECTION('center_axis',(0.,0.,-1.)); #381352=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #381353=DIRECTION('center_axis',(0.,0.,1.)); #381354=DIRECTION('ref_axis',(0.567724520094942,-0.823218603581678,0.)); #381355=DIRECTION('center_axis',(0.,0.,1.)); #381356=DIRECTION('ref_axis',(-1.,0.,0.)); #381357=DIRECTION('center_axis',(0.,0.,1.)); #381358=DIRECTION('ref_axis',(1.,0.,0.)); #381359=DIRECTION('center_axis',(0.,0.,1.)); #381360=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #381361=DIRECTION('',(1.,0.,0.)); #381362=DIRECTION('center_axis',(0.,0.,1.)); #381363=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381364=DIRECTION('',(-2.41584530158434E-14,-1.,0.)); #381365=DIRECTION('center_axis',(0.,0.,1.)); #381366=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381367=DIRECTION('',(-1.,2.46469511466784E-14,0.)); #381368=DIRECTION('center_axis',(0.,0.,1.)); #381369=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #381370=DIRECTION('center_axis',(0.,0.,1.)); #381371=DIRECTION('ref_axis',(1.,0.,0.)); #381372=DIRECTION('center_axis',(0.,0.,1.)); #381373=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #381374=DIRECTION('',(-1.57009245868378E-14,-0.707106781186547,-0.707106781186548)); #381375=DIRECTION('center_axis',(0.,0.,-1.)); #381376=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #381377=DIRECTION('center_axis',(-1.74280262913899E-14,-0.707106781186547, 0.707106781186548)); #381378=DIRECTION('ref_axis',(1.,0.,2.46469511466784E-14)); #381379=DIRECTION('',(-1.88411095042053E-14,-0.707106781186548,-0.707106781186547)); #381380=DIRECTION('',(1.,-2.46469511466784E-14,0.)); #381381=DIRECTION('center_axis',(0.,0.,1.)); #381382=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381383=DIRECTION('',(0.707106781186544,-1.72710170455216E-14,-0.707106781186551)); #381384=DIRECTION('center_axis',(0.,0.,-1.)); #381385=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381386=DIRECTION('center_axis',(0.707106781186547,-1.70826059504795E-14, 0.707106781186548)); #381387=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #381388=DIRECTION('',(0.707106781186548,-1.72710170455215E-14,-0.707106781186547)); #381389=DIRECTION('',(2.41584530158434E-14,1.,0.)); #381390=DIRECTION('center_axis',(0.,0.,1.)); #381391=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381392=DIRECTION('',(0.,0.707106781186546,-0.707106781186549)); #381393=DIRECTION('center_axis',(0.,0.,-1.)); #381394=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381395=DIRECTION('center_axis',(0.,0.707106781186547,0.707106781186548)); #381396=DIRECTION('ref_axis',(1.,0.,0.)); #381397=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #381398=DIRECTION('',(-1.,0.,0.)); #381399=DIRECTION('center_axis',(0.,0.,1.)); #381400=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #381401=DIRECTION('center_axis',(0.,0.,-1.)); #381402=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #381403=DIRECTION('center_axis',(0.,0.,1.)); #381404=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381405=DIRECTION('',(0.,0.,1.)); #381406=DIRECTION('',(0.,0.,-1.)); #381407=DIRECTION('center_axis',(4.44089209850063E-16,-1.,0.)); #381408=DIRECTION('ref_axis',(1.,4.44089209850063E-16,0.)); #381409=DIRECTION('',(0.,0.,-1.)); #381410=DIRECTION('center_axis',(0.,0.,1.)); #381411=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381412=DIRECTION('',(0.,0.,1.)); #381413=DIRECTION('',(0.,0.,-1.)); #381414=DIRECTION('center_axis',(2.25005199657365E-14,1.,0.)); #381415=DIRECTION('ref_axis',(-1.,2.25005199657365E-14,0.)); #381416=DIRECTION('',(0.,0.,1.)); #381417=DIRECTION('center_axis',(0.,0.,1.)); #381418=DIRECTION('ref_axis',(0.707106781186531,0.707106781186564,0.)); #381419=DIRECTION('',(0.,0.,1.)); #381420=DIRECTION('',(0.,0.,-1.)); #381421=DIRECTION('center_axis',(-2.20564307558864E-14,1.,0.)); #381422=DIRECTION('ref_axis',(-1.,-2.20564307558864E-14,0.)); #381423=DIRECTION('',(0.,0.,-1.)); #381424=DIRECTION('center_axis',(0.,0.,1.)); #381425=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #381426=DIRECTION('',(0.,0.,1.)); #381427=DIRECTION('',(0.,0.,-1.)); #381428=DIRECTION('center_axis',(-2.96059473233375E-16,-1.,0.)); #381429=DIRECTION('ref_axis',(1.,-2.96059473233375E-16,0.)); #381430=DIRECTION('',(0.,0.,1.)); #381431=DIRECTION('center_axis',(0.,0.,1.)); #381432=DIRECTION('ref_axis',(0.707106781186935,0.70710678118616,0.)); #381433=DIRECTION('',(0.,0.,1.)); #381434=DIRECTION('',(0.,0.,-1.)); #381435=DIRECTION('center_axis',(-1.,-2.41584530158434E-14,0.)); #381436=DIRECTION('ref_axis',(2.41584530158434E-14,-1.,0.)); #381437=DIRECTION('',(0.,0.,-1.)); #381438=DIRECTION('center_axis',(0.,0.,1.)); #381439=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #381440=DIRECTION('',(0.,0.,1.)); #381441=DIRECTION('center_axis',(-4.88498130835069E-15,1.,0.)); #381442=DIRECTION('ref_axis',(-1.,-4.88498130835069E-15,0.)); #381443=DIRECTION('',(0.,0.,-1.)); #381444=DIRECTION('center_axis',(0.,0.,-1.)); #381445=DIRECTION('ref_axis',(-0.56772452009494,-0.82321860358168,0.)); #381446=DIRECTION('',(0.,0.,1.)); #381447=DIRECTION('center_axis',(0.,0.,-1.)); #381448=DIRECTION('ref_axis',(-0.746320670340521,-0.665586551112982,0.)); #381449=DIRECTION('',(0.,0.,1.)); #381450=DIRECTION('center_axis',(0.,0.,1.)); #381451=DIRECTION('ref_axis',(-0.746320670340205,0.665586551113338,0.)); #381452=DIRECTION('',(0.,0.,1.)); #381453=DIRECTION('',(0.,0.,-1.)); #381454=DIRECTION('center_axis',(-1.11777254119261E-12,-1.,0.)); #381455=DIRECTION('ref_axis',(1.,-1.11777254119261E-12,0.)); #381456=DIRECTION('center_axis',(0.,0.,1.)); #381457=DIRECTION('ref_axis',(-0.567724520094981,0.823218603581651,0.)); #381458=DIRECTION('',(0.,0.,-1.)); #381459=DIRECTION('center_axis',(0.,0.,1.)); #381460=DIRECTION('ref_axis',(-0.707106781186531,0.707106781186564,0.)); #381461=DIRECTION('',(0.,0.,1.)); #381462=DIRECTION('',(0.,0.,-1.)); #381463=DIRECTION('center_axis',(-2.46469511466784E-14,-1.,0.)); #381464=DIRECTION('ref_axis',(1.,-2.46469511466784E-14,0.)); #381465=DIRECTION('',(0.,0.,-1.)); #381466=DIRECTION('center_axis',(0.,0.,1.)); #381467=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #381468=DIRECTION('',(0.,0.,1.)); #381469=DIRECTION('',(0.,0.,-1.)); #381470=DIRECTION('center_axis',(1.,-2.41584530158434E-14,0.)); #381471=DIRECTION('ref_axis',(2.41584530158434E-14,1.,0.)); #381472=DIRECTION('center_axis',(0.,0.,-1.)); #381473=DIRECTION('ref_axis',(0.567724520094981,0.823218603581651,0.)); #381474=DIRECTION('',(0.,0.,1.)); #381475=DIRECTION('center_axis',(0.,0.,-1.)); #381476=DIRECTION('ref_axis',(0.746320670340558,0.665586551112942,0.)); #381477=DIRECTION('',(0.,0.,1.)); #381478=DIRECTION('center_axis',(0.,0.,1.)); #381479=DIRECTION('ref_axis',(0.746320670340522,-0.665586551112981,0.)); #381480=DIRECTION('',(0.,0.,1.)); #381481=DIRECTION('',(0.,0.,-1.)); #381482=DIRECTION('center_axis',(0.,1.,0.)); #381483=DIRECTION('ref_axis',(-1.,0.,0.)); #381484=DIRECTION('center_axis',(0.,0.,1.)); #381485=DIRECTION('ref_axis',(0.567724520094941,-0.823218603581678,0.)); #381486=DIRECTION('',(0.,0.,-1.)); #381487=DIRECTION('center_axis',(1.,2.44742497872922E-14,0.)); #381488=DIRECTION('ref_axis',(-2.44742497872922E-14,1.,0.)); #381489=DIRECTION('center_axis',(-1.,2.44742497872922E-14,0.)); #381490=DIRECTION('ref_axis',(-2.44742497872922E-14,-1.,0.)); #381491=DIRECTION('center_axis',(0.,0.,-1.)); #381492=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #381493=DIRECTION('center_axis',(0.,0.,-1.)); #381494=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #381495=DIRECTION('',(0.,0.,-1.)); #381496=DIRECTION('center_axis',(0.,0.,-1.)); #381497=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #381498=DIRECTION('',(0.,0.,-1.)); #381499=DIRECTION('center_axis',(0.,0.,-1.)); #381500=DIRECTION('ref_axis',(0.396173227432491,-0.918175785928666,0.)); #381501=DIRECTION('center_axis',(0.,0.,1.)); #381502=DIRECTION('ref_axis',(1.,0.,0.)); #381503=DIRECTION('center_axis',(0.,0.,-1.)); #381504=DIRECTION('ref_axis',(1.,0.,0.)); #381505=DIRECTION('center_axis',(0.,0.,-1.)); #381506=DIRECTION('ref_axis',(1.,0.,0.)); #381507=DIRECTION('',(0.,0.,1.)); #381508=DIRECTION('center_axis',(0.,0.,1.)); #381509=DIRECTION('ref_axis',(1.,0.,0.)); #381510=DIRECTION('',(0.,0.,-1.)); #381511=DIRECTION('',(0.,0.,1.)); #381512=DIRECTION('center_axis',(0.,0.,1.)); #381513=DIRECTION('ref_axis',(1.,0.,0.)); #381514=DIRECTION('',(0.,0.,-1.)); #381515=DIRECTION('',(0.,0.,1.)); #381516=DIRECTION('center_axis',(0.,0.,1.)); #381517=DIRECTION('ref_axis',(1.,0.,0.)); #381518=DIRECTION('',(0.,0.,-1.)); #381519=DIRECTION('',(0.,0.,1.)); #381520=DIRECTION('center_axis',(0.,0.,1.)); #381521=DIRECTION('ref_axis',(1.,0.,0.)); #381522=DIRECTION('',(0.,0.,-1.)); #381523=DIRECTION('',(0.,0.,1.)); #381524=DIRECTION('center_axis',(0.,0.,1.)); #381525=DIRECTION('ref_axis',(1.,0.,0.)); #381526=DIRECTION('',(0.,0.,-1.)); #381527=DIRECTION('',(0.,0.,1.)); #381528=DIRECTION('center_axis',(0.,0.,1.)); #381529=DIRECTION('ref_axis',(1.,0.,0.)); #381530=DIRECTION('',(0.,0.,-1.)); #381531=DIRECTION('',(0.,0.,1.)); #381532=DIRECTION('center_axis',(0.,0.,1.)); #381533=DIRECTION('ref_axis',(1.,0.,0.)); #381534=DIRECTION('',(0.,0.,-1.)); #381535=DIRECTION('',(0.,0.,1.)); #381536=DIRECTION('center_axis',(0.,0.,1.)); #381537=DIRECTION('ref_axis',(1.,0.,0.)); #381538=DIRECTION('',(0.,0.,-1.)); #381539=DIRECTION('',(0.,0.,1.)); #381540=DIRECTION('center_axis',(0.,0.,1.)); #381541=DIRECTION('ref_axis',(1.,0.,0.)); #381542=DIRECTION('',(0.,0.,-1.)); #381543=DIRECTION('',(0.,0.,1.)); #381544=DIRECTION('center_axis',(0.,0.,1.)); #381545=DIRECTION('ref_axis',(1.,0.,0.)); #381546=DIRECTION('',(0.,0.,-1.)); #381547=DIRECTION('',(0.,0.,-1.)); #381548=DIRECTION('center_axis',(0.,0.,1.)); #381549=DIRECTION('ref_axis',(1.,0.,0.)); #381550=DIRECTION('',(0.,0.,1.)); #381551=DIRECTION('center_axis',(0.,0.,1.)); #381552=DIRECTION('ref_axis',(1.,0.,0.)); #381553=DIRECTION('',(0.,0.,-1.)); #381554=DIRECTION('',(0.,0.,1.)); #381555=DIRECTION('center_axis',(0.,0.,1.)); #381556=DIRECTION('ref_axis',(1.,0.,0.)); #381557=DIRECTION('',(0.,0.,-1.)); #381558=DIRECTION('',(0.,0.,1.)); #381559=DIRECTION('center_axis',(0.,0.,1.)); #381560=DIRECTION('ref_axis',(1.,0.,0.)); #381561=DIRECTION('',(0.,0.,-1.)); #381562=DIRECTION('',(0.,0.,1.)); #381563=DIRECTION('center_axis',(0.,0.,1.)); #381564=DIRECTION('ref_axis',(1.,0.,0.)); #381565=DIRECTION('',(0.,0.,-1.)); #381566=DIRECTION('',(0.,0.,1.)); #381567=DIRECTION('center_axis',(0.,0.,1.)); #381568=DIRECTION('ref_axis',(1.,0.,0.)); #381569=DIRECTION('',(0.,0.,-1.)); #381570=DIRECTION('',(0.,0.,1.)); #381571=DIRECTION('center_axis',(0.,0.,1.)); #381572=DIRECTION('ref_axis',(1.,0.,0.)); #381573=DIRECTION('',(0.,0.,-1.)); #381574=DIRECTION('',(0.,0.,1.)); #381575=DIRECTION('center_axis',(0.,0.,1.)); #381576=DIRECTION('ref_axis',(1.,0.,0.)); #381577=DIRECTION('',(0.,0.,-1.)); #381578=DIRECTION('center_axis',(0.,0.,1.)); #381579=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #381580=DIRECTION('center_axis',(0.,0.,-1.)); #381581=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #381582=DIRECTION('center_axis',(0.,0.,-1.)); #381583=DIRECTION('ref_axis',(-1.,0.,0.)); #381584=DIRECTION('center_axis',(0.,0.,1.)); #381585=DIRECTION('ref_axis',(1.,0.,0.)); #381586=DIRECTION('',(0.,-1.,0.)); #381587=DIRECTION('center_axis',(0.280136775642075,-0.649248324551448,0.707106781186548)); #381588=DIRECTION('ref_axis',(0.929698433687633,0.,-0.368321629012962)); #381589=DIRECTION('',(0.918175785928666,0.396173227432492,0.)); #381590=DIRECTION('',(-0.280136775642073,0.649248324551449,0.707106781186547)); #381591=DIRECTION('',(-0.918175785928666,-0.396173227432492,0.)); #381592=DIRECTION('center_axis',(0.,0.,1.)); #381593=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #381594=DIRECTION('center_axis',(0.,0.,1.)); #381595=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #381596=DIRECTION('center_axis',(0.,0.,1.)); #381597=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #381598=DIRECTION('center_axis',(0.,0.,-1.)); #381599=DIRECTION('ref_axis',(-0.396173227432488,0.918175785928667,0.)); #381600=DIRECTION('center_axis',(0.,0.,-1.)); #381601=DIRECTION('ref_axis',(-1.,0.,0.)); #381602=DIRECTION('',(1.,0.,0.)); #381603=DIRECTION('',(0.,-1.,0.)); #381604=DIRECTION('center_axis',(0.,0.,-1.)); #381605=DIRECTION('ref_axis',(1.,0.,0.)); #381606=DIRECTION('',(1.,0.,0.)); #381607=DIRECTION('center_axis',(0.,0.,1.)); #381608=DIRECTION('ref_axis',(1.,0.,0.)); #381609=DIRECTION('center_axis',(0.,0.,-1.)); #381610=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #381611=DIRECTION('',(0.,0.,-1.)); #381612=DIRECTION('center_axis',(-0.396173227432492,0.918175785928666,0.)); #381613=DIRECTION('ref_axis',(0.918175785928666,0.396173227432492,0.)); #381614=DIRECTION('center_axis',(0.,0.,-1.)); #381615=DIRECTION('ref_axis',(0.396173227432488,-0.918175785928667,0.)); #381616=DIRECTION('',(0.,0.,-1.)); #381617=DIRECTION('center_axis',(0.39617322743249,-0.918175785928666,0.)); #381618=DIRECTION('ref_axis',(-0.918175785928666,-0.39617322743249,0.)); #381619=DIRECTION('center_axis',(0.,0.,-1.)); #381620=DIRECTION('ref_axis',(-0.918175785928666,-0.396173227432492,0.)); #381621=DIRECTION('center_axis',(0.,0.,-1.)); #381622=DIRECTION('ref_axis',(1.,0.,0.)); #381623=DIRECTION('center_axis',(0.,0.,1.)); #381624=DIRECTION('ref_axis',(1.,0.,0.)); #381625=DIRECTION('center_axis',(0.,0.,-1.)); #381626=DIRECTION('ref_axis',(1.,0.,0.)); #381627=DIRECTION('center_axis',(0.,0.,1.)); #381628=DIRECTION('ref_axis',(1.,0.,0.)); #381629=DIRECTION('center_axis',(0.,0.,-1.)); #381630=DIRECTION('ref_axis',(1.,0.,0.)); #381631=DIRECTION('center_axis',(0.,0.,1.)); #381632=DIRECTION('ref_axis',(1.,0.,0.)); #381633=DIRECTION('center_axis',(0.,0.,-1.)); #381634=DIRECTION('ref_axis',(1.,0.,0.)); #381635=DIRECTION('center_axis',(0.,0.,-1.)); #381636=DIRECTION('ref_axis',(-1.,0.,0.)); #381637=DIRECTION('center_axis',(0.,0.,-1.)); #381638=DIRECTION('ref_axis',(1.,0.,0.)); #381639=DIRECTION('',(1.,0.,0.)); #381640=DIRECTION('center_axis',(0.,1.,0.)); #381641=DIRECTION('ref_axis',(1.,0.,0.)); #381642=DIRECTION('',(0.,0.,-1.)); #381643=DIRECTION('',(1.,0.,0.)); #381644=DIRECTION('',(0.,0.,-1.)); #381645=DIRECTION('center_axis',(0.396173227432491,-0.918175785928666,0.)); #381646=DIRECTION('ref_axis',(-0.918175785928666,-0.396173227432491,0.)); #381647=DIRECTION('',(0.,0.,1.)); #381648=DIRECTION('',(-0.918175785928666,-0.396173227432491,0.)); #381649=DIRECTION('center_axis',(0.,0.,-1.)); #381650=DIRECTION('ref_axis',(1.,0.,0.)); #381651=DIRECTION('center_axis',(0.,0.,-1.)); #381652=DIRECTION('ref_axis',(1.,0.,0.)); #381653=DIRECTION('center_axis',(-1.,0.,0.)); #381654=DIRECTION('ref_axis',(0.,1.,0.)); #381655=DIRECTION('',(0.,1.,0.)); #381656=DIRECTION('',(0.,0.,-1.)); #381657=DIRECTION('center_axis',(-1.,0.,0.)); #381658=DIRECTION('ref_axis',(0.,1.,0.)); #381659=DIRECTION('',(0.,-1.,0.)); #381660=DIRECTION('',(0.,-1.,0.)); #381661=DIRECTION('',(0.,0.,-1.)); #381662=DIRECTION('',(0.,1.,0.)); #381663=DIRECTION('center_axis',(0.,0.,-1.)); #381664=DIRECTION('ref_axis',(-1.,0.,0.)); #381665=DIRECTION('center_axis',(0.,0.,-1.)); #381666=DIRECTION('ref_axis',(-1.,0.,0.)); #381667=DIRECTION('',(0.,0.,-1.)); #381668=DIRECTION('center_axis',(0.,0.,-1.)); #381669=DIRECTION('ref_axis',(-1.,0.,0.)); #381670=DIRECTION('center_axis',(0.,0.,1.)); #381671=DIRECTION('ref_axis',(1.,0.,0.)); #381672=DIRECTION('center_axis',(0.,0.,1.)); #381673=DIRECTION('ref_axis',(-1.,0.,0.)); #381674=DIRECTION('center_axis',(0.,0.,-1.)); #381675=DIRECTION('ref_axis',(-1.,0.,0.)); #381676=DIRECTION('center_axis',(0.,0.,-1.)); #381677=DIRECTION('ref_axis',(-1.,0.,0.)); #381678=DIRECTION('',(0.,0.,-1.)); #381679=DIRECTION('center_axis',(0.,0.,-1.)); #381680=DIRECTION('ref_axis',(-1.,0.,0.)); #381681=DIRECTION('center_axis',(0.,0.,1.)); #381682=DIRECTION('ref_axis',(1.,0.,0.)); #381683=DIRECTION('center_axis',(0.,0.,1.)); #381684=DIRECTION('ref_axis',(-1.,0.,0.)); #381685=DIRECTION('center_axis',(0.,0.,-1.)); #381686=DIRECTION('ref_axis',(-1.,0.,0.)); #381687=DIRECTION('center_axis',(0.,0.,-1.)); #381688=DIRECTION('ref_axis',(-1.,0.,0.)); #381689=DIRECTION('',(0.,0.,-1.)); #381690=DIRECTION('center_axis',(0.,0.,-1.)); #381691=DIRECTION('ref_axis',(-1.,0.,0.)); #381692=DIRECTION('center_axis',(0.,0.,1.)); #381693=DIRECTION('ref_axis',(1.,0.,0.)); #381694=DIRECTION('center_axis',(0.,0.,1.)); #381695=DIRECTION('ref_axis',(-1.,0.,0.)); #381696=DIRECTION('center_axis',(0.,0.,-1.)); #381697=DIRECTION('ref_axis',(-1.,0.,0.)); #381698=DIRECTION('center_axis',(0.,0.,-1.)); #381699=DIRECTION('ref_axis',(-1.,0.,0.)); #381700=DIRECTION('',(0.,0.,-1.)); #381701=DIRECTION('center_axis',(0.,0.,-1.)); #381702=DIRECTION('ref_axis',(-1.,0.,0.)); #381703=DIRECTION('center_axis',(0.,0.,1.)); #381704=DIRECTION('ref_axis',(1.,0.,0.)); #381705=DIRECTION('center_axis',(0.,0.,1.)); #381706=DIRECTION('ref_axis',(-1.,0.,0.)); #381707=DIRECTION('center_axis',(0.,0.,-1.)); #381708=DIRECTION('ref_axis',(-1.,0.,0.)); #381709=DIRECTION('',(0.,0.,-1.)); #381710=DIRECTION('center_axis',(0.,0.,-1.)); #381711=DIRECTION('ref_axis',(-1.,0.,0.)); #381712=DIRECTION('center_axis',(0.,0.,1.)); #381713=DIRECTION('ref_axis',(1.,0.,0.)); #381714=DIRECTION('center_axis',(0.,0.,-1.)); #381715=DIRECTION('ref_axis',(-1.,0.,0.)); #381716=DIRECTION('',(0.,0.,-1.)); #381717=DIRECTION('center_axis',(0.,0.,-1.)); #381718=DIRECTION('ref_axis',(-1.,0.,0.)); #381719=DIRECTION('center_axis',(0.,0.,1.)); #381720=DIRECTION('ref_axis',(1.,0.,0.)); #381721=DIRECTION('center_axis',(0.,0.,-1.)); #381722=DIRECTION('ref_axis',(-1.,0.,0.)); #381723=DIRECTION('',(0.,0.,-1.)); #381724=DIRECTION('center_axis',(0.,0.,-1.)); #381725=DIRECTION('ref_axis',(-1.,0.,0.)); #381726=DIRECTION('center_axis',(0.,0.,1.)); #381727=DIRECTION('ref_axis',(1.,0.,0.)); #381728=DIRECTION('center_axis',(0.,0.,-1.)); #381729=DIRECTION('ref_axis',(-1.,0.,0.)); #381730=DIRECTION('',(0.,0.,-1.)); #381731=DIRECTION('center_axis',(0.,0.,-1.)); #381732=DIRECTION('ref_axis',(-1.,0.,0.)); #381733=DIRECTION('center_axis',(0.,0.,1.)); #381734=DIRECTION('ref_axis',(1.,0.,0.)); #381735=DIRECTION('center_axis',(0.,0.,-1.)); #381736=DIRECTION('ref_axis',(-1.,0.,0.)); #381737=DIRECTION('center_axis',(0.,0.,-1.)); #381738=DIRECTION('ref_axis',(1.,0.,0.)); #381739=DIRECTION('center_axis',(0.,0.,1.)); #381740=DIRECTION('ref_axis',(1.,0.,0.)); #381741=DIRECTION('',(0.707106781186548,8.65956056235493E-17,-0.707106781186548)); #381742=DIRECTION('center_axis',(0.422945646405803,-0.906155053060114,-2.72937728728825E-17)); #381743=DIRECTION('ref_axis',(0.,3.01204223059956E-17,-1.)); #381744=DIRECTION('',(-0.906155053060114,-0.422945646405801,0.)); #381745=DIRECTION('',(0.906155053060113,0.422945646405803,0.)); #381746=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,1.)); #381747=DIRECTION('',(0.341680648178633,-0.939816117472046,0.)); #381748=DIRECTION('center_axis',(-0.939816117472137,-0.341680648178381, -2.72937728728827E-17)); #381749=DIRECTION('ref_axis',(-2.90416096994548E-17,0.,1.)); #381750=DIRECTION('',(0.341680648178381,-0.939816117472137,0.)); #381751=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,-1.)); #381752=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,0.)); #381753=DIRECTION('ref_axis',(-0.923879532511286,0.38268343236509,0.)); #381754=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #381755=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #381756=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,3.70074341541719E-17)); #381757=DIRECTION('ref_axis',(3.41904109657985E-17,-1.41621319251436E-17, 1.)); #381758=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #381759=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,-1.)); #381760=DIRECTION('center_axis',(0.908256582697375,0.418413646989299,-2.51280365568721E-17)); #381761=DIRECTION('ref_axis',(-2.76662311460996E-17,0.,-1.)); #381762=DIRECTION('',(-0.418413646989294,0.908256582697378,0.)); #381763=DIRECTION('',(0.,0.,1.)); #381764=DIRECTION('',(0.418413646989299,-0.908256582697375,0.)); #381765=DIRECTION('',(0.938097515809818,0.346371261555354,1.30806894959031E-14)); #381766=DIRECTION('center_axis',(-0.3463712615555,0.938097515809765,-2.5128036556872E-17)); #381767=DIRECTION('ref_axis',(0.,2.67861668252916E-17,1.)); #381768=DIRECTION('',(0.938097515809762,0.346371261555506,0.)); #381769=DIRECTION('',(0.,0.,-1.)); #381770=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,1.)); #381771=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,0.)); #381772=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #381773=DIRECTION('',(0.38268343236509,0.923879532511286,0.)); #381774=DIRECTION('center_axis',(0.,0.,-1.)); #381775=DIRECTION('ref_axis',(1.,0.,0.)); #381776=DIRECTION('',(0.,0.,1.)); #381777=DIRECTION('',(0.,0.,-1.)); #381778=DIRECTION('',(0.,0.,1.)); #381779=DIRECTION('center_axis',(0.,0.,1.)); #381780=DIRECTION('ref_axis',(1.,0.,0.)); #381781=DIRECTION('',(0.,0.,1.)); #381782=DIRECTION('',(0.,0.,1.)); #381783=DIRECTION('',(0.,0.,-1.)); #381784=DIRECTION('',(0.,0.,1.)); #381785=DIRECTION('',(0.,0.,-1.)); #381786=DIRECTION('',(0.,0.,1.)); #381787=DIRECTION('',(0.,0.,-1.)); #381788=DIRECTION('',(0.,0.,1.)); #381789=DIRECTION('',(0.,0.,-1.)); #381790=DIRECTION('',(0.,0.,1.)); #381791=DIRECTION('',(0.,0.,-1.)); #381792=DIRECTION('',(0.,0.,1.)); #381793=DIRECTION('',(0.,0.,-1.)); #381794=DIRECTION('',(0.,0.,1.)); #381795=DIRECTION('',(0.,0.,-1.)); #381796=DIRECTION('',(0.,0.,1.)); #381797=DIRECTION('',(0.,0.,-1.)); #381798=DIRECTION('',(0.,0.,1.)); #381799=DIRECTION('',(0.,0.,-1.)); #381800=DIRECTION('',(0.,0.,1.)); #381801=DIRECTION('',(0.,0.,-1.)); #381802=DIRECTION('',(0.,0.,1.)); #381803=DIRECTION('',(0.,0.,-1.)); #381804=DIRECTION('',(0.,0.,1.)); #381805=DIRECTION('',(0.,0.,-1.)); #381806=DIRECTION('',(0.,0.,1.)); #381807=DIRECTION('',(0.,0.,-1.)); #381808=DIRECTION('',(0.,0.,1.)); #381809=DIRECTION('',(0.,0.,-1.)); #381810=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #381811=DIRECTION('ref_axis',(3.41904109657985E-17,-1.41621319251436E-17, -1.)); #381812=DIRECTION('center_axis',(0.0439803001190699,-0.999032398474362, -2.72937728728827E-17)); #381813=DIRECTION('ref_axis',(0.,2.73202079477737E-17,-1.)); #381814=DIRECTION('',(-0.999032398474362,-0.0439803001190699,0.)); #381815=DIRECTION('',(0.999032398474362,0.0439803001190699,0.)); #381816=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,1.)); #381817=DIRECTION('',(-0.0439803001187979,-0.999032398474374,-1.20427485556141E-14)); #381818=DIRECTION('center_axis',(-0.999032398474362,0.0439803001190699, -2.72937728728827E-17)); #381819=DIRECTION('ref_axis',(-2.73202079477737E-17,0.,1.)); #381820=DIRECTION('',(-0.0439803001190699,-0.999032398474362,0.)); #381821=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,-1.)); #381822=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #381823=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #381824=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #381825=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #381826=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,3.70074341541719E-17)); #381827=DIRECTION('ref_axis',(2.61682076447296E-17,-2.61682076447296E-17, 1.)); #381828=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #381829=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,-1.)); #381830=DIRECTION('center_axis',(0.99923963760101,0.0389890580420102,-2.51280365568718E-17)); #381831=DIRECTION('ref_axis',(-2.51471575098838E-17,0.,-1.)); #381832=DIRECTION('',(-0.0389890580420168,0.999239637601009,0.)); #381833=DIRECTION('',(0.0389890580420168,-0.999239637601009,0.)); #381834=DIRECTION('',(0.999239637601004,-0.0389890580421548,0.)); #381835=DIRECTION('center_axis',(0.0389890580420102,0.99923963760101,-2.51280365568718E-17)); #381836=DIRECTION('ref_axis',(0.,2.51471575098838E-17,1.)); #381837=DIRECTION('',(0.999239637601009,-0.0389890580420105,0.)); #381838=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,1.)); #381839=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #381840=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #381841=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #381842=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,3.70074341541719E-17)); #381843=DIRECTION('ref_axis',(2.61682076447296E-17,-2.61682076447296E-17, -1.)); #381844=DIRECTION('center_axis',(-0.341680648178384,-0.939816117472136, -2.72937728728827E-17)); #381845=DIRECTION('ref_axis',(0.,2.90416096994547E-17,-1.)); #381846=DIRECTION('',(-0.939816117472137,0.341680648178381,0.)); #381847=DIRECTION('',(0.939816117472137,-0.341680648178381,0.)); #381848=DIRECTION('',(1.41621319251436E-17,-3.41904109657985E-17,1.)); #381849=DIRECTION('',(-0.42294564640557,-0.906155053060223,0.)); #381850=DIRECTION('center_axis',(-0.906155053060113,0.422945646405804,-2.72937728728825E-17)); #381851=DIRECTION('ref_axis',(-3.01204223059957E-17,0.,1.)); #381852=DIRECTION('',(-0.422945646405809,-0.906155053060111,0.)); #381853=DIRECTION('',(1.41621319251436E-17,-3.41904109657985E-17,-1.)); #381854=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,0.)); #381855=DIRECTION('ref_axis',(-0.38268343236509,0.923879532511287,0.)); #381856=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #381857=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #381858=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,3.70074341541719E-17)); #381859=DIRECTION('ref_axis',(1.41621319251436E-17,-3.41904109657985E-17, 1.)); #381860=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #381861=DIRECTION('',(-1.41621319251436E-17,3.41904109657985E-17,-1.)); #381862=DIRECTION('center_axis',(0.938097515809768,-0.346371261555491,-2.51280365568717E-17)); #381863=DIRECTION('ref_axis',(-2.67861668252912E-17,0.,-1.)); #381864=DIRECTION('',(0.346371261555492,0.938097515809767,0.)); #381865=DIRECTION('',(-0.346371261555492,-0.938097515809767,0.)); #381866=DIRECTION('',(0.908256582697308,-0.418413646989445,1.3080689495903E-14)); #381867=DIRECTION('center_axis',(0.41841364698931,0.908256582697371,-2.51280365568718E-17)); #381868=DIRECTION('ref_axis',(0.,2.76662311460994E-17,1.)); #381869=DIRECTION('',(0.90825658269737,-0.41841364698931,0.)); #381870=DIRECTION('',(-1.41621319251436E-17,3.41904109657985E-17,1.)); #381871=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,0.)); #381872=DIRECTION('ref_axis',(0.38268343236509,-0.923879532511287,0.)); #381873=DIRECTION('',(0.923879532511287,0.38268343236509,0.)); #381874=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #381875=DIRECTION('ref_axis',(1.41621319251436E-17,-3.41904109657985E-17, -1.)); #381876=DIRECTION('center_axis',(-0.675323815133473,-0.737521352039092, -2.72937728728825E-17)); #381877=DIRECTION('ref_axis',(-4.04158305412169E-17,0.,1.)); #381878=DIRECTION('',(-0.737521352039092,0.675323815133473,0.)); #381879=DIRECTION('',(0.737521352039093,-0.675323815133472,0.)); #381880=DIRECTION('',(-6.88079666764234E-33,-3.70074341541719E-17,1.)); #381881=DIRECTION('',(-0.737521352038917,-0.675323815133665,-1.20427485556141E-14)); #381882=DIRECTION('center_axis',(-0.67532381513347,0.737521352039095,-2.72937728728826E-17)); #381883=DIRECTION('ref_axis',(-4.04158305412172E-17,0.,1.)); #381884=DIRECTION('',(-0.737521352039096,-0.675323815133469,0.)); #381885=DIRECTION('',(-6.88079666764234E-33,-3.70074341541719E-17,-1.)); #381886=DIRECTION('center_axis',(1.,-1.85930119850437E-16,0.)); #381887=DIRECTION('ref_axis',(1.85930119850437E-16,1.,0.)); #381888=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #381889=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #381890=DIRECTION('center_axis',(1.85930119850437E-16,1.,3.70074341541719E-17)); #381891=DIRECTION('ref_axis',(-6.79815536723445E-33,-3.70074341541719E-17, 1.)); #381892=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #381893=DIRECTION('',(6.88079666764234E-33,3.70074341541719E-17,-1.)); #381894=DIRECTION('center_axis',(0.734138551111637,-0.678999696444488,-2.51280365568721E-17)); #381895=DIRECTION('ref_axis',(-3.42279213083458E-17,0.,-1.)); #381896=DIRECTION('',(0.67899969644449,0.734138551111635,0.)); #381897=DIRECTION('',(-0.678999696444489,-0.734138551111635,0.)); #381898=DIRECTION('',(0.678999696444368,-0.734138551111748,-1.30806894959031E-14)); #381899=DIRECTION('center_axis',(0.734138551111643,0.678999696444481,-2.51280365568718E-17)); #381900=DIRECTION('ref_axis',(-3.42279213083451E-17,0.,-1.)); #381901=DIRECTION('',(0.678999696444482,-0.734138551111642,0.)); #381902=DIRECTION('',(6.88079666764234E-33,3.70074341541719E-17,1.)); #381903=DIRECTION('center_axis',(1.,-1.85930119850437E-16,0.)); #381904=DIRECTION('ref_axis',(-1.85930119850437E-16,-1.,0.)); #381905=DIRECTION('',(1.,-1.85930119850437E-16,0.)); #381906=DIRECTION('center_axis',(-1.85930119850437E-16,-1.,3.70074341541719E-17)); #381907=DIRECTION('ref_axis',(-6.79815536723445E-33,-3.70074341541719E-17, -1.)); #381908=DIRECTION('center_axis',(-0.906155053060111,-0.422945646405808, -2.72937728728827E-17)); #381909=DIRECTION('ref_axis',(-3.01204223059959E-17,0.,1.)); #381910=DIRECTION('',(-0.422945646405808,0.906155053060111,0.)); #381911=DIRECTION('',(0.422945646405813,-0.906155053060109,0.)); #381912=DIRECTION('',(-1.41621319251436E-17,-3.41904109657985E-17,1.)); #381913=DIRECTION('',(-0.939816117472046,-0.34168064817863,0.)); #381914=DIRECTION('center_axis',(-0.341680648178381,0.939816117472137,-2.72937728728827E-17)); #381915=DIRECTION('ref_axis',(0.,2.90416096994548E-17,1.)); #381916=DIRECTION('',(-0.939816117472137,-0.341680648178381,0.)); #381917=DIRECTION('',(-1.41621319251436E-17,-3.41904109657985E-17,-1.)); #381918=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,0.)); #381919=DIRECTION('ref_axis',(0.38268343236509,0.923879532511286,0.)); #381920=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #381921=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #381922=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,3.70074341541719E-17)); #381923=DIRECTION('ref_axis',(-1.41621319251436E-17,-3.41904109657985E-17, 1.)); #381924=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #381925=DIRECTION('',(1.41621319251436E-17,3.41904109657985E-17,-1.)); #381926=DIRECTION('center_axis',(0.418413646989299,-0.908256582697375,-2.51280365568721E-17)); #381927=DIRECTION('ref_axis',(0.,2.76662311460996E-17,-1.)); #381928=DIRECTION('',(0.908256582697375,0.418413646989299,0.)); #381929=DIRECTION('',(-0.908256582697375,-0.418413646989299,0.)); #381930=DIRECTION('',(0.346371261555353,-0.938097515809819,1.3080689495903E-14)); #381931=DIRECTION('center_axis',(0.938097515809765,0.3463712615555,-2.5128036556872E-17)); #381932=DIRECTION('ref_axis',(-2.67861668252916E-17,0.,-1.)); #381933=DIRECTION('',(0.346371261555506,-0.938097515809762,0.)); #381934=DIRECTION('',(1.41621319251436E-17,3.41904109657985E-17,1.)); #381935=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,0.)); #381936=DIRECTION('ref_axis',(-0.38268343236509,-0.923879532511287,0.)); #381937=DIRECTION('',(0.923879532511286,-0.38268343236509,0.)); #381938=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #381939=DIRECTION('ref_axis',(-1.41621319251436E-17,-3.41904109657985E-17, -1.)); #381940=DIRECTION('center_axis',(-0.999032398474363,-0.0439803001190583, -2.72937728728824E-17)); #381941=DIRECTION('ref_axis',(-2.73202079477734E-17,0.,1.)); #381942=DIRECTION('',(-0.0439803001190583,0.999032398474363,0.)); #381943=DIRECTION('',(0.0439803001190583,-0.999032398474363,0.)); #381944=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,1.)); #381945=DIRECTION('',(-0.999032398474374,0.0439803001188038,-1.20427485556141E-14)); #381946=DIRECTION('center_axis',(0.0439803001190699,0.999032398474362,-2.72937728728827E-17)); #381947=DIRECTION('ref_axis',(0.,2.73202079477737E-17,1.)); #381948=DIRECTION('',(-0.999032398474362,0.0439803001190757,0.)); #381949=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,-1.)); #381950=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #381951=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #381952=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #381953=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #381954=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,3.70074341541719E-17)); #381955=DIRECTION('ref_axis',(-2.61682076447296E-17,-2.61682076447296E-17, 1.)); #381956=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #381957=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,-1.)); #381958=DIRECTION('center_axis',(0.0389890580420108,-0.99923963760101,-2.51280365568718E-17)); #381959=DIRECTION('ref_axis',(0.,2.51471575098838E-17,-1.)); #381960=DIRECTION('',(0.999239637601009,0.0389890580420178,0.)); #381961=DIRECTION('',(-0.999239637601009,-0.0389890580420178,0.)); #381962=DIRECTION('',(-0.0389890580421546,-0.999239637601004,1.3080689495903E-14)); #381963=DIRECTION('center_axis',(0.99923963760101,-0.0389890580420102,-2.51280365568718E-17)); #381964=DIRECTION('ref_axis',(-2.51471575098838E-17,0.,-1.)); #381965=DIRECTION('',(-0.0389890580420105,-0.999239637601009,0.)); #381966=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,1.)); #381967=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #381968=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #381969=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #381970=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 3.70074341541719E-17)); #381971=DIRECTION('ref_axis',(-2.61682076447296E-17,-2.61682076447296E-17, -1.)); #381972=DIRECTION('center_axis',(-0.939816117472139,0.341680648178375,-2.7293772872883E-17)); #381973=DIRECTION('ref_axis',(-2.90416096994549E-17,0.,1.)); #381974=DIRECTION('',(0.341680648178375,0.939816117472139,0.)); #381975=DIRECTION('',(-0.341680648178375,-0.939816117472139,0.)); #381976=DIRECTION('',(-3.41904109657986E-17,-1.41621319251435E-17,1.)); #381977=DIRECTION('',(-0.906155053060224,0.422945646405566,1.20427485556142E-14)); #381978=DIRECTION('center_axis',(0.422945646405819,0.906155053060106,-2.7293772872883E-17)); #381979=DIRECTION('ref_axis',(0.,3.01204223059964E-17,1.)); #381980=DIRECTION('',(-0.906155053060106,0.422945646405819,0.)); #381981=DIRECTION('',(-3.41904109657986E-17,-1.41621319251435E-17,-1.)); #381982=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,0.)); #381983=DIRECTION('ref_axis',(0.923879532511287,0.38268343236509,0.)); #381984=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #381985=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #381986=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,3.70074341541719E-17)); #381987=DIRECTION('ref_axis',(-3.41904109657985E-17,-1.41621319251435E-17, 1.)); #381988=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #381989=DIRECTION('',(3.41904109657986E-17,1.41621319251435E-17,-1.)); #381990=DIRECTION('center_axis',(-0.346371261555499,-0.938097515809765, -2.51280365568719E-17)); #381991=DIRECTION('ref_axis',(0.,2.67861668252916E-17,-1.)); #381992=DIRECTION('',(0.938097515809767,-0.346371261555493,0.)); #381993=DIRECTION('',(-0.938097515809767,0.346371261555493,0.)); #381994=DIRECTION('',(-0.418413646989447,-0.908256582697307,0.)); #381995=DIRECTION('center_axis',(0.908256582697367,-0.418413646989318,-2.51280365568716E-17)); #381996=DIRECTION('ref_axis',(-2.76662311460993E-17,0.,-1.)); #381997=DIRECTION('',(-0.41841364698932,-0.908256582697366,0.)); #381998=DIRECTION('',(3.41904109657986E-17,1.41621319251435E-17,1.)); #381999=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,0.)); #382000=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #382001=DIRECTION('',(0.38268343236509,-0.923879532511287,0.)); #382002=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #382003=DIRECTION('ref_axis',(-3.41904109657985E-17,-1.41621319251435E-17, -1.)); #382004=DIRECTION('center_axis',(-0.737521352039095,0.67532381513347,-2.72937728728826E-17)); #382005=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #382006=DIRECTION('',(0.67532381513347,0.737521352039095,0.)); #382007=DIRECTION('',(-0.675323815133469,-0.737521352039096,0.)); #382008=DIRECTION('',(-3.70074341541719E-17,4.49898243653537E-33,1.)); #382009=DIRECTION('',(-0.675323815133665,0.737521352038916,-1.20427485556141E-14)); #382010=DIRECTION('center_axis',(0.737521352039095,0.675323815133471,-2.72937728728826E-17)); #382011=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #382012=DIRECTION('',(-0.67532381513347,0.737521352039095,0.)); #382013=DIRECTION('',(-3.70074341541719E-17,4.49898243653537E-33,-1.)); #382014=DIRECTION('center_axis',(-1.21569693748363E-16,-1.,0.)); #382015=DIRECTION('ref_axis',(1.,-1.21569693748363E-16,0.)); #382016=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #382017=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #382018=DIRECTION('center_axis',(1.,-1.21569693748363E-16,3.70074341541719E-17)); #382019=DIRECTION('ref_axis',(-3.70074341541719E-17,4.5321035781563E-33, 1.)); #382020=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #382021=DIRECTION('',(3.70074341541719E-17,-4.49898243653537E-33,-1.)); #382022=DIRECTION('center_axis',(-0.678999696444488,-0.734138551111637, -2.51280365568721E-17)); #382023=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #382024=DIRECTION('',(0.734138551111635,-0.67899969644449,0.)); #382025=DIRECTION('',(-0.734138551111636,0.678999696444489,0.)); #382026=DIRECTION('',(-0.734138551111747,-0.678999696444369,-1.30806894959031E-14)); #382027=DIRECTION('center_axis',(0.678999696444481,-0.734138551111643,-2.51280365568718E-17)); #382028=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #382029=DIRECTION('',(-0.734138551111642,-0.678999696444482,0.)); #382030=DIRECTION('',(3.70074341541719E-17,-4.49898243653537E-33,1.)); #382031=DIRECTION('center_axis',(-1.21569693748363E-16,-1.,0.)); #382032=DIRECTION('ref_axis',(-1.,1.21569693748363E-16,0.)); #382033=DIRECTION('',(-1.21569693748363E-16,-1.,0.)); #382034=DIRECTION('center_axis',(-1.,1.21569693748363E-16,3.70074341541719E-17)); #382035=DIRECTION('ref_axis',(-3.70074341541719E-17,4.5321035781563E-33, -1.)); #382036=DIRECTION('center_axis',(-0.422945646405804,0.906155053060113,-2.72937728728825E-17)); #382037=DIRECTION('ref_axis',(0.,3.01204223059957E-17,1.)); #382038=DIRECTION('',(0.906155053060111,0.42294564640581,0.)); #382039=DIRECTION('',(-0.906155053060111,-0.42294564640581,0.)); #382040=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,1.)); #382041=DIRECTION('',(-0.341680648178633,0.939816117472046,0.)); #382042=DIRECTION('center_axis',(0.939816117472138,0.341680648178379,-2.72937728728828E-17)); #382043=DIRECTION('ref_axis',(-2.90416096994548E-17,0.,-1.)); #382044=DIRECTION('',(-0.341680648178377,0.939816117472138,0.)); #382045=DIRECTION('',(-3.41904109657985E-17,1.41621319251436E-17,-1.)); #382046=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,0.)); #382047=DIRECTION('ref_axis',(0.923879532511287,-0.38268343236509,0.)); #382048=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #382049=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #382050=DIRECTION('center_axis',(0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #382051=DIRECTION('ref_axis',(-3.41904109657985E-17,1.41621319251436E-17, 1.)); #382052=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #382053=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,-1.)); #382054=DIRECTION('center_axis',(-0.908256582697371,-0.41841364698931,-2.51280365568718E-17)); #382055=DIRECTION('ref_axis',(-2.76662311460994E-17,0.,1.)); #382056=DIRECTION('',(0.418413646989312,-0.908256582697369,0.)); #382057=DIRECTION('',(-0.418413646989312,0.908256582697369,0.)); #382058=DIRECTION('',(-0.938097515809818,-0.346371261555354,1.30806894959031E-14)); #382059=DIRECTION('center_axis',(0.346371261555502,-0.938097515809764,-2.5128036556872E-17)); #382060=DIRECTION('ref_axis',(0.,2.67861668252917E-17,-1.)); #382061=DIRECTION('',(-0.938097515809764,-0.346371261555503,0.)); #382062=DIRECTION('',(3.41904109657985E-17,-1.41621319251436E-17,1.)); #382063=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,0.)); #382064=DIRECTION('ref_axis',(-0.923879532511287,0.38268343236509,0.)); #382065=DIRECTION('',(-0.38268343236509,-0.923879532511287,0.)); #382066=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,3.70074341541719E-17)); #382067=DIRECTION('ref_axis',(-3.41904109657985E-17,1.41621319251436E-17, -1.)); #382068=DIRECTION('center_axis',(-0.0439803001190585,0.999032398474363, -2.72937728728824E-17)); #382069=DIRECTION('ref_axis',(0.,2.73202079477734E-17,1.)); #382070=DIRECTION('',(0.999032398474363,0.0439803001190585,0.)); #382071=DIRECTION('',(-0.999032398474363,-0.0439803001190585,0.)); #382072=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,1.)); #382073=DIRECTION('',(0.0439803001187979,0.999032398474374,-1.20427485556141E-14)); #382074=DIRECTION('center_axis',(0.999032398474363,-0.0439803001190585, -2.72937728728824E-17)); #382075=DIRECTION('ref_axis',(-2.73202079477734E-17,0.,-1.)); #382076=DIRECTION('',(0.0439803001190585,0.999032398474363,0.)); #382077=DIRECTION('',(-2.61682076447296E-17,2.61682076447296E-17,-1.)); #382078=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #382079=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #382080=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #382081=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #382082=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,3.70074341541719E-17)); #382083=DIRECTION('ref_axis',(-2.61682076447296E-17,2.61682076447296E-17, 1.)); #382084=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #382085=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,-1.)); #382086=DIRECTION('center_axis',(-0.99923963760101,-0.0389890580420102, -2.51280365568718E-17)); #382087=DIRECTION('ref_axis',(-2.51471575098838E-17,0.,1.)); #382088=DIRECTION('',(0.0389890580420102,-0.99923963760101,0.)); #382089=DIRECTION('',(-0.0389890580420102,0.99923963760101,0.)); #382090=DIRECTION('',(-0.999239637601004,0.0389890580421616,1.30806894959031E-14)); #382091=DIRECTION('center_axis',(-0.0389890580420165,-0.999239637601009, -2.51280365568716E-17)); #382092=DIRECTION('ref_axis',(0.,2.51471575098837E-17,-1.)); #382093=DIRECTION('',(-0.99923963760101,0.0389890580420102,0.)); #382094=DIRECTION('',(2.61682076447296E-17,-2.61682076447296E-17,1.)); #382095=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #382096=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #382097=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #382098=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,3.70074341541719E-17)); #382099=DIRECTION('ref_axis',(-2.61682076447296E-17,2.61682076447296E-17, -1.)); #382100=DIRECTION('center_axis',(0.341680648178383,0.939816117472136,-2.72937728728827E-17)); #382101=DIRECTION('ref_axis',(0.,2.90416096994548E-17,1.)); #382102=DIRECTION('',(0.939816117472136,-0.341680648178383,0.)); #382103=DIRECTION('',(-0.939816117472136,0.341680648178383,0.)); #382104=DIRECTION('',(-1.41621319251435E-17,3.41904109657985E-17,1.)); #382105=DIRECTION('',(0.42294564640557,0.906155053060222,1.20427485556141E-14)); #382106=DIRECTION('center_axis',(0.906155053060113,-0.422945646405805,-2.72937728728825E-17)); #382107=DIRECTION('ref_axis',(-3.01204223059957E-17,0.,-1.)); #382108=DIRECTION('',(0.422945646405806,0.906155053060112,0.)); #382109=DIRECTION('',(-1.41621319251435E-17,3.41904109657985E-17,-1.)); #382110=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,0.)); #382111=DIRECTION('ref_axis',(0.38268343236509,-0.923879532511287,0.)); #382112=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #382113=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #382114=DIRECTION('center_axis',(0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #382115=DIRECTION('ref_axis',(-1.41621319251435E-17,3.41904109657985E-17, 1.)); #382116=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #382117=DIRECTION('',(1.41621319251435E-17,-3.41904109657985E-17,-1.)); #382118=DIRECTION('center_axis',(-0.938097515809764,0.346371261555502,-2.5128036556872E-17)); #382119=DIRECTION('ref_axis',(-2.67861668252916E-17,0.,1.)); #382120=DIRECTION('',(-0.346371261555509,-0.938097515809761,0.)); #382121=DIRECTION('',(0.346371261555509,0.938097515809761,0.)); #382122=DIRECTION('',(-0.908256582697306,0.41841364698945,0.)); #382123=DIRECTION('center_axis',(-0.418413646989313,-0.908256582697369, -2.51280365568718E-17)); #382124=DIRECTION('ref_axis',(0.,2.76662311460994E-17,-1.)); #382125=DIRECTION('',(-0.90825658269737,0.41841364698931,0.)); #382126=DIRECTION('',(1.41621319251435E-17,-3.41904109657985E-17,1.)); #382127=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,0.)); #382128=DIRECTION('ref_axis',(-0.38268343236509,0.923879532511287,0.)); #382129=DIRECTION('',(-0.923879532511287,-0.38268343236509,0.)); #382130=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,3.70074341541719E-17)); #382131=DIRECTION('ref_axis',(-1.41621319251435E-17,3.41904109657985E-17, -1.)); #382132=DIRECTION('center_axis',(0.67532381513347,0.737521352039095,-2.72937728728826E-17)); #382133=DIRECTION('ref_axis',(-4.04158305412172E-17,0.,-1.)); #382134=DIRECTION('',(0.737521352039096,-0.67532381513347,0.)); #382135=DIRECTION('',(-0.737521352039096,0.675323815133469,0.)); #382136=DIRECTION('',(2.38181423110696E-33,3.70074341541719E-17,1.)); #382137=DIRECTION('',(0.737521352038917,0.675323815133664,-1.20427485556141E-14)); #382138=DIRECTION('center_axis',(0.675323815133471,-0.737521352039094,-2.72937728728826E-17)); #382139=DIRECTION('ref_axis',(-4.04158305412171E-17,0.,-1.)); #382140=DIRECTION('',(0.737521352039095,0.67532381513347,0.)); #382141=DIRECTION('',(2.38181423110696E-33,3.70074341541719E-17,-1.)); #382142=DIRECTION('center_axis',(-1.,6.43604261020744E-17,0.)); #382143=DIRECTION('ref_axis',(-6.43604261020744E-17,-1.,0.)); #382144=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #382145=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #382146=DIRECTION('center_axis',(-6.43604261020744E-17,-1.,3.70074341541719E-17)); #382147=DIRECTION('ref_axis',(2.26605178907815E-33,3.70074341541719E-17, 1.)); #382148=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #382149=DIRECTION('',(-2.38181423110696E-33,-3.70074341541719E-17,-1.)); #382150=DIRECTION('center_axis',(-0.734138551111637,0.678999696444488,-2.51280365568721E-17)); #382151=DIRECTION('ref_axis',(-3.42279213083458E-17,0.,1.)); #382152=DIRECTION('',(-0.67899969644449,-0.734138551111635,0.)); #382153=DIRECTION('',(0.678999696444489,0.734138551111636,0.)); #382154=DIRECTION('',(-0.678999696444368,0.734138551111747,-1.30806894959031E-14)); #382155=DIRECTION('center_axis',(-0.734138551111643,-0.678999696444481, -2.51280365568718E-17)); #382156=DIRECTION('ref_axis',(-3.42279213083451E-17,0.,1.)); #382157=DIRECTION('',(-0.678999696444482,0.734138551111642,0.)); #382158=DIRECTION('',(-2.38181423110696E-33,-3.70074341541719E-17,1.)); #382159=DIRECTION('center_axis',(-1.,6.43604261020744E-17,0.)); #382160=DIRECTION('ref_axis',(6.43604261020744E-17,1.,0.)); #382161=DIRECTION('',(-1.,6.43604261020744E-17,0.)); #382162=DIRECTION('center_axis',(6.43604261020744E-17,1.,3.70074341541719E-17)); #382163=DIRECTION('ref_axis',(2.26605178907815E-33,3.70074341541719E-17, -1.)); #382164=DIRECTION('center_axis',(0.906155053060115,0.4229456464058,-2.72937728728824E-17)); #382165=DIRECTION('ref_axis',(-3.01204223059955E-17,0.,-1.)); #382166=DIRECTION('',(0.422945646405801,-0.906155053060115,0.)); #382167=DIRECTION('',(-0.422945646405805,0.906155053060113,0.)); #382168=DIRECTION('',(1.41621319251435E-17,3.41904109657985E-17,1.)); #382169=DIRECTION('',(0.939816117472046,0.341680648178631,0.)); #382170=DIRECTION('center_axis',(0.341680648178381,-0.939816117472137,-2.72937728728828E-17)); #382171=DIRECTION('ref_axis',(0.,2.90416096994548E-17,-1.)); #382172=DIRECTION('',(0.939816117472138,0.34168064817838,0.)); #382173=DIRECTION('',(1.41621319251435E-17,3.41904109657985E-17,-1.)); #382174=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,0.)); #382175=DIRECTION('ref_axis',(-0.38268343236509,-0.923879532511287,0.)); #382176=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #382177=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #382178=DIRECTION('center_axis',(-0.38268343236509,-0.923879532511287,3.70074341541719E-17)); #382179=DIRECTION('ref_axis',(1.41621319251436E-17,3.41904109657985E-17, 1.)); #382180=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #382181=DIRECTION('',(-1.41621319251435E-17,-3.41904109657985E-17,-1.)); #382182=DIRECTION('center_axis',(-0.41841364698931,0.908256582697371,-2.51280365568718E-17)); #382183=DIRECTION('ref_axis',(0.,2.76662311460994E-17,1.)); #382184=DIRECTION('',(-0.908256582697372,-0.418413646989307,0.)); #382185=DIRECTION('',(0.908256582697372,0.418413646989307,0.)); #382186=DIRECTION('',(-0.34637126155536,0.938097515809816,0.)); #382187=DIRECTION('center_axis',(-0.938097515809767,-0.346371261555492, -2.51280365568717E-17)); #382188=DIRECTION('ref_axis',(-2.67861668252913E-17,0.,1.)); #382189=DIRECTION('',(-0.346371261555493,0.938097515809767,0.)); #382190=DIRECTION('',(-1.41621319251435E-17,-3.41904109657985E-17,1.)); #382191=DIRECTION('center_axis',(-0.923879532511287,0.38268343236509,0.)); #382192=DIRECTION('ref_axis',(0.38268343236509,0.923879532511287,0.)); #382193=DIRECTION('',(-0.923879532511287,0.38268343236509,0.)); #382194=DIRECTION('center_axis',(0.38268343236509,0.923879532511287,3.70074341541719E-17)); #382195=DIRECTION('ref_axis',(1.41621319251436E-17,3.41904109657985E-17, -1.)); #382196=DIRECTION('center_axis',(0.999032398474363,0.0439803001190583,-2.72937728728824E-17)); #382197=DIRECTION('ref_axis',(-2.73202079477734E-17,0.,-1.)); #382198=DIRECTION('',(0.0439803001190583,-0.999032398474363,0.)); #382199=DIRECTION('',(-0.0439803001190583,0.999032398474363,0.)); #382200=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,1.)); #382201=DIRECTION('',(0.999032398474374,-0.043980300118798,0.)); #382202=DIRECTION('center_axis',(-0.0439803001190583,-0.999032398474363, -2.72937728728824E-17)); #382203=DIRECTION('ref_axis',(0.,2.73202079477734E-17,-1.)); #382204=DIRECTION('',(0.999032398474363,-0.0439803001190583,0.)); #382205=DIRECTION('',(2.61682076447296E-17,2.61682076447296E-17,-1.)); #382206=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #382207=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #382208=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382209=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382210=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 3.70074341541719E-17)); #382211=DIRECTION('ref_axis',(2.61682076447296E-17,2.61682076447296E-17, 1.)); #382212=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382213=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,-1.)); #382214=DIRECTION('center_axis',(-0.038989058042017,0.999239637601009,-2.51280365568716E-17)); #382215=DIRECTION('ref_axis',(0.,2.51471575098836E-17,1.)); #382216=DIRECTION('',(-0.999239637601009,-0.038989058042017,0.)); #382217=DIRECTION('',(0.999239637601009,0.038989058042017,0.)); #382218=DIRECTION('',(0.0389890580421616,0.999239637601004,-1.30806894959031E-14)); #382219=DIRECTION('center_axis',(-0.999239637601009,0.038989058042017,-2.51280365568716E-17)); #382220=DIRECTION('ref_axis',(-2.51471575098836E-17,0.,1.)); #382221=DIRECTION('',(0.038989058042017,0.999239637601009,0.)); #382222=DIRECTION('',(-2.61682076447296E-17,-2.61682076447296E-17,1.)); #382223=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #382224=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #382225=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382226=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,3.70074341541719E-17)); #382227=DIRECTION('ref_axis',(2.61682076447296E-17,2.61682076447296E-17, -1.)); #382228=DIRECTION('center_axis',(0.939816117472137,-0.341680648178381,-2.72937728728828E-17)); #382229=DIRECTION('ref_axis',(-2.90416096994548E-17,0.,-1.)); #382230=DIRECTION('',(-0.341680648178381,-0.939816117472137,0.)); #382231=DIRECTION('',(0.341680648178381,0.939816117472137,0.)); #382232=DIRECTION('',(3.41904109657985E-17,1.41621319251435E-17,1.)); #382233=DIRECTION('',(0.906155053060223,-0.42294564640557,0.)); #382234=DIRECTION('center_axis',(-0.422945646405799,-0.906155053060116, -2.72937728728824E-17)); #382235=DIRECTION('ref_axis',(0.,3.01204223059955E-17,-1.)); #382236=DIRECTION('',(0.906155053060115,-0.422945646405801,0.)); #382237=DIRECTION('',(3.41904109657985E-17,1.41621319251435E-17,-1.)); #382238=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,0.)); #382239=DIRECTION('ref_axis',(-0.923879532511287,-0.38268343236509,0.)); #382240=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #382241=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #382242=DIRECTION('center_axis',(-0.923879532511287,-0.38268343236509,3.70074341541719E-17)); #382243=DIRECTION('ref_axis',(3.41904109657985E-17,1.41621319251435E-17, 1.)); #382244=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #382245=DIRECTION('',(-3.41904109657985E-17,-1.41621319251435E-17,-1.)); #382246=DIRECTION('center_axis',(0.346371261555492,0.938097515809767,-2.51280365568717E-17)); #382247=DIRECTION('ref_axis',(0.,2.67861668252913E-17,1.)); #382248=DIRECTION('',(-0.938097515809767,0.346371261555493,0.)); #382249=DIRECTION('',(0.938097515809767,-0.346371261555493,0.)); #382250=DIRECTION('',(0.418413646989444,0.908256582697308,-1.30806894959031E-14)); #382251=DIRECTION('center_axis',(-0.908256582697371,0.41841364698931,-2.51280365568718E-17)); #382252=DIRECTION('ref_axis',(-2.76662311460994E-17,0.,1.)); #382253=DIRECTION('',(0.41841364698931,0.90825658269737,0.)); #382254=DIRECTION('',(-3.41904109657985E-17,-1.41621319251435E-17,1.)); #382255=DIRECTION('center_axis',(-0.38268343236509,0.923879532511287,0.)); #382256=DIRECTION('ref_axis',(0.923879532511287,0.38268343236509,0.)); #382257=DIRECTION('',(-0.38268343236509,0.923879532511287,0.)); #382258=DIRECTION('center_axis',(0.923879532511287,0.38268343236509,3.70074341541719E-17)); #382259=DIRECTION('ref_axis',(3.41904109657985E-17,1.41621319251435E-17, -1.)); #382260=DIRECTION('center_axis',(0.737521352039095,-0.67532381513347,-2.72937728728826E-17)); #382261=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #382262=DIRECTION('',(-0.67532381513347,-0.737521352039095,0.)); #382263=DIRECTION('',(0.675323815133469,0.737521352039096,0.)); #382264=DIRECTION('',(3.70074341541719E-17,0.,1.)); #382265=DIRECTION('',(0.675323815133666,-0.737521352038916,-1.20427485556141E-14)); #382266=DIRECTION('center_axis',(-0.737521352039095,-0.67532381513347,-2.72937728728826E-17)); #382267=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #382268=DIRECTION('',(0.675323815133469,-0.737521352039096,0.)); #382269=DIRECTION('',(3.70074341541719E-17,0.,-1.)); #382270=DIRECTION('center_axis',(0.,1.,0.)); #382271=DIRECTION('ref_axis',(-1.,0.,0.)); #382272=DIRECTION('',(0.,1.,0.)); #382273=DIRECTION('',(0.,1.,0.)); #382274=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-17)); #382275=DIRECTION('ref_axis',(3.70074341541719E-17,0.,1.)); #382276=DIRECTION('',(0.,1.,0.)); #382277=DIRECTION('',(-3.70074341541719E-17,0.,-1.)); #382278=DIRECTION('center_axis',(0.678999696444481,0.734138551111643,-2.51280365568718E-17)); #382279=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,-1.)); #382280=DIRECTION('',(-0.734138551111641,0.678999696444483,0.)); #382281=DIRECTION('',(0.734138551111642,-0.678999696444482,0.)); #382282=DIRECTION('',(0.734138551111747,0.678999696444368,-1.30806894959031E-14)); #382283=DIRECTION('center_axis',(-0.678999696444481,0.734138551111643,-2.51280365568718E-17)); #382284=DIRECTION('ref_axis',(-3.70074341541719E-17,0.,1.)); #382285=DIRECTION('',(0.734138551111642,0.678999696444482,0.)); #382286=DIRECTION('',(-3.70074341541719E-17,0.,1.)); #382287=DIRECTION('center_axis',(0.,1.,0.)); #382288=DIRECTION('ref_axis',(1.,0.,0.)); #382289=DIRECTION('',(0.,1.,0.)); #382290=DIRECTION('center_axis',(1.,0.,3.70074341541719E-17)); #382291=DIRECTION('ref_axis',(3.70074341541719E-17,0.,-1.)); #382292=DIRECTION('center_axis',(0.,0.,-1.)); #382293=DIRECTION('ref_axis',(-1.,0.,0.)); #382294=DIRECTION('',(1.,0.,0.)); #382295=DIRECTION('center_axis',(0.,0.,-1.)); #382296=DIRECTION('ref_axis',(-1.,0.,0.)); #382297=DIRECTION('center_axis',(0.,0.,-1.)); #382298=DIRECTION('ref_axis',(-1.,0.,0.)); #382299=DIRECTION('center_axis',(0.,0.,-1.)); #382300=DIRECTION('ref_axis',(-1.,0.,0.)); #382301=DIRECTION('',(-1.,0.,0.)); #382302=DIRECTION('',(0.,1.,0.)); #382303=DIRECTION('center_axis',(0.,0.,-1.)); #382304=DIRECTION('ref_axis',(-1.,0.,0.)); #382305=DIRECTION('center_axis',(0.,0.,-1.)); #382306=DIRECTION('ref_axis',(0.,1.,0.)); #382307=DIRECTION('',(0.,1.,0.)); #382308=DIRECTION('',(1.,0.,0.)); #382309=DIRECTION('center_axis',(0.,0.,-1.)); #382310=DIRECTION('ref_axis',(-1.,0.,0.)); #382311=DIRECTION('',(-1.,0.,0.)); #382312=DIRECTION('center_axis',(0.,0.,-1.)); #382313=DIRECTION('ref_axis',(0.,-1.,0.)); #382314=DIRECTION('center_axis',(0.,0.,-1.)); #382315=DIRECTION('ref_axis',(-1.,0.,0.)); #382316=DIRECTION('',(-1.,0.,0.)); #382317=DIRECTION('center_axis',(0.,0.,-1.)); #382318=DIRECTION('ref_axis',(-1.,0.,0.)); #382319=DIRECTION('',(0.,1.,0.)); #382320=DIRECTION('center_axis',(0.,0.,-1.)); #382321=DIRECTION('ref_axis',(1.,0.,0.)); #382322=DIRECTION('center_axis',(0.,0.,1.)); #382323=DIRECTION('ref_axis',(1.,0.,0.)); #382324=DIRECTION('',(0.,0.,-1.)); #382325=DIRECTION('center_axis',(0.,0.,-1.)); #382326=DIRECTION('ref_axis',(0.,1.,0.)); #382327=DIRECTION('',(0.,0.,-1.)); #382328=DIRECTION('center_axis',(0.,0.,1.)); #382329=DIRECTION('ref_axis',(0.,1.,0.)); #382330=DIRECTION('',(0.,0.,-1.)); #382331=DIRECTION('center_axis',(0.,-1.,0.)); #382332=DIRECTION('ref_axis',(-1.,0.,0.)); #382333=DIRECTION('',(-1.,0.,0.)); #382334=DIRECTION('center_axis',(0.,0.,-1.)); #382335=DIRECTION('ref_axis',(-1.,0.,0.)); #382336=DIRECTION('',(0.,0.,-1.)); #382337=DIRECTION('center_axis',(0.,0.,1.)); #382338=DIRECTION('ref_axis',(-1.,0.,0.)); #382339=DIRECTION('',(0.,0.,-1.)); #382340=DIRECTION('center_axis',(1.,0.,0.)); #382341=DIRECTION('ref_axis',(0.,-1.,0.)); #382342=DIRECTION('',(0.,-1.,0.)); #382343=DIRECTION('center_axis',(0.,0.,-1.)); #382344=DIRECTION('ref_axis',(0.,-1.,0.)); #382345=DIRECTION('',(0.,0.,-1.)); #382346=DIRECTION('center_axis',(0.,0.,1.)); #382347=DIRECTION('ref_axis',(0.,-1.,0.)); #382348=DIRECTION('center_axis',(0.,1.,0.)); #382349=DIRECTION('ref_axis',(1.,0.,0.)); #382350=DIRECTION('',(1.,0.,0.)); #382351=DIRECTION('center_axis',(0.,0.,1.)); #382352=DIRECTION('ref_axis',(1.,0.,0.)); #382353=DIRECTION('center_axis',(0.,0.,1.)); #382354=DIRECTION('ref_axis',(-1.,0.,0.)); #382355=DIRECTION('center_axis',(0.,0.,-1.)); #382356=DIRECTION('ref_axis',(-1.,0.,0.)); #382357=DIRECTION('',(0.707106781186549,-8.65956056235495E-17,0.707106781186546)); #382358=DIRECTION('center_axis',(0.,0.,1.)); #382359=DIRECTION('ref_axis',(-1.,0.,0.)); #382360=DIRECTION('center_axis',(0.,0.,1.)); #382361=DIRECTION('ref_axis',(-1.,0.,0.)); #382362=DIRECTION('center_axis',(0.,0.,1.)); #382363=DIRECTION('ref_axis',(-1.,0.,0.)); #382364=DIRECTION('',(0.,0.,-1.)); #382365=DIRECTION('center_axis',(0.,0.,1.)); #382366=DIRECTION('ref_axis',(1.,0.,0.)); #382367=DIRECTION('center_axis',(0.,0.,-1.)); #382368=DIRECTION('ref_axis',(-1.,0.,0.)); #382369=DIRECTION('center_axis',(0.,0.,-1.)); #382370=DIRECTION('ref_axis',(-1.,0.,0.)); #382371=DIRECTION('center_axis',(0.,0.,-1.)); #382372=DIRECTION('ref_axis',(-1.,0.,0.)); #382373=DIRECTION('center_axis',(0.,0.,-1.)); #382374=DIRECTION('ref_axis',(-1.,0.,0.)); #382375=DIRECTION('center_axis',(0.,0.,-1.)); #382376=DIRECTION('ref_axis',(1.,0.,0.)); #382377=DIRECTION('center_axis',(0.,0.,1.)); #382378=DIRECTION('ref_axis',(-1.,0.,0.)); #382379=DIRECTION('center_axis',(0.,0.,1.)); #382380=DIRECTION('ref_axis',(-1.,0.,0.)); #382381=DIRECTION('center_axis',(0.,0.,-1.)); #382382=DIRECTION('ref_axis',(-1.,0.,0.)); #382383=DIRECTION('center_axis',(0.,0.,-1.)); #382384=DIRECTION('ref_axis',(-1.,0.,0.)); #382385=DIRECTION('',(0.,0.,-1.)); #382386=DIRECTION('center_axis',(0.,0.,1.)); #382387=DIRECTION('ref_axis',(-1.,0.,0.)); #382388=DIRECTION('center_axis',(0.,0.,-1.)); #382389=DIRECTION('ref_axis',(-1.,0.,0.)); #382390=DIRECTION('center_axis',(0.,0.,-1.)); #382391=DIRECTION('ref_axis',(-1.,0.,0.)); #382392=DIRECTION('',(0.,0.,-1.)); #382393=DIRECTION('center_axis',(0.,0.,1.)); #382394=DIRECTION('ref_axis',(-1.,0.,0.)); #382395=DIRECTION('center_axis',(0.,0.,-1.)); #382396=DIRECTION('ref_axis',(-1.,0.,0.)); #382397=DIRECTION('center_axis',(0.,0.,-1.)); #382398=DIRECTION('ref_axis',(-1.,0.,0.)); #382399=DIRECTION('',(0.,0.,-1.)); #382400=DIRECTION('center_axis',(0.,0.,1.)); #382401=DIRECTION('ref_axis',(-1.,0.,0.)); #382402=DIRECTION('center_axis',(0.,0.,-1.)); #382403=DIRECTION('ref_axis',(-1.,0.,0.)); #382404=DIRECTION('center_axis',(0.,0.,-1.)); #382405=DIRECTION('ref_axis',(-1.,0.,0.)); #382406=DIRECTION('',(0.,0.,-1.)); #382407=DIRECTION('center_axis',(0.,0.,1.)); #382408=DIRECTION('ref_axis',(-1.,0.,0.)); #382409=DIRECTION('center_axis',(0.,0.,-1.)); #382410=DIRECTION('ref_axis',(-1.,0.,0.)); #382411=DIRECTION('',(0.,0.,-1.)); #382412=DIRECTION('center_axis',(0.,0.,-1.)); #382413=DIRECTION('ref_axis',(-1.,0.,0.)); #382414=DIRECTION('center_axis',(0.,0.,1.)); #382415=DIRECTION('ref_axis',(-1.,0.,0.)); #382416=DIRECTION('center_axis',(0.,0.,-1.)); #382417=DIRECTION('ref_axis',(-1.,0.,0.)); #382418=DIRECTION('',(0.,0.,-1.)); #382419=DIRECTION('center_axis',(0.,0.,-1.)); #382420=DIRECTION('ref_axis',(-1.,0.,0.)); #382421=DIRECTION('center_axis',(0.,0.,1.)); #382422=DIRECTION('ref_axis',(-1.,0.,0.)); #382423=DIRECTION('center_axis',(0.,0.,-1.)); #382424=DIRECTION('ref_axis',(-1.,0.,0.)); #382425=DIRECTION('',(0.,0.,-1.)); #382426=DIRECTION('center_axis',(0.,0.,-1.)); #382427=DIRECTION('ref_axis',(-1.,0.,0.)); #382428=DIRECTION('center_axis',(0.,0.,1.)); #382429=DIRECTION('ref_axis',(-1.,0.,0.)); #382430=DIRECTION('center_axis',(0.,0.,-1.)); #382431=DIRECTION('ref_axis',(-1.,0.,0.)); #382432=DIRECTION('',(0.,0.,-1.)); #382433=DIRECTION('center_axis',(0.,0.,-1.)); #382434=DIRECTION('ref_axis',(-1.,0.,0.)); #382435=DIRECTION('center_axis',(0.,0.,1.)); #382436=DIRECTION('ref_axis',(-1.,0.,0.)); #382437=DIRECTION('center_axis',(0.,0.,1.)); #382438=DIRECTION('ref_axis',(1.,0.,0.)); #382439=DIRECTION('',(0.,0.,-1.)); #382440=DIRECTION('center_axis',(0.,0.,-1.)); #382441=DIRECTION('ref_axis',(1.,0.,0.)); #382442=DIRECTION('center_axis',(0.,0.,1.)); #382443=DIRECTION('ref_axis',(1.,0.,0.)); #382444=DIRECTION('',(0.,0.,-1.)); #382445=DIRECTION('center_axis',(0.,0.,1.)); #382446=DIRECTION('ref_axis',(1.,0.,0.)); #382447=DIRECTION('center_axis',(0.,0.,1.)); #382448=DIRECTION('ref_axis',(1.,0.,0.)); #382449=DIRECTION('center_axis',(0.,0.,1.)); #382450=DIRECTION('ref_axis',(1.,0.,0.)); #382451=DIRECTION('',(0.,0.,-1.)); #382452=DIRECTION('center_axis',(0.,0.,1.)); #382453=DIRECTION('ref_axis',(1.,0.,0.)); #382454=DIRECTION('',(0.,0.,1.)); #382455=DIRECTION('center_axis',(0.,0.,1.)); #382456=DIRECTION('ref_axis',(-1.,0.,0.)); #382457=DIRECTION('center_axis',(0.,0.,1.)); #382458=DIRECTION('ref_axis',(1.,0.,0.)); #382459=DIRECTION('center_axis',(0.,0.,1.)); #382460=DIRECTION('ref_axis',(1.,0.,0.)); #382461=DIRECTION('',(0.,0.,-1.)); #382462=DIRECTION('center_axis',(0.707106781186557,2.00095975715856E-16, -0.707106781186538)); #382463=DIRECTION('ref_axis',(0.707106781186538,0.,0.707106781186557)); #382464=DIRECTION('center_axis',(0.6123724356958,0.353553390593277,-0.707106781186542)); #382465=DIRECTION('ref_axis',(0.612372435695789,0.353553390593271,0.707106781186554)); #382466=DIRECTION('center_axis',(0.6123724356958,0.353553390593277,-0.707106781186542)); #382467=DIRECTION('ref_axis',(0.612372435695789,0.353553390593271,0.707106781186554)); #382468=DIRECTION('center_axis',(0.353553390593277,0.612372435695801,-0.707106781186541)); #382469=DIRECTION('ref_axis',(0.35355339059327,0.612372435695789,0.707106781186554)); #382470=DIRECTION('center_axis',(0.353553390593277,0.612372435695801,-0.707106781186541)); #382471=DIRECTION('ref_axis',(0.35355339059327,0.612372435695789,0.707106781186554)); #382472=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, -0.707106781186541)); #382473=DIRECTION('ref_axis',(0.,0.707106781186541,0.707106781186554)); #382474=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, -0.707106781186541)); #382475=DIRECTION('ref_axis',(0.,0.707106781186541,0.707106781186554)); #382476=DIRECTION('center_axis',(-0.35355339059328,0.612372435695803,-0.707106781186537)); #382477=DIRECTION('ref_axis',(0.35355339059327,-0.612372435695785,-0.707106781186558)); #382478=DIRECTION('center_axis',(-0.35355339059328,0.612372435695803,-0.707106781186537)); #382479=DIRECTION('ref_axis',(0.35355339059327,-0.612372435695785,-0.707106781186558)); #382480=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,0.707106781186544)); #382481=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,-0.707106781186551)); #382482=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,0.707106781186544)); #382483=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,-0.707106781186551)); #382484=DIRECTION('center_axis',(-0.707106781186554,-4.00191951431709E-16, -0.707106781186541)); #382485=DIRECTION('ref_axis',(0.707106781186541,0.,-0.707106781186554)); #382486=DIRECTION('center_axis',(-0.707106781186554,-4.00191951431709E-16, -0.707106781186541)); #382487=DIRECTION('ref_axis',(0.707106781186541,0.,-0.707106781186554)); #382488=DIRECTION('center_axis',(-0.6123724356958,-0.353553390593278,-0.707106781186541)); #382489=DIRECTION('ref_axis',(0.612372435695788,0.353553390593271,-0.707106781186554)); #382490=DIRECTION('center_axis',(-0.6123724356958,-0.353553390593278,-0.707106781186541)); #382491=DIRECTION('ref_axis',(0.612372435695788,0.353553390593271,-0.707106781186554)); #382492=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695795, -0.707106781186548)); #382493=DIRECTION('ref_axis',(0.353553390593273,0.612372435695795,-0.707106781186547)); #382494=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695795, -0.707106781186548)); #382495=DIRECTION('ref_axis',(0.353553390593273,0.612372435695795,-0.707106781186547)); #382496=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, -0.707106781186541)); #382497=DIRECTION('ref_axis',(0.,0.707106781186541,-0.707106781186554)); #382498=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, -0.707106781186541)); #382499=DIRECTION('ref_axis',(0.,0.707106781186541,-0.707106781186554)); #382500=DIRECTION('center_axis',(0.353553390593278,-0.6123724356958,-0.707106781186541)); #382501=DIRECTION('ref_axis',(0.353553390593271,-0.612372435695788,0.707106781186554)); #382502=DIRECTION('center_axis',(0.353553390593278,-0.6123724356958,-0.707106781186541)); #382503=DIRECTION('ref_axis',(0.353553390593271,-0.612372435695788,0.707106781186554)); #382504=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,-0.707106781186544)); #382505=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,0.707106781186551)); #382506=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,-0.707106781186544)); #382507=DIRECTION('ref_axis',(0.612372435695792,-0.353553390593272,0.707106781186551)); #382508=DIRECTION('center_axis',(0.707106781186557,2.00095975715856E-16, -0.707106781186538)); #382509=DIRECTION('ref_axis',(0.707106781186538,0.,0.707106781186557)); #382510=DIRECTION('center_axis',(1.,5.65956885267273E-16,0.)); #382511=DIRECTION('ref_axis',(-5.65956885267273E-16,1.,0.)); #382512=DIRECTION('',(5.65956885267273E-16,-1.,0.)); #382513=DIRECTION('',(0.,0.,-1.)); #382514=DIRECTION('',(0.,0.,-1.)); #382515=DIRECTION('',(5.65956885267273E-16,-1.,0.)); #382516=DIRECTION('center_axis',(0.500000000000001,-0.866025403784438,0.)); #382517=DIRECTION('ref_axis',(0.866025403784438,0.500000000000001,0.)); #382518=DIRECTION('',(-0.866025403784438,-0.500000000000001,7.85046229341899E-17)); #382519=DIRECTION('',(0.,0.,1.)); #382520=DIRECTION('',(0.,0.,-1.)); #382521=DIRECTION('',(-0.866025403784438,-0.500000000000001,7.85046229341899E-17)); #382522=DIRECTION('center_axis',(0.866025403784439,-0.499999999999999,0.)); #382523=DIRECTION('ref_axis',(0.499999999999999,0.866025403784439,0.)); #382524=DIRECTION('',(-0.499999999999999,-0.866025403784439,-7.85046229341891E-17)); #382525=DIRECTION('',(-0.499999999999999,-0.866025403784439,-7.85046229341891E-17)); #382526=DIRECTION('center_axis',(0.,0.,-1.)); #382527=DIRECTION('ref_axis',(1.,0.,0.)); #382528=DIRECTION('',(-0.707106781186549,8.65956056235495E-17,-0.707106781186546)); #382529=DIRECTION('center_axis',(0.,0.,-1.)); #382530=DIRECTION('ref_axis',(1.,0.,0.)); #382531=DIRECTION('center_axis',(0.353553390593278,-0.6123724356958,-0.707106781186541)); #382532=DIRECTION('ref_axis',(-0.894427190999912,0.,-0.447213595499966)); #382533=DIRECTION('',(-0.186156787897382,0.69474659060686,-0.694746590606872)); #382534=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #382535=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,-0.707106781186544)); #382536=DIRECTION('ref_axis',(-0.755928946018451,0.,-0.654653670707981)); #382537=DIRECTION('',(-0.508589802709487,0.508589802709467,-0.694746590606871)); #382538=DIRECTION('',(0.5,0.866025403784439,0.)); #382539=DIRECTION('center_axis',(0.707106781186557,2.00095975715856E-16, -0.707106781186538)); #382540=DIRECTION('ref_axis',(-0.707106781186538,0.,-0.707106781186557)); #382541=DIRECTION('',(-0.694746590606854,0.186156787897406,-0.694746590606872)); #382542=DIRECTION('',(-2.82978442633637E-16,1.,3.48630559684215E-32)); #382543=DIRECTION('center_axis',(0.6123724356958,0.353553390593277,-0.707106781186542)); #382544=DIRECTION('ref_axis',(-0.755928946018449,0.,-0.654653670707983)); #382545=DIRECTION('',(-0.694746590606855,-0.186156787897396,-0.694746590606874)); #382546=DIRECTION('',(-0.5,0.866025403784438,0.)); #382547=DIRECTION('center_axis',(0.353553390593277,0.612372435695801,-0.707106781186541)); #382548=DIRECTION('ref_axis',(-0.894427190999913,0.,-0.447213595499964)); #382549=DIRECTION('',(-0.508589802709478,-0.508589802709474,-0.694746590606872)); #382550=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #382551=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, -0.707106781186541)); #382552=DIRECTION('ref_axis',(-1.,0.,5.65956885267283E-16)); #382553=DIRECTION('',(-0.186156787897382,-0.69474659060686,-0.694746590606872)); #382554=DIRECTION('',(-1.,-5.65956885267273E-16,6.97261119368426E-32)); #382555=DIRECTION('center_axis',(-0.35355339059328,0.612372435695803,-0.707106781186537)); #382556=DIRECTION('ref_axis',(-0.89442719099991,0.,0.447213595499969)); #382557=DIRECTION('',(0.18615678789737,-0.694746590606862,-0.694746590606874)); #382558=DIRECTION('center_axis',(-0.612372435695798,0.353553390593275,-0.707106781186544)); #382559=DIRECTION('ref_axis',(-0.755928946018451,0.,0.654653670707981)); #382560=DIRECTION('',(0.508589802709495,-0.508589802709456,-0.694746590606873)); #382561=DIRECTION('center_axis',(-0.707106781186554,-4.00191951431709E-16, -0.707106781186541)); #382562=DIRECTION('ref_axis',(-0.707106781186541,0.,0.707106781186554)); #382563=DIRECTION('',(-0.694746590606858,0.186156787897395,0.69474659060687)); #382564=DIRECTION('center_axis',(-0.6123724356958,-0.353553390593278,-0.707106781186541)); #382565=DIRECTION('ref_axis',(-0.755928946018448,0.,0.654653670707984)); #382566=DIRECTION('',(0.69474659060686,0.186156787897383,-0.694746590606872)); #382567=DIRECTION('',(0.500000000000001,-0.866025403784438,0.)); #382568=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695795, -0.707106781186548)); #382569=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499957)); #382570=DIRECTION('',(0.508589802709459,0.508589802709497,-0.694746590606869)); #382571=DIRECTION('',(0.866025403784439,-0.499999999999999,-7.85046229341887E-17)); #382572=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, -0.707106781186541)); #382573=DIRECTION('ref_axis',(-1.,0.,-5.65956885267283E-16)); #382574=DIRECTION('',(0.186156787897408,0.694746590606857,-0.694746590606869)); #382575=DIRECTION('',(1.,5.65956885267273E-16,0.)); #382576=DIRECTION('center_axis',(4.00191951431709E-16,-0.707106781186554, -0.707106781186541)); #382577=DIRECTION('ref_axis',(-1.,0.,-5.65956885267283E-16)); #382578=DIRECTION('',(1.,5.65956885267273E-16,0.)); #382579=DIRECTION('center_axis',(0.353553390593278,-0.6123724356958,-0.707106781186541)); #382580=DIRECTION('ref_axis',(-0.894427190999912,0.,-0.447213595499966)); #382581=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #382582=DIRECTION('center_axis',(0.612372435695798,-0.353553390593275,-0.707106781186544)); #382583=DIRECTION('ref_axis',(-0.755928946018451,0.,-0.654653670707981)); #382584=DIRECTION('',(0.5,0.866025403784439,0.)); #382585=DIRECTION('center_axis',(0.707106781186557,2.00095975715856E-16, -0.707106781186538)); #382586=DIRECTION('ref_axis',(-0.707106781186538,0.,-0.707106781186557)); #382587=DIRECTION('',(-2.82978442633637E-16,1.,3.48630559684215E-32)); #382588=DIRECTION('center_axis',(0.6123724356958,0.353553390593277,-0.707106781186542)); #382589=DIRECTION('ref_axis',(-0.755928946018449,0.,-0.654653670707983)); #382590=DIRECTION('',(-0.5,0.866025403784438,0.)); #382591=DIRECTION('center_axis',(0.353553390593277,0.612372435695801,-0.707106781186541)); #382592=DIRECTION('ref_axis',(-0.894427190999913,0.,-0.447213595499964)); #382593=DIRECTION('',(-0.866025403784439,0.499999999999999,0.)); #382594=DIRECTION('center_axis',(-4.00191951431709E-16,0.707106781186554, -0.707106781186541)); #382595=DIRECTION('ref_axis',(-1.,0.,5.65956885267283E-16)); #382596=DIRECTION('',(-1.,-5.65956885267273E-16,6.97261119368426E-32)); #382597=DIRECTION('center_axis',(-0.35355339059328,0.612372435695803,-0.707106781186537)); #382598=DIRECTION('ref_axis',(-0.89442719099991,0.,0.447213595499969)); #382599=DIRECTION('center_axis',(-0.612372435695798,0.353553390593275,-0.707106781186544)); #382600=DIRECTION('ref_axis',(-0.755928946018451,0.,0.654653670707981)); #382601=DIRECTION('center_axis',(-0.707106781186554,-4.00191951431709E-16, -0.707106781186541)); #382602=DIRECTION('ref_axis',(-0.707106781186541,0.,0.707106781186554)); #382603=DIRECTION('center_axis',(-0.6123724356958,-0.353553390593278,-0.707106781186541)); #382604=DIRECTION('ref_axis',(-0.755928946018448,0.,0.654653670707984)); #382605=DIRECTION('',(0.500000000000001,-0.866025403784438,0.)); #382606=DIRECTION('center_axis',(-0.353553390593273,-0.612372435695795, -0.707106781186548)); #382607=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499957)); #382608=DIRECTION('',(0.866025403784439,-0.499999999999999,-7.85046229341887E-17)); #382609=DIRECTION('center_axis',(-0.500000000000001,0.866025403784438,0.)); #382610=DIRECTION('ref_axis',(-0.866025403784438,-0.500000000000001,0.)); #382611=DIRECTION('',(0.,0.,-1.)); #382612=DIRECTION('',(0.,0.,-1.)); #382613=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #382614=DIRECTION('ref_axis',(-0.5,-0.866025403784439,0.)); #382615=DIRECTION('',(0.,0.,-1.)); #382616=DIRECTION('center_axis',(-1.,-2.82978442633637E-16,0.)); #382617=DIRECTION('ref_axis',(2.82978442633637E-16,-1.,0.)); #382618=DIRECTION('',(0.,0.,-1.)); #382619=DIRECTION('center_axis',(-0.866025403784438,-0.500000000000001, 0.)); #382620=DIRECTION('ref_axis',(0.500000000000001,-0.866025403784438,0.)); #382621=DIRECTION('',(0.,0.,-1.)); #382622=DIRECTION('center_axis',(-0.499999999999999,-0.866025403784439, 0.)); #382623=DIRECTION('ref_axis',(0.866025403784439,-0.499999999999999,0.)); #382624=DIRECTION('',(0.,0.,-1.)); #382625=DIRECTION('center_axis',(5.65956885267273E-16,-1.,0.)); #382626=DIRECTION('ref_axis',(1.,5.65956885267273E-16,0.)); #382627=DIRECTION('center_axis',(0.866025403784438,0.500000000000001,0.)); #382628=DIRECTION('ref_axis',(-0.500000000000001,0.866025403784438,0.)); #382629=DIRECTION('',(0.,0.,-1.)); #382630=DIRECTION('center_axis',(0.499999999999999,0.866025403784439,0.)); #382631=DIRECTION('ref_axis',(-0.866025403784439,0.499999999999999,0.)); #382632=DIRECTION('',(0.,0.,-1.)); #382633=DIRECTION('center_axis',(-5.65956885267273E-16,1.,0.)); #382634=DIRECTION('ref_axis',(-1.,-5.65956885267273E-16,0.)); #382635=DIRECTION('center_axis',(0.,0.,1.)); #382636=DIRECTION('ref_axis',(1.,0.,0.)); #382637=DIRECTION('',(0.,0.,-1.)); #382638=DIRECTION('center_axis',(0.,0.,1.)); #382639=DIRECTION('ref_axis',(1.,0.,0.)); #382640=DIRECTION('center_axis',(-0.353553390593284,0.612372435695788,0.707106781186548)); #382641=DIRECTION('ref_axis',(0.894427190999911,0.,0.447213595499968)); #382642=DIRECTION('',(-0.353553390592699,0.612372435696127,-0.707106781186547)); #382643=DIRECTION('',(0.866025403784427,0.50000000000002,0.)); #382644=DIRECTION('',(-0.353553390593274,0.612372435695795,-0.707106781186547)); #382645=DIRECTION('',(0.86602540378443,0.500000000000015,0.)); #382646=DIRECTION('center_axis',(0.353553390593542,-0.612372435695639,0.707106781186548)); #382647=DIRECTION('ref_axis',(0.89442719099978,0.,-0.447213595500229)); #382648=DIRECTION('',(-0.353553390592699,0.612372435696127,0.707106781186547)); #382649=DIRECTION('',(-0.866025403784219,-0.50000000000038,0.)); #382650=DIRECTION('',(-0.353553390593274,0.612372435695795,0.707106781186547)); #382651=DIRECTION('',(0.86602540378445,0.499999999999981,0.)); #382652=DIRECTION('center_axis',(-0.50000000000038,0.866025403784219,0.)); #382653=DIRECTION('ref_axis',(0.866025403784219,0.50000000000038,0.)); #382654=DIRECTION('',(0.,0.,-1.)); #382655=DIRECTION('',(0.866025403784219,0.50000000000038,0.)); #382656=DIRECTION('',(0.,0.,-1.)); #382657=DIRECTION('',(-0.866025403784219,-0.50000000000038,0.)); #382658=DIRECTION('',(0.,0.,-1.)); #382659=DIRECTION('',(0.61237243569564,0.353553390593543,0.707106781186547)); #382660=DIRECTION('',(0.,0.,-1.)); #382661=DIRECTION('center_axis',(0.353553390593542,-0.612372435695639,-0.707106781186548)); #382662=DIRECTION('ref_axis',(-0.89442719099978,0.,-0.447213595500229)); #382663=DIRECTION('',(0.353553390592699,-0.612372435696127,0.707106781186547)); #382664=DIRECTION('',(-0.86602540378445,-0.499999999999981,0.)); #382665=DIRECTION('',(0.353553390593274,-0.612372435695795,0.707106781186547)); #382666=DIRECTION('center_axis',(-0.353553390593284,0.612372435695788,-0.707106781186548)); #382667=DIRECTION('ref_axis',(-0.894427190999911,0.,0.447213595499968)); #382668=DIRECTION('',(0.353553390592699,-0.612372435696127,-0.707106781186547)); #382669=DIRECTION('',(-0.86602540378443,-0.500000000000015,0.)); #382670=DIRECTION('',(0.353553390593274,-0.612372435695795,-0.707106781186547)); #382671=DIRECTION('',(0.866025403784427,0.50000000000002,0.)); #382672=DIRECTION('center_axis',(0.500000000000015,-0.86602540378443,0.)); #382673=DIRECTION('ref_axis',(-0.86602540378443,-0.500000000000015,0.)); #382674=DIRECTION('',(0.,0.,1.)); #382675=DIRECTION('',(-0.612372435695789,-0.353553390593285,-0.707106781186547)); #382676=DIRECTION('',(0.,0.,-1.)); #382677=DIRECTION('',(0.86602540378443,0.500000000000015,0.)); #382678=DIRECTION('',(0.,0.,1.)); #382679=DIRECTION('',(0.,0.,1.)); #382680=DIRECTION('center_axis',(-0.612372435695794,-0.353553390593273, 0.707106781186548)); #382681=DIRECTION('ref_axis',(0.755928946018455,0.,0.654653670707976)); #382682=DIRECTION('center_axis',(0.612372435695794,0.353553390593273,-0.707106781186548)); #382683=DIRECTION('ref_axis',(0.612372435695795,0.353553390593274,0.707106781186547)); #382684=DIRECTION('',(0.5,-0.866025403784439,0.)); #382685=DIRECTION('',(0.612372435695789,0.353553390593285,0.707106781186547)); #382686=DIRECTION('',(-0.5,0.866025403784439,0.)); #382687=DIRECTION('center_axis',(0.,0.,-1.)); #382688=DIRECTION('ref_axis',(-0.499999999999999,0.866025403784439,0.)); #382689=DIRECTION('center_axis',(0.,0.,1.)); #382690=DIRECTION('ref_axis',(-0.499999999999999,0.866025403784439,0.)); #382691=DIRECTION('center_axis',(0.612372435695794,0.353553390593273,-0.707106781186548)); #382692=DIRECTION('ref_axis',(0.612372435695795,0.353553390593274,0.707106781186547)); #382693=DIRECTION('center_axis',(-0.612372435695794,-0.353553390593273, 0.707106781186548)); #382694=DIRECTION('ref_axis',(0.755928946018455,0.,0.654653670707976)); #382695=DIRECTION('',(-0.5,0.866025403784439,0.)); #382696=DIRECTION('',(-0.612372435695801,-0.353553390593263,-0.707106781186547)); #382697=DIRECTION('',(0.5,-0.866025403784439,0.)); #382698=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #382699=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #382700=DIRECTION('',(0.,0.,1.)); #382701=DIRECTION('',(0.,0.,-1.)); #382702=DIRECTION('',(0.5,-0.866025403784439,0.)); #382703=DIRECTION('',(0.,0.,-1.)); #382704=DIRECTION('',(0.5,-0.866025403784439,0.)); #382705=DIRECTION('center_axis',(0.499999999999985,-0.866025403784447,0.)); #382706=DIRECTION('ref_axis',(0.866025403784447,0.499999999999985,0.)); #382707=DIRECTION('',(-0.866025403784447,-0.499999999999985,0.)); #382708=DIRECTION('',(0.,0.,1.)); #382709=DIRECTION('',(0.866025403784447,0.499999999999985,0.)); #382710=DIRECTION('',(0.,0.,1.)); #382711=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #382712=DIRECTION('ref_axis',(0.5,-0.866025403784439,0.)); #382713=DIRECTION('',(-0.5,0.866025403784439,0.)); #382714=DIRECTION('',(0.5,-0.866025403784439,0.)); #382715=DIRECTION('',(0.,0.,1.)); #382716=DIRECTION('center_axis',(-0.500000000000015,0.86602540378443,0.)); #382717=DIRECTION('ref_axis',(-0.86602540378443,-0.500000000000015,0.)); #382718=DIRECTION('',(0.86602540378443,0.500000000000015,0.)); #382719=DIRECTION('',(-0.86602540378443,-0.500000000000015,0.)); #382720=DIRECTION('',(0.,0.,-1.)); #382721=DIRECTION('',(0.,0.,1.)); #382722=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #382723=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #382724=DIRECTION('',(0.5,-0.866025403784439,0.)); #382725=DIRECTION('center_axis',(0.866025403784908,0.499999999999187,0.)); #382726=DIRECTION('ref_axis',(0.499999999999187,-0.866025403784908,0.)); #382727=DIRECTION('',(0.,0.,-1.)); #382728=DIRECTION('center_axis',(-0.50000000000002,0.866025403784427,0.)); #382729=DIRECTION('ref_axis',(0.866025403784427,0.50000000000002,0.)); #382730=DIRECTION('center_axis',(0.866025403784908,0.499999999999187,0.)); #382731=DIRECTION('ref_axis',(0.499999999999187,-0.866025403784908,0.)); #382732=DIRECTION('',(0.,0.,-1.)); #382733=DIRECTION('center_axis',(0.499999999999981,-0.86602540378445,0.)); #382734=DIRECTION('ref_axis',(-0.86602540378445,-0.499999999999981,0.)); #382735=DIRECTION('',(0.,0.,1.)); #382736=DIRECTION('center_axis',(0.,0.,-1.)); #382737=DIRECTION('ref_axis',(-1.,0.,0.)); #382738=DIRECTION('center_axis',(0.,0.,-1.)); #382739=DIRECTION('ref_axis',(1.,0.,0.)); #382740=DIRECTION('',(0.5,-0.866025403784439,0.)); #382741=DIRECTION('',(0.965925824360715,-0.258819052299235,0.)); #382742=DIRECTION('',(0.499999999999997,-0.866025403784441,0.)); #382743=DIRECTION('center_axis',(0.,0.,-1.)); #382744=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #382745=DIRECTION('',(0.500000000000001,0.866025403784438,0.)); #382746=DIRECTION('center_axis',(0.,0.,-1.)); #382747=DIRECTION('ref_axis',(-7.52693575256647E-16,-1.,0.)); #382748=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #382749=DIRECTION('center_axis',(0.,0.,-1.)); #382750=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #382751=DIRECTION('',(0.499999999999983,-0.866025403784449,0.)); #382752=DIRECTION('',(-0.258819037905808,-0.965925828217422,0.)); #382753=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #382754=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #382755=DIRECTION('',(0.5,-0.866025403784439,0.)); #382756=DIRECTION('',(0.,0.,-1.)); #382757=DIRECTION('center_axis',(0.999982833125561,0.00585947558887992, 0.)); #382758=DIRECTION('ref_axis',(0.,0.,-1.)); #382759=DIRECTION('',(-0.00585947558887992,0.999982833125561,0.)); #382760=DIRECTION('',(0.,0.,-1.)); #382761=DIRECTION('',(0.00585947558887992,-0.999982833125561,0.)); #382762=DIRECTION('',(0.,0.,1.)); #382763=DIRECTION('',(0.,0.,1.)); #382764=DIRECTION('',(0.,0.,1.)); #382765=DIRECTION('center_axis',(-0.505065871275606,0.86308079904063,0.)); #382766=DIRECTION('ref_axis',(0.,0.,1.)); #382767=DIRECTION('',(-0.86308079904063,-0.505065871275607,0.)); #382768=DIRECTION('',(0.,0.,-1.)); #382769=DIRECTION('',(0.86308079904063,0.505065871275607,0.)); #382770=DIRECTION('',(0.,0.,1.)); #382771=DIRECTION('',(0.,0.,1.)); #382772=DIRECTION('',(0.,0.,1.)); #382773=DIRECTION('center_axis',(-0.494916961849948,-0.868940274629515, 0.)); #382774=DIRECTION('ref_axis',(0.,0.,-1.)); #382775=DIRECTION('',(0.868940274629515,-0.494916961849948,0.)); #382776=DIRECTION('',(0.,0.,-1.)); #382777=DIRECTION('',(-0.868940274629515,0.494916961849948,0.)); #382778=DIRECTION('',(0.,0.,1.)); #382779=DIRECTION('',(0.,0.,1.)); #382780=DIRECTION('',(0.,0.,1.)); #382781=DIRECTION('center_axis',(0.,0.,1.)); #382782=DIRECTION('ref_axis',(1.,0.,0.)); #382783=DIRECTION('center_axis',(0.,0.,1.)); #382784=DIRECTION('ref_axis',(1.,0.,0.)); #382785=DIRECTION('',(0.,0.,-1.)); #382786=DIRECTION('center_axis',(0.,0.,-1.)); #382787=DIRECTION('ref_axis',(-1.,0.,0.)); #382788=DIRECTION('center_axis',(-0.967461381066569,-0.253018726865754, 0.)); #382789=DIRECTION('ref_axis',(0.,0.,1.)); #382790=DIRECTION('',(-0.253018726865754,0.967461381066569,0.)); #382791=DIRECTION('',(0.,0.,1.)); #382792=DIRECTION('',(0.253018726865754,-0.967461381066569,0.)); #382793=DIRECTION('',(0.,0.,-1.)); #382794=DIRECTION('center_axis',(0.,0.,-1.)); #382795=DIRECTION('ref_axis',(1.,0.,0.)); #382796=DIRECTION('center_axis',(0.,0.,-1.)); #382797=DIRECTION('ref_axis',(1.,0.,0.)); #382798=DIRECTION('',(0.997176177699676,0.075097740500386,0.)); #382799=DIRECTION('center_axis',(0.,0.,-1.)); #382800=DIRECTION('ref_axis',(1.,0.,0.)); #382801=DIRECTION('center_axis',(0.,0.,-1.)); #382802=DIRECTION('ref_axis',(1.,0.,0.)); #382803=DIRECTION('center_axis',(0.,0.,1.)); #382804=DIRECTION('ref_axis',(1.,0.,0.)); #382805=DIRECTION('center_axis',(0.,0.,1.)); #382806=DIRECTION('ref_axis',(1.,0.,0.)); #382807=DIRECTION('',(0.,0.,-1.)); #382808=DIRECTION('center_axis',(0.,0.,-1.)); #382809=DIRECTION('ref_axis',(1.,0.,0.)); #382810=DIRECTION('center_axis',(0.,0.,1.)); #382811=DIRECTION('ref_axis',(1.,0.,0.)); #382812=DIRECTION('center_axis',(0.,0.,1.)); #382813=DIRECTION('ref_axis',(1.,0.,0.)); #382814=DIRECTION('',(-0.997176177699676,-0.075097740500386,0.)); #382815=DIRECTION('center_axis',(0.702851335632223,-0.71133676975115,0.)); #382816=DIRECTION('ref_axis',(0.,0.,-1.)); #382817=DIRECTION('',(-0.71133676975115,-0.702851335632223,0.)); #382818=DIRECTION('',(0.,0.,1.)); #382819=DIRECTION('',(0.71133676975115,0.702851335632223,0.)); #382820=DIRECTION('',(0.,0.,-1.)); #382821=DIRECTION('center_axis',(0.,0.,-1.)); #382822=DIRECTION('ref_axis',(1.,0.,0.)); #382823=DIRECTION('center_axis',(0.,0.,-1.)); #382824=DIRECTION('ref_axis',(1.,0.,0.)); #382825=DIRECTION('',(-0.563624639889986,0.826031031686391,0.)); #382826=DIRECTION('center_axis',(0.,0.,-1.)); #382827=DIRECTION('ref_axis',(1.,0.,0.)); #382828=DIRECTION('center_axis',(0.,0.,-1.)); #382829=DIRECTION('ref_axis',(1.,0.,0.)); #382830=DIRECTION('center_axis',(0.,0.,1.)); #382831=DIRECTION('ref_axis',(1.,0.,0.)); #382832=DIRECTION('center_axis',(0.,0.,1.)); #382833=DIRECTION('ref_axis',(1.,0.,0.)); #382834=DIRECTION('',(0.,0.,-1.)); #382835=DIRECTION('center_axis',(0.,0.,-1.)); #382836=DIRECTION('ref_axis',(1.,0.,0.)); #382837=DIRECTION('center_axis',(0.,0.,1.)); #382838=DIRECTION('ref_axis',(1.,0.,0.)); #382839=DIRECTION('center_axis',(0.,0.,1.)); #382840=DIRECTION('ref_axis',(1.,0.,0.)); #382841=DIRECTION('',(0.563624639889986,-0.826031031686391,0.)); #382842=DIRECTION('center_axis',(0.,0.,-1.)); #382843=DIRECTION('ref_axis',(1.,0.,0.)); #382844=DIRECTION('center_axis',(0.,0.,-1.)); #382845=DIRECTION('ref_axis',(1.,0.,0.)); #382846=DIRECTION('',(0.964355496616903,-0.264610045434344,0.)); #382847=DIRECTION('center_axis',(0.,0.,-1.)); #382848=DIRECTION('ref_axis',(1.,0.,0.)); #382849=DIRECTION('',(-0.433551537809688,-0.90112877218678,0.)); #382850=DIRECTION('center_axis',(0.,0.,-1.)); #382851=DIRECTION('ref_axis',(1.,0.,0.)); #382852=DIRECTION('center_axis',(0.,0.,1.)); #382853=DIRECTION('ref_axis',(1.,0.,0.)); #382854=DIRECTION('center_axis',(0.,0.,1.)); #382855=DIRECTION('ref_axis',(1.,0.,0.)); #382856=DIRECTION('',(0.,0.,1.)); #382857=DIRECTION('center_axis',(0.264610045434344,0.964355496616903,0.)); #382858=DIRECTION('ref_axis',(0.,0.,1.)); #382859=DIRECTION('',(-0.964355496616903,0.264610045434344,0.)); #382860=DIRECTION('',(0.,0.,-1.)); #382861=DIRECTION('center_axis',(0.,0.,-1.)); #382862=DIRECTION('ref_axis',(1.,0.,0.)); #382863=DIRECTION('center_axis',(0.,0.,1.)); #382864=DIRECTION('ref_axis',(1.,0.,0.)); #382865=DIRECTION('',(0.433551537809688,0.90112877218678,0.)); #382866=DIRECTION('center_axis',(0.,0.,1.)); #382867=DIRECTION('ref_axis',(1.,0.,0.)); #382868=DIRECTION('center_axis',(0.,0.,1.)); #382869=DIRECTION('ref_axis',(1.,0.,0.)); #382870=DIRECTION('center_axis',(0.,0.,-1.)); #382871=DIRECTION('ref_axis',(1.,0.,0.)); #382872=DIRECTION('',(0.,0.,1.)); #382873=DIRECTION('center_axis',(0.,0.,1.)); #382874=DIRECTION('ref_axis',(1.,0.,0.)); #382875=DIRECTION('',(0.,0.,1.)); #382876=DIRECTION('center_axis',(-0.075097740500386,0.997176177699676,0.)); #382877=DIRECTION('ref_axis',(0.,0.,1.)); #382878=DIRECTION('',(0.,0.,1.)); #382879=DIRECTION('',(0.,0.,1.)); #382880=DIRECTION('center_axis',(0.,0.,1.)); #382881=DIRECTION('ref_axis',(1.,0.,0.)); #382882=DIRECTION('center_axis',(0.,0.,1.)); #382883=DIRECTION('ref_axis',(1.,0.,0.)); #382884=DIRECTION('',(0.,0.,1.)); #382885=DIRECTION('center_axis',(0.,0.,-1.)); #382886=DIRECTION('ref_axis',(1.,0.,0.)); #382887=DIRECTION('',(0.,0.,1.)); #382888=DIRECTION('center_axis',(0.90112877218678,-0.433551537809688,0.)); #382889=DIRECTION('ref_axis',(0.,0.,-1.)); #382890=DIRECTION('',(0.,0.,1.)); #382891=DIRECTION('',(0.,0.,-1.)); #382892=DIRECTION('',(0.,0.,1.)); #382893=DIRECTION('center_axis',(0.,0.,1.)); #382894=DIRECTION('ref_axis',(1.,0.,0.)); #382895=DIRECTION('center_axis',(0.,0.,1.)); #382896=DIRECTION('ref_axis',(1.,0.,0.)); #382897=DIRECTION('center_axis',(0.,0.,-1.)); #382898=DIRECTION('ref_axis',(1.,0.,0.)); #382899=DIRECTION('',(0.,0.,1.)); #382900=DIRECTION('center_axis',(0.,0.,1.)); #382901=DIRECTION('ref_axis',(1.,0.,0.)); #382902=DIRECTION('',(0.,0.,1.)); #382903=DIRECTION('center_axis',(-0.826031031686391,-0.563624639889986, 0.)); #382904=DIRECTION('ref_axis',(0.,0.,1.)); #382905=DIRECTION('',(0.,0.,1.)); #382906=DIRECTION('',(0.,0.,1.)); #382907=DIRECTION('center_axis',(0.,0.,1.)); #382908=DIRECTION('ref_axis',(1.,0.,0.)); #382909=DIRECTION('center_axis',(0.,0.,-1.)); #382910=DIRECTION('ref_axis',(-1.,0.,0.)); #382911=DIRECTION('center_axis',(0.,0.,1.)); #382912=DIRECTION('ref_axis',(1.,0.,0.)); #382913=DIRECTION('',(0.,0.,-1.)); #382914=DIRECTION('center_axis',(0.,0.,-1.)); #382915=DIRECTION('ref_axis',(-1.,0.,0.)); #382916=DIRECTION('',(0.,0.,1.)); #382917=DIRECTION('center_axis',(0.,0.,1.)); #382918=DIRECTION('ref_axis',(-1.,0.,0.)); #382919=DIRECTION('center_axis',(0.,0.,-1.)); #382920=DIRECTION('ref_axis',(-1.,0.,0.)); #382921=DIRECTION('center_axis',(0.,0.,-1.)); #382922=DIRECTION('ref_axis',(-1.,0.,0.)); #382923=DIRECTION('center_axis',(0.,0.,-1.)); #382924=DIRECTION('ref_axis',(1.,0.,0.)); #382925=DIRECTION('center_axis',(0.,0.,1.)); #382926=DIRECTION('ref_axis',(1.,0.,0.)); #382927=DIRECTION('center_axis',(0.,0.,-1.)); #382928=DIRECTION('ref_axis',(1.,0.,0.)); #382929=DIRECTION('center_axis',(0.,0.,-1.)); #382930=DIRECTION('ref_axis',(1.,0.,0.)); #382931=DIRECTION('center_axis',(0.,0.,-1.)); #382932=DIRECTION('ref_axis',(1.,0.,0.)); #382933=DIRECTION('center_axis',(0.,0.,-1.)); #382934=DIRECTION('ref_axis',(1.,0.,0.)); #382935=DIRECTION('center_axis',(0.,0.,-1.)); #382936=DIRECTION('ref_axis',(1.,0.,0.)); #382937=DIRECTION('center_axis',(0.,0.,1.)); #382938=DIRECTION('ref_axis',(1.,0.,0.)); #382939=DIRECTION('center_axis',(0.,0.,1.)); #382940=DIRECTION('ref_axis',(1.,0.,0.)); #382941=DIRECTION('center_axis',(0.,0.,1.)); #382942=DIRECTION('ref_axis',(1.,0.,0.)); #382943=DIRECTION('center_axis',(0.,0.,1.)); #382944=DIRECTION('ref_axis',(1.,0.,0.)); #382945=DIRECTION('center_axis',(0.,0.,1.)); #382946=DIRECTION('ref_axis',(1.,0.,0.)); #382947=DIRECTION('center_axis',(0.,0.,1.)); #382948=DIRECTION('ref_axis',(1.,0.,0.)); #382949=DIRECTION('center_axis',(0.,0.,1.)); #382950=DIRECTION('ref_axis',(1.,0.,0.)); #382951=DIRECTION('center_axis',(0.,0.,1.)); #382952=DIRECTION('ref_axis',(1.,0.,0.)); #382953=DIRECTION('center_axis',(0.,0.,1.)); #382954=DIRECTION('ref_axis',(-1.,0.,0.)); #382955=DIRECTION('center_axis',(0.,0.,1.)); #382956=DIRECTION('ref_axis',(1.,0.,0.)); #382957=DIRECTION('center_axis',(0.,0.,1.)); #382958=DIRECTION('ref_axis',(1.,0.,0.)); #382959=DIRECTION('',(0.,0.,-1.)); #382960=DIRECTION('center_axis',(0.,0.,1.)); #382961=DIRECTION('ref_axis',(1.,0.,0.)); #382962=DIRECTION('',(0.,0.,-1.)); #382963=DIRECTION('',(0.,0.,1.)); #382964=DIRECTION('center_axis',(0.,0.,1.)); #382965=DIRECTION('ref_axis',(1.,0.,0.)); #382966=DIRECTION('center_axis',(0.,0.,1.)); #382967=DIRECTION('ref_axis',(1.,0.,0.)); #382968=DIRECTION('center_axis',(0.,0.,1.)); #382969=DIRECTION('ref_axis',(-1.,0.,0.)); #382970=DIRECTION('',(0.,0.,-1.)); #382971=DIRECTION('center_axis',(0.,0.,-1.)); #382972=DIRECTION('ref_axis',(-1.,0.,0.)); #382973=DIRECTION('center_axis',(0.,0.,1.)); #382974=DIRECTION('ref_axis',(1.,0.,0.)); #382975=DIRECTION('',(0.,0.,-1.)); #382976=DIRECTION('center_axis',(0.,0.,-1.)); #382977=DIRECTION('ref_axis',(-1.,0.,0.)); #382978=DIRECTION('',(0.,0.,1.)); #382979=DIRECTION('center_axis',(0.,0.,1.)); #382980=DIRECTION('ref_axis',(-1.,0.,0.)); #382981=DIRECTION('center_axis',(0.,0.,1.)); #382982=DIRECTION('ref_axis',(1.,0.,0.)); #382983=DIRECTION('center_axis',(0.,0.,1.)); #382984=DIRECTION('ref_axis',(-1.,0.,0.)); #382985=DIRECTION('',(0.,0.,-1.)); #382986=DIRECTION('',(0.,0.,-1.)); #382987=DIRECTION('center_axis',(0.,0.,1.)); #382988=DIRECTION('ref_axis',(1.,0.,0.)); #382989=DIRECTION('',(0.,0.,1.)); #382990=DIRECTION('center_axis',(0.,0.,1.)); #382991=DIRECTION('ref_axis',(1.,0.,0.)); #382992=DIRECTION('',(0.,0.,-1.)); #382993=DIRECTION('',(0.,0.,-1.)); #382994=DIRECTION('center_axis',(0.,0.,1.)); #382995=DIRECTION('ref_axis',(1.,0.,0.)); #382996=DIRECTION('',(0.,0.,1.)); #382997=DIRECTION('center_axis',(0.,0.,1.)); #382998=DIRECTION('ref_axis',(1.,0.,0.)); #382999=DIRECTION('',(0.,0.,-1.)); #383000=DIRECTION('center_axis',(0.,0.,-1.)); #383001=DIRECTION('ref_axis',(1.,0.,0.)); #383002=DIRECTION('',(0.,0.,-1.)); #383003=DIRECTION('',(0.,0.,-1.)); #383004=DIRECTION('center_axis',(-0.715609372628354,0.698500698501049,0.)); #383005=DIRECTION('ref_axis',(0.,0.,1.)); #383006=DIRECTION('center_axis',(0.0750977405003938,-0.997176177699676, 0.)); #383007=DIRECTION('ref_axis',(0.,0.,-1.)); #383008=DIRECTION('center_axis',(-0.247114663148906,-0.968986245132924, 0.)); #383009=DIRECTION('ref_axis',(0.,0.,-1.)); #383010=DIRECTION('center_axis',(0.82603103168639,0.563624639889988,0.)); #383011=DIRECTION('ref_axis',(0.,0.,-1.)); #383012=DIRECTION('center_axis',(0.962724035777261,0.270485546631875,0.)); #383013=DIRECTION('ref_axis',(0.,0.,-1.)); #383014=DIRECTION('center_axis',(-0.901128772186782,0.433551537809684,0.)); #383015=DIRECTION('ref_axis',(0.,0.,1.)); #383016=DIRECTION('center_axis',(0.,0.,-1.)); #383017=DIRECTION('ref_axis',(-1.,0.,0.)); #383018=DIRECTION('center_axis',(0.,0.,1.)); #383019=DIRECTION('ref_axis',(1.,0.,0.)); #383020=DIRECTION('center_axis',(0.247114663148907,0.968986245132924,0.)); #383021=DIRECTION('ref_axis',(0.,0.,1.)); #383022=DIRECTION('center_axis',(0.,0.,-1.)); #383023=DIRECTION('ref_axis',(-1.,0.,0.)); #383024=DIRECTION('center_axis',(0.,0.,-1.)); #383025=DIRECTION('ref_axis',(-1.,0.,0.)); #383026=DIRECTION('',(-0.707106781186551,8.65956056235497E-17,0.707106781186544)); #383027=DIRECTION('center_axis',(0.,0.,1.)); #383028=DIRECTION('ref_axis',(1.,0.,0.)); #383029=DIRECTION('center_axis',(-0.962724035777262,-0.270485546631868, 0.)); #383030=DIRECTION('ref_axis',(0.,0.,1.)); #383031=DIRECTION('center_axis',(0.,0.,1.)); #383032=DIRECTION('ref_axis',(-1.,0.,0.)); #383033=DIRECTION('center_axis',(0.715609372628354,-0.698500698501049,0.)); #383034=DIRECTION('ref_axis',(0.,0.,-1.)); #383035=DIRECTION('center_axis',(0.,0.,-1.)); #383036=DIRECTION('ref_axis',(-1.,0.,0.)); #383037=DIRECTION('center_axis',(0.,0.,-1.)); #383038=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #383039=DIRECTION('',(0.,0.,-1.)); #383040=DIRECTION('center_axis',(0.,0.,-1.)); #383041=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #383042=DIRECTION('',(0.,0.,-1.)); #383043=DIRECTION('center_axis',(3.94745964311164E-16,1.,0.)); #383044=DIRECTION('ref_axis',(1.,-3.94745964311164E-16,0.)); #383045=DIRECTION('',(0.,0.,-1.)); #383046=DIRECTION('',(-1.,3.94745964311164E-16,0.)); #383047=DIRECTION('center_axis',(0.,0.,-1.)); #383048=DIRECTION('ref_axis',(-7.52693575256647E-16,-1.,0.)); #383049=DIRECTION('',(0.,0.,-1.)); #383050=DIRECTION('center_axis',(0.,0.,-1.)); #383051=DIRECTION('ref_axis',(-7.52693575256647E-16,-1.,0.)); #383052=DIRECTION('center_axis',(0.866025403784438,-0.500000000000001,0.)); #383053=DIRECTION('ref_axis',(-0.500000000000001,-0.866025403784438,0.)); #383054=DIRECTION('',(0.,0.,-1.)); #383055=DIRECTION('',(0.500000000000001,0.866025403784438,0.)); #383056=DIRECTION('center_axis',(0.,0.,-1.)); #383057=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #383058=DIRECTION('',(0.,0.,-1.)); #383059=DIRECTION('center_axis',(0.,0.,-1.)); #383060=DIRECTION('ref_axis',(-0.866025403784438,0.500000000000001,0.)); #383061=DIRECTION('center_axis',(-0.866025403784441,-0.499999999999997, 0.)); #383062=DIRECTION('ref_axis',(-0.499999999999997,0.866025403784441,0.)); #383063=DIRECTION('',(0.,0.,1.)); #383064=DIRECTION('',(0.499999999999997,-0.866025403784441,0.)); #383065=DIRECTION('center_axis',(-0.258819052299235,-0.965925824360715, 0.)); #383066=DIRECTION('ref_axis',(-0.965925824360715,0.258819052299235,0.)); #383067=DIRECTION('',(0.965925824360715,-0.258819052299235,0.)); #383068=DIRECTION('center_axis',(-0.965925828217422,0.258819037905808,0.)); #383069=DIRECTION('ref_axis',(0.258819037905808,0.965925828217422,0.)); #383070=DIRECTION('',(0.,0.,-1.)); #383071=DIRECTION('',(-0.258819037905808,-0.965925828217422,0.)); #383072=DIRECTION('center_axis',(-0.866025403784449,-0.499999999999983, 0.)); #383073=DIRECTION('ref_axis',(-0.499999999999983,0.866025403784449,0.)); #383074=DIRECTION('',(0.499999999999983,-0.866025403784449,0.)); #383075=DIRECTION('center_axis',(0.,0.,-1.)); #383076=DIRECTION('ref_axis',(-1.,0.,0.)); #383077=DIRECTION('center_axis',(0.,0.,-1.)); #383078=DIRECTION('ref_axis',(-1.,0.,0.)); #383079=DIRECTION('',(0.,0.,-1.)); #383080=DIRECTION('',(1.,0.,0.)); #383081=DIRECTION('',(0.,0.,1.)); #383082=DIRECTION('',(-0.5,0.866025403784439,0.)); #383083=DIRECTION('axis',(0.,0.,1.)); #383084=DIRECTION('refdir',(1.,0.,0.)); #383085=DIRECTION('axis',(0.,0.,1.)); #383086=DIRECTION('refdir',(1.,0.,0.)); #383087=DIRECTION('axis',(0.,0.,1.)); #383088=DIRECTION('refdir',(1.,0.,0.)); #383089=DIRECTION('center_axis',(0.,0.,-1.)); #383090=DIRECTION('ref_axis',(1.,0.,0.)); #383091=DIRECTION('center_axis',(0.,0.,-1.)); #383092=DIRECTION('ref_axis',(1.,0.,0.)); #383093=DIRECTION('',(0.,0.,-1.)); #383094=DIRECTION('center_axis',(0.,0.,1.)); #383095=DIRECTION('ref_axis',(1.,0.,0.)); #383096=DIRECTION('center_axis',(0.,0.,-1.)); #383097=DIRECTION('ref_axis',(1.,0.,0.)); #383098=DIRECTION('center_axis',(0.,0.,-1.)); #383099=DIRECTION('ref_axis',(1.,0.,0.)); #383100=DIRECTION('',(0.,0.,-1.)); #383101=DIRECTION('center_axis',(0.,0.,1.)); #383102=DIRECTION('ref_axis',(1.,0.,0.)); #383103=DIRECTION('center_axis',(0.,0.,1.)); #383104=DIRECTION('ref_axis',(1.,0.,0.)); #383105=DIRECTION('center_axis',(0.,0.,1.)); #383106=DIRECTION('ref_axis',(1.,0.,0.)); #383107=DIRECTION('',(0.,0.,1.)); #383108=DIRECTION('center_axis',(0.,0.,-1.)); #383109=DIRECTION('ref_axis',(1.,0.,0.)); #383110=DIRECTION('center_axis',(0.,0.,1.)); #383111=DIRECTION('ref_axis',(1.,0.,0.)); #383112=DIRECTION('center_axis',(0.,0.,1.)); #383113=DIRECTION('ref_axis',(1.,0.,0.)); #383114=DIRECTION('',(0.,0.,1.)); #383115=DIRECTION('center_axis',(0.,0.,-1.)); #383116=DIRECTION('ref_axis',(1.,0.,0.)); #383117=DIRECTION('center_axis',(0.,0.,1.)); #383118=DIRECTION('ref_axis',(1.,0.,0.)); #383119=DIRECTION('center_axis',(0.,0.,1.)); #383120=DIRECTION('ref_axis',(1.,0.,0.)); #383121=DIRECTION('',(0.,0.,1.)); #383122=DIRECTION('center_axis',(0.,0.,-1.)); #383123=DIRECTION('ref_axis',(1.,0.,0.)); #383124=DIRECTION('center_axis',(0.,0.,1.)); #383125=DIRECTION('ref_axis',(1.,0.,0.)); #383126=DIRECTION('center_axis',(0.,0.,1.)); #383127=DIRECTION('ref_axis',(1.,0.,0.)); #383128=DIRECTION('',(0.,0.,1.)); #383129=DIRECTION('center_axis',(0.,0.,-1.)); #383130=DIRECTION('ref_axis',(1.,0.,0.)); #383131=DIRECTION('center_axis',(0.,0.,1.)); #383132=DIRECTION('ref_axis',(1.,0.,0.)); #383133=DIRECTION('center_axis',(0.,0.,1.)); #383134=DIRECTION('ref_axis',(1.,0.,0.)); #383135=DIRECTION('',(0.,0.,1.)); #383136=DIRECTION('center_axis',(0.,0.,-1.)); #383137=DIRECTION('ref_axis',(1.,0.,0.)); #383138=DIRECTION('center_axis',(0.,0.,1.)); #383139=DIRECTION('ref_axis',(1.,0.,0.)); #383140=DIRECTION('center_axis',(0.,0.,1.)); #383141=DIRECTION('ref_axis',(1.,0.,0.)); #383142=DIRECTION('',(0.,0.,1.)); #383143=DIRECTION('center_axis',(0.,0.,-1.)); #383144=DIRECTION('ref_axis',(1.,0.,0.)); #383145=DIRECTION('center_axis',(0.,0.,1.)); #383146=DIRECTION('ref_axis',(1.,0.,0.)); #383147=DIRECTION('center_axis',(0.,0.,1.)); #383148=DIRECTION('ref_axis',(1.,0.,0.)); #383149=DIRECTION('',(0.,0.,1.)); #383150=DIRECTION('center_axis',(0.,0.,-1.)); #383151=DIRECTION('ref_axis',(1.,0.,0.)); #383152=DIRECTION('center_axis',(0.,0.,1.)); #383153=DIRECTION('ref_axis',(1.,0.,0.)); #383154=DIRECTION('center_axis',(0.,0.,1.)); #383155=DIRECTION('ref_axis',(1.,0.,0.)); #383156=DIRECTION('',(0.,0.,1.)); #383157=DIRECTION('center_axis',(0.,0.,-1.)); #383158=DIRECTION('ref_axis',(1.,0.,0.)); #383159=DIRECTION('center_axis',(0.,0.,1.)); #383160=DIRECTION('ref_axis',(1.,0.,0.)); #383161=DIRECTION('center_axis',(0.,0.,1.)); #383162=DIRECTION('ref_axis',(1.,0.,0.)); #383163=DIRECTION('',(0.,0.,1.)); #383164=DIRECTION('center_axis',(0.,0.,-1.)); #383165=DIRECTION('ref_axis',(1.,0.,0.)); #383166=DIRECTION('center_axis',(0.,0.,1.)); #383167=DIRECTION('ref_axis',(1.,0.,0.)); #383168=DIRECTION('center_axis',(0.,0.,1.)); #383169=DIRECTION('ref_axis',(1.,0.,0.)); #383170=DIRECTION('',(0.,0.,1.)); #383171=DIRECTION('center_axis',(0.,0.,-1.)); #383172=DIRECTION('ref_axis',(1.,0.,0.)); #383173=DIRECTION('center_axis',(0.,0.,1.)); #383174=DIRECTION('ref_axis',(1.,0.,0.)); #383175=DIRECTION('center_axis',(0.,0.,1.)); #383176=DIRECTION('ref_axis',(1.,0.,0.)); #383177=DIRECTION('',(0.,0.,1.)); #383178=DIRECTION('center_axis',(0.,0.,-1.)); #383179=DIRECTION('ref_axis',(1.,0.,0.)); #383180=DIRECTION('center_axis',(0.,0.,1.)); #383181=DIRECTION('ref_axis',(1.,0.,0.)); #383182=DIRECTION('center_axis',(0.,0.,1.)); #383183=DIRECTION('ref_axis',(1.,0.,0.)); #383184=DIRECTION('',(0.,0.,1.)); #383185=DIRECTION('center_axis',(0.,0.,-1.)); #383186=DIRECTION('ref_axis',(1.,0.,0.)); #383187=DIRECTION('center_axis',(0.,0.,1.)); #383188=DIRECTION('ref_axis',(1.,0.,0.)); #383189=DIRECTION('center_axis',(0.,0.,1.)); #383190=DIRECTION('ref_axis',(1.,0.,0.)); #383191=DIRECTION('',(0.,0.,1.)); #383192=DIRECTION('center_axis',(0.,0.,-1.)); #383193=DIRECTION('ref_axis',(1.,0.,0.)); #383194=DIRECTION('center_axis',(0.,0.,1.)); #383195=DIRECTION('ref_axis',(1.,0.,0.)); #383196=DIRECTION('center_axis',(0.,0.,1.)); #383197=DIRECTION('ref_axis',(1.,0.,0.)); #383198=DIRECTION('',(0.,0.,1.)); #383199=DIRECTION('center_axis',(0.,0.,-1.)); #383200=DIRECTION('ref_axis',(1.,0.,0.)); #383201=DIRECTION('center_axis',(0.,0.,1.)); #383202=DIRECTION('ref_axis',(1.,0.,0.)); #383203=DIRECTION('center_axis',(0.,0.,1.)); #383204=DIRECTION('ref_axis',(1.,0.,0.)); #383205=DIRECTION('',(0.,0.,1.)); #383206=DIRECTION('center_axis',(0.,0.,-1.)); #383207=DIRECTION('ref_axis',(1.,0.,0.)); #383208=DIRECTION('center_axis',(0.,0.,1.)); #383209=DIRECTION('ref_axis',(1.,0.,0.)); #383210=DIRECTION('center_axis',(0.,0.,1.)); #383211=DIRECTION('ref_axis',(1.,0.,0.)); #383212=DIRECTION('',(0.,0.,1.)); #383213=DIRECTION('center_axis',(0.,0.,-1.)); #383214=DIRECTION('ref_axis',(1.,0.,0.)); #383215=DIRECTION('center_axis',(0.,0.,1.)); #383216=DIRECTION('ref_axis',(1.,0.,0.)); #383217=DIRECTION('center_axis',(0.,0.,1.)); #383218=DIRECTION('ref_axis',(1.,0.,0.)); #383219=DIRECTION('',(0.,0.,1.)); #383220=DIRECTION('center_axis',(0.,0.,-1.)); #383221=DIRECTION('ref_axis',(1.,0.,0.)); #383222=DIRECTION('center_axis',(0.,0.,1.)); #383223=DIRECTION('ref_axis',(1.,0.,0.)); #383224=DIRECTION('center_axis',(0.,0.,1.)); #383225=DIRECTION('ref_axis',(1.,0.,0.)); #383226=DIRECTION('',(0.,0.,1.)); #383227=DIRECTION('center_axis',(0.,0.,-1.)); #383228=DIRECTION('ref_axis',(1.,0.,0.)); #383229=DIRECTION('center_axis',(0.,0.,1.)); #383230=DIRECTION('ref_axis',(1.,0.,0.)); #383231=DIRECTION('center_axis',(0.,0.,1.)); #383232=DIRECTION('ref_axis',(1.,0.,0.)); #383233=DIRECTION('',(0.,0.,1.)); #383234=DIRECTION('center_axis',(0.,0.,-1.)); #383235=DIRECTION('ref_axis',(1.,0.,0.)); #383236=DIRECTION('center_axis',(0.,0.,1.)); #383237=DIRECTION('ref_axis',(1.,0.,0.)); #383238=DIRECTION('center_axis',(0.,0.,1.)); #383239=DIRECTION('ref_axis',(1.,0.,0.)); #383240=DIRECTION('',(0.,0.,1.)); #383241=DIRECTION('center_axis',(0.,0.,-1.)); #383242=DIRECTION('ref_axis',(1.,0.,0.)); #383243=DIRECTION('center_axis',(0.,0.,1.)); #383244=DIRECTION('ref_axis',(1.,0.,0.)); #383245=DIRECTION('center_axis',(0.,0.,1.)); #383246=DIRECTION('ref_axis',(1.,0.,0.)); #383247=DIRECTION('',(0.,0.,1.)); #383248=DIRECTION('center_axis',(0.,0.,-1.)); #383249=DIRECTION('ref_axis',(1.,0.,0.)); #383250=DIRECTION('center_axis',(0.,0.,1.)); #383251=DIRECTION('ref_axis',(1.,0.,0.)); #383252=DIRECTION('center_axis',(0.,0.,1.)); #383253=DIRECTION('ref_axis',(1.,0.,0.)); #383254=DIRECTION('',(0.,0.,1.)); #383255=DIRECTION('center_axis',(0.,0.,-1.)); #383256=DIRECTION('ref_axis',(1.,0.,0.)); #383257=DIRECTION('center_axis',(0.,0.,1.)); #383258=DIRECTION('ref_axis',(1.,0.,0.)); #383259=DIRECTION('center_axis',(0.,0.,1.)); #383260=DIRECTION('ref_axis',(1.,0.,0.)); #383261=DIRECTION('',(0.,0.,1.)); #383262=DIRECTION('center_axis',(0.,0.,-1.)); #383263=DIRECTION('ref_axis',(1.,0.,0.)); #383264=DIRECTION('center_axis',(0.,0.,1.)); #383265=DIRECTION('ref_axis',(1.,0.,0.)); #383266=DIRECTION('center_axis',(0.,0.,1.)); #383267=DIRECTION('ref_axis',(1.,0.,0.)); #383268=DIRECTION('',(0.,0.,1.)); #383269=DIRECTION('center_axis',(0.,0.,-1.)); #383270=DIRECTION('ref_axis',(1.,0.,0.)); #383271=DIRECTION('center_axis',(0.,0.,1.)); #383272=DIRECTION('ref_axis',(1.,0.,0.)); #383273=DIRECTION('center_axis',(0.,0.,1.)); #383274=DIRECTION('ref_axis',(1.,0.,0.)); #383275=DIRECTION('',(0.,0.,1.)); #383276=DIRECTION('center_axis',(0.,0.,-1.)); #383277=DIRECTION('ref_axis',(1.,0.,0.)); #383278=DIRECTION('center_axis',(0.,0.,1.)); #383279=DIRECTION('ref_axis',(1.,0.,0.)); #383280=DIRECTION('center_axis',(0.,0.,1.)); #383281=DIRECTION('ref_axis',(1.,0.,0.)); #383282=DIRECTION('',(0.,0.,1.)); #383283=DIRECTION('center_axis',(0.,0.,-1.)); #383284=DIRECTION('ref_axis',(1.,0.,0.)); #383285=DIRECTION('center_axis',(0.,0.,1.)); #383286=DIRECTION('ref_axis',(1.,0.,0.)); #383287=DIRECTION('center_axis',(0.,0.,1.)); #383288=DIRECTION('ref_axis',(1.,0.,0.)); #383289=DIRECTION('',(0.,0.,1.)); #383290=DIRECTION('center_axis',(0.,0.,-1.)); #383291=DIRECTION('ref_axis',(1.,0.,0.)); #383292=DIRECTION('center_axis',(0.,0.,1.)); #383293=DIRECTION('ref_axis',(1.,0.,0.)); #383294=DIRECTION('center_axis',(0.,0.,1.)); #383295=DIRECTION('ref_axis',(1.,0.,0.)); #383296=DIRECTION('',(0.,0.,1.)); #383297=DIRECTION('center_axis',(0.,0.,-1.)); #383298=DIRECTION('ref_axis',(1.,0.,0.)); #383299=DIRECTION('center_axis',(0.,0.,1.)); #383300=DIRECTION('ref_axis',(1.,0.,0.)); #383301=DIRECTION('center_axis',(0.,0.,1.)); #383302=DIRECTION('ref_axis',(1.,0.,0.)); #383303=DIRECTION('',(0.,0.,1.)); #383304=DIRECTION('center_axis',(0.,0.,-1.)); #383305=DIRECTION('ref_axis',(1.,0.,0.)); #383306=DIRECTION('center_axis',(0.,0.,1.)); #383307=DIRECTION('ref_axis',(1.,0.,0.)); #383308=DIRECTION('center_axis',(0.,0.,1.)); #383309=DIRECTION('ref_axis',(1.,0.,0.)); #383310=DIRECTION('',(0.,0.,1.)); #383311=DIRECTION('center_axis',(0.,0.,-1.)); #383312=DIRECTION('ref_axis',(1.,0.,0.)); #383313=DIRECTION('center_axis',(0.,0.,1.)); #383314=DIRECTION('ref_axis',(1.,0.,0.)); #383315=DIRECTION('center_axis',(0.,0.,1.)); #383316=DIRECTION('ref_axis',(1.,0.,0.)); #383317=DIRECTION('',(0.,0.,1.)); #383318=DIRECTION('center_axis',(0.,0.,-1.)); #383319=DIRECTION('ref_axis',(1.,0.,0.)); #383320=DIRECTION('center_axis',(0.,0.,1.)); #383321=DIRECTION('ref_axis',(1.,0.,0.)); #383322=DIRECTION('center_axis',(0.,0.,1.)); #383323=DIRECTION('ref_axis',(1.,0.,0.)); #383324=DIRECTION('',(0.,0.,1.)); #383325=DIRECTION('center_axis',(0.,0.,-1.)); #383326=DIRECTION('ref_axis',(1.,0.,0.)); #383327=DIRECTION('center_axis',(0.,0.,1.)); #383328=DIRECTION('ref_axis',(1.,0.,0.)); #383329=DIRECTION('center_axis',(0.,0.,1.)); #383330=DIRECTION('ref_axis',(1.,0.,0.)); #383331=DIRECTION('',(0.,0.,1.)); #383332=DIRECTION('center_axis',(0.,0.,-1.)); #383333=DIRECTION('ref_axis',(1.,0.,0.)); #383334=DIRECTION('center_axis',(0.,0.,1.)); #383335=DIRECTION('ref_axis',(1.,0.,0.)); #383336=DIRECTION('center_axis',(0.,0.,1.)); #383337=DIRECTION('ref_axis',(1.,0.,0.)); #383338=DIRECTION('',(0.,0.,1.)); #383339=DIRECTION('center_axis',(0.,0.,-1.)); #383340=DIRECTION('ref_axis',(1.,0.,0.)); #383341=DIRECTION('center_axis',(0.,0.,1.)); #383342=DIRECTION('ref_axis',(1.,0.,0.)); #383343=DIRECTION('center_axis',(0.,0.,1.)); #383344=DIRECTION('ref_axis',(1.,0.,0.)); #383345=DIRECTION('',(0.,0.,1.)); #383346=DIRECTION('center_axis',(0.,0.,-1.)); #383347=DIRECTION('ref_axis',(1.,0.,0.)); #383348=DIRECTION('center_axis',(0.,0.,1.)); #383349=DIRECTION('ref_axis',(1.,0.,0.)); #383350=DIRECTION('center_axis',(0.,0.,1.)); #383351=DIRECTION('ref_axis',(1.,0.,0.)); #383352=DIRECTION('',(0.,0.,1.)); #383353=DIRECTION('center_axis',(0.,0.,-1.)); #383354=DIRECTION('ref_axis',(1.,0.,0.)); #383355=DIRECTION('center_axis',(0.,0.,1.)); #383356=DIRECTION('ref_axis',(1.,0.,0.)); #383357=DIRECTION('center_axis',(0.,0.,1.)); #383358=DIRECTION('ref_axis',(1.,0.,0.)); #383359=DIRECTION('',(0.,0.,1.)); #383360=DIRECTION('center_axis',(0.,0.,-1.)); #383361=DIRECTION('ref_axis',(1.,0.,0.)); #383362=DIRECTION('center_axis',(0.,0.,1.)); #383363=DIRECTION('ref_axis',(1.,0.,0.)); #383364=DIRECTION('center_axis',(0.,0.,1.)); #383365=DIRECTION('ref_axis',(1.,0.,0.)); #383366=DIRECTION('',(0.,0.,1.)); #383367=DIRECTION('center_axis',(0.,0.,-1.)); #383368=DIRECTION('ref_axis',(1.,0.,0.)); #383369=DIRECTION('center_axis',(0.,0.,1.)); #383370=DIRECTION('ref_axis',(1.,0.,0.)); #383371=DIRECTION('center_axis',(0.,0.,1.)); #383372=DIRECTION('ref_axis',(1.,0.,0.)); #383373=DIRECTION('',(0.,0.,1.)); #383374=DIRECTION('center_axis',(0.,0.,-1.)); #383375=DIRECTION('ref_axis',(1.,0.,0.)); #383376=DIRECTION('center_axis',(0.,0.,1.)); #383377=DIRECTION('ref_axis',(1.,0.,0.)); #383378=DIRECTION('center_axis',(0.,0.,1.)); #383379=DIRECTION('ref_axis',(1.,0.,0.)); #383380=DIRECTION('',(0.,0.,1.)); #383381=DIRECTION('center_axis',(0.,0.,-1.)); #383382=DIRECTION('ref_axis',(1.,0.,0.)); #383383=DIRECTION('center_axis',(0.,0.,1.)); #383384=DIRECTION('ref_axis',(1.,0.,0.)); #383385=DIRECTION('center_axis',(0.,0.,1.)); #383386=DIRECTION('ref_axis',(1.,0.,0.)); #383387=DIRECTION('',(0.,0.,1.)); #383388=DIRECTION('center_axis',(0.,0.,-1.)); #383389=DIRECTION('ref_axis',(1.,0.,0.)); #383390=DIRECTION('center_axis',(0.,0.,1.)); #383391=DIRECTION('ref_axis',(1.,0.,0.)); #383392=DIRECTION('center_axis',(0.,0.,1.)); #383393=DIRECTION('ref_axis',(1.,0.,0.)); #383394=DIRECTION('',(0.,0.,1.)); #383395=DIRECTION('center_axis',(0.,0.,-1.)); #383396=DIRECTION('ref_axis',(1.,0.,0.)); #383397=DIRECTION('center_axis',(0.,0.,1.)); #383398=DIRECTION('ref_axis',(1.,0.,0.)); #383399=DIRECTION('center_axis',(0.,0.,1.)); #383400=DIRECTION('ref_axis',(1.,0.,0.)); #383401=DIRECTION('',(0.,0.,1.)); #383402=DIRECTION('center_axis',(0.,0.,-1.)); #383403=DIRECTION('ref_axis',(1.,0.,0.)); #383404=DIRECTION('center_axis',(0.,0.,1.)); #383405=DIRECTION('ref_axis',(1.,0.,0.)); #383406=DIRECTION('center_axis',(0.,0.,1.)); #383407=DIRECTION('ref_axis',(1.,0.,0.)); #383408=DIRECTION('',(0.,0.,1.)); #383409=DIRECTION('center_axis',(0.,0.,-1.)); #383410=DIRECTION('ref_axis',(1.,0.,0.)); #383411=DIRECTION('center_axis',(0.,0.,1.)); #383412=DIRECTION('ref_axis',(1.,0.,0.)); #383413=DIRECTION('center_axis',(0.,0.,1.)); #383414=DIRECTION('ref_axis',(1.,0.,0.)); #383415=DIRECTION('',(0.,0.,1.)); #383416=DIRECTION('center_axis',(0.,0.,-1.)); #383417=DIRECTION('ref_axis',(1.,0.,0.)); #383418=DIRECTION('center_axis',(0.,0.,1.)); #383419=DIRECTION('ref_axis',(1.,0.,0.)); #383420=DIRECTION('center_axis',(0.,0.,1.)); #383421=DIRECTION('ref_axis',(1.,0.,0.)); #383422=DIRECTION('',(0.,0.,1.)); #383423=DIRECTION('center_axis',(0.,0.,-1.)); #383424=DIRECTION('ref_axis',(1.,0.,0.)); #383425=DIRECTION('center_axis',(0.,0.,1.)); #383426=DIRECTION('ref_axis',(1.,0.,0.)); #383427=DIRECTION('center_axis',(0.,0.,1.)); #383428=DIRECTION('ref_axis',(1.,0.,0.)); #383429=DIRECTION('',(0.,0.,1.)); #383430=DIRECTION('center_axis',(0.,0.,-1.)); #383431=DIRECTION('ref_axis',(1.,0.,0.)); #383432=DIRECTION('center_axis',(0.,0.,1.)); #383433=DIRECTION('ref_axis',(1.,0.,0.)); #383434=DIRECTION('center_axis',(0.,0.,1.)); #383435=DIRECTION('ref_axis',(1.,0.,0.)); #383436=DIRECTION('',(0.,0.,1.)); #383437=DIRECTION('center_axis',(0.,0.,-1.)); #383438=DIRECTION('ref_axis',(1.,0.,0.)); #383439=DIRECTION('center_axis',(0.,0.,1.)); #383440=DIRECTION('ref_axis',(1.,0.,0.)); #383441=DIRECTION('center_axis',(0.,0.,1.)); #383442=DIRECTION('ref_axis',(1.,0.,0.)); #383443=DIRECTION('',(0.,0.,1.)); #383444=DIRECTION('center_axis',(0.,0.,-1.)); #383445=DIRECTION('ref_axis',(1.,0.,0.)); #383446=DIRECTION('center_axis',(0.,0.,1.)); #383447=DIRECTION('ref_axis',(1.,0.,0.)); #383448=DIRECTION('center_axis',(0.,0.,1.)); #383449=DIRECTION('ref_axis',(1.,0.,0.)); #383450=DIRECTION('',(0.,0.,1.)); #383451=DIRECTION('center_axis',(0.,0.,-1.)); #383452=DIRECTION('ref_axis',(1.,0.,0.)); #383453=DIRECTION('center_axis',(0.,0.,1.)); #383454=DIRECTION('ref_axis',(1.,0.,0.)); #383455=DIRECTION('center_axis',(0.,0.,1.)); #383456=DIRECTION('ref_axis',(1.,0.,0.)); #383457=DIRECTION('',(0.,0.,1.)); #383458=DIRECTION('center_axis',(0.,0.,-1.)); #383459=DIRECTION('ref_axis',(1.,0.,0.)); #383460=DIRECTION('center_axis',(0.,0.,1.)); #383461=DIRECTION('ref_axis',(1.,0.,0.)); #383462=DIRECTION('center_axis',(0.,0.,1.)); #383463=DIRECTION('ref_axis',(1.,0.,0.)); #383464=DIRECTION('',(0.,0.,1.)); #383465=DIRECTION('center_axis',(0.,0.,-1.)); #383466=DIRECTION('ref_axis',(1.,0.,0.)); #383467=DIRECTION('center_axis',(0.,0.,1.)); #383468=DIRECTION('ref_axis',(1.,0.,0.)); #383469=DIRECTION('center_axis',(0.,0.,1.)); #383470=DIRECTION('ref_axis',(1.,0.,0.)); #383471=DIRECTION('',(0.,0.,1.)); #383472=DIRECTION('center_axis',(0.,0.,-1.)); #383473=DIRECTION('ref_axis',(1.,0.,0.)); #383474=DIRECTION('center_axis',(0.,0.,1.)); #383475=DIRECTION('ref_axis',(1.,0.,0.)); #383476=DIRECTION('center_axis',(0.,0.,1.)); #383477=DIRECTION('ref_axis',(1.,0.,0.)); #383478=DIRECTION('',(0.,0.,1.)); #383479=DIRECTION('center_axis',(0.,0.,-1.)); #383480=DIRECTION('ref_axis',(1.,0.,0.)); #383481=DIRECTION('center_axis',(0.,0.,1.)); #383482=DIRECTION('ref_axis',(1.,0.,0.)); #383483=DIRECTION('center_axis',(0.,0.,1.)); #383484=DIRECTION('ref_axis',(1.,0.,0.)); #383485=DIRECTION('',(0.,0.,1.)); #383486=DIRECTION('center_axis',(0.,0.,-1.)); #383487=DIRECTION('ref_axis',(1.,0.,0.)); #383488=DIRECTION('center_axis',(0.,0.,1.)); #383489=DIRECTION('ref_axis',(1.,0.,0.)); #383490=DIRECTION('center_axis',(0.,0.,1.)); #383491=DIRECTION('ref_axis',(1.,0.,0.)); #383492=DIRECTION('',(0.,0.,1.)); #383493=DIRECTION('center_axis',(0.,0.,-1.)); #383494=DIRECTION('ref_axis',(1.,0.,0.)); #383495=DIRECTION('center_axis',(0.,0.,1.)); #383496=DIRECTION('ref_axis',(1.,0.,0.)); #383497=DIRECTION('center_axis',(0.,0.,1.)); #383498=DIRECTION('ref_axis',(1.,0.,0.)); #383499=DIRECTION('',(0.,0.,1.)); #383500=DIRECTION('center_axis',(0.,0.,-1.)); #383501=DIRECTION('ref_axis',(1.,0.,0.)); #383502=DIRECTION('center_axis',(0.,0.,1.)); #383503=DIRECTION('ref_axis',(1.,0.,0.)); #383504=DIRECTION('center_axis',(0.,0.,1.)); #383505=DIRECTION('ref_axis',(1.,0.,0.)); #383506=DIRECTION('',(0.,0.,1.)); #383507=DIRECTION('center_axis',(0.,0.,-1.)); #383508=DIRECTION('ref_axis',(1.,0.,0.)); #383509=DIRECTION('center_axis',(0.,0.,1.)); #383510=DIRECTION('ref_axis',(1.,0.,0.)); #383511=DIRECTION('center_axis',(0.,0.,1.)); #383512=DIRECTION('ref_axis',(1.,0.,0.)); #383513=DIRECTION('',(0.,0.,1.)); #383514=DIRECTION('center_axis',(0.,0.,-1.)); #383515=DIRECTION('ref_axis',(1.,0.,0.)); #383516=DIRECTION('center_axis',(0.,0.,1.)); #383517=DIRECTION('ref_axis',(1.,0.,0.)); #383518=DIRECTION('center_axis',(0.,0.,1.)); #383519=DIRECTION('ref_axis',(1.,0.,0.)); #383520=DIRECTION('',(0.,0.,1.)); #383521=DIRECTION('center_axis',(0.,0.,-1.)); #383522=DIRECTION('ref_axis',(1.,0.,0.)); #383523=DIRECTION('center_axis',(0.,0.,1.)); #383524=DIRECTION('ref_axis',(1.,0.,0.)); #383525=DIRECTION('center_axis',(0.,0.,1.)); #383526=DIRECTION('ref_axis',(1.,0.,0.)); #383527=DIRECTION('',(0.,0.,1.)); #383528=DIRECTION('center_axis',(0.,0.,-1.)); #383529=DIRECTION('ref_axis',(1.,0.,0.)); #383530=DIRECTION('center_axis',(0.,0.,1.)); #383531=DIRECTION('ref_axis',(1.,0.,0.)); #383532=DIRECTION('center_axis',(0.,0.,1.)); #383533=DIRECTION('ref_axis',(1.,0.,0.)); #383534=DIRECTION('',(0.,0.,1.)); #383535=DIRECTION('center_axis',(0.,0.,-1.)); #383536=DIRECTION('ref_axis',(1.,0.,0.)); #383537=DIRECTION('center_axis',(0.,0.,1.)); #383538=DIRECTION('ref_axis',(1.,0.,0.)); #383539=DIRECTION('center_axis',(0.,0.,1.)); #383540=DIRECTION('ref_axis',(1.,0.,0.)); #383541=DIRECTION('',(0.,0.,1.)); #383542=DIRECTION('center_axis',(0.,0.,-1.)); #383543=DIRECTION('ref_axis',(1.,0.,0.)); #383544=DIRECTION('center_axis',(0.,0.,1.)); #383545=DIRECTION('ref_axis',(1.,0.,0.)); #383546=DIRECTION('center_axis',(0.,0.,1.)); #383547=DIRECTION('ref_axis',(1.,0.,0.)); #383548=DIRECTION('',(0.,0.,1.)); #383549=DIRECTION('center_axis',(0.,0.,-1.)); #383550=DIRECTION('ref_axis',(1.,0.,0.)); #383551=DIRECTION('center_axis',(0.,0.,1.)); #383552=DIRECTION('ref_axis',(1.,0.,0.)); #383553=DIRECTION('center_axis',(0.,0.,1.)); #383554=DIRECTION('ref_axis',(1.,0.,0.)); #383555=DIRECTION('',(0.,0.,1.)); #383556=DIRECTION('center_axis',(0.,0.,-1.)); #383557=DIRECTION('ref_axis',(1.,0.,0.)); #383558=DIRECTION('center_axis',(0.,0.,1.)); #383559=DIRECTION('ref_axis',(1.,0.,0.)); #383560=DIRECTION('center_axis',(0.,0.,1.)); #383561=DIRECTION('ref_axis',(1.,0.,0.)); #383562=DIRECTION('',(0.,0.,1.)); #383563=DIRECTION('center_axis',(0.,0.,-1.)); #383564=DIRECTION('ref_axis',(1.,0.,0.)); #383565=DIRECTION('center_axis',(0.,0.,1.)); #383566=DIRECTION('ref_axis',(1.,0.,0.)); #383567=DIRECTION('center_axis',(0.,0.,1.)); #383568=DIRECTION('ref_axis',(1.,0.,0.)); #383569=DIRECTION('',(0.,0.,1.)); #383570=DIRECTION('center_axis',(0.,0.,-1.)); #383571=DIRECTION('ref_axis',(1.,0.,0.)); #383572=DIRECTION('center_axis',(0.,0.,1.)); #383573=DIRECTION('ref_axis',(1.,0.,0.)); #383574=DIRECTION('center_axis',(0.,0.,1.)); #383575=DIRECTION('ref_axis',(1.,0.,0.)); #383576=DIRECTION('',(0.,0.,1.)); #383577=DIRECTION('center_axis',(0.,0.,-1.)); #383578=DIRECTION('ref_axis',(1.,0.,0.)); #383579=DIRECTION('center_axis',(0.,0.,1.)); #383580=DIRECTION('ref_axis',(1.,0.,0.)); #383581=DIRECTION('center_axis',(0.,0.,1.)); #383582=DIRECTION('ref_axis',(1.,0.,0.)); #383583=DIRECTION('',(0.,0.,1.)); #383584=DIRECTION('center_axis',(0.,0.,-1.)); #383585=DIRECTION('ref_axis',(1.,0.,0.)); #383586=DIRECTION('center_axis',(0.,0.,1.)); #383587=DIRECTION('ref_axis',(1.,0.,0.)); #383588=DIRECTION('center_axis',(0.,0.,1.)); #383589=DIRECTION('ref_axis',(1.,0.,0.)); #383590=DIRECTION('',(0.,0.,1.)); #383591=DIRECTION('center_axis',(0.,0.,-1.)); #383592=DIRECTION('ref_axis',(1.,0.,0.)); #383593=DIRECTION('center_axis',(0.,0.,1.)); #383594=DIRECTION('ref_axis',(1.,0.,0.)); #383595=DIRECTION('center_axis',(0.,0.,1.)); #383596=DIRECTION('ref_axis',(1.,0.,0.)); #383597=DIRECTION('',(0.,0.,1.)); #383598=DIRECTION('center_axis',(0.,0.,-1.)); #383599=DIRECTION('ref_axis',(1.,0.,0.)); #383600=DIRECTION('center_axis',(0.,0.,1.)); #383601=DIRECTION('ref_axis',(1.,0.,0.)); #383602=DIRECTION('center_axis',(0.,0.,1.)); #383603=DIRECTION('ref_axis',(1.,0.,0.)); #383604=DIRECTION('',(0.,0.,1.)); #383605=DIRECTION('center_axis',(0.,0.,-1.)); #383606=DIRECTION('ref_axis',(1.,0.,0.)); #383607=DIRECTION('center_axis',(0.,0.,1.)); #383608=DIRECTION('ref_axis',(1.,0.,0.)); #383609=DIRECTION('center_axis',(0.,0.,1.)); #383610=DIRECTION('ref_axis',(1.,0.,0.)); #383611=DIRECTION('',(0.,0.,1.)); #383612=DIRECTION('center_axis',(0.,0.,-1.)); #383613=DIRECTION('ref_axis',(1.,0.,0.)); #383614=DIRECTION('center_axis',(0.,0.,1.)); #383615=DIRECTION('ref_axis',(1.,0.,0.)); #383616=DIRECTION('center_axis',(0.,0.,1.)); #383617=DIRECTION('ref_axis',(1.,0.,0.)); #383618=DIRECTION('',(0.,0.,1.)); #383619=DIRECTION('center_axis',(0.,0.,-1.)); #383620=DIRECTION('ref_axis',(1.,0.,0.)); #383621=DIRECTION('center_axis',(0.,0.,1.)); #383622=DIRECTION('ref_axis',(1.,0.,0.)); #383623=DIRECTION('center_axis',(0.,0.,1.)); #383624=DIRECTION('ref_axis',(1.,0.,0.)); #383625=DIRECTION('',(0.,0.,1.)); #383626=DIRECTION('center_axis',(0.,0.,-1.)); #383627=DIRECTION('ref_axis',(1.,0.,0.)); #383628=DIRECTION('center_axis',(0.,0.,1.)); #383629=DIRECTION('ref_axis',(1.,0.,0.)); #383630=DIRECTION('center_axis',(0.,0.,1.)); #383631=DIRECTION('ref_axis',(1.,0.,0.)); #383632=DIRECTION('',(0.,0.,1.)); #383633=DIRECTION('center_axis',(0.,0.,-1.)); #383634=DIRECTION('ref_axis',(1.,0.,0.)); #383635=DIRECTION('center_axis',(0.,0.,1.)); #383636=DIRECTION('ref_axis',(1.,0.,0.)); #383637=DIRECTION('center_axis',(0.,0.,1.)); #383638=DIRECTION('ref_axis',(1.,0.,0.)); #383639=DIRECTION('',(0.,0.,1.)); #383640=DIRECTION('center_axis',(0.,0.,-1.)); #383641=DIRECTION('ref_axis',(1.,0.,0.)); #383642=DIRECTION('center_axis',(0.,0.,1.)); #383643=DIRECTION('ref_axis',(1.,0.,0.)); #383644=DIRECTION('center_axis',(0.,0.,1.)); #383645=DIRECTION('ref_axis',(1.,0.,0.)); #383646=DIRECTION('',(0.,0.,1.)); #383647=DIRECTION('center_axis',(0.,0.,-1.)); #383648=DIRECTION('ref_axis',(1.,0.,0.)); #383649=DIRECTION('center_axis',(0.,0.,1.)); #383650=DIRECTION('ref_axis',(1.,0.,0.)); #383651=DIRECTION('center_axis',(0.,0.,1.)); #383652=DIRECTION('ref_axis',(1.,0.,0.)); #383653=DIRECTION('',(0.,0.,1.)); #383654=DIRECTION('center_axis',(0.,0.,-1.)); #383655=DIRECTION('ref_axis',(1.,0.,0.)); #383656=DIRECTION('center_axis',(0.,0.,1.)); #383657=DIRECTION('ref_axis',(1.,0.,0.)); #383658=DIRECTION('center_axis',(0.,0.,1.)); #383659=DIRECTION('ref_axis',(1.,0.,0.)); #383660=DIRECTION('',(0.,0.,1.)); #383661=DIRECTION('center_axis',(0.,0.,-1.)); #383662=DIRECTION('ref_axis',(1.,0.,0.)); #383663=DIRECTION('center_axis',(0.,0.,1.)); #383664=DIRECTION('ref_axis',(1.,0.,0.)); #383665=DIRECTION('center_axis',(0.,0.,1.)); #383666=DIRECTION('ref_axis',(1.,0.,0.)); #383667=DIRECTION('',(0.,0.,1.)); #383668=DIRECTION('center_axis',(0.,0.,-1.)); #383669=DIRECTION('ref_axis',(1.,0.,0.)); #383670=DIRECTION('center_axis',(0.,0.,1.)); #383671=DIRECTION('ref_axis',(1.,0.,0.)); #383672=DIRECTION('center_axis',(0.,0.,1.)); #383673=DIRECTION('ref_axis',(1.,0.,0.)); #383674=DIRECTION('',(0.,0.,1.)); #383675=DIRECTION('center_axis',(0.,0.,-1.)); #383676=DIRECTION('ref_axis',(1.,0.,0.)); #383677=DIRECTION('center_axis',(0.,0.,1.)); #383678=DIRECTION('ref_axis',(1.,0.,0.)); #383679=DIRECTION('center_axis',(0.,0.,1.)); #383680=DIRECTION('ref_axis',(1.,0.,0.)); #383681=DIRECTION('',(0.,0.,1.)); #383682=DIRECTION('center_axis',(0.,0.,-1.)); #383683=DIRECTION('ref_axis',(1.,0.,0.)); #383684=DIRECTION('center_axis',(0.,0.,1.)); #383685=DIRECTION('ref_axis',(1.,0.,0.)); #383686=DIRECTION('center_axis',(0.,0.,1.)); #383687=DIRECTION('ref_axis',(1.,0.,0.)); #383688=DIRECTION('',(0.,0.,1.)); #383689=DIRECTION('center_axis',(0.,0.,-1.)); #383690=DIRECTION('ref_axis',(1.,0.,0.)); #383691=DIRECTION('center_axis',(0.,0.,1.)); #383692=DIRECTION('ref_axis',(1.,0.,0.)); #383693=DIRECTION('center_axis',(0.,0.,1.)); #383694=DIRECTION('ref_axis',(1.,0.,0.)); #383695=DIRECTION('',(0.,0.,1.)); #383696=DIRECTION('center_axis',(0.,0.,-1.)); #383697=DIRECTION('ref_axis',(1.,0.,0.)); #383698=DIRECTION('center_axis',(0.,0.,1.)); #383699=DIRECTION('ref_axis',(1.,0.,0.)); #383700=DIRECTION('center_axis',(0.,0.,1.)); #383701=DIRECTION('ref_axis',(1.,0.,0.)); #383702=DIRECTION('',(0.,0.,1.)); #383703=DIRECTION('center_axis',(0.,0.,-1.)); #383704=DIRECTION('ref_axis',(1.,0.,0.)); #383705=DIRECTION('center_axis',(0.,0.,1.)); #383706=DIRECTION('ref_axis',(1.,0.,0.)); #383707=DIRECTION('center_axis',(0.,0.,1.)); #383708=DIRECTION('ref_axis',(1.,0.,0.)); #383709=DIRECTION('',(0.,0.,1.)); #383710=DIRECTION('center_axis',(0.,0.,-1.)); #383711=DIRECTION('ref_axis',(1.,0.,0.)); #383712=DIRECTION('center_axis',(0.,0.,1.)); #383713=DIRECTION('ref_axis',(1.,0.,0.)); #383714=DIRECTION('center_axis',(0.,0.,1.)); #383715=DIRECTION('ref_axis',(1.,0.,0.)); #383716=DIRECTION('',(0.,0.,1.)); #383717=DIRECTION('center_axis',(0.,0.,-1.)); #383718=DIRECTION('ref_axis',(1.,0.,0.)); #383719=DIRECTION('center_axis',(0.,0.,1.)); #383720=DIRECTION('ref_axis',(1.,0.,0.)); #383721=DIRECTION('center_axis',(0.,0.,1.)); #383722=DIRECTION('ref_axis',(1.,0.,0.)); #383723=DIRECTION('',(0.,0.,1.)); #383724=DIRECTION('center_axis',(0.,0.,-1.)); #383725=DIRECTION('ref_axis',(1.,0.,0.)); #383726=DIRECTION('center_axis',(0.,0.,1.)); #383727=DIRECTION('ref_axis',(1.,0.,0.)); #383728=DIRECTION('center_axis',(0.,0.,1.)); #383729=DIRECTION('ref_axis',(1.,0.,0.)); #383730=DIRECTION('',(0.,0.,1.)); #383731=DIRECTION('center_axis',(0.,0.,-1.)); #383732=DIRECTION('ref_axis',(1.,0.,0.)); #383733=DIRECTION('center_axis',(0.,0.,1.)); #383734=DIRECTION('ref_axis',(1.,0.,0.)); #383735=DIRECTION('center_axis',(0.,0.,1.)); #383736=DIRECTION('ref_axis',(1.,0.,0.)); #383737=DIRECTION('',(0.,0.,1.)); #383738=DIRECTION('center_axis',(0.,0.,-1.)); #383739=DIRECTION('ref_axis',(1.,0.,0.)); #383740=DIRECTION('center_axis',(0.,0.,1.)); #383741=DIRECTION('ref_axis',(1.,0.,0.)); #383742=DIRECTION('center_axis',(0.,0.,1.)); #383743=DIRECTION('ref_axis',(1.,0.,0.)); #383744=DIRECTION('',(0.,0.,1.)); #383745=DIRECTION('center_axis',(0.,0.,-1.)); #383746=DIRECTION('ref_axis',(1.,0.,0.)); #383747=DIRECTION('center_axis',(0.,0.,1.)); #383748=DIRECTION('ref_axis',(1.,0.,0.)); #383749=DIRECTION('center_axis',(0.,0.,1.)); #383750=DIRECTION('ref_axis',(1.,0.,0.)); #383751=DIRECTION('',(0.,0.,1.)); #383752=DIRECTION('center_axis',(0.,0.,-1.)); #383753=DIRECTION('ref_axis',(1.,0.,0.)); #383754=DIRECTION('center_axis',(0.,0.,1.)); #383755=DIRECTION('ref_axis',(1.,0.,0.)); #383756=DIRECTION('center_axis',(0.,0.,1.)); #383757=DIRECTION('ref_axis',(1.,0.,0.)); #383758=DIRECTION('',(0.,0.,1.)); #383759=DIRECTION('center_axis',(0.,0.,-1.)); #383760=DIRECTION('ref_axis',(1.,0.,0.)); #383761=DIRECTION('center_axis',(0.,0.,1.)); #383762=DIRECTION('ref_axis',(1.,0.,0.)); #383763=DIRECTION('center_axis',(0.,0.,1.)); #383764=DIRECTION('ref_axis',(1.,0.,0.)); #383765=DIRECTION('',(0.,0.,1.)); #383766=DIRECTION('center_axis',(0.,0.,-1.)); #383767=DIRECTION('ref_axis',(1.,0.,0.)); #383768=DIRECTION('center_axis',(0.,0.,1.)); #383769=DIRECTION('ref_axis',(1.,0.,0.)); #383770=DIRECTION('center_axis',(0.,0.,1.)); #383771=DIRECTION('ref_axis',(1.,0.,0.)); #383772=DIRECTION('',(0.,0.,1.)); #383773=DIRECTION('center_axis',(0.,0.,-1.)); #383774=DIRECTION('ref_axis',(1.,0.,0.)); #383775=DIRECTION('center_axis',(0.,0.,1.)); #383776=DIRECTION('ref_axis',(1.,0.,0.)); #383777=DIRECTION('center_axis',(0.,0.,1.)); #383778=DIRECTION('ref_axis',(1.,0.,0.)); #383779=DIRECTION('',(0.,0.,1.)); #383780=DIRECTION('center_axis',(0.,0.,-1.)); #383781=DIRECTION('ref_axis',(1.,0.,0.)); #383782=DIRECTION('center_axis',(0.,0.,1.)); #383783=DIRECTION('ref_axis',(1.,0.,0.)); #383784=DIRECTION('center_axis',(0.,0.,1.)); #383785=DIRECTION('ref_axis',(1.,0.,0.)); #383786=DIRECTION('',(0.,0.,1.)); #383787=DIRECTION('center_axis',(0.,0.,-1.)); #383788=DIRECTION('ref_axis',(1.,0.,0.)); #383789=DIRECTION('center_axis',(0.,0.,1.)); #383790=DIRECTION('ref_axis',(1.,0.,0.)); #383791=DIRECTION('center_axis',(0.,0.,1.)); #383792=DIRECTION('ref_axis',(1.,0.,0.)); #383793=DIRECTION('',(0.,0.,1.)); #383794=DIRECTION('center_axis',(0.,0.,-1.)); #383795=DIRECTION('ref_axis',(1.,0.,0.)); #383796=DIRECTION('center_axis',(0.,0.,1.)); #383797=DIRECTION('ref_axis',(1.,0.,0.)); #383798=DIRECTION('center_axis',(0.,0.,1.)); #383799=DIRECTION('ref_axis',(1.,0.,0.)); #383800=DIRECTION('',(0.,0.,1.)); #383801=DIRECTION('center_axis',(0.,0.,-1.)); #383802=DIRECTION('ref_axis',(1.,0.,0.)); #383803=DIRECTION('center_axis',(0.,0.,1.)); #383804=DIRECTION('ref_axis',(1.,0.,0.)); #383805=DIRECTION('center_axis',(0.,0.,1.)); #383806=DIRECTION('ref_axis',(1.,0.,0.)); #383807=DIRECTION('',(0.,0.,1.)); #383808=DIRECTION('center_axis',(0.,0.,-1.)); #383809=DIRECTION('ref_axis',(1.,0.,0.)); #383810=DIRECTION('center_axis',(0.,0.,1.)); #383811=DIRECTION('ref_axis',(1.,0.,0.)); #383812=DIRECTION('center_axis',(0.,0.,1.)); #383813=DIRECTION('ref_axis',(1.,0.,0.)); #383814=DIRECTION('',(0.,0.,1.)); #383815=DIRECTION('center_axis',(0.,0.,-1.)); #383816=DIRECTION('ref_axis',(1.,0.,0.)); #383817=DIRECTION('center_axis',(0.,0.,1.)); #383818=DIRECTION('ref_axis',(1.,0.,0.)); #383819=DIRECTION('center_axis',(0.,0.,1.)); #383820=DIRECTION('ref_axis',(1.,0.,0.)); #383821=DIRECTION('',(0.,0.,1.)); #383822=DIRECTION('center_axis',(0.,0.,-1.)); #383823=DIRECTION('ref_axis',(1.,0.,0.)); #383824=DIRECTION('center_axis',(0.,0.,1.)); #383825=DIRECTION('ref_axis',(1.,0.,0.)); #383826=DIRECTION('center_axis',(0.,0.,1.)); #383827=DIRECTION('ref_axis',(1.,0.,0.)); #383828=DIRECTION('',(0.,0.,1.)); #383829=DIRECTION('center_axis',(0.,0.,-1.)); #383830=DIRECTION('ref_axis',(1.,0.,0.)); #383831=DIRECTION('center_axis',(0.,0.,1.)); #383832=DIRECTION('ref_axis',(1.,0.,0.)); #383833=DIRECTION('center_axis',(0.,0.,1.)); #383834=DIRECTION('ref_axis',(1.,0.,0.)); #383835=DIRECTION('',(0.,0.,1.)); #383836=DIRECTION('center_axis',(0.,0.,-1.)); #383837=DIRECTION('ref_axis',(1.,0.,0.)); #383838=DIRECTION('center_axis',(0.,0.,1.)); #383839=DIRECTION('ref_axis',(1.,0.,0.)); #383840=DIRECTION('center_axis',(0.,0.,1.)); #383841=DIRECTION('ref_axis',(1.,0.,0.)); #383842=DIRECTION('',(0.,0.,1.)); #383843=DIRECTION('center_axis',(0.,0.,-1.)); #383844=DIRECTION('ref_axis',(1.,0.,0.)); #383845=DIRECTION('center_axis',(0.,0.,1.)); #383846=DIRECTION('ref_axis',(1.,0.,0.)); #383847=DIRECTION('center_axis',(0.,0.,1.)); #383848=DIRECTION('ref_axis',(1.,0.,0.)); #383849=DIRECTION('',(0.,0.,1.)); #383850=DIRECTION('center_axis',(0.,0.,-1.)); #383851=DIRECTION('ref_axis',(1.,0.,0.)); #383852=DIRECTION('center_axis',(0.,0.,1.)); #383853=DIRECTION('ref_axis',(1.,0.,0.)); #383854=DIRECTION('center_axis',(0.,0.,1.)); #383855=DIRECTION('ref_axis',(1.,0.,0.)); #383856=DIRECTION('',(0.,0.,1.)); #383857=DIRECTION('center_axis',(0.,0.,-1.)); #383858=DIRECTION('ref_axis',(1.,0.,0.)); #383859=DIRECTION('center_axis',(0.,0.,1.)); #383860=DIRECTION('ref_axis',(1.,0.,0.)); #383861=DIRECTION('center_axis',(0.,0.,1.)); #383862=DIRECTION('ref_axis',(1.,0.,0.)); #383863=DIRECTION('',(0.,0.,1.)); #383864=DIRECTION('center_axis',(0.,0.,-1.)); #383865=DIRECTION('ref_axis',(1.,0.,0.)); #383866=DIRECTION('center_axis',(0.,0.,1.)); #383867=DIRECTION('ref_axis',(1.,0.,0.)); #383868=DIRECTION('center_axis',(0.,0.,1.)); #383869=DIRECTION('ref_axis',(1.,0.,0.)); #383870=DIRECTION('',(0.,0.,1.)); #383871=DIRECTION('center_axis',(0.,0.,-1.)); #383872=DIRECTION('ref_axis',(1.,0.,0.)); #383873=DIRECTION('center_axis',(0.,0.,1.)); #383874=DIRECTION('ref_axis',(1.,0.,0.)); #383875=DIRECTION('center_axis',(0.,0.,1.)); #383876=DIRECTION('ref_axis',(1.,0.,0.)); #383877=DIRECTION('',(0.,0.,1.)); #383878=DIRECTION('center_axis',(0.,0.,-1.)); #383879=DIRECTION('ref_axis',(1.,0.,0.)); #383880=DIRECTION('center_axis',(0.,0.,1.)); #383881=DIRECTION('ref_axis',(1.,0.,0.)); #383882=DIRECTION('center_axis',(0.,0.,1.)); #383883=DIRECTION('ref_axis',(1.,0.,0.)); #383884=DIRECTION('',(0.,0.,1.)); #383885=DIRECTION('center_axis',(0.,0.,-1.)); #383886=DIRECTION('ref_axis',(1.,0.,0.)); #383887=DIRECTION('center_axis',(0.,0.,1.)); #383888=DIRECTION('ref_axis',(1.,0.,0.)); #383889=DIRECTION('center_axis',(0.,0.,1.)); #383890=DIRECTION('ref_axis',(1.,0.,0.)); #383891=DIRECTION('',(0.,0.,1.)); #383892=DIRECTION('center_axis',(0.,0.,-1.)); #383893=DIRECTION('ref_axis',(1.,0.,0.)); #383894=DIRECTION('center_axis',(0.,0.,1.)); #383895=DIRECTION('ref_axis',(1.,0.,0.)); #383896=DIRECTION('center_axis',(0.,0.,1.)); #383897=DIRECTION('ref_axis',(1.,0.,0.)); #383898=DIRECTION('',(0.,0.,1.)); #383899=DIRECTION('center_axis',(0.,0.,-1.)); #383900=DIRECTION('ref_axis',(1.,0.,0.)); #383901=DIRECTION('center_axis',(0.,0.,1.)); #383902=DIRECTION('ref_axis',(1.,0.,0.)); #383903=DIRECTION('center_axis',(0.,0.,1.)); #383904=DIRECTION('ref_axis',(1.,0.,0.)); #383905=DIRECTION('',(0.,0.,1.)); #383906=DIRECTION('center_axis',(0.,0.,-1.)); #383907=DIRECTION('ref_axis',(1.,0.,0.)); #383908=DIRECTION('center_axis',(0.,0.,1.)); #383909=DIRECTION('ref_axis',(1.,0.,0.)); #383910=DIRECTION('center_axis',(0.,0.,1.)); #383911=DIRECTION('ref_axis',(1.,0.,0.)); #383912=DIRECTION('',(0.,0.,1.)); #383913=DIRECTION('center_axis',(0.,0.,-1.)); #383914=DIRECTION('ref_axis',(1.,0.,0.)); #383915=DIRECTION('center_axis',(0.,0.,1.)); #383916=DIRECTION('ref_axis',(1.,0.,0.)); #383917=DIRECTION('center_axis',(0.,0.,1.)); #383918=DIRECTION('ref_axis',(1.,0.,0.)); #383919=DIRECTION('',(0.,0.,1.)); #383920=DIRECTION('center_axis',(0.,0.,-1.)); #383921=DIRECTION('ref_axis',(1.,0.,0.)); #383922=DIRECTION('center_axis',(0.,0.,1.)); #383923=DIRECTION('ref_axis',(1.,0.,0.)); #383924=DIRECTION('center_axis',(0.,0.,1.)); #383925=DIRECTION('ref_axis',(1.,0.,0.)); #383926=DIRECTION('',(0.,0.,1.)); #383927=DIRECTION('center_axis',(0.,0.,-1.)); #383928=DIRECTION('ref_axis',(1.,0.,0.)); #383929=DIRECTION('center_axis',(0.,0.,1.)); #383930=DIRECTION('ref_axis',(1.,0.,0.)); #383931=DIRECTION('center_axis',(0.,0.,1.)); #383932=DIRECTION('ref_axis',(1.,0.,0.)); #383933=DIRECTION('',(0.,0.,1.)); #383934=DIRECTION('center_axis',(0.,0.,-1.)); #383935=DIRECTION('ref_axis',(1.,0.,0.)); #383936=DIRECTION('center_axis',(0.,0.,1.)); #383937=DIRECTION('ref_axis',(1.,0.,0.)); #383938=DIRECTION('center_axis',(0.,0.,1.)); #383939=DIRECTION('ref_axis',(1.,0.,0.)); #383940=DIRECTION('',(0.,0.,1.)); #383941=DIRECTION('center_axis',(0.,0.,-1.)); #383942=DIRECTION('ref_axis',(1.,0.,0.)); #383943=DIRECTION('center_axis',(0.,0.,1.)); #383944=DIRECTION('ref_axis',(1.,0.,0.)); #383945=DIRECTION('center_axis',(0.,0.,1.)); #383946=DIRECTION('ref_axis',(1.,0.,0.)); #383947=DIRECTION('',(0.,0.,1.)); #383948=DIRECTION('center_axis',(0.,0.,-1.)); #383949=DIRECTION('ref_axis',(1.,0.,0.)); #383950=DIRECTION('center_axis',(0.,0.,1.)); #383951=DIRECTION('ref_axis',(1.,0.,0.)); #383952=DIRECTION('center_axis',(0.,0.,1.)); #383953=DIRECTION('ref_axis',(1.,0.,0.)); #383954=DIRECTION('',(0.,0.,1.)); #383955=DIRECTION('center_axis',(0.,0.,-1.)); #383956=DIRECTION('ref_axis',(1.,0.,0.)); #383957=DIRECTION('center_axis',(0.,0.,1.)); #383958=DIRECTION('ref_axis',(1.,0.,0.)); #383959=DIRECTION('center_axis',(0.,0.,1.)); #383960=DIRECTION('ref_axis',(1.,0.,0.)); #383961=DIRECTION('',(0.,0.,1.)); #383962=DIRECTION('center_axis',(0.,0.,-1.)); #383963=DIRECTION('ref_axis',(1.,0.,0.)); #383964=DIRECTION('center_axis',(0.,0.,1.)); #383965=DIRECTION('ref_axis',(1.,0.,0.)); #383966=DIRECTION('center_axis',(0.,0.,1.)); #383967=DIRECTION('ref_axis',(1.,0.,0.)); #383968=DIRECTION('',(0.,0.,1.)); #383969=DIRECTION('center_axis',(0.,0.,-1.)); #383970=DIRECTION('ref_axis',(1.,0.,0.)); #383971=DIRECTION('center_axis',(0.,0.,1.)); #383972=DIRECTION('ref_axis',(1.,0.,0.)); #383973=DIRECTION('center_axis',(0.,0.,1.)); #383974=DIRECTION('ref_axis',(1.,0.,0.)); #383975=DIRECTION('',(0.,0.,1.)); #383976=DIRECTION('center_axis',(0.,0.,-1.)); #383977=DIRECTION('ref_axis',(1.,0.,0.)); #383978=DIRECTION('center_axis',(0.,0.,1.)); #383979=DIRECTION('ref_axis',(1.,0.,0.)); #383980=DIRECTION('center_axis',(0.,0.,1.)); #383981=DIRECTION('ref_axis',(1.,0.,0.)); #383982=DIRECTION('',(0.,0.,1.)); #383983=DIRECTION('center_axis',(0.,0.,-1.)); #383984=DIRECTION('ref_axis',(1.,0.,0.)); #383985=DIRECTION('center_axis',(0.,0.,1.)); #383986=DIRECTION('ref_axis',(1.,0.,0.)); #383987=DIRECTION('center_axis',(0.,0.,1.)); #383988=DIRECTION('ref_axis',(1.,0.,0.)); #383989=DIRECTION('',(0.,0.,1.)); #383990=DIRECTION('center_axis',(0.,0.,-1.)); #383991=DIRECTION('ref_axis',(1.,0.,0.)); #383992=DIRECTION('center_axis',(0.,0.,1.)); #383993=DIRECTION('ref_axis',(1.,0.,0.)); #383994=DIRECTION('center_axis',(0.,0.,1.)); #383995=DIRECTION('ref_axis',(1.,0.,0.)); #383996=DIRECTION('',(0.,0.,1.)); #383997=DIRECTION('center_axis',(0.,0.,-1.)); #383998=DIRECTION('ref_axis',(1.,0.,0.)); #383999=DIRECTION('center_axis',(0.,0.,1.)); #384000=DIRECTION('ref_axis',(1.,0.,0.)); #384001=DIRECTION('center_axis',(0.,0.,1.)); #384002=DIRECTION('ref_axis',(1.,0.,0.)); #384003=DIRECTION('',(0.,0.,1.)); #384004=DIRECTION('center_axis',(0.,0.,-1.)); #384005=DIRECTION('ref_axis',(1.,0.,0.)); #384006=DIRECTION('center_axis',(0.,0.,1.)); #384007=DIRECTION('ref_axis',(1.,0.,0.)); #384008=DIRECTION('center_axis',(0.,0.,1.)); #384009=DIRECTION('ref_axis',(1.,0.,0.)); #384010=DIRECTION('',(0.,0.,1.)); #384011=DIRECTION('center_axis',(0.,0.,-1.)); #384012=DIRECTION('ref_axis',(1.,0.,0.)); #384013=DIRECTION('center_axis',(0.,0.,1.)); #384014=DIRECTION('ref_axis',(1.,0.,0.)); #384015=DIRECTION('center_axis',(0.,0.,1.)); #384016=DIRECTION('ref_axis',(1.,0.,0.)); #384017=DIRECTION('',(0.,0.,1.)); #384018=DIRECTION('center_axis',(0.,0.,-1.)); #384019=DIRECTION('ref_axis',(1.,0.,0.)); #384020=DIRECTION('center_axis',(0.,0.,1.)); #384021=DIRECTION('ref_axis',(1.,0.,0.)); #384022=DIRECTION('center_axis',(0.,0.,1.)); #384023=DIRECTION('ref_axis',(1.,0.,0.)); #384024=DIRECTION('',(0.,0.,1.)); #384025=DIRECTION('center_axis',(0.,0.,-1.)); #384026=DIRECTION('ref_axis',(1.,0.,0.)); #384027=DIRECTION('center_axis',(0.,0.,1.)); #384028=DIRECTION('ref_axis',(1.,0.,0.)); #384029=DIRECTION('center_axis',(0.,0.,1.)); #384030=DIRECTION('ref_axis',(1.,0.,0.)); #384031=DIRECTION('',(0.,0.,1.)); #384032=DIRECTION('center_axis',(0.,0.,-1.)); #384033=DIRECTION('ref_axis',(1.,0.,0.)); #384034=DIRECTION('center_axis',(0.,0.,1.)); #384035=DIRECTION('ref_axis',(1.,0.,0.)); #384036=DIRECTION('center_axis',(0.,0.,1.)); #384037=DIRECTION('ref_axis',(1.,0.,0.)); #384038=DIRECTION('',(0.,0.,1.)); #384039=DIRECTION('center_axis',(0.,0.,-1.)); #384040=DIRECTION('ref_axis',(1.,0.,0.)); #384041=DIRECTION('center_axis',(0.,0.,1.)); #384042=DIRECTION('ref_axis',(1.,0.,0.)); #384043=DIRECTION('center_axis',(0.,0.,1.)); #384044=DIRECTION('ref_axis',(1.,0.,0.)); #384045=DIRECTION('',(0.,0.,1.)); #384046=DIRECTION('center_axis',(0.,0.,-1.)); #384047=DIRECTION('ref_axis',(1.,0.,0.)); #384048=DIRECTION('center_axis',(0.,0.,1.)); #384049=DIRECTION('ref_axis',(1.,0.,0.)); #384050=DIRECTION('center_axis',(0.,0.,1.)); #384051=DIRECTION('ref_axis',(1.,0.,0.)); #384052=DIRECTION('',(0.,0.,1.)); #384053=DIRECTION('center_axis',(0.,0.,-1.)); #384054=DIRECTION('ref_axis',(1.,0.,0.)); #384055=DIRECTION('center_axis',(0.,0.,1.)); #384056=DIRECTION('ref_axis',(1.,0.,0.)); #384057=DIRECTION('center_axis',(0.,0.,1.)); #384058=DIRECTION('ref_axis',(1.,0.,0.)); #384059=DIRECTION('',(0.,0.,1.)); #384060=DIRECTION('center_axis',(0.,0.,-1.)); #384061=DIRECTION('ref_axis',(1.,0.,0.)); #384062=DIRECTION('center_axis',(0.,0.,1.)); #384063=DIRECTION('ref_axis',(1.,0.,0.)); #384064=DIRECTION('center_axis',(0.,0.,1.)); #384065=DIRECTION('ref_axis',(1.,0.,0.)); #384066=DIRECTION('',(0.,0.,1.)); #384067=DIRECTION('center_axis',(0.,0.,-1.)); #384068=DIRECTION('ref_axis',(1.,0.,0.)); #384069=DIRECTION('center_axis',(0.,0.,1.)); #384070=DIRECTION('ref_axis',(1.,0.,0.)); #384071=DIRECTION('center_axis',(0.,0.,1.)); #384072=DIRECTION('ref_axis',(1.,0.,0.)); #384073=DIRECTION('',(0.,0.,1.)); #384074=DIRECTION('center_axis',(0.,0.,-1.)); #384075=DIRECTION('ref_axis',(1.,0.,0.)); #384076=DIRECTION('center_axis',(0.,0.,1.)); #384077=DIRECTION('ref_axis',(1.,0.,0.)); #384078=DIRECTION('center_axis',(0.,0.,1.)); #384079=DIRECTION('ref_axis',(1.,0.,0.)); #384080=DIRECTION('',(0.,0.,1.)); #384081=DIRECTION('center_axis',(0.,0.,-1.)); #384082=DIRECTION('ref_axis',(1.,0.,0.)); #384083=DIRECTION('center_axis',(0.,0.,1.)); #384084=DIRECTION('ref_axis',(1.,0.,0.)); #384085=DIRECTION('center_axis',(0.,0.,1.)); #384086=DIRECTION('ref_axis',(1.,0.,0.)); #384087=DIRECTION('',(0.,0.,1.)); #384088=DIRECTION('center_axis',(0.,0.,-1.)); #384089=DIRECTION('ref_axis',(1.,0.,0.)); #384090=DIRECTION('center_axis',(0.,0.,1.)); #384091=DIRECTION('ref_axis',(1.,0.,0.)); #384092=DIRECTION('center_axis',(0.,0.,1.)); #384093=DIRECTION('ref_axis',(1.,0.,0.)); #384094=DIRECTION('',(0.,0.,1.)); #384095=DIRECTION('center_axis',(0.,0.,-1.)); #384096=DIRECTION('ref_axis',(1.,0.,0.)); #384097=DIRECTION('center_axis',(0.,0.,1.)); #384098=DIRECTION('ref_axis',(1.,0.,0.)); #384099=DIRECTION('center_axis',(0.,0.,1.)); #384100=DIRECTION('ref_axis',(1.,0.,0.)); #384101=DIRECTION('',(0.,0.,1.)); #384102=DIRECTION('center_axis',(0.,0.,-1.)); #384103=DIRECTION('ref_axis',(1.,0.,0.)); #384104=DIRECTION('center_axis',(0.,0.,1.)); #384105=DIRECTION('ref_axis',(1.,0.,0.)); #384106=DIRECTION('center_axis',(0.,0.,1.)); #384107=DIRECTION('ref_axis',(1.,0.,0.)); #384108=DIRECTION('',(0.,0.,1.)); #384109=DIRECTION('center_axis',(0.,0.,-1.)); #384110=DIRECTION('ref_axis',(1.,0.,0.)); #384111=DIRECTION('center_axis',(0.,0.,1.)); #384112=DIRECTION('ref_axis',(1.,0.,0.)); #384113=DIRECTION('center_axis',(0.,0.,1.)); #384114=DIRECTION('ref_axis',(1.,0.,0.)); #384115=DIRECTION('',(0.,0.,1.)); #384116=DIRECTION('center_axis',(0.,0.,-1.)); #384117=DIRECTION('ref_axis',(1.,0.,0.)); #384118=DIRECTION('center_axis',(0.,0.,1.)); #384119=DIRECTION('ref_axis',(1.,0.,0.)); #384120=DIRECTION('center_axis',(0.,0.,1.)); #384121=DIRECTION('ref_axis',(1.,0.,0.)); #384122=DIRECTION('',(0.,0.,1.)); #384123=DIRECTION('center_axis',(0.,0.,-1.)); #384124=DIRECTION('ref_axis',(1.,0.,0.)); #384125=DIRECTION('center_axis',(0.,0.,1.)); #384126=DIRECTION('ref_axis',(1.,0.,0.)); #384127=DIRECTION('center_axis',(0.,0.,1.)); #384128=DIRECTION('ref_axis',(1.,0.,0.)); #384129=DIRECTION('',(0.,0.,1.)); #384130=DIRECTION('center_axis',(0.,0.,-1.)); #384131=DIRECTION('ref_axis',(1.,0.,0.)); #384132=DIRECTION('center_axis',(0.,0.,1.)); #384133=DIRECTION('ref_axis',(1.,0.,0.)); #384134=DIRECTION('center_axis',(0.,0.,1.)); #384135=DIRECTION('ref_axis',(1.,0.,0.)); #384136=DIRECTION('',(0.,0.,1.)); #384137=DIRECTION('center_axis',(0.,0.,-1.)); #384138=DIRECTION('ref_axis',(1.,0.,0.)); #384139=DIRECTION('center_axis',(0.,0.,1.)); #384140=DIRECTION('ref_axis',(1.,0.,0.)); #384141=DIRECTION('center_axis',(0.,0.,1.)); #384142=DIRECTION('ref_axis',(1.,0.,0.)); #384143=DIRECTION('',(0.,0.,1.)); #384144=DIRECTION('center_axis',(0.,0.,-1.)); #384145=DIRECTION('ref_axis',(1.,0.,0.)); #384146=DIRECTION('center_axis',(0.,0.,1.)); #384147=DIRECTION('ref_axis',(1.,0.,0.)); #384148=DIRECTION('center_axis',(0.,0.,1.)); #384149=DIRECTION('ref_axis',(1.,0.,0.)); #384150=DIRECTION('',(0.,0.,1.)); #384151=DIRECTION('center_axis',(0.,0.,-1.)); #384152=DIRECTION('ref_axis',(1.,0.,0.)); #384153=DIRECTION('center_axis',(0.,0.,1.)); #384154=DIRECTION('ref_axis',(1.,0.,0.)); #384155=DIRECTION('center_axis',(0.,0.,1.)); #384156=DIRECTION('ref_axis',(1.,0.,0.)); #384157=DIRECTION('',(0.,0.,1.)); #384158=DIRECTION('center_axis',(0.,0.,-1.)); #384159=DIRECTION('ref_axis',(1.,0.,0.)); #384160=DIRECTION('center_axis',(0.,0.,1.)); #384161=DIRECTION('ref_axis',(1.,0.,0.)); #384162=DIRECTION('center_axis',(0.,0.,1.)); #384163=DIRECTION('ref_axis',(1.,0.,0.)); #384164=DIRECTION('',(0.,0.,1.)); #384165=DIRECTION('center_axis',(0.,0.,-1.)); #384166=DIRECTION('ref_axis',(1.,0.,0.)); #384167=DIRECTION('center_axis',(0.,0.,1.)); #384168=DIRECTION('ref_axis',(1.,0.,0.)); #384169=DIRECTION('center_axis',(0.,0.,1.)); #384170=DIRECTION('ref_axis',(1.,0.,0.)); #384171=DIRECTION('',(0.,0.,1.)); #384172=DIRECTION('center_axis',(0.,0.,-1.)); #384173=DIRECTION('ref_axis',(1.,0.,0.)); #384174=DIRECTION('center_axis',(0.,0.,1.)); #384175=DIRECTION('ref_axis',(1.,0.,0.)); #384176=DIRECTION('center_axis',(0.,0.,1.)); #384177=DIRECTION('ref_axis',(1.,0.,0.)); #384178=DIRECTION('',(0.,0.,1.)); #384179=DIRECTION('center_axis',(0.,0.,-1.)); #384180=DIRECTION('ref_axis',(1.,0.,0.)); #384181=DIRECTION('center_axis',(0.,0.,1.)); #384182=DIRECTION('ref_axis',(1.,0.,0.)); #384183=DIRECTION('center_axis',(0.,0.,1.)); #384184=DIRECTION('ref_axis',(1.,0.,0.)); #384185=DIRECTION('',(0.,0.,1.)); #384186=DIRECTION('center_axis',(0.,0.,-1.)); #384187=DIRECTION('ref_axis',(1.,0.,0.)); #384188=DIRECTION('center_axis',(0.,0.,1.)); #384189=DIRECTION('ref_axis',(1.,0.,0.)); #384190=DIRECTION('center_axis',(0.,0.,1.)); #384191=DIRECTION('ref_axis',(1.,0.,0.)); #384192=DIRECTION('',(0.,0.,1.)); #384193=DIRECTION('center_axis',(0.,0.,-1.)); #384194=DIRECTION('ref_axis',(1.,0.,0.)); #384195=DIRECTION('center_axis',(0.,0.,1.)); #384196=DIRECTION('ref_axis',(1.,0.,0.)); #384197=DIRECTION('center_axis',(0.,0.,1.)); #384198=DIRECTION('ref_axis',(1.,0.,0.)); #384199=DIRECTION('',(0.,0.,1.)); #384200=DIRECTION('center_axis',(0.,0.,-1.)); #384201=DIRECTION('ref_axis',(1.,0.,0.)); #384202=DIRECTION('center_axis',(0.,0.,1.)); #384203=DIRECTION('ref_axis',(1.,0.,0.)); #384204=DIRECTION('center_axis',(0.,0.,1.)); #384205=DIRECTION('ref_axis',(1.,0.,0.)); #384206=DIRECTION('',(0.,0.,1.)); #384207=DIRECTION('center_axis',(0.,0.,-1.)); #384208=DIRECTION('ref_axis',(1.,0.,0.)); #384209=DIRECTION('center_axis',(0.,0.,1.)); #384210=DIRECTION('ref_axis',(1.,0.,0.)); #384211=DIRECTION('center_axis',(0.,0.,1.)); #384212=DIRECTION('ref_axis',(1.,0.,0.)); #384213=DIRECTION('',(0.,0.,1.)); #384214=DIRECTION('center_axis',(0.,0.,-1.)); #384215=DIRECTION('ref_axis',(1.,0.,0.)); #384216=DIRECTION('center_axis',(0.,0.,1.)); #384217=DIRECTION('ref_axis',(1.,0.,0.)); #384218=DIRECTION('center_axis',(0.,0.,1.)); #384219=DIRECTION('ref_axis',(1.,0.,0.)); #384220=DIRECTION('',(0.,0.,1.)); #384221=DIRECTION('center_axis',(0.,0.,-1.)); #384222=DIRECTION('ref_axis',(1.,0.,0.)); #384223=DIRECTION('center_axis',(0.,0.,1.)); #384224=DIRECTION('ref_axis',(1.,0.,0.)); #384225=DIRECTION('center_axis',(0.,0.,1.)); #384226=DIRECTION('ref_axis',(1.,0.,0.)); #384227=DIRECTION('',(0.,0.,1.)); #384228=DIRECTION('center_axis',(0.,0.,-1.)); #384229=DIRECTION('ref_axis',(1.,0.,0.)); #384230=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #384231=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #384232=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384233=DIRECTION('',(0.,0.,1.)); #384234=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384235=DIRECTION('',(0.,0.,1.)); #384236=DIRECTION('center_axis',(0.,0.,1.)); #384237=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384238=DIRECTION('center_axis',(0.,0.,-1.)); #384239=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384240=DIRECTION('center_axis',(0.,0.,1.)); #384241=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384242=DIRECTION('',(0.,0.,1.)); #384243=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #384244=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #384245=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384246=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384247=DIRECTION('',(0.,0.,1.)); #384248=DIRECTION('center_axis',(0.,0.,1.)); #384249=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384250=DIRECTION('center_axis',(0.,0.,-1.)); #384251=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384252=DIRECTION('center_axis',(0.,0.,1.)); #384253=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384254=DIRECTION('center_axis',(0.,0.,1.)); #384255=DIRECTION('ref_axis',(1.,0.,0.)); #384256=DIRECTION('center_axis',(0.,0.,1.)); #384257=DIRECTION('ref_axis',(1.,0.,0.)); #384258=DIRECTION('',(0.,0.,1.)); #384259=DIRECTION('center_axis',(0.,0.,-1.)); #384260=DIRECTION('ref_axis',(1.,0.,0.)); #384261=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #384262=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #384263=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #384264=DIRECTION('',(0.,0.,1.)); #384265=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #384266=DIRECTION('',(0.,0.,1.)); #384267=DIRECTION('center_axis',(0.,0.,1.)); #384268=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #384269=DIRECTION('center_axis',(0.,0.,-1.)); #384270=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #384271=DIRECTION('center_axis',(0.,0.,1.)); #384272=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #384273=DIRECTION('',(0.,0.,1.)); #384274=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #384275=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #384276=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #384277=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #384278=DIRECTION('',(0.,0.,1.)); #384279=DIRECTION('center_axis',(0.,0.,1.)); #384280=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #384281=DIRECTION('center_axis',(0.,0.,-1.)); #384282=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #384283=DIRECTION('center_axis',(0.,0.,1.)); #384284=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #384285=DIRECTION('center_axis',(0.,0.,1.)); #384286=DIRECTION('ref_axis',(1.,0.,0.)); #384287=DIRECTION('center_axis',(0.,0.,1.)); #384288=DIRECTION('ref_axis',(1.,0.,0.)); #384289=DIRECTION('',(0.,0.,1.)); #384290=DIRECTION('center_axis',(0.,0.,-1.)); #384291=DIRECTION('ref_axis',(1.,0.,0.)); #384292=DIRECTION('center_axis',(0.,0.,1.)); #384293=DIRECTION('ref_axis',(1.,0.,0.)); #384294=DIRECTION('center_axis',(0.,0.,1.)); #384295=DIRECTION('ref_axis',(1.,0.,0.)); #384296=DIRECTION('',(0.,0.,1.)); #384297=DIRECTION('center_axis',(0.,0.,-1.)); #384298=DIRECTION('ref_axis',(1.,0.,0.)); #384299=DIRECTION('center_axis',(0.,0.,1.)); #384300=DIRECTION('ref_axis',(1.,0.,0.)); #384301=DIRECTION('center_axis',(0.,0.,1.)); #384302=DIRECTION('ref_axis',(1.,0.,0.)); #384303=DIRECTION('',(0.,0.,1.)); #384304=DIRECTION('center_axis',(0.,0.,-1.)); #384305=DIRECTION('ref_axis',(1.,0.,0.)); #384306=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #384307=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #384308=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #384309=DIRECTION('',(0.,0.,1.)); #384310=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #384311=DIRECTION('',(0.,0.,1.)); #384312=DIRECTION('center_axis',(0.,0.,1.)); #384313=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #384314=DIRECTION('center_axis',(0.,0.,-1.)); #384315=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #384316=DIRECTION('center_axis',(0.,0.,1.)); #384317=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #384318=DIRECTION('',(0.,0.,1.)); #384319=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #384320=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #384321=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #384322=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #384323=DIRECTION('',(0.,0.,1.)); #384324=DIRECTION('center_axis',(0.,0.,1.)); #384325=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #384326=DIRECTION('center_axis',(0.,0.,-1.)); #384327=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #384328=DIRECTION('center_axis',(0.,0.,1.)); #384329=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #384330=DIRECTION('center_axis',(0.,0.,1.)); #384331=DIRECTION('ref_axis',(1.,0.,0.)); #384332=DIRECTION('center_axis',(0.,0.,1.)); #384333=DIRECTION('ref_axis',(1.,0.,0.)); #384334=DIRECTION('',(0.,0.,1.)); #384335=DIRECTION('center_axis',(0.,0.,-1.)); #384336=DIRECTION('ref_axis',(1.,0.,0.)); #384337=DIRECTION('center_axis',(0.,0.,1.)); #384338=DIRECTION('ref_axis',(1.,0.,0.)); #384339=DIRECTION('center_axis',(0.,0.,1.)); #384340=DIRECTION('ref_axis',(1.,0.,0.)); #384341=DIRECTION('',(0.,0.,1.)); #384342=DIRECTION('center_axis',(0.,0.,-1.)); #384343=DIRECTION('ref_axis',(1.,0.,0.)); #384344=DIRECTION('center_axis',(0.,0.,1.)); #384345=DIRECTION('ref_axis',(1.,0.,0.)); #384346=DIRECTION('center_axis',(0.,0.,1.)); #384347=DIRECTION('ref_axis',(1.,0.,0.)); #384348=DIRECTION('',(0.,0.,1.)); #384349=DIRECTION('center_axis',(0.,0.,-1.)); #384350=DIRECTION('ref_axis',(1.,0.,0.)); #384351=DIRECTION('center_axis',(0.,0.,1.)); #384352=DIRECTION('ref_axis',(1.,0.,0.)); #384353=DIRECTION('center_axis',(0.,0.,1.)); #384354=DIRECTION('ref_axis',(1.,0.,0.)); #384355=DIRECTION('',(0.,0.,1.)); #384356=DIRECTION('center_axis',(0.,0.,-1.)); #384357=DIRECTION('ref_axis',(1.,0.,0.)); #384358=DIRECTION('center_axis',(0.,0.,1.)); #384359=DIRECTION('ref_axis',(1.,0.,0.)); #384360=DIRECTION('center_axis',(0.,0.,1.)); #384361=DIRECTION('ref_axis',(1.,0.,0.)); #384362=DIRECTION('',(0.,0.,1.)); #384363=DIRECTION('center_axis',(0.,0.,-1.)); #384364=DIRECTION('ref_axis',(1.,0.,0.)); #384365=DIRECTION('center_axis',(0.,0.,1.)); #384366=DIRECTION('ref_axis',(1.,0.,0.)); #384367=DIRECTION('center_axis',(0.,0.,1.)); #384368=DIRECTION('ref_axis',(1.,0.,0.)); #384369=DIRECTION('',(0.,0.,1.)); #384370=DIRECTION('center_axis',(0.,0.,-1.)); #384371=DIRECTION('ref_axis',(1.,0.,0.)); #384372=DIRECTION('center_axis',(0.,0.,1.)); #384373=DIRECTION('ref_axis',(1.,0.,0.)); #384374=DIRECTION('center_axis',(0.,0.,1.)); #384375=DIRECTION('ref_axis',(1.,0.,0.)); #384376=DIRECTION('',(0.,0.,1.)); #384377=DIRECTION('center_axis',(0.,0.,-1.)); #384378=DIRECTION('ref_axis',(1.,0.,0.)); #384379=DIRECTION('center_axis',(0.,0.,1.)); #384380=DIRECTION('ref_axis',(1.,0.,0.)); #384381=DIRECTION('center_axis',(0.,0.,1.)); #384382=DIRECTION('ref_axis',(1.,0.,0.)); #384383=DIRECTION('',(0.,0.,1.)); #384384=DIRECTION('center_axis',(0.,0.,-1.)); #384385=DIRECTION('ref_axis',(1.,0.,0.)); #384386=DIRECTION('center_axis',(0.,0.,1.)); #384387=DIRECTION('ref_axis',(1.,0.,0.)); #384388=DIRECTION('center_axis',(0.,0.,1.)); #384389=DIRECTION('ref_axis',(1.,0.,0.)); #384390=DIRECTION('',(0.,0.,1.)); #384391=DIRECTION('center_axis',(0.,0.,-1.)); #384392=DIRECTION('ref_axis',(1.,0.,0.)); #384393=DIRECTION('center_axis',(0.,0.,1.)); #384394=DIRECTION('ref_axis',(1.,0.,0.)); #384395=DIRECTION('center_axis',(0.,0.,1.)); #384396=DIRECTION('ref_axis',(1.,0.,0.)); #384397=DIRECTION('',(0.,0.,1.)); #384398=DIRECTION('center_axis',(0.,0.,-1.)); #384399=DIRECTION('ref_axis',(1.,0.,0.)); #384400=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #384401=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #384402=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384403=DIRECTION('',(0.,0.,1.)); #384404=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384405=DIRECTION('',(0.,0.,1.)); #384406=DIRECTION('center_axis',(0.,0.,1.)); #384407=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384408=DIRECTION('center_axis',(0.,0.,-1.)); #384409=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384410=DIRECTION('center_axis',(0.,0.,1.)); #384411=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384412=DIRECTION('',(0.,0.,1.)); #384413=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #384414=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #384415=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384416=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384417=DIRECTION('',(0.,0.,1.)); #384418=DIRECTION('center_axis',(0.,0.,1.)); #384419=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384420=DIRECTION('center_axis',(0.,0.,-1.)); #384421=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384422=DIRECTION('center_axis',(0.,0.,1.)); #384423=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384424=DIRECTION('center_axis',(0.,0.,1.)); #384425=DIRECTION('ref_axis',(1.,0.,0.)); #384426=DIRECTION('center_axis',(0.,0.,1.)); #384427=DIRECTION('ref_axis',(1.,0.,0.)); #384428=DIRECTION('',(0.,0.,1.)); #384429=DIRECTION('center_axis',(0.,0.,-1.)); #384430=DIRECTION('ref_axis',(1.,0.,0.)); #384431=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #384432=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #384433=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384434=DIRECTION('',(0.,0.,1.)); #384435=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384436=DIRECTION('',(0.,0.,1.)); #384437=DIRECTION('center_axis',(0.,0.,1.)); #384438=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384439=DIRECTION('center_axis',(0.,0.,-1.)); #384440=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384441=DIRECTION('center_axis',(0.,0.,1.)); #384442=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384443=DIRECTION('',(0.,0.,1.)); #384444=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #384445=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #384446=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384447=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384448=DIRECTION('',(0.,0.,1.)); #384449=DIRECTION('center_axis',(0.,0.,1.)); #384450=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384451=DIRECTION('center_axis',(0.,0.,-1.)); #384452=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384453=DIRECTION('center_axis',(0.,0.,1.)); #384454=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384455=DIRECTION('center_axis',(0.,0.,1.)); #384456=DIRECTION('ref_axis',(1.,0.,0.)); #384457=DIRECTION('center_axis',(0.,0.,1.)); #384458=DIRECTION('ref_axis',(1.,0.,0.)); #384459=DIRECTION('',(0.,0.,1.)); #384460=DIRECTION('center_axis',(0.,0.,-1.)); #384461=DIRECTION('ref_axis',(1.,0.,0.)); #384462=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #384463=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #384464=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384465=DIRECTION('',(0.,0.,1.)); #384466=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384467=DIRECTION('',(0.,0.,1.)); #384468=DIRECTION('center_axis',(0.,0.,1.)); #384469=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384470=DIRECTION('center_axis',(0.,0.,-1.)); #384471=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384472=DIRECTION('center_axis',(0.,0.,1.)); #384473=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #384474=DIRECTION('',(0.,0.,1.)); #384475=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #384476=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #384477=DIRECTION('',(5.55111499297693E-15,1.,0.)); #384478=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #384479=DIRECTION('',(0.,0.,1.)); #384480=DIRECTION('center_axis',(0.,0.,1.)); #384481=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384482=DIRECTION('center_axis',(0.,0.,-1.)); #384483=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384484=DIRECTION('center_axis',(0.,0.,1.)); #384485=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #384486=DIRECTION('center_axis',(0.,0.,1.)); #384487=DIRECTION('ref_axis',(1.,0.,0.)); #384488=DIRECTION('center_axis',(0.,0.,1.)); #384489=DIRECTION('ref_axis',(1.,0.,0.)); #384490=DIRECTION('',(0.,0.,1.)); #384491=DIRECTION('center_axis',(0.,0.,-1.)); #384492=DIRECTION('ref_axis',(1.,0.,0.)); #384493=DIRECTION('center_axis',(0.,0.,1.)); #384494=DIRECTION('ref_axis',(1.,0.,0.)); #384495=DIRECTION('center_axis',(0.,0.,1.)); #384496=DIRECTION('ref_axis',(1.,0.,0.)); #384497=DIRECTION('',(0.,0.,1.)); #384498=DIRECTION('center_axis',(0.,0.,-1.)); #384499=DIRECTION('ref_axis',(1.,0.,0.)); #384500=DIRECTION('center_axis',(0.,0.,1.)); #384501=DIRECTION('ref_axis',(1.,0.,0.)); #384502=DIRECTION('center_axis',(0.,0.,1.)); #384503=DIRECTION('ref_axis',(1.,0.,0.)); #384504=DIRECTION('',(0.,0.,1.)); #384505=DIRECTION('center_axis',(0.,0.,-1.)); #384506=DIRECTION('ref_axis',(1.,0.,0.)); #384507=DIRECTION('center_axis',(0.,0.,1.)); #384508=DIRECTION('ref_axis',(1.,0.,0.)); #384509=DIRECTION('center_axis',(0.,0.,1.)); #384510=DIRECTION('ref_axis',(1.,0.,0.)); #384511=DIRECTION('',(0.,0.,1.)); #384512=DIRECTION('center_axis',(0.,0.,-1.)); #384513=DIRECTION('ref_axis',(1.,0.,0.)); #384514=DIRECTION('center_axis',(0.,0.,1.)); #384515=DIRECTION('ref_axis',(1.,0.,0.)); #384516=DIRECTION('center_axis',(0.,0.,1.)); #384517=DIRECTION('ref_axis',(1.,0.,0.)); #384518=DIRECTION('',(0.,0.,1.)); #384519=DIRECTION('center_axis',(0.,0.,-1.)); #384520=DIRECTION('ref_axis',(1.,0.,0.)); #384521=DIRECTION('center_axis',(0.,0.,1.)); #384522=DIRECTION('ref_axis',(1.,0.,0.)); #384523=DIRECTION('center_axis',(0.,0.,1.)); #384524=DIRECTION('ref_axis',(1.,0.,0.)); #384525=DIRECTION('',(0.,0.,1.)); #384526=DIRECTION('center_axis',(0.,0.,-1.)); #384527=DIRECTION('ref_axis',(1.,0.,0.)); #384528=DIRECTION('center_axis',(0.,0.,1.)); #384529=DIRECTION('ref_axis',(1.,0.,0.)); #384530=DIRECTION('center_axis',(0.,0.,1.)); #384531=DIRECTION('ref_axis',(1.,0.,0.)); #384532=DIRECTION('',(0.,0.,1.)); #384533=DIRECTION('center_axis',(0.,0.,-1.)); #384534=DIRECTION('ref_axis',(1.,0.,0.)); #384535=DIRECTION('center_axis',(0.,0.,1.)); #384536=DIRECTION('ref_axis',(1.,0.,0.)); #384537=DIRECTION('center_axis',(0.,0.,1.)); #384538=DIRECTION('ref_axis',(1.,0.,0.)); #384539=DIRECTION('',(0.,0.,1.)); #384540=DIRECTION('center_axis',(0.,0.,-1.)); #384541=DIRECTION('ref_axis',(1.,0.,0.)); #384542=DIRECTION('center_axis',(0.,0.,1.)); #384543=DIRECTION('ref_axis',(1.,0.,0.)); #384544=DIRECTION('center_axis',(0.,0.,1.)); #384545=DIRECTION('ref_axis',(1.,0.,0.)); #384546=DIRECTION('',(0.,0.,1.)); #384547=DIRECTION('center_axis',(0.,0.,-1.)); #384548=DIRECTION('ref_axis',(1.,0.,0.)); #384549=DIRECTION('center_axis',(0.,0.,1.)); #384550=DIRECTION('ref_axis',(1.,0.,0.)); #384551=DIRECTION('center_axis',(0.,0.,1.)); #384552=DIRECTION('ref_axis',(1.,0.,0.)); #384553=DIRECTION('',(0.,0.,1.)); #384554=DIRECTION('center_axis',(0.,0.,-1.)); #384555=DIRECTION('ref_axis',(1.,0.,0.)); #384556=DIRECTION('center_axis',(0.,0.,1.)); #384557=DIRECTION('ref_axis',(1.,0.,0.)); #384558=DIRECTION('center_axis',(0.,0.,1.)); #384559=DIRECTION('ref_axis',(1.,0.,0.)); #384560=DIRECTION('',(0.,0.,1.)); #384561=DIRECTION('center_axis',(0.,0.,-1.)); #384562=DIRECTION('ref_axis',(1.,0.,0.)); #384563=DIRECTION('center_axis',(0.,0.,1.)); #384564=DIRECTION('ref_axis',(1.,0.,0.)); #384565=DIRECTION('center_axis',(0.,0.,1.)); #384566=DIRECTION('ref_axis',(1.,0.,0.)); #384567=DIRECTION('',(0.,0.,1.)); #384568=DIRECTION('center_axis',(0.,0.,-1.)); #384569=DIRECTION('ref_axis',(1.,0.,0.)); #384570=DIRECTION('center_axis',(0.,0.,1.)); #384571=DIRECTION('ref_axis',(1.,0.,0.)); #384572=DIRECTION('center_axis',(0.,0.,1.)); #384573=DIRECTION('ref_axis',(1.,0.,0.)); #384574=DIRECTION('',(0.,0.,1.)); #384575=DIRECTION('center_axis',(0.,0.,-1.)); #384576=DIRECTION('ref_axis',(1.,0.,0.)); #384577=DIRECTION('center_axis',(0.,0.,1.)); #384578=DIRECTION('ref_axis',(1.,0.,0.)); #384579=DIRECTION('center_axis',(0.,0.,1.)); #384580=DIRECTION('ref_axis',(1.,0.,0.)); #384581=DIRECTION('',(0.,0.,1.)); #384582=DIRECTION('center_axis',(0.,0.,-1.)); #384583=DIRECTION('ref_axis',(1.,0.,0.)); #384584=DIRECTION('center_axis',(0.,0.,1.)); #384585=DIRECTION('ref_axis',(1.,0.,0.)); #384586=DIRECTION('center_axis',(0.,0.,1.)); #384587=DIRECTION('ref_axis',(1.,0.,0.)); #384588=DIRECTION('',(0.,0.,1.)); #384589=DIRECTION('center_axis',(0.,0.,-1.)); #384590=DIRECTION('ref_axis',(1.,0.,0.)); #384591=DIRECTION('center_axis',(0.,0.,1.)); #384592=DIRECTION('ref_axis',(1.,0.,0.)); #384593=DIRECTION('center_axis',(0.,0.,1.)); #384594=DIRECTION('ref_axis',(1.,0.,0.)); #384595=DIRECTION('',(0.,0.,1.)); #384596=DIRECTION('center_axis',(0.,0.,-1.)); #384597=DIRECTION('ref_axis',(1.,0.,0.)); #384598=DIRECTION('center_axis',(0.,0.,1.)); #384599=DIRECTION('ref_axis',(1.,0.,0.)); #384600=DIRECTION('center_axis',(0.,0.,1.)); #384601=DIRECTION('ref_axis',(1.,0.,0.)); #384602=DIRECTION('',(0.,0.,1.)); #384603=DIRECTION('center_axis',(0.,0.,-1.)); #384604=DIRECTION('ref_axis',(1.,0.,0.)); #384605=DIRECTION('center_axis',(0.,0.,1.)); #384606=DIRECTION('ref_axis',(1.,0.,0.)); #384607=DIRECTION('center_axis',(0.,0.,1.)); #384608=DIRECTION('ref_axis',(1.,0.,0.)); #384609=DIRECTION('',(0.,0.,1.)); #384610=DIRECTION('center_axis',(0.,0.,-1.)); #384611=DIRECTION('ref_axis',(1.,0.,0.)); #384612=DIRECTION('center_axis',(0.,0.,1.)); #384613=DIRECTION('ref_axis',(1.,0.,0.)); #384614=DIRECTION('center_axis',(0.,0.,1.)); #384615=DIRECTION('ref_axis',(1.,0.,0.)); #384616=DIRECTION('',(0.,0.,1.)); #384617=DIRECTION('center_axis',(0.,0.,-1.)); #384618=DIRECTION('ref_axis',(1.,0.,0.)); #384619=DIRECTION('center_axis',(0.,0.,1.)); #384620=DIRECTION('ref_axis',(1.,0.,0.)); #384621=DIRECTION('center_axis',(0.,0.,1.)); #384622=DIRECTION('ref_axis',(1.,0.,0.)); #384623=DIRECTION('',(0.,0.,1.)); #384624=DIRECTION('center_axis',(0.,0.,-1.)); #384625=DIRECTION('ref_axis',(1.,0.,0.)); #384626=DIRECTION('center_axis',(0.,0.,1.)); #384627=DIRECTION('ref_axis',(1.,0.,0.)); #384628=DIRECTION('center_axis',(0.,0.,1.)); #384629=DIRECTION('ref_axis',(1.,0.,0.)); #384630=DIRECTION('',(0.,0.,1.)); #384631=DIRECTION('center_axis',(0.,0.,-1.)); #384632=DIRECTION('ref_axis',(1.,0.,0.)); #384633=DIRECTION('center_axis',(0.,0.,1.)); #384634=DIRECTION('ref_axis',(1.,0.,0.)); #384635=DIRECTION('center_axis',(0.,0.,1.)); #384636=DIRECTION('ref_axis',(1.,0.,0.)); #384637=DIRECTION('',(0.,0.,1.)); #384638=DIRECTION('center_axis',(0.,0.,-1.)); #384639=DIRECTION('ref_axis',(1.,0.,0.)); #384640=DIRECTION('center_axis',(0.,0.,1.)); #384641=DIRECTION('ref_axis',(1.,0.,0.)); #384642=DIRECTION('center_axis',(0.,0.,1.)); #384643=DIRECTION('ref_axis',(1.,0.,0.)); #384644=DIRECTION('',(0.,0.,1.)); #384645=DIRECTION('center_axis',(0.,0.,-1.)); #384646=DIRECTION('ref_axis',(1.,0.,0.)); #384647=DIRECTION('center_axis',(0.,0.,1.)); #384648=DIRECTION('ref_axis',(1.,0.,0.)); #384649=DIRECTION('center_axis',(0.,0.,1.)); #384650=DIRECTION('ref_axis',(1.,0.,0.)); #384651=DIRECTION('',(0.,0.,1.)); #384652=DIRECTION('center_axis',(0.,0.,-1.)); #384653=DIRECTION('ref_axis',(1.,0.,0.)); #384654=DIRECTION('center_axis',(0.,0.,1.)); #384655=DIRECTION('ref_axis',(1.,0.,0.)); #384656=DIRECTION('center_axis',(0.,0.,1.)); #384657=DIRECTION('ref_axis',(1.,0.,0.)); #384658=DIRECTION('',(0.,0.,1.)); #384659=DIRECTION('center_axis',(0.,0.,-1.)); #384660=DIRECTION('ref_axis',(1.,0.,0.)); #384661=DIRECTION('center_axis',(0.,0.,1.)); #384662=DIRECTION('ref_axis',(1.,0.,0.)); #384663=DIRECTION('center_axis',(0.,0.,1.)); #384664=DIRECTION('ref_axis',(1.,0.,0.)); #384665=DIRECTION('',(0.,0.,1.)); #384666=DIRECTION('center_axis',(0.,0.,-1.)); #384667=DIRECTION('ref_axis',(1.,0.,0.)); #384668=DIRECTION('center_axis',(0.,0.,1.)); #384669=DIRECTION('ref_axis',(1.,0.,0.)); #384670=DIRECTION('center_axis',(0.,0.,1.)); #384671=DIRECTION('ref_axis',(1.,0.,0.)); #384672=DIRECTION('',(0.,0.,1.)); #384673=DIRECTION('center_axis',(0.,0.,-1.)); #384674=DIRECTION('ref_axis',(1.,0.,0.)); #384675=DIRECTION('center_axis',(0.,0.,1.)); #384676=DIRECTION('ref_axis',(1.,0.,0.)); #384677=DIRECTION('center_axis',(0.,0.,1.)); #384678=DIRECTION('ref_axis',(1.,0.,0.)); #384679=DIRECTION('',(0.,0.,1.)); #384680=DIRECTION('center_axis',(0.,0.,-1.)); #384681=DIRECTION('ref_axis',(1.,0.,0.)); #384682=DIRECTION('center_axis',(0.,0.,1.)); #384683=DIRECTION('ref_axis',(1.,0.,0.)); #384684=DIRECTION('center_axis',(0.,0.,1.)); #384685=DIRECTION('ref_axis',(1.,0.,0.)); #384686=DIRECTION('',(0.,0.,1.)); #384687=DIRECTION('center_axis',(0.,0.,-1.)); #384688=DIRECTION('ref_axis',(1.,0.,0.)); #384689=DIRECTION('center_axis',(0.,0.,1.)); #384690=DIRECTION('ref_axis',(1.,0.,0.)); #384691=DIRECTION('center_axis',(0.,0.,1.)); #384692=DIRECTION('ref_axis',(1.,0.,0.)); #384693=DIRECTION('',(0.,0.,1.)); #384694=DIRECTION('center_axis',(0.,0.,-1.)); #384695=DIRECTION('ref_axis',(1.,0.,0.)); #384696=DIRECTION('center_axis',(0.,0.,1.)); #384697=DIRECTION('ref_axis',(1.,0.,0.)); #384698=DIRECTION('center_axis',(0.,0.,1.)); #384699=DIRECTION('ref_axis',(1.,0.,0.)); #384700=DIRECTION('',(0.,0.,1.)); #384701=DIRECTION('center_axis',(0.,0.,-1.)); #384702=DIRECTION('ref_axis',(1.,0.,0.)); #384703=DIRECTION('center_axis',(0.,0.,1.)); #384704=DIRECTION('ref_axis',(1.,0.,0.)); #384705=DIRECTION('center_axis',(0.,0.,1.)); #384706=DIRECTION('ref_axis',(1.,0.,0.)); #384707=DIRECTION('',(0.,0.,1.)); #384708=DIRECTION('center_axis',(0.,0.,-1.)); #384709=DIRECTION('ref_axis',(1.,0.,0.)); #384710=DIRECTION('center_axis',(0.,0.,1.)); #384711=DIRECTION('ref_axis',(1.,0.,0.)); #384712=DIRECTION('center_axis',(0.,0.,1.)); #384713=DIRECTION('ref_axis',(1.,0.,0.)); #384714=DIRECTION('',(0.,0.,1.)); #384715=DIRECTION('center_axis',(0.,0.,-1.)); #384716=DIRECTION('ref_axis',(1.,0.,0.)); #384717=DIRECTION('center_axis',(0.,0.,1.)); #384718=DIRECTION('ref_axis',(1.,0.,0.)); #384719=DIRECTION('center_axis',(0.,0.,1.)); #384720=DIRECTION('ref_axis',(1.,0.,0.)); #384721=DIRECTION('',(0.,0.,1.)); #384722=DIRECTION('center_axis',(0.,0.,-1.)); #384723=DIRECTION('ref_axis',(1.,0.,0.)); #384724=DIRECTION('center_axis',(0.,0.,1.)); #384725=DIRECTION('ref_axis',(1.,0.,0.)); #384726=DIRECTION('center_axis',(0.,0.,1.)); #384727=DIRECTION('ref_axis',(1.,0.,0.)); #384728=DIRECTION('',(0.,0.,1.)); #384729=DIRECTION('center_axis',(0.,0.,-1.)); #384730=DIRECTION('ref_axis',(1.,0.,0.)); #384731=DIRECTION('center_axis',(0.,0.,1.)); #384732=DIRECTION('ref_axis',(1.,0.,0.)); #384733=DIRECTION('center_axis',(0.,0.,1.)); #384734=DIRECTION('ref_axis',(1.,0.,0.)); #384735=DIRECTION('',(0.,0.,1.)); #384736=DIRECTION('center_axis',(0.,0.,-1.)); #384737=DIRECTION('ref_axis',(1.,0.,0.)); #384738=DIRECTION('center_axis',(0.,0.,1.)); #384739=DIRECTION('ref_axis',(1.,0.,0.)); #384740=DIRECTION('center_axis',(0.,0.,1.)); #384741=DIRECTION('ref_axis',(1.,0.,0.)); #384742=DIRECTION('',(0.,0.,1.)); #384743=DIRECTION('center_axis',(0.,0.,-1.)); #384744=DIRECTION('ref_axis',(1.,0.,0.)); #384745=DIRECTION('center_axis',(0.,0.,1.)); #384746=DIRECTION('ref_axis',(1.,0.,0.)); #384747=DIRECTION('center_axis',(0.,0.,1.)); #384748=DIRECTION('ref_axis',(1.,0.,0.)); #384749=DIRECTION('',(0.,0.,1.)); #384750=DIRECTION('center_axis',(0.,0.,-1.)); #384751=DIRECTION('ref_axis',(1.,0.,0.)); #384752=DIRECTION('center_axis',(0.,0.,1.)); #384753=DIRECTION('ref_axis',(1.,0.,0.)); #384754=DIRECTION('center_axis',(0.,0.,1.)); #384755=DIRECTION('ref_axis',(1.,0.,0.)); #384756=DIRECTION('',(0.,0.,1.)); #384757=DIRECTION('center_axis',(0.,0.,-1.)); #384758=DIRECTION('ref_axis',(1.,0.,0.)); #384759=DIRECTION('center_axis',(0.,0.,1.)); #384760=DIRECTION('ref_axis',(1.,0.,0.)); #384761=DIRECTION('center_axis',(0.,0.,1.)); #384762=DIRECTION('ref_axis',(1.,0.,0.)); #384763=DIRECTION('',(0.,0.,1.)); #384764=DIRECTION('center_axis',(0.,0.,-1.)); #384765=DIRECTION('ref_axis',(1.,0.,0.)); #384766=DIRECTION('center_axis',(0.,0.,1.)); #384767=DIRECTION('ref_axis',(1.,0.,0.)); #384768=DIRECTION('center_axis',(0.,0.,1.)); #384769=DIRECTION('ref_axis',(1.,0.,0.)); #384770=DIRECTION('',(0.,0.,1.)); #384771=DIRECTION('center_axis',(0.,0.,-1.)); #384772=DIRECTION('ref_axis',(1.,0.,0.)); #384773=DIRECTION('center_axis',(0.,0.,1.)); #384774=DIRECTION('ref_axis',(1.,0.,0.)); #384775=DIRECTION('center_axis',(0.,0.,1.)); #384776=DIRECTION('ref_axis',(1.,0.,0.)); #384777=DIRECTION('',(0.,0.,1.)); #384778=DIRECTION('center_axis',(0.,0.,-1.)); #384779=DIRECTION('ref_axis',(1.,0.,0.)); #384780=DIRECTION('center_axis',(0.,0.,1.)); #384781=DIRECTION('ref_axis',(1.,0.,0.)); #384782=DIRECTION('center_axis',(0.,0.,1.)); #384783=DIRECTION('ref_axis',(1.,0.,0.)); #384784=DIRECTION('',(0.,0.,1.)); #384785=DIRECTION('center_axis',(0.,0.,-1.)); #384786=DIRECTION('ref_axis',(1.,0.,0.)); #384787=DIRECTION('center_axis',(0.,0.,1.)); #384788=DIRECTION('ref_axis',(1.,0.,0.)); #384789=DIRECTION('center_axis',(0.,0.,1.)); #384790=DIRECTION('ref_axis',(1.,0.,0.)); #384791=DIRECTION('',(0.,0.,1.)); #384792=DIRECTION('center_axis',(0.,0.,-1.)); #384793=DIRECTION('ref_axis',(1.,0.,0.)); #384794=DIRECTION('center_axis',(0.,0.,1.)); #384795=DIRECTION('ref_axis',(1.,0.,0.)); #384796=DIRECTION('center_axis',(0.,0.,1.)); #384797=DIRECTION('ref_axis',(1.,0.,0.)); #384798=DIRECTION('',(0.,0.,1.)); #384799=DIRECTION('center_axis',(0.,0.,-1.)); #384800=DIRECTION('ref_axis',(1.,0.,0.)); #384801=DIRECTION('center_axis',(0.,0.,1.)); #384802=DIRECTION('ref_axis',(1.,0.,0.)); #384803=DIRECTION('center_axis',(0.,0.,1.)); #384804=DIRECTION('ref_axis',(1.,0.,0.)); #384805=DIRECTION('',(0.,0.,1.)); #384806=DIRECTION('center_axis',(0.,0.,-1.)); #384807=DIRECTION('ref_axis',(1.,0.,0.)); #384808=DIRECTION('center_axis',(0.,0.,1.)); #384809=DIRECTION('ref_axis',(1.,0.,0.)); #384810=DIRECTION('center_axis',(0.,0.,1.)); #384811=DIRECTION('ref_axis',(1.,0.,0.)); #384812=DIRECTION('',(0.,0.,1.)); #384813=DIRECTION('center_axis',(0.,0.,-1.)); #384814=DIRECTION('ref_axis',(1.,0.,0.)); #384815=DIRECTION('center_axis',(0.,0.,1.)); #384816=DIRECTION('ref_axis',(1.,0.,0.)); #384817=DIRECTION('center_axis',(0.,0.,1.)); #384818=DIRECTION('ref_axis',(1.,0.,0.)); #384819=DIRECTION('',(0.,0.,1.)); #384820=DIRECTION('center_axis',(0.,0.,-1.)); #384821=DIRECTION('ref_axis',(1.,0.,0.)); #384822=DIRECTION('center_axis',(0.,0.,1.)); #384823=DIRECTION('ref_axis',(1.,0.,0.)); #384824=DIRECTION('center_axis',(0.,0.,1.)); #384825=DIRECTION('ref_axis',(1.,0.,0.)); #384826=DIRECTION('',(0.,0.,1.)); #384827=DIRECTION('center_axis',(0.,0.,-1.)); #384828=DIRECTION('ref_axis',(1.,0.,0.)); #384829=DIRECTION('center_axis',(0.,0.,1.)); #384830=DIRECTION('ref_axis',(1.,0.,0.)); #384831=DIRECTION('center_axis',(0.,0.,1.)); #384832=DIRECTION('ref_axis',(1.,0.,0.)); #384833=DIRECTION('',(0.,0.,1.)); #384834=DIRECTION('center_axis',(0.,0.,-1.)); #384835=DIRECTION('ref_axis',(1.,0.,0.)); #384836=DIRECTION('center_axis',(0.,0.,1.)); #384837=DIRECTION('ref_axis',(1.,0.,0.)); #384838=DIRECTION('center_axis',(0.,0.,1.)); #384839=DIRECTION('ref_axis',(1.,0.,0.)); #384840=DIRECTION('',(0.,0.,1.)); #384841=DIRECTION('center_axis',(0.,0.,-1.)); #384842=DIRECTION('ref_axis',(1.,0.,0.)); #384843=DIRECTION('center_axis',(0.,0.,1.)); #384844=DIRECTION('ref_axis',(1.,0.,0.)); #384845=DIRECTION('center_axis',(0.,0.,1.)); #384846=DIRECTION('ref_axis',(1.,0.,0.)); #384847=DIRECTION('',(0.,0.,1.)); #384848=DIRECTION('center_axis',(0.,0.,-1.)); #384849=DIRECTION('ref_axis',(1.,0.,0.)); #384850=DIRECTION('center_axis',(0.,0.,1.)); #384851=DIRECTION('ref_axis',(1.,0.,0.)); #384852=DIRECTION('center_axis',(0.,0.,1.)); #384853=DIRECTION('ref_axis',(1.,0.,0.)); #384854=DIRECTION('',(0.,0.,1.)); #384855=DIRECTION('center_axis',(0.,0.,-1.)); #384856=DIRECTION('ref_axis',(1.,0.,0.)); #384857=DIRECTION('center_axis',(0.,0.,1.)); #384858=DIRECTION('ref_axis',(1.,0.,0.)); #384859=DIRECTION('center_axis',(0.,0.,1.)); #384860=DIRECTION('ref_axis',(1.,0.,0.)); #384861=DIRECTION('',(0.,0.,1.)); #384862=DIRECTION('center_axis',(0.,0.,-1.)); #384863=DIRECTION('ref_axis',(1.,0.,0.)); #384864=DIRECTION('center_axis',(0.,0.,1.)); #384865=DIRECTION('ref_axis',(1.,0.,0.)); #384866=DIRECTION('center_axis',(0.,0.,1.)); #384867=DIRECTION('ref_axis',(1.,0.,0.)); #384868=DIRECTION('',(0.,0.,1.)); #384869=DIRECTION('center_axis',(0.,0.,-1.)); #384870=DIRECTION('ref_axis',(1.,0.,0.)); #384871=DIRECTION('center_axis',(0.,0.,1.)); #384872=DIRECTION('ref_axis',(1.,0.,0.)); #384873=DIRECTION('center_axis',(0.,0.,1.)); #384874=DIRECTION('ref_axis',(1.,0.,0.)); #384875=DIRECTION('',(0.,0.,1.)); #384876=DIRECTION('center_axis',(0.,0.,-1.)); #384877=DIRECTION('ref_axis',(1.,0.,0.)); #384878=DIRECTION('center_axis',(0.,0.,1.)); #384879=DIRECTION('ref_axis',(1.,0.,0.)); #384880=DIRECTION('center_axis',(0.,0.,1.)); #384881=DIRECTION('ref_axis',(1.,0.,0.)); #384882=DIRECTION('',(0.,0.,1.)); #384883=DIRECTION('center_axis',(0.,0.,-1.)); #384884=DIRECTION('ref_axis',(1.,0.,0.)); #384885=DIRECTION('center_axis',(0.,0.,1.)); #384886=DIRECTION('ref_axis',(1.,0.,0.)); #384887=DIRECTION('center_axis',(0.,0.,1.)); #384888=DIRECTION('ref_axis',(1.,0.,0.)); #384889=DIRECTION('',(0.,0.,1.)); #384890=DIRECTION('center_axis',(0.,0.,-1.)); #384891=DIRECTION('ref_axis',(1.,0.,0.)); #384892=DIRECTION('center_axis',(0.,0.,1.)); #384893=DIRECTION('ref_axis',(1.,0.,0.)); #384894=DIRECTION('center_axis',(0.,0.,1.)); #384895=DIRECTION('ref_axis',(1.,0.,0.)); #384896=DIRECTION('',(0.,0.,1.)); #384897=DIRECTION('center_axis',(0.,0.,-1.)); #384898=DIRECTION('ref_axis',(1.,0.,0.)); #384899=DIRECTION('center_axis',(0.,0.,1.)); #384900=DIRECTION('ref_axis',(1.,0.,0.)); #384901=DIRECTION('center_axis',(0.,0.,1.)); #384902=DIRECTION('ref_axis',(1.,0.,0.)); #384903=DIRECTION('',(0.,0.,1.)); #384904=DIRECTION('center_axis',(0.,0.,-1.)); #384905=DIRECTION('ref_axis',(1.,0.,0.)); #384906=DIRECTION('center_axis',(0.,0.,1.)); #384907=DIRECTION('ref_axis',(1.,0.,0.)); #384908=DIRECTION('center_axis',(0.,0.,1.)); #384909=DIRECTION('ref_axis',(1.,0.,0.)); #384910=DIRECTION('',(0.,0.,1.)); #384911=DIRECTION('center_axis',(0.,0.,-1.)); #384912=DIRECTION('ref_axis',(1.,0.,0.)); #384913=DIRECTION('center_axis',(0.,0.,1.)); #384914=DIRECTION('ref_axis',(1.,0.,0.)); #384915=DIRECTION('center_axis',(0.,0.,1.)); #384916=DIRECTION('ref_axis',(1.,0.,0.)); #384917=DIRECTION('',(0.,0.,1.)); #384918=DIRECTION('center_axis',(0.,0.,-1.)); #384919=DIRECTION('ref_axis',(1.,0.,0.)); #384920=DIRECTION('center_axis',(0.,0.,1.)); #384921=DIRECTION('ref_axis',(1.,0.,0.)); #384922=DIRECTION('center_axis',(0.,0.,1.)); #384923=DIRECTION('ref_axis',(1.,0.,0.)); #384924=DIRECTION('',(0.,0.,1.)); #384925=DIRECTION('center_axis',(0.,0.,-1.)); #384926=DIRECTION('ref_axis',(1.,0.,0.)); #384927=DIRECTION('center_axis',(0.,0.,1.)); #384928=DIRECTION('ref_axis',(1.,0.,0.)); #384929=DIRECTION('center_axis',(0.,0.,1.)); #384930=DIRECTION('ref_axis',(1.,0.,0.)); #384931=DIRECTION('',(0.,0.,1.)); #384932=DIRECTION('center_axis',(0.,0.,-1.)); #384933=DIRECTION('ref_axis',(1.,0.,0.)); #384934=DIRECTION('center_axis',(0.,0.,1.)); #384935=DIRECTION('ref_axis',(1.,0.,0.)); #384936=DIRECTION('center_axis',(0.,0.,1.)); #384937=DIRECTION('ref_axis',(1.,0.,0.)); #384938=DIRECTION('',(0.,0.,1.)); #384939=DIRECTION('center_axis',(0.,0.,-1.)); #384940=DIRECTION('ref_axis',(1.,0.,0.)); #384941=DIRECTION('center_axis',(0.,0.,1.)); #384942=DIRECTION('ref_axis',(1.,0.,0.)); #384943=DIRECTION('center_axis',(0.,0.,1.)); #384944=DIRECTION('ref_axis',(1.,0.,0.)); #384945=DIRECTION('',(0.,0.,1.)); #384946=DIRECTION('center_axis',(0.,0.,-1.)); #384947=DIRECTION('ref_axis',(1.,0.,0.)); #384948=DIRECTION('center_axis',(0.,0.,1.)); #384949=DIRECTION('ref_axis',(1.,0.,0.)); #384950=DIRECTION('center_axis',(0.,0.,1.)); #384951=DIRECTION('ref_axis',(1.,0.,0.)); #384952=DIRECTION('',(0.,0.,1.)); #384953=DIRECTION('center_axis',(0.,0.,-1.)); #384954=DIRECTION('ref_axis',(1.,0.,0.)); #384955=DIRECTION('center_axis',(0.,0.,1.)); #384956=DIRECTION('ref_axis',(1.,0.,0.)); #384957=DIRECTION('center_axis',(0.,0.,1.)); #384958=DIRECTION('ref_axis',(1.,0.,0.)); #384959=DIRECTION('',(0.,0.,1.)); #384960=DIRECTION('center_axis',(0.,0.,-1.)); #384961=DIRECTION('ref_axis',(1.,0.,0.)); #384962=DIRECTION('center_axis',(0.,0.,1.)); #384963=DIRECTION('ref_axis',(1.,0.,0.)); #384964=DIRECTION('center_axis',(0.,0.,1.)); #384965=DIRECTION('ref_axis',(1.,0.,0.)); #384966=DIRECTION('',(0.,0.,1.)); #384967=DIRECTION('center_axis',(0.,0.,-1.)); #384968=DIRECTION('ref_axis',(1.,0.,0.)); #384969=DIRECTION('center_axis',(0.,0.,1.)); #384970=DIRECTION('ref_axis',(1.,0.,0.)); #384971=DIRECTION('center_axis',(0.,0.,1.)); #384972=DIRECTION('ref_axis',(1.,0.,0.)); #384973=DIRECTION('',(0.,0.,1.)); #384974=DIRECTION('center_axis',(0.,0.,-1.)); #384975=DIRECTION('ref_axis',(1.,0.,0.)); #384976=DIRECTION('center_axis',(0.,0.,1.)); #384977=DIRECTION('ref_axis',(1.,0.,0.)); #384978=DIRECTION('center_axis',(0.,0.,1.)); #384979=DIRECTION('ref_axis',(1.,0.,0.)); #384980=DIRECTION('',(0.,0.,1.)); #384981=DIRECTION('center_axis',(0.,0.,-1.)); #384982=DIRECTION('ref_axis',(1.,0.,0.)); #384983=DIRECTION('center_axis',(0.,0.,1.)); #384984=DIRECTION('ref_axis',(1.,0.,0.)); #384985=DIRECTION('center_axis',(0.,0.,1.)); #384986=DIRECTION('ref_axis',(1.,0.,0.)); #384987=DIRECTION('',(0.,0.,1.)); #384988=DIRECTION('center_axis',(0.,0.,-1.)); #384989=DIRECTION('ref_axis',(1.,0.,0.)); #384990=DIRECTION('center_axis',(0.,0.,1.)); #384991=DIRECTION('ref_axis',(1.,0.,0.)); #384992=DIRECTION('center_axis',(0.,0.,1.)); #384993=DIRECTION('ref_axis',(1.,0.,0.)); #384994=DIRECTION('',(0.,0.,1.)); #384995=DIRECTION('center_axis',(0.,0.,-1.)); #384996=DIRECTION('ref_axis',(1.,0.,0.)); #384997=DIRECTION('center_axis',(0.,0.,1.)); #384998=DIRECTION('ref_axis',(1.,0.,0.)); #384999=DIRECTION('center_axis',(0.,0.,1.)); #385000=DIRECTION('ref_axis',(1.,0.,0.)); #385001=DIRECTION('',(0.,0.,1.)); #385002=DIRECTION('center_axis',(0.,0.,-1.)); #385003=DIRECTION('ref_axis',(1.,0.,0.)); #385004=DIRECTION('center_axis',(0.,0.,1.)); #385005=DIRECTION('ref_axis',(1.,0.,0.)); #385006=DIRECTION('center_axis',(0.,0.,1.)); #385007=DIRECTION('ref_axis',(1.,0.,0.)); #385008=DIRECTION('',(0.,0.,1.)); #385009=DIRECTION('center_axis',(0.,0.,-1.)); #385010=DIRECTION('ref_axis',(1.,0.,0.)); #385011=DIRECTION('center_axis',(0.,0.,1.)); #385012=DIRECTION('ref_axis',(1.,0.,0.)); #385013=DIRECTION('center_axis',(0.,0.,1.)); #385014=DIRECTION('ref_axis',(1.,0.,0.)); #385015=DIRECTION('',(0.,0.,1.)); #385016=DIRECTION('center_axis',(0.,0.,-1.)); #385017=DIRECTION('ref_axis',(1.,0.,0.)); #385018=DIRECTION('center_axis',(0.,0.,1.)); #385019=DIRECTION('ref_axis',(1.,0.,0.)); #385020=DIRECTION('center_axis',(0.,0.,1.)); #385021=DIRECTION('ref_axis',(1.,0.,0.)); #385022=DIRECTION('',(0.,0.,1.)); #385023=DIRECTION('center_axis',(0.,0.,-1.)); #385024=DIRECTION('ref_axis',(1.,0.,0.)); #385025=DIRECTION('center_axis',(0.,0.,1.)); #385026=DIRECTION('ref_axis',(1.,0.,0.)); #385027=DIRECTION('center_axis',(0.,0.,1.)); #385028=DIRECTION('ref_axis',(1.,0.,0.)); #385029=DIRECTION('',(0.,0.,1.)); #385030=DIRECTION('center_axis',(0.,0.,-1.)); #385031=DIRECTION('ref_axis',(1.,0.,0.)); #385032=DIRECTION('center_axis',(0.,0.,1.)); #385033=DIRECTION('ref_axis',(1.,0.,0.)); #385034=DIRECTION('center_axis',(0.,0.,1.)); #385035=DIRECTION('ref_axis',(1.,0.,0.)); #385036=DIRECTION('',(0.,0.,1.)); #385037=DIRECTION('center_axis',(0.,0.,-1.)); #385038=DIRECTION('ref_axis',(1.,0.,0.)); #385039=DIRECTION('center_axis',(0.,0.,1.)); #385040=DIRECTION('ref_axis',(1.,0.,0.)); #385041=DIRECTION('center_axis',(0.,0.,1.)); #385042=DIRECTION('ref_axis',(1.,0.,0.)); #385043=DIRECTION('',(0.,0.,1.)); #385044=DIRECTION('center_axis',(0.,0.,-1.)); #385045=DIRECTION('ref_axis',(1.,0.,0.)); #385046=DIRECTION('center_axis',(0.,0.,1.)); #385047=DIRECTION('ref_axis',(1.,0.,0.)); #385048=DIRECTION('center_axis',(0.,0.,1.)); #385049=DIRECTION('ref_axis',(1.,0.,0.)); #385050=DIRECTION('',(0.,0.,1.)); #385051=DIRECTION('center_axis',(0.,0.,-1.)); #385052=DIRECTION('ref_axis',(1.,0.,0.)); #385053=DIRECTION('center_axis',(0.,0.,1.)); #385054=DIRECTION('ref_axis',(1.,0.,0.)); #385055=DIRECTION('center_axis',(0.,0.,1.)); #385056=DIRECTION('ref_axis',(1.,0.,0.)); #385057=DIRECTION('',(0.,0.,1.)); #385058=DIRECTION('center_axis',(0.,0.,-1.)); #385059=DIRECTION('ref_axis',(1.,0.,0.)); #385060=DIRECTION('center_axis',(0.,0.,1.)); #385061=DIRECTION('ref_axis',(1.,0.,0.)); #385062=DIRECTION('center_axis',(0.,0.,1.)); #385063=DIRECTION('ref_axis',(1.,0.,0.)); #385064=DIRECTION('',(0.,0.,1.)); #385065=DIRECTION('center_axis',(0.,0.,-1.)); #385066=DIRECTION('ref_axis',(1.,0.,0.)); #385067=DIRECTION('center_axis',(0.,0.,1.)); #385068=DIRECTION('ref_axis',(1.,0.,0.)); #385069=DIRECTION('center_axis',(0.,0.,1.)); #385070=DIRECTION('ref_axis',(1.,0.,0.)); #385071=DIRECTION('',(0.,0.,1.)); #385072=DIRECTION('center_axis',(0.,0.,-1.)); #385073=DIRECTION('ref_axis',(1.,0.,0.)); #385074=DIRECTION('center_axis',(0.,0.,1.)); #385075=DIRECTION('ref_axis',(1.,0.,0.)); #385076=DIRECTION('center_axis',(0.,0.,1.)); #385077=DIRECTION('ref_axis',(1.,0.,0.)); #385078=DIRECTION('',(0.,0.,1.)); #385079=DIRECTION('center_axis',(0.,0.,-1.)); #385080=DIRECTION('ref_axis',(1.,0.,0.)); #385081=DIRECTION('center_axis',(0.,0.,1.)); #385082=DIRECTION('ref_axis',(1.,0.,0.)); #385083=DIRECTION('center_axis',(0.,0.,1.)); #385084=DIRECTION('ref_axis',(1.,0.,0.)); #385085=DIRECTION('',(0.,0.,1.)); #385086=DIRECTION('center_axis',(0.,0.,-1.)); #385087=DIRECTION('ref_axis',(1.,0.,0.)); #385088=DIRECTION('center_axis',(0.,0.,1.)); #385089=DIRECTION('ref_axis',(1.,0.,0.)); #385090=DIRECTION('center_axis',(0.,0.,1.)); #385091=DIRECTION('ref_axis',(1.,0.,0.)); #385092=DIRECTION('',(0.,0.,1.)); #385093=DIRECTION('center_axis',(0.,0.,-1.)); #385094=DIRECTION('ref_axis',(1.,0.,0.)); #385095=DIRECTION('center_axis',(0.,0.,1.)); #385096=DIRECTION('ref_axis',(1.,0.,0.)); #385097=DIRECTION('center_axis',(0.,0.,1.)); #385098=DIRECTION('ref_axis',(1.,0.,0.)); #385099=DIRECTION('',(0.,0.,1.)); #385100=DIRECTION('center_axis',(0.,0.,-1.)); #385101=DIRECTION('ref_axis',(1.,0.,0.)); #385102=DIRECTION('center_axis',(0.,0.,1.)); #385103=DIRECTION('ref_axis',(1.,0.,0.)); #385104=DIRECTION('center_axis',(0.,0.,1.)); #385105=DIRECTION('ref_axis',(1.,0.,0.)); #385106=DIRECTION('',(0.,0.,1.)); #385107=DIRECTION('center_axis',(0.,0.,-1.)); #385108=DIRECTION('ref_axis',(1.,0.,0.)); #385109=DIRECTION('center_axis',(0.,0.,1.)); #385110=DIRECTION('ref_axis',(1.,0.,0.)); #385111=DIRECTION('center_axis',(0.,0.,1.)); #385112=DIRECTION('ref_axis',(1.,0.,0.)); #385113=DIRECTION('',(0.,0.,1.)); #385114=DIRECTION('center_axis',(0.,0.,-1.)); #385115=DIRECTION('ref_axis',(1.,0.,0.)); #385116=DIRECTION('center_axis',(0.,0.,1.)); #385117=DIRECTION('ref_axis',(1.,0.,0.)); #385118=DIRECTION('center_axis',(0.,0.,1.)); #385119=DIRECTION('ref_axis',(1.,0.,0.)); #385120=DIRECTION('',(0.,0.,1.)); #385121=DIRECTION('center_axis',(0.,0.,-1.)); #385122=DIRECTION('ref_axis',(1.,0.,0.)); #385123=DIRECTION('center_axis',(0.,0.,1.)); #385124=DIRECTION('ref_axis',(1.,0.,0.)); #385125=DIRECTION('center_axis',(0.,0.,1.)); #385126=DIRECTION('ref_axis',(1.,0.,0.)); #385127=DIRECTION('',(0.,0.,1.)); #385128=DIRECTION('center_axis',(0.,0.,-1.)); #385129=DIRECTION('ref_axis',(1.,0.,0.)); #385130=DIRECTION('center_axis',(0.,0.,1.)); #385131=DIRECTION('ref_axis',(1.,0.,0.)); #385132=DIRECTION('center_axis',(0.,0.,1.)); #385133=DIRECTION('ref_axis',(1.,0.,0.)); #385134=DIRECTION('',(0.,0.,1.)); #385135=DIRECTION('center_axis',(0.,0.,-1.)); #385136=DIRECTION('ref_axis',(1.,0.,0.)); #385137=DIRECTION('center_axis',(0.,0.,1.)); #385138=DIRECTION('ref_axis',(1.,0.,0.)); #385139=DIRECTION('center_axis',(0.,0.,1.)); #385140=DIRECTION('ref_axis',(1.,0.,0.)); #385141=DIRECTION('',(0.,0.,1.)); #385142=DIRECTION('center_axis',(0.,0.,-1.)); #385143=DIRECTION('ref_axis',(1.,0.,0.)); #385144=DIRECTION('center_axis',(0.,0.,1.)); #385145=DIRECTION('ref_axis',(1.,0.,0.)); #385146=DIRECTION('center_axis',(0.,0.,1.)); #385147=DIRECTION('ref_axis',(1.,0.,0.)); #385148=DIRECTION('',(0.,0.,1.)); #385149=DIRECTION('center_axis',(0.,0.,-1.)); #385150=DIRECTION('ref_axis',(1.,0.,0.)); #385151=DIRECTION('center_axis',(0.,0.,1.)); #385152=DIRECTION('ref_axis',(1.,0.,0.)); #385153=DIRECTION('center_axis',(0.,0.,1.)); #385154=DIRECTION('ref_axis',(1.,0.,0.)); #385155=DIRECTION('',(0.,0.,1.)); #385156=DIRECTION('center_axis',(0.,0.,-1.)); #385157=DIRECTION('ref_axis',(1.,0.,0.)); #385158=DIRECTION('center_axis',(0.,0.,1.)); #385159=DIRECTION('ref_axis',(1.,0.,0.)); #385160=DIRECTION('center_axis',(0.,0.,1.)); #385161=DIRECTION('ref_axis',(1.,0.,0.)); #385162=DIRECTION('',(0.,0.,1.)); #385163=DIRECTION('center_axis',(0.,0.,-1.)); #385164=DIRECTION('ref_axis',(1.,0.,0.)); #385165=DIRECTION('center_axis',(0.,0.,1.)); #385166=DIRECTION('ref_axis',(1.,0.,0.)); #385167=DIRECTION('center_axis',(0.,0.,1.)); #385168=DIRECTION('ref_axis',(1.,0.,0.)); #385169=DIRECTION('',(0.,0.,1.)); #385170=DIRECTION('center_axis',(0.,0.,-1.)); #385171=DIRECTION('ref_axis',(1.,0.,0.)); #385172=DIRECTION('center_axis',(0.,0.,1.)); #385173=DIRECTION('ref_axis',(1.,0.,0.)); #385174=DIRECTION('center_axis',(0.,0.,1.)); #385175=DIRECTION('ref_axis',(1.,0.,0.)); #385176=DIRECTION('',(0.,0.,1.)); #385177=DIRECTION('center_axis',(0.,0.,-1.)); #385178=DIRECTION('ref_axis',(1.,0.,0.)); #385179=DIRECTION('center_axis',(0.,0.,1.)); #385180=DIRECTION('ref_axis',(1.,0.,0.)); #385181=DIRECTION('center_axis',(0.,0.,1.)); #385182=DIRECTION('ref_axis',(1.,0.,0.)); #385183=DIRECTION('',(0.,0.,1.)); #385184=DIRECTION('center_axis',(0.,0.,-1.)); #385185=DIRECTION('ref_axis',(1.,0.,0.)); #385186=DIRECTION('center_axis',(0.,0.,1.)); #385187=DIRECTION('ref_axis',(1.,0.,0.)); #385188=DIRECTION('center_axis',(0.,0.,1.)); #385189=DIRECTION('ref_axis',(1.,0.,0.)); #385190=DIRECTION('',(0.,0.,1.)); #385191=DIRECTION('center_axis',(0.,0.,-1.)); #385192=DIRECTION('ref_axis',(1.,0.,0.)); #385193=DIRECTION('center_axis',(0.,0.,1.)); #385194=DIRECTION('ref_axis',(1.,0.,0.)); #385195=DIRECTION('center_axis',(0.,0.,1.)); #385196=DIRECTION('ref_axis',(1.,0.,0.)); #385197=DIRECTION('',(0.,0.,1.)); #385198=DIRECTION('center_axis',(0.,0.,-1.)); #385199=DIRECTION('ref_axis',(1.,0.,0.)); #385200=DIRECTION('center_axis',(0.,0.,1.)); #385201=DIRECTION('ref_axis',(1.,0.,0.)); #385202=DIRECTION('center_axis',(0.,0.,1.)); #385203=DIRECTION('ref_axis',(1.,0.,0.)); #385204=DIRECTION('',(0.,0.,1.)); #385205=DIRECTION('center_axis',(0.,0.,-1.)); #385206=DIRECTION('ref_axis',(1.,0.,0.)); #385207=DIRECTION('center_axis',(0.,0.,1.)); #385208=DIRECTION('ref_axis',(1.,0.,0.)); #385209=DIRECTION('center_axis',(0.,0.,1.)); #385210=DIRECTION('ref_axis',(1.,0.,0.)); #385211=DIRECTION('',(0.,0.,1.)); #385212=DIRECTION('center_axis',(0.,0.,-1.)); #385213=DIRECTION('ref_axis',(1.,0.,0.)); #385214=DIRECTION('center_axis',(0.,0.,1.)); #385215=DIRECTION('ref_axis',(1.,0.,0.)); #385216=DIRECTION('center_axis',(0.,0.,1.)); #385217=DIRECTION('ref_axis',(1.,0.,0.)); #385218=DIRECTION('',(0.,0.,1.)); #385219=DIRECTION('center_axis',(0.,0.,-1.)); #385220=DIRECTION('ref_axis',(1.,0.,0.)); #385221=DIRECTION('center_axis',(0.,0.,1.)); #385222=DIRECTION('ref_axis',(1.,0.,0.)); #385223=DIRECTION('center_axis',(0.,0.,1.)); #385224=DIRECTION('ref_axis',(1.,0.,0.)); #385225=DIRECTION('',(0.,0.,1.)); #385226=DIRECTION('center_axis',(0.,0.,-1.)); #385227=DIRECTION('ref_axis',(1.,0.,0.)); #385228=DIRECTION('center_axis',(0.,0.,1.)); #385229=DIRECTION('ref_axis',(1.,0.,0.)); #385230=DIRECTION('center_axis',(0.,0.,1.)); #385231=DIRECTION('ref_axis',(1.,0.,0.)); #385232=DIRECTION('',(0.,0.,1.)); #385233=DIRECTION('center_axis',(0.,0.,-1.)); #385234=DIRECTION('ref_axis',(1.,0.,0.)); #385235=DIRECTION('center_axis',(0.,0.,1.)); #385236=DIRECTION('ref_axis',(1.,0.,0.)); #385237=DIRECTION('center_axis',(0.,0.,1.)); #385238=DIRECTION('ref_axis',(1.,0.,0.)); #385239=DIRECTION('',(0.,0.,1.)); #385240=DIRECTION('center_axis',(0.,0.,-1.)); #385241=DIRECTION('ref_axis',(1.,0.,0.)); #385242=DIRECTION('center_axis',(0.,0.,1.)); #385243=DIRECTION('ref_axis',(1.,0.,0.)); #385244=DIRECTION('center_axis',(0.,0.,1.)); #385245=DIRECTION('ref_axis',(1.,0.,0.)); #385246=DIRECTION('',(0.,0.,1.)); #385247=DIRECTION('center_axis',(0.,0.,-1.)); #385248=DIRECTION('ref_axis',(1.,0.,0.)); #385249=DIRECTION('center_axis',(0.,0.,1.)); #385250=DIRECTION('ref_axis',(1.,0.,0.)); #385251=DIRECTION('center_axis',(0.,0.,1.)); #385252=DIRECTION('ref_axis',(1.,0.,0.)); #385253=DIRECTION('',(0.,0.,1.)); #385254=DIRECTION('center_axis',(0.,0.,-1.)); #385255=DIRECTION('ref_axis',(1.,0.,0.)); #385256=DIRECTION('center_axis',(0.,0.,1.)); #385257=DIRECTION('ref_axis',(1.,0.,0.)); #385258=DIRECTION('center_axis',(0.,0.,1.)); #385259=DIRECTION('ref_axis',(1.,0.,0.)); #385260=DIRECTION('',(0.,0.,1.)); #385261=DIRECTION('center_axis',(0.,0.,-1.)); #385262=DIRECTION('ref_axis',(1.,0.,0.)); #385263=DIRECTION('center_axis',(0.,0.,1.)); #385264=DIRECTION('ref_axis',(1.,0.,0.)); #385265=DIRECTION('center_axis',(0.,0.,1.)); #385266=DIRECTION('ref_axis',(1.,0.,0.)); #385267=DIRECTION('',(0.,0.,1.)); #385268=DIRECTION('center_axis',(0.,0.,-1.)); #385269=DIRECTION('ref_axis',(1.,0.,0.)); #385270=DIRECTION('center_axis',(0.,0.,1.)); #385271=DIRECTION('ref_axis',(1.,0.,0.)); #385272=DIRECTION('center_axis',(0.,0.,1.)); #385273=DIRECTION('ref_axis',(1.,0.,0.)); #385274=DIRECTION('',(0.,0.,1.)); #385275=DIRECTION('center_axis',(0.,0.,-1.)); #385276=DIRECTION('ref_axis',(1.,0.,0.)); #385277=DIRECTION('center_axis',(0.,0.,1.)); #385278=DIRECTION('ref_axis',(1.,0.,0.)); #385279=DIRECTION('center_axis',(0.,0.,1.)); #385280=DIRECTION('ref_axis',(1.,0.,0.)); #385281=DIRECTION('',(0.,0.,1.)); #385282=DIRECTION('center_axis',(0.,0.,-1.)); #385283=DIRECTION('ref_axis',(1.,0.,0.)); #385284=DIRECTION('center_axis',(0.,0.,1.)); #385285=DIRECTION('ref_axis',(1.,0.,0.)); #385286=DIRECTION('center_axis',(0.,0.,1.)); #385287=DIRECTION('ref_axis',(1.,0.,0.)); #385288=DIRECTION('',(0.,0.,1.)); #385289=DIRECTION('center_axis',(0.,0.,-1.)); #385290=DIRECTION('ref_axis',(1.,0.,0.)); #385291=DIRECTION('center_axis',(0.,0.,1.)); #385292=DIRECTION('ref_axis',(1.,0.,0.)); #385293=DIRECTION('center_axis',(0.,0.,1.)); #385294=DIRECTION('ref_axis',(1.,0.,0.)); #385295=DIRECTION('',(0.,0.,1.)); #385296=DIRECTION('center_axis',(0.,0.,-1.)); #385297=DIRECTION('ref_axis',(1.,0.,0.)); #385298=DIRECTION('center_axis',(0.,0.,1.)); #385299=DIRECTION('ref_axis',(1.,0.,0.)); #385300=DIRECTION('center_axis',(0.,0.,1.)); #385301=DIRECTION('ref_axis',(1.,0.,0.)); #385302=DIRECTION('',(0.,0.,1.)); #385303=DIRECTION('center_axis',(0.,0.,-1.)); #385304=DIRECTION('ref_axis',(1.,0.,0.)); #385305=DIRECTION('center_axis',(0.,0.,1.)); #385306=DIRECTION('ref_axis',(1.,0.,0.)); #385307=DIRECTION('center_axis',(0.,0.,1.)); #385308=DIRECTION('ref_axis',(1.,0.,0.)); #385309=DIRECTION('',(0.,0.,1.)); #385310=DIRECTION('center_axis',(0.,0.,-1.)); #385311=DIRECTION('ref_axis',(1.,0.,0.)); #385312=DIRECTION('center_axis',(0.,0.,1.)); #385313=DIRECTION('ref_axis',(1.,0.,0.)); #385314=DIRECTION('center_axis',(0.,0.,1.)); #385315=DIRECTION('ref_axis',(1.,0.,0.)); #385316=DIRECTION('',(0.,0.,1.)); #385317=DIRECTION('center_axis',(0.,0.,-1.)); #385318=DIRECTION('ref_axis',(1.,0.,0.)); #385319=DIRECTION('center_axis',(0.,0.,1.)); #385320=DIRECTION('ref_axis',(1.,0.,0.)); #385321=DIRECTION('center_axis',(0.,0.,1.)); #385322=DIRECTION('ref_axis',(1.,0.,0.)); #385323=DIRECTION('',(0.,0.,1.)); #385324=DIRECTION('center_axis',(0.,0.,-1.)); #385325=DIRECTION('ref_axis',(1.,0.,0.)); #385326=DIRECTION('center_axis',(0.,0.,1.)); #385327=DIRECTION('ref_axis',(1.,0.,0.)); #385328=DIRECTION('center_axis',(0.,0.,1.)); #385329=DIRECTION('ref_axis',(1.,0.,0.)); #385330=DIRECTION('',(0.,0.,1.)); #385331=DIRECTION('center_axis',(0.,0.,-1.)); #385332=DIRECTION('ref_axis',(1.,0.,0.)); #385333=DIRECTION('center_axis',(0.,0.,1.)); #385334=DIRECTION('ref_axis',(1.,0.,0.)); #385335=DIRECTION('center_axis',(0.,0.,1.)); #385336=DIRECTION('ref_axis',(1.,0.,0.)); #385337=DIRECTION('',(0.,0.,1.)); #385338=DIRECTION('center_axis',(0.,0.,-1.)); #385339=DIRECTION('ref_axis',(1.,0.,0.)); #385340=DIRECTION('center_axis',(0.,0.,1.)); #385341=DIRECTION('ref_axis',(1.,0.,0.)); #385342=DIRECTION('center_axis',(0.,0.,1.)); #385343=DIRECTION('ref_axis',(1.,0.,0.)); #385344=DIRECTION('',(0.,0.,1.)); #385345=DIRECTION('center_axis',(0.,0.,-1.)); #385346=DIRECTION('ref_axis',(1.,0.,0.)); #385347=DIRECTION('center_axis',(0.,0.,1.)); #385348=DIRECTION('ref_axis',(1.,0.,0.)); #385349=DIRECTION('center_axis',(0.,0.,1.)); #385350=DIRECTION('ref_axis',(1.,0.,0.)); #385351=DIRECTION('',(0.,0.,1.)); #385352=DIRECTION('center_axis',(0.,0.,-1.)); #385353=DIRECTION('ref_axis',(1.,0.,0.)); #385354=DIRECTION('center_axis',(0.,0.,1.)); #385355=DIRECTION('ref_axis',(1.,0.,0.)); #385356=DIRECTION('center_axis',(0.,0.,1.)); #385357=DIRECTION('ref_axis',(1.,0.,0.)); #385358=DIRECTION('',(0.,0.,1.)); #385359=DIRECTION('center_axis',(0.,0.,-1.)); #385360=DIRECTION('ref_axis',(1.,0.,0.)); #385361=DIRECTION('center_axis',(0.,0.,1.)); #385362=DIRECTION('ref_axis',(1.,0.,0.)); #385363=DIRECTION('center_axis',(0.,0.,1.)); #385364=DIRECTION('ref_axis',(1.,0.,0.)); #385365=DIRECTION('',(0.,0.,1.)); #385366=DIRECTION('center_axis',(0.,0.,-1.)); #385367=DIRECTION('ref_axis',(1.,0.,0.)); #385368=DIRECTION('center_axis',(0.,0.,1.)); #385369=DIRECTION('ref_axis',(1.,0.,0.)); #385370=DIRECTION('center_axis',(0.,0.,1.)); #385371=DIRECTION('ref_axis',(1.,0.,0.)); #385372=DIRECTION('',(0.,0.,1.)); #385373=DIRECTION('center_axis',(0.,0.,-1.)); #385374=DIRECTION('ref_axis',(1.,0.,0.)); #385375=DIRECTION('center_axis',(0.,0.,1.)); #385376=DIRECTION('ref_axis',(1.,0.,0.)); #385377=DIRECTION('center_axis',(0.,0.,1.)); #385378=DIRECTION('ref_axis',(1.,0.,0.)); #385379=DIRECTION('',(0.,0.,1.)); #385380=DIRECTION('center_axis',(0.,0.,-1.)); #385381=DIRECTION('ref_axis',(1.,0.,0.)); #385382=DIRECTION('center_axis',(0.,0.,1.)); #385383=DIRECTION('ref_axis',(1.,0.,0.)); #385384=DIRECTION('center_axis',(0.,0.,1.)); #385385=DIRECTION('ref_axis',(1.,0.,0.)); #385386=DIRECTION('',(0.,0.,1.)); #385387=DIRECTION('center_axis',(0.,0.,-1.)); #385388=DIRECTION('ref_axis',(1.,0.,0.)); #385389=DIRECTION('center_axis',(0.,0.,1.)); #385390=DIRECTION('ref_axis',(1.,0.,0.)); #385391=DIRECTION('center_axis',(0.,0.,1.)); #385392=DIRECTION('ref_axis',(1.,0.,0.)); #385393=DIRECTION('',(0.,0.,1.)); #385394=DIRECTION('center_axis',(0.,0.,-1.)); #385395=DIRECTION('ref_axis',(1.,0.,0.)); #385396=DIRECTION('center_axis',(0.,0.,1.)); #385397=DIRECTION('ref_axis',(1.,0.,0.)); #385398=DIRECTION('center_axis',(0.,0.,1.)); #385399=DIRECTION('ref_axis',(1.,0.,0.)); #385400=DIRECTION('',(0.,0.,1.)); #385401=DIRECTION('center_axis',(0.,0.,-1.)); #385402=DIRECTION('ref_axis',(1.,0.,0.)); #385403=DIRECTION('center_axis',(0.,0.,1.)); #385404=DIRECTION('ref_axis',(1.,0.,0.)); #385405=DIRECTION('center_axis',(0.,0.,1.)); #385406=DIRECTION('ref_axis',(1.,0.,0.)); #385407=DIRECTION('',(0.,0.,1.)); #385408=DIRECTION('center_axis',(0.,0.,-1.)); #385409=DIRECTION('ref_axis',(1.,0.,0.)); #385410=DIRECTION('center_axis',(0.,0.,1.)); #385411=DIRECTION('ref_axis',(1.,0.,0.)); #385412=DIRECTION('center_axis',(0.,0.,1.)); #385413=DIRECTION('ref_axis',(1.,0.,0.)); #385414=DIRECTION('',(0.,0.,-1.)); #385415=DIRECTION('center_axis',(0.,0.,1.)); #385416=DIRECTION('ref_axis',(1.,0.,0.)); #385417=DIRECTION('center_axis',(0.,0.,1.)); #385418=DIRECTION('ref_axis',(1.,0.,0.)); #385419=DIRECTION('center_axis',(0.,0.,1.)); #385420=DIRECTION('ref_axis',(1.,0.,0.)); #385421=DIRECTION('center_axis',(0.,0.,1.)); #385422=DIRECTION('ref_axis',(1.,0.,0.)); #385423=DIRECTION('center_axis',(0.,0.,-1.)); #385424=DIRECTION('ref_axis',(1.,0.,0.)); #385425=DIRECTION('',(0.,0.,-1.)); #385426=DIRECTION('center_axis',(0.,0.,1.)); #385427=DIRECTION('ref_axis',(1.,0.,0.)); #385428=DIRECTION('center_axis',(0.,0.,1.)); #385429=DIRECTION('ref_axis',(1.,0.,0.)); #385430=DIRECTION('center_axis',(0.,0.,1.)); #385431=DIRECTION('ref_axis',(1.,0.,0.)); #385432=DIRECTION('',(0.,0.,-1.)); #385433=DIRECTION('center_axis',(0.,0.,1.)); #385434=DIRECTION('ref_axis',(1.,0.,0.)); #385435=DIRECTION('center_axis',(0.,0.,1.)); #385436=DIRECTION('ref_axis',(1.,0.,0.)); #385437=DIRECTION('center_axis',(0.,0.,1.)); #385438=DIRECTION('ref_axis',(1.,0.,0.)); #385439=DIRECTION('center_axis',(0.,0.,1.)); #385440=DIRECTION('ref_axis',(1.,0.,0.)); #385441=DIRECTION('center_axis',(0.,0.,-1.)); #385442=DIRECTION('ref_axis',(1.,0.,0.)); #385443=DIRECTION('',(0.,0.,-1.)); #385444=DIRECTION('center_axis',(0.,0.,1.)); #385445=DIRECTION('ref_axis',(1.,0.,0.)); #385446=DIRECTION('center_axis',(0.,0.,1.)); #385447=DIRECTION('ref_axis',(1.,0.,0.)); #385448=DIRECTION('center_axis',(0.,0.,1.)); #385449=DIRECTION('ref_axis',(1.,0.,0.)); #385450=DIRECTION('',(0.,0.,-1.)); #385451=DIRECTION('center_axis',(0.,0.,1.)); #385452=DIRECTION('ref_axis',(1.,0.,0.)); #385453=DIRECTION('center_axis',(0.,0.,1.)); #385454=DIRECTION('ref_axis',(1.,0.,0.)); #385455=DIRECTION('center_axis',(0.,0.,1.)); #385456=DIRECTION('ref_axis',(1.,0.,0.)); #385457=DIRECTION('center_axis',(0.,0.,1.)); #385458=DIRECTION('ref_axis',(1.,0.,0.)); #385459=DIRECTION('center_axis',(0.,0.,-1.)); #385460=DIRECTION('ref_axis',(1.,0.,0.)); #385461=DIRECTION('',(0.,0.,-1.)); #385462=DIRECTION('center_axis',(0.,0.,1.)); #385463=DIRECTION('ref_axis',(1.,0.,0.)); #385464=DIRECTION('center_axis',(0.,0.,1.)); #385465=DIRECTION('ref_axis',(1.,0.,0.)); #385466=DIRECTION('center_axis',(0.,0.,1.)); #385467=DIRECTION('ref_axis',(1.,0.,0.)); #385468=DIRECTION('',(0.,0.,-1.)); #385469=DIRECTION('center_axis',(0.,0.,1.)); #385470=DIRECTION('ref_axis',(1.,0.,0.)); #385471=DIRECTION('center_axis',(0.,0.,1.)); #385472=DIRECTION('ref_axis',(1.,0.,0.)); #385473=DIRECTION('center_axis',(0.,0.,1.)); #385474=DIRECTION('ref_axis',(1.,0.,0.)); #385475=DIRECTION('center_axis',(0.,0.,1.)); #385476=DIRECTION('ref_axis',(1.,0.,0.)); #385477=DIRECTION('center_axis',(0.,0.,-1.)); #385478=DIRECTION('ref_axis',(1.,0.,0.)); #385479=DIRECTION('',(0.,0.,-1.)); #385480=DIRECTION('center_axis',(0.,0.,1.)); #385481=DIRECTION('ref_axis',(1.,0.,0.)); #385482=DIRECTION('center_axis',(0.,0.,1.)); #385483=DIRECTION('ref_axis',(1.,0.,0.)); #385484=DIRECTION('center_axis',(0.,0.,1.)); #385485=DIRECTION('ref_axis',(1.,0.,0.)); #385486=DIRECTION('',(0.,0.,-1.)); #385487=DIRECTION('center_axis',(0.,0.,1.)); #385488=DIRECTION('ref_axis',(1.,0.,0.)); #385489=DIRECTION('center_axis',(0.,0.,1.)); #385490=DIRECTION('ref_axis',(1.,0.,0.)); #385491=DIRECTION('center_axis',(0.,0.,1.)); #385492=DIRECTION('ref_axis',(1.,0.,0.)); #385493=DIRECTION('center_axis',(0.,0.,1.)); #385494=DIRECTION('ref_axis',(1.,0.,0.)); #385495=DIRECTION('center_axis',(0.,0.,-1.)); #385496=DIRECTION('ref_axis',(1.,0.,0.)); #385497=DIRECTION('',(0.,0.,-1.)); #385498=DIRECTION('center_axis',(0.,0.,1.)); #385499=DIRECTION('ref_axis',(1.,0.,0.)); #385500=DIRECTION('center_axis',(0.,0.,1.)); #385501=DIRECTION('ref_axis',(1.,0.,0.)); #385502=DIRECTION('center_axis',(0.,0.,1.)); #385503=DIRECTION('ref_axis',(1.,0.,0.)); #385504=DIRECTION('',(0.,0.,-1.)); #385505=DIRECTION('center_axis',(0.,0.,1.)); #385506=DIRECTION('ref_axis',(1.,0.,0.)); #385507=DIRECTION('center_axis',(0.,0.,1.)); #385508=DIRECTION('ref_axis',(1.,0.,0.)); #385509=DIRECTION('center_axis',(0.,0.,1.)); #385510=DIRECTION('ref_axis',(1.,0.,0.)); #385511=DIRECTION('center_axis',(0.,0.,1.)); #385512=DIRECTION('ref_axis',(1.,0.,0.)); #385513=DIRECTION('center_axis',(0.,0.,-1.)); #385514=DIRECTION('ref_axis',(1.,0.,0.)); #385515=DIRECTION('',(0.,0.,-1.)); #385516=DIRECTION('center_axis',(0.,0.,1.)); #385517=DIRECTION('ref_axis',(1.,0.,0.)); #385518=DIRECTION('center_axis',(0.,0.,1.)); #385519=DIRECTION('ref_axis',(1.,0.,0.)); #385520=DIRECTION('center_axis',(0.,0.,1.)); #385521=DIRECTION('ref_axis',(1.,0.,0.)); #385522=DIRECTION('',(0.,0.,-1.)); #385523=DIRECTION('center_axis',(0.,0.,1.)); #385524=DIRECTION('ref_axis',(1.,0.,0.)); #385525=DIRECTION('center_axis',(0.,0.,1.)); #385526=DIRECTION('ref_axis',(1.,0.,0.)); #385527=DIRECTION('center_axis',(0.,0.,1.)); #385528=DIRECTION('ref_axis',(1.,0.,0.)); #385529=DIRECTION('center_axis',(0.,0.,1.)); #385530=DIRECTION('ref_axis',(1.,0.,0.)); #385531=DIRECTION('center_axis',(0.,0.,-1.)); #385532=DIRECTION('ref_axis',(1.,0.,0.)); #385533=DIRECTION('',(0.,0.,-1.)); #385534=DIRECTION('center_axis',(0.,0.,1.)); #385535=DIRECTION('ref_axis',(1.,0.,0.)); #385536=DIRECTION('center_axis',(0.,0.,1.)); #385537=DIRECTION('ref_axis',(1.,0.,0.)); #385538=DIRECTION('center_axis',(0.,0.,1.)); #385539=DIRECTION('ref_axis',(1.,0.,0.)); #385540=DIRECTION('',(0.,0.,-1.)); #385541=DIRECTION('center_axis',(0.,0.,1.)); #385542=DIRECTION('ref_axis',(1.,0.,0.)); #385543=DIRECTION('center_axis',(0.,0.,1.)); #385544=DIRECTION('ref_axis',(1.,0.,0.)); #385545=DIRECTION('center_axis',(0.,0.,1.)); #385546=DIRECTION('ref_axis',(1.,0.,0.)); #385547=DIRECTION('center_axis',(0.,0.,1.)); #385548=DIRECTION('ref_axis',(1.,0.,0.)); #385549=DIRECTION('center_axis',(0.,0.,-1.)); #385550=DIRECTION('ref_axis',(1.,0.,0.)); #385551=DIRECTION('',(0.,0.,-1.)); #385552=DIRECTION('center_axis',(0.,0.,1.)); #385553=DIRECTION('ref_axis',(1.,0.,0.)); #385554=DIRECTION('center_axis',(0.,0.,1.)); #385555=DIRECTION('ref_axis',(1.,0.,0.)); #385556=DIRECTION('center_axis',(0.,0.,1.)); #385557=DIRECTION('ref_axis',(1.,0.,0.)); #385558=DIRECTION('',(0.,0.,-1.)); #385559=DIRECTION('center_axis',(0.,0.,1.)); #385560=DIRECTION('ref_axis',(1.,0.,0.)); #385561=DIRECTION('center_axis',(0.,0.,1.)); #385562=DIRECTION('ref_axis',(1.,0.,0.)); #385563=DIRECTION('center_axis',(0.,0.,1.)); #385564=DIRECTION('ref_axis',(1.,0.,0.)); #385565=DIRECTION('center_axis',(0.,0.,1.)); #385566=DIRECTION('ref_axis',(1.,0.,0.)); #385567=DIRECTION('center_axis',(0.,0.,-1.)); #385568=DIRECTION('ref_axis',(1.,0.,0.)); #385569=DIRECTION('',(0.,0.,-1.)); #385570=DIRECTION('center_axis',(0.,0.,1.)); #385571=DIRECTION('ref_axis',(1.,0.,0.)); #385572=DIRECTION('center_axis',(0.,0.,1.)); #385573=DIRECTION('ref_axis',(1.,0.,0.)); #385574=DIRECTION('center_axis',(0.,0.,1.)); #385575=DIRECTION('ref_axis',(1.,0.,0.)); #385576=DIRECTION('',(0.,0.,-1.)); #385577=DIRECTION('center_axis',(0.,0.,1.)); #385578=DIRECTION('ref_axis',(1.,0.,0.)); #385579=DIRECTION('center_axis',(0.,0.,1.)); #385580=DIRECTION('ref_axis',(1.,0.,0.)); #385581=DIRECTION('center_axis',(0.,0.,1.)); #385582=DIRECTION('ref_axis',(1.,0.,0.)); #385583=DIRECTION('center_axis',(0.,0.,1.)); #385584=DIRECTION('ref_axis',(1.,0.,0.)); #385585=DIRECTION('center_axis',(0.,0.,-1.)); #385586=DIRECTION('ref_axis',(1.,0.,0.)); #385587=DIRECTION('',(0.,0.,-1.)); #385588=DIRECTION('center_axis',(0.,0.,1.)); #385589=DIRECTION('ref_axis',(1.,0.,0.)); #385590=DIRECTION('center_axis',(0.,0.,1.)); #385591=DIRECTION('ref_axis',(1.,0.,0.)); #385592=DIRECTION('center_axis',(0.,0.,1.)); #385593=DIRECTION('ref_axis',(1.,0.,0.)); #385594=DIRECTION('',(0.,0.,-1.)); #385595=DIRECTION('center_axis',(0.,0.,1.)); #385596=DIRECTION('ref_axis',(1.,0.,0.)); #385597=DIRECTION('center_axis',(0.,0.,1.)); #385598=DIRECTION('ref_axis',(1.,0.,0.)); #385599=DIRECTION('center_axis',(0.,0.,1.)); #385600=DIRECTION('ref_axis',(1.,0.,0.)); #385601=DIRECTION('center_axis',(0.,0.,1.)); #385602=DIRECTION('ref_axis',(1.,0.,0.)); #385603=DIRECTION('center_axis',(0.,0.,-1.)); #385604=DIRECTION('ref_axis',(1.,0.,0.)); #385605=DIRECTION('',(0.,0.,-1.)); #385606=DIRECTION('center_axis',(0.,0.,1.)); #385607=DIRECTION('ref_axis',(1.,0.,0.)); #385608=DIRECTION('center_axis',(0.,0.,1.)); #385609=DIRECTION('ref_axis',(1.,0.,0.)); #385610=DIRECTION('center_axis',(0.,0.,1.)); #385611=DIRECTION('ref_axis',(1.,0.,0.)); #385612=DIRECTION('',(0.,0.,-1.)); #385613=DIRECTION('center_axis',(0.,0.,1.)); #385614=DIRECTION('ref_axis',(1.,0.,0.)); #385615=DIRECTION('center_axis',(0.,0.,1.)); #385616=DIRECTION('ref_axis',(1.,0.,0.)); #385617=DIRECTION('center_axis',(0.,0.,1.)); #385618=DIRECTION('ref_axis',(1.,0.,0.)); #385619=DIRECTION('center_axis',(0.,0.,1.)); #385620=DIRECTION('ref_axis',(1.,0.,0.)); #385621=DIRECTION('center_axis',(0.,0.,-1.)); #385622=DIRECTION('ref_axis',(1.,0.,0.)); #385623=DIRECTION('',(0.,0.,-1.)); #385624=DIRECTION('center_axis',(0.,0.,1.)); #385625=DIRECTION('ref_axis',(1.,0.,0.)); #385626=DIRECTION('center_axis',(0.,0.,1.)); #385627=DIRECTION('ref_axis',(1.,0.,0.)); #385628=DIRECTION('center_axis',(0.,0.,1.)); #385629=DIRECTION('ref_axis',(1.,0.,0.)); #385630=DIRECTION('',(0.,0.,-1.)); #385631=DIRECTION('center_axis',(0.,0.,1.)); #385632=DIRECTION('ref_axis',(1.,0.,0.)); #385633=DIRECTION('center_axis',(0.,0.,1.)); #385634=DIRECTION('ref_axis',(1.,0.,0.)); #385635=DIRECTION('center_axis',(0.,0.,1.)); #385636=DIRECTION('ref_axis',(1.,0.,0.)); #385637=DIRECTION('center_axis',(0.,0.,1.)); #385638=DIRECTION('ref_axis',(1.,0.,0.)); #385639=DIRECTION('center_axis',(0.,0.,-1.)); #385640=DIRECTION('ref_axis',(1.,0.,0.)); #385641=DIRECTION('',(0.,0.,-1.)); #385642=DIRECTION('center_axis',(0.,0.,1.)); #385643=DIRECTION('ref_axis',(1.,0.,0.)); #385644=DIRECTION('center_axis',(0.,0.,1.)); #385645=DIRECTION('ref_axis',(1.,0.,0.)); #385646=DIRECTION('center_axis',(0.,0.,1.)); #385647=DIRECTION('ref_axis',(1.,0.,0.)); #385648=DIRECTION('',(0.,0.,-1.)); #385649=DIRECTION('center_axis',(0.,0.,1.)); #385650=DIRECTION('ref_axis',(1.,0.,0.)); #385651=DIRECTION('center_axis',(0.,0.,1.)); #385652=DIRECTION('ref_axis',(1.,0.,0.)); #385653=DIRECTION('center_axis',(0.,0.,1.)); #385654=DIRECTION('ref_axis',(1.,0.,0.)); #385655=DIRECTION('center_axis',(0.,0.,1.)); #385656=DIRECTION('ref_axis',(1.,0.,0.)); #385657=DIRECTION('center_axis',(0.,0.,-1.)); #385658=DIRECTION('ref_axis',(1.,0.,0.)); #385659=DIRECTION('',(0.,0.,-1.)); #385660=DIRECTION('center_axis',(0.,0.,1.)); #385661=DIRECTION('ref_axis',(1.,0.,0.)); #385662=DIRECTION('center_axis',(0.,0.,1.)); #385663=DIRECTION('ref_axis',(1.,0.,0.)); #385664=DIRECTION('center_axis',(0.,0.,1.)); #385665=DIRECTION('ref_axis',(1.,0.,0.)); #385666=DIRECTION('',(0.,0.,-1.)); #385667=DIRECTION('center_axis',(0.,0.,1.)); #385668=DIRECTION('ref_axis',(1.,0.,0.)); #385669=DIRECTION('center_axis',(0.,0.,1.)); #385670=DIRECTION('ref_axis',(1.,0.,0.)); #385671=DIRECTION('center_axis',(0.,0.,1.)); #385672=DIRECTION('ref_axis',(1.,0.,0.)); #385673=DIRECTION('center_axis',(0.,0.,1.)); #385674=DIRECTION('ref_axis',(1.,0.,0.)); #385675=DIRECTION('center_axis',(0.,0.,-1.)); #385676=DIRECTION('ref_axis',(1.,0.,0.)); #385677=DIRECTION('',(0.,0.,-1.)); #385678=DIRECTION('center_axis',(0.,0.,1.)); #385679=DIRECTION('ref_axis',(1.,0.,0.)); #385680=DIRECTION('center_axis',(0.,0.,1.)); #385681=DIRECTION('ref_axis',(1.,0.,0.)); #385682=DIRECTION('center_axis',(0.,0.,1.)); #385683=DIRECTION('ref_axis',(1.,0.,0.)); #385684=DIRECTION('',(0.,0.,-1.)); #385685=DIRECTION('center_axis',(0.,0.,1.)); #385686=DIRECTION('ref_axis',(1.,0.,0.)); #385687=DIRECTION('center_axis',(0.,0.,1.)); #385688=DIRECTION('ref_axis',(1.,0.,0.)); #385689=DIRECTION('center_axis',(0.,0.,1.)); #385690=DIRECTION('ref_axis',(1.,0.,0.)); #385691=DIRECTION('center_axis',(0.,0.,1.)); #385692=DIRECTION('ref_axis',(1.,0.,0.)); #385693=DIRECTION('center_axis',(0.,0.,-1.)); #385694=DIRECTION('ref_axis',(1.,0.,0.)); #385695=DIRECTION('',(0.,0.,-1.)); #385696=DIRECTION('center_axis',(0.,0.,1.)); #385697=DIRECTION('ref_axis',(1.,0.,0.)); #385698=DIRECTION('center_axis',(0.,0.,1.)); #385699=DIRECTION('ref_axis',(1.,0.,0.)); #385700=DIRECTION('center_axis',(0.,0.,1.)); #385701=DIRECTION('ref_axis',(1.,0.,0.)); #385702=DIRECTION('',(0.,0.,-1.)); #385703=DIRECTION('center_axis',(0.,0.,1.)); #385704=DIRECTION('ref_axis',(1.,0.,0.)); #385705=DIRECTION('center_axis',(0.,0.,1.)); #385706=DIRECTION('ref_axis',(1.,0.,0.)); #385707=DIRECTION('center_axis',(0.,0.,1.)); #385708=DIRECTION('ref_axis',(1.,0.,0.)); #385709=DIRECTION('center_axis',(0.,0.,1.)); #385710=DIRECTION('ref_axis',(1.,0.,0.)); #385711=DIRECTION('center_axis',(0.,0.,-1.)); #385712=DIRECTION('ref_axis',(1.,0.,0.)); #385713=DIRECTION('',(0.,0.,-1.)); #385714=DIRECTION('center_axis',(0.,0.,1.)); #385715=DIRECTION('ref_axis',(1.,0.,0.)); #385716=DIRECTION('center_axis',(0.,0.,1.)); #385717=DIRECTION('ref_axis',(1.,0.,0.)); #385718=DIRECTION('center_axis',(0.,0.,1.)); #385719=DIRECTION('ref_axis',(1.,0.,0.)); #385720=DIRECTION('',(0.,0.,-1.)); #385721=DIRECTION('center_axis',(0.,0.,1.)); #385722=DIRECTION('ref_axis',(1.,0.,0.)); #385723=DIRECTION('center_axis',(0.,0.,1.)); #385724=DIRECTION('ref_axis',(1.,0.,0.)); #385725=DIRECTION('center_axis',(0.,0.,1.)); #385726=DIRECTION('ref_axis',(1.,0.,0.)); #385727=DIRECTION('center_axis',(0.,0.,1.)); #385728=DIRECTION('ref_axis',(1.,0.,0.)); #385729=DIRECTION('center_axis',(0.,0.,-1.)); #385730=DIRECTION('ref_axis',(1.,0.,0.)); #385731=DIRECTION('',(0.,0.,-1.)); #385732=DIRECTION('center_axis',(0.,0.,1.)); #385733=DIRECTION('ref_axis',(1.,0.,0.)); #385734=DIRECTION('center_axis',(0.,0.,1.)); #385735=DIRECTION('ref_axis',(1.,0.,0.)); #385736=DIRECTION('center_axis',(0.,0.,1.)); #385737=DIRECTION('ref_axis',(1.,0.,0.)); #385738=DIRECTION('',(0.,0.,-1.)); #385739=DIRECTION('center_axis',(0.,0.,1.)); #385740=DIRECTION('ref_axis',(1.,0.,0.)); #385741=DIRECTION('center_axis',(0.,0.,1.)); #385742=DIRECTION('ref_axis',(1.,0.,0.)); #385743=DIRECTION('center_axis',(0.,0.,1.)); #385744=DIRECTION('ref_axis',(1.,0.,0.)); #385745=DIRECTION('center_axis',(0.,0.,1.)); #385746=DIRECTION('ref_axis',(1.,0.,0.)); #385747=DIRECTION('center_axis',(0.,0.,-1.)); #385748=DIRECTION('ref_axis',(1.,0.,0.)); #385749=DIRECTION('',(0.,0.,-1.)); #385750=DIRECTION('center_axis',(0.,0.,1.)); #385751=DIRECTION('ref_axis',(1.,0.,0.)); #385752=DIRECTION('center_axis',(0.,0.,1.)); #385753=DIRECTION('ref_axis',(1.,0.,0.)); #385754=DIRECTION('center_axis',(0.,0.,1.)); #385755=DIRECTION('ref_axis',(1.,0.,0.)); #385756=DIRECTION('',(0.,0.,-1.)); #385757=DIRECTION('center_axis',(0.,0.,1.)); #385758=DIRECTION('ref_axis',(1.,0.,0.)); #385759=DIRECTION('center_axis',(0.,0.,1.)); #385760=DIRECTION('ref_axis',(1.,0.,0.)); #385761=DIRECTION('center_axis',(0.,0.,1.)); #385762=DIRECTION('ref_axis',(1.,0.,0.)); #385763=DIRECTION('center_axis',(0.,0.,1.)); #385764=DIRECTION('ref_axis',(1.,0.,0.)); #385765=DIRECTION('center_axis',(0.,0.,-1.)); #385766=DIRECTION('ref_axis',(1.,0.,0.)); #385767=DIRECTION('',(0.,0.,-1.)); #385768=DIRECTION('center_axis',(0.,0.,1.)); #385769=DIRECTION('ref_axis',(1.,0.,0.)); #385770=DIRECTION('center_axis',(0.,0.,1.)); #385771=DIRECTION('ref_axis',(1.,0.,0.)); #385772=DIRECTION('center_axis',(0.,0.,1.)); #385773=DIRECTION('ref_axis',(1.,0.,0.)); #385774=DIRECTION('',(0.,0.,-1.)); #385775=DIRECTION('center_axis',(0.,0.,1.)); #385776=DIRECTION('ref_axis',(1.,0.,0.)); #385777=DIRECTION('center_axis',(0.,0.,1.)); #385778=DIRECTION('ref_axis',(1.,0.,0.)); #385779=DIRECTION('center_axis',(0.,0.,1.)); #385780=DIRECTION('ref_axis',(1.,0.,0.)); #385781=DIRECTION('center_axis',(0.,0.,1.)); #385782=DIRECTION('ref_axis',(1.,0.,0.)); #385783=DIRECTION('center_axis',(0.,0.,-1.)); #385784=DIRECTION('ref_axis',(1.,0.,0.)); #385785=DIRECTION('',(0.,0.,-1.)); #385786=DIRECTION('center_axis',(0.,0.,1.)); #385787=DIRECTION('ref_axis',(1.,0.,0.)); #385788=DIRECTION('center_axis',(0.,0.,1.)); #385789=DIRECTION('ref_axis',(1.,0.,0.)); #385790=DIRECTION('center_axis',(0.,0.,1.)); #385791=DIRECTION('ref_axis',(1.,0.,0.)); #385792=DIRECTION('',(0.,0.,-1.)); #385793=DIRECTION('center_axis',(0.,0.,1.)); #385794=DIRECTION('ref_axis',(1.,0.,0.)); #385795=DIRECTION('center_axis',(0.,0.,1.)); #385796=DIRECTION('ref_axis',(1.,0.,0.)); #385797=DIRECTION('center_axis',(0.,0.,1.)); #385798=DIRECTION('ref_axis',(1.,0.,0.)); #385799=DIRECTION('center_axis',(0.,0.,1.)); #385800=DIRECTION('ref_axis',(1.,0.,0.)); #385801=DIRECTION('center_axis',(0.,0.,-1.)); #385802=DIRECTION('ref_axis',(1.,0.,0.)); #385803=DIRECTION('',(0.,0.,-1.)); #385804=DIRECTION('center_axis',(0.,0.,1.)); #385805=DIRECTION('ref_axis',(1.,0.,0.)); #385806=DIRECTION('center_axis',(0.,0.,1.)); #385807=DIRECTION('ref_axis',(1.,0.,0.)); #385808=DIRECTION('center_axis',(0.,0.,1.)); #385809=DIRECTION('ref_axis',(1.,0.,0.)); #385810=DIRECTION('',(0.,0.,-1.)); #385811=DIRECTION('center_axis',(0.,0.,1.)); #385812=DIRECTION('ref_axis',(1.,0.,0.)); #385813=DIRECTION('center_axis',(0.,0.,1.)); #385814=DIRECTION('ref_axis',(1.,0.,0.)); #385815=DIRECTION('center_axis',(0.,0.,1.)); #385816=DIRECTION('ref_axis',(1.,0.,0.)); #385817=DIRECTION('center_axis',(0.,0.,1.)); #385818=DIRECTION('ref_axis',(1.,0.,0.)); #385819=DIRECTION('center_axis',(0.,0.,-1.)); #385820=DIRECTION('ref_axis',(1.,0.,0.)); #385821=DIRECTION('',(0.,0.,-1.)); #385822=DIRECTION('center_axis',(0.,0.,1.)); #385823=DIRECTION('ref_axis',(1.,0.,0.)); #385824=DIRECTION('center_axis',(0.,0.,1.)); #385825=DIRECTION('ref_axis',(1.,0.,0.)); #385826=DIRECTION('center_axis',(0.,0.,1.)); #385827=DIRECTION('ref_axis',(1.,0.,0.)); #385828=DIRECTION('',(0.,0.,-1.)); #385829=DIRECTION('center_axis',(0.,0.,1.)); #385830=DIRECTION('ref_axis',(1.,0.,0.)); #385831=DIRECTION('center_axis',(0.,0.,1.)); #385832=DIRECTION('ref_axis',(1.,0.,0.)); #385833=DIRECTION('center_axis',(0.,0.,1.)); #385834=DIRECTION('ref_axis',(1.,0.,0.)); #385835=DIRECTION('center_axis',(0.,0.,1.)); #385836=DIRECTION('ref_axis',(1.,0.,0.)); #385837=DIRECTION('center_axis',(0.,0.,-1.)); #385838=DIRECTION('ref_axis',(1.,0.,0.)); #385839=DIRECTION('',(0.,0.,-1.)); #385840=DIRECTION('center_axis',(0.,0.,1.)); #385841=DIRECTION('ref_axis',(1.,0.,0.)); #385842=DIRECTION('center_axis',(0.,0.,1.)); #385843=DIRECTION('ref_axis',(1.,0.,0.)); #385844=DIRECTION('center_axis',(0.,0.,1.)); #385845=DIRECTION('ref_axis',(1.,0.,0.)); #385846=DIRECTION('',(0.,0.,-1.)); #385847=DIRECTION('center_axis',(0.,0.,1.)); #385848=DIRECTION('ref_axis',(1.,0.,0.)); #385849=DIRECTION('center_axis',(0.,0.,1.)); #385850=DIRECTION('ref_axis',(1.,0.,0.)); #385851=DIRECTION('center_axis',(0.,0.,1.)); #385852=DIRECTION('ref_axis',(1.,0.,0.)); #385853=DIRECTION('center_axis',(0.,0.,1.)); #385854=DIRECTION('ref_axis',(1.,0.,0.)); #385855=DIRECTION('center_axis',(0.,0.,-1.)); #385856=DIRECTION('ref_axis',(1.,0.,0.)); #385857=DIRECTION('',(0.,0.,-1.)); #385858=DIRECTION('center_axis',(0.,0.,1.)); #385859=DIRECTION('ref_axis',(1.,0.,0.)); #385860=DIRECTION('center_axis',(0.,0.,1.)); #385861=DIRECTION('ref_axis',(1.,0.,0.)); #385862=DIRECTION('center_axis',(0.,0.,1.)); #385863=DIRECTION('ref_axis',(1.,0.,0.)); #385864=DIRECTION('',(0.,0.,-1.)); #385865=DIRECTION('center_axis',(0.,0.,1.)); #385866=DIRECTION('ref_axis',(1.,0.,0.)); #385867=DIRECTION('center_axis',(0.,0.,1.)); #385868=DIRECTION('ref_axis',(1.,0.,0.)); #385869=DIRECTION('center_axis',(0.,0.,1.)); #385870=DIRECTION('ref_axis',(1.,0.,0.)); #385871=DIRECTION('center_axis',(0.,0.,1.)); #385872=DIRECTION('ref_axis',(1.,0.,0.)); #385873=DIRECTION('center_axis',(0.,0.,-1.)); #385874=DIRECTION('ref_axis',(1.,0.,0.)); #385875=DIRECTION('',(0.,0.,-1.)); #385876=DIRECTION('center_axis',(0.,0.,1.)); #385877=DIRECTION('ref_axis',(1.,0.,0.)); #385878=DIRECTION('center_axis',(0.,0.,1.)); #385879=DIRECTION('ref_axis',(1.,0.,0.)); #385880=DIRECTION('center_axis',(0.,0.,1.)); #385881=DIRECTION('ref_axis',(1.,0.,0.)); #385882=DIRECTION('',(0.,0.,-1.)); #385883=DIRECTION('center_axis',(0.,0.,1.)); #385884=DIRECTION('ref_axis',(1.,0.,0.)); #385885=DIRECTION('center_axis',(0.,0.,1.)); #385886=DIRECTION('ref_axis',(1.,0.,0.)); #385887=DIRECTION('center_axis',(0.,0.,1.)); #385888=DIRECTION('ref_axis',(1.,0.,0.)); #385889=DIRECTION('center_axis',(0.,0.,1.)); #385890=DIRECTION('ref_axis',(1.,0.,0.)); #385891=DIRECTION('center_axis',(0.,0.,-1.)); #385892=DIRECTION('ref_axis',(1.,0.,0.)); #385893=DIRECTION('',(0.,0.,-1.)); #385894=DIRECTION('center_axis',(0.,0.,1.)); #385895=DIRECTION('ref_axis',(1.,0.,0.)); #385896=DIRECTION('center_axis',(0.,0.,1.)); #385897=DIRECTION('ref_axis',(1.,0.,0.)); #385898=DIRECTION('center_axis',(0.,0.,1.)); #385899=DIRECTION('ref_axis',(1.,0.,0.)); #385900=DIRECTION('',(0.,0.,-1.)); #385901=DIRECTION('center_axis',(0.,0.,1.)); #385902=DIRECTION('ref_axis',(1.,0.,0.)); #385903=DIRECTION('center_axis',(0.,0.,1.)); #385904=DIRECTION('ref_axis',(1.,0.,0.)); #385905=DIRECTION('center_axis',(0.,0.,1.)); #385906=DIRECTION('ref_axis',(1.,0.,0.)); #385907=DIRECTION('center_axis',(0.,0.,1.)); #385908=DIRECTION('ref_axis',(1.,0.,0.)); #385909=DIRECTION('center_axis',(0.,0.,-1.)); #385910=DIRECTION('ref_axis',(1.,0.,0.)); #385911=DIRECTION('',(0.,0.,-1.)); #385912=DIRECTION('center_axis',(0.,0.,1.)); #385913=DIRECTION('ref_axis',(1.,0.,0.)); #385914=DIRECTION('center_axis',(0.,0.,1.)); #385915=DIRECTION('ref_axis',(1.,0.,0.)); #385916=DIRECTION('center_axis',(0.,0.,1.)); #385917=DIRECTION('ref_axis',(1.,0.,0.)); #385918=DIRECTION('',(0.,0.,-1.)); #385919=DIRECTION('center_axis',(0.,0.,1.)); #385920=DIRECTION('ref_axis',(1.,0.,0.)); #385921=DIRECTION('center_axis',(0.,0.,1.)); #385922=DIRECTION('ref_axis',(1.,0.,0.)); #385923=DIRECTION('center_axis',(0.,0.,1.)); #385924=DIRECTION('ref_axis',(1.,0.,0.)); #385925=DIRECTION('center_axis',(0.,0.,1.)); #385926=DIRECTION('ref_axis',(1.,0.,0.)); #385927=DIRECTION('center_axis',(0.,0.,-1.)); #385928=DIRECTION('ref_axis',(1.,0.,0.)); #385929=DIRECTION('',(0.,0.,-1.)); #385930=DIRECTION('center_axis',(0.,0.,1.)); #385931=DIRECTION('ref_axis',(1.,0.,0.)); #385932=DIRECTION('center_axis',(0.,0.,1.)); #385933=DIRECTION('ref_axis',(1.,0.,0.)); #385934=DIRECTION('center_axis',(0.,0.,1.)); #385935=DIRECTION('ref_axis',(1.,0.,0.)); #385936=DIRECTION('',(0.,0.,-1.)); #385937=DIRECTION('center_axis',(0.,0.,1.)); #385938=DIRECTION('ref_axis',(1.,0.,0.)); #385939=DIRECTION('center_axis',(0.,0.,1.)); #385940=DIRECTION('ref_axis',(1.,0.,0.)); #385941=DIRECTION('center_axis',(0.,0.,1.)); #385942=DIRECTION('ref_axis',(1.,0.,0.)); #385943=DIRECTION('center_axis',(0.,0.,1.)); #385944=DIRECTION('ref_axis',(1.,0.,0.)); #385945=DIRECTION('center_axis',(0.,0.,-1.)); #385946=DIRECTION('ref_axis',(1.,0.,0.)); #385947=DIRECTION('',(0.,0.,-1.)); #385948=DIRECTION('center_axis',(0.,0.,1.)); #385949=DIRECTION('ref_axis',(1.,0.,0.)); #385950=DIRECTION('center_axis',(0.,0.,1.)); #385951=DIRECTION('ref_axis',(1.,0.,0.)); #385952=DIRECTION('center_axis',(0.,0.,1.)); #385953=DIRECTION('ref_axis',(1.,0.,0.)); #385954=DIRECTION('',(0.,0.,-1.)); #385955=DIRECTION('center_axis',(0.,0.,1.)); #385956=DIRECTION('ref_axis',(1.,0.,0.)); #385957=DIRECTION('center_axis',(0.,0.,1.)); #385958=DIRECTION('ref_axis',(1.,0.,0.)); #385959=DIRECTION('center_axis',(0.,0.,1.)); #385960=DIRECTION('ref_axis',(1.,0.,0.)); #385961=DIRECTION('center_axis',(0.,0.,1.)); #385962=DIRECTION('ref_axis',(1.,0.,0.)); #385963=DIRECTION('center_axis',(0.,0.,-1.)); #385964=DIRECTION('ref_axis',(1.,0.,0.)); #385965=DIRECTION('',(0.,0.,-1.)); #385966=DIRECTION('center_axis',(0.,0.,1.)); #385967=DIRECTION('ref_axis',(1.,0.,0.)); #385968=DIRECTION('center_axis',(0.,0.,1.)); #385969=DIRECTION('ref_axis',(1.,0.,0.)); #385970=DIRECTION('center_axis',(0.,0.,1.)); #385971=DIRECTION('ref_axis',(1.,0.,0.)); #385972=DIRECTION('',(0.,0.,-1.)); #385973=DIRECTION('center_axis',(0.,0.,1.)); #385974=DIRECTION('ref_axis',(1.,0.,0.)); #385975=DIRECTION('center_axis',(0.,0.,1.)); #385976=DIRECTION('ref_axis',(1.,0.,0.)); #385977=DIRECTION('center_axis',(0.,0.,1.)); #385978=DIRECTION('ref_axis',(1.,0.,0.)); #385979=DIRECTION('center_axis',(0.,0.,1.)); #385980=DIRECTION('ref_axis',(1.,0.,0.)); #385981=DIRECTION('center_axis',(0.,0.,-1.)); #385982=DIRECTION('ref_axis',(1.,0.,0.)); #385983=DIRECTION('',(0.,0.,-1.)); #385984=DIRECTION('center_axis',(0.,0.,1.)); #385985=DIRECTION('ref_axis',(1.,0.,0.)); #385986=DIRECTION('center_axis',(0.,0.,1.)); #385987=DIRECTION('ref_axis',(1.,0.,0.)); #385988=DIRECTION('center_axis',(0.,0.,1.)); #385989=DIRECTION('ref_axis',(1.,0.,0.)); #385990=DIRECTION('',(0.,0.,-1.)); #385991=DIRECTION('center_axis',(0.,0.,1.)); #385992=DIRECTION('ref_axis',(1.,0.,0.)); #385993=DIRECTION('center_axis',(0.,0.,1.)); #385994=DIRECTION('ref_axis',(1.,0.,0.)); #385995=DIRECTION('center_axis',(0.,0.,1.)); #385996=DIRECTION('ref_axis',(1.,0.,0.)); #385997=DIRECTION('center_axis',(0.,0.,1.)); #385998=DIRECTION('ref_axis',(1.,0.,0.)); #385999=DIRECTION('center_axis',(0.,0.,-1.)); #386000=DIRECTION('ref_axis',(1.,0.,0.)); #386001=DIRECTION('',(0.,0.,-1.)); #386002=DIRECTION('center_axis',(0.,0.,1.)); #386003=DIRECTION('ref_axis',(1.,0.,0.)); #386004=DIRECTION('center_axis',(0.,0.,1.)); #386005=DIRECTION('ref_axis',(1.,0.,0.)); #386006=DIRECTION('center_axis',(0.,0.,1.)); #386007=DIRECTION('ref_axis',(1.,0.,0.)); #386008=DIRECTION('',(0.,0.,-1.)); #386009=DIRECTION('center_axis',(0.,0.,1.)); #386010=DIRECTION('ref_axis',(1.,0.,0.)); #386011=DIRECTION('center_axis',(0.,0.,1.)); #386012=DIRECTION('ref_axis',(1.,0.,0.)); #386013=DIRECTION('center_axis',(0.,0.,1.)); #386014=DIRECTION('ref_axis',(1.,0.,0.)); #386015=DIRECTION('center_axis',(0.,0.,1.)); #386016=DIRECTION('ref_axis',(1.,0.,0.)); #386017=DIRECTION('center_axis',(0.,0.,-1.)); #386018=DIRECTION('ref_axis',(1.,0.,0.)); #386019=DIRECTION('',(0.,0.,-1.)); #386020=DIRECTION('center_axis',(0.,0.,1.)); #386021=DIRECTION('ref_axis',(1.,0.,0.)); #386022=DIRECTION('center_axis',(0.,0.,1.)); #386023=DIRECTION('ref_axis',(1.,0.,0.)); #386024=DIRECTION('center_axis',(0.,0.,1.)); #386025=DIRECTION('ref_axis',(1.,0.,0.)); #386026=DIRECTION('',(0.,0.,-1.)); #386027=DIRECTION('center_axis',(0.,0.,1.)); #386028=DIRECTION('ref_axis',(1.,0.,0.)); #386029=DIRECTION('center_axis',(0.,0.,1.)); #386030=DIRECTION('ref_axis',(1.,0.,0.)); #386031=DIRECTION('center_axis',(0.,0.,1.)); #386032=DIRECTION('ref_axis',(1.,0.,0.)); #386033=DIRECTION('center_axis',(0.,0.,1.)); #386034=DIRECTION('ref_axis',(1.,0.,0.)); #386035=DIRECTION('center_axis',(0.,0.,-1.)); #386036=DIRECTION('ref_axis',(1.,0.,0.)); #386037=DIRECTION('',(0.,0.,-1.)); #386038=DIRECTION('center_axis',(0.,0.,1.)); #386039=DIRECTION('ref_axis',(1.,0.,0.)); #386040=DIRECTION('center_axis',(0.,0.,1.)); #386041=DIRECTION('ref_axis',(1.,0.,0.)); #386042=DIRECTION('center_axis',(0.,0.,1.)); #386043=DIRECTION('ref_axis',(1.,0.,0.)); #386044=DIRECTION('',(0.,0.,-1.)); #386045=DIRECTION('center_axis',(0.,0.,1.)); #386046=DIRECTION('ref_axis',(1.,0.,0.)); #386047=DIRECTION('center_axis',(0.,0.,1.)); #386048=DIRECTION('ref_axis',(1.,0.,0.)); #386049=DIRECTION('center_axis',(0.,0.,1.)); #386050=DIRECTION('ref_axis',(1.,0.,0.)); #386051=DIRECTION('center_axis',(0.,0.,1.)); #386052=DIRECTION('ref_axis',(1.,0.,0.)); #386053=DIRECTION('center_axis',(0.,0.,-1.)); #386054=DIRECTION('ref_axis',(1.,0.,0.)); #386055=DIRECTION('',(0.,0.,-1.)); #386056=DIRECTION('center_axis',(0.,0.,1.)); #386057=DIRECTION('ref_axis',(1.,0.,0.)); #386058=DIRECTION('center_axis',(0.,0.,1.)); #386059=DIRECTION('ref_axis',(1.,0.,0.)); #386060=DIRECTION('center_axis',(0.,0.,1.)); #386061=DIRECTION('ref_axis',(1.,0.,0.)); #386062=DIRECTION('',(0.,0.,-1.)); #386063=DIRECTION('center_axis',(0.,0.,1.)); #386064=DIRECTION('ref_axis',(1.,0.,0.)); #386065=DIRECTION('center_axis',(0.,0.,1.)); #386066=DIRECTION('ref_axis',(1.,0.,0.)); #386067=DIRECTION('center_axis',(0.,0.,1.)); #386068=DIRECTION('ref_axis',(1.,0.,0.)); #386069=DIRECTION('center_axis',(0.,0.,1.)); #386070=DIRECTION('ref_axis',(1.,0.,0.)); #386071=DIRECTION('center_axis',(0.,0.,-1.)); #386072=DIRECTION('ref_axis',(1.,0.,0.)); #386073=DIRECTION('',(0.,0.,-1.)); #386074=DIRECTION('center_axis',(0.,0.,1.)); #386075=DIRECTION('ref_axis',(1.,0.,0.)); #386076=DIRECTION('center_axis',(0.,0.,1.)); #386077=DIRECTION('ref_axis',(1.,0.,0.)); #386078=DIRECTION('center_axis',(0.,0.,1.)); #386079=DIRECTION('ref_axis',(1.,0.,0.)); #386080=DIRECTION('',(0.,0.,-1.)); #386081=DIRECTION('center_axis',(0.,0.,1.)); #386082=DIRECTION('ref_axis',(1.,0.,0.)); #386083=DIRECTION('center_axis',(0.,0.,1.)); #386084=DIRECTION('ref_axis',(1.,0.,0.)); #386085=DIRECTION('center_axis',(0.,0.,1.)); #386086=DIRECTION('ref_axis',(1.,0.,0.)); #386087=DIRECTION('center_axis',(0.,0.,1.)); #386088=DIRECTION('ref_axis',(1.,0.,0.)); #386089=DIRECTION('center_axis',(0.,0.,-1.)); #386090=DIRECTION('ref_axis',(1.,0.,0.)); #386091=DIRECTION('',(0.,0.,-1.)); #386092=DIRECTION('center_axis',(0.,0.,1.)); #386093=DIRECTION('ref_axis',(1.,0.,0.)); #386094=DIRECTION('center_axis',(0.,0.,1.)); #386095=DIRECTION('ref_axis',(1.,0.,0.)); #386096=DIRECTION('center_axis',(0.,0.,1.)); #386097=DIRECTION('ref_axis',(1.,0.,0.)); #386098=DIRECTION('',(0.,0.,-1.)); #386099=DIRECTION('center_axis',(0.,0.,1.)); #386100=DIRECTION('ref_axis',(1.,0.,0.)); #386101=DIRECTION('center_axis',(0.,0.,1.)); #386102=DIRECTION('ref_axis',(1.,0.,0.)); #386103=DIRECTION('center_axis',(0.,0.,1.)); #386104=DIRECTION('ref_axis',(1.,0.,0.)); #386105=DIRECTION('center_axis',(0.,0.,1.)); #386106=DIRECTION('ref_axis',(1.,0.,0.)); #386107=DIRECTION('center_axis',(0.,0.,-1.)); #386108=DIRECTION('ref_axis',(1.,0.,0.)); #386109=DIRECTION('',(0.,0.,-1.)); #386110=DIRECTION('center_axis',(0.,0.,1.)); #386111=DIRECTION('ref_axis',(1.,0.,0.)); #386112=DIRECTION('center_axis',(0.,0.,1.)); #386113=DIRECTION('ref_axis',(1.,0.,0.)); #386114=DIRECTION('center_axis',(0.,0.,1.)); #386115=DIRECTION('ref_axis',(1.,0.,0.)); #386116=DIRECTION('',(0.,0.,-1.)); #386117=DIRECTION('center_axis',(0.,0.,1.)); #386118=DIRECTION('ref_axis',(1.,0.,0.)); #386119=DIRECTION('center_axis',(0.,0.,1.)); #386120=DIRECTION('ref_axis',(1.,0.,0.)); #386121=DIRECTION('center_axis',(0.,0.,1.)); #386122=DIRECTION('ref_axis',(1.,0.,0.)); #386123=DIRECTION('center_axis',(0.,0.,1.)); #386124=DIRECTION('ref_axis',(1.,0.,0.)); #386125=DIRECTION('center_axis',(0.,0.,-1.)); #386126=DIRECTION('ref_axis',(1.,0.,0.)); #386127=DIRECTION('',(0.,0.,-1.)); #386128=DIRECTION('center_axis',(0.,0.,1.)); #386129=DIRECTION('ref_axis',(1.,0.,0.)); #386130=DIRECTION('center_axis',(0.,0.,1.)); #386131=DIRECTION('ref_axis',(1.,0.,0.)); #386132=DIRECTION('center_axis',(0.,0.,1.)); #386133=DIRECTION('ref_axis',(1.,0.,0.)); #386134=DIRECTION('',(0.,0.,-1.)); #386135=DIRECTION('center_axis',(0.,0.,1.)); #386136=DIRECTION('ref_axis',(1.,0.,0.)); #386137=DIRECTION('center_axis',(0.,0.,1.)); #386138=DIRECTION('ref_axis',(1.,0.,0.)); #386139=DIRECTION('center_axis',(0.,0.,1.)); #386140=DIRECTION('ref_axis',(1.,0.,0.)); #386141=DIRECTION('center_axis',(0.,0.,1.)); #386142=DIRECTION('ref_axis',(1.,0.,0.)); #386143=DIRECTION('center_axis',(0.,0.,-1.)); #386144=DIRECTION('ref_axis',(1.,0.,0.)); #386145=DIRECTION('',(0.,0.,-1.)); #386146=DIRECTION('center_axis',(0.,0.,1.)); #386147=DIRECTION('ref_axis',(1.,0.,0.)); #386148=DIRECTION('center_axis',(0.,0.,1.)); #386149=DIRECTION('ref_axis',(1.,0.,0.)); #386150=DIRECTION('center_axis',(0.,0.,1.)); #386151=DIRECTION('ref_axis',(1.,0.,0.)); #386152=DIRECTION('',(0.,0.,-1.)); #386153=DIRECTION('center_axis',(0.,0.,1.)); #386154=DIRECTION('ref_axis',(1.,0.,0.)); #386155=DIRECTION('center_axis',(0.,0.,1.)); #386156=DIRECTION('ref_axis',(1.,0.,0.)); #386157=DIRECTION('center_axis',(0.,0.,1.)); #386158=DIRECTION('ref_axis',(1.,0.,0.)); #386159=DIRECTION('center_axis',(0.,0.,1.)); #386160=DIRECTION('ref_axis',(1.,0.,0.)); #386161=DIRECTION('center_axis',(0.,0.,-1.)); #386162=DIRECTION('ref_axis',(1.,0.,0.)); #386163=DIRECTION('',(0.,0.,-1.)); #386164=DIRECTION('center_axis',(0.,0.,1.)); #386165=DIRECTION('ref_axis',(1.,0.,0.)); #386166=DIRECTION('center_axis',(0.,0.,1.)); #386167=DIRECTION('ref_axis',(1.,0.,0.)); #386168=DIRECTION('center_axis',(0.,0.,1.)); #386169=DIRECTION('ref_axis',(1.,0.,0.)); #386170=DIRECTION('',(0.,0.,-1.)); #386171=DIRECTION('center_axis',(0.,0.,1.)); #386172=DIRECTION('ref_axis',(1.,0.,0.)); #386173=DIRECTION('center_axis',(0.,0.,1.)); #386174=DIRECTION('ref_axis',(1.,0.,0.)); #386175=DIRECTION('center_axis',(0.,0.,1.)); #386176=DIRECTION('ref_axis',(1.,0.,0.)); #386177=DIRECTION('center_axis',(0.,0.,1.)); #386178=DIRECTION('ref_axis',(1.,0.,0.)); #386179=DIRECTION('center_axis',(0.,0.,-1.)); #386180=DIRECTION('ref_axis',(1.,0.,0.)); #386181=DIRECTION('',(0.,0.,-1.)); #386182=DIRECTION('center_axis',(0.,0.,1.)); #386183=DIRECTION('ref_axis',(1.,0.,0.)); #386184=DIRECTION('center_axis',(0.,0.,1.)); #386185=DIRECTION('ref_axis',(1.,0.,0.)); #386186=DIRECTION('center_axis',(0.,0.,1.)); #386187=DIRECTION('ref_axis',(1.,0.,0.)); #386188=DIRECTION('',(0.,0.,-1.)); #386189=DIRECTION('center_axis',(0.,0.,1.)); #386190=DIRECTION('ref_axis',(1.,0.,0.)); #386191=DIRECTION('center_axis',(0.,0.,1.)); #386192=DIRECTION('ref_axis',(1.,0.,0.)); #386193=DIRECTION('center_axis',(0.,0.,1.)); #386194=DIRECTION('ref_axis',(1.,0.,0.)); #386195=DIRECTION('center_axis',(0.,0.,1.)); #386196=DIRECTION('ref_axis',(1.,0.,0.)); #386197=DIRECTION('center_axis',(0.,0.,-1.)); #386198=DIRECTION('ref_axis',(1.,0.,0.)); #386199=DIRECTION('',(0.,0.,-1.)); #386200=DIRECTION('center_axis',(0.,0.,1.)); #386201=DIRECTION('ref_axis',(1.,0.,0.)); #386202=DIRECTION('center_axis',(0.,0.,1.)); #386203=DIRECTION('ref_axis',(1.,0.,0.)); #386204=DIRECTION('center_axis',(0.,0.,1.)); #386205=DIRECTION('ref_axis',(1.,0.,0.)); #386206=DIRECTION('',(0.,0.,-1.)); #386207=DIRECTION('center_axis',(0.,0.,1.)); #386208=DIRECTION('ref_axis',(1.,0.,0.)); #386209=DIRECTION('center_axis',(0.,0.,1.)); #386210=DIRECTION('ref_axis',(1.,0.,0.)); #386211=DIRECTION('center_axis',(0.,0.,1.)); #386212=DIRECTION('ref_axis',(1.,0.,0.)); #386213=DIRECTION('center_axis',(0.,0.,1.)); #386214=DIRECTION('ref_axis',(1.,0.,0.)); #386215=DIRECTION('center_axis',(0.,0.,-1.)); #386216=DIRECTION('ref_axis',(1.,0.,0.)); #386217=DIRECTION('',(0.,0.,-1.)); #386218=DIRECTION('center_axis',(0.,0.,1.)); #386219=DIRECTION('ref_axis',(1.,0.,0.)); #386220=DIRECTION('center_axis',(0.,0.,1.)); #386221=DIRECTION('ref_axis',(1.,0.,0.)); #386222=DIRECTION('center_axis',(0.,0.,1.)); #386223=DIRECTION('ref_axis',(1.,0.,0.)); #386224=DIRECTION('',(0.,0.,-1.)); #386225=DIRECTION('center_axis',(0.,0.,1.)); #386226=DIRECTION('ref_axis',(1.,0.,0.)); #386227=DIRECTION('center_axis',(0.,0.,1.)); #386228=DIRECTION('ref_axis',(1.,0.,0.)); #386229=DIRECTION('center_axis',(0.,0.,1.)); #386230=DIRECTION('ref_axis',(1.,0.,0.)); #386231=DIRECTION('center_axis',(0.,0.,1.)); #386232=DIRECTION('ref_axis',(1.,0.,0.)); #386233=DIRECTION('center_axis',(0.,0.,-1.)); #386234=DIRECTION('ref_axis',(1.,0.,0.)); #386235=DIRECTION('',(0.,0.,-1.)); #386236=DIRECTION('center_axis',(0.,0.,1.)); #386237=DIRECTION('ref_axis',(1.,0.,0.)); #386238=DIRECTION('center_axis',(0.,0.,1.)); #386239=DIRECTION('ref_axis',(1.,0.,0.)); #386240=DIRECTION('center_axis',(0.,0.,1.)); #386241=DIRECTION('ref_axis',(1.,0.,0.)); #386242=DIRECTION('',(0.,0.,-1.)); #386243=DIRECTION('center_axis',(0.,0.,1.)); #386244=DIRECTION('ref_axis',(1.,0.,0.)); #386245=DIRECTION('center_axis',(0.,0.,1.)); #386246=DIRECTION('ref_axis',(1.,0.,0.)); #386247=DIRECTION('center_axis',(0.,0.,1.)); #386248=DIRECTION('ref_axis',(1.,0.,0.)); #386249=DIRECTION('center_axis',(0.,0.,1.)); #386250=DIRECTION('ref_axis',(1.,0.,0.)); #386251=DIRECTION('center_axis',(0.,0.,-1.)); #386252=DIRECTION('ref_axis',(1.,0.,0.)); #386253=DIRECTION('',(0.,0.,-1.)); #386254=DIRECTION('center_axis',(0.,0.,1.)); #386255=DIRECTION('ref_axis',(1.,0.,0.)); #386256=DIRECTION('center_axis',(0.,0.,1.)); #386257=DIRECTION('ref_axis',(1.,0.,0.)); #386258=DIRECTION('center_axis',(0.,0.,1.)); #386259=DIRECTION('ref_axis',(1.,0.,0.)); #386260=DIRECTION('',(0.,0.,-1.)); #386261=DIRECTION('center_axis',(0.,0.,1.)); #386262=DIRECTION('ref_axis',(1.,0.,0.)); #386263=DIRECTION('center_axis',(0.,0.,1.)); #386264=DIRECTION('ref_axis',(1.,0.,0.)); #386265=DIRECTION('center_axis',(0.,0.,1.)); #386266=DIRECTION('ref_axis',(1.,0.,0.)); #386267=DIRECTION('center_axis',(0.,0.,1.)); #386268=DIRECTION('ref_axis',(1.,0.,0.)); #386269=DIRECTION('center_axis',(0.,0.,-1.)); #386270=DIRECTION('ref_axis',(1.,0.,0.)); #386271=DIRECTION('',(0.,0.,-1.)); #386272=DIRECTION('center_axis',(0.,0.,1.)); #386273=DIRECTION('ref_axis',(1.,0.,0.)); #386274=DIRECTION('center_axis',(0.,0.,1.)); #386275=DIRECTION('ref_axis',(1.,0.,0.)); #386276=DIRECTION('center_axis',(0.,0.,1.)); #386277=DIRECTION('ref_axis',(1.,0.,0.)); #386278=DIRECTION('',(0.,0.,-1.)); #386279=DIRECTION('center_axis',(0.,0.,1.)); #386280=DIRECTION('ref_axis',(1.,0.,0.)); #386281=DIRECTION('center_axis',(0.,0.,1.)); #386282=DIRECTION('ref_axis',(1.,0.,0.)); #386283=DIRECTION('center_axis',(0.,0.,1.)); #386284=DIRECTION('ref_axis',(1.,0.,0.)); #386285=DIRECTION('center_axis',(0.,0.,1.)); #386286=DIRECTION('ref_axis',(1.,0.,0.)); #386287=DIRECTION('center_axis',(0.,0.,-1.)); #386288=DIRECTION('ref_axis',(1.,0.,0.)); #386289=DIRECTION('',(0.,0.,-1.)); #386290=DIRECTION('center_axis',(0.,0.,1.)); #386291=DIRECTION('ref_axis',(1.,0.,0.)); #386292=DIRECTION('center_axis',(0.,0.,1.)); #386293=DIRECTION('ref_axis',(1.,0.,0.)); #386294=DIRECTION('center_axis',(0.,0.,1.)); #386295=DIRECTION('ref_axis',(1.,0.,0.)); #386296=DIRECTION('',(0.,0.,-1.)); #386297=DIRECTION('center_axis',(0.,0.,1.)); #386298=DIRECTION('ref_axis',(1.,0.,0.)); #386299=DIRECTION('center_axis',(0.,0.,1.)); #386300=DIRECTION('ref_axis',(1.,0.,0.)); #386301=DIRECTION('center_axis',(0.,0.,1.)); #386302=DIRECTION('ref_axis',(1.,0.,0.)); #386303=DIRECTION('center_axis',(0.,0.,1.)); #386304=DIRECTION('ref_axis',(1.,0.,0.)); #386305=DIRECTION('center_axis',(0.,0.,-1.)); #386306=DIRECTION('ref_axis',(1.,0.,0.)); #386307=DIRECTION('',(0.,0.,-1.)); #386308=DIRECTION('center_axis',(0.,0.,1.)); #386309=DIRECTION('ref_axis',(1.,0.,0.)); #386310=DIRECTION('center_axis',(0.,0.,1.)); #386311=DIRECTION('ref_axis',(1.,0.,0.)); #386312=DIRECTION('center_axis',(0.,0.,1.)); #386313=DIRECTION('ref_axis',(1.,0.,0.)); #386314=DIRECTION('',(0.,0.,-1.)); #386315=DIRECTION('center_axis',(0.,0.,1.)); #386316=DIRECTION('ref_axis',(1.,0.,0.)); #386317=DIRECTION('center_axis',(0.,0.,1.)); #386318=DIRECTION('ref_axis',(1.,0.,0.)); #386319=DIRECTION('center_axis',(0.,0.,1.)); #386320=DIRECTION('ref_axis',(1.,0.,0.)); #386321=DIRECTION('center_axis',(0.,0.,1.)); #386322=DIRECTION('ref_axis',(1.,0.,0.)); #386323=DIRECTION('center_axis',(0.,0.,-1.)); #386324=DIRECTION('ref_axis',(1.,0.,0.)); #386325=DIRECTION('',(0.,0.,-1.)); #386326=DIRECTION('center_axis',(0.,0.,1.)); #386327=DIRECTION('ref_axis',(1.,0.,0.)); #386328=DIRECTION('center_axis',(0.,0.,1.)); #386329=DIRECTION('ref_axis',(1.,0.,0.)); #386330=DIRECTION('center_axis',(0.,0.,1.)); #386331=DIRECTION('ref_axis',(1.,0.,0.)); #386332=DIRECTION('',(0.,0.,-1.)); #386333=DIRECTION('center_axis',(0.,0.,1.)); #386334=DIRECTION('ref_axis',(1.,0.,0.)); #386335=DIRECTION('center_axis',(0.,0.,1.)); #386336=DIRECTION('ref_axis',(1.,0.,0.)); #386337=DIRECTION('center_axis',(0.,0.,1.)); #386338=DIRECTION('ref_axis',(1.,0.,0.)); #386339=DIRECTION('center_axis',(0.,0.,1.)); #386340=DIRECTION('ref_axis',(1.,0.,0.)); #386341=DIRECTION('center_axis',(0.,0.,-1.)); #386342=DIRECTION('ref_axis',(1.,0.,0.)); #386343=DIRECTION('',(0.,0.,-1.)); #386344=DIRECTION('center_axis',(0.,0.,1.)); #386345=DIRECTION('ref_axis',(1.,0.,0.)); #386346=DIRECTION('center_axis',(0.,0.,1.)); #386347=DIRECTION('ref_axis',(1.,0.,0.)); #386348=DIRECTION('center_axis',(0.,0.,1.)); #386349=DIRECTION('ref_axis',(1.,0.,0.)); #386350=DIRECTION('',(0.,0.,-1.)); #386351=DIRECTION('center_axis',(0.,0.,1.)); #386352=DIRECTION('ref_axis',(1.,0.,0.)); #386353=DIRECTION('center_axis',(0.,0.,1.)); #386354=DIRECTION('ref_axis',(1.,0.,0.)); #386355=DIRECTION('center_axis',(0.,0.,1.)); #386356=DIRECTION('ref_axis',(1.,0.,0.)); #386357=DIRECTION('center_axis',(0.,0.,1.)); #386358=DIRECTION('ref_axis',(1.,0.,0.)); #386359=DIRECTION('center_axis',(0.,0.,-1.)); #386360=DIRECTION('ref_axis',(1.,0.,0.)); #386361=DIRECTION('',(0.,0.,-1.)); #386362=DIRECTION('center_axis',(0.,0.,1.)); #386363=DIRECTION('ref_axis',(1.,0.,0.)); #386364=DIRECTION('center_axis',(0.,0.,1.)); #386365=DIRECTION('ref_axis',(1.,0.,0.)); #386366=DIRECTION('center_axis',(0.,0.,1.)); #386367=DIRECTION('ref_axis',(1.,0.,0.)); #386368=DIRECTION('',(0.,0.,-1.)); #386369=DIRECTION('center_axis',(0.,0.,1.)); #386370=DIRECTION('ref_axis',(1.,0.,0.)); #386371=DIRECTION('center_axis',(0.,0.,1.)); #386372=DIRECTION('ref_axis',(1.,0.,0.)); #386373=DIRECTION('center_axis',(0.,0.,1.)); #386374=DIRECTION('ref_axis',(1.,0.,0.)); #386375=DIRECTION('center_axis',(0.,0.,1.)); #386376=DIRECTION('ref_axis',(1.,0.,0.)); #386377=DIRECTION('center_axis',(0.,0.,-1.)); #386378=DIRECTION('ref_axis',(1.,0.,0.)); #386379=DIRECTION('',(0.,0.,-1.)); #386380=DIRECTION('center_axis',(0.,0.,1.)); #386381=DIRECTION('ref_axis',(1.,0.,0.)); #386382=DIRECTION('center_axis',(0.,0.,1.)); #386383=DIRECTION('ref_axis',(1.,0.,0.)); #386384=DIRECTION('center_axis',(0.,0.,1.)); #386385=DIRECTION('ref_axis',(1.,0.,0.)); #386386=DIRECTION('',(0.,0.,-1.)); #386387=DIRECTION('center_axis',(0.,0.,1.)); #386388=DIRECTION('ref_axis',(1.,0.,0.)); #386389=DIRECTION('center_axis',(0.,0.,1.)); #386390=DIRECTION('ref_axis',(1.,0.,0.)); #386391=DIRECTION('center_axis',(0.,0.,1.)); #386392=DIRECTION('ref_axis',(1.,0.,0.)); #386393=DIRECTION('center_axis',(0.,0.,1.)); #386394=DIRECTION('ref_axis',(1.,0.,0.)); #386395=DIRECTION('center_axis',(0.,0.,-1.)); #386396=DIRECTION('ref_axis',(1.,0.,0.)); #386397=DIRECTION('',(0.,0.,-1.)); #386398=DIRECTION('center_axis',(0.,0.,1.)); #386399=DIRECTION('ref_axis',(1.,0.,0.)); #386400=DIRECTION('center_axis',(0.,0.,1.)); #386401=DIRECTION('ref_axis',(1.,0.,0.)); #386402=DIRECTION('center_axis',(0.,0.,1.)); #386403=DIRECTION('ref_axis',(1.,0.,0.)); #386404=DIRECTION('',(0.,0.,-1.)); #386405=DIRECTION('center_axis',(0.,0.,1.)); #386406=DIRECTION('ref_axis',(1.,0.,0.)); #386407=DIRECTION('center_axis',(0.,0.,1.)); #386408=DIRECTION('ref_axis',(1.,0.,0.)); #386409=DIRECTION('center_axis',(0.,0.,1.)); #386410=DIRECTION('ref_axis',(1.,0.,0.)); #386411=DIRECTION('center_axis',(0.,0.,1.)); #386412=DIRECTION('ref_axis',(1.,0.,0.)); #386413=DIRECTION('center_axis',(0.,0.,-1.)); #386414=DIRECTION('ref_axis',(1.,0.,0.)); #386415=DIRECTION('',(0.,0.,-1.)); #386416=DIRECTION('center_axis',(0.,0.,1.)); #386417=DIRECTION('ref_axis',(1.,0.,0.)); #386418=DIRECTION('center_axis',(0.,0.,1.)); #386419=DIRECTION('ref_axis',(1.,0.,0.)); #386420=DIRECTION('center_axis',(0.,0.,1.)); #386421=DIRECTION('ref_axis',(1.,0.,0.)); #386422=DIRECTION('',(0.,0.,-1.)); #386423=DIRECTION('center_axis',(0.,0.,1.)); #386424=DIRECTION('ref_axis',(1.,0.,0.)); #386425=DIRECTION('center_axis',(0.,0.,1.)); #386426=DIRECTION('ref_axis',(1.,0.,0.)); #386427=DIRECTION('center_axis',(0.,0.,1.)); #386428=DIRECTION('ref_axis',(1.,0.,0.)); #386429=DIRECTION('center_axis',(0.,0.,1.)); #386430=DIRECTION('ref_axis',(1.,0.,0.)); #386431=DIRECTION('center_axis',(0.,0.,-1.)); #386432=DIRECTION('ref_axis',(1.,0.,0.)); #386433=DIRECTION('',(0.,0.,-1.)); #386434=DIRECTION('center_axis',(0.,0.,1.)); #386435=DIRECTION('ref_axis',(1.,0.,0.)); #386436=DIRECTION('center_axis',(0.,0.,1.)); #386437=DIRECTION('ref_axis',(1.,0.,0.)); #386438=DIRECTION('center_axis',(0.,0.,1.)); #386439=DIRECTION('ref_axis',(1.,0.,0.)); #386440=DIRECTION('',(0.,0.,-1.)); #386441=DIRECTION('center_axis',(0.,0.,1.)); #386442=DIRECTION('ref_axis',(1.,0.,0.)); #386443=DIRECTION('center_axis',(0.,0.,1.)); #386444=DIRECTION('ref_axis',(1.,0.,0.)); #386445=DIRECTION('center_axis',(0.,0.,1.)); #386446=DIRECTION('ref_axis',(1.,0.,0.)); #386447=DIRECTION('center_axis',(0.,0.,1.)); #386448=DIRECTION('ref_axis',(1.,0.,0.)); #386449=DIRECTION('center_axis',(0.,0.,-1.)); #386450=DIRECTION('ref_axis',(1.,0.,0.)); #386451=DIRECTION('',(0.,0.,-1.)); #386452=DIRECTION('center_axis',(0.,0.,1.)); #386453=DIRECTION('ref_axis',(1.,0.,0.)); #386454=DIRECTION('center_axis',(0.,0.,1.)); #386455=DIRECTION('ref_axis',(1.,0.,0.)); #386456=DIRECTION('center_axis',(0.,0.,1.)); #386457=DIRECTION('ref_axis',(1.,0.,0.)); #386458=DIRECTION('',(0.,0.,-1.)); #386459=DIRECTION('center_axis',(0.,0.,1.)); #386460=DIRECTION('ref_axis',(1.,0.,0.)); #386461=DIRECTION('center_axis',(0.,0.,1.)); #386462=DIRECTION('ref_axis',(1.,0.,0.)); #386463=DIRECTION('center_axis',(0.,0.,1.)); #386464=DIRECTION('ref_axis',(1.,0.,0.)); #386465=DIRECTION('center_axis',(0.,0.,1.)); #386466=DIRECTION('ref_axis',(1.,0.,0.)); #386467=DIRECTION('center_axis',(0.,0.,-1.)); #386468=DIRECTION('ref_axis',(1.,0.,0.)); #386469=DIRECTION('',(0.,0.,-1.)); #386470=DIRECTION('center_axis',(0.,0.,1.)); #386471=DIRECTION('ref_axis',(1.,0.,0.)); #386472=DIRECTION('center_axis',(0.,0.,1.)); #386473=DIRECTION('ref_axis',(1.,0.,0.)); #386474=DIRECTION('center_axis',(0.,0.,1.)); #386475=DIRECTION('ref_axis',(1.,0.,0.)); #386476=DIRECTION('',(0.,0.,-1.)); #386477=DIRECTION('center_axis',(0.,0.,1.)); #386478=DIRECTION('ref_axis',(1.,0.,0.)); #386479=DIRECTION('center_axis',(0.,0.,1.)); #386480=DIRECTION('ref_axis',(1.,0.,0.)); #386481=DIRECTION('center_axis',(0.,0.,1.)); #386482=DIRECTION('ref_axis',(1.,0.,0.)); #386483=DIRECTION('center_axis',(0.,0.,1.)); #386484=DIRECTION('ref_axis',(1.,0.,0.)); #386485=DIRECTION('center_axis',(0.,0.,-1.)); #386486=DIRECTION('ref_axis',(1.,0.,0.)); #386487=DIRECTION('',(0.,0.,-1.)); #386488=DIRECTION('center_axis',(0.,0.,1.)); #386489=DIRECTION('ref_axis',(1.,0.,0.)); #386490=DIRECTION('center_axis',(0.,0.,1.)); #386491=DIRECTION('ref_axis',(1.,0.,0.)); #386492=DIRECTION('center_axis',(0.,0.,1.)); #386493=DIRECTION('ref_axis',(1.,0.,0.)); #386494=DIRECTION('',(0.,0.,-1.)); #386495=DIRECTION('center_axis',(0.,0.,1.)); #386496=DIRECTION('ref_axis',(1.,0.,0.)); #386497=DIRECTION('center_axis',(0.,0.,1.)); #386498=DIRECTION('ref_axis',(1.,0.,0.)); #386499=DIRECTION('center_axis',(0.,0.,1.)); #386500=DIRECTION('ref_axis',(1.,0.,0.)); #386501=DIRECTION('center_axis',(0.,0.,1.)); #386502=DIRECTION('ref_axis',(1.,0.,0.)); #386503=DIRECTION('center_axis',(0.,0.,-1.)); #386504=DIRECTION('ref_axis',(1.,0.,0.)); #386505=DIRECTION('',(0.,0.,-1.)); #386506=DIRECTION('center_axis',(0.,0.,1.)); #386507=DIRECTION('ref_axis',(1.,0.,0.)); #386508=DIRECTION('center_axis',(0.,0.,1.)); #386509=DIRECTION('ref_axis',(1.,0.,0.)); #386510=DIRECTION('center_axis',(0.,0.,1.)); #386511=DIRECTION('ref_axis',(1.,0.,0.)); #386512=DIRECTION('',(0.,0.,-1.)); #386513=DIRECTION('center_axis',(0.,0.,1.)); #386514=DIRECTION('ref_axis',(1.,0.,0.)); #386515=DIRECTION('center_axis',(0.,0.,1.)); #386516=DIRECTION('ref_axis',(1.,0.,0.)); #386517=DIRECTION('center_axis',(0.,0.,1.)); #386518=DIRECTION('ref_axis',(1.,0.,0.)); #386519=DIRECTION('center_axis',(0.,0.,1.)); #386520=DIRECTION('ref_axis',(1.,0.,0.)); #386521=DIRECTION('center_axis',(0.,0.,-1.)); #386522=DIRECTION('ref_axis',(1.,0.,0.)); #386523=DIRECTION('',(0.,0.,-1.)); #386524=DIRECTION('center_axis',(0.,0.,1.)); #386525=DIRECTION('ref_axis',(1.,0.,0.)); #386526=DIRECTION('center_axis',(0.,0.,1.)); #386527=DIRECTION('ref_axis',(1.,0.,0.)); #386528=DIRECTION('center_axis',(0.,0.,1.)); #386529=DIRECTION('ref_axis',(1.,0.,0.)); #386530=DIRECTION('',(0.,0.,-1.)); #386531=DIRECTION('center_axis',(0.,0.,1.)); #386532=DIRECTION('ref_axis',(1.,0.,0.)); #386533=DIRECTION('center_axis',(0.,0.,1.)); #386534=DIRECTION('ref_axis',(1.,0.,0.)); #386535=DIRECTION('center_axis',(0.,0.,1.)); #386536=DIRECTION('ref_axis',(1.,0.,0.)); #386537=DIRECTION('center_axis',(0.,0.,1.)); #386538=DIRECTION('ref_axis',(1.,0.,0.)); #386539=DIRECTION('center_axis',(0.,0.,-1.)); #386540=DIRECTION('ref_axis',(1.,0.,0.)); #386541=DIRECTION('',(0.,0.,-1.)); #386542=DIRECTION('center_axis',(0.,0.,1.)); #386543=DIRECTION('ref_axis',(1.,0.,0.)); #386544=DIRECTION('center_axis',(0.,0.,1.)); #386545=DIRECTION('ref_axis',(1.,0.,0.)); #386546=DIRECTION('center_axis',(0.,0.,1.)); #386547=DIRECTION('ref_axis',(1.,0.,0.)); #386548=DIRECTION('',(0.,0.,-1.)); #386549=DIRECTION('center_axis',(0.,0.,1.)); #386550=DIRECTION('ref_axis',(1.,0.,0.)); #386551=DIRECTION('center_axis',(0.,0.,1.)); #386552=DIRECTION('ref_axis',(1.,0.,0.)); #386553=DIRECTION('center_axis',(0.,0.,1.)); #386554=DIRECTION('ref_axis',(1.,0.,0.)); #386555=DIRECTION('center_axis',(0.,0.,1.)); #386556=DIRECTION('ref_axis',(1.,0.,0.)); #386557=DIRECTION('center_axis',(0.,0.,-1.)); #386558=DIRECTION('ref_axis',(1.,0.,0.)); #386559=DIRECTION('',(0.,0.,-1.)); #386560=DIRECTION('center_axis',(0.,0.,1.)); #386561=DIRECTION('ref_axis',(1.,0.,0.)); #386562=DIRECTION('center_axis',(0.,0.,1.)); #386563=DIRECTION('ref_axis',(1.,0.,0.)); #386564=DIRECTION('center_axis',(0.,0.,1.)); #386565=DIRECTION('ref_axis',(1.,0.,0.)); #386566=DIRECTION('',(0.,0.,-1.)); #386567=DIRECTION('center_axis',(0.,0.,1.)); #386568=DIRECTION('ref_axis',(1.,0.,0.)); #386569=DIRECTION('center_axis',(0.,0.,1.)); #386570=DIRECTION('ref_axis',(1.,0.,0.)); #386571=DIRECTION('center_axis',(0.,0.,1.)); #386572=DIRECTION('ref_axis',(1.,0.,0.)); #386573=DIRECTION('center_axis',(0.,0.,1.)); #386574=DIRECTION('ref_axis',(1.,0.,0.)); #386575=DIRECTION('center_axis',(0.,0.,-1.)); #386576=DIRECTION('ref_axis',(1.,0.,0.)); #386577=DIRECTION('',(0.,0.,-1.)); #386578=DIRECTION('center_axis',(0.,0.,1.)); #386579=DIRECTION('ref_axis',(1.,0.,0.)); #386580=DIRECTION('center_axis',(0.,0.,1.)); #386581=DIRECTION('ref_axis',(1.,0.,0.)); #386582=DIRECTION('center_axis',(0.,0.,1.)); #386583=DIRECTION('ref_axis',(1.,0.,0.)); #386584=DIRECTION('',(0.,0.,-1.)); #386585=DIRECTION('center_axis',(0.,0.,1.)); #386586=DIRECTION('ref_axis',(1.,0.,0.)); #386587=DIRECTION('center_axis',(0.,0.,1.)); #386588=DIRECTION('ref_axis',(1.,0.,0.)); #386589=DIRECTION('center_axis',(0.,0.,1.)); #386590=DIRECTION('ref_axis',(1.,0.,0.)); #386591=DIRECTION('center_axis',(0.,0.,1.)); #386592=DIRECTION('ref_axis',(1.,0.,0.)); #386593=DIRECTION('center_axis',(0.,0.,-1.)); #386594=DIRECTION('ref_axis',(1.,0.,0.)); #386595=DIRECTION('',(0.,0.,-1.)); #386596=DIRECTION('center_axis',(0.,0.,1.)); #386597=DIRECTION('ref_axis',(1.,0.,0.)); #386598=DIRECTION('center_axis',(0.,0.,1.)); #386599=DIRECTION('ref_axis',(1.,0.,0.)); #386600=DIRECTION('center_axis',(0.,0.,1.)); #386601=DIRECTION('ref_axis',(1.,0.,0.)); #386602=DIRECTION('',(0.,0.,-1.)); #386603=DIRECTION('center_axis',(0.,0.,1.)); #386604=DIRECTION('ref_axis',(1.,0.,0.)); #386605=DIRECTION('center_axis',(0.,0.,1.)); #386606=DIRECTION('ref_axis',(1.,0.,0.)); #386607=DIRECTION('center_axis',(0.,0.,1.)); #386608=DIRECTION('ref_axis',(1.,0.,0.)); #386609=DIRECTION('center_axis',(0.,0.,1.)); #386610=DIRECTION('ref_axis',(1.,0.,0.)); #386611=DIRECTION('center_axis',(0.,0.,-1.)); #386612=DIRECTION('ref_axis',(1.,0.,0.)); #386613=DIRECTION('',(0.,0.,-1.)); #386614=DIRECTION('center_axis',(0.,0.,1.)); #386615=DIRECTION('ref_axis',(1.,0.,0.)); #386616=DIRECTION('center_axis',(0.,0.,1.)); #386617=DIRECTION('ref_axis',(1.,0.,0.)); #386618=DIRECTION('center_axis',(0.,0.,1.)); #386619=DIRECTION('ref_axis',(1.,0.,0.)); #386620=DIRECTION('',(0.,0.,-1.)); #386621=DIRECTION('center_axis',(0.,0.,1.)); #386622=DIRECTION('ref_axis',(1.,0.,0.)); #386623=DIRECTION('center_axis',(0.,0.,1.)); #386624=DIRECTION('ref_axis',(1.,0.,0.)); #386625=DIRECTION('center_axis',(0.,0.,1.)); #386626=DIRECTION('ref_axis',(1.,0.,0.)); #386627=DIRECTION('center_axis',(0.,0.,1.)); #386628=DIRECTION('ref_axis',(1.,0.,0.)); #386629=DIRECTION('center_axis',(0.,0.,-1.)); #386630=DIRECTION('ref_axis',(1.,0.,0.)); #386631=DIRECTION('',(0.,0.,-1.)); #386632=DIRECTION('center_axis',(0.,0.,1.)); #386633=DIRECTION('ref_axis',(1.,0.,0.)); #386634=DIRECTION('center_axis',(0.,0.,1.)); #386635=DIRECTION('ref_axis',(1.,0.,0.)); #386636=DIRECTION('center_axis',(0.,0.,1.)); #386637=DIRECTION('ref_axis',(1.,0.,0.)); #386638=DIRECTION('',(0.,0.,-1.)); #386639=DIRECTION('center_axis',(0.,0.,1.)); #386640=DIRECTION('ref_axis',(1.,0.,0.)); #386641=DIRECTION('center_axis',(0.,0.,1.)); #386642=DIRECTION('ref_axis',(1.,0.,0.)); #386643=DIRECTION('center_axis',(0.,0.,1.)); #386644=DIRECTION('ref_axis',(1.,0.,0.)); #386645=DIRECTION('center_axis',(0.,0.,1.)); #386646=DIRECTION('ref_axis',(1.,0.,0.)); #386647=DIRECTION('center_axis',(0.,0.,-1.)); #386648=DIRECTION('ref_axis',(1.,0.,0.)); #386649=DIRECTION('',(0.,0.,-1.)); #386650=DIRECTION('center_axis',(0.,0.,1.)); #386651=DIRECTION('ref_axis',(1.,0.,0.)); #386652=DIRECTION('center_axis',(0.,0.,1.)); #386653=DIRECTION('ref_axis',(1.,0.,0.)); #386654=DIRECTION('center_axis',(0.,0.,1.)); #386655=DIRECTION('ref_axis',(1.,0.,0.)); #386656=DIRECTION('',(0.,0.,-1.)); #386657=DIRECTION('center_axis',(0.,0.,1.)); #386658=DIRECTION('ref_axis',(1.,0.,0.)); #386659=DIRECTION('center_axis',(0.,0.,1.)); #386660=DIRECTION('ref_axis',(1.,0.,0.)); #386661=DIRECTION('center_axis',(0.,0.,1.)); #386662=DIRECTION('ref_axis',(1.,0.,0.)); #386663=DIRECTION('center_axis',(0.,0.,1.)); #386664=DIRECTION('ref_axis',(1.,0.,0.)); #386665=DIRECTION('center_axis',(0.,0.,-1.)); #386666=DIRECTION('ref_axis',(1.,0.,0.)); #386667=DIRECTION('',(0.,0.,-1.)); #386668=DIRECTION('center_axis',(0.,0.,1.)); #386669=DIRECTION('ref_axis',(1.,0.,0.)); #386670=DIRECTION('center_axis',(0.,0.,1.)); #386671=DIRECTION('ref_axis',(1.,0.,0.)); #386672=DIRECTION('center_axis',(0.,0.,1.)); #386673=DIRECTION('ref_axis',(1.,0.,0.)); #386674=DIRECTION('',(0.,0.,-1.)); #386675=DIRECTION('center_axis',(0.,0.,1.)); #386676=DIRECTION('ref_axis',(1.,0.,0.)); #386677=DIRECTION('center_axis',(0.,0.,1.)); #386678=DIRECTION('ref_axis',(1.,0.,0.)); #386679=DIRECTION('center_axis',(0.,0.,1.)); #386680=DIRECTION('ref_axis',(1.,0.,0.)); #386681=DIRECTION('center_axis',(0.,0.,1.)); #386682=DIRECTION('ref_axis',(1.,0.,0.)); #386683=DIRECTION('center_axis',(0.,0.,-1.)); #386684=DIRECTION('ref_axis',(1.,0.,0.)); #386685=DIRECTION('',(0.,0.,-1.)); #386686=DIRECTION('center_axis',(0.,0.,1.)); #386687=DIRECTION('ref_axis',(1.,0.,0.)); #386688=DIRECTION('center_axis',(0.,0.,1.)); #386689=DIRECTION('ref_axis',(1.,0.,0.)); #386690=DIRECTION('center_axis',(0.,0.,1.)); #386691=DIRECTION('ref_axis',(1.,0.,0.)); #386692=DIRECTION('',(0.,0.,-1.)); #386693=DIRECTION('center_axis',(0.,0.,1.)); #386694=DIRECTION('ref_axis',(1.,0.,0.)); #386695=DIRECTION('center_axis',(0.,0.,1.)); #386696=DIRECTION('ref_axis',(1.,0.,0.)); #386697=DIRECTION('center_axis',(0.,0.,1.)); #386698=DIRECTION('ref_axis',(1.,0.,0.)); #386699=DIRECTION('center_axis',(0.,0.,1.)); #386700=DIRECTION('ref_axis',(1.,0.,0.)); #386701=DIRECTION('center_axis',(0.,0.,-1.)); #386702=DIRECTION('ref_axis',(1.,0.,0.)); #386703=DIRECTION('',(0.,0.,-1.)); #386704=DIRECTION('center_axis',(0.,0.,1.)); #386705=DIRECTION('ref_axis',(1.,0.,0.)); #386706=DIRECTION('center_axis',(0.,0.,1.)); #386707=DIRECTION('ref_axis',(1.,0.,0.)); #386708=DIRECTION('center_axis',(0.,0.,1.)); #386709=DIRECTION('ref_axis',(1.,0.,0.)); #386710=DIRECTION('',(0.,0.,-1.)); #386711=DIRECTION('center_axis',(0.,0.,1.)); #386712=DIRECTION('ref_axis',(1.,0.,0.)); #386713=DIRECTION('center_axis',(0.,0.,1.)); #386714=DIRECTION('ref_axis',(1.,0.,0.)); #386715=DIRECTION('center_axis',(0.,0.,1.)); #386716=DIRECTION('ref_axis',(1.,0.,0.)); #386717=DIRECTION('center_axis',(0.,0.,1.)); #386718=DIRECTION('ref_axis',(1.,0.,0.)); #386719=DIRECTION('center_axis',(0.,0.,-1.)); #386720=DIRECTION('ref_axis',(1.,0.,0.)); #386721=DIRECTION('',(0.,0.,-1.)); #386722=DIRECTION('center_axis',(0.,0.,1.)); #386723=DIRECTION('ref_axis',(1.,0.,0.)); #386724=DIRECTION('center_axis',(0.,0.,1.)); #386725=DIRECTION('ref_axis',(1.,0.,0.)); #386726=DIRECTION('center_axis',(0.,0.,1.)); #386727=DIRECTION('ref_axis',(1.,0.,0.)); #386728=DIRECTION('',(0.,0.,-1.)); #386729=DIRECTION('center_axis',(0.,0.,1.)); #386730=DIRECTION('ref_axis',(1.,0.,0.)); #386731=DIRECTION('center_axis',(0.,0.,1.)); #386732=DIRECTION('ref_axis',(1.,0.,0.)); #386733=DIRECTION('center_axis',(0.,0.,1.)); #386734=DIRECTION('ref_axis',(1.,0.,0.)); #386735=DIRECTION('center_axis',(0.,0.,1.)); #386736=DIRECTION('ref_axis',(1.,0.,0.)); #386737=DIRECTION('center_axis',(0.,0.,-1.)); #386738=DIRECTION('ref_axis',(1.,0.,0.)); #386739=DIRECTION('',(0.,0.,-1.)); #386740=DIRECTION('center_axis',(0.,0.,1.)); #386741=DIRECTION('ref_axis',(1.,0.,0.)); #386742=DIRECTION('center_axis',(0.,0.,1.)); #386743=DIRECTION('ref_axis',(1.,0.,0.)); #386744=DIRECTION('center_axis',(0.,0.,1.)); #386745=DIRECTION('ref_axis',(1.,0.,0.)); #386746=DIRECTION('',(0.,0.,-1.)); #386747=DIRECTION('center_axis',(0.,0.,1.)); #386748=DIRECTION('ref_axis',(1.,0.,0.)); #386749=DIRECTION('center_axis',(0.,0.,1.)); #386750=DIRECTION('ref_axis',(1.,0.,0.)); #386751=DIRECTION('center_axis',(0.,0.,1.)); #386752=DIRECTION('ref_axis',(1.,0.,0.)); #386753=DIRECTION('center_axis',(0.,0.,1.)); #386754=DIRECTION('ref_axis',(1.,0.,0.)); #386755=DIRECTION('center_axis',(0.,0.,-1.)); #386756=DIRECTION('ref_axis',(1.,0.,0.)); #386757=DIRECTION('',(0.,0.,-1.)); #386758=DIRECTION('center_axis',(0.,0.,1.)); #386759=DIRECTION('ref_axis',(1.,0.,0.)); #386760=DIRECTION('center_axis',(0.,0.,1.)); #386761=DIRECTION('ref_axis',(1.,0.,0.)); #386762=DIRECTION('center_axis',(0.,0.,1.)); #386763=DIRECTION('ref_axis',(1.,0.,0.)); #386764=DIRECTION('',(0.,0.,-1.)); #386765=DIRECTION('center_axis',(0.,0.,1.)); #386766=DIRECTION('ref_axis',(1.,0.,0.)); #386767=DIRECTION('center_axis',(0.,0.,1.)); #386768=DIRECTION('ref_axis',(1.,0.,0.)); #386769=DIRECTION('center_axis',(0.,0.,1.)); #386770=DIRECTION('ref_axis',(1.,0.,0.)); #386771=DIRECTION('center_axis',(0.,0.,1.)); #386772=DIRECTION('ref_axis',(1.,0.,0.)); #386773=DIRECTION('center_axis',(0.,0.,-1.)); #386774=DIRECTION('ref_axis',(1.,0.,0.)); #386775=DIRECTION('',(0.,0.,-1.)); #386776=DIRECTION('center_axis',(0.,0.,1.)); #386777=DIRECTION('ref_axis',(1.,0.,0.)); #386778=DIRECTION('center_axis',(0.,0.,1.)); #386779=DIRECTION('ref_axis',(1.,0.,0.)); #386780=DIRECTION('center_axis',(0.,0.,1.)); #386781=DIRECTION('ref_axis',(1.,0.,0.)); #386782=DIRECTION('',(0.,0.,-1.)); #386783=DIRECTION('center_axis',(0.,0.,1.)); #386784=DIRECTION('ref_axis',(1.,0.,0.)); #386785=DIRECTION('center_axis',(0.,0.,1.)); #386786=DIRECTION('ref_axis',(1.,0.,0.)); #386787=DIRECTION('center_axis',(0.,0.,1.)); #386788=DIRECTION('ref_axis',(1.,0.,0.)); #386789=DIRECTION('center_axis',(0.,0.,1.)); #386790=DIRECTION('ref_axis',(1.,0.,0.)); #386791=DIRECTION('center_axis',(0.,0.,-1.)); #386792=DIRECTION('ref_axis',(1.,0.,0.)); #386793=DIRECTION('',(0.,0.,-1.)); #386794=DIRECTION('center_axis',(0.,0.,1.)); #386795=DIRECTION('ref_axis',(1.,0.,0.)); #386796=DIRECTION('center_axis',(0.,0.,1.)); #386797=DIRECTION('ref_axis',(1.,0.,0.)); #386798=DIRECTION('center_axis',(0.,0.,1.)); #386799=DIRECTION('ref_axis',(1.,0.,0.)); #386800=DIRECTION('',(0.,0.,-1.)); #386801=DIRECTION('center_axis',(0.,0.,1.)); #386802=DIRECTION('ref_axis',(1.,0.,0.)); #386803=DIRECTION('center_axis',(0.,0.,1.)); #386804=DIRECTION('ref_axis',(1.,0.,0.)); #386805=DIRECTION('center_axis',(0.,0.,1.)); #386806=DIRECTION('ref_axis',(1.,0.,0.)); #386807=DIRECTION('center_axis',(0.,0.,1.)); #386808=DIRECTION('ref_axis',(1.,0.,0.)); #386809=DIRECTION('center_axis',(0.,0.,-1.)); #386810=DIRECTION('ref_axis',(1.,0.,0.)); #386811=DIRECTION('',(0.,0.,-1.)); #386812=DIRECTION('center_axis',(0.,0.,1.)); #386813=DIRECTION('ref_axis',(1.,0.,0.)); #386814=DIRECTION('center_axis',(0.,0.,1.)); #386815=DIRECTION('ref_axis',(1.,0.,0.)); #386816=DIRECTION('center_axis',(0.,0.,1.)); #386817=DIRECTION('ref_axis',(1.,0.,0.)); #386818=DIRECTION('',(0.,0.,-1.)); #386819=DIRECTION('center_axis',(0.,0.,1.)); #386820=DIRECTION('ref_axis',(1.,0.,0.)); #386821=DIRECTION('center_axis',(0.,0.,1.)); #386822=DIRECTION('ref_axis',(1.,0.,0.)); #386823=DIRECTION('center_axis',(0.,0.,1.)); #386824=DIRECTION('ref_axis',(1.,0.,0.)); #386825=DIRECTION('center_axis',(0.,0.,1.)); #386826=DIRECTION('ref_axis',(1.,0.,0.)); #386827=DIRECTION('center_axis',(0.,0.,-1.)); #386828=DIRECTION('ref_axis',(1.,0.,0.)); #386829=DIRECTION('',(0.,0.,-1.)); #386830=DIRECTION('center_axis',(0.,0.,1.)); #386831=DIRECTION('ref_axis',(1.,0.,0.)); #386832=DIRECTION('center_axis',(0.,0.,1.)); #386833=DIRECTION('ref_axis',(1.,0.,0.)); #386834=DIRECTION('center_axis',(0.,0.,1.)); #386835=DIRECTION('ref_axis',(1.,0.,0.)); #386836=DIRECTION('',(0.,0.,-1.)); #386837=DIRECTION('center_axis',(0.,0.,1.)); #386838=DIRECTION('ref_axis',(1.,0.,0.)); #386839=DIRECTION('center_axis',(0.,0.,1.)); #386840=DIRECTION('ref_axis',(1.,0.,0.)); #386841=DIRECTION('center_axis',(0.,0.,1.)); #386842=DIRECTION('ref_axis',(1.,0.,0.)); #386843=DIRECTION('center_axis',(0.,0.,1.)); #386844=DIRECTION('ref_axis',(1.,0.,0.)); #386845=DIRECTION('center_axis',(0.,0.,-1.)); #386846=DIRECTION('ref_axis',(1.,0.,0.)); #386847=DIRECTION('',(0.,0.,-1.)); #386848=DIRECTION('center_axis',(0.,0.,1.)); #386849=DIRECTION('ref_axis',(1.,0.,0.)); #386850=DIRECTION('center_axis',(0.,0.,1.)); #386851=DIRECTION('ref_axis',(1.,0.,0.)); #386852=DIRECTION('center_axis',(0.,0.,1.)); #386853=DIRECTION('ref_axis',(1.,0.,0.)); #386854=DIRECTION('',(0.,0.,-1.)); #386855=DIRECTION('center_axis',(0.,0.,1.)); #386856=DIRECTION('ref_axis',(1.,0.,0.)); #386857=DIRECTION('center_axis',(0.,0.,1.)); #386858=DIRECTION('ref_axis',(1.,0.,0.)); #386859=DIRECTION('center_axis',(0.,0.,1.)); #386860=DIRECTION('ref_axis',(1.,0.,0.)); #386861=DIRECTION('center_axis',(0.,0.,1.)); #386862=DIRECTION('ref_axis',(1.,0.,0.)); #386863=DIRECTION('center_axis',(0.,0.,-1.)); #386864=DIRECTION('ref_axis',(1.,0.,0.)); #386865=DIRECTION('',(0.,0.,-1.)); #386866=DIRECTION('center_axis',(0.,0.,1.)); #386867=DIRECTION('ref_axis',(1.,0.,0.)); #386868=DIRECTION('center_axis',(0.,0.,1.)); #386869=DIRECTION('ref_axis',(1.,0.,0.)); #386870=DIRECTION('center_axis',(0.,0.,1.)); #386871=DIRECTION('ref_axis',(1.,0.,0.)); #386872=DIRECTION('',(0.,0.,-1.)); #386873=DIRECTION('center_axis',(0.,0.,1.)); #386874=DIRECTION('ref_axis',(1.,0.,0.)); #386875=DIRECTION('center_axis',(0.,0.,1.)); #386876=DIRECTION('ref_axis',(1.,0.,0.)); #386877=DIRECTION('center_axis',(0.,0.,1.)); #386878=DIRECTION('ref_axis',(1.,0.,0.)); #386879=DIRECTION('center_axis',(0.,0.,1.)); #386880=DIRECTION('ref_axis',(1.,0.,0.)); #386881=DIRECTION('center_axis',(0.,0.,-1.)); #386882=DIRECTION('ref_axis',(1.,0.,0.)); #386883=DIRECTION('',(0.,0.,-1.)); #386884=DIRECTION('center_axis',(0.,0.,1.)); #386885=DIRECTION('ref_axis',(1.,0.,0.)); #386886=DIRECTION('center_axis',(0.,0.,1.)); #386887=DIRECTION('ref_axis',(1.,0.,0.)); #386888=DIRECTION('center_axis',(0.,0.,1.)); #386889=DIRECTION('ref_axis',(1.,0.,0.)); #386890=DIRECTION('',(0.,0.,-1.)); #386891=DIRECTION('center_axis',(0.,0.,1.)); #386892=DIRECTION('ref_axis',(1.,0.,0.)); #386893=DIRECTION('center_axis',(0.,0.,1.)); #386894=DIRECTION('ref_axis',(1.,0.,0.)); #386895=DIRECTION('center_axis',(0.,0.,1.)); #386896=DIRECTION('ref_axis',(1.,0.,0.)); #386897=DIRECTION('center_axis',(0.,0.,1.)); #386898=DIRECTION('ref_axis',(1.,0.,0.)); #386899=DIRECTION('center_axis',(0.,0.,-1.)); #386900=DIRECTION('ref_axis',(1.,0.,0.)); #386901=DIRECTION('',(0.,0.,-1.)); #386902=DIRECTION('center_axis',(0.,0.,1.)); #386903=DIRECTION('ref_axis',(1.,0.,0.)); #386904=DIRECTION('center_axis',(0.,0.,1.)); #386905=DIRECTION('ref_axis',(1.,0.,0.)); #386906=DIRECTION('center_axis',(0.,0.,1.)); #386907=DIRECTION('ref_axis',(1.,0.,0.)); #386908=DIRECTION('',(0.,0.,-1.)); #386909=DIRECTION('center_axis',(0.,0.,1.)); #386910=DIRECTION('ref_axis',(1.,0.,0.)); #386911=DIRECTION('center_axis',(0.,0.,1.)); #386912=DIRECTION('ref_axis',(1.,0.,0.)); #386913=DIRECTION('center_axis',(0.,0.,1.)); #386914=DIRECTION('ref_axis',(1.,0.,0.)); #386915=DIRECTION('center_axis',(0.,0.,1.)); #386916=DIRECTION('ref_axis',(1.,0.,0.)); #386917=DIRECTION('center_axis',(0.,0.,-1.)); #386918=DIRECTION('ref_axis',(1.,0.,0.)); #386919=DIRECTION('',(0.,0.,-1.)); #386920=DIRECTION('center_axis',(0.,0.,1.)); #386921=DIRECTION('ref_axis',(1.,0.,0.)); #386922=DIRECTION('center_axis',(0.,0.,1.)); #386923=DIRECTION('ref_axis',(1.,0.,0.)); #386924=DIRECTION('center_axis',(0.,0.,1.)); #386925=DIRECTION('ref_axis',(1.,0.,0.)); #386926=DIRECTION('',(0.,0.,-1.)); #386927=DIRECTION('center_axis',(0.,0.,1.)); #386928=DIRECTION('ref_axis',(1.,0.,0.)); #386929=DIRECTION('center_axis',(0.,0.,1.)); #386930=DIRECTION('ref_axis',(1.,0.,0.)); #386931=DIRECTION('center_axis',(0.,0.,1.)); #386932=DIRECTION('ref_axis',(1.,0.,0.)); #386933=DIRECTION('center_axis',(0.,0.,1.)); #386934=DIRECTION('ref_axis',(1.,0.,0.)); #386935=DIRECTION('center_axis',(0.,0.,-1.)); #386936=DIRECTION('ref_axis',(1.,0.,0.)); #386937=DIRECTION('',(0.,0.,-1.)); #386938=DIRECTION('center_axis',(0.,0.,1.)); #386939=DIRECTION('ref_axis',(1.,0.,0.)); #386940=DIRECTION('center_axis',(0.,0.,1.)); #386941=DIRECTION('ref_axis',(1.,0.,0.)); #386942=DIRECTION('center_axis',(0.,0.,1.)); #386943=DIRECTION('ref_axis',(1.,0.,0.)); #386944=DIRECTION('',(0.,0.,-1.)); #386945=DIRECTION('center_axis',(0.,0.,1.)); #386946=DIRECTION('ref_axis',(1.,0.,0.)); #386947=DIRECTION('center_axis',(0.,0.,1.)); #386948=DIRECTION('ref_axis',(1.,0.,0.)); #386949=DIRECTION('center_axis',(0.,0.,1.)); #386950=DIRECTION('ref_axis',(1.,0.,0.)); #386951=DIRECTION('center_axis',(0.,0.,1.)); #386952=DIRECTION('ref_axis',(1.,0.,0.)); #386953=DIRECTION('center_axis',(0.,0.,-1.)); #386954=DIRECTION('ref_axis',(1.,0.,0.)); #386955=DIRECTION('',(0.,0.,-1.)); #386956=DIRECTION('center_axis',(0.,0.,1.)); #386957=DIRECTION('ref_axis',(1.,0.,0.)); #386958=DIRECTION('center_axis',(0.,0.,1.)); #386959=DIRECTION('ref_axis',(1.,0.,0.)); #386960=DIRECTION('center_axis',(0.,0.,1.)); #386961=DIRECTION('ref_axis',(1.,0.,0.)); #386962=DIRECTION('',(0.,0.,-1.)); #386963=DIRECTION('center_axis',(0.,0.,1.)); #386964=DIRECTION('ref_axis',(1.,0.,0.)); #386965=DIRECTION('center_axis',(0.,0.,1.)); #386966=DIRECTION('ref_axis',(1.,0.,0.)); #386967=DIRECTION('center_axis',(0.,0.,1.)); #386968=DIRECTION('ref_axis',(1.,0.,0.)); #386969=DIRECTION('center_axis',(0.,0.,1.)); #386970=DIRECTION('ref_axis',(1.,0.,0.)); #386971=DIRECTION('center_axis',(0.,0.,-1.)); #386972=DIRECTION('ref_axis',(1.,0.,0.)); #386973=DIRECTION('',(0.,0.,-1.)); #386974=DIRECTION('center_axis',(0.,0.,1.)); #386975=DIRECTION('ref_axis',(1.,0.,0.)); #386976=DIRECTION('center_axis',(0.,0.,1.)); #386977=DIRECTION('ref_axis',(1.,0.,0.)); #386978=DIRECTION('center_axis',(0.,0.,1.)); #386979=DIRECTION('ref_axis',(1.,0.,0.)); #386980=DIRECTION('',(0.,0.,-1.)); #386981=DIRECTION('center_axis',(0.,0.,1.)); #386982=DIRECTION('ref_axis',(1.,0.,0.)); #386983=DIRECTION('center_axis',(0.,0.,1.)); #386984=DIRECTION('ref_axis',(1.,0.,0.)); #386985=DIRECTION('center_axis',(0.,0.,1.)); #386986=DIRECTION('ref_axis',(1.,0.,0.)); #386987=DIRECTION('center_axis',(0.,0.,1.)); #386988=DIRECTION('ref_axis',(1.,0.,0.)); #386989=DIRECTION('center_axis',(0.,0.,-1.)); #386990=DIRECTION('ref_axis',(1.,0.,0.)); #386991=DIRECTION('',(0.,0.,-1.)); #386992=DIRECTION('center_axis',(0.,0.,1.)); #386993=DIRECTION('ref_axis',(1.,0.,0.)); #386994=DIRECTION('center_axis',(0.,0.,1.)); #386995=DIRECTION('ref_axis',(1.,0.,0.)); #386996=DIRECTION('center_axis',(0.,0.,1.)); #386997=DIRECTION('ref_axis',(1.,0.,0.)); #386998=DIRECTION('',(0.,0.,-1.)); #386999=DIRECTION('center_axis',(0.,0.,1.)); #387000=DIRECTION('ref_axis',(1.,0.,0.)); #387001=DIRECTION('center_axis',(0.,0.,1.)); #387002=DIRECTION('ref_axis',(1.,0.,0.)); #387003=DIRECTION('center_axis',(0.,0.,1.)); #387004=DIRECTION('ref_axis',(1.,0.,0.)); #387005=DIRECTION('center_axis',(0.,0.,1.)); #387006=DIRECTION('ref_axis',(1.,0.,0.)); #387007=DIRECTION('center_axis',(0.,0.,-1.)); #387008=DIRECTION('ref_axis',(1.,0.,0.)); #387009=DIRECTION('',(0.,0.,-1.)); #387010=DIRECTION('center_axis',(0.,0.,1.)); #387011=DIRECTION('ref_axis',(1.,0.,0.)); #387012=DIRECTION('center_axis',(0.,0.,1.)); #387013=DIRECTION('ref_axis',(1.,0.,0.)); #387014=DIRECTION('center_axis',(0.,0.,1.)); #387015=DIRECTION('ref_axis',(1.,0.,0.)); #387016=DIRECTION('',(0.,0.,-1.)); #387017=DIRECTION('center_axis',(0.,0.,1.)); #387018=DIRECTION('ref_axis',(1.,0.,0.)); #387019=DIRECTION('center_axis',(0.,0.,1.)); #387020=DIRECTION('ref_axis',(1.,0.,0.)); #387021=DIRECTION('center_axis',(0.,0.,1.)); #387022=DIRECTION('ref_axis',(1.,0.,0.)); #387023=DIRECTION('center_axis',(0.,0.,1.)); #387024=DIRECTION('ref_axis',(1.,0.,0.)); #387025=DIRECTION('center_axis',(0.,0.,-1.)); #387026=DIRECTION('ref_axis',(1.,0.,0.)); #387027=DIRECTION('',(0.,0.,-1.)); #387028=DIRECTION('center_axis',(0.,0.,1.)); #387029=DIRECTION('ref_axis',(1.,0.,0.)); #387030=DIRECTION('center_axis',(0.,0.,1.)); #387031=DIRECTION('ref_axis',(1.,0.,0.)); #387032=DIRECTION('center_axis',(0.,0.,1.)); #387033=DIRECTION('ref_axis',(1.,0.,0.)); #387034=DIRECTION('',(0.,0.,-1.)); #387035=DIRECTION('center_axis',(0.,0.,1.)); #387036=DIRECTION('ref_axis',(1.,0.,0.)); #387037=DIRECTION('center_axis',(0.,0.,1.)); #387038=DIRECTION('ref_axis',(1.,0.,0.)); #387039=DIRECTION('center_axis',(0.,0.,1.)); #387040=DIRECTION('ref_axis',(1.,0.,0.)); #387041=DIRECTION('center_axis',(0.,0.,1.)); #387042=DIRECTION('ref_axis',(1.,0.,0.)); #387043=DIRECTION('center_axis',(0.,0.,-1.)); #387044=DIRECTION('ref_axis',(1.,0.,0.)); #387045=DIRECTION('',(0.,0.,-1.)); #387046=DIRECTION('center_axis',(0.,0.,1.)); #387047=DIRECTION('ref_axis',(1.,0.,0.)); #387048=DIRECTION('center_axis',(0.,0.,1.)); #387049=DIRECTION('ref_axis',(1.,0.,0.)); #387050=DIRECTION('center_axis',(0.,0.,1.)); #387051=DIRECTION('ref_axis',(1.,0.,0.)); #387052=DIRECTION('',(0.,0.,-1.)); #387053=DIRECTION('center_axis',(0.,0.,1.)); #387054=DIRECTION('ref_axis',(1.,0.,0.)); #387055=DIRECTION('center_axis',(0.,0.,1.)); #387056=DIRECTION('ref_axis',(1.,0.,0.)); #387057=DIRECTION('center_axis',(0.,0.,1.)); #387058=DIRECTION('ref_axis',(1.,0.,0.)); #387059=DIRECTION('center_axis',(0.,0.,1.)); #387060=DIRECTION('ref_axis',(1.,0.,0.)); #387061=DIRECTION('center_axis',(0.,0.,-1.)); #387062=DIRECTION('ref_axis',(1.,0.,0.)); #387063=DIRECTION('',(0.,0.,-1.)); #387064=DIRECTION('center_axis',(0.,0.,1.)); #387065=DIRECTION('ref_axis',(1.,0.,0.)); #387066=DIRECTION('center_axis',(0.,0.,1.)); #387067=DIRECTION('ref_axis',(1.,0.,0.)); #387068=DIRECTION('center_axis',(0.,0.,1.)); #387069=DIRECTION('ref_axis',(1.,0.,0.)); #387070=DIRECTION('',(0.,0.,-1.)); #387071=DIRECTION('center_axis',(0.,0.,1.)); #387072=DIRECTION('ref_axis',(1.,0.,0.)); #387073=DIRECTION('center_axis',(0.,0.,1.)); #387074=DIRECTION('ref_axis',(1.,0.,0.)); #387075=DIRECTION('center_axis',(0.,0.,1.)); #387076=DIRECTION('ref_axis',(1.,0.,0.)); #387077=DIRECTION('center_axis',(0.,0.,1.)); #387078=DIRECTION('ref_axis',(1.,0.,0.)); #387079=DIRECTION('center_axis',(0.,0.,-1.)); #387080=DIRECTION('ref_axis',(1.,0.,0.)); #387081=DIRECTION('',(0.,0.,-1.)); #387082=DIRECTION('center_axis',(0.,0.,1.)); #387083=DIRECTION('ref_axis',(1.,0.,0.)); #387084=DIRECTION('center_axis',(0.,0.,1.)); #387085=DIRECTION('ref_axis',(1.,0.,0.)); #387086=DIRECTION('center_axis',(0.,0.,1.)); #387087=DIRECTION('ref_axis',(1.,0.,0.)); #387088=DIRECTION('',(0.,0.,-1.)); #387089=DIRECTION('center_axis',(0.,0.,1.)); #387090=DIRECTION('ref_axis',(1.,0.,0.)); #387091=DIRECTION('center_axis',(0.,0.,1.)); #387092=DIRECTION('ref_axis',(1.,0.,0.)); #387093=DIRECTION('center_axis',(0.,0.,1.)); #387094=DIRECTION('ref_axis',(1.,0.,0.)); #387095=DIRECTION('center_axis',(0.,0.,1.)); #387096=DIRECTION('ref_axis',(1.,0.,0.)); #387097=DIRECTION('center_axis',(0.,0.,-1.)); #387098=DIRECTION('ref_axis',(1.,0.,0.)); #387099=DIRECTION('',(0.,0.,-1.)); #387100=DIRECTION('center_axis',(0.,0.,1.)); #387101=DIRECTION('ref_axis',(1.,0.,0.)); #387102=DIRECTION('center_axis',(0.,0.,1.)); #387103=DIRECTION('ref_axis',(1.,0.,0.)); #387104=DIRECTION('center_axis',(0.,0.,1.)); #387105=DIRECTION('ref_axis',(1.,0.,0.)); #387106=DIRECTION('',(0.,0.,-1.)); #387107=DIRECTION('center_axis',(0.,0.,1.)); #387108=DIRECTION('ref_axis',(1.,0.,0.)); #387109=DIRECTION('center_axis',(0.,0.,1.)); #387110=DIRECTION('ref_axis',(1.,0.,0.)); #387111=DIRECTION('center_axis',(0.,0.,1.)); #387112=DIRECTION('ref_axis',(1.,0.,0.)); #387113=DIRECTION('center_axis',(0.,0.,1.)); #387114=DIRECTION('ref_axis',(1.,0.,0.)); #387115=DIRECTION('center_axis',(0.,0.,-1.)); #387116=DIRECTION('ref_axis',(1.,0.,0.)); #387117=DIRECTION('',(0.,0.,-1.)); #387118=DIRECTION('center_axis',(0.,0.,1.)); #387119=DIRECTION('ref_axis',(1.,0.,0.)); #387120=DIRECTION('center_axis',(0.,0.,1.)); #387121=DIRECTION('ref_axis',(1.,0.,0.)); #387122=DIRECTION('center_axis',(0.,0.,1.)); #387123=DIRECTION('ref_axis',(1.,0.,0.)); #387124=DIRECTION('',(0.,0.,-1.)); #387125=DIRECTION('center_axis',(0.,0.,1.)); #387126=DIRECTION('ref_axis',(1.,0.,0.)); #387127=DIRECTION('center_axis',(0.,0.,1.)); #387128=DIRECTION('ref_axis',(1.,0.,0.)); #387129=DIRECTION('center_axis',(0.,0.,1.)); #387130=DIRECTION('ref_axis',(1.,0.,0.)); #387131=DIRECTION('center_axis',(0.,0.,1.)); #387132=DIRECTION('ref_axis',(1.,0.,0.)); #387133=DIRECTION('center_axis',(0.,0.,-1.)); #387134=DIRECTION('ref_axis',(1.,0.,0.)); #387135=DIRECTION('',(0.,0.,-1.)); #387136=DIRECTION('center_axis',(0.,0.,1.)); #387137=DIRECTION('ref_axis',(1.,0.,0.)); #387138=DIRECTION('center_axis',(0.,0.,1.)); #387139=DIRECTION('ref_axis',(1.,0.,0.)); #387140=DIRECTION('center_axis',(0.,0.,1.)); #387141=DIRECTION('ref_axis',(1.,0.,0.)); #387142=DIRECTION('',(0.,0.,-1.)); #387143=DIRECTION('center_axis',(0.,0.,1.)); #387144=DIRECTION('ref_axis',(1.,0.,0.)); #387145=DIRECTION('center_axis',(0.,0.,1.)); #387146=DIRECTION('ref_axis',(1.,0.,0.)); #387147=DIRECTION('center_axis',(0.,0.,1.)); #387148=DIRECTION('ref_axis',(1.,0.,0.)); #387149=DIRECTION('center_axis',(0.,0.,1.)); #387150=DIRECTION('ref_axis',(1.,0.,0.)); #387151=DIRECTION('center_axis',(0.,0.,-1.)); #387152=DIRECTION('ref_axis',(1.,0.,0.)); #387153=DIRECTION('',(0.,0.,-1.)); #387154=DIRECTION('center_axis',(0.,0.,1.)); #387155=DIRECTION('ref_axis',(1.,0.,0.)); #387156=DIRECTION('center_axis',(0.,0.,1.)); #387157=DIRECTION('ref_axis',(1.,0.,0.)); #387158=DIRECTION('center_axis',(0.,0.,1.)); #387159=DIRECTION('ref_axis',(1.,0.,0.)); #387160=DIRECTION('',(0.,0.,-1.)); #387161=DIRECTION('center_axis',(0.,0.,1.)); #387162=DIRECTION('ref_axis',(1.,0.,0.)); #387163=DIRECTION('center_axis',(0.,0.,1.)); #387164=DIRECTION('ref_axis',(1.,0.,0.)); #387165=DIRECTION('center_axis',(0.,0.,1.)); #387166=DIRECTION('ref_axis',(1.,0.,0.)); #387167=DIRECTION('center_axis',(0.,0.,1.)); #387168=DIRECTION('ref_axis',(1.,0.,0.)); #387169=DIRECTION('center_axis',(0.,0.,-1.)); #387170=DIRECTION('ref_axis',(1.,0.,0.)); #387171=DIRECTION('',(0.,0.,-1.)); #387172=DIRECTION('center_axis',(0.,0.,1.)); #387173=DIRECTION('ref_axis',(1.,0.,0.)); #387174=DIRECTION('center_axis',(0.,0.,1.)); #387175=DIRECTION('ref_axis',(1.,0.,0.)); #387176=DIRECTION('center_axis',(0.,0.,1.)); #387177=DIRECTION('ref_axis',(1.,0.,0.)); #387178=DIRECTION('',(0.,0.,-1.)); #387179=DIRECTION('center_axis',(0.,0.,1.)); #387180=DIRECTION('ref_axis',(1.,0.,0.)); #387181=DIRECTION('center_axis',(0.,0.,1.)); #387182=DIRECTION('ref_axis',(1.,0.,0.)); #387183=DIRECTION('center_axis',(0.,0.,1.)); #387184=DIRECTION('ref_axis',(1.,0.,0.)); #387185=DIRECTION('center_axis',(0.,0.,1.)); #387186=DIRECTION('ref_axis',(1.,0.,0.)); #387187=DIRECTION('center_axis',(0.,0.,-1.)); #387188=DIRECTION('ref_axis',(1.,0.,0.)); #387189=DIRECTION('',(0.,0.,-1.)); #387190=DIRECTION('center_axis',(0.,0.,1.)); #387191=DIRECTION('ref_axis',(1.,0.,0.)); #387192=DIRECTION('center_axis',(0.,0.,1.)); #387193=DIRECTION('ref_axis',(1.,0.,0.)); #387194=DIRECTION('center_axis',(0.,0.,1.)); #387195=DIRECTION('ref_axis',(1.,0.,0.)); #387196=DIRECTION('',(0.,0.,-1.)); #387197=DIRECTION('center_axis',(0.,0.,1.)); #387198=DIRECTION('ref_axis',(1.,0.,0.)); #387199=DIRECTION('center_axis',(0.,0.,1.)); #387200=DIRECTION('ref_axis',(1.,0.,0.)); #387201=DIRECTION('center_axis',(0.,0.,1.)); #387202=DIRECTION('ref_axis',(1.,0.,0.)); #387203=DIRECTION('center_axis',(0.,0.,1.)); #387204=DIRECTION('ref_axis',(1.,0.,0.)); #387205=DIRECTION('center_axis',(0.,0.,-1.)); #387206=DIRECTION('ref_axis',(1.,0.,0.)); #387207=DIRECTION('',(0.,0.,-1.)); #387208=DIRECTION('center_axis',(0.,0.,1.)); #387209=DIRECTION('ref_axis',(1.,0.,0.)); #387210=DIRECTION('center_axis',(0.,0.,1.)); #387211=DIRECTION('ref_axis',(1.,0.,0.)); #387212=DIRECTION('center_axis',(0.,0.,1.)); #387213=DIRECTION('ref_axis',(1.,0.,0.)); #387214=DIRECTION('',(0.,0.,-1.)); #387215=DIRECTION('center_axis',(0.,0.,1.)); #387216=DIRECTION('ref_axis',(1.,0.,0.)); #387217=DIRECTION('center_axis',(0.,0.,1.)); #387218=DIRECTION('ref_axis',(1.,0.,0.)); #387219=DIRECTION('center_axis',(0.,0.,1.)); #387220=DIRECTION('ref_axis',(1.,0.,0.)); #387221=DIRECTION('center_axis',(0.,0.,1.)); #387222=DIRECTION('ref_axis',(1.,0.,0.)); #387223=DIRECTION('center_axis',(0.,0.,-1.)); #387224=DIRECTION('ref_axis',(1.,0.,0.)); #387225=DIRECTION('',(0.,0.,-1.)); #387226=DIRECTION('center_axis',(0.,0.,1.)); #387227=DIRECTION('ref_axis',(1.,0.,0.)); #387228=DIRECTION('center_axis',(0.,0.,1.)); #387229=DIRECTION('ref_axis',(1.,0.,0.)); #387230=DIRECTION('center_axis',(0.,0.,1.)); #387231=DIRECTION('ref_axis',(1.,0.,0.)); #387232=DIRECTION('',(0.,0.,-1.)); #387233=DIRECTION('center_axis',(0.,0.,1.)); #387234=DIRECTION('ref_axis',(1.,0.,0.)); #387235=DIRECTION('center_axis',(0.,0.,1.)); #387236=DIRECTION('ref_axis',(1.,0.,0.)); #387237=DIRECTION('center_axis',(0.,0.,1.)); #387238=DIRECTION('ref_axis',(1.,0.,0.)); #387239=DIRECTION('center_axis',(0.,0.,1.)); #387240=DIRECTION('ref_axis',(1.,0.,0.)); #387241=DIRECTION('center_axis',(0.,0.,-1.)); #387242=DIRECTION('ref_axis',(1.,0.,0.)); #387243=DIRECTION('',(0.,0.,-1.)); #387244=DIRECTION('center_axis',(0.,0.,1.)); #387245=DIRECTION('ref_axis',(1.,0.,0.)); #387246=DIRECTION('center_axis',(0.,0.,1.)); #387247=DIRECTION('ref_axis',(1.,0.,0.)); #387248=DIRECTION('center_axis',(0.,0.,1.)); #387249=DIRECTION('ref_axis',(1.,0.,0.)); #387250=DIRECTION('',(0.,0.,-1.)); #387251=DIRECTION('center_axis',(0.,0.,1.)); #387252=DIRECTION('ref_axis',(1.,0.,0.)); #387253=DIRECTION('center_axis',(0.,0.,1.)); #387254=DIRECTION('ref_axis',(1.,0.,0.)); #387255=DIRECTION('center_axis',(0.,0.,1.)); #387256=DIRECTION('ref_axis',(1.,0.,0.)); #387257=DIRECTION('center_axis',(0.,0.,1.)); #387258=DIRECTION('ref_axis',(1.,0.,0.)); #387259=DIRECTION('center_axis',(0.,0.,-1.)); #387260=DIRECTION('ref_axis',(1.,0.,0.)); #387261=DIRECTION('',(0.,0.,-1.)); #387262=DIRECTION('center_axis',(0.,0.,1.)); #387263=DIRECTION('ref_axis',(1.,0.,0.)); #387264=DIRECTION('center_axis',(0.,0.,1.)); #387265=DIRECTION('ref_axis',(1.,0.,0.)); #387266=DIRECTION('center_axis',(0.,0.,1.)); #387267=DIRECTION('ref_axis',(1.,0.,0.)); #387268=DIRECTION('',(0.,0.,-1.)); #387269=DIRECTION('center_axis',(0.,0.,1.)); #387270=DIRECTION('ref_axis',(1.,0.,0.)); #387271=DIRECTION('center_axis',(0.,0.,1.)); #387272=DIRECTION('ref_axis',(1.,0.,0.)); #387273=DIRECTION('center_axis',(0.,0.,1.)); #387274=DIRECTION('ref_axis',(1.,0.,0.)); #387275=DIRECTION('center_axis',(0.,0.,1.)); #387276=DIRECTION('ref_axis',(1.,0.,0.)); #387277=DIRECTION('center_axis',(0.,0.,-1.)); #387278=DIRECTION('ref_axis',(1.,0.,0.)); #387279=DIRECTION('',(0.,0.,-1.)); #387280=DIRECTION('center_axis',(0.,0.,1.)); #387281=DIRECTION('ref_axis',(1.,0.,0.)); #387282=DIRECTION('center_axis',(0.,0.,1.)); #387283=DIRECTION('ref_axis',(1.,0.,0.)); #387284=DIRECTION('center_axis',(0.,0.,1.)); #387285=DIRECTION('ref_axis',(1.,0.,0.)); #387286=DIRECTION('',(0.,0.,-1.)); #387287=DIRECTION('center_axis',(0.,0.,1.)); #387288=DIRECTION('ref_axis',(1.,0.,0.)); #387289=DIRECTION('center_axis',(0.,0.,1.)); #387290=DIRECTION('ref_axis',(1.,0.,0.)); #387291=DIRECTION('center_axis',(0.,0.,1.)); #387292=DIRECTION('ref_axis',(1.,0.,0.)); #387293=DIRECTION('center_axis',(0.,0.,1.)); #387294=DIRECTION('ref_axis',(1.,0.,0.)); #387295=DIRECTION('center_axis',(0.,0.,-1.)); #387296=DIRECTION('ref_axis',(1.,0.,0.)); #387297=DIRECTION('',(0.,0.,-1.)); #387298=DIRECTION('center_axis',(0.,0.,1.)); #387299=DIRECTION('ref_axis',(1.,0.,0.)); #387300=DIRECTION('center_axis',(0.,0.,1.)); #387301=DIRECTION('ref_axis',(1.,0.,0.)); #387302=DIRECTION('center_axis',(0.,0.,1.)); #387303=DIRECTION('ref_axis',(1.,0.,0.)); #387304=DIRECTION('',(0.,0.,-1.)); #387305=DIRECTION('center_axis',(0.,0.,1.)); #387306=DIRECTION('ref_axis',(1.,0.,0.)); #387307=DIRECTION('center_axis',(0.,0.,1.)); #387308=DIRECTION('ref_axis',(1.,0.,0.)); #387309=DIRECTION('center_axis',(0.,0.,1.)); #387310=DIRECTION('ref_axis',(1.,0.,0.)); #387311=DIRECTION('center_axis',(0.,0.,1.)); #387312=DIRECTION('ref_axis',(1.,0.,0.)); #387313=DIRECTION('center_axis',(0.,0.,-1.)); #387314=DIRECTION('ref_axis',(1.,0.,0.)); #387315=DIRECTION('',(0.,0.,-1.)); #387316=DIRECTION('center_axis',(0.,0.,1.)); #387317=DIRECTION('ref_axis',(1.,0.,0.)); #387318=DIRECTION('center_axis',(0.,0.,1.)); #387319=DIRECTION('ref_axis',(1.,0.,0.)); #387320=DIRECTION('center_axis',(0.,0.,1.)); #387321=DIRECTION('ref_axis',(1.,0.,0.)); #387322=DIRECTION('',(0.,0.,-1.)); #387323=DIRECTION('center_axis',(0.,0.,1.)); #387324=DIRECTION('ref_axis',(1.,0.,0.)); #387325=DIRECTION('center_axis',(0.,0.,1.)); #387326=DIRECTION('ref_axis',(1.,0.,0.)); #387327=DIRECTION('center_axis',(0.,0.,1.)); #387328=DIRECTION('ref_axis',(1.,0.,0.)); #387329=DIRECTION('center_axis',(0.,0.,1.)); #387330=DIRECTION('ref_axis',(1.,0.,0.)); #387331=DIRECTION('center_axis',(0.,0.,-1.)); #387332=DIRECTION('ref_axis',(1.,0.,0.)); #387333=DIRECTION('',(0.,0.,-1.)); #387334=DIRECTION('center_axis',(0.,0.,1.)); #387335=DIRECTION('ref_axis',(1.,0.,0.)); #387336=DIRECTION('center_axis',(0.,0.,1.)); #387337=DIRECTION('ref_axis',(1.,0.,0.)); #387338=DIRECTION('center_axis',(0.,0.,1.)); #387339=DIRECTION('ref_axis',(1.,0.,0.)); #387340=DIRECTION('',(0.,0.,-1.)); #387341=DIRECTION('center_axis',(0.,0.,1.)); #387342=DIRECTION('ref_axis',(1.,0.,0.)); #387343=DIRECTION('center_axis',(0.,0.,1.)); #387344=DIRECTION('ref_axis',(1.,0.,0.)); #387345=DIRECTION('center_axis',(0.,0.,1.)); #387346=DIRECTION('ref_axis',(1.,0.,0.)); #387347=DIRECTION('center_axis',(0.,0.,1.)); #387348=DIRECTION('ref_axis',(1.,0.,0.)); #387349=DIRECTION('center_axis',(0.,0.,-1.)); #387350=DIRECTION('ref_axis',(1.,0.,0.)); #387351=DIRECTION('',(0.,0.,-1.)); #387352=DIRECTION('center_axis',(0.,0.,1.)); #387353=DIRECTION('ref_axis',(1.,0.,0.)); #387354=DIRECTION('center_axis',(0.,0.,1.)); #387355=DIRECTION('ref_axis',(1.,0.,0.)); #387356=DIRECTION('center_axis',(0.,0.,1.)); #387357=DIRECTION('ref_axis',(1.,0.,0.)); #387358=DIRECTION('',(0.,0.,-1.)); #387359=DIRECTION('center_axis',(0.,0.,1.)); #387360=DIRECTION('ref_axis',(1.,0.,0.)); #387361=DIRECTION('center_axis',(0.,0.,1.)); #387362=DIRECTION('ref_axis',(1.,0.,0.)); #387363=DIRECTION('center_axis',(0.,0.,1.)); #387364=DIRECTION('ref_axis',(1.,0.,0.)); #387365=DIRECTION('center_axis',(0.,0.,1.)); #387366=DIRECTION('ref_axis',(1.,0.,0.)); #387367=DIRECTION('center_axis',(0.,0.,-1.)); #387368=DIRECTION('ref_axis',(1.,0.,0.)); #387369=DIRECTION('',(0.,0.,-1.)); #387370=DIRECTION('center_axis',(0.,0.,1.)); #387371=DIRECTION('ref_axis',(1.,0.,0.)); #387372=DIRECTION('center_axis',(0.,0.,1.)); #387373=DIRECTION('ref_axis',(1.,0.,0.)); #387374=DIRECTION('center_axis',(0.,0.,1.)); #387375=DIRECTION('ref_axis',(1.,0.,0.)); #387376=DIRECTION('',(0.,0.,-1.)); #387377=DIRECTION('center_axis',(0.,0.,1.)); #387378=DIRECTION('ref_axis',(1.,0.,0.)); #387379=DIRECTION('center_axis',(0.,0.,1.)); #387380=DIRECTION('ref_axis',(1.,0.,0.)); #387381=DIRECTION('center_axis',(0.,0.,1.)); #387382=DIRECTION('ref_axis',(1.,0.,0.)); #387383=DIRECTION('center_axis',(0.,0.,1.)); #387384=DIRECTION('ref_axis',(1.,0.,0.)); #387385=DIRECTION('center_axis',(0.,0.,-1.)); #387386=DIRECTION('ref_axis',(1.,0.,0.)); #387387=DIRECTION('',(0.,0.,-1.)); #387388=DIRECTION('center_axis',(0.,0.,1.)); #387389=DIRECTION('ref_axis',(1.,0.,0.)); #387390=DIRECTION('center_axis',(0.,0.,1.)); #387391=DIRECTION('ref_axis',(1.,0.,0.)); #387392=DIRECTION('center_axis',(0.,0.,1.)); #387393=DIRECTION('ref_axis',(1.,0.,0.)); #387394=DIRECTION('',(0.,0.,-1.)); #387395=DIRECTION('center_axis',(0.,0.,1.)); #387396=DIRECTION('ref_axis',(1.,0.,0.)); #387397=DIRECTION('center_axis',(0.,0.,1.)); #387398=DIRECTION('ref_axis',(1.,0.,0.)); #387399=DIRECTION('center_axis',(0.,0.,1.)); #387400=DIRECTION('ref_axis',(1.,0.,0.)); #387401=DIRECTION('center_axis',(0.,0.,1.)); #387402=DIRECTION('ref_axis',(1.,0.,0.)); #387403=DIRECTION('center_axis',(0.,0.,-1.)); #387404=DIRECTION('ref_axis',(1.,0.,0.)); #387405=DIRECTION('',(0.,0.,-1.)); #387406=DIRECTION('center_axis',(0.,0.,1.)); #387407=DIRECTION('ref_axis',(1.,0.,0.)); #387408=DIRECTION('center_axis',(0.,0.,1.)); #387409=DIRECTION('ref_axis',(1.,0.,0.)); #387410=DIRECTION('center_axis',(0.,0.,1.)); #387411=DIRECTION('ref_axis',(1.,0.,0.)); #387412=DIRECTION('',(0.,0.,-1.)); #387413=DIRECTION('center_axis',(0.,0.,1.)); #387414=DIRECTION('ref_axis',(1.,0.,0.)); #387415=DIRECTION('center_axis',(0.,0.,1.)); #387416=DIRECTION('ref_axis',(1.,0.,0.)); #387417=DIRECTION('center_axis',(0.,0.,1.)); #387418=DIRECTION('ref_axis',(1.,0.,0.)); #387419=DIRECTION('center_axis',(0.,0.,1.)); #387420=DIRECTION('ref_axis',(1.,0.,0.)); #387421=DIRECTION('center_axis',(0.,0.,-1.)); #387422=DIRECTION('ref_axis',(1.,0.,0.)); #387423=DIRECTION('',(0.,0.,-1.)); #387424=DIRECTION('center_axis',(0.,0.,1.)); #387425=DIRECTION('ref_axis',(1.,0.,0.)); #387426=DIRECTION('center_axis',(0.,0.,1.)); #387427=DIRECTION('ref_axis',(1.,0.,0.)); #387428=DIRECTION('center_axis',(0.,0.,1.)); #387429=DIRECTION('ref_axis',(1.,0.,0.)); #387430=DIRECTION('',(0.,0.,-1.)); #387431=DIRECTION('center_axis',(0.,0.,1.)); #387432=DIRECTION('ref_axis',(1.,0.,0.)); #387433=DIRECTION('center_axis',(0.,0.,1.)); #387434=DIRECTION('ref_axis',(1.,0.,0.)); #387435=DIRECTION('center_axis',(0.,0.,1.)); #387436=DIRECTION('ref_axis',(1.,0.,0.)); #387437=DIRECTION('center_axis',(0.,0.,1.)); #387438=DIRECTION('ref_axis',(1.,0.,0.)); #387439=DIRECTION('center_axis',(0.,0.,-1.)); #387440=DIRECTION('ref_axis',(1.,0.,0.)); #387441=DIRECTION('',(0.,0.,-1.)); #387442=DIRECTION('center_axis',(0.,0.,1.)); #387443=DIRECTION('ref_axis',(1.,0.,0.)); #387444=DIRECTION('center_axis',(0.,0.,1.)); #387445=DIRECTION('ref_axis',(1.,0.,0.)); #387446=DIRECTION('center_axis',(0.,0.,1.)); #387447=DIRECTION('ref_axis',(1.,0.,0.)); #387448=DIRECTION('',(0.,0.,-1.)); #387449=DIRECTION('center_axis',(0.,0.,1.)); #387450=DIRECTION('ref_axis',(1.,0.,0.)); #387451=DIRECTION('center_axis',(0.,0.,1.)); #387452=DIRECTION('ref_axis',(1.,0.,0.)); #387453=DIRECTION('center_axis',(0.,0.,1.)); #387454=DIRECTION('ref_axis',(1.,0.,0.)); #387455=DIRECTION('center_axis',(0.,0.,1.)); #387456=DIRECTION('ref_axis',(1.,0.,0.)); #387457=DIRECTION('center_axis',(0.,0.,-1.)); #387458=DIRECTION('ref_axis',(1.,0.,0.)); #387459=DIRECTION('',(0.,0.,-1.)); #387460=DIRECTION('center_axis',(0.,0.,1.)); #387461=DIRECTION('ref_axis',(1.,0.,0.)); #387462=DIRECTION('center_axis',(0.,0.,1.)); #387463=DIRECTION('ref_axis',(1.,0.,0.)); #387464=DIRECTION('center_axis',(0.,0.,1.)); #387465=DIRECTION('ref_axis',(1.,0.,0.)); #387466=DIRECTION('',(0.,0.,-1.)); #387467=DIRECTION('center_axis',(0.,0.,1.)); #387468=DIRECTION('ref_axis',(1.,0.,0.)); #387469=DIRECTION('center_axis',(0.,0.,1.)); #387470=DIRECTION('ref_axis',(1.,0.,0.)); #387471=DIRECTION('center_axis',(0.,0.,1.)); #387472=DIRECTION('ref_axis',(1.,0.,0.)); #387473=DIRECTION('center_axis',(0.,0.,1.)); #387474=DIRECTION('ref_axis',(1.,0.,0.)); #387475=DIRECTION('center_axis',(0.,0.,-1.)); #387476=DIRECTION('ref_axis',(1.,0.,0.)); #387477=DIRECTION('',(0.,0.,-1.)); #387478=DIRECTION('center_axis',(0.,0.,1.)); #387479=DIRECTION('ref_axis',(1.,0.,0.)); #387480=DIRECTION('center_axis',(0.,0.,1.)); #387481=DIRECTION('ref_axis',(1.,0.,0.)); #387482=DIRECTION('center_axis',(0.,0.,1.)); #387483=DIRECTION('ref_axis',(1.,0.,0.)); #387484=DIRECTION('',(0.,0.,-1.)); #387485=DIRECTION('center_axis',(0.,0.,1.)); #387486=DIRECTION('ref_axis',(1.,0.,0.)); #387487=DIRECTION('center_axis',(0.,0.,1.)); #387488=DIRECTION('ref_axis',(1.,0.,0.)); #387489=DIRECTION('center_axis',(0.,0.,1.)); #387490=DIRECTION('ref_axis',(1.,0.,0.)); #387491=DIRECTION('center_axis',(0.,0.,1.)); #387492=DIRECTION('ref_axis',(1.,0.,0.)); #387493=DIRECTION('center_axis',(0.,0.,-1.)); #387494=DIRECTION('ref_axis',(1.,0.,0.)); #387495=DIRECTION('',(0.,0.,-1.)); #387496=DIRECTION('center_axis',(0.,0.,1.)); #387497=DIRECTION('ref_axis',(1.,0.,0.)); #387498=DIRECTION('center_axis',(0.,0.,1.)); #387499=DIRECTION('ref_axis',(1.,0.,0.)); #387500=DIRECTION('center_axis',(0.,0.,1.)); #387501=DIRECTION('ref_axis',(1.,0.,0.)); #387502=DIRECTION('',(0.,0.,-1.)); #387503=DIRECTION('center_axis',(0.,0.,1.)); #387504=DIRECTION('ref_axis',(1.,0.,0.)); #387505=DIRECTION('center_axis',(0.,0.,1.)); #387506=DIRECTION('ref_axis',(1.,0.,0.)); #387507=DIRECTION('center_axis',(0.,0.,1.)); #387508=DIRECTION('ref_axis',(1.,0.,0.)); #387509=DIRECTION('center_axis',(0.,0.,1.)); #387510=DIRECTION('ref_axis',(1.,0.,0.)); #387511=DIRECTION('center_axis',(0.,0.,-1.)); #387512=DIRECTION('ref_axis',(1.,0.,0.)); #387513=DIRECTION('',(0.,0.,-1.)); #387514=DIRECTION('center_axis',(0.,0.,1.)); #387515=DIRECTION('ref_axis',(1.,0.,0.)); #387516=DIRECTION('center_axis',(0.,0.,1.)); #387517=DIRECTION('ref_axis',(1.,0.,0.)); #387518=DIRECTION('center_axis',(0.,0.,1.)); #387519=DIRECTION('ref_axis',(1.,0.,0.)); #387520=DIRECTION('',(0.,0.,-1.)); #387521=DIRECTION('center_axis',(0.,0.,1.)); #387522=DIRECTION('ref_axis',(1.,0.,0.)); #387523=DIRECTION('center_axis',(0.,0.,1.)); #387524=DIRECTION('ref_axis',(1.,0.,0.)); #387525=DIRECTION('center_axis',(0.,0.,1.)); #387526=DIRECTION('ref_axis',(1.,0.,0.)); #387527=DIRECTION('center_axis',(0.,0.,1.)); #387528=DIRECTION('ref_axis',(1.,0.,0.)); #387529=DIRECTION('center_axis',(0.,0.,-1.)); #387530=DIRECTION('ref_axis',(1.,0.,0.)); #387531=DIRECTION('',(0.,0.,-1.)); #387532=DIRECTION('center_axis',(0.,0.,1.)); #387533=DIRECTION('ref_axis',(1.,0.,0.)); #387534=DIRECTION('center_axis',(0.,0.,1.)); #387535=DIRECTION('ref_axis',(1.,0.,0.)); #387536=DIRECTION('center_axis',(0.,0.,1.)); #387537=DIRECTION('ref_axis',(1.,0.,0.)); #387538=DIRECTION('',(0.,0.,-1.)); #387539=DIRECTION('center_axis',(0.,0.,1.)); #387540=DIRECTION('ref_axis',(1.,0.,0.)); #387541=DIRECTION('center_axis',(0.,0.,1.)); #387542=DIRECTION('ref_axis',(1.,0.,0.)); #387543=DIRECTION('center_axis',(0.,0.,1.)); #387544=DIRECTION('ref_axis',(1.,0.,0.)); #387545=DIRECTION('center_axis',(0.,0.,1.)); #387546=DIRECTION('ref_axis',(1.,0.,0.)); #387547=DIRECTION('center_axis',(0.,0.,-1.)); #387548=DIRECTION('ref_axis',(1.,0.,0.)); #387549=DIRECTION('',(0.,0.,-1.)); #387550=DIRECTION('center_axis',(0.,0.,1.)); #387551=DIRECTION('ref_axis',(1.,0.,0.)); #387552=DIRECTION('center_axis',(0.,0.,1.)); #387553=DIRECTION('ref_axis',(1.,0.,0.)); #387554=DIRECTION('center_axis',(0.,0.,1.)); #387555=DIRECTION('ref_axis',(1.,0.,0.)); #387556=DIRECTION('',(0.,0.,-1.)); #387557=DIRECTION('center_axis',(0.,0.,1.)); #387558=DIRECTION('ref_axis',(1.,0.,0.)); #387559=DIRECTION('center_axis',(0.,0.,1.)); #387560=DIRECTION('ref_axis',(1.,0.,0.)); #387561=DIRECTION('center_axis',(0.,0.,1.)); #387562=DIRECTION('ref_axis',(1.,0.,0.)); #387563=DIRECTION('center_axis',(0.,0.,1.)); #387564=DIRECTION('ref_axis',(1.,0.,0.)); #387565=DIRECTION('center_axis',(0.,0.,-1.)); #387566=DIRECTION('ref_axis',(1.,0.,0.)); #387567=DIRECTION('',(0.,0.,-1.)); #387568=DIRECTION('center_axis',(0.,0.,1.)); #387569=DIRECTION('ref_axis',(1.,0.,0.)); #387570=DIRECTION('center_axis',(0.,0.,1.)); #387571=DIRECTION('ref_axis',(1.,0.,0.)); #387572=DIRECTION('center_axis',(0.,0.,1.)); #387573=DIRECTION('ref_axis',(1.,0.,0.)); #387574=DIRECTION('',(0.,0.,-1.)); #387575=DIRECTION('center_axis',(0.,0.,1.)); #387576=DIRECTION('ref_axis',(1.,0.,0.)); #387577=DIRECTION('center_axis',(0.,0.,1.)); #387578=DIRECTION('ref_axis',(1.,0.,0.)); #387579=DIRECTION('center_axis',(0.,0.,1.)); #387580=DIRECTION('ref_axis',(1.,0.,0.)); #387581=DIRECTION('center_axis',(0.,0.,1.)); #387582=DIRECTION('ref_axis',(1.,0.,0.)); #387583=DIRECTION('center_axis',(0.,0.,-1.)); #387584=DIRECTION('ref_axis',(1.,0.,0.)); #387585=DIRECTION('',(0.,0.,-1.)); #387586=DIRECTION('center_axis',(0.,0.,1.)); #387587=DIRECTION('ref_axis',(1.,0.,0.)); #387588=DIRECTION('center_axis',(0.,0.,1.)); #387589=DIRECTION('ref_axis',(1.,0.,0.)); #387590=DIRECTION('center_axis',(0.,0.,1.)); #387591=DIRECTION('ref_axis',(1.,0.,0.)); #387592=DIRECTION('',(0.,0.,-1.)); #387593=DIRECTION('center_axis',(0.,0.,1.)); #387594=DIRECTION('ref_axis',(1.,0.,0.)); #387595=DIRECTION('center_axis',(0.,0.,1.)); #387596=DIRECTION('ref_axis',(1.,0.,0.)); #387597=DIRECTION('center_axis',(0.,0.,1.)); #387598=DIRECTION('ref_axis',(1.,0.,0.)); #387599=DIRECTION('center_axis',(0.,0.,1.)); #387600=DIRECTION('ref_axis',(1.,0.,0.)); #387601=DIRECTION('center_axis',(0.,0.,-1.)); #387602=DIRECTION('ref_axis',(1.,0.,0.)); #387603=DIRECTION('',(0.,0.,-1.)); #387604=DIRECTION('center_axis',(0.,0.,1.)); #387605=DIRECTION('ref_axis',(1.,0.,0.)); #387606=DIRECTION('center_axis',(0.,0.,1.)); #387607=DIRECTION('ref_axis',(1.,0.,0.)); #387608=DIRECTION('center_axis',(0.,0.,1.)); #387609=DIRECTION('ref_axis',(1.,0.,0.)); #387610=DIRECTION('',(0.,0.,-1.)); #387611=DIRECTION('center_axis',(0.,0.,1.)); #387612=DIRECTION('ref_axis',(1.,0.,0.)); #387613=DIRECTION('center_axis',(0.,0.,1.)); #387614=DIRECTION('ref_axis',(1.,0.,0.)); #387615=DIRECTION('center_axis',(0.,0.,1.)); #387616=DIRECTION('ref_axis',(1.,0.,0.)); #387617=DIRECTION('center_axis',(0.,0.,1.)); #387618=DIRECTION('ref_axis',(1.,0.,0.)); #387619=DIRECTION('center_axis',(0.,0.,-1.)); #387620=DIRECTION('ref_axis',(1.,0.,0.)); #387621=DIRECTION('',(0.,0.,-1.)); #387622=DIRECTION('center_axis',(0.,0.,1.)); #387623=DIRECTION('ref_axis',(1.,0.,0.)); #387624=DIRECTION('center_axis',(0.,0.,1.)); #387625=DIRECTION('ref_axis',(1.,0.,0.)); #387626=DIRECTION('center_axis',(0.,0.,1.)); #387627=DIRECTION('ref_axis',(1.,0.,0.)); #387628=DIRECTION('',(0.,0.,-1.)); #387629=DIRECTION('center_axis',(0.,0.,1.)); #387630=DIRECTION('ref_axis',(1.,0.,0.)); #387631=DIRECTION('center_axis',(0.,0.,1.)); #387632=DIRECTION('ref_axis',(1.,0.,0.)); #387633=DIRECTION('center_axis',(0.,0.,1.)); #387634=DIRECTION('ref_axis',(1.,0.,0.)); #387635=DIRECTION('center_axis',(0.,0.,1.)); #387636=DIRECTION('ref_axis',(1.,0.,0.)); #387637=DIRECTION('center_axis',(0.,0.,-1.)); #387638=DIRECTION('ref_axis',(1.,0.,0.)); #387639=DIRECTION('',(0.,0.,-1.)); #387640=DIRECTION('center_axis',(0.,0.,1.)); #387641=DIRECTION('ref_axis',(1.,0.,0.)); #387642=DIRECTION('center_axis',(0.,0.,1.)); #387643=DIRECTION('ref_axis',(1.,0.,0.)); #387644=DIRECTION('center_axis',(0.,0.,1.)); #387645=DIRECTION('ref_axis',(1.,0.,0.)); #387646=DIRECTION('',(0.,0.,-1.)); #387647=DIRECTION('center_axis',(0.,0.,1.)); #387648=DIRECTION('ref_axis',(1.,0.,0.)); #387649=DIRECTION('center_axis',(0.,0.,1.)); #387650=DIRECTION('ref_axis',(1.,0.,0.)); #387651=DIRECTION('center_axis',(0.,0.,1.)); #387652=DIRECTION('ref_axis',(1.,0.,0.)); #387653=DIRECTION('center_axis',(0.,0.,1.)); #387654=DIRECTION('ref_axis',(1.,0.,0.)); #387655=DIRECTION('center_axis',(0.,0.,-1.)); #387656=DIRECTION('ref_axis',(1.,0.,0.)); #387657=DIRECTION('',(0.,0.,-1.)); #387658=DIRECTION('center_axis',(0.,0.,1.)); #387659=DIRECTION('ref_axis',(1.,0.,0.)); #387660=DIRECTION('center_axis',(0.,0.,1.)); #387661=DIRECTION('ref_axis',(1.,0.,0.)); #387662=DIRECTION('center_axis',(0.,0.,1.)); #387663=DIRECTION('ref_axis',(1.,0.,0.)); #387664=DIRECTION('',(0.,0.,-1.)); #387665=DIRECTION('center_axis',(0.,0.,1.)); #387666=DIRECTION('ref_axis',(1.,0.,0.)); #387667=DIRECTION('center_axis',(0.,0.,1.)); #387668=DIRECTION('ref_axis',(1.,0.,0.)); #387669=DIRECTION('center_axis',(0.,0.,1.)); #387670=DIRECTION('ref_axis',(1.,0.,0.)); #387671=DIRECTION('center_axis',(0.,0.,1.)); #387672=DIRECTION('ref_axis',(1.,0.,0.)); #387673=DIRECTION('center_axis',(0.,0.,-1.)); #387674=DIRECTION('ref_axis',(1.,0.,0.)); #387675=DIRECTION('',(0.,0.,-1.)); #387676=DIRECTION('center_axis',(0.,0.,1.)); #387677=DIRECTION('ref_axis',(1.,0.,0.)); #387678=DIRECTION('center_axis',(0.,0.,1.)); #387679=DIRECTION('ref_axis',(1.,0.,0.)); #387680=DIRECTION('center_axis',(0.,0.,1.)); #387681=DIRECTION('ref_axis',(1.,0.,0.)); #387682=DIRECTION('',(0.,0.,-1.)); #387683=DIRECTION('center_axis',(0.,0.,1.)); #387684=DIRECTION('ref_axis',(1.,0.,0.)); #387685=DIRECTION('center_axis',(0.,0.,1.)); #387686=DIRECTION('ref_axis',(1.,0.,0.)); #387687=DIRECTION('center_axis',(0.,0.,1.)); #387688=DIRECTION('ref_axis',(1.,0.,0.)); #387689=DIRECTION('center_axis',(0.,0.,1.)); #387690=DIRECTION('ref_axis',(1.,0.,0.)); #387691=DIRECTION('center_axis',(0.,0.,-1.)); #387692=DIRECTION('ref_axis',(1.,0.,0.)); #387693=DIRECTION('',(0.,0.,-1.)); #387694=DIRECTION('center_axis',(0.,0.,1.)); #387695=DIRECTION('ref_axis',(1.,0.,0.)); #387696=DIRECTION('center_axis',(0.,0.,1.)); #387697=DIRECTION('ref_axis',(1.,0.,0.)); #387698=DIRECTION('center_axis',(0.,0.,1.)); #387699=DIRECTION('ref_axis',(1.,0.,0.)); #387700=DIRECTION('',(0.,0.,-1.)); #387701=DIRECTION('center_axis',(0.,0.,1.)); #387702=DIRECTION('ref_axis',(1.,0.,0.)); #387703=DIRECTION('center_axis',(0.,0.,1.)); #387704=DIRECTION('ref_axis',(1.,0.,0.)); #387705=DIRECTION('center_axis',(0.,0.,1.)); #387706=DIRECTION('ref_axis',(1.,0.,0.)); #387707=DIRECTION('center_axis',(0.,0.,1.)); #387708=DIRECTION('ref_axis',(1.,0.,0.)); #387709=DIRECTION('center_axis',(0.,0.,-1.)); #387710=DIRECTION('ref_axis',(1.,0.,0.)); #387711=DIRECTION('',(0.,0.,-1.)); #387712=DIRECTION('center_axis',(0.,0.,1.)); #387713=DIRECTION('ref_axis',(1.,0.,0.)); #387714=DIRECTION('center_axis',(0.,0.,1.)); #387715=DIRECTION('ref_axis',(1.,0.,0.)); #387716=DIRECTION('center_axis',(0.,0.,1.)); #387717=DIRECTION('ref_axis',(1.,0.,0.)); #387718=DIRECTION('',(0.,0.,-1.)); #387719=DIRECTION('center_axis',(0.,0.,1.)); #387720=DIRECTION('ref_axis',(1.,0.,0.)); #387721=DIRECTION('center_axis',(0.,0.,1.)); #387722=DIRECTION('ref_axis',(1.,0.,0.)); #387723=DIRECTION('center_axis',(0.,0.,1.)); #387724=DIRECTION('ref_axis',(1.,0.,0.)); #387725=DIRECTION('center_axis',(0.,0.,1.)); #387726=DIRECTION('ref_axis',(1.,0.,0.)); #387727=DIRECTION('center_axis',(0.,0.,-1.)); #387728=DIRECTION('ref_axis',(1.,0.,0.)); #387729=DIRECTION('',(0.,0.,-1.)); #387730=DIRECTION('center_axis',(0.,0.,1.)); #387731=DIRECTION('ref_axis',(1.,0.,0.)); #387732=DIRECTION('center_axis',(0.,0.,1.)); #387733=DIRECTION('ref_axis',(1.,0.,0.)); #387734=DIRECTION('center_axis',(0.,0.,1.)); #387735=DIRECTION('ref_axis',(1.,0.,0.)); #387736=DIRECTION('',(0.,0.,-1.)); #387737=DIRECTION('center_axis',(0.,0.,1.)); #387738=DIRECTION('ref_axis',(1.,0.,0.)); #387739=DIRECTION('center_axis',(0.,0.,1.)); #387740=DIRECTION('ref_axis',(1.,0.,0.)); #387741=DIRECTION('center_axis',(0.,0.,1.)); #387742=DIRECTION('ref_axis',(1.,0.,0.)); #387743=DIRECTION('center_axis',(0.,0.,1.)); #387744=DIRECTION('ref_axis',(1.,0.,0.)); #387745=DIRECTION('center_axis',(0.,0.,-1.)); #387746=DIRECTION('ref_axis',(1.,0.,0.)); #387747=DIRECTION('',(0.,0.,-1.)); #387748=DIRECTION('center_axis',(0.,0.,1.)); #387749=DIRECTION('ref_axis',(1.,0.,0.)); #387750=DIRECTION('center_axis',(0.,0.,1.)); #387751=DIRECTION('ref_axis',(1.,0.,0.)); #387752=DIRECTION('center_axis',(0.,0.,1.)); #387753=DIRECTION('ref_axis',(1.,0.,0.)); #387754=DIRECTION('',(0.,0.,-1.)); #387755=DIRECTION('center_axis',(0.,0.,1.)); #387756=DIRECTION('ref_axis',(1.,0.,0.)); #387757=DIRECTION('center_axis',(0.,0.,1.)); #387758=DIRECTION('ref_axis',(1.,0.,0.)); #387759=DIRECTION('center_axis',(0.,0.,1.)); #387760=DIRECTION('ref_axis',(1.,0.,0.)); #387761=DIRECTION('center_axis',(0.,0.,1.)); #387762=DIRECTION('ref_axis',(1.,0.,0.)); #387763=DIRECTION('center_axis',(0.,0.,-1.)); #387764=DIRECTION('ref_axis',(1.,0.,0.)); #387765=DIRECTION('',(0.,0.,-1.)); #387766=DIRECTION('center_axis',(0.,0.,1.)); #387767=DIRECTION('ref_axis',(1.,0.,0.)); #387768=DIRECTION('center_axis',(0.,0.,1.)); #387769=DIRECTION('ref_axis',(1.,0.,0.)); #387770=DIRECTION('center_axis',(0.,0.,1.)); #387771=DIRECTION('ref_axis',(1.,0.,0.)); #387772=DIRECTION('',(0.,0.,-1.)); #387773=DIRECTION('center_axis',(0.,0.,1.)); #387774=DIRECTION('ref_axis',(1.,0.,0.)); #387775=DIRECTION('center_axis',(0.,0.,1.)); #387776=DIRECTION('ref_axis',(1.,0.,0.)); #387777=DIRECTION('center_axis',(0.,0.,1.)); #387778=DIRECTION('ref_axis',(1.,0.,0.)); #387779=DIRECTION('center_axis',(0.,0.,1.)); #387780=DIRECTION('ref_axis',(1.,0.,0.)); #387781=DIRECTION('center_axis',(0.,0.,-1.)); #387782=DIRECTION('ref_axis',(1.,0.,0.)); #387783=DIRECTION('',(0.,0.,-1.)); #387784=DIRECTION('center_axis',(0.,0.,1.)); #387785=DIRECTION('ref_axis',(1.,0.,0.)); #387786=DIRECTION('center_axis',(0.,0.,1.)); #387787=DIRECTION('ref_axis',(1.,0.,0.)); #387788=DIRECTION('center_axis',(0.,0.,1.)); #387789=DIRECTION('ref_axis',(1.,0.,0.)); #387790=DIRECTION('',(0.,0.,-1.)); #387791=DIRECTION('center_axis',(0.,0.,1.)); #387792=DIRECTION('ref_axis',(1.,0.,0.)); #387793=DIRECTION('center_axis',(0.,0.,1.)); #387794=DIRECTION('ref_axis',(1.,0.,0.)); #387795=DIRECTION('center_axis',(0.,0.,1.)); #387796=DIRECTION('ref_axis',(1.,0.,0.)); #387797=DIRECTION('center_axis',(-1.,0.,0.)); #387798=DIRECTION('ref_axis',(0.,-1.,0.)); #387799=DIRECTION('',(0.,-1.,0.)); #387800=DIRECTION('',(0.,0.,1.)); #387801=DIRECTION('',(0.,-1.,0.)); #387802=DIRECTION('',(0.,0.,1.)); #387803=DIRECTION('center_axis',(0.,0.,1.)); #387804=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387805=DIRECTION('center_axis',(0.,0.,1.)); #387806=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387807=DIRECTION('center_axis',(0.,0.,-1.)); #387808=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387809=DIRECTION('',(0.,0.,1.)); #387810=DIRECTION('center_axis',(1.,0.,0.)); #387811=DIRECTION('ref_axis',(0.,1.,0.)); #387812=DIRECTION('',(0.,1.,0.)); #387813=DIRECTION('',(0.,1.,0.)); #387814=DIRECTION('',(0.,0.,1.)); #387815=DIRECTION('center_axis',(0.,0.,1.)); #387816=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387817=DIRECTION('center_axis',(0.,0.,1.)); #387818=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387819=DIRECTION('center_axis',(0.,0.,-1.)); #387820=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387821=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #387822=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #387823=DIRECTION('',(5.55111499297693E-15,1.,0.)); #387824=DIRECTION('',(0.,0.,1.)); #387825=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #387826=DIRECTION('',(0.,0.,1.)); #387827=DIRECTION('center_axis',(0.,0.,1.)); #387828=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387829=DIRECTION('center_axis',(0.,0.,1.)); #387830=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387831=DIRECTION('center_axis',(0.,0.,1.)); #387832=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387833=DIRECTION('',(0.,0.,1.)); #387834=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #387835=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #387836=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #387837=DIRECTION('',(5.55111499297693E-15,1.,0.)); #387838=DIRECTION('',(0.,0.,1.)); #387839=DIRECTION('center_axis',(0.,0.,1.)); #387840=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387841=DIRECTION('center_axis',(0.,0.,1.)); #387842=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387843=DIRECTION('center_axis',(0.,0.,1.)); #387844=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387845=DIRECTION('center_axis',(0.,0.,1.)); #387846=DIRECTION('ref_axis',(1.,0.,0.)); #387847=DIRECTION('center_axis',(0.,0.,1.)); #387848=DIRECTION('ref_axis',(1.,0.,0.)); #387849=DIRECTION('center_axis',(0.,0.,1.)); #387850=DIRECTION('ref_axis',(1.,0.,0.)); #387851=DIRECTION('center_axis',(0.,0.,-1.)); #387852=DIRECTION('ref_axis',(1.,0.,0.)); #387853=DIRECTION('',(0.,0.,-1.)); #387854=DIRECTION('center_axis',(0.,0.,1.)); #387855=DIRECTION('ref_axis',(1.,0.,0.)); #387856=DIRECTION('center_axis',(0.,0.,1.)); #387857=DIRECTION('ref_axis',(1.,0.,0.)); #387858=DIRECTION('center_axis',(0.,0.,1.)); #387859=DIRECTION('ref_axis',(1.,0.,0.)); #387860=DIRECTION('',(0.,0.,-1.)); #387861=DIRECTION('center_axis',(0.,0.,1.)); #387862=DIRECTION('ref_axis',(1.,0.,0.)); #387863=DIRECTION('center_axis',(0.,0.,1.)); #387864=DIRECTION('ref_axis',(1.,0.,0.)); #387865=DIRECTION('center_axis',(0.,0.,1.)); #387866=DIRECTION('ref_axis',(1.,0.,0.)); #387867=DIRECTION('center_axis',(-1.,0.,0.)); #387868=DIRECTION('ref_axis',(0.,-1.,0.)); #387869=DIRECTION('',(0.,-1.,0.)); #387870=DIRECTION('',(0.,0.,1.)); #387871=DIRECTION('',(0.,-1.,0.)); #387872=DIRECTION('',(0.,0.,1.)); #387873=DIRECTION('center_axis',(0.,0.,1.)); #387874=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #387875=DIRECTION('center_axis',(0.,0.,1.)); #387876=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #387877=DIRECTION('center_axis',(0.,0.,-1.)); #387878=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #387879=DIRECTION('',(0.,0.,1.)); #387880=DIRECTION('center_axis',(1.,0.,0.)); #387881=DIRECTION('ref_axis',(0.,1.,0.)); #387882=DIRECTION('',(0.,1.,0.)); #387883=DIRECTION('',(0.,1.,0.)); #387884=DIRECTION('',(0.,0.,1.)); #387885=DIRECTION('center_axis',(0.,0.,1.)); #387886=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #387887=DIRECTION('center_axis',(0.,0.,1.)); #387888=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #387889=DIRECTION('center_axis',(0.,0.,-1.)); #387890=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #387891=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #387892=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #387893=DIRECTION('',(5.55111499297693E-15,1.,0.)); #387894=DIRECTION('',(0.,0.,1.)); #387895=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #387896=DIRECTION('',(0.,0.,1.)); #387897=DIRECTION('center_axis',(0.,0.,1.)); #387898=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387899=DIRECTION('center_axis',(0.,0.,1.)); #387900=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387901=DIRECTION('center_axis',(0.,0.,1.)); #387902=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387903=DIRECTION('',(0.,0.,1.)); #387904=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #387905=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #387906=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #387907=DIRECTION('',(5.55111499297693E-15,1.,0.)); #387908=DIRECTION('',(0.,0.,1.)); #387909=DIRECTION('center_axis',(0.,0.,1.)); #387910=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387911=DIRECTION('center_axis',(0.,0.,1.)); #387912=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387913=DIRECTION('center_axis',(0.,0.,1.)); #387914=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387915=DIRECTION('center_axis',(0.,0.,1.)); #387916=DIRECTION('ref_axis',(1.,0.,0.)); #387917=DIRECTION('center_axis',(0.,0.,1.)); #387918=DIRECTION('ref_axis',(1.,0.,0.)); #387919=DIRECTION('center_axis',(0.,0.,1.)); #387920=DIRECTION('ref_axis',(1.,0.,0.)); #387921=DIRECTION('center_axis',(0.,0.,-1.)); #387922=DIRECTION('ref_axis',(1.,0.,0.)); #387923=DIRECTION('',(0.,0.,-1.)); #387924=DIRECTION('center_axis',(0.,0.,1.)); #387925=DIRECTION('ref_axis',(1.,0.,0.)); #387926=DIRECTION('center_axis',(0.,0.,1.)); #387927=DIRECTION('ref_axis',(1.,0.,0.)); #387928=DIRECTION('center_axis',(0.,0.,1.)); #387929=DIRECTION('ref_axis',(1.,0.,0.)); #387930=DIRECTION('',(0.,0.,-1.)); #387931=DIRECTION('center_axis',(0.,0.,1.)); #387932=DIRECTION('ref_axis',(1.,0.,0.)); #387933=DIRECTION('center_axis',(0.,0.,1.)); #387934=DIRECTION('ref_axis',(1.,0.,0.)); #387935=DIRECTION('center_axis',(0.,0.,1.)); #387936=DIRECTION('ref_axis',(1.,0.,0.)); #387937=DIRECTION('center_axis',(-1.,0.,0.)); #387938=DIRECTION('ref_axis',(0.,-1.,0.)); #387939=DIRECTION('',(0.,-1.,0.)); #387940=DIRECTION('',(0.,0.,1.)); #387941=DIRECTION('',(0.,-1.,0.)); #387942=DIRECTION('',(0.,0.,1.)); #387943=DIRECTION('center_axis',(0.,0.,1.)); #387944=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387945=DIRECTION('center_axis',(0.,0.,1.)); #387946=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387947=DIRECTION('center_axis',(0.,0.,-1.)); #387948=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387949=DIRECTION('',(0.,0.,1.)); #387950=DIRECTION('center_axis',(1.,0.,0.)); #387951=DIRECTION('ref_axis',(0.,1.,0.)); #387952=DIRECTION('',(0.,1.,0.)); #387953=DIRECTION('',(0.,1.,0.)); #387954=DIRECTION('',(0.,0.,1.)); #387955=DIRECTION('center_axis',(0.,0.,1.)); #387956=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387957=DIRECTION('center_axis',(0.,0.,1.)); #387958=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387959=DIRECTION('center_axis',(0.,0.,-1.)); #387960=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387961=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #387962=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #387963=DIRECTION('',(5.55111499297693E-15,1.,0.)); #387964=DIRECTION('',(0.,0.,1.)); #387965=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #387966=DIRECTION('',(0.,0.,1.)); #387967=DIRECTION('center_axis',(0.,0.,1.)); #387968=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387969=DIRECTION('center_axis',(0.,0.,1.)); #387970=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387971=DIRECTION('center_axis',(0.,0.,1.)); #387972=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #387973=DIRECTION('',(0.,0.,1.)); #387974=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #387975=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #387976=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #387977=DIRECTION('',(5.55111499297693E-15,1.,0.)); #387978=DIRECTION('',(0.,0.,1.)); #387979=DIRECTION('center_axis',(0.,0.,1.)); #387980=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387981=DIRECTION('center_axis',(0.,0.,1.)); #387982=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387983=DIRECTION('center_axis',(0.,0.,1.)); #387984=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #387985=DIRECTION('center_axis',(0.,0.,1.)); #387986=DIRECTION('ref_axis',(1.,0.,0.)); #387987=DIRECTION('center_axis',(0.,0.,1.)); #387988=DIRECTION('ref_axis',(1.,0.,0.)); #387989=DIRECTION('center_axis',(0.,0.,1.)); #387990=DIRECTION('ref_axis',(1.,0.,0.)); #387991=DIRECTION('center_axis',(0.,0.,-1.)); #387992=DIRECTION('ref_axis',(1.,0.,0.)); #387993=DIRECTION('',(0.,0.,-1.)); #387994=DIRECTION('center_axis',(0.,0.,1.)); #387995=DIRECTION('ref_axis',(1.,0.,0.)); #387996=DIRECTION('center_axis',(0.,0.,1.)); #387997=DIRECTION('ref_axis',(1.,0.,0.)); #387998=DIRECTION('center_axis',(0.,0.,1.)); #387999=DIRECTION('ref_axis',(1.,0.,0.)); #388000=DIRECTION('',(0.,0.,-1.)); #388001=DIRECTION('center_axis',(0.,0.,1.)); #388002=DIRECTION('ref_axis',(1.,0.,0.)); #388003=DIRECTION('center_axis',(0.,0.,1.)); #388004=DIRECTION('ref_axis',(1.,0.,0.)); #388005=DIRECTION('center_axis',(0.,0.,1.)); #388006=DIRECTION('ref_axis',(1.,0.,0.)); #388007=DIRECTION('center_axis',(0.,0.,1.)); #388008=DIRECTION('ref_axis',(1.,0.,0.)); #388009=DIRECTION('center_axis',(0.,0.,-1.)); #388010=DIRECTION('ref_axis',(1.,0.,0.)); #388011=DIRECTION('',(0.,0.,-1.)); #388012=DIRECTION('center_axis',(0.,0.,1.)); #388013=DIRECTION('ref_axis',(1.,0.,0.)); #388014=DIRECTION('center_axis',(0.,0.,1.)); #388015=DIRECTION('ref_axis',(1.,0.,0.)); #388016=DIRECTION('center_axis',(0.,0.,1.)); #388017=DIRECTION('ref_axis',(1.,0.,0.)); #388018=DIRECTION('',(0.,0.,-1.)); #388019=DIRECTION('center_axis',(0.,0.,1.)); #388020=DIRECTION('ref_axis',(1.,0.,0.)); #388021=DIRECTION('center_axis',(0.,0.,1.)); #388022=DIRECTION('ref_axis',(1.,0.,0.)); #388023=DIRECTION('center_axis',(0.,0.,1.)); #388024=DIRECTION('ref_axis',(1.,0.,0.)); #388025=DIRECTION('center_axis',(0.,0.,1.)); #388026=DIRECTION('ref_axis',(1.,0.,0.)); #388027=DIRECTION('center_axis',(0.,0.,-1.)); #388028=DIRECTION('ref_axis',(1.,0.,0.)); #388029=DIRECTION('',(0.,0.,-1.)); #388030=DIRECTION('center_axis',(0.,0.,1.)); #388031=DIRECTION('ref_axis',(1.,0.,0.)); #388032=DIRECTION('center_axis',(0.,0.,1.)); #388033=DIRECTION('ref_axis',(1.,0.,0.)); #388034=DIRECTION('center_axis',(0.,0.,1.)); #388035=DIRECTION('ref_axis',(1.,0.,0.)); #388036=DIRECTION('',(0.,0.,-1.)); #388037=DIRECTION('center_axis',(0.,0.,1.)); #388038=DIRECTION('ref_axis',(1.,0.,0.)); #388039=DIRECTION('center_axis',(0.,0.,1.)); #388040=DIRECTION('ref_axis',(1.,0.,0.)); #388041=DIRECTION('center_axis',(0.,0.,1.)); #388042=DIRECTION('ref_axis',(1.,0.,0.)); #388043=DIRECTION('center_axis',(0.,0.,1.)); #388044=DIRECTION('ref_axis',(1.,0.,0.)); #388045=DIRECTION('center_axis',(0.,0.,-1.)); #388046=DIRECTION('ref_axis',(1.,0.,0.)); #388047=DIRECTION('',(0.,0.,-1.)); #388048=DIRECTION('center_axis',(0.,0.,1.)); #388049=DIRECTION('ref_axis',(1.,0.,0.)); #388050=DIRECTION('center_axis',(0.,0.,1.)); #388051=DIRECTION('ref_axis',(1.,0.,0.)); #388052=DIRECTION('center_axis',(0.,0.,1.)); #388053=DIRECTION('ref_axis',(1.,0.,0.)); #388054=DIRECTION('',(0.,0.,-1.)); #388055=DIRECTION('center_axis',(0.,0.,1.)); #388056=DIRECTION('ref_axis',(1.,0.,0.)); #388057=DIRECTION('center_axis',(0.,0.,1.)); #388058=DIRECTION('ref_axis',(1.,0.,0.)); #388059=DIRECTION('center_axis',(0.,0.,1.)); #388060=DIRECTION('ref_axis',(1.,0.,0.)); #388061=DIRECTION('center_axis',(0.,0.,1.)); #388062=DIRECTION('ref_axis',(1.,0.,0.)); #388063=DIRECTION('center_axis',(0.,0.,-1.)); #388064=DIRECTION('ref_axis',(1.,0.,0.)); #388065=DIRECTION('',(0.,0.,-1.)); #388066=DIRECTION('center_axis',(0.,0.,1.)); #388067=DIRECTION('ref_axis',(1.,0.,0.)); #388068=DIRECTION('center_axis',(0.,0.,1.)); #388069=DIRECTION('ref_axis',(1.,0.,0.)); #388070=DIRECTION('center_axis',(0.,0.,1.)); #388071=DIRECTION('ref_axis',(1.,0.,0.)); #388072=DIRECTION('',(0.,0.,-1.)); #388073=DIRECTION('center_axis',(0.,0.,1.)); #388074=DIRECTION('ref_axis',(1.,0.,0.)); #388075=DIRECTION('center_axis',(0.,0.,1.)); #388076=DIRECTION('ref_axis',(1.,0.,0.)); #388077=DIRECTION('center_axis',(0.,0.,1.)); #388078=DIRECTION('ref_axis',(1.,0.,0.)); #388079=DIRECTION('center_axis',(0.,0.,1.)); #388080=DIRECTION('ref_axis',(1.,0.,0.)); #388081=DIRECTION('center_axis',(0.,0.,-1.)); #388082=DIRECTION('ref_axis',(1.,0.,0.)); #388083=DIRECTION('',(0.,0.,-1.)); #388084=DIRECTION('center_axis',(0.,0.,1.)); #388085=DIRECTION('ref_axis',(1.,0.,0.)); #388086=DIRECTION('center_axis',(0.,0.,1.)); #388087=DIRECTION('ref_axis',(1.,0.,0.)); #388088=DIRECTION('center_axis',(0.,0.,1.)); #388089=DIRECTION('ref_axis',(1.,0.,0.)); #388090=DIRECTION('',(0.,0.,-1.)); #388091=DIRECTION('center_axis',(0.,0.,1.)); #388092=DIRECTION('ref_axis',(1.,0.,0.)); #388093=DIRECTION('center_axis',(0.,0.,1.)); #388094=DIRECTION('ref_axis',(1.,0.,0.)); #388095=DIRECTION('center_axis',(0.,0.,1.)); #388096=DIRECTION('ref_axis',(1.,0.,0.)); #388097=DIRECTION('center_axis',(0.,0.,1.)); #388098=DIRECTION('ref_axis',(1.,0.,0.)); #388099=DIRECTION('center_axis',(0.,0.,-1.)); #388100=DIRECTION('ref_axis',(1.,0.,0.)); #388101=DIRECTION('',(0.,0.,-1.)); #388102=DIRECTION('center_axis',(0.,0.,1.)); #388103=DIRECTION('ref_axis',(1.,0.,0.)); #388104=DIRECTION('center_axis',(0.,0.,1.)); #388105=DIRECTION('ref_axis',(1.,0.,0.)); #388106=DIRECTION('center_axis',(0.,0.,1.)); #388107=DIRECTION('ref_axis',(1.,0.,0.)); #388108=DIRECTION('',(0.,0.,-1.)); #388109=DIRECTION('center_axis',(0.,0.,1.)); #388110=DIRECTION('ref_axis',(1.,0.,0.)); #388111=DIRECTION('center_axis',(0.,0.,1.)); #388112=DIRECTION('ref_axis',(1.,0.,0.)); #388113=DIRECTION('center_axis',(0.,0.,1.)); #388114=DIRECTION('ref_axis',(1.,0.,0.)); #388115=DIRECTION('center_axis',(0.,0.,1.)); #388116=DIRECTION('ref_axis',(1.,0.,0.)); #388117=DIRECTION('center_axis',(0.,0.,-1.)); #388118=DIRECTION('ref_axis',(1.,0.,0.)); #388119=DIRECTION('',(0.,0.,-1.)); #388120=DIRECTION('center_axis',(0.,0.,1.)); #388121=DIRECTION('ref_axis',(1.,0.,0.)); #388122=DIRECTION('center_axis',(0.,0.,1.)); #388123=DIRECTION('ref_axis',(1.,0.,0.)); #388124=DIRECTION('center_axis',(0.,0.,1.)); #388125=DIRECTION('ref_axis',(1.,0.,0.)); #388126=DIRECTION('',(0.,0.,-1.)); #388127=DIRECTION('center_axis',(0.,0.,1.)); #388128=DIRECTION('ref_axis',(1.,0.,0.)); #388129=DIRECTION('center_axis',(0.,0.,1.)); #388130=DIRECTION('ref_axis',(1.,0.,0.)); #388131=DIRECTION('center_axis',(0.,0.,1.)); #388132=DIRECTION('ref_axis',(1.,0.,0.)); #388133=DIRECTION('center_axis',(0.,0.,1.)); #388134=DIRECTION('ref_axis',(1.,0.,0.)); #388135=DIRECTION('center_axis',(0.,0.,-1.)); #388136=DIRECTION('ref_axis',(1.,0.,0.)); #388137=DIRECTION('',(0.,0.,-1.)); #388138=DIRECTION('center_axis',(0.,0.,1.)); #388139=DIRECTION('ref_axis',(1.,0.,0.)); #388140=DIRECTION('center_axis',(0.,0.,1.)); #388141=DIRECTION('ref_axis',(1.,0.,0.)); #388142=DIRECTION('center_axis',(0.,0.,1.)); #388143=DIRECTION('ref_axis',(1.,0.,0.)); #388144=DIRECTION('',(0.,0.,-1.)); #388145=DIRECTION('center_axis',(0.,0.,1.)); #388146=DIRECTION('ref_axis',(1.,0.,0.)); #388147=DIRECTION('center_axis',(0.,0.,1.)); #388148=DIRECTION('ref_axis',(1.,0.,0.)); #388149=DIRECTION('center_axis',(0.,0.,1.)); #388150=DIRECTION('ref_axis',(1.,0.,0.)); #388151=DIRECTION('center_axis',(0.,0.,1.)); #388152=DIRECTION('ref_axis',(1.,0.,0.)); #388153=DIRECTION('center_axis',(0.,0.,-1.)); #388154=DIRECTION('ref_axis',(1.,0.,0.)); #388155=DIRECTION('',(0.,0.,-1.)); #388156=DIRECTION('center_axis',(0.,0.,1.)); #388157=DIRECTION('ref_axis',(1.,0.,0.)); #388158=DIRECTION('center_axis',(0.,0.,1.)); #388159=DIRECTION('ref_axis',(1.,0.,0.)); #388160=DIRECTION('center_axis',(0.,0.,1.)); #388161=DIRECTION('ref_axis',(1.,0.,0.)); #388162=DIRECTION('',(0.,0.,-1.)); #388163=DIRECTION('center_axis',(0.,0.,1.)); #388164=DIRECTION('ref_axis',(1.,0.,0.)); #388165=DIRECTION('center_axis',(0.,0.,1.)); #388166=DIRECTION('ref_axis',(1.,0.,0.)); #388167=DIRECTION('center_axis',(0.,0.,1.)); #388168=DIRECTION('ref_axis',(1.,0.,0.)); #388169=DIRECTION('center_axis',(0.,-1.,0.)); #388170=DIRECTION('ref_axis',(1.,0.,0.)); #388171=DIRECTION('',(1.,0.,0.)); #388172=DIRECTION('',(0.,0.,1.)); #388173=DIRECTION('',(1.,0.,0.)); #388174=DIRECTION('',(0.,0.,1.)); #388175=DIRECTION('center_axis',(0.,0.,1.)); #388176=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388177=DIRECTION('center_axis',(0.,0.,1.)); #388178=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388179=DIRECTION('center_axis',(0.,0.,-1.)); #388180=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388181=DIRECTION('',(0.,0.,1.)); #388182=DIRECTION('center_axis',(0.,1.,0.)); #388183=DIRECTION('ref_axis',(-1.,0.,0.)); #388184=DIRECTION('',(-1.,0.,0.)); #388185=DIRECTION('',(-1.,0.,0.)); #388186=DIRECTION('',(0.,0.,1.)); #388187=DIRECTION('center_axis',(0.,0.,1.)); #388188=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388189=DIRECTION('center_axis',(0.,0.,1.)); #388190=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388191=DIRECTION('center_axis',(0.,0.,-1.)); #388192=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388193=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #388194=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #388195=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #388196=DIRECTION('',(0.,0.,1.)); #388197=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #388198=DIRECTION('',(0.,0.,1.)); #388199=DIRECTION('center_axis',(0.,0.,1.)); #388200=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #388201=DIRECTION('center_axis',(0.,0.,1.)); #388202=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #388203=DIRECTION('center_axis',(0.,0.,1.)); #388204=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #388205=DIRECTION('',(0.,0.,1.)); #388206=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #388207=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #388208=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #388209=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #388210=DIRECTION('',(0.,0.,1.)); #388211=DIRECTION('center_axis',(0.,0.,1.)); #388212=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #388213=DIRECTION('center_axis',(0.,0.,1.)); #388214=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #388215=DIRECTION('center_axis',(0.,0.,1.)); #388216=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #388217=DIRECTION('center_axis',(0.,0.,1.)); #388218=DIRECTION('ref_axis',(1.,0.,0.)); #388219=DIRECTION('center_axis',(0.,0.,1.)); #388220=DIRECTION('ref_axis',(1.,0.,0.)); #388221=DIRECTION('center_axis',(0.,0.,1.)); #388222=DIRECTION('ref_axis',(1.,0.,0.)); #388223=DIRECTION('center_axis',(0.,0.,-1.)); #388224=DIRECTION('ref_axis',(1.,0.,0.)); #388225=DIRECTION('',(0.,0.,-1.)); #388226=DIRECTION('center_axis',(0.,0.,1.)); #388227=DIRECTION('ref_axis',(1.,0.,0.)); #388228=DIRECTION('center_axis',(0.,0.,1.)); #388229=DIRECTION('ref_axis',(1.,0.,0.)); #388230=DIRECTION('center_axis',(0.,0.,1.)); #388231=DIRECTION('ref_axis',(1.,0.,0.)); #388232=DIRECTION('',(0.,0.,-1.)); #388233=DIRECTION('center_axis',(0.,0.,1.)); #388234=DIRECTION('ref_axis',(1.,0.,0.)); #388235=DIRECTION('center_axis',(0.,0.,1.)); #388236=DIRECTION('ref_axis',(1.,0.,0.)); #388237=DIRECTION('center_axis',(0.,0.,1.)); #388238=DIRECTION('ref_axis',(1.,0.,0.)); #388239=DIRECTION('center_axis',(0.,0.,1.)); #388240=DIRECTION('ref_axis',(1.,0.,0.)); #388241=DIRECTION('center_axis',(0.,0.,-1.)); #388242=DIRECTION('ref_axis',(1.,0.,0.)); #388243=DIRECTION('',(0.,0.,-1.)); #388244=DIRECTION('center_axis',(0.,0.,1.)); #388245=DIRECTION('ref_axis',(1.,0.,0.)); #388246=DIRECTION('center_axis',(0.,0.,1.)); #388247=DIRECTION('ref_axis',(1.,0.,0.)); #388248=DIRECTION('center_axis',(0.,0.,1.)); #388249=DIRECTION('ref_axis',(1.,0.,0.)); #388250=DIRECTION('',(0.,0.,-1.)); #388251=DIRECTION('center_axis',(0.,0.,1.)); #388252=DIRECTION('ref_axis',(1.,0.,0.)); #388253=DIRECTION('center_axis',(0.,0.,1.)); #388254=DIRECTION('ref_axis',(1.,0.,0.)); #388255=DIRECTION('center_axis',(0.,0.,1.)); #388256=DIRECTION('ref_axis',(1.,0.,0.)); #388257=DIRECTION('center_axis',(0.,0.,1.)); #388258=DIRECTION('ref_axis',(1.,0.,0.)); #388259=DIRECTION('center_axis',(0.,0.,-1.)); #388260=DIRECTION('ref_axis',(1.,0.,0.)); #388261=DIRECTION('',(0.,0.,-1.)); #388262=DIRECTION('center_axis',(0.,0.,1.)); #388263=DIRECTION('ref_axis',(1.,0.,0.)); #388264=DIRECTION('center_axis',(0.,0.,1.)); #388265=DIRECTION('ref_axis',(1.,0.,0.)); #388266=DIRECTION('center_axis',(0.,0.,1.)); #388267=DIRECTION('ref_axis',(1.,0.,0.)); #388268=DIRECTION('',(0.,0.,-1.)); #388269=DIRECTION('center_axis',(0.,0.,1.)); #388270=DIRECTION('ref_axis',(1.,0.,0.)); #388271=DIRECTION('center_axis',(0.,0.,1.)); #388272=DIRECTION('ref_axis',(1.,0.,0.)); #388273=DIRECTION('center_axis',(0.,0.,1.)); #388274=DIRECTION('ref_axis',(1.,0.,0.)); #388275=DIRECTION('center_axis',(0.,-1.,0.)); #388276=DIRECTION('ref_axis',(1.,0.,0.)); #388277=DIRECTION('',(1.,0.,0.)); #388278=DIRECTION('',(0.,0.,1.)); #388279=DIRECTION('',(1.,0.,0.)); #388280=DIRECTION('',(0.,0.,1.)); #388281=DIRECTION('center_axis',(0.,0.,1.)); #388282=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388283=DIRECTION('center_axis',(0.,0.,1.)); #388284=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388285=DIRECTION('center_axis',(0.,0.,-1.)); #388286=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388287=DIRECTION('',(0.,0.,1.)); #388288=DIRECTION('center_axis',(0.,1.,0.)); #388289=DIRECTION('ref_axis',(-1.,0.,0.)); #388290=DIRECTION('',(-1.,0.,0.)); #388291=DIRECTION('',(-1.,0.,0.)); #388292=DIRECTION('',(0.,0.,1.)); #388293=DIRECTION('center_axis',(0.,0.,1.)); #388294=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388295=DIRECTION('center_axis',(0.,0.,1.)); #388296=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388297=DIRECTION('center_axis',(0.,0.,-1.)); #388298=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388299=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #388300=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #388301=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #388302=DIRECTION('',(0.,0.,1.)); #388303=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #388304=DIRECTION('',(0.,0.,1.)); #388305=DIRECTION('center_axis',(0.,0.,1.)); #388306=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #388307=DIRECTION('center_axis',(0.,0.,1.)); #388308=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #388309=DIRECTION('center_axis',(0.,0.,1.)); #388310=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #388311=DIRECTION('',(0.,0.,1.)); #388312=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #388313=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #388314=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #388315=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #388316=DIRECTION('',(0.,0.,1.)); #388317=DIRECTION('center_axis',(0.,0.,1.)); #388318=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #388319=DIRECTION('center_axis',(0.,0.,1.)); #388320=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #388321=DIRECTION('center_axis',(0.,0.,1.)); #388322=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #388323=DIRECTION('center_axis',(0.,0.,1.)); #388324=DIRECTION('ref_axis',(1.,0.,0.)); #388325=DIRECTION('center_axis',(0.,0.,1.)); #388326=DIRECTION('ref_axis',(1.,0.,0.)); #388327=DIRECTION('center_axis',(0.,0.,1.)); #388328=DIRECTION('ref_axis',(1.,0.,0.)); #388329=DIRECTION('center_axis',(0.,0.,-1.)); #388330=DIRECTION('ref_axis',(1.,0.,0.)); #388331=DIRECTION('',(0.,0.,-1.)); #388332=DIRECTION('center_axis',(0.,0.,1.)); #388333=DIRECTION('ref_axis',(1.,0.,0.)); #388334=DIRECTION('center_axis',(0.,0.,1.)); #388335=DIRECTION('ref_axis',(1.,0.,0.)); #388336=DIRECTION('center_axis',(0.,0.,1.)); #388337=DIRECTION('ref_axis',(1.,0.,0.)); #388338=DIRECTION('',(0.,0.,-1.)); #388339=DIRECTION('center_axis',(0.,0.,1.)); #388340=DIRECTION('ref_axis',(1.,0.,0.)); #388341=DIRECTION('center_axis',(0.,0.,1.)); #388342=DIRECTION('ref_axis',(1.,0.,0.)); #388343=DIRECTION('center_axis',(0.,0.,1.)); #388344=DIRECTION('ref_axis',(1.,0.,0.)); #388345=DIRECTION('center_axis',(-1.,0.,0.)); #388346=DIRECTION('ref_axis',(0.,-1.,0.)); #388347=DIRECTION('',(0.,-1.,0.)); #388348=DIRECTION('',(0.,0.,1.)); #388349=DIRECTION('',(0.,-1.,0.)); #388350=DIRECTION('',(0.,0.,1.)); #388351=DIRECTION('center_axis',(0.,0.,1.)); #388352=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #388353=DIRECTION('center_axis',(0.,0.,1.)); #388354=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #388355=DIRECTION('center_axis',(0.,0.,-1.)); #388356=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #388357=DIRECTION('',(0.,0.,1.)); #388358=DIRECTION('center_axis',(1.,0.,0.)); #388359=DIRECTION('ref_axis',(0.,1.,0.)); #388360=DIRECTION('',(0.,1.,0.)); #388361=DIRECTION('',(0.,1.,0.)); #388362=DIRECTION('',(0.,0.,1.)); #388363=DIRECTION('center_axis',(0.,0.,1.)); #388364=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #388365=DIRECTION('center_axis',(0.,0.,1.)); #388366=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #388367=DIRECTION('center_axis',(0.,0.,-1.)); #388368=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #388369=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #388370=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #388371=DIRECTION('',(5.55111499297693E-15,1.,0.)); #388372=DIRECTION('',(0.,0.,1.)); #388373=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #388374=DIRECTION('',(0.,0.,1.)); #388375=DIRECTION('center_axis',(0.,0.,1.)); #388376=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #388377=DIRECTION('center_axis',(0.,0.,1.)); #388378=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #388379=DIRECTION('center_axis',(0.,0.,1.)); #388380=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #388381=DIRECTION('',(0.,0.,1.)); #388382=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #388383=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #388384=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #388385=DIRECTION('',(5.55111499297693E-15,1.,0.)); #388386=DIRECTION('',(0.,0.,1.)); #388387=DIRECTION('center_axis',(0.,0.,1.)); #388388=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #388389=DIRECTION('center_axis',(0.,0.,1.)); #388390=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #388391=DIRECTION('center_axis',(0.,0.,1.)); #388392=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #388393=DIRECTION('center_axis',(0.,0.,1.)); #388394=DIRECTION('ref_axis',(1.,0.,0.)); #388395=DIRECTION('center_axis',(0.,0.,1.)); #388396=DIRECTION('ref_axis',(1.,0.,0.)); #388397=DIRECTION('center_axis',(0.,0.,1.)); #388398=DIRECTION('ref_axis',(1.,0.,0.)); #388399=DIRECTION('center_axis',(0.,0.,-1.)); #388400=DIRECTION('ref_axis',(1.,0.,0.)); #388401=DIRECTION('',(0.,0.,-1.)); #388402=DIRECTION('center_axis',(0.,0.,1.)); #388403=DIRECTION('ref_axis',(1.,0.,0.)); #388404=DIRECTION('center_axis',(0.,0.,1.)); #388405=DIRECTION('ref_axis',(1.,0.,0.)); #388406=DIRECTION('center_axis',(0.,0.,1.)); #388407=DIRECTION('ref_axis',(1.,0.,0.)); #388408=DIRECTION('',(0.,0.,-1.)); #388409=DIRECTION('center_axis',(0.,0.,1.)); #388410=DIRECTION('ref_axis',(1.,0.,0.)); #388411=DIRECTION('center_axis',(0.,0.,1.)); #388412=DIRECTION('ref_axis',(1.,0.,0.)); #388413=DIRECTION('center_axis',(0.,0.,1.)); #388414=DIRECTION('ref_axis',(1.,0.,0.)); #388415=DIRECTION('center_axis',(0.,0.,1.)); #388416=DIRECTION('ref_axis',(1.,0.,0.)); #388417=DIRECTION('center_axis',(0.,0.,-1.)); #388418=DIRECTION('ref_axis',(1.,0.,0.)); #388419=DIRECTION('',(0.,0.,-1.)); #388420=DIRECTION('center_axis',(0.,0.,1.)); #388421=DIRECTION('ref_axis',(1.,0.,0.)); #388422=DIRECTION('center_axis',(0.,0.,1.)); #388423=DIRECTION('ref_axis',(1.,0.,0.)); #388424=DIRECTION('center_axis',(0.,0.,1.)); #388425=DIRECTION('ref_axis',(1.,0.,0.)); #388426=DIRECTION('',(0.,0.,-1.)); #388427=DIRECTION('center_axis',(0.,0.,1.)); #388428=DIRECTION('ref_axis',(1.,0.,0.)); #388429=DIRECTION('center_axis',(0.,0.,1.)); #388430=DIRECTION('ref_axis',(1.,0.,0.)); #388431=DIRECTION('center_axis',(0.,0.,1.)); #388432=DIRECTION('ref_axis',(1.,0.,0.)); #388433=DIRECTION('center_axis',(0.,0.,1.)); #388434=DIRECTION('ref_axis',(1.,0.,0.)); #388435=DIRECTION('center_axis',(0.,0.,-1.)); #388436=DIRECTION('ref_axis',(1.,0.,0.)); #388437=DIRECTION('',(0.,0.,-1.)); #388438=DIRECTION('center_axis',(0.,0.,1.)); #388439=DIRECTION('ref_axis',(1.,0.,0.)); #388440=DIRECTION('center_axis',(0.,0.,1.)); #388441=DIRECTION('ref_axis',(1.,0.,0.)); #388442=DIRECTION('center_axis',(0.,0.,1.)); #388443=DIRECTION('ref_axis',(1.,0.,0.)); #388444=DIRECTION('',(0.,0.,-1.)); #388445=DIRECTION('center_axis',(0.,0.,1.)); #388446=DIRECTION('ref_axis',(1.,0.,0.)); #388447=DIRECTION('center_axis',(0.,0.,1.)); #388448=DIRECTION('ref_axis',(1.,0.,0.)); #388449=DIRECTION('center_axis',(0.,0.,1.)); #388450=DIRECTION('ref_axis',(1.,0.,0.)); #388451=DIRECTION('center_axis',(0.,0.,1.)); #388452=DIRECTION('ref_axis',(1.,0.,0.)); #388453=DIRECTION('center_axis',(0.,0.,-1.)); #388454=DIRECTION('ref_axis',(1.,0.,0.)); #388455=DIRECTION('',(0.,0.,-1.)); #388456=DIRECTION('center_axis',(0.,0.,1.)); #388457=DIRECTION('ref_axis',(1.,0.,0.)); #388458=DIRECTION('center_axis',(0.,0.,1.)); #388459=DIRECTION('ref_axis',(1.,0.,0.)); #388460=DIRECTION('center_axis',(0.,0.,1.)); #388461=DIRECTION('ref_axis',(1.,0.,0.)); #388462=DIRECTION('',(0.,0.,-1.)); #388463=DIRECTION('center_axis',(0.,0.,1.)); #388464=DIRECTION('ref_axis',(1.,0.,0.)); #388465=DIRECTION('center_axis',(0.,0.,1.)); #388466=DIRECTION('ref_axis',(1.,0.,0.)); #388467=DIRECTION('center_axis',(0.,0.,1.)); #388468=DIRECTION('ref_axis',(1.,0.,0.)); #388469=DIRECTION('center_axis',(0.,0.,1.)); #388470=DIRECTION('ref_axis',(1.,0.,0.)); #388471=DIRECTION('center_axis',(0.,0.,-1.)); #388472=DIRECTION('ref_axis',(1.,0.,0.)); #388473=DIRECTION('',(0.,0.,-1.)); #388474=DIRECTION('center_axis',(0.,0.,1.)); #388475=DIRECTION('ref_axis',(1.,0.,0.)); #388476=DIRECTION('center_axis',(0.,0.,1.)); #388477=DIRECTION('ref_axis',(1.,0.,0.)); #388478=DIRECTION('center_axis',(0.,0.,1.)); #388479=DIRECTION('ref_axis',(1.,0.,0.)); #388480=DIRECTION('',(0.,0.,-1.)); #388481=DIRECTION('center_axis',(0.,0.,1.)); #388482=DIRECTION('ref_axis',(1.,0.,0.)); #388483=DIRECTION('center_axis',(0.,0.,1.)); #388484=DIRECTION('ref_axis',(1.,0.,0.)); #388485=DIRECTION('center_axis',(0.,0.,1.)); #388486=DIRECTION('ref_axis',(1.,0.,0.)); #388487=DIRECTION('center_axis',(0.,0.,1.)); #388488=DIRECTION('ref_axis',(1.,0.,0.)); #388489=DIRECTION('center_axis',(0.,0.,-1.)); #388490=DIRECTION('ref_axis',(1.,0.,0.)); #388491=DIRECTION('',(0.,0.,-1.)); #388492=DIRECTION('center_axis',(0.,0.,1.)); #388493=DIRECTION('ref_axis',(1.,0.,0.)); #388494=DIRECTION('center_axis',(0.,0.,1.)); #388495=DIRECTION('ref_axis',(1.,0.,0.)); #388496=DIRECTION('center_axis',(0.,0.,1.)); #388497=DIRECTION('ref_axis',(1.,0.,0.)); #388498=DIRECTION('',(0.,0.,-1.)); #388499=DIRECTION('center_axis',(0.,0.,1.)); #388500=DIRECTION('ref_axis',(1.,0.,0.)); #388501=DIRECTION('center_axis',(0.,0.,1.)); #388502=DIRECTION('ref_axis',(1.,0.,0.)); #388503=DIRECTION('center_axis',(0.,0.,1.)); #388504=DIRECTION('ref_axis',(1.,0.,0.)); #388505=DIRECTION('center_axis',(0.,0.,1.)); #388506=DIRECTION('ref_axis',(1.,0.,0.)); #388507=DIRECTION('center_axis',(0.,0.,-1.)); #388508=DIRECTION('ref_axis',(1.,0.,0.)); #388509=DIRECTION('',(0.,0.,-1.)); #388510=DIRECTION('center_axis',(0.,0.,1.)); #388511=DIRECTION('ref_axis',(1.,0.,0.)); #388512=DIRECTION('center_axis',(0.,0.,1.)); #388513=DIRECTION('ref_axis',(1.,0.,0.)); #388514=DIRECTION('center_axis',(0.,0.,1.)); #388515=DIRECTION('ref_axis',(1.,0.,0.)); #388516=DIRECTION('',(0.,0.,-1.)); #388517=DIRECTION('center_axis',(0.,0.,1.)); #388518=DIRECTION('ref_axis',(1.,0.,0.)); #388519=DIRECTION('center_axis',(0.,0.,1.)); #388520=DIRECTION('ref_axis',(1.,0.,0.)); #388521=DIRECTION('center_axis',(0.,0.,1.)); #388522=DIRECTION('ref_axis',(1.,0.,0.)); #388523=DIRECTION('center_axis',(0.,0.,1.)); #388524=DIRECTION('ref_axis',(1.,0.,0.)); #388525=DIRECTION('center_axis',(0.,0.,-1.)); #388526=DIRECTION('ref_axis',(1.,0.,0.)); #388527=DIRECTION('',(0.,0.,-1.)); #388528=DIRECTION('center_axis',(0.,0.,1.)); #388529=DIRECTION('ref_axis',(1.,0.,0.)); #388530=DIRECTION('center_axis',(0.,0.,1.)); #388531=DIRECTION('ref_axis',(1.,0.,0.)); #388532=DIRECTION('center_axis',(0.,0.,1.)); #388533=DIRECTION('ref_axis',(1.,0.,0.)); #388534=DIRECTION('',(0.,0.,-1.)); #388535=DIRECTION('center_axis',(0.,0.,1.)); #388536=DIRECTION('ref_axis',(1.,0.,0.)); #388537=DIRECTION('center_axis',(0.,0.,1.)); #388538=DIRECTION('ref_axis',(1.,0.,0.)); #388539=DIRECTION('center_axis',(0.,0.,1.)); #388540=DIRECTION('ref_axis',(1.,0.,0.)); #388541=DIRECTION('center_axis',(0.,0.,1.)); #388542=DIRECTION('ref_axis',(1.,0.,0.)); #388543=DIRECTION('center_axis',(0.,0.,-1.)); #388544=DIRECTION('ref_axis',(1.,0.,0.)); #388545=DIRECTION('',(0.,0.,-1.)); #388546=DIRECTION('center_axis',(0.,0.,1.)); #388547=DIRECTION('ref_axis',(1.,0.,0.)); #388548=DIRECTION('center_axis',(0.,0.,1.)); #388549=DIRECTION('ref_axis',(1.,0.,0.)); #388550=DIRECTION('center_axis',(0.,0.,1.)); #388551=DIRECTION('ref_axis',(1.,0.,0.)); #388552=DIRECTION('',(0.,0.,-1.)); #388553=DIRECTION('center_axis',(0.,0.,1.)); #388554=DIRECTION('ref_axis',(1.,0.,0.)); #388555=DIRECTION('center_axis',(0.,0.,1.)); #388556=DIRECTION('ref_axis',(1.,0.,0.)); #388557=DIRECTION('center_axis',(0.,0.,1.)); #388558=DIRECTION('ref_axis',(1.,0.,0.)); #388559=DIRECTION('center_axis',(0.,0.,1.)); #388560=DIRECTION('ref_axis',(1.,0.,0.)); #388561=DIRECTION('center_axis',(0.,0.,-1.)); #388562=DIRECTION('ref_axis',(1.,0.,0.)); #388563=DIRECTION('',(0.,0.,-1.)); #388564=DIRECTION('center_axis',(0.,0.,1.)); #388565=DIRECTION('ref_axis',(1.,0.,0.)); #388566=DIRECTION('center_axis',(0.,0.,1.)); #388567=DIRECTION('ref_axis',(1.,0.,0.)); #388568=DIRECTION('center_axis',(0.,0.,1.)); #388569=DIRECTION('ref_axis',(1.,0.,0.)); #388570=DIRECTION('',(0.,0.,-1.)); #388571=DIRECTION('center_axis',(0.,0.,1.)); #388572=DIRECTION('ref_axis',(1.,0.,0.)); #388573=DIRECTION('center_axis',(0.,0.,1.)); #388574=DIRECTION('ref_axis',(1.,0.,0.)); #388575=DIRECTION('center_axis',(0.,0.,1.)); #388576=DIRECTION('ref_axis',(1.,0.,0.)); #388577=DIRECTION('center_axis',(0.,0.,1.)); #388578=DIRECTION('ref_axis',(1.,0.,0.)); #388579=DIRECTION('center_axis',(0.,0.,-1.)); #388580=DIRECTION('ref_axis',(1.,0.,0.)); #388581=DIRECTION('',(0.,0.,-1.)); #388582=DIRECTION('center_axis',(0.,0.,1.)); #388583=DIRECTION('ref_axis',(1.,0.,0.)); #388584=DIRECTION('center_axis',(0.,0.,1.)); #388585=DIRECTION('ref_axis',(1.,0.,0.)); #388586=DIRECTION('center_axis',(0.,0.,1.)); #388587=DIRECTION('ref_axis',(1.,0.,0.)); #388588=DIRECTION('',(0.,0.,-1.)); #388589=DIRECTION('center_axis',(0.,0.,1.)); #388590=DIRECTION('ref_axis',(1.,0.,0.)); #388591=DIRECTION('center_axis',(0.,0.,1.)); #388592=DIRECTION('ref_axis',(1.,0.,0.)); #388593=DIRECTION('center_axis',(0.,0.,1.)); #388594=DIRECTION('ref_axis',(1.,0.,0.)); #388595=DIRECTION('center_axis',(0.,0.,1.)); #388596=DIRECTION('ref_axis',(1.,0.,0.)); #388597=DIRECTION('center_axis',(0.,0.,-1.)); #388598=DIRECTION('ref_axis',(1.,0.,0.)); #388599=DIRECTION('',(0.,0.,-1.)); #388600=DIRECTION('center_axis',(0.,0.,1.)); #388601=DIRECTION('ref_axis',(1.,0.,0.)); #388602=DIRECTION('center_axis',(0.,0.,1.)); #388603=DIRECTION('ref_axis',(1.,0.,0.)); #388604=DIRECTION('center_axis',(0.,0.,1.)); #388605=DIRECTION('ref_axis',(1.,0.,0.)); #388606=DIRECTION('',(0.,0.,-1.)); #388607=DIRECTION('center_axis',(0.,0.,1.)); #388608=DIRECTION('ref_axis',(1.,0.,0.)); #388609=DIRECTION('center_axis',(0.,0.,1.)); #388610=DIRECTION('ref_axis',(1.,0.,0.)); #388611=DIRECTION('center_axis',(0.,0.,1.)); #388612=DIRECTION('ref_axis',(1.,0.,0.)); #388613=DIRECTION('center_axis',(0.,0.,1.)); #388614=DIRECTION('ref_axis',(1.,0.,0.)); #388615=DIRECTION('center_axis',(0.,0.,-1.)); #388616=DIRECTION('ref_axis',(1.,0.,0.)); #388617=DIRECTION('',(0.,0.,-1.)); #388618=DIRECTION('center_axis',(0.,0.,1.)); #388619=DIRECTION('ref_axis',(1.,0.,0.)); #388620=DIRECTION('center_axis',(0.,0.,1.)); #388621=DIRECTION('ref_axis',(1.,0.,0.)); #388622=DIRECTION('center_axis',(0.,0.,1.)); #388623=DIRECTION('ref_axis',(1.,0.,0.)); #388624=DIRECTION('',(0.,0.,-1.)); #388625=DIRECTION('center_axis',(0.,0.,1.)); #388626=DIRECTION('ref_axis',(1.,0.,0.)); #388627=DIRECTION('center_axis',(0.,0.,1.)); #388628=DIRECTION('ref_axis',(1.,0.,0.)); #388629=DIRECTION('center_axis',(0.,0.,1.)); #388630=DIRECTION('ref_axis',(1.,0.,0.)); #388631=DIRECTION('center_axis',(0.,0.,1.)); #388632=DIRECTION('ref_axis',(1.,0.,0.)); #388633=DIRECTION('center_axis',(0.,0.,-1.)); #388634=DIRECTION('ref_axis',(1.,0.,0.)); #388635=DIRECTION('',(0.,0.,-1.)); #388636=DIRECTION('center_axis',(0.,0.,1.)); #388637=DIRECTION('ref_axis',(1.,0.,0.)); #388638=DIRECTION('center_axis',(0.,0.,1.)); #388639=DIRECTION('ref_axis',(1.,0.,0.)); #388640=DIRECTION('center_axis',(0.,0.,1.)); #388641=DIRECTION('ref_axis',(1.,0.,0.)); #388642=DIRECTION('',(0.,0.,-1.)); #388643=DIRECTION('center_axis',(0.,0.,1.)); #388644=DIRECTION('ref_axis',(1.,0.,0.)); #388645=DIRECTION('center_axis',(0.,0.,1.)); #388646=DIRECTION('ref_axis',(1.,0.,0.)); #388647=DIRECTION('center_axis',(0.,0.,1.)); #388648=DIRECTION('ref_axis',(1.,0.,0.)); #388649=DIRECTION('center_axis',(0.,0.,1.)); #388650=DIRECTION('ref_axis',(1.,0.,0.)); #388651=DIRECTION('center_axis',(0.,0.,-1.)); #388652=DIRECTION('ref_axis',(1.,0.,0.)); #388653=DIRECTION('',(0.,0.,-1.)); #388654=DIRECTION('center_axis',(0.,0.,1.)); #388655=DIRECTION('ref_axis',(1.,0.,0.)); #388656=DIRECTION('center_axis',(0.,0.,1.)); #388657=DIRECTION('ref_axis',(1.,0.,0.)); #388658=DIRECTION('center_axis',(0.,0.,1.)); #388659=DIRECTION('ref_axis',(1.,0.,0.)); #388660=DIRECTION('',(0.,0.,-1.)); #388661=DIRECTION('center_axis',(0.,0.,1.)); #388662=DIRECTION('ref_axis',(1.,0.,0.)); #388663=DIRECTION('center_axis',(0.,0.,1.)); #388664=DIRECTION('ref_axis',(1.,0.,0.)); #388665=DIRECTION('center_axis',(0.,0.,1.)); #388666=DIRECTION('ref_axis',(1.,0.,0.)); #388667=DIRECTION('center_axis',(0.,0.,1.)); #388668=DIRECTION('ref_axis',(1.,0.,0.)); #388669=DIRECTION('center_axis',(0.,0.,-1.)); #388670=DIRECTION('ref_axis',(1.,0.,0.)); #388671=DIRECTION('',(0.,0.,-1.)); #388672=DIRECTION('center_axis',(0.,0.,1.)); #388673=DIRECTION('ref_axis',(1.,0.,0.)); #388674=DIRECTION('center_axis',(0.,0.,1.)); #388675=DIRECTION('ref_axis',(1.,0.,0.)); #388676=DIRECTION('center_axis',(0.,0.,1.)); #388677=DIRECTION('ref_axis',(1.,0.,0.)); #388678=DIRECTION('',(0.,0.,-1.)); #388679=DIRECTION('center_axis',(0.,0.,1.)); #388680=DIRECTION('ref_axis',(1.,0.,0.)); #388681=DIRECTION('center_axis',(0.,0.,1.)); #388682=DIRECTION('ref_axis',(1.,0.,0.)); #388683=DIRECTION('center_axis',(0.,0.,1.)); #388684=DIRECTION('ref_axis',(1.,0.,0.)); #388685=DIRECTION('center_axis',(0.,0.,1.)); #388686=DIRECTION('ref_axis',(1.,0.,0.)); #388687=DIRECTION('center_axis',(0.,0.,-1.)); #388688=DIRECTION('ref_axis',(1.,0.,0.)); #388689=DIRECTION('',(0.,0.,-1.)); #388690=DIRECTION('center_axis',(0.,0.,1.)); #388691=DIRECTION('ref_axis',(1.,0.,0.)); #388692=DIRECTION('center_axis',(0.,0.,1.)); #388693=DIRECTION('ref_axis',(1.,0.,0.)); #388694=DIRECTION('center_axis',(0.,0.,1.)); #388695=DIRECTION('ref_axis',(1.,0.,0.)); #388696=DIRECTION('',(0.,0.,-1.)); #388697=DIRECTION('center_axis',(0.,0.,1.)); #388698=DIRECTION('ref_axis',(1.,0.,0.)); #388699=DIRECTION('center_axis',(0.,0.,1.)); #388700=DIRECTION('ref_axis',(1.,0.,0.)); #388701=DIRECTION('center_axis',(0.,0.,1.)); #388702=DIRECTION('ref_axis',(1.,0.,0.)); #388703=DIRECTION('center_axis',(0.,0.,1.)); #388704=DIRECTION('ref_axis',(1.,0.,0.)); #388705=DIRECTION('center_axis',(0.,0.,-1.)); #388706=DIRECTION('ref_axis',(1.,0.,0.)); #388707=DIRECTION('',(0.,0.,-1.)); #388708=DIRECTION('center_axis',(0.,0.,1.)); #388709=DIRECTION('ref_axis',(1.,0.,0.)); #388710=DIRECTION('center_axis',(0.,0.,1.)); #388711=DIRECTION('ref_axis',(1.,0.,0.)); #388712=DIRECTION('center_axis',(0.,0.,1.)); #388713=DIRECTION('ref_axis',(1.,0.,0.)); #388714=DIRECTION('',(0.,0.,-1.)); #388715=DIRECTION('center_axis',(0.,0.,1.)); #388716=DIRECTION('ref_axis',(1.,0.,0.)); #388717=DIRECTION('center_axis',(0.,0.,1.)); #388718=DIRECTION('ref_axis',(1.,0.,0.)); #388719=DIRECTION('center_axis',(0.,0.,1.)); #388720=DIRECTION('ref_axis',(1.,0.,0.)); #388721=DIRECTION('center_axis',(0.,0.,1.)); #388722=DIRECTION('ref_axis',(1.,0.,0.)); #388723=DIRECTION('center_axis',(0.,0.,-1.)); #388724=DIRECTION('ref_axis',(1.,0.,0.)); #388725=DIRECTION('',(0.,0.,-1.)); #388726=DIRECTION('center_axis',(0.,0.,1.)); #388727=DIRECTION('ref_axis',(1.,0.,0.)); #388728=DIRECTION('center_axis',(0.,0.,1.)); #388729=DIRECTION('ref_axis',(1.,0.,0.)); #388730=DIRECTION('center_axis',(0.,0.,1.)); #388731=DIRECTION('ref_axis',(1.,0.,0.)); #388732=DIRECTION('',(0.,0.,-1.)); #388733=DIRECTION('center_axis',(0.,0.,1.)); #388734=DIRECTION('ref_axis',(1.,0.,0.)); #388735=DIRECTION('center_axis',(0.,0.,1.)); #388736=DIRECTION('ref_axis',(1.,0.,0.)); #388737=DIRECTION('center_axis',(0.,0.,1.)); #388738=DIRECTION('ref_axis',(1.,0.,0.)); #388739=DIRECTION('center_axis',(0.,0.,1.)); #388740=DIRECTION('ref_axis',(1.,0.,0.)); #388741=DIRECTION('center_axis',(0.,0.,-1.)); #388742=DIRECTION('ref_axis',(1.,0.,0.)); #388743=DIRECTION('',(0.,0.,-1.)); #388744=DIRECTION('center_axis',(0.,0.,1.)); #388745=DIRECTION('ref_axis',(1.,0.,0.)); #388746=DIRECTION('center_axis',(0.,0.,1.)); #388747=DIRECTION('ref_axis',(1.,0.,0.)); #388748=DIRECTION('center_axis',(0.,0.,1.)); #388749=DIRECTION('ref_axis',(1.,0.,0.)); #388750=DIRECTION('',(0.,0.,-1.)); #388751=DIRECTION('center_axis',(0.,0.,1.)); #388752=DIRECTION('ref_axis',(1.,0.,0.)); #388753=DIRECTION('center_axis',(0.,0.,1.)); #388754=DIRECTION('ref_axis',(1.,0.,0.)); #388755=DIRECTION('center_axis',(0.,0.,1.)); #388756=DIRECTION('ref_axis',(1.,0.,0.)); #388757=DIRECTION('center_axis',(0.,0.,1.)); #388758=DIRECTION('ref_axis',(1.,0.,0.)); #388759=DIRECTION('center_axis',(0.,0.,-1.)); #388760=DIRECTION('ref_axis',(1.,0.,0.)); #388761=DIRECTION('',(0.,0.,-1.)); #388762=DIRECTION('center_axis',(0.,0.,1.)); #388763=DIRECTION('ref_axis',(1.,0.,0.)); #388764=DIRECTION('center_axis',(0.,0.,1.)); #388765=DIRECTION('ref_axis',(1.,0.,0.)); #388766=DIRECTION('center_axis',(0.,0.,1.)); #388767=DIRECTION('ref_axis',(1.,0.,0.)); #388768=DIRECTION('',(0.,0.,-1.)); #388769=DIRECTION('center_axis',(0.,0.,1.)); #388770=DIRECTION('ref_axis',(1.,0.,0.)); #388771=DIRECTION('center_axis',(0.,0.,1.)); #388772=DIRECTION('ref_axis',(1.,0.,0.)); #388773=DIRECTION('center_axis',(0.,0.,1.)); #388774=DIRECTION('ref_axis',(1.,0.,0.)); #388775=DIRECTION('center_axis',(0.,0.,1.)); #388776=DIRECTION('ref_axis',(1.,0.,0.)); #388777=DIRECTION('center_axis',(0.,0.,-1.)); #388778=DIRECTION('ref_axis',(1.,0.,0.)); #388779=DIRECTION('',(0.,0.,-1.)); #388780=DIRECTION('center_axis',(0.,0.,1.)); #388781=DIRECTION('ref_axis',(1.,0.,0.)); #388782=DIRECTION('center_axis',(0.,0.,1.)); #388783=DIRECTION('ref_axis',(1.,0.,0.)); #388784=DIRECTION('center_axis',(0.,0.,1.)); #388785=DIRECTION('ref_axis',(1.,0.,0.)); #388786=DIRECTION('',(0.,0.,-1.)); #388787=DIRECTION('center_axis',(0.,0.,1.)); #388788=DIRECTION('ref_axis',(1.,0.,0.)); #388789=DIRECTION('center_axis',(0.,0.,1.)); #388790=DIRECTION('ref_axis',(1.,0.,0.)); #388791=DIRECTION('center_axis',(0.,0.,1.)); #388792=DIRECTION('ref_axis',(1.,0.,0.)); #388793=DIRECTION('center_axis',(0.,0.,1.)); #388794=DIRECTION('ref_axis',(1.,0.,0.)); #388795=DIRECTION('center_axis',(0.,0.,-1.)); #388796=DIRECTION('ref_axis',(1.,0.,0.)); #388797=DIRECTION('',(0.,0.,-1.)); #388798=DIRECTION('center_axis',(0.,0.,1.)); #388799=DIRECTION('ref_axis',(1.,0.,0.)); #388800=DIRECTION('center_axis',(0.,0.,1.)); #388801=DIRECTION('ref_axis',(1.,0.,0.)); #388802=DIRECTION('center_axis',(0.,0.,1.)); #388803=DIRECTION('ref_axis',(1.,0.,0.)); #388804=DIRECTION('',(0.,0.,-1.)); #388805=DIRECTION('center_axis',(0.,0.,1.)); #388806=DIRECTION('ref_axis',(1.,0.,0.)); #388807=DIRECTION('center_axis',(0.,0.,1.)); #388808=DIRECTION('ref_axis',(1.,0.,0.)); #388809=DIRECTION('center_axis',(0.,0.,1.)); #388810=DIRECTION('ref_axis',(1.,0.,0.)); #388811=DIRECTION('center_axis',(0.,0.,1.)); #388812=DIRECTION('ref_axis',(1.,0.,0.)); #388813=DIRECTION('center_axis',(0.,0.,-1.)); #388814=DIRECTION('ref_axis',(1.,0.,0.)); #388815=DIRECTION('',(0.,0.,-1.)); #388816=DIRECTION('center_axis',(0.,0.,1.)); #388817=DIRECTION('ref_axis',(1.,0.,0.)); #388818=DIRECTION('center_axis',(0.,0.,1.)); #388819=DIRECTION('ref_axis',(1.,0.,0.)); #388820=DIRECTION('center_axis',(0.,0.,1.)); #388821=DIRECTION('ref_axis',(1.,0.,0.)); #388822=DIRECTION('',(0.,0.,-1.)); #388823=DIRECTION('center_axis',(0.,0.,1.)); #388824=DIRECTION('ref_axis',(1.,0.,0.)); #388825=DIRECTION('center_axis',(0.,0.,1.)); #388826=DIRECTION('ref_axis',(1.,0.,0.)); #388827=DIRECTION('center_axis',(0.,0.,1.)); #388828=DIRECTION('ref_axis',(1.,0.,0.)); #388829=DIRECTION('center_axis',(0.,0.,1.)); #388830=DIRECTION('ref_axis',(1.,0.,0.)); #388831=DIRECTION('center_axis',(0.,0.,-1.)); #388832=DIRECTION('ref_axis',(1.,0.,0.)); #388833=DIRECTION('',(0.,0.,-1.)); #388834=DIRECTION('center_axis',(0.,0.,1.)); #388835=DIRECTION('ref_axis',(1.,0.,0.)); #388836=DIRECTION('center_axis',(0.,0.,1.)); #388837=DIRECTION('ref_axis',(1.,0.,0.)); #388838=DIRECTION('center_axis',(0.,0.,1.)); #388839=DIRECTION('ref_axis',(1.,0.,0.)); #388840=DIRECTION('',(0.,0.,-1.)); #388841=DIRECTION('center_axis',(0.,0.,1.)); #388842=DIRECTION('ref_axis',(1.,0.,0.)); #388843=DIRECTION('center_axis',(0.,0.,1.)); #388844=DIRECTION('ref_axis',(1.,0.,0.)); #388845=DIRECTION('center_axis',(0.,0.,1.)); #388846=DIRECTION('ref_axis',(1.,0.,0.)); #388847=DIRECTION('center_axis',(0.,0.,1.)); #388848=DIRECTION('ref_axis',(1.,0.,0.)); #388849=DIRECTION('center_axis',(0.,0.,-1.)); #388850=DIRECTION('ref_axis',(1.,0.,0.)); #388851=DIRECTION('',(0.,0.,-1.)); #388852=DIRECTION('center_axis',(0.,0.,1.)); #388853=DIRECTION('ref_axis',(1.,0.,0.)); #388854=DIRECTION('center_axis',(0.,0.,1.)); #388855=DIRECTION('ref_axis',(1.,0.,0.)); #388856=DIRECTION('center_axis',(0.,0.,1.)); #388857=DIRECTION('ref_axis',(1.,0.,0.)); #388858=DIRECTION('',(0.,0.,-1.)); #388859=DIRECTION('center_axis',(0.,0.,1.)); #388860=DIRECTION('ref_axis',(1.,0.,0.)); #388861=DIRECTION('center_axis',(0.,0.,1.)); #388862=DIRECTION('ref_axis',(1.,0.,0.)); #388863=DIRECTION('center_axis',(0.,0.,1.)); #388864=DIRECTION('ref_axis',(1.,0.,0.)); #388865=DIRECTION('center_axis',(0.,0.,1.)); #388866=DIRECTION('ref_axis',(1.,0.,0.)); #388867=DIRECTION('center_axis',(0.,0.,-1.)); #388868=DIRECTION('ref_axis',(1.,0.,0.)); #388869=DIRECTION('',(0.,0.,-1.)); #388870=DIRECTION('center_axis',(0.,0.,1.)); #388871=DIRECTION('ref_axis',(1.,0.,0.)); #388872=DIRECTION('center_axis',(0.,0.,1.)); #388873=DIRECTION('ref_axis',(1.,0.,0.)); #388874=DIRECTION('center_axis',(0.,0.,1.)); #388875=DIRECTION('ref_axis',(1.,0.,0.)); #388876=DIRECTION('',(0.,0.,-1.)); #388877=DIRECTION('center_axis',(0.,0.,1.)); #388878=DIRECTION('ref_axis',(1.,0.,0.)); #388879=DIRECTION('center_axis',(0.,0.,1.)); #388880=DIRECTION('ref_axis',(1.,0.,0.)); #388881=DIRECTION('center_axis',(0.,0.,1.)); #388882=DIRECTION('ref_axis',(1.,0.,0.)); #388883=DIRECTION('center_axis',(0.,0.,1.)); #388884=DIRECTION('ref_axis',(1.,0.,0.)); #388885=DIRECTION('center_axis',(0.,0.,-1.)); #388886=DIRECTION('ref_axis',(1.,0.,0.)); #388887=DIRECTION('',(0.,0.,-1.)); #388888=DIRECTION('center_axis',(0.,0.,1.)); #388889=DIRECTION('ref_axis',(1.,0.,0.)); #388890=DIRECTION('center_axis',(0.,0.,1.)); #388891=DIRECTION('ref_axis',(1.,0.,0.)); #388892=DIRECTION('center_axis',(0.,0.,1.)); #388893=DIRECTION('ref_axis',(1.,0.,0.)); #388894=DIRECTION('',(0.,0.,-1.)); #388895=DIRECTION('center_axis',(0.,0.,1.)); #388896=DIRECTION('ref_axis',(1.,0.,0.)); #388897=DIRECTION('center_axis',(0.,0.,1.)); #388898=DIRECTION('ref_axis',(1.,0.,0.)); #388899=DIRECTION('center_axis',(0.,0.,1.)); #388900=DIRECTION('ref_axis',(1.,0.,0.)); #388901=DIRECTION('center_axis',(0.,0.,1.)); #388902=DIRECTION('ref_axis',(1.,0.,0.)); #388903=DIRECTION('center_axis',(0.,0.,-1.)); #388904=DIRECTION('ref_axis',(1.,0.,0.)); #388905=DIRECTION('',(0.,0.,-1.)); #388906=DIRECTION('center_axis',(0.,0.,1.)); #388907=DIRECTION('ref_axis',(1.,0.,0.)); #388908=DIRECTION('center_axis',(0.,0.,1.)); #388909=DIRECTION('ref_axis',(1.,0.,0.)); #388910=DIRECTION('center_axis',(0.,0.,1.)); #388911=DIRECTION('ref_axis',(1.,0.,0.)); #388912=DIRECTION('',(0.,0.,-1.)); #388913=DIRECTION('center_axis',(0.,0.,1.)); #388914=DIRECTION('ref_axis',(1.,0.,0.)); #388915=DIRECTION('center_axis',(0.,0.,1.)); #388916=DIRECTION('ref_axis',(1.,0.,0.)); #388917=DIRECTION('center_axis',(0.,0.,1.)); #388918=DIRECTION('ref_axis',(1.,0.,0.)); #388919=DIRECTION('center_axis',(0.,0.,1.)); #388920=DIRECTION('ref_axis',(1.,0.,0.)); #388921=DIRECTION('center_axis',(0.,0.,-1.)); #388922=DIRECTION('ref_axis',(1.,0.,0.)); #388923=DIRECTION('',(0.,0.,-1.)); #388924=DIRECTION('center_axis',(0.,0.,1.)); #388925=DIRECTION('ref_axis',(1.,0.,0.)); #388926=DIRECTION('center_axis',(0.,0.,1.)); #388927=DIRECTION('ref_axis',(1.,0.,0.)); #388928=DIRECTION('center_axis',(0.,0.,1.)); #388929=DIRECTION('ref_axis',(1.,0.,0.)); #388930=DIRECTION('',(0.,0.,-1.)); #388931=DIRECTION('center_axis',(0.,0.,1.)); #388932=DIRECTION('ref_axis',(1.,0.,0.)); #388933=DIRECTION('center_axis',(0.,0.,1.)); #388934=DIRECTION('ref_axis',(1.,0.,0.)); #388935=DIRECTION('center_axis',(0.,0.,1.)); #388936=DIRECTION('ref_axis',(1.,0.,0.)); #388937=DIRECTION('center_axis',(0.,0.,1.)); #388938=DIRECTION('ref_axis',(1.,0.,0.)); #388939=DIRECTION('center_axis',(0.,0.,-1.)); #388940=DIRECTION('ref_axis',(1.,0.,0.)); #388941=DIRECTION('',(0.,0.,-1.)); #388942=DIRECTION('center_axis',(0.,0.,1.)); #388943=DIRECTION('ref_axis',(1.,0.,0.)); #388944=DIRECTION('center_axis',(0.,0.,1.)); #388945=DIRECTION('ref_axis',(1.,0.,0.)); #388946=DIRECTION('center_axis',(0.,0.,1.)); #388947=DIRECTION('ref_axis',(1.,0.,0.)); #388948=DIRECTION('',(0.,0.,-1.)); #388949=DIRECTION('center_axis',(0.,0.,1.)); #388950=DIRECTION('ref_axis',(1.,0.,0.)); #388951=DIRECTION('center_axis',(0.,0.,1.)); #388952=DIRECTION('ref_axis',(1.,0.,0.)); #388953=DIRECTION('center_axis',(0.,0.,1.)); #388954=DIRECTION('ref_axis',(1.,0.,0.)); #388955=DIRECTION('center_axis',(0.,0.,1.)); #388956=DIRECTION('ref_axis',(1.,0.,0.)); #388957=DIRECTION('center_axis',(0.,0.,-1.)); #388958=DIRECTION('ref_axis',(1.,0.,0.)); #388959=DIRECTION('',(0.,0.,-1.)); #388960=DIRECTION('center_axis',(0.,0.,1.)); #388961=DIRECTION('ref_axis',(1.,0.,0.)); #388962=DIRECTION('center_axis',(0.,0.,1.)); #388963=DIRECTION('ref_axis',(1.,0.,0.)); #388964=DIRECTION('center_axis',(0.,0.,1.)); #388965=DIRECTION('ref_axis',(1.,0.,0.)); #388966=DIRECTION('',(0.,0.,-1.)); #388967=DIRECTION('center_axis',(0.,0.,1.)); #388968=DIRECTION('ref_axis',(1.,0.,0.)); #388969=DIRECTION('center_axis',(0.,0.,1.)); #388970=DIRECTION('ref_axis',(1.,0.,0.)); #388971=DIRECTION('center_axis',(0.,0.,1.)); #388972=DIRECTION('ref_axis',(1.,0.,0.)); #388973=DIRECTION('center_axis',(0.,0.,1.)); #388974=DIRECTION('ref_axis',(1.,0.,0.)); #388975=DIRECTION('center_axis',(0.,0.,-1.)); #388976=DIRECTION('ref_axis',(1.,0.,0.)); #388977=DIRECTION('',(0.,0.,-1.)); #388978=DIRECTION('center_axis',(0.,0.,1.)); #388979=DIRECTION('ref_axis',(1.,0.,0.)); #388980=DIRECTION('center_axis',(0.,0.,1.)); #388981=DIRECTION('ref_axis',(1.,0.,0.)); #388982=DIRECTION('center_axis',(0.,0.,1.)); #388983=DIRECTION('ref_axis',(1.,0.,0.)); #388984=DIRECTION('',(0.,0.,-1.)); #388985=DIRECTION('center_axis',(0.,0.,1.)); #388986=DIRECTION('ref_axis',(1.,0.,0.)); #388987=DIRECTION('center_axis',(0.,0.,1.)); #388988=DIRECTION('ref_axis',(1.,0.,0.)); #388989=DIRECTION('center_axis',(0.,0.,1.)); #388990=DIRECTION('ref_axis',(1.,0.,0.)); #388991=DIRECTION('center_axis',(0.,0.,1.)); #388992=DIRECTION('ref_axis',(1.,0.,0.)); #388993=DIRECTION('center_axis',(0.,0.,-1.)); #388994=DIRECTION('ref_axis',(1.,0.,0.)); #388995=DIRECTION('',(0.,0.,-1.)); #388996=DIRECTION('center_axis',(0.,0.,1.)); #388997=DIRECTION('ref_axis',(1.,0.,0.)); #388998=DIRECTION('center_axis',(0.,0.,1.)); #388999=DIRECTION('ref_axis',(1.,0.,0.)); #389000=DIRECTION('center_axis',(0.,0.,1.)); #389001=DIRECTION('ref_axis',(1.,0.,0.)); #389002=DIRECTION('',(0.,0.,-1.)); #389003=DIRECTION('center_axis',(0.,0.,1.)); #389004=DIRECTION('ref_axis',(1.,0.,0.)); #389005=DIRECTION('center_axis',(0.,0.,1.)); #389006=DIRECTION('ref_axis',(1.,0.,0.)); #389007=DIRECTION('center_axis',(0.,0.,1.)); #389008=DIRECTION('ref_axis',(1.,0.,0.)); #389009=DIRECTION('center_axis',(0.,0.,1.)); #389010=DIRECTION('ref_axis',(1.,0.,0.)); #389011=DIRECTION('center_axis',(0.,0.,-1.)); #389012=DIRECTION('ref_axis',(1.,0.,0.)); #389013=DIRECTION('',(0.,0.,-1.)); #389014=DIRECTION('center_axis',(0.,0.,1.)); #389015=DIRECTION('ref_axis',(1.,0.,0.)); #389016=DIRECTION('center_axis',(0.,0.,1.)); #389017=DIRECTION('ref_axis',(1.,0.,0.)); #389018=DIRECTION('center_axis',(0.,0.,1.)); #389019=DIRECTION('ref_axis',(1.,0.,0.)); #389020=DIRECTION('',(0.,0.,-1.)); #389021=DIRECTION('center_axis',(0.,0.,1.)); #389022=DIRECTION('ref_axis',(1.,0.,0.)); #389023=DIRECTION('center_axis',(0.,0.,1.)); #389024=DIRECTION('ref_axis',(1.,0.,0.)); #389025=DIRECTION('center_axis',(0.,0.,1.)); #389026=DIRECTION('ref_axis',(1.,0.,0.)); #389027=DIRECTION('center_axis',(0.,0.,1.)); #389028=DIRECTION('ref_axis',(1.,0.,0.)); #389029=DIRECTION('center_axis',(0.,0.,-1.)); #389030=DIRECTION('ref_axis',(1.,0.,0.)); #389031=DIRECTION('',(0.,0.,-1.)); #389032=DIRECTION('center_axis',(0.,0.,1.)); #389033=DIRECTION('ref_axis',(1.,0.,0.)); #389034=DIRECTION('center_axis',(0.,0.,1.)); #389035=DIRECTION('ref_axis',(1.,0.,0.)); #389036=DIRECTION('center_axis',(0.,0.,1.)); #389037=DIRECTION('ref_axis',(1.,0.,0.)); #389038=DIRECTION('',(0.,0.,-1.)); #389039=DIRECTION('center_axis',(0.,0.,1.)); #389040=DIRECTION('ref_axis',(1.,0.,0.)); #389041=DIRECTION('center_axis',(0.,0.,1.)); #389042=DIRECTION('ref_axis',(1.,0.,0.)); #389043=DIRECTION('center_axis',(0.,0.,1.)); #389044=DIRECTION('ref_axis',(1.,0.,0.)); #389045=DIRECTION('center_axis',(0.,0.,1.)); #389046=DIRECTION('ref_axis',(1.,0.,0.)); #389047=DIRECTION('center_axis',(0.,0.,-1.)); #389048=DIRECTION('ref_axis',(1.,0.,0.)); #389049=DIRECTION('',(0.,0.,-1.)); #389050=DIRECTION('center_axis',(0.,0.,1.)); #389051=DIRECTION('ref_axis',(1.,0.,0.)); #389052=DIRECTION('center_axis',(0.,0.,1.)); #389053=DIRECTION('ref_axis',(1.,0.,0.)); #389054=DIRECTION('center_axis',(0.,0.,1.)); #389055=DIRECTION('ref_axis',(1.,0.,0.)); #389056=DIRECTION('',(0.,0.,-1.)); #389057=DIRECTION('center_axis',(0.,0.,1.)); #389058=DIRECTION('ref_axis',(1.,0.,0.)); #389059=DIRECTION('center_axis',(0.,0.,1.)); #389060=DIRECTION('ref_axis',(1.,0.,0.)); #389061=DIRECTION('center_axis',(0.,0.,1.)); #389062=DIRECTION('ref_axis',(1.,0.,0.)); #389063=DIRECTION('center_axis',(0.,0.,1.)); #389064=DIRECTION('ref_axis',(1.,0.,0.)); #389065=DIRECTION('center_axis',(0.,0.,-1.)); #389066=DIRECTION('ref_axis',(1.,0.,0.)); #389067=DIRECTION('',(0.,0.,-1.)); #389068=DIRECTION('center_axis',(0.,0.,1.)); #389069=DIRECTION('ref_axis',(1.,0.,0.)); #389070=DIRECTION('center_axis',(0.,0.,1.)); #389071=DIRECTION('ref_axis',(1.,0.,0.)); #389072=DIRECTION('center_axis',(0.,0.,1.)); #389073=DIRECTION('ref_axis',(1.,0.,0.)); #389074=DIRECTION('',(0.,0.,-1.)); #389075=DIRECTION('center_axis',(0.,0.,1.)); #389076=DIRECTION('ref_axis',(1.,0.,0.)); #389077=DIRECTION('center_axis',(0.,0.,1.)); #389078=DIRECTION('ref_axis',(1.,0.,0.)); #389079=DIRECTION('center_axis',(0.,0.,1.)); #389080=DIRECTION('ref_axis',(1.,0.,0.)); #389081=DIRECTION('center_axis',(0.,0.,1.)); #389082=DIRECTION('ref_axis',(1.,0.,0.)); #389083=DIRECTION('center_axis',(0.,0.,-1.)); #389084=DIRECTION('ref_axis',(1.,0.,0.)); #389085=DIRECTION('',(0.,0.,-1.)); #389086=DIRECTION('center_axis',(0.,0.,1.)); #389087=DIRECTION('ref_axis',(1.,0.,0.)); #389088=DIRECTION('center_axis',(0.,0.,1.)); #389089=DIRECTION('ref_axis',(1.,0.,0.)); #389090=DIRECTION('center_axis',(0.,0.,1.)); #389091=DIRECTION('ref_axis',(1.,0.,0.)); #389092=DIRECTION('',(0.,0.,-1.)); #389093=DIRECTION('center_axis',(0.,0.,1.)); #389094=DIRECTION('ref_axis',(1.,0.,0.)); #389095=DIRECTION('center_axis',(0.,0.,1.)); #389096=DIRECTION('ref_axis',(1.,0.,0.)); #389097=DIRECTION('center_axis',(0.,0.,1.)); #389098=DIRECTION('ref_axis',(1.,0.,0.)); #389099=DIRECTION('center_axis',(0.,0.,1.)); #389100=DIRECTION('ref_axis',(1.,0.,0.)); #389101=DIRECTION('center_axis',(0.,0.,-1.)); #389102=DIRECTION('ref_axis',(1.,0.,0.)); #389103=DIRECTION('',(0.,0.,-1.)); #389104=DIRECTION('center_axis',(0.,0.,1.)); #389105=DIRECTION('ref_axis',(1.,0.,0.)); #389106=DIRECTION('center_axis',(0.,0.,1.)); #389107=DIRECTION('ref_axis',(1.,0.,0.)); #389108=DIRECTION('center_axis',(0.,0.,1.)); #389109=DIRECTION('ref_axis',(1.,0.,0.)); #389110=DIRECTION('',(0.,0.,-1.)); #389111=DIRECTION('center_axis',(0.,0.,1.)); #389112=DIRECTION('ref_axis',(1.,0.,0.)); #389113=DIRECTION('center_axis',(0.,0.,1.)); #389114=DIRECTION('ref_axis',(1.,0.,0.)); #389115=DIRECTION('center_axis',(0.,0.,1.)); #389116=DIRECTION('ref_axis',(1.,0.,0.)); #389117=DIRECTION('center_axis',(0.,0.,1.)); #389118=DIRECTION('ref_axis',(1.,0.,0.)); #389119=DIRECTION('center_axis',(0.,0.,-1.)); #389120=DIRECTION('ref_axis',(1.,0.,0.)); #389121=DIRECTION('',(0.,0.,-1.)); #389122=DIRECTION('center_axis',(0.,0.,1.)); #389123=DIRECTION('ref_axis',(1.,0.,0.)); #389124=DIRECTION('center_axis',(0.,0.,1.)); #389125=DIRECTION('ref_axis',(1.,0.,0.)); #389126=DIRECTION('center_axis',(0.,0.,1.)); #389127=DIRECTION('ref_axis',(1.,0.,0.)); #389128=DIRECTION('',(0.,0.,-1.)); #389129=DIRECTION('center_axis',(0.,0.,1.)); #389130=DIRECTION('ref_axis',(1.,0.,0.)); #389131=DIRECTION('center_axis',(0.,0.,1.)); #389132=DIRECTION('ref_axis',(1.,0.,0.)); #389133=DIRECTION('center_axis',(0.,0.,1.)); #389134=DIRECTION('ref_axis',(1.,0.,0.)); #389135=DIRECTION('center_axis',(0.,0.,1.)); #389136=DIRECTION('ref_axis',(1.,0.,0.)); #389137=DIRECTION('center_axis',(0.,0.,-1.)); #389138=DIRECTION('ref_axis',(1.,0.,0.)); #389139=DIRECTION('',(0.,0.,-1.)); #389140=DIRECTION('center_axis',(0.,0.,1.)); #389141=DIRECTION('ref_axis',(1.,0.,0.)); #389142=DIRECTION('center_axis',(0.,0.,1.)); #389143=DIRECTION('ref_axis',(1.,0.,0.)); #389144=DIRECTION('center_axis',(0.,0.,1.)); #389145=DIRECTION('ref_axis',(1.,0.,0.)); #389146=DIRECTION('',(0.,0.,-1.)); #389147=DIRECTION('center_axis',(0.,0.,1.)); #389148=DIRECTION('ref_axis',(1.,0.,0.)); #389149=DIRECTION('center_axis',(0.,0.,1.)); #389150=DIRECTION('ref_axis',(1.,0.,0.)); #389151=DIRECTION('center_axis',(0.,0.,1.)); #389152=DIRECTION('ref_axis',(1.,0.,0.)); #389153=DIRECTION('center_axis',(0.,0.,1.)); #389154=DIRECTION('ref_axis',(1.,0.,0.)); #389155=DIRECTION('center_axis',(0.,0.,-1.)); #389156=DIRECTION('ref_axis',(1.,0.,0.)); #389157=DIRECTION('',(0.,0.,-1.)); #389158=DIRECTION('center_axis',(0.,0.,1.)); #389159=DIRECTION('ref_axis',(1.,0.,0.)); #389160=DIRECTION('center_axis',(0.,0.,1.)); #389161=DIRECTION('ref_axis',(1.,0.,0.)); #389162=DIRECTION('center_axis',(0.,0.,1.)); #389163=DIRECTION('ref_axis',(1.,0.,0.)); #389164=DIRECTION('',(0.,0.,-1.)); #389165=DIRECTION('center_axis',(0.,0.,1.)); #389166=DIRECTION('ref_axis',(1.,0.,0.)); #389167=DIRECTION('center_axis',(0.,0.,1.)); #389168=DIRECTION('ref_axis',(1.,0.,0.)); #389169=DIRECTION('center_axis',(0.,0.,1.)); #389170=DIRECTION('ref_axis',(1.,0.,0.)); #389171=DIRECTION('center_axis',(0.,0.,1.)); #389172=DIRECTION('ref_axis',(1.,0.,0.)); #389173=DIRECTION('center_axis',(0.,0.,-1.)); #389174=DIRECTION('ref_axis',(1.,0.,0.)); #389175=DIRECTION('',(0.,0.,-1.)); #389176=DIRECTION('center_axis',(0.,0.,1.)); #389177=DIRECTION('ref_axis',(1.,0.,0.)); #389178=DIRECTION('center_axis',(0.,0.,1.)); #389179=DIRECTION('ref_axis',(1.,0.,0.)); #389180=DIRECTION('center_axis',(0.,0.,1.)); #389181=DIRECTION('ref_axis',(1.,0.,0.)); #389182=DIRECTION('',(0.,0.,-1.)); #389183=DIRECTION('center_axis',(0.,0.,1.)); #389184=DIRECTION('ref_axis',(1.,0.,0.)); #389185=DIRECTION('center_axis',(0.,0.,1.)); #389186=DIRECTION('ref_axis',(1.,0.,0.)); #389187=DIRECTION('center_axis',(0.,0.,1.)); #389188=DIRECTION('ref_axis',(1.,0.,0.)); #389189=DIRECTION('center_axis',(0.,0.,1.)); #389190=DIRECTION('ref_axis',(1.,0.,0.)); #389191=DIRECTION('center_axis',(0.,0.,-1.)); #389192=DIRECTION('ref_axis',(1.,0.,0.)); #389193=DIRECTION('',(0.,0.,-1.)); #389194=DIRECTION('center_axis',(0.,0.,1.)); #389195=DIRECTION('ref_axis',(1.,0.,0.)); #389196=DIRECTION('center_axis',(0.,0.,1.)); #389197=DIRECTION('ref_axis',(1.,0.,0.)); #389198=DIRECTION('center_axis',(0.,0.,1.)); #389199=DIRECTION('ref_axis',(1.,0.,0.)); #389200=DIRECTION('',(0.,0.,-1.)); #389201=DIRECTION('center_axis',(0.,0.,1.)); #389202=DIRECTION('ref_axis',(1.,0.,0.)); #389203=DIRECTION('center_axis',(0.,0.,1.)); #389204=DIRECTION('ref_axis',(1.,0.,0.)); #389205=DIRECTION('center_axis',(0.,0.,1.)); #389206=DIRECTION('ref_axis',(1.,0.,0.)); #389207=DIRECTION('center_axis',(0.,0.,1.)); #389208=DIRECTION('ref_axis',(1.,0.,0.)); #389209=DIRECTION('center_axis',(0.,0.,-1.)); #389210=DIRECTION('ref_axis',(1.,0.,0.)); #389211=DIRECTION('',(0.,0.,-1.)); #389212=DIRECTION('center_axis',(0.,0.,1.)); #389213=DIRECTION('ref_axis',(1.,0.,0.)); #389214=DIRECTION('center_axis',(0.,0.,1.)); #389215=DIRECTION('ref_axis',(1.,0.,0.)); #389216=DIRECTION('center_axis',(0.,0.,1.)); #389217=DIRECTION('ref_axis',(1.,0.,0.)); #389218=DIRECTION('',(0.,0.,-1.)); #389219=DIRECTION('center_axis',(0.,0.,1.)); #389220=DIRECTION('ref_axis',(1.,0.,0.)); #389221=DIRECTION('center_axis',(0.,0.,1.)); #389222=DIRECTION('ref_axis',(1.,0.,0.)); #389223=DIRECTION('center_axis',(0.,0.,1.)); #389224=DIRECTION('ref_axis',(1.,0.,0.)); #389225=DIRECTION('center_axis',(0.,0.,1.)); #389226=DIRECTION('ref_axis',(1.,0.,0.)); #389227=DIRECTION('center_axis',(0.,0.,-1.)); #389228=DIRECTION('ref_axis',(1.,0.,0.)); #389229=DIRECTION('',(0.,0.,-1.)); #389230=DIRECTION('center_axis',(0.,0.,1.)); #389231=DIRECTION('ref_axis',(1.,0.,0.)); #389232=DIRECTION('center_axis',(0.,0.,1.)); #389233=DIRECTION('ref_axis',(1.,0.,0.)); #389234=DIRECTION('center_axis',(0.,0.,1.)); #389235=DIRECTION('ref_axis',(1.,0.,0.)); #389236=DIRECTION('',(0.,0.,-1.)); #389237=DIRECTION('center_axis',(0.,0.,1.)); #389238=DIRECTION('ref_axis',(1.,0.,0.)); #389239=DIRECTION('center_axis',(0.,0.,1.)); #389240=DIRECTION('ref_axis',(1.,0.,0.)); #389241=DIRECTION('center_axis',(0.,0.,1.)); #389242=DIRECTION('ref_axis',(1.,0.,0.)); #389243=DIRECTION('center_axis',(0.,0.,1.)); #389244=DIRECTION('ref_axis',(1.,0.,0.)); #389245=DIRECTION('center_axis',(0.,0.,-1.)); #389246=DIRECTION('ref_axis',(1.,0.,0.)); #389247=DIRECTION('',(0.,0.,-1.)); #389248=DIRECTION('center_axis',(0.,0.,1.)); #389249=DIRECTION('ref_axis',(1.,0.,0.)); #389250=DIRECTION('center_axis',(0.,0.,1.)); #389251=DIRECTION('ref_axis',(1.,0.,0.)); #389252=DIRECTION('center_axis',(0.,0.,1.)); #389253=DIRECTION('ref_axis',(1.,0.,0.)); #389254=DIRECTION('',(0.,0.,-1.)); #389255=DIRECTION('center_axis',(0.,0.,1.)); #389256=DIRECTION('ref_axis',(1.,0.,0.)); #389257=DIRECTION('center_axis',(0.,0.,1.)); #389258=DIRECTION('ref_axis',(1.,0.,0.)); #389259=DIRECTION('center_axis',(0.,0.,1.)); #389260=DIRECTION('ref_axis',(1.,0.,0.)); #389261=DIRECTION('center_axis',(0.,0.,1.)); #389262=DIRECTION('ref_axis',(1.,0.,0.)); #389263=DIRECTION('center_axis',(0.,0.,-1.)); #389264=DIRECTION('ref_axis',(1.,0.,0.)); #389265=DIRECTION('',(0.,0.,-1.)); #389266=DIRECTION('center_axis',(0.,0.,1.)); #389267=DIRECTION('ref_axis',(1.,0.,0.)); #389268=DIRECTION('center_axis',(0.,0.,1.)); #389269=DIRECTION('ref_axis',(1.,0.,0.)); #389270=DIRECTION('center_axis',(0.,0.,1.)); #389271=DIRECTION('ref_axis',(1.,0.,0.)); #389272=DIRECTION('',(0.,0.,-1.)); #389273=DIRECTION('center_axis',(0.,0.,1.)); #389274=DIRECTION('ref_axis',(1.,0.,0.)); #389275=DIRECTION('center_axis',(0.,0.,1.)); #389276=DIRECTION('ref_axis',(1.,0.,0.)); #389277=DIRECTION('center_axis',(0.,0.,1.)); #389278=DIRECTION('ref_axis',(1.,0.,0.)); #389279=DIRECTION('center_axis',(0.,0.,1.)); #389280=DIRECTION('ref_axis',(1.,0.,0.)); #389281=DIRECTION('center_axis',(0.,0.,-1.)); #389282=DIRECTION('ref_axis',(1.,0.,0.)); #389283=DIRECTION('',(0.,0.,-1.)); #389284=DIRECTION('center_axis',(0.,0.,1.)); #389285=DIRECTION('ref_axis',(1.,0.,0.)); #389286=DIRECTION('center_axis',(0.,0.,1.)); #389287=DIRECTION('ref_axis',(1.,0.,0.)); #389288=DIRECTION('center_axis',(0.,0.,1.)); #389289=DIRECTION('ref_axis',(1.,0.,0.)); #389290=DIRECTION('',(0.,0.,-1.)); #389291=DIRECTION('center_axis',(0.,0.,1.)); #389292=DIRECTION('ref_axis',(1.,0.,0.)); #389293=DIRECTION('center_axis',(0.,0.,1.)); #389294=DIRECTION('ref_axis',(1.,0.,0.)); #389295=DIRECTION('center_axis',(0.,0.,1.)); #389296=DIRECTION('ref_axis',(1.,0.,0.)); #389297=DIRECTION('center_axis',(0.,0.,1.)); #389298=DIRECTION('ref_axis',(1.,0.,0.)); #389299=DIRECTION('center_axis',(0.,0.,-1.)); #389300=DIRECTION('ref_axis',(1.,0.,0.)); #389301=DIRECTION('',(0.,0.,-1.)); #389302=DIRECTION('center_axis',(0.,0.,1.)); #389303=DIRECTION('ref_axis',(1.,0.,0.)); #389304=DIRECTION('center_axis',(0.,0.,1.)); #389305=DIRECTION('ref_axis',(1.,0.,0.)); #389306=DIRECTION('center_axis',(0.,0.,1.)); #389307=DIRECTION('ref_axis',(1.,0.,0.)); #389308=DIRECTION('',(0.,0.,-1.)); #389309=DIRECTION('center_axis',(0.,0.,1.)); #389310=DIRECTION('ref_axis',(1.,0.,0.)); #389311=DIRECTION('center_axis',(0.,0.,1.)); #389312=DIRECTION('ref_axis',(1.,0.,0.)); #389313=DIRECTION('center_axis',(0.,0.,1.)); #389314=DIRECTION('ref_axis',(1.,0.,0.)); #389315=DIRECTION('center_axis',(0.,0.,1.)); #389316=DIRECTION('ref_axis',(1.,0.,0.)); #389317=DIRECTION('center_axis',(0.,0.,-1.)); #389318=DIRECTION('ref_axis',(1.,0.,0.)); #389319=DIRECTION('',(0.,0.,-1.)); #389320=DIRECTION('center_axis',(0.,0.,1.)); #389321=DIRECTION('ref_axis',(1.,0.,0.)); #389322=DIRECTION('center_axis',(0.,0.,1.)); #389323=DIRECTION('ref_axis',(1.,0.,0.)); #389324=DIRECTION('center_axis',(0.,0.,1.)); #389325=DIRECTION('ref_axis',(1.,0.,0.)); #389326=DIRECTION('',(0.,0.,-1.)); #389327=DIRECTION('center_axis',(0.,0.,1.)); #389328=DIRECTION('ref_axis',(1.,0.,0.)); #389329=DIRECTION('center_axis',(0.,0.,1.)); #389330=DIRECTION('ref_axis',(1.,0.,0.)); #389331=DIRECTION('center_axis',(0.,0.,1.)); #389332=DIRECTION('ref_axis',(1.,0.,0.)); #389333=DIRECTION('center_axis',(0.,0.,1.)); #389334=DIRECTION('ref_axis',(1.,0.,0.)); #389335=DIRECTION('center_axis',(0.,0.,-1.)); #389336=DIRECTION('ref_axis',(1.,0.,0.)); #389337=DIRECTION('',(0.,0.,-1.)); #389338=DIRECTION('center_axis',(0.,0.,1.)); #389339=DIRECTION('ref_axis',(1.,0.,0.)); #389340=DIRECTION('center_axis',(0.,0.,1.)); #389341=DIRECTION('ref_axis',(1.,0.,0.)); #389342=DIRECTION('center_axis',(0.,0.,1.)); #389343=DIRECTION('ref_axis',(1.,0.,0.)); #389344=DIRECTION('',(0.,0.,-1.)); #389345=DIRECTION('center_axis',(0.,0.,1.)); #389346=DIRECTION('ref_axis',(1.,0.,0.)); #389347=DIRECTION('center_axis',(0.,0.,1.)); #389348=DIRECTION('ref_axis',(1.,0.,0.)); #389349=DIRECTION('center_axis',(0.,0.,1.)); #389350=DIRECTION('ref_axis',(1.,0.,0.)); #389351=DIRECTION('center_axis',(0.,0.,1.)); #389352=DIRECTION('ref_axis',(1.,0.,0.)); #389353=DIRECTION('center_axis',(0.,0.,-1.)); #389354=DIRECTION('ref_axis',(1.,0.,0.)); #389355=DIRECTION('',(0.,0.,-1.)); #389356=DIRECTION('center_axis',(0.,0.,1.)); #389357=DIRECTION('ref_axis',(1.,0.,0.)); #389358=DIRECTION('center_axis',(0.,0.,1.)); #389359=DIRECTION('ref_axis',(1.,0.,0.)); #389360=DIRECTION('center_axis',(0.,0.,1.)); #389361=DIRECTION('ref_axis',(1.,0.,0.)); #389362=DIRECTION('',(0.,0.,-1.)); #389363=DIRECTION('center_axis',(0.,0.,1.)); #389364=DIRECTION('ref_axis',(1.,0.,0.)); #389365=DIRECTION('center_axis',(0.,0.,1.)); #389366=DIRECTION('ref_axis',(1.,0.,0.)); #389367=DIRECTION('center_axis',(0.,0.,1.)); #389368=DIRECTION('ref_axis',(1.,0.,0.)); #389369=DIRECTION('center_axis',(0.,0.,1.)); #389370=DIRECTION('ref_axis',(1.,0.,0.)); #389371=DIRECTION('center_axis',(0.,0.,-1.)); #389372=DIRECTION('ref_axis',(1.,0.,0.)); #389373=DIRECTION('',(0.,0.,-1.)); #389374=DIRECTION('center_axis',(0.,0.,1.)); #389375=DIRECTION('ref_axis',(1.,0.,0.)); #389376=DIRECTION('center_axis',(0.,0.,1.)); #389377=DIRECTION('ref_axis',(1.,0.,0.)); #389378=DIRECTION('center_axis',(0.,0.,1.)); #389379=DIRECTION('ref_axis',(1.,0.,0.)); #389380=DIRECTION('',(0.,0.,-1.)); #389381=DIRECTION('center_axis',(0.,0.,1.)); #389382=DIRECTION('ref_axis',(1.,0.,0.)); #389383=DIRECTION('center_axis',(0.,0.,1.)); #389384=DIRECTION('ref_axis',(1.,0.,0.)); #389385=DIRECTION('center_axis',(0.,0.,1.)); #389386=DIRECTION('ref_axis',(1.,0.,0.)); #389387=DIRECTION('center_axis',(0.,0.,1.)); #389388=DIRECTION('ref_axis',(1.,0.,0.)); #389389=DIRECTION('center_axis',(0.,0.,-1.)); #389390=DIRECTION('ref_axis',(1.,0.,0.)); #389391=DIRECTION('',(0.,0.,-1.)); #389392=DIRECTION('center_axis',(0.,0.,1.)); #389393=DIRECTION('ref_axis',(1.,0.,0.)); #389394=DIRECTION('center_axis',(0.,0.,1.)); #389395=DIRECTION('ref_axis',(1.,0.,0.)); #389396=DIRECTION('center_axis',(0.,0.,1.)); #389397=DIRECTION('ref_axis',(1.,0.,0.)); #389398=DIRECTION('',(0.,0.,-1.)); #389399=DIRECTION('center_axis',(0.,0.,1.)); #389400=DIRECTION('ref_axis',(1.,0.,0.)); #389401=DIRECTION('center_axis',(0.,0.,1.)); #389402=DIRECTION('ref_axis',(1.,0.,0.)); #389403=DIRECTION('center_axis',(0.,0.,1.)); #389404=DIRECTION('ref_axis',(1.,0.,0.)); #389405=DIRECTION('center_axis',(0.,0.,1.)); #389406=DIRECTION('ref_axis',(1.,0.,0.)); #389407=DIRECTION('center_axis',(0.,0.,-1.)); #389408=DIRECTION('ref_axis',(1.,0.,0.)); #389409=DIRECTION('',(0.,0.,-1.)); #389410=DIRECTION('center_axis',(0.,0.,1.)); #389411=DIRECTION('ref_axis',(1.,0.,0.)); #389412=DIRECTION('center_axis',(0.,0.,1.)); #389413=DIRECTION('ref_axis',(1.,0.,0.)); #389414=DIRECTION('center_axis',(0.,0.,1.)); #389415=DIRECTION('ref_axis',(1.,0.,0.)); #389416=DIRECTION('',(0.,0.,-1.)); #389417=DIRECTION('center_axis',(0.,0.,1.)); #389418=DIRECTION('ref_axis',(1.,0.,0.)); #389419=DIRECTION('center_axis',(0.,0.,1.)); #389420=DIRECTION('ref_axis',(1.,0.,0.)); #389421=DIRECTION('center_axis',(0.,0.,1.)); #389422=DIRECTION('ref_axis',(1.,0.,0.)); #389423=DIRECTION('center_axis',(0.,0.,1.)); #389424=DIRECTION('ref_axis',(1.,0.,0.)); #389425=DIRECTION('center_axis',(0.,0.,-1.)); #389426=DIRECTION('ref_axis',(1.,0.,0.)); #389427=DIRECTION('',(0.,0.,-1.)); #389428=DIRECTION('center_axis',(0.,0.,1.)); #389429=DIRECTION('ref_axis',(1.,0.,0.)); #389430=DIRECTION('center_axis',(0.,0.,1.)); #389431=DIRECTION('ref_axis',(1.,0.,0.)); #389432=DIRECTION('center_axis',(0.,0.,1.)); #389433=DIRECTION('ref_axis',(1.,0.,0.)); #389434=DIRECTION('',(0.,0.,-1.)); #389435=DIRECTION('center_axis',(0.,0.,1.)); #389436=DIRECTION('ref_axis',(1.,0.,0.)); #389437=DIRECTION('center_axis',(0.,0.,1.)); #389438=DIRECTION('ref_axis',(1.,0.,0.)); #389439=DIRECTION('center_axis',(0.,0.,1.)); #389440=DIRECTION('ref_axis',(1.,0.,0.)); #389441=DIRECTION('center_axis',(0.,0.,1.)); #389442=DIRECTION('ref_axis',(1.,0.,0.)); #389443=DIRECTION('center_axis',(0.,0.,-1.)); #389444=DIRECTION('ref_axis',(1.,0.,0.)); #389445=DIRECTION('',(0.,0.,-1.)); #389446=DIRECTION('center_axis',(0.,0.,1.)); #389447=DIRECTION('ref_axis',(1.,0.,0.)); #389448=DIRECTION('center_axis',(0.,0.,1.)); #389449=DIRECTION('ref_axis',(1.,0.,0.)); #389450=DIRECTION('center_axis',(0.,0.,1.)); #389451=DIRECTION('ref_axis',(1.,0.,0.)); #389452=DIRECTION('',(0.,0.,-1.)); #389453=DIRECTION('center_axis',(0.,0.,1.)); #389454=DIRECTION('ref_axis',(1.,0.,0.)); #389455=DIRECTION('center_axis',(0.,0.,1.)); #389456=DIRECTION('ref_axis',(1.,0.,0.)); #389457=DIRECTION('center_axis',(0.,0.,1.)); #389458=DIRECTION('ref_axis',(1.,0.,0.)); #389459=DIRECTION('center_axis',(0.,0.,1.)); #389460=DIRECTION('ref_axis',(1.,0.,0.)); #389461=DIRECTION('center_axis',(0.,0.,-1.)); #389462=DIRECTION('ref_axis',(1.,0.,0.)); #389463=DIRECTION('',(0.,0.,-1.)); #389464=DIRECTION('center_axis',(0.,0.,1.)); #389465=DIRECTION('ref_axis',(1.,0.,0.)); #389466=DIRECTION('center_axis',(0.,0.,1.)); #389467=DIRECTION('ref_axis',(1.,0.,0.)); #389468=DIRECTION('center_axis',(0.,0.,1.)); #389469=DIRECTION('ref_axis',(1.,0.,0.)); #389470=DIRECTION('',(0.,0.,-1.)); #389471=DIRECTION('center_axis',(0.,0.,1.)); #389472=DIRECTION('ref_axis',(1.,0.,0.)); #389473=DIRECTION('center_axis',(0.,0.,1.)); #389474=DIRECTION('ref_axis',(1.,0.,0.)); #389475=DIRECTION('center_axis',(0.,0.,1.)); #389476=DIRECTION('ref_axis',(1.,0.,0.)); #389477=DIRECTION('center_axis',(0.,0.,1.)); #389478=DIRECTION('ref_axis',(1.,0.,0.)); #389479=DIRECTION('center_axis',(0.,0.,-1.)); #389480=DIRECTION('ref_axis',(1.,0.,0.)); #389481=DIRECTION('',(0.,0.,-1.)); #389482=DIRECTION('center_axis',(0.,0.,1.)); #389483=DIRECTION('ref_axis',(1.,0.,0.)); #389484=DIRECTION('center_axis',(0.,0.,1.)); #389485=DIRECTION('ref_axis',(1.,0.,0.)); #389486=DIRECTION('center_axis',(0.,0.,1.)); #389487=DIRECTION('ref_axis',(1.,0.,0.)); #389488=DIRECTION('',(0.,0.,-1.)); #389489=DIRECTION('center_axis',(0.,0.,1.)); #389490=DIRECTION('ref_axis',(1.,0.,0.)); #389491=DIRECTION('center_axis',(0.,0.,1.)); #389492=DIRECTION('ref_axis',(1.,0.,0.)); #389493=DIRECTION('center_axis',(0.,0.,1.)); #389494=DIRECTION('ref_axis',(1.,0.,0.)); #389495=DIRECTION('center_axis',(0.,0.,1.)); #389496=DIRECTION('ref_axis',(1.,0.,0.)); #389497=DIRECTION('center_axis',(0.,0.,-1.)); #389498=DIRECTION('ref_axis',(1.,0.,0.)); #389499=DIRECTION('',(0.,0.,-1.)); #389500=DIRECTION('center_axis',(0.,0.,1.)); #389501=DIRECTION('ref_axis',(1.,0.,0.)); #389502=DIRECTION('center_axis',(0.,0.,1.)); #389503=DIRECTION('ref_axis',(1.,0.,0.)); #389504=DIRECTION('center_axis',(0.,0.,1.)); #389505=DIRECTION('ref_axis',(1.,0.,0.)); #389506=DIRECTION('',(0.,0.,-1.)); #389507=DIRECTION('center_axis',(0.,0.,1.)); #389508=DIRECTION('ref_axis',(1.,0.,0.)); #389509=DIRECTION('center_axis',(0.,0.,1.)); #389510=DIRECTION('ref_axis',(1.,0.,0.)); #389511=DIRECTION('center_axis',(0.,0.,1.)); #389512=DIRECTION('ref_axis',(1.,0.,0.)); #389513=DIRECTION('center_axis',(0.,0.,1.)); #389514=DIRECTION('ref_axis',(1.,0.,0.)); #389515=DIRECTION('center_axis',(0.,0.,-1.)); #389516=DIRECTION('ref_axis',(1.,0.,0.)); #389517=DIRECTION('',(0.,0.,-1.)); #389518=DIRECTION('center_axis',(0.,0.,1.)); #389519=DIRECTION('ref_axis',(1.,0.,0.)); #389520=DIRECTION('center_axis',(0.,0.,1.)); #389521=DIRECTION('ref_axis',(1.,0.,0.)); #389522=DIRECTION('center_axis',(0.,0.,1.)); #389523=DIRECTION('ref_axis',(1.,0.,0.)); #389524=DIRECTION('',(0.,0.,-1.)); #389525=DIRECTION('center_axis',(0.,0.,1.)); #389526=DIRECTION('ref_axis',(1.,0.,0.)); #389527=DIRECTION('center_axis',(0.,0.,1.)); #389528=DIRECTION('ref_axis',(1.,0.,0.)); #389529=DIRECTION('center_axis',(0.,0.,1.)); #389530=DIRECTION('ref_axis',(1.,0.,0.)); #389531=DIRECTION('center_axis',(0.,0.,1.)); #389532=DIRECTION('ref_axis',(1.,0.,0.)); #389533=DIRECTION('center_axis',(0.,0.,-1.)); #389534=DIRECTION('ref_axis',(1.,0.,0.)); #389535=DIRECTION('',(0.,0.,-1.)); #389536=DIRECTION('center_axis',(0.,0.,1.)); #389537=DIRECTION('ref_axis',(1.,0.,0.)); #389538=DIRECTION('center_axis',(0.,0.,1.)); #389539=DIRECTION('ref_axis',(1.,0.,0.)); #389540=DIRECTION('center_axis',(0.,0.,1.)); #389541=DIRECTION('ref_axis',(1.,0.,0.)); #389542=DIRECTION('',(0.,0.,-1.)); #389543=DIRECTION('center_axis',(0.,0.,1.)); #389544=DIRECTION('ref_axis',(1.,0.,0.)); #389545=DIRECTION('center_axis',(0.,0.,1.)); #389546=DIRECTION('ref_axis',(1.,0.,0.)); #389547=DIRECTION('center_axis',(0.,0.,1.)); #389548=DIRECTION('ref_axis',(1.,0.,0.)); #389549=DIRECTION('center_axis',(0.,0.,1.)); #389550=DIRECTION('ref_axis',(1.,0.,0.)); #389551=DIRECTION('center_axis',(0.,0.,-1.)); #389552=DIRECTION('ref_axis',(1.,0.,0.)); #389553=DIRECTION('',(0.,0.,-1.)); #389554=DIRECTION('center_axis',(0.,0.,1.)); #389555=DIRECTION('ref_axis',(1.,0.,0.)); #389556=DIRECTION('center_axis',(0.,0.,1.)); #389557=DIRECTION('ref_axis',(1.,0.,0.)); #389558=DIRECTION('center_axis',(0.,0.,1.)); #389559=DIRECTION('ref_axis',(1.,0.,0.)); #389560=DIRECTION('',(0.,0.,-1.)); #389561=DIRECTION('center_axis',(0.,0.,1.)); #389562=DIRECTION('ref_axis',(1.,0.,0.)); #389563=DIRECTION('center_axis',(0.,0.,1.)); #389564=DIRECTION('ref_axis',(1.,0.,0.)); #389565=DIRECTION('center_axis',(0.,0.,1.)); #389566=DIRECTION('ref_axis',(1.,0.,0.)); #389567=DIRECTION('center_axis',(0.,0.,1.)); #389568=DIRECTION('ref_axis',(1.,0.,0.)); #389569=DIRECTION('center_axis',(0.,0.,-1.)); #389570=DIRECTION('ref_axis',(1.,0.,0.)); #389571=DIRECTION('',(0.,0.,-1.)); #389572=DIRECTION('center_axis',(0.,0.,1.)); #389573=DIRECTION('ref_axis',(1.,0.,0.)); #389574=DIRECTION('center_axis',(0.,0.,1.)); #389575=DIRECTION('ref_axis',(1.,0.,0.)); #389576=DIRECTION('center_axis',(0.,0.,1.)); #389577=DIRECTION('ref_axis',(1.,0.,0.)); #389578=DIRECTION('',(0.,0.,-1.)); #389579=DIRECTION('center_axis',(0.,0.,1.)); #389580=DIRECTION('ref_axis',(1.,0.,0.)); #389581=DIRECTION('center_axis',(0.,0.,1.)); #389582=DIRECTION('ref_axis',(1.,0.,0.)); #389583=DIRECTION('center_axis',(0.,0.,1.)); #389584=DIRECTION('ref_axis',(1.,0.,0.)); #389585=DIRECTION('center_axis',(0.,0.,1.)); #389586=DIRECTION('ref_axis',(1.,0.,0.)); #389587=DIRECTION('center_axis',(0.,0.,-1.)); #389588=DIRECTION('ref_axis',(1.,0.,0.)); #389589=DIRECTION('',(0.,0.,-1.)); #389590=DIRECTION('center_axis',(0.,0.,1.)); #389591=DIRECTION('ref_axis',(1.,0.,0.)); #389592=DIRECTION('center_axis',(0.,0.,1.)); #389593=DIRECTION('ref_axis',(1.,0.,0.)); #389594=DIRECTION('center_axis',(0.,0.,1.)); #389595=DIRECTION('ref_axis',(1.,0.,0.)); #389596=DIRECTION('',(0.,0.,-1.)); #389597=DIRECTION('center_axis',(0.,0.,1.)); #389598=DIRECTION('ref_axis',(1.,0.,0.)); #389599=DIRECTION('center_axis',(0.,0.,1.)); #389600=DIRECTION('ref_axis',(1.,0.,0.)); #389601=DIRECTION('center_axis',(0.,0.,1.)); #389602=DIRECTION('ref_axis',(1.,0.,0.)); #389603=DIRECTION('center_axis',(0.,0.,1.)); #389604=DIRECTION('ref_axis',(1.,0.,0.)); #389605=DIRECTION('center_axis',(0.,0.,-1.)); #389606=DIRECTION('ref_axis',(1.,0.,0.)); #389607=DIRECTION('',(0.,0.,-1.)); #389608=DIRECTION('center_axis',(0.,0.,1.)); #389609=DIRECTION('ref_axis',(1.,0.,0.)); #389610=DIRECTION('center_axis',(0.,0.,1.)); #389611=DIRECTION('ref_axis',(1.,0.,0.)); #389612=DIRECTION('center_axis',(0.,0.,1.)); #389613=DIRECTION('ref_axis',(1.,0.,0.)); #389614=DIRECTION('',(0.,0.,-1.)); #389615=DIRECTION('center_axis',(0.,0.,1.)); #389616=DIRECTION('ref_axis',(1.,0.,0.)); #389617=DIRECTION('center_axis',(0.,0.,1.)); #389618=DIRECTION('ref_axis',(1.,0.,0.)); #389619=DIRECTION('center_axis',(0.,0.,1.)); #389620=DIRECTION('ref_axis',(1.,0.,0.)); #389621=DIRECTION('center_axis',(0.,0.,1.)); #389622=DIRECTION('ref_axis',(1.,0.,0.)); #389623=DIRECTION('center_axis',(0.,0.,-1.)); #389624=DIRECTION('ref_axis',(1.,0.,0.)); #389625=DIRECTION('',(0.,0.,-1.)); #389626=DIRECTION('center_axis',(0.,0.,1.)); #389627=DIRECTION('ref_axis',(1.,0.,0.)); #389628=DIRECTION('center_axis',(0.,0.,1.)); #389629=DIRECTION('ref_axis',(1.,0.,0.)); #389630=DIRECTION('center_axis',(0.,0.,1.)); #389631=DIRECTION('ref_axis',(1.,0.,0.)); #389632=DIRECTION('',(0.,0.,-1.)); #389633=DIRECTION('center_axis',(0.,0.,1.)); #389634=DIRECTION('ref_axis',(1.,0.,0.)); #389635=DIRECTION('center_axis',(0.,0.,1.)); #389636=DIRECTION('ref_axis',(1.,0.,0.)); #389637=DIRECTION('center_axis',(0.,0.,1.)); #389638=DIRECTION('ref_axis',(1.,0.,0.)); #389639=DIRECTION('center_axis',(0.,0.,1.)); #389640=DIRECTION('ref_axis',(1.,0.,0.)); #389641=DIRECTION('center_axis',(0.,0.,-1.)); #389642=DIRECTION('ref_axis',(1.,0.,0.)); #389643=DIRECTION('',(0.,0.,-1.)); #389644=DIRECTION('center_axis',(0.,0.,1.)); #389645=DIRECTION('ref_axis',(1.,0.,0.)); #389646=DIRECTION('center_axis',(0.,0.,1.)); #389647=DIRECTION('ref_axis',(1.,0.,0.)); #389648=DIRECTION('center_axis',(0.,0.,1.)); #389649=DIRECTION('ref_axis',(1.,0.,0.)); #389650=DIRECTION('',(0.,0.,-1.)); #389651=DIRECTION('center_axis',(0.,0.,1.)); #389652=DIRECTION('ref_axis',(1.,0.,0.)); #389653=DIRECTION('center_axis',(0.,0.,1.)); #389654=DIRECTION('ref_axis',(1.,0.,0.)); #389655=DIRECTION('center_axis',(0.,0.,1.)); #389656=DIRECTION('ref_axis',(1.,0.,0.)); #389657=DIRECTION('center_axis',(0.,0.,1.)); #389658=DIRECTION('ref_axis',(1.,0.,0.)); #389659=DIRECTION('center_axis',(0.,0.,-1.)); #389660=DIRECTION('ref_axis',(1.,0.,0.)); #389661=DIRECTION('',(0.,0.,-1.)); #389662=DIRECTION('center_axis',(0.,0.,1.)); #389663=DIRECTION('ref_axis',(1.,0.,0.)); #389664=DIRECTION('center_axis',(0.,0.,1.)); #389665=DIRECTION('ref_axis',(1.,0.,0.)); #389666=DIRECTION('center_axis',(0.,0.,1.)); #389667=DIRECTION('ref_axis',(1.,0.,0.)); #389668=DIRECTION('',(0.,0.,-1.)); #389669=DIRECTION('center_axis',(0.,0.,1.)); #389670=DIRECTION('ref_axis',(1.,0.,0.)); #389671=DIRECTION('center_axis',(0.,0.,1.)); #389672=DIRECTION('ref_axis',(1.,0.,0.)); #389673=DIRECTION('center_axis',(0.,0.,1.)); #389674=DIRECTION('ref_axis',(1.,0.,0.)); #389675=DIRECTION('center_axis',(0.,0.,1.)); #389676=DIRECTION('ref_axis',(1.,0.,0.)); #389677=DIRECTION('center_axis',(0.,0.,-1.)); #389678=DIRECTION('ref_axis',(1.,0.,0.)); #389679=DIRECTION('',(0.,0.,-1.)); #389680=DIRECTION('center_axis',(0.,0.,1.)); #389681=DIRECTION('ref_axis',(1.,0.,0.)); #389682=DIRECTION('center_axis',(0.,0.,1.)); #389683=DIRECTION('ref_axis',(1.,0.,0.)); #389684=DIRECTION('center_axis',(0.,0.,1.)); #389685=DIRECTION('ref_axis',(1.,0.,0.)); #389686=DIRECTION('',(0.,0.,-1.)); #389687=DIRECTION('center_axis',(0.,0.,1.)); #389688=DIRECTION('ref_axis',(1.,0.,0.)); #389689=DIRECTION('center_axis',(0.,0.,1.)); #389690=DIRECTION('ref_axis',(1.,0.,0.)); #389691=DIRECTION('center_axis',(0.,0.,1.)); #389692=DIRECTION('ref_axis',(1.,0.,0.)); #389693=DIRECTION('center_axis',(0.,0.,1.)); #389694=DIRECTION('ref_axis',(1.,0.,0.)); #389695=DIRECTION('center_axis',(0.,0.,-1.)); #389696=DIRECTION('ref_axis',(1.,0.,0.)); #389697=DIRECTION('',(0.,0.,-1.)); #389698=DIRECTION('center_axis',(0.,0.,1.)); #389699=DIRECTION('ref_axis',(1.,0.,0.)); #389700=DIRECTION('center_axis',(0.,0.,1.)); #389701=DIRECTION('ref_axis',(1.,0.,0.)); #389702=DIRECTION('center_axis',(0.,0.,1.)); #389703=DIRECTION('ref_axis',(1.,0.,0.)); #389704=DIRECTION('',(0.,0.,-1.)); #389705=DIRECTION('center_axis',(0.,0.,1.)); #389706=DIRECTION('ref_axis',(1.,0.,0.)); #389707=DIRECTION('center_axis',(0.,0.,1.)); #389708=DIRECTION('ref_axis',(1.,0.,0.)); #389709=DIRECTION('center_axis',(0.,0.,1.)); #389710=DIRECTION('ref_axis',(1.,0.,0.)); #389711=DIRECTION('center_axis',(0.,0.,1.)); #389712=DIRECTION('ref_axis',(1.,0.,0.)); #389713=DIRECTION('center_axis',(0.,0.,-1.)); #389714=DIRECTION('ref_axis',(1.,0.,0.)); #389715=DIRECTION('',(0.,0.,-1.)); #389716=DIRECTION('center_axis',(0.,0.,1.)); #389717=DIRECTION('ref_axis',(1.,0.,0.)); #389718=DIRECTION('center_axis',(0.,0.,1.)); #389719=DIRECTION('ref_axis',(1.,0.,0.)); #389720=DIRECTION('center_axis',(0.,0.,1.)); #389721=DIRECTION('ref_axis',(1.,0.,0.)); #389722=DIRECTION('',(0.,0.,-1.)); #389723=DIRECTION('center_axis',(0.,0.,1.)); #389724=DIRECTION('ref_axis',(1.,0.,0.)); #389725=DIRECTION('center_axis',(0.,0.,1.)); #389726=DIRECTION('ref_axis',(1.,0.,0.)); #389727=DIRECTION('center_axis',(0.,0.,1.)); #389728=DIRECTION('ref_axis',(1.,0.,0.)); #389729=DIRECTION('center_axis',(0.,0.,1.)); #389730=DIRECTION('ref_axis',(1.,0.,0.)); #389731=DIRECTION('center_axis',(0.,0.,-1.)); #389732=DIRECTION('ref_axis',(1.,0.,0.)); #389733=DIRECTION('',(0.,0.,-1.)); #389734=DIRECTION('center_axis',(0.,0.,1.)); #389735=DIRECTION('ref_axis',(1.,0.,0.)); #389736=DIRECTION('center_axis',(0.,0.,1.)); #389737=DIRECTION('ref_axis',(1.,0.,0.)); #389738=DIRECTION('center_axis',(0.,0.,1.)); #389739=DIRECTION('ref_axis',(1.,0.,0.)); #389740=DIRECTION('',(0.,0.,-1.)); #389741=DIRECTION('center_axis',(0.,0.,1.)); #389742=DIRECTION('ref_axis',(1.,0.,0.)); #389743=DIRECTION('center_axis',(0.,0.,1.)); #389744=DIRECTION('ref_axis',(1.,0.,0.)); #389745=DIRECTION('center_axis',(0.,0.,1.)); #389746=DIRECTION('ref_axis',(1.,0.,0.)); #389747=DIRECTION('center_axis',(0.,0.,1.)); #389748=DIRECTION('ref_axis',(1.,0.,0.)); #389749=DIRECTION('center_axis',(0.,0.,-1.)); #389750=DIRECTION('ref_axis',(1.,0.,0.)); #389751=DIRECTION('',(0.,0.,-1.)); #389752=DIRECTION('center_axis',(0.,0.,1.)); #389753=DIRECTION('ref_axis',(1.,0.,0.)); #389754=DIRECTION('center_axis',(0.,0.,1.)); #389755=DIRECTION('ref_axis',(1.,0.,0.)); #389756=DIRECTION('center_axis',(0.,0.,1.)); #389757=DIRECTION('ref_axis',(1.,0.,0.)); #389758=DIRECTION('',(0.,0.,-1.)); #389759=DIRECTION('center_axis',(0.,0.,1.)); #389760=DIRECTION('ref_axis',(1.,0.,0.)); #389761=DIRECTION('center_axis',(0.,0.,1.)); #389762=DIRECTION('ref_axis',(1.,0.,0.)); #389763=DIRECTION('center_axis',(0.,0.,1.)); #389764=DIRECTION('ref_axis',(1.,0.,0.)); #389765=DIRECTION('center_axis',(0.,0.,1.)); #389766=DIRECTION('ref_axis',(1.,0.,0.)); #389767=DIRECTION('center_axis',(0.,0.,-1.)); #389768=DIRECTION('ref_axis',(1.,0.,0.)); #389769=DIRECTION('',(0.,0.,-1.)); #389770=DIRECTION('center_axis',(0.,0.,1.)); #389771=DIRECTION('ref_axis',(1.,0.,0.)); #389772=DIRECTION('center_axis',(0.,0.,1.)); #389773=DIRECTION('ref_axis',(1.,0.,0.)); #389774=DIRECTION('center_axis',(0.,0.,1.)); #389775=DIRECTION('ref_axis',(1.,0.,0.)); #389776=DIRECTION('',(0.,0.,-1.)); #389777=DIRECTION('center_axis',(0.,0.,1.)); #389778=DIRECTION('ref_axis',(1.,0.,0.)); #389779=DIRECTION('center_axis',(0.,0.,1.)); #389780=DIRECTION('ref_axis',(1.,0.,0.)); #389781=DIRECTION('center_axis',(0.,0.,1.)); #389782=DIRECTION('ref_axis',(1.,0.,0.)); #389783=DIRECTION('center_axis',(0.,0.,1.)); #389784=DIRECTION('ref_axis',(1.,0.,0.)); #389785=DIRECTION('center_axis',(0.,0.,-1.)); #389786=DIRECTION('ref_axis',(1.,0.,0.)); #389787=DIRECTION('',(0.,0.,-1.)); #389788=DIRECTION('center_axis',(0.,0.,1.)); #389789=DIRECTION('ref_axis',(1.,0.,0.)); #389790=DIRECTION('center_axis',(0.,0.,1.)); #389791=DIRECTION('ref_axis',(1.,0.,0.)); #389792=DIRECTION('center_axis',(0.,0.,1.)); #389793=DIRECTION('ref_axis',(1.,0.,0.)); #389794=DIRECTION('',(0.,0.,-1.)); #389795=DIRECTION('center_axis',(0.,0.,1.)); #389796=DIRECTION('ref_axis',(1.,0.,0.)); #389797=DIRECTION('center_axis',(0.,0.,1.)); #389798=DIRECTION('ref_axis',(1.,0.,0.)); #389799=DIRECTION('center_axis',(0.,0.,1.)); #389800=DIRECTION('ref_axis',(1.,0.,0.)); #389801=DIRECTION('center_axis',(0.,0.,1.)); #389802=DIRECTION('ref_axis',(1.,0.,0.)); #389803=DIRECTION('center_axis',(0.,0.,-1.)); #389804=DIRECTION('ref_axis',(1.,0.,0.)); #389805=DIRECTION('',(0.,0.,-1.)); #389806=DIRECTION('center_axis',(0.,0.,1.)); #389807=DIRECTION('ref_axis',(1.,0.,0.)); #389808=DIRECTION('center_axis',(0.,0.,1.)); #389809=DIRECTION('ref_axis',(1.,0.,0.)); #389810=DIRECTION('center_axis',(0.,0.,1.)); #389811=DIRECTION('ref_axis',(1.,0.,0.)); #389812=DIRECTION('',(0.,0.,-1.)); #389813=DIRECTION('center_axis',(0.,0.,1.)); #389814=DIRECTION('ref_axis',(1.,0.,0.)); #389815=DIRECTION('center_axis',(0.,0.,1.)); #389816=DIRECTION('ref_axis',(1.,0.,0.)); #389817=DIRECTION('center_axis',(0.,0.,1.)); #389818=DIRECTION('ref_axis',(1.,0.,0.)); #389819=DIRECTION('center_axis',(0.,0.,1.)); #389820=DIRECTION('ref_axis',(1.,0.,0.)); #389821=DIRECTION('center_axis',(0.,0.,-1.)); #389822=DIRECTION('ref_axis',(1.,0.,0.)); #389823=DIRECTION('',(0.,0.,-1.)); #389824=DIRECTION('center_axis',(0.,0.,1.)); #389825=DIRECTION('ref_axis',(1.,0.,0.)); #389826=DIRECTION('center_axis',(0.,0.,1.)); #389827=DIRECTION('ref_axis',(1.,0.,0.)); #389828=DIRECTION('center_axis',(0.,0.,1.)); #389829=DIRECTION('ref_axis',(1.,0.,0.)); #389830=DIRECTION('',(0.,0.,-1.)); #389831=DIRECTION('center_axis',(0.,0.,1.)); #389832=DIRECTION('ref_axis',(1.,0.,0.)); #389833=DIRECTION('center_axis',(0.,0.,1.)); #389834=DIRECTION('ref_axis',(1.,0.,0.)); #389835=DIRECTION('center_axis',(0.,0.,1.)); #389836=DIRECTION('ref_axis',(1.,0.,0.)); #389837=DIRECTION('center_axis',(0.,0.,1.)); #389838=DIRECTION('ref_axis',(1.,0.,0.)); #389839=DIRECTION('center_axis',(0.,0.,-1.)); #389840=DIRECTION('ref_axis',(1.,0.,0.)); #389841=DIRECTION('',(0.,0.,-1.)); #389842=DIRECTION('center_axis',(0.,0.,1.)); #389843=DIRECTION('ref_axis',(1.,0.,0.)); #389844=DIRECTION('center_axis',(0.,0.,1.)); #389845=DIRECTION('ref_axis',(1.,0.,0.)); #389846=DIRECTION('center_axis',(0.,0.,1.)); #389847=DIRECTION('ref_axis',(1.,0.,0.)); #389848=DIRECTION('',(0.,0.,-1.)); #389849=DIRECTION('center_axis',(0.,0.,1.)); #389850=DIRECTION('ref_axis',(1.,0.,0.)); #389851=DIRECTION('center_axis',(0.,0.,1.)); #389852=DIRECTION('ref_axis',(1.,0.,0.)); #389853=DIRECTION('center_axis',(0.,0.,1.)); #389854=DIRECTION('ref_axis',(1.,0.,0.)); #389855=DIRECTION('center_axis',(0.,0.,1.)); #389856=DIRECTION('ref_axis',(1.,0.,0.)); #389857=DIRECTION('center_axis',(0.,0.,-1.)); #389858=DIRECTION('ref_axis',(1.,0.,0.)); #389859=DIRECTION('',(0.,0.,-1.)); #389860=DIRECTION('center_axis',(0.,0.,1.)); #389861=DIRECTION('ref_axis',(1.,0.,0.)); #389862=DIRECTION('center_axis',(0.,0.,1.)); #389863=DIRECTION('ref_axis',(1.,0.,0.)); #389864=DIRECTION('center_axis',(0.,0.,1.)); #389865=DIRECTION('ref_axis',(1.,0.,0.)); #389866=DIRECTION('',(0.,0.,-1.)); #389867=DIRECTION('center_axis',(0.,0.,1.)); #389868=DIRECTION('ref_axis',(1.,0.,0.)); #389869=DIRECTION('center_axis',(0.,0.,1.)); #389870=DIRECTION('ref_axis',(1.,0.,0.)); #389871=DIRECTION('center_axis',(0.,0.,1.)); #389872=DIRECTION('ref_axis',(1.,0.,0.)); #389873=DIRECTION('center_axis',(0.,0.,1.)); #389874=DIRECTION('ref_axis',(1.,0.,0.)); #389875=DIRECTION('center_axis',(0.,0.,-1.)); #389876=DIRECTION('ref_axis',(1.,0.,0.)); #389877=DIRECTION('',(0.,0.,-1.)); #389878=DIRECTION('center_axis',(0.,0.,1.)); #389879=DIRECTION('ref_axis',(1.,0.,0.)); #389880=DIRECTION('center_axis',(0.,0.,1.)); #389881=DIRECTION('ref_axis',(1.,0.,0.)); #389882=DIRECTION('center_axis',(0.,0.,1.)); #389883=DIRECTION('ref_axis',(1.,0.,0.)); #389884=DIRECTION('',(0.,0.,-1.)); #389885=DIRECTION('center_axis',(0.,0.,1.)); #389886=DIRECTION('ref_axis',(1.,0.,0.)); #389887=DIRECTION('center_axis',(0.,0.,1.)); #389888=DIRECTION('ref_axis',(1.,0.,0.)); #389889=DIRECTION('center_axis',(0.,0.,1.)); #389890=DIRECTION('ref_axis',(1.,0.,0.)); #389891=DIRECTION('center_axis',(0.,0.,1.)); #389892=DIRECTION('ref_axis',(1.,0.,0.)); #389893=DIRECTION('center_axis',(0.,0.,-1.)); #389894=DIRECTION('ref_axis',(1.,0.,0.)); #389895=DIRECTION('',(0.,0.,-1.)); #389896=DIRECTION('center_axis',(0.,0.,1.)); #389897=DIRECTION('ref_axis',(1.,0.,0.)); #389898=DIRECTION('center_axis',(0.,0.,1.)); #389899=DIRECTION('ref_axis',(1.,0.,0.)); #389900=DIRECTION('center_axis',(0.,0.,1.)); #389901=DIRECTION('ref_axis',(1.,0.,0.)); #389902=DIRECTION('',(0.,0.,-1.)); #389903=DIRECTION('center_axis',(0.,0.,1.)); #389904=DIRECTION('ref_axis',(1.,0.,0.)); #389905=DIRECTION('center_axis',(0.,0.,1.)); #389906=DIRECTION('ref_axis',(1.,0.,0.)); #389907=DIRECTION('center_axis',(0.,0.,1.)); #389908=DIRECTION('ref_axis',(1.,0.,0.)); #389909=DIRECTION('center_axis',(0.,0.,1.)); #389910=DIRECTION('ref_axis',(1.,0.,0.)); #389911=DIRECTION('center_axis',(0.,0.,-1.)); #389912=DIRECTION('ref_axis',(1.,0.,0.)); #389913=DIRECTION('',(0.,0.,-1.)); #389914=DIRECTION('center_axis',(0.,0.,1.)); #389915=DIRECTION('ref_axis',(1.,0.,0.)); #389916=DIRECTION('center_axis',(0.,0.,1.)); #389917=DIRECTION('ref_axis',(1.,0.,0.)); #389918=DIRECTION('center_axis',(0.,0.,1.)); #389919=DIRECTION('ref_axis',(1.,0.,0.)); #389920=DIRECTION('',(0.,0.,-1.)); #389921=DIRECTION('center_axis',(0.,0.,1.)); #389922=DIRECTION('ref_axis',(1.,0.,0.)); #389923=DIRECTION('center_axis',(0.,0.,1.)); #389924=DIRECTION('ref_axis',(1.,0.,0.)); #389925=DIRECTION('center_axis',(0.,0.,1.)); #389926=DIRECTION('ref_axis',(1.,0.,0.)); #389927=DIRECTION('center_axis',(0.,0.,1.)); #389928=DIRECTION('ref_axis',(1.,0.,0.)); #389929=DIRECTION('center_axis',(0.,0.,-1.)); #389930=DIRECTION('ref_axis',(1.,0.,0.)); #389931=DIRECTION('',(0.,0.,-1.)); #389932=DIRECTION('center_axis',(0.,0.,1.)); #389933=DIRECTION('ref_axis',(1.,0.,0.)); #389934=DIRECTION('center_axis',(0.,0.,1.)); #389935=DIRECTION('ref_axis',(1.,0.,0.)); #389936=DIRECTION('center_axis',(0.,0.,1.)); #389937=DIRECTION('ref_axis',(1.,0.,0.)); #389938=DIRECTION('',(0.,0.,-1.)); #389939=DIRECTION('center_axis',(0.,0.,1.)); #389940=DIRECTION('ref_axis',(1.,0.,0.)); #389941=DIRECTION('center_axis',(0.,0.,1.)); #389942=DIRECTION('ref_axis',(1.,0.,0.)); #389943=DIRECTION('center_axis',(0.,0.,1.)); #389944=DIRECTION('ref_axis',(1.,0.,0.)); #389945=DIRECTION('center_axis',(0.,0.,1.)); #389946=DIRECTION('ref_axis',(1.,0.,0.)); #389947=DIRECTION('center_axis',(0.,0.,-1.)); #389948=DIRECTION('ref_axis',(1.,0.,0.)); #389949=DIRECTION('',(0.,0.,-1.)); #389950=DIRECTION('center_axis',(0.,0.,1.)); #389951=DIRECTION('ref_axis',(1.,0.,0.)); #389952=DIRECTION('center_axis',(0.,0.,1.)); #389953=DIRECTION('ref_axis',(1.,0.,0.)); #389954=DIRECTION('center_axis',(0.,0.,1.)); #389955=DIRECTION('ref_axis',(1.,0.,0.)); #389956=DIRECTION('',(0.,0.,-1.)); #389957=DIRECTION('center_axis',(0.,0.,1.)); #389958=DIRECTION('ref_axis',(1.,0.,0.)); #389959=DIRECTION('center_axis',(0.,0.,1.)); #389960=DIRECTION('ref_axis',(1.,0.,0.)); #389961=DIRECTION('center_axis',(0.,0.,1.)); #389962=DIRECTION('ref_axis',(1.,0.,0.)); #389963=DIRECTION('center_axis',(0.,0.,1.)); #389964=DIRECTION('ref_axis',(1.,0.,0.)); #389965=DIRECTION('center_axis',(0.,0.,-1.)); #389966=DIRECTION('ref_axis',(1.,0.,0.)); #389967=DIRECTION('',(0.,0.,-1.)); #389968=DIRECTION('center_axis',(0.,0.,1.)); #389969=DIRECTION('ref_axis',(1.,0.,0.)); #389970=DIRECTION('center_axis',(0.,0.,1.)); #389971=DIRECTION('ref_axis',(1.,0.,0.)); #389972=DIRECTION('center_axis',(0.,0.,1.)); #389973=DIRECTION('ref_axis',(1.,0.,0.)); #389974=DIRECTION('',(0.,0.,-1.)); #389975=DIRECTION('center_axis',(0.,0.,1.)); #389976=DIRECTION('ref_axis',(1.,0.,0.)); #389977=DIRECTION('center_axis',(0.,0.,1.)); #389978=DIRECTION('ref_axis',(1.,0.,0.)); #389979=DIRECTION('center_axis',(0.,0.,1.)); #389980=DIRECTION('ref_axis',(1.,0.,0.)); #389981=DIRECTION('center_axis',(0.,0.,1.)); #389982=DIRECTION('ref_axis',(1.,0.,0.)); #389983=DIRECTION('center_axis',(0.,0.,-1.)); #389984=DIRECTION('ref_axis',(1.,0.,0.)); #389985=DIRECTION('',(0.,0.,-1.)); #389986=DIRECTION('center_axis',(0.,0.,1.)); #389987=DIRECTION('ref_axis',(1.,0.,0.)); #389988=DIRECTION('center_axis',(0.,0.,1.)); #389989=DIRECTION('ref_axis',(1.,0.,0.)); #389990=DIRECTION('center_axis',(0.,0.,1.)); #389991=DIRECTION('ref_axis',(1.,0.,0.)); #389992=DIRECTION('',(0.,0.,-1.)); #389993=DIRECTION('center_axis',(0.,0.,1.)); #389994=DIRECTION('ref_axis',(1.,0.,0.)); #389995=DIRECTION('center_axis',(0.,0.,1.)); #389996=DIRECTION('ref_axis',(1.,0.,0.)); #389997=DIRECTION('center_axis',(0.,0.,1.)); #389998=DIRECTION('ref_axis',(1.,0.,0.)); #389999=DIRECTION('center_axis',(0.,0.,1.)); #390000=DIRECTION('ref_axis',(1.,0.,0.)); #390001=DIRECTION('center_axis',(0.,0.,-1.)); #390002=DIRECTION('ref_axis',(1.,0.,0.)); #390003=DIRECTION('',(0.,0.,-1.)); #390004=DIRECTION('center_axis',(0.,0.,1.)); #390005=DIRECTION('ref_axis',(1.,0.,0.)); #390006=DIRECTION('center_axis',(0.,0.,1.)); #390007=DIRECTION('ref_axis',(1.,0.,0.)); #390008=DIRECTION('center_axis',(0.,0.,1.)); #390009=DIRECTION('ref_axis',(1.,0.,0.)); #390010=DIRECTION('',(0.,0.,-1.)); #390011=DIRECTION('center_axis',(0.,0.,1.)); #390012=DIRECTION('ref_axis',(1.,0.,0.)); #390013=DIRECTION('center_axis',(0.,0.,1.)); #390014=DIRECTION('ref_axis',(1.,0.,0.)); #390015=DIRECTION('center_axis',(0.,0.,1.)); #390016=DIRECTION('ref_axis',(1.,0.,0.)); #390017=DIRECTION('center_axis',(0.,0.,1.)); #390018=DIRECTION('ref_axis',(1.,0.,0.)); #390019=DIRECTION('center_axis',(0.,0.,-1.)); #390020=DIRECTION('ref_axis',(1.,0.,0.)); #390021=DIRECTION('',(0.,0.,-1.)); #390022=DIRECTION('center_axis',(0.,0.,1.)); #390023=DIRECTION('ref_axis',(1.,0.,0.)); #390024=DIRECTION('center_axis',(0.,0.,1.)); #390025=DIRECTION('ref_axis',(1.,0.,0.)); #390026=DIRECTION('center_axis',(0.,0.,1.)); #390027=DIRECTION('ref_axis',(1.,0.,0.)); #390028=DIRECTION('',(0.,0.,-1.)); #390029=DIRECTION('center_axis',(0.,0.,1.)); #390030=DIRECTION('ref_axis',(1.,0.,0.)); #390031=DIRECTION('center_axis',(0.,0.,1.)); #390032=DIRECTION('ref_axis',(1.,0.,0.)); #390033=DIRECTION('center_axis',(0.,0.,1.)); #390034=DIRECTION('ref_axis',(1.,0.,0.)); #390035=DIRECTION('center_axis',(0.,0.,1.)); #390036=DIRECTION('ref_axis',(1.,0.,0.)); #390037=DIRECTION('center_axis',(0.,0.,-1.)); #390038=DIRECTION('ref_axis',(1.,0.,0.)); #390039=DIRECTION('',(0.,0.,-1.)); #390040=DIRECTION('center_axis',(0.,0.,1.)); #390041=DIRECTION('ref_axis',(1.,0.,0.)); #390042=DIRECTION('center_axis',(0.,0.,1.)); #390043=DIRECTION('ref_axis',(1.,0.,0.)); #390044=DIRECTION('center_axis',(0.,0.,1.)); #390045=DIRECTION('ref_axis',(1.,0.,0.)); #390046=DIRECTION('',(0.,0.,-1.)); #390047=DIRECTION('center_axis',(0.,0.,1.)); #390048=DIRECTION('ref_axis',(1.,0.,0.)); #390049=DIRECTION('center_axis',(0.,0.,1.)); #390050=DIRECTION('ref_axis',(1.,0.,0.)); #390051=DIRECTION('center_axis',(0.,0.,1.)); #390052=DIRECTION('ref_axis',(1.,0.,0.)); #390053=DIRECTION('center_axis',(0.,0.,1.)); #390054=DIRECTION('ref_axis',(1.,0.,0.)); #390055=DIRECTION('center_axis',(0.,0.,-1.)); #390056=DIRECTION('ref_axis',(1.,0.,0.)); #390057=DIRECTION('',(0.,0.,-1.)); #390058=DIRECTION('center_axis',(0.,0.,1.)); #390059=DIRECTION('ref_axis',(1.,0.,0.)); #390060=DIRECTION('center_axis',(0.,0.,1.)); #390061=DIRECTION('ref_axis',(1.,0.,0.)); #390062=DIRECTION('center_axis',(0.,0.,1.)); #390063=DIRECTION('ref_axis',(1.,0.,0.)); #390064=DIRECTION('',(0.,0.,-1.)); #390065=DIRECTION('center_axis',(0.,0.,1.)); #390066=DIRECTION('ref_axis',(1.,0.,0.)); #390067=DIRECTION('center_axis',(0.,0.,1.)); #390068=DIRECTION('ref_axis',(1.,0.,0.)); #390069=DIRECTION('center_axis',(0.,0.,1.)); #390070=DIRECTION('ref_axis',(1.,0.,0.)); #390071=DIRECTION('center_axis',(0.,0.,1.)); #390072=DIRECTION('ref_axis',(1.,0.,0.)); #390073=DIRECTION('center_axis',(0.,0.,-1.)); #390074=DIRECTION('ref_axis',(1.,0.,0.)); #390075=DIRECTION('',(0.,0.,-1.)); #390076=DIRECTION('center_axis',(0.,0.,1.)); #390077=DIRECTION('ref_axis',(1.,0.,0.)); #390078=DIRECTION('center_axis',(0.,0.,1.)); #390079=DIRECTION('ref_axis',(1.,0.,0.)); #390080=DIRECTION('center_axis',(0.,0.,1.)); #390081=DIRECTION('ref_axis',(1.,0.,0.)); #390082=DIRECTION('',(0.,0.,-1.)); #390083=DIRECTION('center_axis',(0.,0.,1.)); #390084=DIRECTION('ref_axis',(1.,0.,0.)); #390085=DIRECTION('center_axis',(0.,0.,1.)); #390086=DIRECTION('ref_axis',(1.,0.,0.)); #390087=DIRECTION('center_axis',(0.,0.,1.)); #390088=DIRECTION('ref_axis',(1.,0.,0.)); #390089=DIRECTION('center_axis',(0.,0.,1.)); #390090=DIRECTION('ref_axis',(1.,0.,0.)); #390091=DIRECTION('center_axis',(0.,0.,-1.)); #390092=DIRECTION('ref_axis',(1.,0.,0.)); #390093=DIRECTION('',(0.,0.,-1.)); #390094=DIRECTION('center_axis',(0.,0.,1.)); #390095=DIRECTION('ref_axis',(1.,0.,0.)); #390096=DIRECTION('center_axis',(0.,0.,1.)); #390097=DIRECTION('ref_axis',(1.,0.,0.)); #390098=DIRECTION('center_axis',(0.,0.,1.)); #390099=DIRECTION('ref_axis',(1.,0.,0.)); #390100=DIRECTION('',(0.,0.,-1.)); #390101=DIRECTION('center_axis',(0.,0.,1.)); #390102=DIRECTION('ref_axis',(1.,0.,0.)); #390103=DIRECTION('center_axis',(0.,0.,1.)); #390104=DIRECTION('ref_axis',(1.,0.,0.)); #390105=DIRECTION('center_axis',(0.,0.,1.)); #390106=DIRECTION('ref_axis',(1.,0.,0.)); #390107=DIRECTION('center_axis',(0.,0.,1.)); #390108=DIRECTION('ref_axis',(1.,0.,0.)); #390109=DIRECTION('center_axis',(0.,0.,-1.)); #390110=DIRECTION('ref_axis',(1.,0.,0.)); #390111=DIRECTION('',(0.,0.,-1.)); #390112=DIRECTION('center_axis',(0.,0.,1.)); #390113=DIRECTION('ref_axis',(1.,0.,0.)); #390114=DIRECTION('center_axis',(0.,0.,1.)); #390115=DIRECTION('ref_axis',(1.,0.,0.)); #390116=DIRECTION('center_axis',(0.,0.,1.)); #390117=DIRECTION('ref_axis',(1.,0.,0.)); #390118=DIRECTION('',(0.,0.,-1.)); #390119=DIRECTION('center_axis',(0.,0.,1.)); #390120=DIRECTION('ref_axis',(1.,0.,0.)); #390121=DIRECTION('center_axis',(0.,0.,1.)); #390122=DIRECTION('ref_axis',(1.,0.,0.)); #390123=DIRECTION('center_axis',(0.,0.,1.)); #390124=DIRECTION('ref_axis',(1.,0.,0.)); #390125=DIRECTION('center_axis',(0.,0.,1.)); #390126=DIRECTION('ref_axis',(1.,0.,0.)); #390127=DIRECTION('center_axis',(0.,0.,-1.)); #390128=DIRECTION('ref_axis',(1.,0.,0.)); #390129=DIRECTION('',(0.,0.,-1.)); #390130=DIRECTION('center_axis',(0.,0.,1.)); #390131=DIRECTION('ref_axis',(1.,0.,0.)); #390132=DIRECTION('center_axis',(0.,0.,1.)); #390133=DIRECTION('ref_axis',(1.,0.,0.)); #390134=DIRECTION('center_axis',(0.,0.,1.)); #390135=DIRECTION('ref_axis',(1.,0.,0.)); #390136=DIRECTION('',(0.,0.,-1.)); #390137=DIRECTION('center_axis',(0.,0.,1.)); #390138=DIRECTION('ref_axis',(1.,0.,0.)); #390139=DIRECTION('center_axis',(0.,0.,1.)); #390140=DIRECTION('ref_axis',(1.,0.,0.)); #390141=DIRECTION('center_axis',(0.,0.,1.)); #390142=DIRECTION('ref_axis',(1.,0.,0.)); #390143=DIRECTION('center_axis',(0.,0.,1.)); #390144=DIRECTION('ref_axis',(1.,0.,0.)); #390145=DIRECTION('center_axis',(0.,0.,-1.)); #390146=DIRECTION('ref_axis',(1.,0.,0.)); #390147=DIRECTION('',(0.,0.,-1.)); #390148=DIRECTION('center_axis',(0.,0.,1.)); #390149=DIRECTION('ref_axis',(1.,0.,0.)); #390150=DIRECTION('center_axis',(0.,0.,1.)); #390151=DIRECTION('ref_axis',(1.,0.,0.)); #390152=DIRECTION('center_axis',(0.,0.,1.)); #390153=DIRECTION('ref_axis',(1.,0.,0.)); #390154=DIRECTION('',(0.,0.,-1.)); #390155=DIRECTION('center_axis',(0.,0.,1.)); #390156=DIRECTION('ref_axis',(1.,0.,0.)); #390157=DIRECTION('center_axis',(0.,0.,1.)); #390158=DIRECTION('ref_axis',(1.,0.,0.)); #390159=DIRECTION('center_axis',(0.,0.,1.)); #390160=DIRECTION('ref_axis',(1.,0.,0.)); #390161=DIRECTION('center_axis',(0.,0.,1.)); #390162=DIRECTION('ref_axis',(1.,0.,0.)); #390163=DIRECTION('center_axis',(0.,0.,-1.)); #390164=DIRECTION('ref_axis',(1.,0.,0.)); #390165=DIRECTION('',(0.,0.,-1.)); #390166=DIRECTION('center_axis',(0.,0.,1.)); #390167=DIRECTION('ref_axis',(1.,0.,0.)); #390168=DIRECTION('center_axis',(0.,0.,1.)); #390169=DIRECTION('ref_axis',(1.,0.,0.)); #390170=DIRECTION('center_axis',(0.,0.,1.)); #390171=DIRECTION('ref_axis',(1.,0.,0.)); #390172=DIRECTION('',(0.,0.,-1.)); #390173=DIRECTION('center_axis',(0.,0.,1.)); #390174=DIRECTION('ref_axis',(1.,0.,0.)); #390175=DIRECTION('center_axis',(0.,0.,1.)); #390176=DIRECTION('ref_axis',(1.,0.,0.)); #390177=DIRECTION('center_axis',(0.,0.,1.)); #390178=DIRECTION('ref_axis',(1.,0.,0.)); #390179=DIRECTION('center_axis',(0.,0.,1.)); #390180=DIRECTION('ref_axis',(1.,0.,0.)); #390181=DIRECTION('center_axis',(0.,0.,-1.)); #390182=DIRECTION('ref_axis',(1.,0.,0.)); #390183=DIRECTION('',(0.,0.,-1.)); #390184=DIRECTION('center_axis',(0.,0.,1.)); #390185=DIRECTION('ref_axis',(1.,0.,0.)); #390186=DIRECTION('center_axis',(0.,0.,1.)); #390187=DIRECTION('ref_axis',(1.,0.,0.)); #390188=DIRECTION('center_axis',(0.,0.,1.)); #390189=DIRECTION('ref_axis',(1.,0.,0.)); #390190=DIRECTION('',(0.,0.,-1.)); #390191=DIRECTION('center_axis',(0.,0.,1.)); #390192=DIRECTION('ref_axis',(1.,0.,0.)); #390193=DIRECTION('center_axis',(0.,0.,1.)); #390194=DIRECTION('ref_axis',(1.,0.,0.)); #390195=DIRECTION('center_axis',(0.,0.,1.)); #390196=DIRECTION('ref_axis',(1.,0.,0.)); #390197=DIRECTION('center_axis',(0.,0.,1.)); #390198=DIRECTION('ref_axis',(1.,0.,0.)); #390199=DIRECTION('center_axis',(0.,0.,-1.)); #390200=DIRECTION('ref_axis',(1.,0.,0.)); #390201=DIRECTION('',(0.,0.,-1.)); #390202=DIRECTION('center_axis',(0.,0.,1.)); #390203=DIRECTION('ref_axis',(1.,0.,0.)); #390204=DIRECTION('center_axis',(0.,0.,1.)); #390205=DIRECTION('ref_axis',(1.,0.,0.)); #390206=DIRECTION('center_axis',(0.,0.,1.)); #390207=DIRECTION('ref_axis',(1.,0.,0.)); #390208=DIRECTION('',(0.,0.,-1.)); #390209=DIRECTION('center_axis',(0.,0.,1.)); #390210=DIRECTION('ref_axis',(1.,0.,0.)); #390211=DIRECTION('center_axis',(0.,0.,1.)); #390212=DIRECTION('ref_axis',(1.,0.,0.)); #390213=DIRECTION('center_axis',(0.,0.,1.)); #390214=DIRECTION('ref_axis',(1.,0.,0.)); #390215=DIRECTION('center_axis',(0.,0.,1.)); #390216=DIRECTION('ref_axis',(1.,0.,0.)); #390217=DIRECTION('center_axis',(0.,0.,-1.)); #390218=DIRECTION('ref_axis',(1.,0.,0.)); #390219=DIRECTION('',(0.,0.,-1.)); #390220=DIRECTION('center_axis',(0.,0.,1.)); #390221=DIRECTION('ref_axis',(1.,0.,0.)); #390222=DIRECTION('center_axis',(0.,0.,1.)); #390223=DIRECTION('ref_axis',(1.,0.,0.)); #390224=DIRECTION('center_axis',(0.,0.,1.)); #390225=DIRECTION('ref_axis',(1.,0.,0.)); #390226=DIRECTION('',(0.,0.,-1.)); #390227=DIRECTION('center_axis',(0.,0.,1.)); #390228=DIRECTION('ref_axis',(1.,0.,0.)); #390229=DIRECTION('center_axis',(0.,0.,1.)); #390230=DIRECTION('ref_axis',(1.,0.,0.)); #390231=DIRECTION('center_axis',(0.,0.,1.)); #390232=DIRECTION('ref_axis',(1.,0.,0.)); #390233=DIRECTION('center_axis',(0.,0.,1.)); #390234=DIRECTION('ref_axis',(1.,0.,0.)); #390235=DIRECTION('center_axis',(0.,0.,-1.)); #390236=DIRECTION('ref_axis',(1.,0.,0.)); #390237=DIRECTION('',(0.,0.,-1.)); #390238=DIRECTION('center_axis',(0.,0.,1.)); #390239=DIRECTION('ref_axis',(1.,0.,0.)); #390240=DIRECTION('center_axis',(0.,0.,1.)); #390241=DIRECTION('ref_axis',(1.,0.,0.)); #390242=DIRECTION('center_axis',(0.,0.,1.)); #390243=DIRECTION('ref_axis',(1.,0.,0.)); #390244=DIRECTION('',(0.,0.,-1.)); #390245=DIRECTION('center_axis',(0.,0.,1.)); #390246=DIRECTION('ref_axis',(1.,0.,0.)); #390247=DIRECTION('center_axis',(0.,0.,1.)); #390248=DIRECTION('ref_axis',(1.,0.,0.)); #390249=DIRECTION('center_axis',(0.,0.,1.)); #390250=DIRECTION('ref_axis',(1.,0.,0.)); #390251=DIRECTION('center_axis',(0.,0.,1.)); #390252=DIRECTION('ref_axis',(1.,0.,0.)); #390253=DIRECTION('center_axis',(0.,0.,-1.)); #390254=DIRECTION('ref_axis',(1.,0.,0.)); #390255=DIRECTION('',(0.,0.,-1.)); #390256=DIRECTION('center_axis',(0.,0.,1.)); #390257=DIRECTION('ref_axis',(1.,0.,0.)); #390258=DIRECTION('center_axis',(0.,0.,1.)); #390259=DIRECTION('ref_axis',(1.,0.,0.)); #390260=DIRECTION('center_axis',(0.,0.,1.)); #390261=DIRECTION('ref_axis',(1.,0.,0.)); #390262=DIRECTION('',(0.,0.,-1.)); #390263=DIRECTION('center_axis',(0.,0.,1.)); #390264=DIRECTION('ref_axis',(1.,0.,0.)); #390265=DIRECTION('center_axis',(0.,0.,1.)); #390266=DIRECTION('ref_axis',(1.,0.,0.)); #390267=DIRECTION('center_axis',(0.,0.,1.)); #390268=DIRECTION('ref_axis',(1.,0.,0.)); #390269=DIRECTION('center_axis',(0.,0.,1.)); #390270=DIRECTION('ref_axis',(1.,0.,0.)); #390271=DIRECTION('center_axis',(0.,0.,-1.)); #390272=DIRECTION('ref_axis',(1.,0.,0.)); #390273=DIRECTION('',(0.,0.,-1.)); #390274=DIRECTION('center_axis',(0.,0.,1.)); #390275=DIRECTION('ref_axis',(1.,0.,0.)); #390276=DIRECTION('center_axis',(0.,0.,1.)); #390277=DIRECTION('ref_axis',(1.,0.,0.)); #390278=DIRECTION('center_axis',(0.,0.,1.)); #390279=DIRECTION('ref_axis',(1.,0.,0.)); #390280=DIRECTION('',(0.,0.,-1.)); #390281=DIRECTION('center_axis',(0.,0.,1.)); #390282=DIRECTION('ref_axis',(1.,0.,0.)); #390283=DIRECTION('center_axis',(0.,0.,1.)); #390284=DIRECTION('ref_axis',(1.,0.,0.)); #390285=DIRECTION('center_axis',(0.,0.,1.)); #390286=DIRECTION('ref_axis',(1.,0.,0.)); #390287=DIRECTION('center_axis',(0.,0.,1.)); #390288=DIRECTION('ref_axis',(1.,0.,0.)); #390289=DIRECTION('center_axis',(0.,0.,-1.)); #390290=DIRECTION('ref_axis',(1.,0.,0.)); #390291=DIRECTION('',(0.,0.,-1.)); #390292=DIRECTION('center_axis',(0.,0.,1.)); #390293=DIRECTION('ref_axis',(1.,0.,0.)); #390294=DIRECTION('center_axis',(0.,0.,1.)); #390295=DIRECTION('ref_axis',(1.,0.,0.)); #390296=DIRECTION('center_axis',(0.,0.,1.)); #390297=DIRECTION('ref_axis',(1.,0.,0.)); #390298=DIRECTION('',(0.,0.,-1.)); #390299=DIRECTION('center_axis',(0.,0.,1.)); #390300=DIRECTION('ref_axis',(1.,0.,0.)); #390301=DIRECTION('center_axis',(0.,0.,1.)); #390302=DIRECTION('ref_axis',(1.,0.,0.)); #390303=DIRECTION('center_axis',(0.,0.,1.)); #390304=DIRECTION('ref_axis',(1.,0.,0.)); #390305=DIRECTION('center_axis',(0.,0.,1.)); #390306=DIRECTION('ref_axis',(1.,0.,0.)); #390307=DIRECTION('center_axis',(0.,0.,-1.)); #390308=DIRECTION('ref_axis',(1.,0.,0.)); #390309=DIRECTION('',(0.,0.,-1.)); #390310=DIRECTION('center_axis',(0.,0.,1.)); #390311=DIRECTION('ref_axis',(1.,0.,0.)); #390312=DIRECTION('center_axis',(0.,0.,1.)); #390313=DIRECTION('ref_axis',(1.,0.,0.)); #390314=DIRECTION('center_axis',(0.,0.,1.)); #390315=DIRECTION('ref_axis',(1.,0.,0.)); #390316=DIRECTION('',(0.,0.,-1.)); #390317=DIRECTION('center_axis',(0.,0.,1.)); #390318=DIRECTION('ref_axis',(1.,0.,0.)); #390319=DIRECTION('center_axis',(0.,0.,1.)); #390320=DIRECTION('ref_axis',(1.,0.,0.)); #390321=DIRECTION('center_axis',(0.,0.,1.)); #390322=DIRECTION('ref_axis',(1.,0.,0.)); #390323=DIRECTION('center_axis',(0.,0.,1.)); #390324=DIRECTION('ref_axis',(1.,0.,0.)); #390325=DIRECTION('center_axis',(0.,0.,-1.)); #390326=DIRECTION('ref_axis',(1.,0.,0.)); #390327=DIRECTION('',(0.,0.,-1.)); #390328=DIRECTION('center_axis',(0.,0.,1.)); #390329=DIRECTION('ref_axis',(1.,0.,0.)); #390330=DIRECTION('center_axis',(0.,0.,1.)); #390331=DIRECTION('ref_axis',(1.,0.,0.)); #390332=DIRECTION('center_axis',(0.,0.,1.)); #390333=DIRECTION('ref_axis',(1.,0.,0.)); #390334=DIRECTION('',(0.,0.,-1.)); #390335=DIRECTION('center_axis',(0.,0.,1.)); #390336=DIRECTION('ref_axis',(1.,0.,0.)); #390337=DIRECTION('center_axis',(0.,0.,1.)); #390338=DIRECTION('ref_axis',(1.,0.,0.)); #390339=DIRECTION('center_axis',(0.,0.,1.)); #390340=DIRECTION('ref_axis',(1.,0.,0.)); #390341=DIRECTION('center_axis',(0.,0.,1.)); #390342=DIRECTION('ref_axis',(1.,0.,0.)); #390343=DIRECTION('center_axis',(0.,0.,-1.)); #390344=DIRECTION('ref_axis',(1.,0.,0.)); #390345=DIRECTION('',(0.,0.,-1.)); #390346=DIRECTION('center_axis',(0.,0.,1.)); #390347=DIRECTION('ref_axis',(1.,0.,0.)); #390348=DIRECTION('center_axis',(0.,0.,1.)); #390349=DIRECTION('ref_axis',(1.,0.,0.)); #390350=DIRECTION('center_axis',(0.,0.,1.)); #390351=DIRECTION('ref_axis',(1.,0.,0.)); #390352=DIRECTION('',(0.,0.,-1.)); #390353=DIRECTION('center_axis',(0.,0.,1.)); #390354=DIRECTION('ref_axis',(1.,0.,0.)); #390355=DIRECTION('center_axis',(0.,0.,1.)); #390356=DIRECTION('ref_axis',(1.,0.,0.)); #390357=DIRECTION('center_axis',(0.,0.,1.)); #390358=DIRECTION('ref_axis',(1.,0.,0.)); #390359=DIRECTION('center_axis',(0.,0.,1.)); #390360=DIRECTION('ref_axis',(1.,0.,0.)); #390361=DIRECTION('center_axis',(0.,0.,-1.)); #390362=DIRECTION('ref_axis',(1.,0.,0.)); #390363=DIRECTION('',(0.,0.,-1.)); #390364=DIRECTION('center_axis',(0.,0.,1.)); #390365=DIRECTION('ref_axis',(1.,0.,0.)); #390366=DIRECTION('center_axis',(0.,0.,1.)); #390367=DIRECTION('ref_axis',(1.,0.,0.)); #390368=DIRECTION('center_axis',(0.,0.,1.)); #390369=DIRECTION('ref_axis',(1.,0.,0.)); #390370=DIRECTION('',(0.,0.,-1.)); #390371=DIRECTION('center_axis',(0.,0.,1.)); #390372=DIRECTION('ref_axis',(1.,0.,0.)); #390373=DIRECTION('center_axis',(0.,0.,1.)); #390374=DIRECTION('ref_axis',(1.,0.,0.)); #390375=DIRECTION('center_axis',(0.,0.,1.)); #390376=DIRECTION('ref_axis',(1.,0.,0.)); #390377=DIRECTION('center_axis',(0.,0.,1.)); #390378=DIRECTION('ref_axis',(1.,0.,0.)); #390379=DIRECTION('center_axis',(0.,0.,-1.)); #390380=DIRECTION('ref_axis',(1.,0.,0.)); #390381=DIRECTION('',(0.,0.,-1.)); #390382=DIRECTION('center_axis',(0.,0.,1.)); #390383=DIRECTION('ref_axis',(1.,0.,0.)); #390384=DIRECTION('center_axis',(0.,0.,1.)); #390385=DIRECTION('ref_axis',(1.,0.,0.)); #390386=DIRECTION('center_axis',(0.,0.,1.)); #390387=DIRECTION('ref_axis',(1.,0.,0.)); #390388=DIRECTION('',(0.,0.,-1.)); #390389=DIRECTION('center_axis',(0.,0.,1.)); #390390=DIRECTION('ref_axis',(1.,0.,0.)); #390391=DIRECTION('center_axis',(0.,0.,1.)); #390392=DIRECTION('ref_axis',(1.,0.,0.)); #390393=DIRECTION('center_axis',(0.,0.,1.)); #390394=DIRECTION('ref_axis',(1.,0.,0.)); #390395=DIRECTION('center_axis',(0.,0.,1.)); #390396=DIRECTION('ref_axis',(1.,0.,0.)); #390397=DIRECTION('center_axis',(0.,0.,-1.)); #390398=DIRECTION('ref_axis',(1.,0.,0.)); #390399=DIRECTION('',(0.,0.,-1.)); #390400=DIRECTION('center_axis',(0.,0.,1.)); #390401=DIRECTION('ref_axis',(1.,0.,0.)); #390402=DIRECTION('center_axis',(0.,0.,1.)); #390403=DIRECTION('ref_axis',(1.,0.,0.)); #390404=DIRECTION('center_axis',(0.,0.,1.)); #390405=DIRECTION('ref_axis',(1.,0.,0.)); #390406=DIRECTION('',(0.,0.,-1.)); #390407=DIRECTION('center_axis',(0.,0.,1.)); #390408=DIRECTION('ref_axis',(1.,0.,0.)); #390409=DIRECTION('center_axis',(0.,0.,1.)); #390410=DIRECTION('ref_axis',(1.,0.,0.)); #390411=DIRECTION('center_axis',(0.,0.,1.)); #390412=DIRECTION('ref_axis',(1.,0.,0.)); #390413=DIRECTION('center_axis',(0.,0.,1.)); #390414=DIRECTION('ref_axis',(1.,0.,0.)); #390415=DIRECTION('center_axis',(0.,0.,-1.)); #390416=DIRECTION('ref_axis',(1.,0.,0.)); #390417=DIRECTION('',(0.,0.,-1.)); #390418=DIRECTION('center_axis',(0.,0.,1.)); #390419=DIRECTION('ref_axis',(1.,0.,0.)); #390420=DIRECTION('center_axis',(0.,0.,1.)); #390421=DIRECTION('ref_axis',(1.,0.,0.)); #390422=DIRECTION('center_axis',(0.,0.,1.)); #390423=DIRECTION('ref_axis',(1.,0.,0.)); #390424=DIRECTION('',(0.,0.,-1.)); #390425=DIRECTION('center_axis',(0.,0.,1.)); #390426=DIRECTION('ref_axis',(1.,0.,0.)); #390427=DIRECTION('center_axis',(0.,0.,1.)); #390428=DIRECTION('ref_axis',(1.,0.,0.)); #390429=DIRECTION('center_axis',(0.,0.,1.)); #390430=DIRECTION('ref_axis',(1.,0.,0.)); #390431=DIRECTION('center_axis',(0.,0.,1.)); #390432=DIRECTION('ref_axis',(1.,0.,0.)); #390433=DIRECTION('center_axis',(0.,0.,-1.)); #390434=DIRECTION('ref_axis',(1.,0.,0.)); #390435=DIRECTION('',(0.,0.,-1.)); #390436=DIRECTION('center_axis',(0.,0.,1.)); #390437=DIRECTION('ref_axis',(1.,0.,0.)); #390438=DIRECTION('center_axis',(0.,0.,1.)); #390439=DIRECTION('ref_axis',(1.,0.,0.)); #390440=DIRECTION('center_axis',(0.,0.,1.)); #390441=DIRECTION('ref_axis',(1.,0.,0.)); #390442=DIRECTION('',(0.,0.,-1.)); #390443=DIRECTION('center_axis',(0.,0.,1.)); #390444=DIRECTION('ref_axis',(1.,0.,0.)); #390445=DIRECTION('center_axis',(0.,0.,1.)); #390446=DIRECTION('ref_axis',(1.,0.,0.)); #390447=DIRECTION('center_axis',(0.,0.,1.)); #390448=DIRECTION('ref_axis',(1.,0.,0.)); #390449=DIRECTION('center_axis',(0.,0.,1.)); #390450=DIRECTION('ref_axis',(1.,0.,0.)); #390451=DIRECTION('center_axis',(0.,0.,-1.)); #390452=DIRECTION('ref_axis',(1.,0.,0.)); #390453=DIRECTION('',(0.,0.,-1.)); #390454=DIRECTION('center_axis',(0.,0.,1.)); #390455=DIRECTION('ref_axis',(1.,0.,0.)); #390456=DIRECTION('center_axis',(0.,0.,1.)); #390457=DIRECTION('ref_axis',(1.,0.,0.)); #390458=DIRECTION('center_axis',(0.,0.,1.)); #390459=DIRECTION('ref_axis',(1.,0.,0.)); #390460=DIRECTION('',(0.,0.,-1.)); #390461=DIRECTION('center_axis',(0.,0.,1.)); #390462=DIRECTION('ref_axis',(1.,0.,0.)); #390463=DIRECTION('center_axis',(0.,0.,1.)); #390464=DIRECTION('ref_axis',(1.,0.,0.)); #390465=DIRECTION('center_axis',(0.,0.,1.)); #390466=DIRECTION('ref_axis',(1.,0.,0.)); #390467=DIRECTION('center_axis',(0.,0.,1.)); #390468=DIRECTION('ref_axis',(1.,0.,0.)); #390469=DIRECTION('center_axis',(0.,0.,-1.)); #390470=DIRECTION('ref_axis',(1.,0.,0.)); #390471=DIRECTION('',(0.,0.,-1.)); #390472=DIRECTION('center_axis',(0.,0.,1.)); #390473=DIRECTION('ref_axis',(1.,0.,0.)); #390474=DIRECTION('center_axis',(0.,0.,1.)); #390475=DIRECTION('ref_axis',(1.,0.,0.)); #390476=DIRECTION('center_axis',(0.,0.,1.)); #390477=DIRECTION('ref_axis',(1.,0.,0.)); #390478=DIRECTION('',(0.,0.,-1.)); #390479=DIRECTION('center_axis',(0.,0.,1.)); #390480=DIRECTION('ref_axis',(1.,0.,0.)); #390481=DIRECTION('center_axis',(0.,0.,1.)); #390482=DIRECTION('ref_axis',(1.,0.,0.)); #390483=DIRECTION('center_axis',(0.,0.,1.)); #390484=DIRECTION('ref_axis',(1.,0.,0.)); #390485=DIRECTION('center_axis',(0.,0.,1.)); #390486=DIRECTION('ref_axis',(1.,0.,0.)); #390487=DIRECTION('center_axis',(0.,0.,-1.)); #390488=DIRECTION('ref_axis',(1.,0.,0.)); #390489=DIRECTION('',(0.,0.,-1.)); #390490=DIRECTION('center_axis',(0.,0.,1.)); #390491=DIRECTION('ref_axis',(1.,0.,0.)); #390492=DIRECTION('center_axis',(0.,0.,1.)); #390493=DIRECTION('ref_axis',(1.,0.,0.)); #390494=DIRECTION('center_axis',(0.,0.,1.)); #390495=DIRECTION('ref_axis',(1.,0.,0.)); #390496=DIRECTION('',(0.,0.,-1.)); #390497=DIRECTION('center_axis',(0.,0.,1.)); #390498=DIRECTION('ref_axis',(1.,0.,0.)); #390499=DIRECTION('center_axis',(0.,0.,1.)); #390500=DIRECTION('ref_axis',(1.,0.,0.)); #390501=DIRECTION('center_axis',(0.,0.,1.)); #390502=DIRECTION('ref_axis',(1.,0.,0.)); #390503=DIRECTION('center_axis',(0.,0.,1.)); #390504=DIRECTION('ref_axis',(1.,0.,0.)); #390505=DIRECTION('center_axis',(0.,0.,-1.)); #390506=DIRECTION('ref_axis',(1.,0.,0.)); #390507=DIRECTION('',(0.,0.,-1.)); #390508=DIRECTION('center_axis',(0.,0.,1.)); #390509=DIRECTION('ref_axis',(1.,0.,0.)); #390510=DIRECTION('center_axis',(0.,0.,1.)); #390511=DIRECTION('ref_axis',(1.,0.,0.)); #390512=DIRECTION('center_axis',(0.,0.,1.)); #390513=DIRECTION('ref_axis',(1.,0.,0.)); #390514=DIRECTION('',(0.,0.,-1.)); #390515=DIRECTION('center_axis',(0.,0.,1.)); #390516=DIRECTION('ref_axis',(1.,0.,0.)); #390517=DIRECTION('center_axis',(0.,0.,1.)); #390518=DIRECTION('ref_axis',(1.,0.,0.)); #390519=DIRECTION('center_axis',(0.,0.,1.)); #390520=DIRECTION('ref_axis',(1.,0.,0.)); #390521=DIRECTION('center_axis',(0.,0.,1.)); #390522=DIRECTION('ref_axis',(1.,0.,0.)); #390523=DIRECTION('center_axis',(0.,0.,-1.)); #390524=DIRECTION('ref_axis',(1.,0.,0.)); #390525=DIRECTION('',(0.,0.,-1.)); #390526=DIRECTION('center_axis',(0.,0.,1.)); #390527=DIRECTION('ref_axis',(1.,0.,0.)); #390528=DIRECTION('center_axis',(0.,0.,1.)); #390529=DIRECTION('ref_axis',(1.,0.,0.)); #390530=DIRECTION('center_axis',(0.,0.,1.)); #390531=DIRECTION('ref_axis',(1.,0.,0.)); #390532=DIRECTION('',(0.,0.,-1.)); #390533=DIRECTION('center_axis',(0.,0.,1.)); #390534=DIRECTION('ref_axis',(1.,0.,0.)); #390535=DIRECTION('center_axis',(0.,0.,1.)); #390536=DIRECTION('ref_axis',(1.,0.,0.)); #390537=DIRECTION('center_axis',(0.,0.,1.)); #390538=DIRECTION('ref_axis',(1.,0.,0.)); #390539=DIRECTION('center_axis',(0.,0.,1.)); #390540=DIRECTION('ref_axis',(1.,0.,0.)); #390541=DIRECTION('center_axis',(0.,0.,-1.)); #390542=DIRECTION('ref_axis',(1.,0.,0.)); #390543=DIRECTION('',(0.,0.,-1.)); #390544=DIRECTION('center_axis',(0.,0.,1.)); #390545=DIRECTION('ref_axis',(1.,0.,0.)); #390546=DIRECTION('center_axis',(0.,0.,1.)); #390547=DIRECTION('ref_axis',(1.,0.,0.)); #390548=DIRECTION('center_axis',(0.,0.,1.)); #390549=DIRECTION('ref_axis',(1.,0.,0.)); #390550=DIRECTION('',(0.,0.,-1.)); #390551=DIRECTION('center_axis',(0.,0.,1.)); #390552=DIRECTION('ref_axis',(1.,0.,0.)); #390553=DIRECTION('center_axis',(0.,0.,1.)); #390554=DIRECTION('ref_axis',(1.,0.,0.)); #390555=DIRECTION('center_axis',(0.,0.,1.)); #390556=DIRECTION('ref_axis',(1.,0.,0.)); #390557=DIRECTION('center_axis',(0.,0.,1.)); #390558=DIRECTION('ref_axis',(1.,0.,0.)); #390559=DIRECTION('center_axis',(0.,0.,-1.)); #390560=DIRECTION('ref_axis',(1.,0.,0.)); #390561=DIRECTION('',(0.,0.,-1.)); #390562=DIRECTION('center_axis',(0.,0.,1.)); #390563=DIRECTION('ref_axis',(1.,0.,0.)); #390564=DIRECTION('center_axis',(0.,0.,1.)); #390565=DIRECTION('ref_axis',(1.,0.,0.)); #390566=DIRECTION('center_axis',(0.,0.,1.)); #390567=DIRECTION('ref_axis',(1.,0.,0.)); #390568=DIRECTION('',(0.,0.,-1.)); #390569=DIRECTION('center_axis',(0.,0.,1.)); #390570=DIRECTION('ref_axis',(1.,0.,0.)); #390571=DIRECTION('center_axis',(0.,0.,1.)); #390572=DIRECTION('ref_axis',(1.,0.,0.)); #390573=DIRECTION('center_axis',(0.,0.,1.)); #390574=DIRECTION('ref_axis',(1.,0.,0.)); #390575=DIRECTION('center_axis',(0.,0.,1.)); #390576=DIRECTION('ref_axis',(1.,0.,0.)); #390577=DIRECTION('center_axis',(0.,0.,-1.)); #390578=DIRECTION('ref_axis',(1.,0.,0.)); #390579=DIRECTION('',(0.,0.,-1.)); #390580=DIRECTION('center_axis',(0.,0.,1.)); #390581=DIRECTION('ref_axis',(1.,0.,0.)); #390582=DIRECTION('center_axis',(0.,0.,1.)); #390583=DIRECTION('ref_axis',(1.,0.,0.)); #390584=DIRECTION('center_axis',(0.,0.,1.)); #390585=DIRECTION('ref_axis',(1.,0.,0.)); #390586=DIRECTION('',(0.,0.,-1.)); #390587=DIRECTION('center_axis',(0.,0.,1.)); #390588=DIRECTION('ref_axis',(1.,0.,0.)); #390589=DIRECTION('center_axis',(0.,0.,1.)); #390590=DIRECTION('ref_axis',(1.,0.,0.)); #390591=DIRECTION('center_axis',(0.,0.,1.)); #390592=DIRECTION('ref_axis',(1.,0.,0.)); #390593=DIRECTION('center_axis',(0.,0.,1.)); #390594=DIRECTION('ref_axis',(1.,0.,0.)); #390595=DIRECTION('center_axis',(0.,0.,-1.)); #390596=DIRECTION('ref_axis',(1.,0.,0.)); #390597=DIRECTION('',(0.,0.,-1.)); #390598=DIRECTION('center_axis',(0.,0.,1.)); #390599=DIRECTION('ref_axis',(1.,0.,0.)); #390600=DIRECTION('center_axis',(0.,0.,1.)); #390601=DIRECTION('ref_axis',(1.,0.,0.)); #390602=DIRECTION('center_axis',(0.,0.,1.)); #390603=DIRECTION('ref_axis',(1.,0.,0.)); #390604=DIRECTION('',(0.,0.,-1.)); #390605=DIRECTION('center_axis',(0.,0.,1.)); #390606=DIRECTION('ref_axis',(1.,0.,0.)); #390607=DIRECTION('center_axis',(0.,0.,1.)); #390608=DIRECTION('ref_axis',(1.,0.,0.)); #390609=DIRECTION('center_axis',(0.,0.,1.)); #390610=DIRECTION('ref_axis',(1.,0.,0.)); #390611=DIRECTION('center_axis',(0.,0.,1.)); #390612=DIRECTION('ref_axis',(1.,0.,0.)); #390613=DIRECTION('center_axis',(0.,0.,-1.)); #390614=DIRECTION('ref_axis',(1.,0.,0.)); #390615=DIRECTION('',(0.,0.,-1.)); #390616=DIRECTION('center_axis',(0.,0.,1.)); #390617=DIRECTION('ref_axis',(1.,0.,0.)); #390618=DIRECTION('center_axis',(0.,0.,1.)); #390619=DIRECTION('ref_axis',(1.,0.,0.)); #390620=DIRECTION('center_axis',(0.,0.,1.)); #390621=DIRECTION('ref_axis',(1.,0.,0.)); #390622=DIRECTION('',(0.,0.,-1.)); #390623=DIRECTION('center_axis',(0.,0.,1.)); #390624=DIRECTION('ref_axis',(1.,0.,0.)); #390625=DIRECTION('center_axis',(0.,0.,1.)); #390626=DIRECTION('ref_axis',(1.,0.,0.)); #390627=DIRECTION('center_axis',(0.,0.,1.)); #390628=DIRECTION('ref_axis',(1.,0.,0.)); #390629=DIRECTION('center_axis',(0.,0.,1.)); #390630=DIRECTION('ref_axis',(1.,0.,0.)); #390631=DIRECTION('center_axis',(0.,0.,-1.)); #390632=DIRECTION('ref_axis',(1.,0.,0.)); #390633=DIRECTION('',(0.,0.,-1.)); #390634=DIRECTION('center_axis',(0.,0.,1.)); #390635=DIRECTION('ref_axis',(1.,0.,0.)); #390636=DIRECTION('center_axis',(0.,0.,1.)); #390637=DIRECTION('ref_axis',(1.,0.,0.)); #390638=DIRECTION('center_axis',(0.,0.,1.)); #390639=DIRECTION('ref_axis',(1.,0.,0.)); #390640=DIRECTION('',(0.,0.,-1.)); #390641=DIRECTION('center_axis',(0.,0.,1.)); #390642=DIRECTION('ref_axis',(1.,0.,0.)); #390643=DIRECTION('center_axis',(0.,0.,1.)); #390644=DIRECTION('ref_axis',(1.,0.,0.)); #390645=DIRECTION('center_axis',(0.,0.,1.)); #390646=DIRECTION('ref_axis',(1.,0.,0.)); #390647=DIRECTION('center_axis',(0.,0.,1.)); #390648=DIRECTION('ref_axis',(1.,0.,0.)); #390649=DIRECTION('center_axis',(0.,0.,-1.)); #390650=DIRECTION('ref_axis',(1.,0.,0.)); #390651=DIRECTION('',(0.,0.,-1.)); #390652=DIRECTION('center_axis',(0.,0.,1.)); #390653=DIRECTION('ref_axis',(1.,0.,0.)); #390654=DIRECTION('center_axis',(0.,0.,1.)); #390655=DIRECTION('ref_axis',(1.,0.,0.)); #390656=DIRECTION('center_axis',(0.,0.,1.)); #390657=DIRECTION('ref_axis',(1.,0.,0.)); #390658=DIRECTION('',(0.,0.,-1.)); #390659=DIRECTION('center_axis',(0.,0.,1.)); #390660=DIRECTION('ref_axis',(1.,0.,0.)); #390661=DIRECTION('center_axis',(0.,0.,1.)); #390662=DIRECTION('ref_axis',(1.,0.,0.)); #390663=DIRECTION('center_axis',(0.,0.,1.)); #390664=DIRECTION('ref_axis',(1.,0.,0.)); #390665=DIRECTION('center_axis',(0.,0.,1.)); #390666=DIRECTION('ref_axis',(1.,0.,0.)); #390667=DIRECTION('center_axis',(0.,0.,-1.)); #390668=DIRECTION('ref_axis',(1.,0.,0.)); #390669=DIRECTION('',(0.,0.,-1.)); #390670=DIRECTION('center_axis',(0.,0.,1.)); #390671=DIRECTION('ref_axis',(1.,0.,0.)); #390672=DIRECTION('center_axis',(0.,0.,1.)); #390673=DIRECTION('ref_axis',(1.,0.,0.)); #390674=DIRECTION('center_axis',(0.,0.,1.)); #390675=DIRECTION('ref_axis',(1.,0.,0.)); #390676=DIRECTION('',(0.,0.,-1.)); #390677=DIRECTION('center_axis',(0.,0.,1.)); #390678=DIRECTION('ref_axis',(1.,0.,0.)); #390679=DIRECTION('center_axis',(0.,0.,1.)); #390680=DIRECTION('ref_axis',(1.,0.,0.)); #390681=DIRECTION('center_axis',(0.,0.,1.)); #390682=DIRECTION('ref_axis',(1.,0.,0.)); #390683=DIRECTION('center_axis',(0.,0.,1.)); #390684=DIRECTION('ref_axis',(1.,0.,0.)); #390685=DIRECTION('center_axis',(0.,0.,-1.)); #390686=DIRECTION('ref_axis',(1.,0.,0.)); #390687=DIRECTION('',(0.,0.,-1.)); #390688=DIRECTION('center_axis',(0.,0.,1.)); #390689=DIRECTION('ref_axis',(1.,0.,0.)); #390690=DIRECTION('center_axis',(0.,0.,1.)); #390691=DIRECTION('ref_axis',(1.,0.,0.)); #390692=DIRECTION('center_axis',(0.,0.,1.)); #390693=DIRECTION('ref_axis',(1.,0.,0.)); #390694=DIRECTION('',(0.,0.,-1.)); #390695=DIRECTION('center_axis',(0.,0.,1.)); #390696=DIRECTION('ref_axis',(1.,0.,0.)); #390697=DIRECTION('center_axis',(0.,0.,1.)); #390698=DIRECTION('ref_axis',(1.,0.,0.)); #390699=DIRECTION('center_axis',(0.,0.,1.)); #390700=DIRECTION('ref_axis',(1.,0.,0.)); #390701=DIRECTION('center_axis',(0.,0.,1.)); #390702=DIRECTION('ref_axis',(1.,0.,0.)); #390703=DIRECTION('center_axis',(0.,0.,-1.)); #390704=DIRECTION('ref_axis',(1.,0.,0.)); #390705=DIRECTION('',(0.,0.,-1.)); #390706=DIRECTION('center_axis',(0.,0.,1.)); #390707=DIRECTION('ref_axis',(1.,0.,0.)); #390708=DIRECTION('center_axis',(0.,0.,1.)); #390709=DIRECTION('ref_axis',(1.,0.,0.)); #390710=DIRECTION('center_axis',(0.,0.,1.)); #390711=DIRECTION('ref_axis',(1.,0.,0.)); #390712=DIRECTION('',(0.,0.,-1.)); #390713=DIRECTION('center_axis',(0.,0.,1.)); #390714=DIRECTION('ref_axis',(1.,0.,0.)); #390715=DIRECTION('center_axis',(0.,0.,1.)); #390716=DIRECTION('ref_axis',(1.,0.,0.)); #390717=DIRECTION('center_axis',(0.,0.,1.)); #390718=DIRECTION('ref_axis',(1.,0.,0.)); #390719=DIRECTION('center_axis',(0.,0.,1.)); #390720=DIRECTION('ref_axis',(1.,0.,0.)); #390721=DIRECTION('center_axis',(0.,0.,-1.)); #390722=DIRECTION('ref_axis',(1.,0.,0.)); #390723=DIRECTION('',(0.,0.,-1.)); #390724=DIRECTION('center_axis',(0.,0.,1.)); #390725=DIRECTION('ref_axis',(1.,0.,0.)); #390726=DIRECTION('center_axis',(0.,0.,1.)); #390727=DIRECTION('ref_axis',(1.,0.,0.)); #390728=DIRECTION('center_axis',(0.,0.,1.)); #390729=DIRECTION('ref_axis',(1.,0.,0.)); #390730=DIRECTION('',(0.,0.,-1.)); #390731=DIRECTION('center_axis',(0.,0.,1.)); #390732=DIRECTION('ref_axis',(1.,0.,0.)); #390733=DIRECTION('center_axis',(0.,0.,1.)); #390734=DIRECTION('ref_axis',(1.,0.,0.)); #390735=DIRECTION('center_axis',(0.,0.,1.)); #390736=DIRECTION('ref_axis',(1.,0.,0.)); #390737=DIRECTION('center_axis',(0.,0.,1.)); #390738=DIRECTION('ref_axis',(1.,0.,0.)); #390739=DIRECTION('center_axis',(0.,0.,-1.)); #390740=DIRECTION('ref_axis',(1.,0.,0.)); #390741=DIRECTION('',(0.,0.,-1.)); #390742=DIRECTION('center_axis',(0.,0.,1.)); #390743=DIRECTION('ref_axis',(1.,0.,0.)); #390744=DIRECTION('center_axis',(0.,0.,1.)); #390745=DIRECTION('ref_axis',(1.,0.,0.)); #390746=DIRECTION('center_axis',(0.,0.,1.)); #390747=DIRECTION('ref_axis',(1.,0.,0.)); #390748=DIRECTION('',(0.,0.,-1.)); #390749=DIRECTION('center_axis',(0.,0.,1.)); #390750=DIRECTION('ref_axis',(1.,0.,0.)); #390751=DIRECTION('center_axis',(0.,0.,1.)); #390752=DIRECTION('ref_axis',(1.,0.,0.)); #390753=DIRECTION('center_axis',(0.,0.,1.)); #390754=DIRECTION('ref_axis',(1.,0.,0.)); #390755=DIRECTION('center_axis',(0.,0.,1.)); #390756=DIRECTION('ref_axis',(1.,0.,0.)); #390757=DIRECTION('center_axis',(0.,0.,-1.)); #390758=DIRECTION('ref_axis',(1.,0.,0.)); #390759=DIRECTION('',(0.,0.,-1.)); #390760=DIRECTION('center_axis',(0.,0.,1.)); #390761=DIRECTION('ref_axis',(1.,0.,0.)); #390762=DIRECTION('center_axis',(0.,0.,1.)); #390763=DIRECTION('ref_axis',(1.,0.,0.)); #390764=DIRECTION('center_axis',(0.,0.,1.)); #390765=DIRECTION('ref_axis',(1.,0.,0.)); #390766=DIRECTION('',(0.,0.,-1.)); #390767=DIRECTION('center_axis',(0.,0.,1.)); #390768=DIRECTION('ref_axis',(1.,0.,0.)); #390769=DIRECTION('center_axis',(0.,0.,1.)); #390770=DIRECTION('ref_axis',(1.,0.,0.)); #390771=DIRECTION('center_axis',(0.,0.,1.)); #390772=DIRECTION('ref_axis',(1.,0.,0.)); #390773=DIRECTION('center_axis',(0.,0.,1.)); #390774=DIRECTION('ref_axis',(1.,0.,0.)); #390775=DIRECTION('center_axis',(0.,0.,-1.)); #390776=DIRECTION('ref_axis',(1.,0.,0.)); #390777=DIRECTION('',(0.,0.,-1.)); #390778=DIRECTION('center_axis',(0.,0.,1.)); #390779=DIRECTION('ref_axis',(1.,0.,0.)); #390780=DIRECTION('center_axis',(0.,0.,1.)); #390781=DIRECTION('ref_axis',(1.,0.,0.)); #390782=DIRECTION('center_axis',(0.,0.,1.)); #390783=DIRECTION('ref_axis',(1.,0.,0.)); #390784=DIRECTION('',(0.,0.,-1.)); #390785=DIRECTION('center_axis',(0.,0.,1.)); #390786=DIRECTION('ref_axis',(1.,0.,0.)); #390787=DIRECTION('center_axis',(0.,0.,1.)); #390788=DIRECTION('ref_axis',(1.,0.,0.)); #390789=DIRECTION('center_axis',(0.,0.,1.)); #390790=DIRECTION('ref_axis',(1.,0.,0.)); #390791=DIRECTION('center_axis',(0.,0.,1.)); #390792=DIRECTION('ref_axis',(1.,0.,0.)); #390793=DIRECTION('center_axis',(0.,0.,-1.)); #390794=DIRECTION('ref_axis',(1.,0.,0.)); #390795=DIRECTION('',(0.,0.,-1.)); #390796=DIRECTION('center_axis',(0.,0.,1.)); #390797=DIRECTION('ref_axis',(1.,0.,0.)); #390798=DIRECTION('center_axis',(0.,0.,1.)); #390799=DIRECTION('ref_axis',(1.,0.,0.)); #390800=DIRECTION('center_axis',(0.,0.,1.)); #390801=DIRECTION('ref_axis',(1.,0.,0.)); #390802=DIRECTION('',(0.,0.,-1.)); #390803=DIRECTION('center_axis',(0.,0.,1.)); #390804=DIRECTION('ref_axis',(1.,0.,0.)); #390805=DIRECTION('center_axis',(0.,0.,1.)); #390806=DIRECTION('ref_axis',(1.,0.,0.)); #390807=DIRECTION('center_axis',(0.,0.,1.)); #390808=DIRECTION('ref_axis',(1.,0.,0.)); #390809=DIRECTION('center_axis',(0.,0.,1.)); #390810=DIRECTION('ref_axis',(1.,0.,0.)); #390811=DIRECTION('center_axis',(0.,0.,-1.)); #390812=DIRECTION('ref_axis',(1.,0.,0.)); #390813=DIRECTION('',(0.,0.,-1.)); #390814=DIRECTION('center_axis',(0.,0.,1.)); #390815=DIRECTION('ref_axis',(1.,0.,0.)); #390816=DIRECTION('center_axis',(0.,0.,1.)); #390817=DIRECTION('ref_axis',(1.,0.,0.)); #390818=DIRECTION('center_axis',(0.,0.,1.)); #390819=DIRECTION('ref_axis',(1.,0.,0.)); #390820=DIRECTION('',(0.,0.,-1.)); #390821=DIRECTION('center_axis',(0.,0.,1.)); #390822=DIRECTION('ref_axis',(1.,0.,0.)); #390823=DIRECTION('center_axis',(0.,0.,1.)); #390824=DIRECTION('ref_axis',(1.,0.,0.)); #390825=DIRECTION('center_axis',(0.,0.,1.)); #390826=DIRECTION('ref_axis',(1.,0.,0.)); #390827=DIRECTION('center_axis',(0.,0.,1.)); #390828=DIRECTION('ref_axis',(1.,0.,0.)); #390829=DIRECTION('center_axis',(0.,0.,-1.)); #390830=DIRECTION('ref_axis',(1.,0.,0.)); #390831=DIRECTION('',(0.,0.,-1.)); #390832=DIRECTION('center_axis',(0.,0.,1.)); #390833=DIRECTION('ref_axis',(1.,0.,0.)); #390834=DIRECTION('center_axis',(0.,0.,1.)); #390835=DIRECTION('ref_axis',(1.,0.,0.)); #390836=DIRECTION('center_axis',(0.,0.,1.)); #390837=DIRECTION('ref_axis',(1.,0.,0.)); #390838=DIRECTION('',(0.,0.,-1.)); #390839=DIRECTION('center_axis',(0.,0.,1.)); #390840=DIRECTION('ref_axis',(1.,0.,0.)); #390841=DIRECTION('center_axis',(0.,0.,1.)); #390842=DIRECTION('ref_axis',(1.,0.,0.)); #390843=DIRECTION('center_axis',(0.,0.,1.)); #390844=DIRECTION('ref_axis',(1.,0.,0.)); #390845=DIRECTION('center_axis',(0.,0.,1.)); #390846=DIRECTION('ref_axis',(1.,0.,0.)); #390847=DIRECTION('center_axis',(0.,0.,-1.)); #390848=DIRECTION('ref_axis',(1.,0.,0.)); #390849=DIRECTION('',(0.,0.,-1.)); #390850=DIRECTION('center_axis',(0.,0.,1.)); #390851=DIRECTION('ref_axis',(1.,0.,0.)); #390852=DIRECTION('center_axis',(0.,0.,1.)); #390853=DIRECTION('ref_axis',(1.,0.,0.)); #390854=DIRECTION('center_axis',(0.,0.,1.)); #390855=DIRECTION('ref_axis',(1.,0.,0.)); #390856=DIRECTION('',(0.,0.,-1.)); #390857=DIRECTION('center_axis',(0.,0.,1.)); #390858=DIRECTION('ref_axis',(1.,0.,0.)); #390859=DIRECTION('center_axis',(0.,0.,1.)); #390860=DIRECTION('ref_axis',(1.,0.,0.)); #390861=DIRECTION('center_axis',(0.,0.,1.)); #390862=DIRECTION('ref_axis',(1.,0.,0.)); #390863=DIRECTION('center_axis',(0.,0.,1.)); #390864=DIRECTION('ref_axis',(1.,0.,0.)); #390865=DIRECTION('center_axis',(0.,0.,-1.)); #390866=DIRECTION('ref_axis',(1.,0.,0.)); #390867=DIRECTION('',(0.,0.,-1.)); #390868=DIRECTION('center_axis',(0.,0.,1.)); #390869=DIRECTION('ref_axis',(1.,0.,0.)); #390870=DIRECTION('center_axis',(0.,0.,1.)); #390871=DIRECTION('ref_axis',(1.,0.,0.)); #390872=DIRECTION('center_axis',(0.,0.,1.)); #390873=DIRECTION('ref_axis',(1.,0.,0.)); #390874=DIRECTION('',(0.,0.,-1.)); #390875=DIRECTION('center_axis',(0.,0.,1.)); #390876=DIRECTION('ref_axis',(1.,0.,0.)); #390877=DIRECTION('center_axis',(0.,0.,1.)); #390878=DIRECTION('ref_axis',(1.,0.,0.)); #390879=DIRECTION('center_axis',(0.,0.,1.)); #390880=DIRECTION('ref_axis',(1.,0.,0.)); #390881=DIRECTION('center_axis',(0.,0.,1.)); #390882=DIRECTION('ref_axis',(1.,0.,0.)); #390883=DIRECTION('center_axis',(0.,0.,-1.)); #390884=DIRECTION('ref_axis',(1.,0.,0.)); #390885=DIRECTION('',(0.,0.,-1.)); #390886=DIRECTION('center_axis',(0.,0.,1.)); #390887=DIRECTION('ref_axis',(1.,0.,0.)); #390888=DIRECTION('center_axis',(0.,0.,1.)); #390889=DIRECTION('ref_axis',(1.,0.,0.)); #390890=DIRECTION('center_axis',(0.,0.,1.)); #390891=DIRECTION('ref_axis',(1.,0.,0.)); #390892=DIRECTION('',(0.,0.,-1.)); #390893=DIRECTION('center_axis',(0.,0.,1.)); #390894=DIRECTION('ref_axis',(1.,0.,0.)); #390895=DIRECTION('center_axis',(0.,0.,1.)); #390896=DIRECTION('ref_axis',(1.,0.,0.)); #390897=DIRECTION('center_axis',(0.,0.,1.)); #390898=DIRECTION('ref_axis',(1.,0.,0.)); #390899=DIRECTION('center_axis',(0.,0.,1.)); #390900=DIRECTION('ref_axis',(1.,0.,0.)); #390901=DIRECTION('center_axis',(0.,0.,-1.)); #390902=DIRECTION('ref_axis',(1.,0.,0.)); #390903=DIRECTION('',(0.,0.,-1.)); #390904=DIRECTION('center_axis',(0.,0.,1.)); #390905=DIRECTION('ref_axis',(1.,0.,0.)); #390906=DIRECTION('center_axis',(0.,0.,1.)); #390907=DIRECTION('ref_axis',(1.,0.,0.)); #390908=DIRECTION('center_axis',(0.,0.,1.)); #390909=DIRECTION('ref_axis',(1.,0.,0.)); #390910=DIRECTION('',(0.,0.,-1.)); #390911=DIRECTION('center_axis',(0.,0.,1.)); #390912=DIRECTION('ref_axis',(1.,0.,0.)); #390913=DIRECTION('center_axis',(0.,0.,1.)); #390914=DIRECTION('ref_axis',(1.,0.,0.)); #390915=DIRECTION('center_axis',(0.,0.,1.)); #390916=DIRECTION('ref_axis',(1.,0.,0.)); #390917=DIRECTION('center_axis',(0.,0.,1.)); #390918=DIRECTION('ref_axis',(1.,0.,0.)); #390919=DIRECTION('center_axis',(0.,0.,-1.)); #390920=DIRECTION('ref_axis',(1.,0.,0.)); #390921=DIRECTION('',(0.,0.,-1.)); #390922=DIRECTION('center_axis',(0.,0.,1.)); #390923=DIRECTION('ref_axis',(1.,0.,0.)); #390924=DIRECTION('center_axis',(0.,0.,1.)); #390925=DIRECTION('ref_axis',(1.,0.,0.)); #390926=DIRECTION('center_axis',(0.,0.,1.)); #390927=DIRECTION('ref_axis',(1.,0.,0.)); #390928=DIRECTION('',(0.,0.,-1.)); #390929=DIRECTION('center_axis',(0.,0.,1.)); #390930=DIRECTION('ref_axis',(1.,0.,0.)); #390931=DIRECTION('center_axis',(0.,0.,1.)); #390932=DIRECTION('ref_axis',(1.,0.,0.)); #390933=DIRECTION('center_axis',(0.,0.,1.)); #390934=DIRECTION('ref_axis',(1.,0.,0.)); #390935=DIRECTION('center_axis',(0.,0.,1.)); #390936=DIRECTION('ref_axis',(1.,0.,0.)); #390937=DIRECTION('center_axis',(0.,0.,-1.)); #390938=DIRECTION('ref_axis',(1.,0.,0.)); #390939=DIRECTION('',(0.,0.,-1.)); #390940=DIRECTION('center_axis',(0.,0.,1.)); #390941=DIRECTION('ref_axis',(1.,0.,0.)); #390942=DIRECTION('center_axis',(0.,0.,1.)); #390943=DIRECTION('ref_axis',(1.,0.,0.)); #390944=DIRECTION('center_axis',(0.,0.,1.)); #390945=DIRECTION('ref_axis',(1.,0.,0.)); #390946=DIRECTION('',(0.,0.,-1.)); #390947=DIRECTION('center_axis',(0.,0.,1.)); #390948=DIRECTION('ref_axis',(1.,0.,0.)); #390949=DIRECTION('center_axis',(0.,0.,1.)); #390950=DIRECTION('ref_axis',(1.,0.,0.)); #390951=DIRECTION('center_axis',(0.,0.,1.)); #390952=DIRECTION('ref_axis',(1.,0.,0.)); #390953=DIRECTION('center_axis',(0.,0.,1.)); #390954=DIRECTION('ref_axis',(1.,0.,0.)); #390955=DIRECTION('center_axis',(0.,0.,-1.)); #390956=DIRECTION('ref_axis',(1.,0.,0.)); #390957=DIRECTION('',(0.,0.,-1.)); #390958=DIRECTION('center_axis',(0.,0.,1.)); #390959=DIRECTION('ref_axis',(1.,0.,0.)); #390960=DIRECTION('center_axis',(0.,0.,1.)); #390961=DIRECTION('ref_axis',(1.,0.,0.)); #390962=DIRECTION('center_axis',(0.,0.,1.)); #390963=DIRECTION('ref_axis',(1.,0.,0.)); #390964=DIRECTION('',(0.,0.,-1.)); #390965=DIRECTION('center_axis',(0.,0.,1.)); #390966=DIRECTION('ref_axis',(1.,0.,0.)); #390967=DIRECTION('center_axis',(0.,0.,1.)); #390968=DIRECTION('ref_axis',(1.,0.,0.)); #390969=DIRECTION('center_axis',(0.,0.,1.)); #390970=DIRECTION('ref_axis',(1.,0.,0.)); #390971=DIRECTION('center_axis',(0.,0.,1.)); #390972=DIRECTION('ref_axis',(1.,0.,0.)); #390973=DIRECTION('center_axis',(0.,0.,-1.)); #390974=DIRECTION('ref_axis',(1.,0.,0.)); #390975=DIRECTION('',(0.,0.,-1.)); #390976=DIRECTION('center_axis',(0.,0.,1.)); #390977=DIRECTION('ref_axis',(1.,0.,0.)); #390978=DIRECTION('center_axis',(0.,0.,1.)); #390979=DIRECTION('ref_axis',(1.,0.,0.)); #390980=DIRECTION('center_axis',(0.,0.,1.)); #390981=DIRECTION('ref_axis',(1.,0.,0.)); #390982=DIRECTION('',(0.,0.,-1.)); #390983=DIRECTION('center_axis',(0.,0.,1.)); #390984=DIRECTION('ref_axis',(1.,0.,0.)); #390985=DIRECTION('center_axis',(0.,0.,1.)); #390986=DIRECTION('ref_axis',(1.,0.,0.)); #390987=DIRECTION('center_axis',(0.,0.,1.)); #390988=DIRECTION('ref_axis',(1.,0.,0.)); #390989=DIRECTION('center_axis',(0.,0.,1.)); #390990=DIRECTION('ref_axis',(1.,0.,0.)); #390991=DIRECTION('center_axis',(0.,0.,-1.)); #390992=DIRECTION('ref_axis',(1.,0.,0.)); #390993=DIRECTION('',(0.,0.,-1.)); #390994=DIRECTION('center_axis',(0.,0.,1.)); #390995=DIRECTION('ref_axis',(1.,0.,0.)); #390996=DIRECTION('center_axis',(0.,0.,1.)); #390997=DIRECTION('ref_axis',(1.,0.,0.)); #390998=DIRECTION('center_axis',(0.,0.,1.)); #390999=DIRECTION('ref_axis',(1.,0.,0.)); #391000=DIRECTION('',(0.,0.,-1.)); #391001=DIRECTION('center_axis',(0.,0.,1.)); #391002=DIRECTION('ref_axis',(1.,0.,0.)); #391003=DIRECTION('center_axis',(0.,0.,1.)); #391004=DIRECTION('ref_axis',(1.,0.,0.)); #391005=DIRECTION('center_axis',(0.,0.,1.)); #391006=DIRECTION('ref_axis',(1.,0.,0.)); #391007=DIRECTION('center_axis',(0.,0.,1.)); #391008=DIRECTION('ref_axis',(1.,0.,0.)); #391009=DIRECTION('center_axis',(0.,0.,-1.)); #391010=DIRECTION('ref_axis',(1.,0.,0.)); #391011=DIRECTION('',(0.,0.,-1.)); #391012=DIRECTION('center_axis',(0.,0.,1.)); #391013=DIRECTION('ref_axis',(1.,0.,0.)); #391014=DIRECTION('center_axis',(0.,0.,1.)); #391015=DIRECTION('ref_axis',(1.,0.,0.)); #391016=DIRECTION('center_axis',(0.,0.,1.)); #391017=DIRECTION('ref_axis',(1.,0.,0.)); #391018=DIRECTION('',(0.,0.,-1.)); #391019=DIRECTION('center_axis',(0.,0.,1.)); #391020=DIRECTION('ref_axis',(1.,0.,0.)); #391021=DIRECTION('center_axis',(0.,0.,1.)); #391022=DIRECTION('ref_axis',(1.,0.,0.)); #391023=DIRECTION('center_axis',(0.,0.,1.)); #391024=DIRECTION('ref_axis',(1.,0.,0.)); #391025=DIRECTION('center_axis',(0.,0.,1.)); #391026=DIRECTION('ref_axis',(1.,0.,0.)); #391027=DIRECTION('center_axis',(0.,0.,-1.)); #391028=DIRECTION('ref_axis',(1.,0.,0.)); #391029=DIRECTION('',(0.,0.,-1.)); #391030=DIRECTION('center_axis',(0.,0.,1.)); #391031=DIRECTION('ref_axis',(1.,0.,0.)); #391032=DIRECTION('center_axis',(0.,0.,1.)); #391033=DIRECTION('ref_axis',(1.,0.,0.)); #391034=DIRECTION('center_axis',(0.,0.,1.)); #391035=DIRECTION('ref_axis',(1.,0.,0.)); #391036=DIRECTION('',(0.,0.,-1.)); #391037=DIRECTION('center_axis',(0.,0.,1.)); #391038=DIRECTION('ref_axis',(1.,0.,0.)); #391039=DIRECTION('center_axis',(0.,0.,1.)); #391040=DIRECTION('ref_axis',(1.,0.,0.)); #391041=DIRECTION('center_axis',(0.,0.,1.)); #391042=DIRECTION('ref_axis',(1.,0.,0.)); #391043=DIRECTION('center_axis',(0.,0.,1.)); #391044=DIRECTION('ref_axis',(1.,0.,0.)); #391045=DIRECTION('center_axis',(0.,0.,-1.)); #391046=DIRECTION('ref_axis',(1.,0.,0.)); #391047=DIRECTION('',(0.,0.,-1.)); #391048=DIRECTION('center_axis',(0.,0.,1.)); #391049=DIRECTION('ref_axis',(1.,0.,0.)); #391050=DIRECTION('center_axis',(0.,0.,1.)); #391051=DIRECTION('ref_axis',(1.,0.,0.)); #391052=DIRECTION('center_axis',(0.,0.,1.)); #391053=DIRECTION('ref_axis',(1.,0.,0.)); #391054=DIRECTION('',(0.,0.,-1.)); #391055=DIRECTION('center_axis',(0.,0.,1.)); #391056=DIRECTION('ref_axis',(1.,0.,0.)); #391057=DIRECTION('center_axis',(0.,0.,1.)); #391058=DIRECTION('ref_axis',(1.,0.,0.)); #391059=DIRECTION('center_axis',(0.,0.,1.)); #391060=DIRECTION('ref_axis',(1.,0.,0.)); #391061=DIRECTION('center_axis',(0.,0.,1.)); #391062=DIRECTION('ref_axis',(1.,0.,0.)); #391063=DIRECTION('center_axis',(0.,0.,-1.)); #391064=DIRECTION('ref_axis',(1.,0.,0.)); #391065=DIRECTION('',(0.,0.,-1.)); #391066=DIRECTION('center_axis',(0.,0.,1.)); #391067=DIRECTION('ref_axis',(1.,0.,0.)); #391068=DIRECTION('center_axis',(0.,0.,1.)); #391069=DIRECTION('ref_axis',(1.,0.,0.)); #391070=DIRECTION('center_axis',(0.,0.,1.)); #391071=DIRECTION('ref_axis',(1.,0.,0.)); #391072=DIRECTION('',(0.,0.,-1.)); #391073=DIRECTION('center_axis',(0.,0.,1.)); #391074=DIRECTION('ref_axis',(1.,0.,0.)); #391075=DIRECTION('center_axis',(0.,0.,1.)); #391076=DIRECTION('ref_axis',(1.,0.,0.)); #391077=DIRECTION('center_axis',(0.,0.,1.)); #391078=DIRECTION('ref_axis',(1.,0.,0.)); #391079=DIRECTION('center_axis',(0.,0.,1.)); #391080=DIRECTION('ref_axis',(1.,0.,0.)); #391081=DIRECTION('center_axis',(0.,0.,-1.)); #391082=DIRECTION('ref_axis',(1.,0.,0.)); #391083=DIRECTION('',(0.,0.,-1.)); #391084=DIRECTION('center_axis',(0.,0.,1.)); #391085=DIRECTION('ref_axis',(1.,0.,0.)); #391086=DIRECTION('center_axis',(0.,0.,1.)); #391087=DIRECTION('ref_axis',(1.,0.,0.)); #391088=DIRECTION('center_axis',(0.,0.,1.)); #391089=DIRECTION('ref_axis',(1.,0.,0.)); #391090=DIRECTION('',(0.,0.,-1.)); #391091=DIRECTION('center_axis',(0.,0.,1.)); #391092=DIRECTION('ref_axis',(1.,0.,0.)); #391093=DIRECTION('center_axis',(0.,0.,1.)); #391094=DIRECTION('ref_axis',(1.,0.,0.)); #391095=DIRECTION('center_axis',(0.,0.,1.)); #391096=DIRECTION('ref_axis',(1.,0.,0.)); #391097=DIRECTION('center_axis',(0.,0.,1.)); #391098=DIRECTION('ref_axis',(1.,0.,0.)); #391099=DIRECTION('center_axis',(0.,0.,-1.)); #391100=DIRECTION('ref_axis',(1.,0.,0.)); #391101=DIRECTION('',(0.,0.,-1.)); #391102=DIRECTION('center_axis',(0.,0.,1.)); #391103=DIRECTION('ref_axis',(1.,0.,0.)); #391104=DIRECTION('center_axis',(0.,0.,1.)); #391105=DIRECTION('ref_axis',(1.,0.,0.)); #391106=DIRECTION('center_axis',(0.,0.,1.)); #391107=DIRECTION('ref_axis',(1.,0.,0.)); #391108=DIRECTION('',(0.,0.,-1.)); #391109=DIRECTION('center_axis',(0.,0.,1.)); #391110=DIRECTION('ref_axis',(1.,0.,0.)); #391111=DIRECTION('center_axis',(0.,0.,1.)); #391112=DIRECTION('ref_axis',(1.,0.,0.)); #391113=DIRECTION('center_axis',(0.,0.,1.)); #391114=DIRECTION('ref_axis',(1.,0.,0.)); #391115=DIRECTION('center_axis',(0.,0.,1.)); #391116=DIRECTION('ref_axis',(1.,0.,0.)); #391117=DIRECTION('center_axis',(0.,0.,-1.)); #391118=DIRECTION('ref_axis',(1.,0.,0.)); #391119=DIRECTION('',(0.,0.,-1.)); #391120=DIRECTION('center_axis',(0.,0.,1.)); #391121=DIRECTION('ref_axis',(1.,0.,0.)); #391122=DIRECTION('center_axis',(0.,0.,1.)); #391123=DIRECTION('ref_axis',(1.,0.,0.)); #391124=DIRECTION('center_axis',(0.,0.,1.)); #391125=DIRECTION('ref_axis',(1.,0.,0.)); #391126=DIRECTION('',(0.,0.,-1.)); #391127=DIRECTION('center_axis',(0.,0.,1.)); #391128=DIRECTION('ref_axis',(1.,0.,0.)); #391129=DIRECTION('center_axis',(0.,0.,1.)); #391130=DIRECTION('ref_axis',(1.,0.,0.)); #391131=DIRECTION('center_axis',(0.,0.,1.)); #391132=DIRECTION('ref_axis',(1.,0.,0.)); #391133=DIRECTION('center_axis',(0.,0.,1.)); #391134=DIRECTION('ref_axis',(1.,0.,0.)); #391135=DIRECTION('center_axis',(0.,0.,-1.)); #391136=DIRECTION('ref_axis',(1.,0.,0.)); #391137=DIRECTION('',(0.,0.,-1.)); #391138=DIRECTION('center_axis',(0.,0.,1.)); #391139=DIRECTION('ref_axis',(1.,0.,0.)); #391140=DIRECTION('center_axis',(0.,0.,1.)); #391141=DIRECTION('ref_axis',(1.,0.,0.)); #391142=DIRECTION('center_axis',(0.,0.,1.)); #391143=DIRECTION('ref_axis',(1.,0.,0.)); #391144=DIRECTION('',(0.,0.,-1.)); #391145=DIRECTION('center_axis',(0.,0.,1.)); #391146=DIRECTION('ref_axis',(1.,0.,0.)); #391147=DIRECTION('center_axis',(0.,0.,1.)); #391148=DIRECTION('ref_axis',(1.,0.,0.)); #391149=DIRECTION('center_axis',(0.,0.,1.)); #391150=DIRECTION('ref_axis',(1.,0.,0.)); #391151=DIRECTION('center_axis',(0.,0.,1.)); #391152=DIRECTION('ref_axis',(1.,0.,0.)); #391153=DIRECTION('center_axis',(0.,0.,-1.)); #391154=DIRECTION('ref_axis',(1.,0.,0.)); #391155=DIRECTION('',(0.,0.,-1.)); #391156=DIRECTION('center_axis',(0.,0.,1.)); #391157=DIRECTION('ref_axis',(1.,0.,0.)); #391158=DIRECTION('center_axis',(0.,0.,1.)); #391159=DIRECTION('ref_axis',(1.,0.,0.)); #391160=DIRECTION('center_axis',(0.,0.,1.)); #391161=DIRECTION('ref_axis',(1.,0.,0.)); #391162=DIRECTION('',(0.,0.,-1.)); #391163=DIRECTION('center_axis',(0.,0.,1.)); #391164=DIRECTION('ref_axis',(1.,0.,0.)); #391165=DIRECTION('center_axis',(0.,0.,1.)); #391166=DIRECTION('ref_axis',(1.,0.,0.)); #391167=DIRECTION('center_axis',(0.,0.,1.)); #391168=DIRECTION('ref_axis',(1.,0.,0.)); #391169=DIRECTION('center_axis',(0.,0.,1.)); #391170=DIRECTION('ref_axis',(1.,0.,0.)); #391171=DIRECTION('center_axis',(0.,0.,-1.)); #391172=DIRECTION('ref_axis',(1.,0.,0.)); #391173=DIRECTION('',(0.,0.,-1.)); #391174=DIRECTION('center_axis',(0.,0.,1.)); #391175=DIRECTION('ref_axis',(1.,0.,0.)); #391176=DIRECTION('center_axis',(0.,0.,1.)); #391177=DIRECTION('ref_axis',(1.,0.,0.)); #391178=DIRECTION('center_axis',(0.,0.,1.)); #391179=DIRECTION('ref_axis',(1.,0.,0.)); #391180=DIRECTION('',(0.,0.,-1.)); #391181=DIRECTION('center_axis',(0.,0.,1.)); #391182=DIRECTION('ref_axis',(1.,0.,0.)); #391183=DIRECTION('center_axis',(0.,0.,1.)); #391184=DIRECTION('ref_axis',(1.,0.,0.)); #391185=DIRECTION('center_axis',(0.,0.,1.)); #391186=DIRECTION('ref_axis',(1.,0.,0.)); #391187=DIRECTION('center_axis',(0.,0.,1.)); #391188=DIRECTION('ref_axis',(1.,0.,0.)); #391189=DIRECTION('center_axis',(0.,0.,-1.)); #391190=DIRECTION('ref_axis',(1.,0.,0.)); #391191=DIRECTION('',(0.,0.,-1.)); #391192=DIRECTION('center_axis',(0.,0.,1.)); #391193=DIRECTION('ref_axis',(1.,0.,0.)); #391194=DIRECTION('center_axis',(0.,0.,1.)); #391195=DIRECTION('ref_axis',(1.,0.,0.)); #391196=DIRECTION('center_axis',(0.,0.,1.)); #391197=DIRECTION('ref_axis',(1.,0.,0.)); #391198=DIRECTION('',(0.,0.,-1.)); #391199=DIRECTION('center_axis',(0.,0.,1.)); #391200=DIRECTION('ref_axis',(1.,0.,0.)); #391201=DIRECTION('center_axis',(0.,0.,1.)); #391202=DIRECTION('ref_axis',(1.,0.,0.)); #391203=DIRECTION('center_axis',(0.,0.,1.)); #391204=DIRECTION('ref_axis',(1.,0.,0.)); #391205=DIRECTION('center_axis',(0.,0.,1.)); #391206=DIRECTION('ref_axis',(1.,0.,0.)); #391207=DIRECTION('center_axis',(0.,0.,-1.)); #391208=DIRECTION('ref_axis',(1.,0.,0.)); #391209=DIRECTION('',(0.,0.,-1.)); #391210=DIRECTION('center_axis',(0.,0.,1.)); #391211=DIRECTION('ref_axis',(1.,0.,0.)); #391212=DIRECTION('center_axis',(0.,0.,1.)); #391213=DIRECTION('ref_axis',(1.,0.,0.)); #391214=DIRECTION('center_axis',(0.,0.,1.)); #391215=DIRECTION('ref_axis',(1.,0.,0.)); #391216=DIRECTION('',(0.,0.,-1.)); #391217=DIRECTION('center_axis',(0.,0.,1.)); #391218=DIRECTION('ref_axis',(1.,0.,0.)); #391219=DIRECTION('center_axis',(0.,0.,1.)); #391220=DIRECTION('ref_axis',(1.,0.,0.)); #391221=DIRECTION('center_axis',(0.,0.,1.)); #391222=DIRECTION('ref_axis',(1.,0.,0.)); #391223=DIRECTION('center_axis',(0.,0.,1.)); #391224=DIRECTION('ref_axis',(1.,0.,0.)); #391225=DIRECTION('center_axis',(0.,0.,-1.)); #391226=DIRECTION('ref_axis',(1.,0.,0.)); #391227=DIRECTION('',(0.,0.,-1.)); #391228=DIRECTION('center_axis',(0.,0.,1.)); #391229=DIRECTION('ref_axis',(1.,0.,0.)); #391230=DIRECTION('center_axis',(0.,0.,1.)); #391231=DIRECTION('ref_axis',(1.,0.,0.)); #391232=DIRECTION('center_axis',(0.,0.,1.)); #391233=DIRECTION('ref_axis',(1.,0.,0.)); #391234=DIRECTION('',(0.,0.,-1.)); #391235=DIRECTION('center_axis',(0.,0.,1.)); #391236=DIRECTION('ref_axis',(1.,0.,0.)); #391237=DIRECTION('center_axis',(0.,0.,1.)); #391238=DIRECTION('ref_axis',(1.,0.,0.)); #391239=DIRECTION('center_axis',(0.,0.,1.)); #391240=DIRECTION('ref_axis',(1.,0.,0.)); #391241=DIRECTION('center_axis',(0.,0.,1.)); #391242=DIRECTION('ref_axis',(1.,0.,0.)); #391243=DIRECTION('center_axis',(0.,0.,-1.)); #391244=DIRECTION('ref_axis',(1.,0.,0.)); #391245=DIRECTION('',(0.,0.,-1.)); #391246=DIRECTION('center_axis',(0.,0.,1.)); #391247=DIRECTION('ref_axis',(1.,0.,0.)); #391248=DIRECTION('center_axis',(0.,0.,1.)); #391249=DIRECTION('ref_axis',(1.,0.,0.)); #391250=DIRECTION('center_axis',(0.,0.,1.)); #391251=DIRECTION('ref_axis',(1.,0.,0.)); #391252=DIRECTION('',(0.,0.,-1.)); #391253=DIRECTION('center_axis',(0.,0.,1.)); #391254=DIRECTION('ref_axis',(1.,0.,0.)); #391255=DIRECTION('center_axis',(0.,0.,1.)); #391256=DIRECTION('ref_axis',(1.,0.,0.)); #391257=DIRECTION('center_axis',(0.,0.,1.)); #391258=DIRECTION('ref_axis',(1.,0.,0.)); #391259=DIRECTION('center_axis',(0.,0.,1.)); #391260=DIRECTION('ref_axis',(1.,0.,0.)); #391261=DIRECTION('center_axis',(0.,0.,-1.)); #391262=DIRECTION('ref_axis',(1.,0.,0.)); #391263=DIRECTION('',(0.,0.,-1.)); #391264=DIRECTION('center_axis',(0.,0.,1.)); #391265=DIRECTION('ref_axis',(1.,0.,0.)); #391266=DIRECTION('center_axis',(0.,0.,1.)); #391267=DIRECTION('ref_axis',(1.,0.,0.)); #391268=DIRECTION('center_axis',(0.,0.,1.)); #391269=DIRECTION('ref_axis',(1.,0.,0.)); #391270=DIRECTION('',(0.,0.,-1.)); #391271=DIRECTION('center_axis',(0.,0.,1.)); #391272=DIRECTION('ref_axis',(1.,0.,0.)); #391273=DIRECTION('center_axis',(0.,0.,1.)); #391274=DIRECTION('ref_axis',(1.,0.,0.)); #391275=DIRECTION('center_axis',(0.,0.,1.)); #391276=DIRECTION('ref_axis',(1.,0.,0.)); #391277=DIRECTION('center_axis',(0.,0.,1.)); #391278=DIRECTION('ref_axis',(1.,0.,0.)); #391279=DIRECTION('center_axis',(0.,0.,-1.)); #391280=DIRECTION('ref_axis',(1.,0.,0.)); #391281=DIRECTION('',(0.,0.,-1.)); #391282=DIRECTION('center_axis',(0.,0.,1.)); #391283=DIRECTION('ref_axis',(1.,0.,0.)); #391284=DIRECTION('center_axis',(0.,0.,1.)); #391285=DIRECTION('ref_axis',(1.,0.,0.)); #391286=DIRECTION('center_axis',(0.,0.,1.)); #391287=DIRECTION('ref_axis',(1.,0.,0.)); #391288=DIRECTION('',(0.,0.,-1.)); #391289=DIRECTION('center_axis',(0.,0.,1.)); #391290=DIRECTION('ref_axis',(1.,0.,0.)); #391291=DIRECTION('center_axis',(0.,0.,1.)); #391292=DIRECTION('ref_axis',(1.,0.,0.)); #391293=DIRECTION('center_axis',(0.,0.,1.)); #391294=DIRECTION('ref_axis',(1.,0.,0.)); #391295=DIRECTION('axis',(0.,0.,1.)); #391296=DIRECTION('refdir',(1.,0.,0.)); #391297=DIRECTION('center_axis',(0.,0.,1.)); #391298=DIRECTION('ref_axis',(1.,0.,0.)); #391299=DIRECTION('center_axis',(0.,0.,1.)); #391300=DIRECTION('ref_axis',(1.,0.,0.)); #391301=DIRECTION('',(0.,0.,1.)); #391302=DIRECTION('center_axis',(0.,0.,-1.)); #391303=DIRECTION('ref_axis',(1.,0.,0.)); #391304=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #391305=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #391306=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #391307=DIRECTION('',(0.,0.,1.)); #391308=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #391309=DIRECTION('',(0.,0.,1.)); #391310=DIRECTION('center_axis',(0.,0.,1.)); #391311=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #391312=DIRECTION('center_axis',(0.,0.,1.)); #391313=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #391314=DIRECTION('center_axis',(0.,0.,1.)); #391315=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #391316=DIRECTION('',(0.,0.,1.)); #391317=DIRECTION('center_axis',(0.,0.,1.)); #391318=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #391319=DIRECTION('center_axis',(0.,0.,1.)); #391320=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #391321=DIRECTION('center_axis',(0.,0.,1.)); #391322=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #391323=DIRECTION('center_axis',(0.,0.,1.)); #391324=DIRECTION('ref_axis',(1.,0.,0.)); #391325=DIRECTION('center_axis',(0.,0.,1.)); #391326=DIRECTION('ref_axis',(1.,0.,0.)); #391327=DIRECTION('center_axis',(1.,0.,0.)); #391328=DIRECTION('ref_axis',(0.,1.,0.)); #391329=DIRECTION('',(0.,1.,0.)); #391330=DIRECTION('',(0.,0.,1.)); #391331=DIRECTION('',(0.,1.,0.)); #391332=DIRECTION('',(0.,0.,1.)); #391333=DIRECTION('center_axis',(0.,-1.,0.)); #391334=DIRECTION('ref_axis',(1.,0.,0.)); #391335=DIRECTION('',(1.,0.,0.)); #391336=DIRECTION('',(1.,0.,0.)); #391337=DIRECTION('',(0.,0.,1.)); #391338=DIRECTION('center_axis',(-1.,0.,0.)); #391339=DIRECTION('ref_axis',(0.,-1.,0.)); #391340=DIRECTION('',(0.,-1.,0.)); #391341=DIRECTION('',(0.,-1.,0.)); #391342=DIRECTION('',(0.,0.,1.)); #391343=DIRECTION('center_axis',(0.,1.,0.)); #391344=DIRECTION('ref_axis',(-1.,0.,0.)); #391345=DIRECTION('',(-1.,0.,0.)); #391346=DIRECTION('',(-1.,0.,0.)); #391347=DIRECTION('center_axis',(0.,0.,1.)); #391348=DIRECTION('ref_axis',(1.,0.,0.)); #391349=DIRECTION('center_axis',(0.,0.,1.)); #391350=DIRECTION('ref_axis',(1.,0.,0.)); #391351=DIRECTION('center_axis',(1.,0.,0.)); #391352=DIRECTION('ref_axis',(0.,1.,0.)); #391353=DIRECTION('',(0.,1.,0.)); #391354=DIRECTION('',(0.,0.,1.)); #391355=DIRECTION('',(0.,1.,0.)); #391356=DIRECTION('',(0.,0.,1.)); #391357=DIRECTION('center_axis',(0.,-1.,0.)); #391358=DIRECTION('ref_axis',(1.,0.,0.)); #391359=DIRECTION('',(1.,0.,0.)); #391360=DIRECTION('',(1.,0.,0.)); #391361=DIRECTION('',(0.,0.,1.)); #391362=DIRECTION('center_axis',(-1.,0.,0.)); #391363=DIRECTION('ref_axis',(0.,-1.,0.)); #391364=DIRECTION('',(0.,-1.,0.)); #391365=DIRECTION('',(0.,-1.,0.)); #391366=DIRECTION('',(0.,0.,1.)); #391367=DIRECTION('center_axis',(0.,1.,0.)); #391368=DIRECTION('ref_axis',(-1.,0.,0.)); #391369=DIRECTION('',(-1.,0.,0.)); #391370=DIRECTION('',(-1.,0.,0.)); #391371=DIRECTION('center_axis',(0.,0.,1.)); #391372=DIRECTION('ref_axis',(1.,0.,0.)); #391373=DIRECTION('center_axis',(0.,0.,1.)); #391374=DIRECTION('ref_axis',(1.,0.,0.)); #391375=DIRECTION('center_axis',(1.,0.,0.)); #391376=DIRECTION('ref_axis',(0.,1.,0.)); #391377=DIRECTION('',(0.,1.,0.)); #391378=DIRECTION('',(0.,0.,1.)); #391379=DIRECTION('',(0.,1.,0.)); #391380=DIRECTION('',(0.,0.,1.)); #391381=DIRECTION('center_axis',(0.,-1.,0.)); #391382=DIRECTION('ref_axis',(1.,0.,0.)); #391383=DIRECTION('',(1.,0.,0.)); #391384=DIRECTION('',(1.,0.,0.)); #391385=DIRECTION('',(0.,0.,1.)); #391386=DIRECTION('center_axis',(-1.,0.,0.)); #391387=DIRECTION('ref_axis',(0.,-1.,0.)); #391388=DIRECTION('',(0.,-1.,0.)); #391389=DIRECTION('',(0.,-1.,0.)); #391390=DIRECTION('',(0.,0.,1.)); #391391=DIRECTION('center_axis',(0.,1.,0.)); #391392=DIRECTION('ref_axis',(-1.,0.,0.)); #391393=DIRECTION('',(-1.,0.,0.)); #391394=DIRECTION('',(-1.,0.,0.)); #391395=DIRECTION('center_axis',(0.,0.,1.)); #391396=DIRECTION('ref_axis',(1.,0.,0.)); #391397=DIRECTION('center_axis',(0.,0.,1.)); #391398=DIRECTION('ref_axis',(1.,0.,0.)); #391399=DIRECTION('center_axis',(1.,0.,0.)); #391400=DIRECTION('ref_axis',(0.,1.,0.)); #391401=DIRECTION('',(0.,1.,0.)); #391402=DIRECTION('',(0.,0.,1.)); #391403=DIRECTION('',(0.,1.,0.)); #391404=DIRECTION('',(0.,0.,1.)); #391405=DIRECTION('center_axis',(0.,-1.,0.)); #391406=DIRECTION('ref_axis',(1.,0.,0.)); #391407=DIRECTION('',(1.,0.,0.)); #391408=DIRECTION('',(1.,0.,0.)); #391409=DIRECTION('',(0.,0.,1.)); #391410=DIRECTION('center_axis',(-1.,0.,0.)); #391411=DIRECTION('ref_axis',(0.,-1.,0.)); #391412=DIRECTION('',(0.,-1.,0.)); #391413=DIRECTION('',(0.,-1.,0.)); #391414=DIRECTION('',(0.,0.,1.)); #391415=DIRECTION('center_axis',(0.,1.,0.)); #391416=DIRECTION('ref_axis',(-1.,0.,0.)); #391417=DIRECTION('',(-1.,0.,0.)); #391418=DIRECTION('',(-1.,0.,0.)); #391419=DIRECTION('center_axis',(0.,0.,1.)); #391420=DIRECTION('ref_axis',(1.,0.,0.)); #391421=DIRECTION('center_axis',(0.,0.,1.)); #391422=DIRECTION('ref_axis',(1.,0.,0.)); #391423=DIRECTION('center_axis',(1.,0.,0.)); #391424=DIRECTION('ref_axis',(0.,1.,0.)); #391425=DIRECTION('',(0.,1.,0.)); #391426=DIRECTION('',(0.,0.,1.)); #391427=DIRECTION('',(0.,1.,0.)); #391428=DIRECTION('',(0.,0.,1.)); #391429=DIRECTION('center_axis',(0.,-1.,0.)); #391430=DIRECTION('ref_axis',(1.,0.,0.)); #391431=DIRECTION('',(1.,0.,0.)); #391432=DIRECTION('',(1.,0.,0.)); #391433=DIRECTION('',(0.,0.,1.)); #391434=DIRECTION('center_axis',(-1.,0.,0.)); #391435=DIRECTION('ref_axis',(0.,-1.,0.)); #391436=DIRECTION('',(0.,-1.,0.)); #391437=DIRECTION('',(0.,-1.,0.)); #391438=DIRECTION('',(0.,0.,1.)); #391439=DIRECTION('center_axis',(0.,1.,0.)); #391440=DIRECTION('ref_axis',(-1.,0.,0.)); #391441=DIRECTION('',(-1.,0.,0.)); #391442=DIRECTION('',(-1.,0.,0.)); #391443=DIRECTION('center_axis',(0.,0.,1.)); #391444=DIRECTION('ref_axis',(1.,0.,0.)); #391445=DIRECTION('center_axis',(0.,0.,1.)); #391446=DIRECTION('ref_axis',(1.,0.,0.)); #391447=DIRECTION('center_axis',(1.,0.,0.)); #391448=DIRECTION('ref_axis',(0.,1.,0.)); #391449=DIRECTION('',(0.,1.,0.)); #391450=DIRECTION('',(0.,0.,1.)); #391451=DIRECTION('',(0.,1.,0.)); #391452=DIRECTION('',(0.,0.,1.)); #391453=DIRECTION('center_axis',(0.,-1.,0.)); #391454=DIRECTION('ref_axis',(1.,0.,0.)); #391455=DIRECTION('',(1.,0.,0.)); #391456=DIRECTION('',(1.,0.,0.)); #391457=DIRECTION('',(0.,0.,1.)); #391458=DIRECTION('center_axis',(-1.,0.,0.)); #391459=DIRECTION('ref_axis',(0.,-1.,0.)); #391460=DIRECTION('',(0.,-1.,0.)); #391461=DIRECTION('',(0.,-1.,0.)); #391462=DIRECTION('',(0.,0.,1.)); #391463=DIRECTION('center_axis',(0.,1.,0.)); #391464=DIRECTION('ref_axis',(-1.,0.,0.)); #391465=DIRECTION('',(-1.,0.,0.)); #391466=DIRECTION('',(-1.,0.,0.)); #391467=DIRECTION('center_axis',(0.,0.,1.)); #391468=DIRECTION('ref_axis',(1.,0.,0.)); #391469=DIRECTION('center_axis',(0.,0.,1.)); #391470=DIRECTION('ref_axis',(1.,0.,0.)); #391471=DIRECTION('center_axis',(1.,0.,0.)); #391472=DIRECTION('ref_axis',(0.,1.,0.)); #391473=DIRECTION('',(0.,1.,0.)); #391474=DIRECTION('',(0.,0.,1.)); #391475=DIRECTION('',(0.,1.,0.)); #391476=DIRECTION('',(0.,0.,1.)); #391477=DIRECTION('center_axis',(0.,-1.,0.)); #391478=DIRECTION('ref_axis',(1.,0.,0.)); #391479=DIRECTION('',(1.,0.,0.)); #391480=DIRECTION('',(1.,0.,0.)); #391481=DIRECTION('',(0.,0.,1.)); #391482=DIRECTION('center_axis',(-1.,0.,0.)); #391483=DIRECTION('ref_axis',(0.,-1.,0.)); #391484=DIRECTION('',(0.,-1.,0.)); #391485=DIRECTION('',(0.,-1.,0.)); #391486=DIRECTION('',(0.,0.,1.)); #391487=DIRECTION('center_axis',(0.,1.,0.)); #391488=DIRECTION('ref_axis',(-1.,0.,0.)); #391489=DIRECTION('',(-1.,0.,0.)); #391490=DIRECTION('',(-1.,0.,0.)); #391491=DIRECTION('center_axis',(0.,0.,1.)); #391492=DIRECTION('ref_axis',(1.,0.,0.)); #391493=DIRECTION('center_axis',(0.,0.,1.)); #391494=DIRECTION('ref_axis',(1.,0.,0.)); #391495=DIRECTION('center_axis',(1.,0.,0.)); #391496=DIRECTION('ref_axis',(0.,1.,0.)); #391497=DIRECTION('',(0.,1.,0.)); #391498=DIRECTION('',(0.,0.,1.)); #391499=DIRECTION('',(0.,1.,0.)); #391500=DIRECTION('',(0.,0.,1.)); #391501=DIRECTION('center_axis',(0.,-1.,0.)); #391502=DIRECTION('ref_axis',(1.,0.,0.)); #391503=DIRECTION('',(1.,0.,0.)); #391504=DIRECTION('',(1.,0.,0.)); #391505=DIRECTION('',(0.,0.,1.)); #391506=DIRECTION('center_axis',(-1.,0.,0.)); #391507=DIRECTION('ref_axis',(0.,-1.,0.)); #391508=DIRECTION('',(0.,-1.,0.)); #391509=DIRECTION('',(0.,-1.,0.)); #391510=DIRECTION('',(0.,0.,1.)); #391511=DIRECTION('center_axis',(0.,1.,0.)); #391512=DIRECTION('ref_axis',(-1.,0.,0.)); #391513=DIRECTION('',(-1.,0.,0.)); #391514=DIRECTION('',(-1.,0.,0.)); #391515=DIRECTION('center_axis',(0.,0.,1.)); #391516=DIRECTION('ref_axis',(1.,0.,0.)); #391517=DIRECTION('center_axis',(0.,0.,1.)); #391518=DIRECTION('ref_axis',(1.,0.,0.)); #391519=DIRECTION('center_axis',(1.,0.,0.)); #391520=DIRECTION('ref_axis',(0.,1.,0.)); #391521=DIRECTION('',(0.,1.,0.)); #391522=DIRECTION('',(0.,0.,1.)); #391523=DIRECTION('',(0.,1.,0.)); #391524=DIRECTION('',(0.,0.,1.)); #391525=DIRECTION('center_axis',(0.,-1.,0.)); #391526=DIRECTION('ref_axis',(1.,0.,0.)); #391527=DIRECTION('',(1.,0.,0.)); #391528=DIRECTION('',(1.,0.,0.)); #391529=DIRECTION('',(0.,0.,1.)); #391530=DIRECTION('center_axis',(-1.,0.,0.)); #391531=DIRECTION('ref_axis',(0.,-1.,0.)); #391532=DIRECTION('',(0.,-1.,0.)); #391533=DIRECTION('',(0.,-1.,0.)); #391534=DIRECTION('',(0.,0.,1.)); #391535=DIRECTION('center_axis',(0.,1.,0.)); #391536=DIRECTION('ref_axis',(-1.,0.,0.)); #391537=DIRECTION('',(-1.,0.,0.)); #391538=DIRECTION('',(-1.,0.,0.)); #391539=DIRECTION('center_axis',(0.,0.,1.)); #391540=DIRECTION('ref_axis',(1.,0.,0.)); #391541=DIRECTION('center_axis',(0.,0.,1.)); #391542=DIRECTION('ref_axis',(1.,0.,0.)); #391543=DIRECTION('center_axis',(1.,0.,0.)); #391544=DIRECTION('ref_axis',(0.,1.,0.)); #391545=DIRECTION('',(0.,1.,0.)); #391546=DIRECTION('',(0.,0.,1.)); #391547=DIRECTION('',(0.,1.,0.)); #391548=DIRECTION('',(0.,0.,1.)); #391549=DIRECTION('center_axis',(0.,-1.,0.)); #391550=DIRECTION('ref_axis',(1.,0.,0.)); #391551=DIRECTION('',(1.,0.,0.)); #391552=DIRECTION('',(1.,0.,0.)); #391553=DIRECTION('',(0.,0.,1.)); #391554=DIRECTION('center_axis',(-1.,0.,0.)); #391555=DIRECTION('ref_axis',(0.,-1.,0.)); #391556=DIRECTION('',(0.,-1.,0.)); #391557=DIRECTION('',(0.,-1.,0.)); #391558=DIRECTION('',(0.,0.,1.)); #391559=DIRECTION('center_axis',(0.,1.,0.)); #391560=DIRECTION('ref_axis',(-1.,0.,0.)); #391561=DIRECTION('',(-1.,0.,0.)); #391562=DIRECTION('',(-1.,0.,0.)); #391563=DIRECTION('center_axis',(0.,0.,1.)); #391564=DIRECTION('ref_axis',(1.,0.,0.)); #391565=DIRECTION('center_axis',(0.,0.,1.)); #391566=DIRECTION('ref_axis',(1.,0.,0.)); #391567=DIRECTION('center_axis',(0.,0.,1.)); #391568=DIRECTION('ref_axis',(1.,0.,0.)); #391569=DIRECTION('center_axis',(0.,0.,1.)); #391570=DIRECTION('ref_axis',(1.,0.,0.)); #391571=DIRECTION('',(0.,0.,1.)); #391572=DIRECTION('center_axis',(0.,0.,-1.)); #391573=DIRECTION('ref_axis',(1.,0.,0.)); #391574=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #391575=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #391576=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #391577=DIRECTION('',(0.,0.,1.)); #391578=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #391579=DIRECTION('',(0.,0.,1.)); #391580=DIRECTION('center_axis',(0.,0.,1.)); #391581=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #391582=DIRECTION('center_axis',(0.,0.,1.)); #391583=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #391584=DIRECTION('center_axis',(0.,0.,1.)); #391585=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #391586=DIRECTION('',(0.,0.,1.)); #391587=DIRECTION('center_axis',(0.,0.,1.)); #391588=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #391589=DIRECTION('center_axis',(0.,0.,1.)); #391590=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #391591=DIRECTION('center_axis',(0.,0.,1.)); #391592=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #391593=DIRECTION('center_axis',(0.,0.,1.)); #391594=DIRECTION('ref_axis',(1.,0.,0.)); #391595=DIRECTION('center_axis',(0.,0.,1.)); #391596=DIRECTION('ref_axis',(1.,0.,0.)); #391597=DIRECTION('center_axis',(0.,0.,1.)); #391598=DIRECTION('ref_axis',(1.,0.,0.)); #391599=DIRECTION('center_axis',(0.,0.,1.)); #391600=DIRECTION('ref_axis',(1.,0.,0.)); #391601=DIRECTION('',(0.,0.,1.)); #391602=DIRECTION('center_axis',(0.,0.,-1.)); #391603=DIRECTION('ref_axis',(1.,0.,0.)); #391604=DIRECTION('center_axis',(0.,0.,1.)); #391605=DIRECTION('ref_axis',(1.,0.,0.)); #391606=DIRECTION('center_axis',(0.,0.,1.)); #391607=DIRECTION('ref_axis',(1.,0.,0.)); #391608=DIRECTION('',(0.,0.,1.)); #391609=DIRECTION('center_axis',(0.,0.,-1.)); #391610=DIRECTION('ref_axis',(1.,0.,0.)); #391611=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #391612=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #391613=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #391614=DIRECTION('',(0.,0.,1.)); #391615=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #391616=DIRECTION('',(0.,0.,1.)); #391617=DIRECTION('center_axis',(0.,0.,1.)); #391618=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #391619=DIRECTION('center_axis',(0.,0.,1.)); #391620=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #391621=DIRECTION('center_axis',(0.,0.,1.)); #391622=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #391623=DIRECTION('',(0.,0.,1.)); #391624=DIRECTION('center_axis',(0.,0.,1.)); #391625=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #391626=DIRECTION('center_axis',(0.,0.,1.)); #391627=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #391628=DIRECTION('center_axis',(0.,0.,1.)); #391629=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #391630=DIRECTION('',(0.,0.,1.)); #391631=DIRECTION('center_axis',(-0.707106475088,0.707107087284963,0.)); #391632=DIRECTION('ref_axis',(-0.707107087284963,-0.707106475088,0.)); #391633=DIRECTION('',(-0.707107087284963,-0.707106475088,0.)); #391634=DIRECTION('',(-0.707107087284963,-0.707106475088,0.)); #391635=DIRECTION('',(0.,0.,1.)); #391636=DIRECTION('center_axis',(0.,0.,1.)); #391637=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #391638=DIRECTION('center_axis',(0.,0.,1.)); #391639=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #391640=DIRECTION('center_axis',(0.,0.,1.)); #391641=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #391642=DIRECTION('',(0.,0.,1.)); #391643=DIRECTION('center_axis',(0.,0.,1.)); #391644=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #391645=DIRECTION('center_axis',(0.,0.,1.)); #391646=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #391647=DIRECTION('center_axis',(0.,0.,1.)); #391648=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #391649=DIRECTION('',(0.,0.,1.)); #391650=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #391651=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #391652=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391653=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391654=DIRECTION('',(0.,0.,1.)); #391655=DIRECTION('center_axis',(0.,0.,1.)); #391656=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #391657=DIRECTION('center_axis',(0.,0.,1.)); #391658=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #391659=DIRECTION('center_axis',(0.,0.,1.)); #391660=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #391661=DIRECTION('center_axis',(0.,0.,1.)); #391662=DIRECTION('ref_axis',(1.,0.,0.)); #391663=DIRECTION('center_axis',(0.,0.,1.)); #391664=DIRECTION('ref_axis',(1.,0.,0.)); #391665=DIRECTION('center_axis',(0.,0.,1.)); #391666=DIRECTION('ref_axis',(1.,0.,0.)); #391667=DIRECTION('center_axis',(0.,0.,1.)); #391668=DIRECTION('ref_axis',(1.,0.,0.)); #391669=DIRECTION('',(0.,0.,1.)); #391670=DIRECTION('center_axis',(0.,0.,-1.)); #391671=DIRECTION('ref_axis',(1.,0.,0.)); #391672=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #391673=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #391674=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #391675=DIRECTION('',(0.,0.,1.)); #391676=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #391677=DIRECTION('',(0.,0.,1.)); #391678=DIRECTION('center_axis',(0.,0.,1.)); #391679=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #391680=DIRECTION('center_axis',(0.,0.,1.)); #391681=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #391682=DIRECTION('center_axis',(0.,0.,1.)); #391683=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #391684=DIRECTION('',(0.,0.,1.)); #391685=DIRECTION('center_axis',(0.,0.,1.)); #391686=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #391687=DIRECTION('center_axis',(0.,0.,1.)); #391688=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #391689=DIRECTION('center_axis',(0.,0.,1.)); #391690=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #391691=DIRECTION('center_axis',(0.,0.,1.)); #391692=DIRECTION('ref_axis',(1.,0.,0.)); #391693=DIRECTION('center_axis',(0.,0.,1.)); #391694=DIRECTION('ref_axis',(1.,0.,0.)); #391695=DIRECTION('center_axis',(0.,0.,1.)); #391696=DIRECTION('ref_axis',(1.,0.,0.)); #391697=DIRECTION('center_axis',(0.,0.,1.)); #391698=DIRECTION('ref_axis',(1.,0.,0.)); #391699=DIRECTION('',(0.,0.,1.)); #391700=DIRECTION('center_axis',(0.,0.,-1.)); #391701=DIRECTION('ref_axis',(1.,0.,0.)); #391702=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #391703=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #391704=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #391705=DIRECTION('',(0.,0.,1.)); #391706=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #391707=DIRECTION('',(0.,0.,1.)); #391708=DIRECTION('center_axis',(0.,0.,1.)); #391709=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #391710=DIRECTION('center_axis',(0.,0.,1.)); #391711=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #391712=DIRECTION('center_axis',(0.,0.,1.)); #391713=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #391714=DIRECTION('',(0.,0.,1.)); #391715=DIRECTION('center_axis',(0.,0.,1.)); #391716=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #391717=DIRECTION('center_axis',(0.,0.,1.)); #391718=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #391719=DIRECTION('center_axis',(0.,0.,1.)); #391720=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #391721=DIRECTION('center_axis',(0.,0.,1.)); #391722=DIRECTION('ref_axis',(1.,0.,0.)); #391723=DIRECTION('center_axis',(0.,0.,1.)); #391724=DIRECTION('ref_axis',(1.,0.,0.)); #391725=DIRECTION('center_axis',(0.,0.,1.)); #391726=DIRECTION('ref_axis',(1.,0.,0.)); #391727=DIRECTION('center_axis',(0.,0.,1.)); #391728=DIRECTION('ref_axis',(1.,0.,0.)); #391729=DIRECTION('',(0.,0.,1.)); #391730=DIRECTION('center_axis',(0.,0.,-1.)); #391731=DIRECTION('ref_axis',(1.,0.,0.)); #391732=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #391733=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #391734=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #391735=DIRECTION('',(0.,0.,1.)); #391736=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #391737=DIRECTION('',(0.,0.,1.)); #391738=DIRECTION('center_axis',(0.,0.,1.)); #391739=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #391740=DIRECTION('center_axis',(0.,0.,1.)); #391741=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #391742=DIRECTION('center_axis',(0.,0.,1.)); #391743=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #391744=DIRECTION('',(0.,0.,1.)); #391745=DIRECTION('center_axis',(0.,-1.,0.)); #391746=DIRECTION('ref_axis',(1.,0.,0.)); #391747=DIRECTION('',(1.,0.,0.)); #391748=DIRECTION('',(1.,0.,0.)); #391749=DIRECTION('',(0.,0.,1.)); #391750=DIRECTION('center_axis',(0.,0.,1.)); #391751=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #391752=DIRECTION('center_axis',(0.,0.,1.)); #391753=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #391754=DIRECTION('center_axis',(0.,0.,1.)); #391755=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #391756=DIRECTION('',(0.,0.,1.)); #391757=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #391758=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #391759=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391760=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391761=DIRECTION('',(0.,0.,1.)); #391762=DIRECTION('center_axis',(0.,-1.,0.)); #391763=DIRECTION('ref_axis',(1.,0.,0.)); #391764=DIRECTION('',(1.,0.,0.)); #391765=DIRECTION('',(1.,0.,0.)); #391766=DIRECTION('',(0.,0.,1.)); #391767=DIRECTION('center_axis',(-1.,0.,0.)); #391768=DIRECTION('ref_axis',(0.,-1.,0.)); #391769=DIRECTION('',(0.,-1.,0.)); #391770=DIRECTION('',(0.,-1.,0.)); #391771=DIRECTION('',(0.,0.,1.)); #391772=DIRECTION('center_axis',(0.,1.,0.)); #391773=DIRECTION('ref_axis',(-1.,0.,0.)); #391774=DIRECTION('',(-1.,0.,0.)); #391775=DIRECTION('',(-1.,0.,0.)); #391776=DIRECTION('',(0.,0.,1.)); #391777=DIRECTION('center_axis',(1.,0.,0.)); #391778=DIRECTION('ref_axis',(0.,1.,0.)); #391779=DIRECTION('',(0.,1.,0.)); #391780=DIRECTION('',(0.,1.,0.)); #391781=DIRECTION('',(0.,0.,1.)); #391782=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391783=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391784=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391785=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391786=DIRECTION('',(0.,0.,1.)); #391787=DIRECTION('center_axis',(0.,1.,0.)); #391788=DIRECTION('ref_axis',(-1.,0.,0.)); #391789=DIRECTION('',(-1.,0.,0.)); #391790=DIRECTION('',(-1.,0.,0.)); #391791=DIRECTION('',(0.,0.,1.)); #391792=DIRECTION('center_axis',(0.,0.,1.)); #391793=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #391794=DIRECTION('center_axis',(0.,0.,1.)); #391795=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #391796=DIRECTION('center_axis',(0.,0.,1.)); #391797=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #391798=DIRECTION('',(0.,0.,1.)); #391799=DIRECTION('center_axis',(0.,0.,1.)); #391800=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #391801=DIRECTION('center_axis',(0.,0.,1.)); #391802=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #391803=DIRECTION('center_axis',(0.,0.,1.)); #391804=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #391805=DIRECTION('center_axis',(0.,0.,1.)); #391806=DIRECTION('ref_axis',(1.,0.,0.)); #391807=DIRECTION('center_axis',(0.,0.,1.)); #391808=DIRECTION('ref_axis',(1.,0.,0.)); #391809=DIRECTION('center_axis',(0.,0.,1.)); #391810=DIRECTION('ref_axis',(1.,0.,0.)); #391811=DIRECTION('center_axis',(0.,0.,1.)); #391812=DIRECTION('ref_axis',(1.,0.,0.)); #391813=DIRECTION('',(0.,0.,1.)); #391814=DIRECTION('center_axis',(0.,0.,-1.)); #391815=DIRECTION('ref_axis',(1.,0.,0.)); #391816=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #391817=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #391818=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #391819=DIRECTION('',(0.,0.,1.)); #391820=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #391821=DIRECTION('',(0.,0.,1.)); #391822=DIRECTION('center_axis',(0.,0.,1.)); #391823=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #391824=DIRECTION('center_axis',(0.,0.,1.)); #391825=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #391826=DIRECTION('center_axis',(0.,0.,1.)); #391827=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #391828=DIRECTION('',(0.,0.,1.)); #391829=DIRECTION('center_axis',(-1.,0.,0.)); #391830=DIRECTION('ref_axis',(0.,-1.,0.)); #391831=DIRECTION('',(0.,-1.,0.)); #391832=DIRECTION('',(0.,-1.,0.)); #391833=DIRECTION('',(0.,0.,1.)); #391834=DIRECTION('center_axis',(0.,-1.,0.)); #391835=DIRECTION('ref_axis',(1.,0.,0.)); #391836=DIRECTION('',(1.,0.,0.)); #391837=DIRECTION('',(1.,0.,0.)); #391838=DIRECTION('',(0.,0.,1.)); #391839=DIRECTION('center_axis',(-1.,0.,0.)); #391840=DIRECTION('ref_axis',(0.,-1.,0.)); #391841=DIRECTION('',(0.,-1.,0.)); #391842=DIRECTION('',(0.,-1.,0.)); #391843=DIRECTION('',(0.,0.,1.)); #391844=DIRECTION('center_axis',(0.,1.,0.)); #391845=DIRECTION('ref_axis',(-1.,0.,0.)); #391846=DIRECTION('',(-1.,0.,0.)); #391847=DIRECTION('',(-1.,0.,0.)); #391848=DIRECTION('',(0.,0.,1.)); #391849=DIRECTION('center_axis',(1.,0.,0.)); #391850=DIRECTION('ref_axis',(0.,1.,0.)); #391851=DIRECTION('',(0.,1.,0.)); #391852=DIRECTION('',(0.,1.,0.)); #391853=DIRECTION('',(0.,0.,1.)); #391854=DIRECTION('center_axis',(0.,-1.,0.)); #391855=DIRECTION('ref_axis',(1.,0.,0.)); #391856=DIRECTION('',(1.,0.,0.)); #391857=DIRECTION('',(1.,0.,0.)); #391858=DIRECTION('',(0.,0.,1.)); #391859=DIRECTION('center_axis',(1.,0.,0.)); #391860=DIRECTION('ref_axis',(0.,1.,0.)); #391861=DIRECTION('',(0.,1.,0.)); #391862=DIRECTION('',(0.,1.,0.)); #391863=DIRECTION('',(0.,0.,1.)); #391864=DIRECTION('center_axis',(0.,0.,1.)); #391865=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #391866=DIRECTION('center_axis',(0.,0.,1.)); #391867=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #391868=DIRECTION('center_axis',(0.,0.,1.)); #391869=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #391870=DIRECTION('center_axis',(0.,0.,1.)); #391871=DIRECTION('ref_axis',(1.,0.,0.)); #391872=DIRECTION('center_axis',(0.,0.,1.)); #391873=DIRECTION('ref_axis',(1.,0.,0.)); #391874=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391875=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391876=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391877=DIRECTION('',(0.,0.,1.)); #391878=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391879=DIRECTION('',(0.,0.,1.)); #391880=DIRECTION('center_axis',(0.,1.,0.)); #391881=DIRECTION('ref_axis',(-1.,0.,0.)); #391882=DIRECTION('',(-1.,0.,0.)); #391883=DIRECTION('',(-1.,0.,0.)); #391884=DIRECTION('',(0.,0.,1.)); #391885=DIRECTION('center_axis',(-1.,0.,0.)); #391886=DIRECTION('ref_axis',(0.,-1.,0.)); #391887=DIRECTION('',(0.,-1.,0.)); #391888=DIRECTION('',(0.,-1.,0.)); #391889=DIRECTION('',(0.,0.,1.)); #391890=DIRECTION('center_axis',(0.,1.,0.)); #391891=DIRECTION('ref_axis',(-1.,0.,0.)); #391892=DIRECTION('',(-1.,0.,0.)); #391893=DIRECTION('',(-1.,0.,0.)); #391894=DIRECTION('',(0.,0.,1.)); #391895=DIRECTION('center_axis',(1.,0.,0.)); #391896=DIRECTION('ref_axis',(0.,1.,0.)); #391897=DIRECTION('',(0.,1.,0.)); #391898=DIRECTION('',(0.,1.,0.)); #391899=DIRECTION('',(0.,0.,1.)); #391900=DIRECTION('center_axis',(0.,-1.,0.)); #391901=DIRECTION('ref_axis',(1.,0.,0.)); #391902=DIRECTION('',(1.,0.,0.)); #391903=DIRECTION('',(1.,0.,0.)); #391904=DIRECTION('',(0.,0.,1.)); #391905=DIRECTION('center_axis',(-1.,0.,0.)); #391906=DIRECTION('ref_axis',(0.,-1.,0.)); #391907=DIRECTION('',(0.,-1.,0.)); #391908=DIRECTION('',(0.,-1.,0.)); #391909=DIRECTION('',(0.,0.,1.)); #391910=DIRECTION('center_axis',(0.,-1.,0.)); #391911=DIRECTION('ref_axis',(1.,0.,0.)); #391912=DIRECTION('',(1.,0.,0.)); #391913=DIRECTION('',(1.,0.,0.)); #391914=DIRECTION('',(0.,0.,1.)); #391915=DIRECTION('center_axis',(0.,0.,1.)); #391916=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #391917=DIRECTION('center_axis',(0.,0.,1.)); #391918=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #391919=DIRECTION('center_axis',(0.,0.,1.)); #391920=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #391921=DIRECTION('',(0.,0.,1.)); #391922=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #391923=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #391924=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391925=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391926=DIRECTION('',(0.,0.,1.)); #391927=DIRECTION('center_axis',(0.,0.,1.)); #391928=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #391929=DIRECTION('center_axis',(0.,0.,1.)); #391930=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #391931=DIRECTION('center_axis',(0.,0.,1.)); #391932=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #391933=DIRECTION('',(0.,0.,1.)); #391934=DIRECTION('center_axis',(-1.,0.,0.)); #391935=DIRECTION('ref_axis',(0.,-1.,0.)); #391936=DIRECTION('',(0.,-1.,0.)); #391937=DIRECTION('',(0.,-1.,0.)); #391938=DIRECTION('',(0.,0.,1.)); #391939=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #391940=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #391941=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #391942=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #391943=DIRECTION('',(0.,0.,1.)); #391944=DIRECTION('center_axis',(0.,-1.,0.)); #391945=DIRECTION('ref_axis',(1.,0.,0.)); #391946=DIRECTION('',(1.,0.,0.)); #391947=DIRECTION('',(1.,0.,0.)); #391948=DIRECTION('',(0.,0.,1.)); #391949=DIRECTION('center_axis',(-1.,0.,0.)); #391950=DIRECTION('ref_axis',(0.,-1.,0.)); #391951=DIRECTION('',(0.,-1.,0.)); #391952=DIRECTION('',(0.,-1.,0.)); #391953=DIRECTION('',(0.,0.,1.)); #391954=DIRECTION('center_axis',(0.,1.,0.)); #391955=DIRECTION('ref_axis',(-1.,0.,0.)); #391956=DIRECTION('',(-1.,0.,0.)); #391957=DIRECTION('',(-1.,0.,0.)); #391958=DIRECTION('',(0.,0.,1.)); #391959=DIRECTION('center_axis',(1.,0.,0.)); #391960=DIRECTION('ref_axis',(0.,1.,0.)); #391961=DIRECTION('',(0.,1.,0.)); #391962=DIRECTION('',(0.,1.,0.)); #391963=DIRECTION('',(0.,0.,1.)); #391964=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391965=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391966=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391967=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391968=DIRECTION('',(0.,0.,1.)); #391969=DIRECTION('center_axis',(0.,0.,1.)); #391970=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #391971=DIRECTION('center_axis',(0.,0.,1.)); #391972=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #391973=DIRECTION('center_axis',(0.,0.,1.)); #391974=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #391975=DIRECTION('',(0.,0.,1.)); #391976=DIRECTION('center_axis',(1.,0.,0.)); #391977=DIRECTION('ref_axis',(0.,1.,0.)); #391978=DIRECTION('',(0.,1.,0.)); #391979=DIRECTION('',(0.,1.,0.)); #391980=DIRECTION('center_axis',(0.,0.,1.)); #391981=DIRECTION('ref_axis',(1.,0.,0.)); #391982=DIRECTION('center_axis',(0.,0.,1.)); #391983=DIRECTION('ref_axis',(1.,0.,0.)); #391984=DIRECTION('center_axis',(1.,0.,0.)); #391985=DIRECTION('ref_axis',(0.,1.,0.)); #391986=DIRECTION('',(0.,1.,0.)); #391987=DIRECTION('',(0.,0.,1.)); #391988=DIRECTION('',(0.,1.,0.)); #391989=DIRECTION('',(0.,0.,1.)); #391990=DIRECTION('center_axis',(0.,-1.,0.)); #391991=DIRECTION('ref_axis',(1.,0.,0.)); #391992=DIRECTION('',(1.,0.,0.)); #391993=DIRECTION('',(1.,0.,0.)); #391994=DIRECTION('',(0.,0.,1.)); #391995=DIRECTION('center_axis',(-1.,0.,0.)); #391996=DIRECTION('ref_axis',(0.,-1.,0.)); #391997=DIRECTION('',(0.,-1.,0.)); #391998=DIRECTION('',(0.,-1.,0.)); #391999=DIRECTION('',(0.,0.,1.)); #392000=DIRECTION('center_axis',(0.,1.,0.)); #392001=DIRECTION('ref_axis',(-1.,0.,0.)); #392002=DIRECTION('',(-1.,0.,0.)); #392003=DIRECTION('',(-1.,0.,0.)); #392004=DIRECTION('',(0.,0.,1.)); #392005=DIRECTION('center_axis',(-1.,0.,0.)); #392006=DIRECTION('ref_axis',(0.,-1.,0.)); #392007=DIRECTION('',(0.,-1.,0.)); #392008=DIRECTION('',(0.,-1.,0.)); #392009=DIRECTION('',(0.,0.,1.)); #392010=DIRECTION('center_axis',(0.,0.,1.)); #392011=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392012=DIRECTION('center_axis',(0.,0.,1.)); #392013=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392014=DIRECTION('center_axis',(0.,0.,1.)); #392015=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392016=DIRECTION('',(0.,0.,1.)); #392017=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #392018=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #392019=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #392020=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #392021=DIRECTION('',(0.,0.,1.)); #392022=DIRECTION('center_axis',(-1.,0.,0.)); #392023=DIRECTION('ref_axis',(0.,-1.,0.)); #392024=DIRECTION('',(0.,-1.,0.)); #392025=DIRECTION('',(0.,-1.,0.)); #392026=DIRECTION('',(0.,0.,1.)); #392027=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #392028=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #392029=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #392030=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #392031=DIRECTION('',(0.,0.,1.)); #392032=DIRECTION('center_axis',(0.,-1.,0.)); #392033=DIRECTION('ref_axis',(1.,0.,0.)); #392034=DIRECTION('',(1.,0.,0.)); #392035=DIRECTION('',(1.,0.,0.)); #392036=DIRECTION('',(0.,0.,1.)); #392037=DIRECTION('center_axis',(-1.,0.,0.)); #392038=DIRECTION('ref_axis',(0.,-1.,0.)); #392039=DIRECTION('',(0.,-1.,0.)); #392040=DIRECTION('',(0.,-1.,0.)); #392041=DIRECTION('',(0.,0.,1.)); #392042=DIRECTION('center_axis',(0.,1.,0.)); #392043=DIRECTION('ref_axis',(-1.,0.,0.)); #392044=DIRECTION('',(-1.,0.,0.)); #392045=DIRECTION('',(-1.,0.,0.)); #392046=DIRECTION('',(0.,0.,1.)); #392047=DIRECTION('center_axis',(-1.,0.,0.)); #392048=DIRECTION('ref_axis',(0.,-1.,0.)); #392049=DIRECTION('',(0.,-1.,0.)); #392050=DIRECTION('',(0.,-1.,0.)); #392051=DIRECTION('',(0.,0.,1.)); #392052=DIRECTION('center_axis',(0.,0.,1.)); #392053=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #392054=DIRECTION('center_axis',(0.,0.,1.)); #392055=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #392056=DIRECTION('center_axis',(0.,0.,1.)); #392057=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #392058=DIRECTION('',(0.,0.,1.)); #392059=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392060=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392061=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392062=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392063=DIRECTION('',(0.,0.,1.)); #392064=DIRECTION('center_axis',(-1.,0.,0.)); #392065=DIRECTION('ref_axis',(0.,-1.,0.)); #392066=DIRECTION('',(0.,-1.,0.)); #392067=DIRECTION('',(0.,-1.,0.)); #392068=DIRECTION('',(0.,0.,1.)); #392069=DIRECTION('center_axis',(0.,0.,1.)); #392070=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392071=DIRECTION('center_axis',(0.,0.,1.)); #392072=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392073=DIRECTION('center_axis',(0.,0.,1.)); #392074=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392075=DIRECTION('',(0.,0.,1.)); #392076=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392077=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392078=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392079=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392080=DIRECTION('',(0.,0.,1.)); #392081=DIRECTION('center_axis',(-1.,0.,0.)); #392082=DIRECTION('ref_axis',(0.,-1.,0.)); #392083=DIRECTION('',(0.,-1.,0.)); #392084=DIRECTION('',(0.,-1.,0.)); #392085=DIRECTION('',(0.,0.,1.)); #392086=DIRECTION('center_axis',(0.,1.,0.)); #392087=DIRECTION('ref_axis',(-1.,0.,0.)); #392088=DIRECTION('',(-1.,0.,0.)); #392089=DIRECTION('',(-1.,0.,0.)); #392090=DIRECTION('',(0.,0.,1.)); #392091=DIRECTION('center_axis',(1.,0.,0.)); #392092=DIRECTION('ref_axis',(0.,1.,0.)); #392093=DIRECTION('',(0.,1.,0.)); #392094=DIRECTION('',(0.,1.,0.)); #392095=DIRECTION('',(0.,0.,1.)); #392096=DIRECTION('center_axis',(0.,-1.,0.)); #392097=DIRECTION('ref_axis',(1.,0.,0.)); #392098=DIRECTION('',(1.,0.,0.)); #392099=DIRECTION('',(1.,0.,0.)); #392100=DIRECTION('',(0.,0.,1.)); #392101=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #392102=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #392103=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392104=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392105=DIRECTION('',(0.,0.,1.)); #392106=DIRECTION('center_axis',(1.,0.,0.)); #392107=DIRECTION('ref_axis',(0.,1.,0.)); #392108=DIRECTION('',(0.,1.,0.)); #392109=DIRECTION('',(0.,1.,0.)); #392110=DIRECTION('',(0.,0.,1.)); #392111=DIRECTION('center_axis',(0.,0.,1.)); #392112=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #392113=DIRECTION('center_axis',(0.,0.,1.)); #392114=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #392115=DIRECTION('center_axis',(0.,0.,1.)); #392116=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #392117=DIRECTION('',(0.,0.,1.)); #392118=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #392119=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #392120=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392121=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392122=DIRECTION('',(0.,0.,1.)); #392123=DIRECTION('center_axis',(1.,0.,0.)); #392124=DIRECTION('ref_axis',(0.,1.,0.)); #392125=DIRECTION('',(0.,1.,0.)); #392126=DIRECTION('',(0.,1.,0.)); #392127=DIRECTION('',(0.,0.,1.)); #392128=DIRECTION('center_axis',(0.,1.,0.)); #392129=DIRECTION('ref_axis',(-1.,0.,0.)); #392130=DIRECTION('',(-1.,0.,0.)); #392131=DIRECTION('',(-1.,0.,0.)); #392132=DIRECTION('',(0.,0.,1.)); #392133=DIRECTION('center_axis',(1.,0.,0.)); #392134=DIRECTION('ref_axis',(0.,1.,0.)); #392135=DIRECTION('',(0.,1.,0.)); #392136=DIRECTION('',(0.,1.,0.)); #392137=DIRECTION('',(0.,0.,1.)); #392138=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #392139=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #392140=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #392141=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #392142=DIRECTION('',(0.,0.,1.)); #392143=DIRECTION('center_axis',(0.,0.,1.)); #392144=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392145=DIRECTION('center_axis',(0.,0.,1.)); #392146=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392147=DIRECTION('center_axis',(0.,0.,1.)); #392148=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #392149=DIRECTION('',(0.,0.,1.)); #392150=DIRECTION('center_axis',(1.,0.,0.)); #392151=DIRECTION('ref_axis',(0.,1.,0.)); #392152=DIRECTION('',(0.,1.,0.)); #392153=DIRECTION('',(0.,1.,0.)); #392154=DIRECTION('',(0.,0.,1.)); #392155=DIRECTION('center_axis',(0.,0.,1.)); #392156=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #392157=DIRECTION('center_axis',(0.,0.,1.)); #392158=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #392159=DIRECTION('center_axis',(0.,0.,1.)); #392160=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #392161=DIRECTION('',(0.,0.,1.)); #392162=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #392163=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #392164=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392165=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392166=DIRECTION('',(0.,0.,1.)); #392167=DIRECTION('center_axis',(1.,0.,0.)); #392168=DIRECTION('ref_axis',(0.,1.,0.)); #392169=DIRECTION('',(0.,1.,0.)); #392170=DIRECTION('',(0.,1.,0.)); #392171=DIRECTION('',(0.,0.,1.)); #392172=DIRECTION('center_axis',(0.,1.,0.)); #392173=DIRECTION('ref_axis',(-1.,0.,0.)); #392174=DIRECTION('',(-1.,0.,0.)); #392175=DIRECTION('',(-1.,0.,0.)); #392176=DIRECTION('center_axis',(0.,0.,1.)); #392177=DIRECTION('ref_axis',(1.,0.,0.)); #392178=DIRECTION('center_axis',(0.,0.,1.)); #392179=DIRECTION('ref_axis',(1.,0.,0.)); #392180=DIRECTION('center_axis',(1.,0.,0.)); #392181=DIRECTION('ref_axis',(0.,1.,0.)); #392182=DIRECTION('',(0.,1.,0.)); #392183=DIRECTION('',(0.,0.,1.)); #392184=DIRECTION('',(0.,1.,0.)); #392185=DIRECTION('',(0.,0.,1.)); #392186=DIRECTION('center_axis',(0.,-1.,0.)); #392187=DIRECTION('ref_axis',(1.,0.,0.)); #392188=DIRECTION('',(1.,0.,0.)); #392189=DIRECTION('',(1.,0.,0.)); #392190=DIRECTION('',(0.,0.,1.)); #392191=DIRECTION('center_axis',(-1.,0.,0.)); #392192=DIRECTION('ref_axis',(0.,-1.,0.)); #392193=DIRECTION('',(0.,-1.,0.)); #392194=DIRECTION('',(0.,-1.,0.)); #392195=DIRECTION('',(0.,0.,1.)); #392196=DIRECTION('center_axis',(0.,1.,0.)); #392197=DIRECTION('ref_axis',(-1.,0.,0.)); #392198=DIRECTION('',(-1.,0.,0.)); #392199=DIRECTION('',(-1.,0.,0.)); #392200=DIRECTION('',(0.,0.,1.)); #392201=DIRECTION('center_axis',(-1.,0.,0.)); #392202=DIRECTION('ref_axis',(0.,-1.,0.)); #392203=DIRECTION('',(0.,-1.,0.)); #392204=DIRECTION('',(0.,-1.,0.)); #392205=DIRECTION('',(0.,0.,1.)); #392206=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #392207=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #392208=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392209=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392210=DIRECTION('',(0.,0.,1.)); #392211=DIRECTION('center_axis',(0.,0.,1.)); #392212=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #392213=DIRECTION('center_axis',(0.,0.,1.)); #392214=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #392215=DIRECTION('center_axis',(0.,0.,1.)); #392216=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #392217=DIRECTION('',(0.,0.,1.)); #392218=DIRECTION('center_axis',(-1.,0.,0.)); #392219=DIRECTION('ref_axis',(0.,-1.,0.)); #392220=DIRECTION('',(0.,-1.,0.)); #392221=DIRECTION('',(0.,-1.,0.)); #392222=DIRECTION('',(0.,0.,1.)); #392223=DIRECTION('center_axis',(0.,0.,1.)); #392224=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392225=DIRECTION('center_axis',(0.,0.,1.)); #392226=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392227=DIRECTION('center_axis',(0.,0.,1.)); #392228=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #392229=DIRECTION('',(0.,0.,1.)); #392230=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #392231=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #392232=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #392233=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #392234=DIRECTION('',(0.,0.,1.)); #392235=DIRECTION('center_axis',(-1.,0.,0.)); #392236=DIRECTION('ref_axis',(0.,-1.,0.)); #392237=DIRECTION('',(0.,-1.,0.)); #392238=DIRECTION('',(0.,-1.,0.)); #392239=DIRECTION('',(0.,0.,1.)); #392240=DIRECTION('center_axis',(0.,1.,0.)); #392241=DIRECTION('ref_axis',(-1.,0.,0.)); #392242=DIRECTION('',(-1.,0.,0.)); #392243=DIRECTION('',(-1.,0.,0.)); #392244=DIRECTION('',(0.,0.,1.)); #392245=DIRECTION('center_axis',(-1.,0.,0.)); #392246=DIRECTION('ref_axis',(0.,-1.,0.)); #392247=DIRECTION('',(0.,-1.,0.)); #392248=DIRECTION('',(0.,-1.,0.)); #392249=DIRECTION('',(0.,0.,1.)); #392250=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #392251=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #392252=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392253=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392254=DIRECTION('',(0.,0.,1.)); #392255=DIRECTION('center_axis',(0.,0.,1.)); #392256=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #392257=DIRECTION('center_axis',(0.,0.,1.)); #392258=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #392259=DIRECTION('center_axis',(0.,0.,1.)); #392260=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #392261=DIRECTION('',(0.,0.,1.)); #392262=DIRECTION('center_axis',(-1.,0.,0.)); #392263=DIRECTION('ref_axis',(0.,-1.,0.)); #392264=DIRECTION('',(0.,-1.,0.)); #392265=DIRECTION('',(0.,-1.,0.)); #392266=DIRECTION('',(0.,0.,1.)); #392267=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #392268=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #392269=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #392270=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #392271=DIRECTION('',(0.,0.,1.)); #392272=DIRECTION('center_axis',(0.,-1.,0.)); #392273=DIRECTION('ref_axis',(1.,0.,0.)); #392274=DIRECTION('',(1.,0.,0.)); #392275=DIRECTION('',(1.,0.,0.)); #392276=DIRECTION('',(0.,0.,1.)); #392277=DIRECTION('center_axis',(-1.,0.,0.)); #392278=DIRECTION('ref_axis',(0.,-1.,0.)); #392279=DIRECTION('',(0.,-1.,0.)); #392280=DIRECTION('',(0.,-1.,0.)); #392281=DIRECTION('',(0.,0.,1.)); #392282=DIRECTION('center_axis',(0.,1.,0.)); #392283=DIRECTION('ref_axis',(-1.,0.,0.)); #392284=DIRECTION('',(-1.,0.,0.)); #392285=DIRECTION('',(-1.,0.,0.)); #392286=DIRECTION('',(0.,0.,1.)); #392287=DIRECTION('center_axis',(1.,0.,0.)); #392288=DIRECTION('ref_axis',(0.,1.,0.)); #392289=DIRECTION('',(0.,1.,0.)); #392290=DIRECTION('',(0.,1.,0.)); #392291=DIRECTION('',(0.,0.,1.)); #392292=DIRECTION('center_axis',(0.707106781186535,0.70710678118656,0.)); #392293=DIRECTION('ref_axis',(-0.70710678118656,0.707106781186535,0.)); #392294=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #392295=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #392296=DIRECTION('',(0.,0.,1.)); #392297=DIRECTION('center_axis',(0.,0.,1.)); #392298=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #392299=DIRECTION('center_axis',(0.,0.,1.)); #392300=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #392301=DIRECTION('center_axis',(0.,0.,1.)); #392302=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #392303=DIRECTION('',(0.,0.,1.)); #392304=DIRECTION('center_axis',(1.,0.,0.)); #392305=DIRECTION('ref_axis',(0.,1.,0.)); #392306=DIRECTION('',(0.,1.,0.)); #392307=DIRECTION('',(0.,1.,0.)); #392308=DIRECTION('',(0.,0.,1.)); #392309=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #392310=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #392311=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392312=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392313=DIRECTION('',(0.,0.,1.)); #392314=DIRECTION('center_axis',(0.,0.,1.)); #392315=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392316=DIRECTION('center_axis',(0.,0.,1.)); #392317=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392318=DIRECTION('center_axis',(0.,0.,1.)); #392319=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392320=DIRECTION('',(0.,0.,1.)); #392321=DIRECTION('center_axis',(1.,0.,0.)); #392322=DIRECTION('ref_axis',(0.,1.,0.)); #392323=DIRECTION('',(0.,1.,0.)); #392324=DIRECTION('',(0.,1.,0.)); #392325=DIRECTION('',(0.,0.,1.)); #392326=DIRECTION('center_axis',(0.,1.,0.)); #392327=DIRECTION('ref_axis',(-1.,0.,0.)); #392328=DIRECTION('',(-1.,0.,0.)); #392329=DIRECTION('',(-1.,0.,0.)); #392330=DIRECTION('',(0.,0.,1.)); #392331=DIRECTION('center_axis',(1.,0.,0.)); #392332=DIRECTION('ref_axis',(0.,1.,0.)); #392333=DIRECTION('',(0.,1.,0.)); #392334=DIRECTION('',(0.,1.,0.)); #392335=DIRECTION('',(0.,0.,1.)); #392336=DIRECTION('center_axis',(0.,-1.,0.)); #392337=DIRECTION('ref_axis',(1.,0.,0.)); #392338=DIRECTION('',(1.,0.,0.)); #392339=DIRECTION('',(1.,0.,0.)); #392340=DIRECTION('',(0.,0.,1.)); #392341=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #392342=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #392343=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #392344=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #392345=DIRECTION('',(0.,0.,1.)); #392346=DIRECTION('center_axis',(1.,0.,0.)); #392347=DIRECTION('ref_axis',(0.,1.,0.)); #392348=DIRECTION('',(0.,1.,0.)); #392349=DIRECTION('',(0.,1.,0.)); #392350=DIRECTION('',(0.,0.,1.)); #392351=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #392352=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #392353=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392354=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392355=DIRECTION('',(0.,0.,1.)); #392356=DIRECTION('center_axis',(0.,0.,1.)); #392357=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392358=DIRECTION('center_axis',(0.,0.,1.)); #392359=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392360=DIRECTION('center_axis',(0.,0.,1.)); #392361=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392362=DIRECTION('',(0.,0.,1.)); #392363=DIRECTION('center_axis',(1.,0.,0.)); #392364=DIRECTION('ref_axis',(0.,1.,0.)); #392365=DIRECTION('',(0.,1.,0.)); #392366=DIRECTION('',(0.,1.,0.)); #392367=DIRECTION('',(0.,0.,1.)); #392368=DIRECTION('center_axis',(0.,1.,0.)); #392369=DIRECTION('ref_axis',(-1.,0.,0.)); #392370=DIRECTION('',(-1.,0.,0.)); #392371=DIRECTION('',(-1.,0.,0.)); #392372=DIRECTION('center_axis',(0.,0.,1.)); #392373=DIRECTION('ref_axis',(1.,0.,0.)); #392374=DIRECTION('center_axis',(0.,0.,1.)); #392375=DIRECTION('ref_axis',(1.,0.,0.)); #392376=DIRECTION('center_axis',(0.,0.,1.)); #392377=DIRECTION('ref_axis',(1.,0.,0.)); #392378=DIRECTION('center_axis',(0.,0.,1.)); #392379=DIRECTION('ref_axis',(1.,0.,0.)); #392380=DIRECTION('',(0.,0.,1.)); #392381=DIRECTION('center_axis',(0.,0.,-1.)); #392382=DIRECTION('ref_axis',(1.,0.,0.)); #392383=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #392384=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #392385=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #392386=DIRECTION('',(0.,0.,1.)); #392387=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #392388=DIRECTION('',(0.,0.,1.)); #392389=DIRECTION('center_axis',(0.,0.,1.)); #392390=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392391=DIRECTION('center_axis',(0.,0.,1.)); #392392=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392393=DIRECTION('center_axis',(0.,0.,1.)); #392394=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392395=DIRECTION('',(0.,0.,1.)); #392396=DIRECTION('center_axis',(-1.,0.,0.)); #392397=DIRECTION('ref_axis',(0.,-1.,0.)); #392398=DIRECTION('',(0.,-1.,0.)); #392399=DIRECTION('',(0.,-1.,0.)); #392400=DIRECTION('',(0.,0.,1.)); #392401=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #392402=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #392403=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #392404=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #392405=DIRECTION('',(0.,0.,1.)); #392406=DIRECTION('center_axis',(0.,0.,1.)); #392407=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #392408=DIRECTION('center_axis',(0.,0.,1.)); #392409=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #392410=DIRECTION('center_axis',(0.,0.,1.)); #392411=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #392412=DIRECTION('',(0.,0.,1.)); #392413=DIRECTION('center_axis',(-1.,0.,0.)); #392414=DIRECTION('ref_axis',(0.,-1.,0.)); #392415=DIRECTION('',(0.,-1.,0.)); #392416=DIRECTION('',(0.,-1.,0.)); #392417=DIRECTION('',(0.,0.,1.)); #392418=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #392419=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #392420=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392421=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392422=DIRECTION('',(0.,0.,1.)); #392423=DIRECTION('center_axis',(0.,0.,1.)); #392424=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #392425=DIRECTION('center_axis',(0.,0.,1.)); #392426=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #392427=DIRECTION('center_axis',(0.,0.,1.)); #392428=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #392429=DIRECTION('',(0.,0.,1.)); #392430=DIRECTION('center_axis',(-1.,0.,0.)); #392431=DIRECTION('ref_axis',(0.,-1.,0.)); #392432=DIRECTION('',(0.,-1.,0.)); #392433=DIRECTION('',(0.,-1.,0.)); #392434=DIRECTION('',(0.,0.,1.)); #392435=DIRECTION('center_axis',(0.,-1.,0.)); #392436=DIRECTION('ref_axis',(1.,0.,0.)); #392437=DIRECTION('',(1.,0.,0.)); #392438=DIRECTION('',(1.,0.,0.)); #392439=DIRECTION('',(0.,0.,1.)); #392440=DIRECTION('center_axis',(-1.,0.,0.)); #392441=DIRECTION('ref_axis',(0.,-1.,0.)); #392442=DIRECTION('',(0.,-1.,0.)); #392443=DIRECTION('',(0.,-1.,0.)); #392444=DIRECTION('',(0.,0.,1.)); #392445=DIRECTION('center_axis',(0.,1.,0.)); #392446=DIRECTION('ref_axis',(-1.,0.,0.)); #392447=DIRECTION('',(-1.,0.,0.)); #392448=DIRECTION('',(-1.,0.,0.)); #392449=DIRECTION('',(0.,0.,1.)); #392450=DIRECTION('center_axis',(1.,0.,0.)); #392451=DIRECTION('ref_axis',(0.,1.,0.)); #392452=DIRECTION('',(0.,1.,0.)); #392453=DIRECTION('',(0.,1.,0.)); #392454=DIRECTION('',(0.,0.,1.)); #392455=DIRECTION('center_axis',(0.,-1.,0.)); #392456=DIRECTION('ref_axis',(1.,0.,0.)); #392457=DIRECTION('',(1.,0.,0.)); #392458=DIRECTION('',(1.,0.,0.)); #392459=DIRECTION('',(0.,0.,1.)); #392460=DIRECTION('center_axis',(1.,0.,0.)); #392461=DIRECTION('ref_axis',(0.,1.,0.)); #392462=DIRECTION('',(0.,1.,0.)); #392463=DIRECTION('',(0.,1.,0.)); #392464=DIRECTION('',(0.,0.,1.)); #392465=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #392466=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #392467=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392468=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #392469=DIRECTION('',(0.,0.,1.)); #392470=DIRECTION('center_axis',(0.,0.,1.)); #392471=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392472=DIRECTION('center_axis',(0.,0.,1.)); #392473=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392474=DIRECTION('center_axis',(0.,0.,1.)); #392475=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392476=DIRECTION('',(0.,0.,1.)); #392477=DIRECTION('center_axis',(1.,0.,0.)); #392478=DIRECTION('ref_axis',(0.,1.,0.)); #392479=DIRECTION('',(0.,1.,0.)); #392480=DIRECTION('',(0.,1.,0.)); #392481=DIRECTION('',(0.,0.,1.)); #392482=DIRECTION('center_axis',(0.707107113468654,0.707106448904285,0.)); #392483=DIRECTION('ref_axis',(-0.707106448904285,0.707107113468654,0.)); #392484=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #392485=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #392486=DIRECTION('',(0.,0.,1.)); #392487=DIRECTION('center_axis',(0.,0.,1.)); #392488=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #392489=DIRECTION('center_axis',(0.,0.,1.)); #392490=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #392491=DIRECTION('center_axis',(0.,0.,1.)); #392492=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #392493=DIRECTION('',(0.,0.,1.)); #392494=DIRECTION('center_axis',(1.,0.,0.)); #392495=DIRECTION('ref_axis',(0.,1.,0.)); #392496=DIRECTION('',(0.,1.,0.)); #392497=DIRECTION('',(0.,1.,0.)); #392498=DIRECTION('',(0.,0.,1.)); #392499=DIRECTION('center_axis',(0.,0.,1.)); #392500=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #392501=DIRECTION('center_axis',(0.,0.,1.)); #392502=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #392503=DIRECTION('center_axis',(0.,0.,1.)); #392504=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #392505=DIRECTION('center_axis',(0.,0.,1.)); #392506=DIRECTION('ref_axis',(1.,0.,0.)); #392507=DIRECTION('center_axis',(0.,0.,1.)); #392508=DIRECTION('ref_axis',(1.,0.,0.)); #392509=DIRECTION('center_axis',(0.,0.,1.)); #392510=DIRECTION('ref_axis',(1.,0.,0.)); #392511=DIRECTION('center_axis',(0.,0.,1.)); #392512=DIRECTION('ref_axis',(1.,0.,0.)); #392513=DIRECTION('',(0.,0.,1.)); #392514=DIRECTION('center_axis',(0.,0.,-1.)); #392515=DIRECTION('ref_axis',(1.,0.,0.)); #392516=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #392517=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #392518=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #392519=DIRECTION('',(0.,0.,1.)); #392520=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #392521=DIRECTION('',(0.,0.,1.)); #392522=DIRECTION('center_axis',(0.,0.,1.)); #392523=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392524=DIRECTION('center_axis',(0.,0.,1.)); #392525=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392526=DIRECTION('center_axis',(0.,0.,1.)); #392527=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392528=DIRECTION('',(0.,0.,1.)); #392529=DIRECTION('center_axis',(-1.,0.,0.)); #392530=DIRECTION('ref_axis',(0.,-1.,0.)); #392531=DIRECTION('',(0.,-1.,0.)); #392532=DIRECTION('',(0.,-1.,0.)); #392533=DIRECTION('',(0.,0.,1.)); #392534=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #392535=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #392536=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #392537=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #392538=DIRECTION('',(0.,0.,1.)); #392539=DIRECTION('center_axis',(0.,0.,1.)); #392540=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #392541=DIRECTION('center_axis',(0.,0.,1.)); #392542=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #392543=DIRECTION('center_axis',(0.,0.,1.)); #392544=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #392545=DIRECTION('',(0.,0.,1.)); #392546=DIRECTION('center_axis',(-1.,0.,0.)); #392547=DIRECTION('ref_axis',(0.,-1.,0.)); #392548=DIRECTION('',(0.,-1.,0.)); #392549=DIRECTION('',(0.,-1.,0.)); #392550=DIRECTION('',(0.,0.,1.)); #392551=DIRECTION('center_axis',(0.,-1.,0.)); #392552=DIRECTION('ref_axis',(1.,0.,0.)); #392553=DIRECTION('',(1.,0.,0.)); #392554=DIRECTION('',(1.,0.,0.)); #392555=DIRECTION('',(0.,0.,1.)); #392556=DIRECTION('center_axis',(-1.,0.,0.)); #392557=DIRECTION('ref_axis',(0.,-1.,0.)); #392558=DIRECTION('',(0.,-1.,0.)); #392559=DIRECTION('',(0.,-1.,0.)); #392560=DIRECTION('',(0.,0.,1.)); #392561=DIRECTION('center_axis',(0.,1.,0.)); #392562=DIRECTION('ref_axis',(-1.,0.,0.)); #392563=DIRECTION('',(-1.,0.,0.)); #392564=DIRECTION('',(-1.,0.,0.)); #392565=DIRECTION('',(0.,0.,1.)); #392566=DIRECTION('center_axis',(1.,0.,0.)); #392567=DIRECTION('ref_axis',(0.,1.,0.)); #392568=DIRECTION('',(0.,1.,0.)); #392569=DIRECTION('',(0.,1.,0.)); #392570=DIRECTION('',(0.,0.,1.)); #392571=DIRECTION('center_axis',(0.,-1.,0.)); #392572=DIRECTION('ref_axis',(1.,0.,0.)); #392573=DIRECTION('',(1.,0.,0.)); #392574=DIRECTION('',(1.,0.,0.)); #392575=DIRECTION('',(0.,0.,1.)); #392576=DIRECTION('center_axis',(1.,0.,0.)); #392577=DIRECTION('ref_axis',(0.,1.,0.)); #392578=DIRECTION('',(0.,1.,0.)); #392579=DIRECTION('',(0.,1.,0.)); #392580=DIRECTION('',(0.,0.,1.)); #392581=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #392582=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #392583=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #392584=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #392585=DIRECTION('',(0.,0.,1.)); #392586=DIRECTION('center_axis',(0.,0.,1.)); #392587=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #392588=DIRECTION('center_axis',(0.,0.,1.)); #392589=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #392590=DIRECTION('center_axis',(0.,0.,1.)); #392591=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #392592=DIRECTION('',(0.,0.,1.)); #392593=DIRECTION('center_axis',(1.,0.,0.)); #392594=DIRECTION('ref_axis',(0.,1.,0.)); #392595=DIRECTION('',(0.,1.,0.)); #392596=DIRECTION('',(0.,1.,0.)); #392597=DIRECTION('',(0.,0.,1.)); #392598=DIRECTION('center_axis',(0.,0.,1.)); #392599=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #392600=DIRECTION('center_axis',(0.,0.,1.)); #392601=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #392602=DIRECTION('center_axis',(0.,0.,1.)); #392603=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #392604=DIRECTION('center_axis',(0.,0.,1.)); #392605=DIRECTION('ref_axis',(1.,0.,0.)); #392606=DIRECTION('center_axis',(0.,0.,1.)); #392607=DIRECTION('ref_axis',(1.,0.,0.)); #392608=DIRECTION('center_axis',(0.,0.,1.)); #392609=DIRECTION('ref_axis',(1.,0.,0.)); #392610=DIRECTION('center_axis',(0.,0.,1.)); #392611=DIRECTION('ref_axis',(1.,0.,0.)); #392612=DIRECTION('',(0.,0.,1.)); #392613=DIRECTION('center_axis',(0.,0.,-1.)); #392614=DIRECTION('ref_axis',(1.,0.,0.)); #392615=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #392616=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #392617=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #392618=DIRECTION('',(0.,0.,1.)); #392619=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #392620=DIRECTION('',(0.,0.,1.)); #392621=DIRECTION('center_axis',(0.,0.,1.)); #392622=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #392623=DIRECTION('center_axis',(0.,0.,1.)); #392624=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #392625=DIRECTION('center_axis',(0.,0.,1.)); #392626=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #392627=DIRECTION('',(0.,0.,1.)); #392628=DIRECTION('center_axis',(-1.,0.,0.)); #392629=DIRECTION('ref_axis',(0.,-1.,0.)); #392630=DIRECTION('',(0.,-1.,0.)); #392631=DIRECTION('',(0.,-1.,0.)); #392632=DIRECTION('',(0.,0.,1.)); #392633=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #392634=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #392635=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #392636=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #392637=DIRECTION('',(0.,0.,1.)); #392638=DIRECTION('center_axis',(0.,-1.,0.)); #392639=DIRECTION('ref_axis',(1.,0.,0.)); #392640=DIRECTION('',(1.,0.,0.)); #392641=DIRECTION('',(1.,0.,0.)); #392642=DIRECTION('',(0.,0.,1.)); #392643=DIRECTION('center_axis',(-1.,0.,0.)); #392644=DIRECTION('ref_axis',(0.,-1.,0.)); #392645=DIRECTION('',(0.,-1.,0.)); #392646=DIRECTION('',(0.,-1.,0.)); #392647=DIRECTION('',(0.,0.,1.)); #392648=DIRECTION('center_axis',(0.,1.,0.)); #392649=DIRECTION('ref_axis',(-1.,0.,0.)); #392650=DIRECTION('',(-1.,0.,0.)); #392651=DIRECTION('',(-1.,0.,0.)); #392652=DIRECTION('',(0.,0.,1.)); #392653=DIRECTION('center_axis',(1.,0.,0.)); #392654=DIRECTION('ref_axis',(0.,1.,0.)); #392655=DIRECTION('',(0.,1.,0.)); #392656=DIRECTION('',(0.,1.,0.)); #392657=DIRECTION('',(0.,0.,1.)); #392658=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #392659=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #392660=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #392661=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #392662=DIRECTION('',(0.,0.,1.)); #392663=DIRECTION('center_axis',(0.,0.,1.)); #392664=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #392665=DIRECTION('center_axis',(0.,0.,1.)); #392666=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #392667=DIRECTION('center_axis',(0.,0.,1.)); #392668=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #392669=DIRECTION('',(0.,0.,1.)); #392670=DIRECTION('center_axis',(1.,0.,0.)); #392671=DIRECTION('ref_axis',(0.,1.,0.)); #392672=DIRECTION('',(0.,1.,0.)); #392673=DIRECTION('',(0.,1.,0.)); #392674=DIRECTION('',(0.,0.,1.)); #392675=DIRECTION('center_axis',(0.,0.,1.)); #392676=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #392677=DIRECTION('center_axis',(0.,0.,1.)); #392678=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #392679=DIRECTION('center_axis',(0.,0.,1.)); #392680=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #392681=DIRECTION('center_axis',(0.,0.,1.)); #392682=DIRECTION('ref_axis',(1.,0.,0.)); #392683=DIRECTION('center_axis',(0.,0.,1.)); #392684=DIRECTION('ref_axis',(1.,0.,0.)); #392685=DIRECTION('center_axis',(0.,0.,1.)); #392686=DIRECTION('ref_axis',(1.,0.,0.)); #392687=DIRECTION('center_axis',(0.,0.,1.)); #392688=DIRECTION('ref_axis',(1.,0.,0.)); #392689=DIRECTION('',(0.,0.,1.)); #392690=DIRECTION('center_axis',(0.,0.,-1.)); #392691=DIRECTION('ref_axis',(1.,0.,0.)); #392692=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #392693=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #392694=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #392695=DIRECTION('',(0.,0.,1.)); #392696=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #392697=DIRECTION('',(0.,0.,1.)); #392698=DIRECTION('center_axis',(0.,0.,1.)); #392699=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #392700=DIRECTION('center_axis',(0.,0.,1.)); #392701=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #392702=DIRECTION('center_axis',(0.,0.,1.)); #392703=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #392704=DIRECTION('',(0.,0.,1.)); #392705=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #392706=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #392707=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #392708=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #392709=DIRECTION('',(0.,0.,1.)); #392710=DIRECTION('center_axis',(0.,0.,1.)); #392711=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #392712=DIRECTION('center_axis',(0.,0.,1.)); #392713=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #392714=DIRECTION('center_axis',(0.,0.,1.)); #392715=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #392716=DIRECTION('',(0.,0.,1.)); #392717=DIRECTION('center_axis',(-1.,0.,0.)); #392718=DIRECTION('ref_axis',(0.,-1.,0.)); #392719=DIRECTION('',(0.,-1.,0.)); #392720=DIRECTION('',(0.,-1.,0.)); #392721=DIRECTION('',(0.,0.,1.)); #392722=DIRECTION('center_axis',(0.,-1.,0.)); #392723=DIRECTION('ref_axis',(1.,0.,0.)); #392724=DIRECTION('',(1.,0.,0.)); #392725=DIRECTION('',(1.,0.,0.)); #392726=DIRECTION('',(0.,0.,1.)); #392727=DIRECTION('center_axis',(-1.,0.,0.)); #392728=DIRECTION('ref_axis',(0.,-1.,0.)); #392729=DIRECTION('',(0.,-1.,0.)); #392730=DIRECTION('',(0.,-1.,0.)); #392731=DIRECTION('',(0.,0.,1.)); #392732=DIRECTION('center_axis',(0.,1.,0.)); #392733=DIRECTION('ref_axis',(-1.,0.,0.)); #392734=DIRECTION('',(-1.,0.,0.)); #392735=DIRECTION('',(-1.,0.,0.)); #392736=DIRECTION('',(0.,0.,1.)); #392737=DIRECTION('center_axis',(1.,0.,0.)); #392738=DIRECTION('ref_axis',(0.,1.,0.)); #392739=DIRECTION('',(0.,1.,0.)); #392740=DIRECTION('',(0.,1.,0.)); #392741=DIRECTION('',(0.,0.,1.)); #392742=DIRECTION('center_axis',(0.,-1.,0.)); #392743=DIRECTION('ref_axis',(1.,0.,0.)); #392744=DIRECTION('',(1.,0.,0.)); #392745=DIRECTION('',(1.,0.,0.)); #392746=DIRECTION('',(0.,0.,1.)); #392747=DIRECTION('center_axis',(1.,0.,0.)); #392748=DIRECTION('ref_axis',(0.,1.,0.)); #392749=DIRECTION('',(0.,1.,0.)); #392750=DIRECTION('',(0.,1.,0.)); #392751=DIRECTION('',(0.,0.,1.)); #392752=DIRECTION('center_axis',(0.707106041253763,0.707107521118558,0.)); #392753=DIRECTION('ref_axis',(-0.707107521118558,0.707106041253763,0.)); #392754=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #392755=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #392756=DIRECTION('',(0.,0.,1.)); #392757=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #392758=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #392759=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #392760=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #392761=DIRECTION('',(0.,0.,1.)); #392762=DIRECTION('center_axis',(0.,0.,1.)); #392763=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #392764=DIRECTION('center_axis',(0.,0.,1.)); #392765=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #392766=DIRECTION('center_axis',(0.,0.,1.)); #392767=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #392768=DIRECTION('center_axis',(0.,0.,1.)); #392769=DIRECTION('ref_axis',(1.,0.,0.)); #392770=DIRECTION('center_axis',(0.,0.,1.)); #392771=DIRECTION('ref_axis',(1.,0.,0.)); #392772=DIRECTION('center_axis',(0.,0.,1.)); #392773=DIRECTION('ref_axis',(1.,0.,0.)); #392774=DIRECTION('center_axis',(0.,0.,1.)); #392775=DIRECTION('ref_axis',(1.,0.,0.)); #392776=DIRECTION('',(0.,0.,1.)); #392777=DIRECTION('center_axis',(0.,0.,-1.)); #392778=DIRECTION('ref_axis',(1.,0.,0.)); #392779=DIRECTION('center_axis',(0.,0.,1.)); #392780=DIRECTION('ref_axis',(1.,0.,0.)); #392781=DIRECTION('center_axis',(0.,0.,1.)); #392782=DIRECTION('ref_axis',(1.,0.,0.)); #392783=DIRECTION('',(0.,0.,1.)); #392784=DIRECTION('center_axis',(0.,0.,-1.)); #392785=DIRECTION('ref_axis',(1.,0.,0.)); #392786=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #392787=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #392788=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #392789=DIRECTION('',(0.,0.,1.)); #392790=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #392791=DIRECTION('',(0.,0.,1.)); #392792=DIRECTION('center_axis',(0.,0.,1.)); #392793=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #392794=DIRECTION('center_axis',(0.,0.,1.)); #392795=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #392796=DIRECTION('center_axis',(0.,0.,1.)); #392797=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #392798=DIRECTION('',(0.,0.,1.)); #392799=DIRECTION('center_axis',(0.,0.,1.)); #392800=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #392801=DIRECTION('center_axis',(0.,0.,1.)); #392802=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #392803=DIRECTION('center_axis',(0.,0.,1.)); #392804=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #392805=DIRECTION('',(0.,0.,1.)); #392806=DIRECTION('center_axis',(-0.707106390225302,0.707107172147577,0.)); #392807=DIRECTION('ref_axis',(-0.707107172147577,-0.707106390225302,0.)); #392808=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #392809=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #392810=DIRECTION('',(0.,0.,1.)); #392811=DIRECTION('center_axis',(0.,0.,1.)); #392812=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #392813=DIRECTION('center_axis',(0.,0.,1.)); #392814=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #392815=DIRECTION('center_axis',(0.,0.,1.)); #392816=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #392817=DIRECTION('',(0.,0.,1.)); #392818=DIRECTION('center_axis',(0.,0.,1.)); #392819=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #392820=DIRECTION('center_axis',(0.,0.,1.)); #392821=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #392822=DIRECTION('center_axis',(0.,0.,1.)); #392823=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #392824=DIRECTION('',(0.,0.,1.)); #392825=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #392826=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #392827=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #392828=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #392829=DIRECTION('',(0.,0.,1.)); #392830=DIRECTION('center_axis',(0.,0.,1.)); #392831=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #392832=DIRECTION('center_axis',(0.,0.,1.)); #392833=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #392834=DIRECTION('center_axis',(0.,0.,1.)); #392835=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #392836=DIRECTION('center_axis',(0.,0.,1.)); #392837=DIRECTION('ref_axis',(1.,0.,0.)); #392838=DIRECTION('center_axis',(0.,0.,1.)); #392839=DIRECTION('ref_axis',(1.,0.,0.)); #392840=DIRECTION('center_axis',(0.,0.,1.)); #392841=DIRECTION('ref_axis',(1.,0.,0.)); #392842=DIRECTION('center_axis',(0.,0.,1.)); #392843=DIRECTION('ref_axis',(1.,0.,0.)); #392844=DIRECTION('',(0.,0.,1.)); #392845=DIRECTION('center_axis',(0.,0.,-1.)); #392846=DIRECTION('ref_axis',(1.,0.,0.)); #392847=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #392848=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #392849=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #392850=DIRECTION('',(0.,0.,1.)); #392851=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #392852=DIRECTION('',(0.,0.,1.)); #392853=DIRECTION('center_axis',(0.,0.,1.)); #392854=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392855=DIRECTION('center_axis',(0.,0.,1.)); #392856=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392857=DIRECTION('center_axis',(0.,0.,1.)); #392858=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392859=DIRECTION('',(0.,0.,1.)); #392860=DIRECTION('center_axis',(-1.,0.,0.)); #392861=DIRECTION('ref_axis',(0.,-1.,0.)); #392862=DIRECTION('',(0.,-1.,0.)); #392863=DIRECTION('',(0.,-1.,0.)); #392864=DIRECTION('',(0.,0.,1.)); #392865=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #392866=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #392867=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392868=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392869=DIRECTION('',(0.,0.,1.)); #392870=DIRECTION('center_axis',(0.,0.,1.)); #392871=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #392872=DIRECTION('center_axis',(0.,0.,1.)); #392873=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #392874=DIRECTION('center_axis',(0.,0.,1.)); #392875=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #392876=DIRECTION('',(0.,0.,1.)); #392877=DIRECTION('center_axis',(-1.,0.,0.)); #392878=DIRECTION('ref_axis',(0.,-1.,0.)); #392879=DIRECTION('',(0.,-1.,0.)); #392880=DIRECTION('',(0.,-1.,0.)); #392881=DIRECTION('',(0.,0.,1.)); #392882=DIRECTION('center_axis',(0.,-1.,0.)); #392883=DIRECTION('ref_axis',(1.,0.,0.)); #392884=DIRECTION('',(1.,0.,0.)); #392885=DIRECTION('',(1.,0.,0.)); #392886=DIRECTION('',(0.,0.,1.)); #392887=DIRECTION('center_axis',(-1.,0.,0.)); #392888=DIRECTION('ref_axis',(0.,-1.,0.)); #392889=DIRECTION('',(0.,-1.,0.)); #392890=DIRECTION('',(0.,-1.,0.)); #392891=DIRECTION('',(0.,0.,1.)); #392892=DIRECTION('center_axis',(0.,1.,0.)); #392893=DIRECTION('ref_axis',(-1.,0.,0.)); #392894=DIRECTION('',(-1.,0.,0.)); #392895=DIRECTION('',(-1.,0.,0.)); #392896=DIRECTION('',(0.,0.,1.)); #392897=DIRECTION('center_axis',(1.,0.,0.)); #392898=DIRECTION('ref_axis',(0.,1.,0.)); #392899=DIRECTION('',(0.,1.,0.)); #392900=DIRECTION('',(0.,1.,0.)); #392901=DIRECTION('',(0.,0.,1.)); #392902=DIRECTION('center_axis',(0.,-1.,0.)); #392903=DIRECTION('ref_axis',(1.,0.,0.)); #392904=DIRECTION('',(1.,0.,0.)); #392905=DIRECTION('',(1.,0.,0.)); #392906=DIRECTION('',(0.,0.,1.)); #392907=DIRECTION('center_axis',(1.,0.,0.)); #392908=DIRECTION('ref_axis',(0.,1.,0.)); #392909=DIRECTION('',(0.,1.,0.)); #392910=DIRECTION('',(0.,1.,0.)); #392911=DIRECTION('',(0.,0.,1.)); #392912=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #392913=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #392914=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #392915=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #392916=DIRECTION('',(0.,0.,1.)); #392917=DIRECTION('center_axis',(0.,0.,1.)); #392918=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392919=DIRECTION('center_axis',(0.,0.,1.)); #392920=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392921=DIRECTION('center_axis',(0.,0.,1.)); #392922=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392923=DIRECTION('',(0.,0.,1.)); #392924=DIRECTION('center_axis',(1.,0.,0.)); #392925=DIRECTION('ref_axis',(0.,1.,0.)); #392926=DIRECTION('',(0.,1.,0.)); #392927=DIRECTION('',(0.,1.,0.)); #392928=DIRECTION('',(0.,0.,1.)); #392929=DIRECTION('center_axis',(0.,0.,1.)); #392930=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #392931=DIRECTION('center_axis',(0.,0.,1.)); #392932=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #392933=DIRECTION('center_axis',(0.,0.,1.)); #392934=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #392935=DIRECTION('center_axis',(0.,0.,1.)); #392936=DIRECTION('ref_axis',(1.,0.,0.)); #392937=DIRECTION('center_axis',(0.,0.,1.)); #392938=DIRECTION('ref_axis',(1.,0.,0.)); #392939=DIRECTION('center_axis',(0.,0.,1.)); #392940=DIRECTION('ref_axis',(1.,0.,0.)); #392941=DIRECTION('center_axis',(0.,0.,1.)); #392942=DIRECTION('ref_axis',(1.,0.,0.)); #392943=DIRECTION('',(0.,0.,1.)); #392944=DIRECTION('center_axis',(0.,0.,-1.)); #392945=DIRECTION('ref_axis',(1.,0.,0.)); #392946=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #392947=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #392948=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #392949=DIRECTION('',(0.,0.,1.)); #392950=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #392951=DIRECTION('',(0.,0.,1.)); #392952=DIRECTION('center_axis',(0.,0.,1.)); #392953=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392954=DIRECTION('center_axis',(0.,0.,1.)); #392955=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392956=DIRECTION('center_axis',(0.,0.,1.)); #392957=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #392958=DIRECTION('',(0.,0.,1.)); #392959=DIRECTION('center_axis',(-1.,0.,0.)); #392960=DIRECTION('ref_axis',(0.,-1.,0.)); #392961=DIRECTION('',(0.,-1.,0.)); #392962=DIRECTION('',(0.,-1.,0.)); #392963=DIRECTION('',(0.,0.,1.)); #392964=DIRECTION('center_axis',(-0.70710694732766,-0.707106615045396,0.)); #392965=DIRECTION('ref_axis',(0.707106615045396,-0.70710694732766,0.)); #392966=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #392967=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #392968=DIRECTION('',(0.,0.,1.)); #392969=DIRECTION('center_axis',(0.,0.,1.)); #392970=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #392971=DIRECTION('center_axis',(0.,0.,1.)); #392972=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #392973=DIRECTION('center_axis',(0.,0.,1.)); #392974=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #392975=DIRECTION('',(0.,0.,1.)); #392976=DIRECTION('center_axis',(-1.,0.,0.)); #392977=DIRECTION('ref_axis',(0.,-1.,0.)); #392978=DIRECTION('',(0.,-1.,0.)); #392979=DIRECTION('',(0.,-1.,0.)); #392980=DIRECTION('',(0.,0.,1.)); #392981=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #392982=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #392983=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392984=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #392985=DIRECTION('',(0.,0.,1.)); #392986=DIRECTION('center_axis',(0.,0.,1.)); #392987=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392988=DIRECTION('center_axis',(0.,0.,1.)); #392989=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392990=DIRECTION('center_axis',(0.,0.,1.)); #392991=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392992=DIRECTION('',(0.,0.,1.)); #392993=DIRECTION('center_axis',(-1.,0.,0.)); #392994=DIRECTION('ref_axis',(0.,-1.,0.)); #392995=DIRECTION('',(0.,-1.,0.)); #392996=DIRECTION('',(0.,-1.,0.)); #392997=DIRECTION('',(0.,0.,1.)); #392998=DIRECTION('center_axis',(0.,-1.,0.)); #392999=DIRECTION('ref_axis',(1.,0.,0.)); #393000=DIRECTION('',(1.,0.,0.)); #393001=DIRECTION('',(1.,0.,0.)); #393002=DIRECTION('',(0.,0.,1.)); #393003=DIRECTION('center_axis',(-1.,0.,0.)); #393004=DIRECTION('ref_axis',(0.,-1.,0.)); #393005=DIRECTION('',(0.,-1.,0.)); #393006=DIRECTION('',(0.,-1.,0.)); #393007=DIRECTION('',(0.,0.,1.)); #393008=DIRECTION('center_axis',(0.,1.,0.)); #393009=DIRECTION('ref_axis',(-1.,0.,0.)); #393010=DIRECTION('',(-1.,0.,0.)); #393011=DIRECTION('',(-1.,0.,0.)); #393012=DIRECTION('',(0.,0.,1.)); #393013=DIRECTION('center_axis',(1.,0.,0.)); #393014=DIRECTION('ref_axis',(0.,1.,0.)); #393015=DIRECTION('',(0.,1.,0.)); #393016=DIRECTION('',(0.,1.,0.)); #393017=DIRECTION('',(0.,0.,1.)); #393018=DIRECTION('center_axis',(0.,-1.,0.)); #393019=DIRECTION('ref_axis',(1.,0.,0.)); #393020=DIRECTION('',(1.,0.,0.)); #393021=DIRECTION('',(1.,0.,0.)); #393022=DIRECTION('',(0.,0.,1.)); #393023=DIRECTION('center_axis',(1.,0.,0.)); #393024=DIRECTION('ref_axis',(0.,1.,0.)); #393025=DIRECTION('',(0.,1.,0.)); #393026=DIRECTION('',(0.,1.,0.)); #393027=DIRECTION('',(0.,0.,1.)); #393028=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #393029=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #393030=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393031=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393032=DIRECTION('',(0.,0.,1.)); #393033=DIRECTION('center_axis',(0.,0.,1.)); #393034=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #393035=DIRECTION('center_axis',(0.,0.,1.)); #393036=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #393037=DIRECTION('center_axis',(0.,0.,1.)); #393038=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #393039=DIRECTION('',(0.,0.,1.)); #393040=DIRECTION('center_axis',(1.,0.,0.)); #393041=DIRECTION('ref_axis',(0.,1.,0.)); #393042=DIRECTION('',(0.,1.,0.)); #393043=DIRECTION('',(0.,1.,0.)); #393044=DIRECTION('',(0.,0.,1.)); #393045=DIRECTION('center_axis',(0.707106947327653,0.707106615045403,0.)); #393046=DIRECTION('ref_axis',(-0.707106615045403,0.707106947327653,0.)); #393047=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #393048=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #393049=DIRECTION('',(0.,0.,1.)); #393050=DIRECTION('center_axis',(0.,0.,1.)); #393051=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #393052=DIRECTION('center_axis',(0.,0.,1.)); #393053=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #393054=DIRECTION('center_axis',(0.,0.,1.)); #393055=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #393056=DIRECTION('',(0.,0.,1.)); #393057=DIRECTION('center_axis',(1.,0.,0.)); #393058=DIRECTION('ref_axis',(0.,1.,0.)); #393059=DIRECTION('',(0.,1.,0.)); #393060=DIRECTION('',(0.,1.,0.)); #393061=DIRECTION('',(0.,0.,1.)); #393062=DIRECTION('center_axis',(0.,0.,1.)); #393063=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #393064=DIRECTION('center_axis',(0.,0.,1.)); #393065=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #393066=DIRECTION('center_axis',(0.,0.,1.)); #393067=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #393068=DIRECTION('center_axis',(0.,0.,1.)); #393069=DIRECTION('ref_axis',(1.,0.,0.)); #393070=DIRECTION('center_axis',(0.,0.,1.)); #393071=DIRECTION('ref_axis',(1.,0.,0.)); #393072=DIRECTION('center_axis',(1.,0.,0.)); #393073=DIRECTION('ref_axis',(0.,1.,0.)); #393074=DIRECTION('',(0.,1.,0.)); #393075=DIRECTION('',(0.,0.,1.)); #393076=DIRECTION('',(0.,1.,0.)); #393077=DIRECTION('',(0.,0.,1.)); #393078=DIRECTION('center_axis',(0.,-1.,0.)); #393079=DIRECTION('ref_axis',(1.,0.,0.)); #393080=DIRECTION('',(1.,0.,0.)); #393081=DIRECTION('',(1.,0.,0.)); #393082=DIRECTION('',(0.,0.,1.)); #393083=DIRECTION('center_axis',(-1.,0.,0.)); #393084=DIRECTION('ref_axis',(0.,-1.,0.)); #393085=DIRECTION('',(0.,-1.,0.)); #393086=DIRECTION('',(0.,-1.,0.)); #393087=DIRECTION('',(0.,0.,1.)); #393088=DIRECTION('center_axis',(0.,-1.,0.)); #393089=DIRECTION('ref_axis',(1.,0.,0.)); #393090=DIRECTION('',(1.,0.,0.)); #393091=DIRECTION('',(1.,0.,0.)); #393092=DIRECTION('',(0.,0.,1.)); #393093=DIRECTION('center_axis',(0.,0.,1.)); #393094=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393095=DIRECTION('center_axis',(0.,0.,1.)); #393096=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393097=DIRECTION('center_axis',(0.,0.,1.)); #393098=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393099=DIRECTION('',(0.,0.,1.)); #393100=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #393101=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #393102=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #393103=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #393104=DIRECTION('',(0.,0.,1.)); #393105=DIRECTION('center_axis',(0.,0.,1.)); #393106=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #393107=DIRECTION('center_axis',(0.,0.,1.)); #393108=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #393109=DIRECTION('center_axis',(0.,0.,1.)); #393110=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #393111=DIRECTION('',(0.,0.,1.)); #393112=DIRECTION('center_axis',(-1.,0.,0.)); #393113=DIRECTION('ref_axis',(0.,-1.,0.)); #393114=DIRECTION('',(0.,-1.,0.)); #393115=DIRECTION('',(0.,-1.,0.)); #393116=DIRECTION('',(0.,0.,1.)); #393117=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #393118=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #393119=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #393120=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #393121=DIRECTION('',(0.,0.,1.)); #393122=DIRECTION('center_axis',(0.,-1.,0.)); #393123=DIRECTION('ref_axis',(1.,0.,0.)); #393124=DIRECTION('',(1.,0.,0.)); #393125=DIRECTION('',(1.,0.,0.)); #393126=DIRECTION('',(0.,0.,1.)); #393127=DIRECTION('center_axis',(0.,0.,1.)); #393128=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393129=DIRECTION('center_axis',(0.,0.,1.)); #393130=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393131=DIRECTION('center_axis',(0.,0.,1.)); #393132=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393133=DIRECTION('',(0.,0.,1.)); #393134=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #393135=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #393136=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #393137=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #393138=DIRECTION('',(0.,0.,1.)); #393139=DIRECTION('center_axis',(0.,-1.,0.)); #393140=DIRECTION('ref_axis',(1.,0.,0.)); #393141=DIRECTION('',(1.,0.,0.)); #393142=DIRECTION('',(1.,0.,0.)); #393143=DIRECTION('',(0.,0.,1.)); #393144=DIRECTION('center_axis',(-1.,0.,0.)); #393145=DIRECTION('ref_axis',(0.,-1.,0.)); #393146=DIRECTION('',(0.,-1.,0.)); #393147=DIRECTION('',(0.,-1.,0.)); #393148=DIRECTION('',(0.,0.,1.)); #393149=DIRECTION('center_axis',(0.,1.,0.)); #393150=DIRECTION('ref_axis',(-1.,0.,0.)); #393151=DIRECTION('',(-1.,0.,0.)); #393152=DIRECTION('',(-1.,0.,0.)); #393153=DIRECTION('',(0.,0.,1.)); #393154=DIRECTION('center_axis',(1.,0.,0.)); #393155=DIRECTION('ref_axis',(0.,1.,0.)); #393156=DIRECTION('',(0.,1.,0.)); #393157=DIRECTION('',(0.,1.,0.)); #393158=DIRECTION('',(0.,0.,1.)); #393159=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393160=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393161=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393162=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393163=DIRECTION('',(0.,0.,1.)); #393164=DIRECTION('center_axis',(0.,1.,0.)); #393165=DIRECTION('ref_axis',(-1.,0.,0.)); #393166=DIRECTION('',(-1.,0.,0.)); #393167=DIRECTION('',(-1.,0.,0.)); #393168=DIRECTION('',(0.,0.,1.)); #393169=DIRECTION('center_axis',(0.,0.,1.)); #393170=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393171=DIRECTION('center_axis',(0.,0.,1.)); #393172=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393173=DIRECTION('center_axis',(0.,0.,1.)); #393174=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393175=DIRECTION('',(0.,0.,1.)); #393176=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393177=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393178=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393179=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393180=DIRECTION('',(0.,0.,1.)); #393181=DIRECTION('center_axis',(0.,0.,1.)); #393182=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393183=DIRECTION('center_axis',(0.,0.,1.)); #393184=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393185=DIRECTION('center_axis',(0.,0.,1.)); #393186=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393187=DIRECTION('',(0.,0.,1.)); #393188=DIRECTION('center_axis',(1.,0.,0.)); #393189=DIRECTION('ref_axis',(0.,1.,0.)); #393190=DIRECTION('',(0.,1.,0.)); #393191=DIRECTION('',(0.,1.,0.)); #393192=DIRECTION('',(0.,0.,1.)); #393193=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #393194=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #393195=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393196=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393197=DIRECTION('',(0.,0.,1.)); #393198=DIRECTION('center_axis',(0.,1.,0.)); #393199=DIRECTION('ref_axis',(-1.,0.,0.)); #393200=DIRECTION('',(-1.,0.,0.)); #393201=DIRECTION('',(-1.,0.,0.)); #393202=DIRECTION('',(0.,0.,1.)); #393203=DIRECTION('center_axis',(-1.,0.,0.)); #393204=DIRECTION('ref_axis',(0.,-1.,0.)); #393205=DIRECTION('',(0.,-1.,0.)); #393206=DIRECTION('',(0.,-1.,0.)); #393207=DIRECTION('',(0.,0.,1.)); #393208=DIRECTION('center_axis',(0.,1.,0.)); #393209=DIRECTION('ref_axis',(-1.,0.,0.)); #393210=DIRECTION('',(-1.,0.,0.)); #393211=DIRECTION('',(-1.,0.,0.)); #393212=DIRECTION('center_axis',(0.,0.,1.)); #393213=DIRECTION('ref_axis',(1.,0.,0.)); #393214=DIRECTION('center_axis',(0.,0.,1.)); #393215=DIRECTION('ref_axis',(1.,0.,0.)); #393216=DIRECTION('center_axis',(0.,0.,1.)); #393217=DIRECTION('ref_axis',(1.,0.,0.)); #393218=DIRECTION('center_axis',(0.,0.,1.)); #393219=DIRECTION('ref_axis',(1.,0.,0.)); #393220=DIRECTION('',(0.,0.,1.)); #393221=DIRECTION('center_axis',(0.,0.,-1.)); #393222=DIRECTION('ref_axis',(1.,0.,0.)); #393223=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #393224=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #393225=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #393226=DIRECTION('',(0.,0.,1.)); #393227=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #393228=DIRECTION('',(0.,0.,1.)); #393229=DIRECTION('center_axis',(0.,0.,1.)); #393230=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #393231=DIRECTION('center_axis',(0.,0.,1.)); #393232=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #393233=DIRECTION('center_axis',(0.,0.,1.)); #393234=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #393235=DIRECTION('',(0.,0.,1.)); #393236=DIRECTION('center_axis',(-1.,0.,0.)); #393237=DIRECTION('ref_axis',(0.,-1.,0.)); #393238=DIRECTION('',(0.,-1.,0.)); #393239=DIRECTION('',(0.,-1.,0.)); #393240=DIRECTION('',(0.,0.,1.)); #393241=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #393242=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #393243=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393244=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393245=DIRECTION('',(0.,0.,1.)); #393246=DIRECTION('center_axis',(0.,0.,1.)); #393247=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #393248=DIRECTION('center_axis',(0.,0.,1.)); #393249=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #393250=DIRECTION('center_axis',(0.,0.,1.)); #393251=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #393252=DIRECTION('',(0.,0.,1.)); #393253=DIRECTION('center_axis',(-1.,0.,0.)); #393254=DIRECTION('ref_axis',(0.,-1.,0.)); #393255=DIRECTION('',(0.,-1.,0.)); #393256=DIRECTION('',(0.,-1.,0.)); #393257=DIRECTION('',(0.,0.,1.)); #393258=DIRECTION('center_axis',(0.,0.,1.)); #393259=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #393260=DIRECTION('center_axis',(0.,0.,1.)); #393261=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #393262=DIRECTION('center_axis',(0.,0.,1.)); #393263=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #393264=DIRECTION('',(0.,0.,1.)); #393265=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #393266=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #393267=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #393268=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #393269=DIRECTION('',(0.,0.,1.)); #393270=DIRECTION('center_axis',(0.,0.,1.)); #393271=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #393272=DIRECTION('center_axis',(0.,0.,1.)); #393273=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #393274=DIRECTION('center_axis',(0.,0.,1.)); #393275=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #393276=DIRECTION('',(0.,0.,1.)); #393277=DIRECTION('center_axis',(0.,1.,0.)); #393278=DIRECTION('ref_axis',(-1.,0.,0.)); #393279=DIRECTION('',(-1.,0.,0.)); #393280=DIRECTION('',(-1.,0.,0.)); #393281=DIRECTION('',(0.,0.,1.)); #393282=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #393283=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #393284=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #393285=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #393286=DIRECTION('',(0.,0.,1.)); #393287=DIRECTION('center_axis',(-1.,0.,0.)); #393288=DIRECTION('ref_axis',(0.,-1.,0.)); #393289=DIRECTION('',(0.,-1.,0.)); #393290=DIRECTION('',(0.,-1.,0.)); #393291=DIRECTION('',(0.,0.,1.)); #393292=DIRECTION('center_axis',(0.,1.,0.)); #393293=DIRECTION('ref_axis',(-1.,0.,0.)); #393294=DIRECTION('',(-1.,0.,0.)); #393295=DIRECTION('',(-1.,0.,0.)); #393296=DIRECTION('',(0.,0.,1.)); #393297=DIRECTION('center_axis',(1.,0.,0.)); #393298=DIRECTION('ref_axis',(0.,1.,0.)); #393299=DIRECTION('',(0.,1.,0.)); #393300=DIRECTION('',(0.,1.,0.)); #393301=DIRECTION('',(0.,0.,1.)); #393302=DIRECTION('center_axis',(0.,-1.,0.)); #393303=DIRECTION('ref_axis',(1.,0.,0.)); #393304=DIRECTION('',(1.,0.,0.)); #393305=DIRECTION('',(1.,0.,0.)); #393306=DIRECTION('',(0.,0.,1.)); #393307=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #393308=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #393309=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #393310=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #393311=DIRECTION('',(0.,0.,1.)); #393312=DIRECTION('center_axis',(0.,0.,1.)); #393313=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #393314=DIRECTION('center_axis',(0.,0.,1.)); #393315=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #393316=DIRECTION('center_axis',(0.,0.,1.)); #393317=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #393318=DIRECTION('',(0.,0.,1.)); #393319=DIRECTION('center_axis',(0.,-1.,0.)); #393320=DIRECTION('ref_axis',(1.,0.,0.)); #393321=DIRECTION('',(1.,0.,0.)); #393322=DIRECTION('',(1.,0.,0.)); #393323=DIRECTION('',(0.,0.,1.)); #393324=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393325=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393326=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393327=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393328=DIRECTION('',(0.,0.,1.)); #393329=DIRECTION('center_axis',(1.,0.,0.)); #393330=DIRECTION('ref_axis',(0.,1.,0.)); #393331=DIRECTION('',(0.,1.,0.)); #393332=DIRECTION('',(0.,1.,0.)); #393333=DIRECTION('',(0.,0.,1.)); #393334=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #393335=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #393336=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #393337=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #393338=DIRECTION('',(0.,0.,1.)); #393339=DIRECTION('center_axis',(0.,0.,1.)); #393340=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #393341=DIRECTION('center_axis',(0.,0.,1.)); #393342=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #393343=DIRECTION('center_axis',(0.,0.,1.)); #393344=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #393345=DIRECTION('',(0.,0.,1.)); #393346=DIRECTION('center_axis',(1.,0.,0.)); #393347=DIRECTION('ref_axis',(0.,1.,0.)); #393348=DIRECTION('',(0.,1.,0.)); #393349=DIRECTION('',(0.,1.,0.)); #393350=DIRECTION('',(0.,0.,1.)); #393351=DIRECTION('center_axis',(0.,0.,1.)); #393352=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #393353=DIRECTION('center_axis',(0.,0.,1.)); #393354=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #393355=DIRECTION('center_axis',(0.,0.,1.)); #393356=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #393357=DIRECTION('center_axis',(0.,0.,1.)); #393358=DIRECTION('ref_axis',(1.,0.,0.)); #393359=DIRECTION('center_axis',(0.,0.,1.)); #393360=DIRECTION('ref_axis',(1.,0.,0.)); #393361=DIRECTION('center_axis',(0.,0.,1.)); #393362=DIRECTION('ref_axis',(1.,0.,0.)); #393363=DIRECTION('center_axis',(0.,0.,1.)); #393364=DIRECTION('ref_axis',(1.,0.,0.)); #393365=DIRECTION('',(0.,0.,1.)); #393366=DIRECTION('center_axis',(0.,0.,-1.)); #393367=DIRECTION('ref_axis',(1.,0.,0.)); #393368=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #393369=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #393370=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #393371=DIRECTION('',(0.,0.,1.)); #393372=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #393373=DIRECTION('',(0.,0.,1.)); #393374=DIRECTION('center_axis',(0.,0.,1.)); #393375=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #393376=DIRECTION('center_axis',(0.,0.,1.)); #393377=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #393378=DIRECTION('center_axis',(0.,0.,1.)); #393379=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #393380=DIRECTION('',(0.,0.,1.)); #393381=DIRECTION('center_axis',(0.,-1.,0.)); #393382=DIRECTION('ref_axis',(1.,0.,0.)); #393383=DIRECTION('',(1.,0.,0.)); #393384=DIRECTION('',(1.,0.,0.)); #393385=DIRECTION('',(0.,0.,1.)); #393386=DIRECTION('center_axis',(1.,0.,0.)); #393387=DIRECTION('ref_axis',(0.,1.,0.)); #393388=DIRECTION('',(0.,1.,0.)); #393389=DIRECTION('',(0.,1.,0.)); #393390=DIRECTION('',(0.,0.,1.)); #393391=DIRECTION('center_axis',(0.,-1.,0.)); #393392=DIRECTION('ref_axis',(1.,0.,0.)); #393393=DIRECTION('',(1.,0.,0.)); #393394=DIRECTION('',(1.,0.,0.)); #393395=DIRECTION('',(0.,0.,1.)); #393396=DIRECTION('center_axis',(-1.,0.,0.)); #393397=DIRECTION('ref_axis',(0.,-1.,0.)); #393398=DIRECTION('',(0.,-1.,0.)); #393399=DIRECTION('',(0.,-1.,0.)); #393400=DIRECTION('',(0.,0.,1.)); #393401=DIRECTION('center_axis',(0.,1.,0.)); #393402=DIRECTION('ref_axis',(-1.,0.,0.)); #393403=DIRECTION('',(-1.,0.,0.)); #393404=DIRECTION('',(-1.,0.,0.)); #393405=DIRECTION('',(0.,0.,1.)); #393406=DIRECTION('center_axis',(1.,0.,0.)); #393407=DIRECTION('ref_axis',(0.,1.,0.)); #393408=DIRECTION('',(0.,1.,0.)); #393409=DIRECTION('',(0.,1.,0.)); #393410=DIRECTION('',(0.,0.,1.)); #393411=DIRECTION('center_axis',(0.,1.,0.)); #393412=DIRECTION('ref_axis',(-1.,0.,0.)); #393413=DIRECTION('',(-1.,0.,0.)); #393414=DIRECTION('',(-1.,0.,0.)); #393415=DIRECTION('',(0.,0.,1.)); #393416=DIRECTION('center_axis',(0.,0.,1.)); #393417=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #393418=DIRECTION('center_axis',(0.,0.,1.)); #393419=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #393420=DIRECTION('center_axis',(0.,0.,1.)); #393421=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #393422=DIRECTION('',(0.,0.,1.)); #393423=DIRECTION('center_axis',(0.,0.,1.)); #393424=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #393425=DIRECTION('center_axis',(0.,0.,1.)); #393426=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #393427=DIRECTION('center_axis',(0.,0.,1.)); #393428=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #393429=DIRECTION('center_axis',(0.,0.,1.)); #393430=DIRECTION('ref_axis',(1.,0.,0.)); #393431=DIRECTION('center_axis',(0.,0.,1.)); #393432=DIRECTION('ref_axis',(1.,0.,0.)); #393433=DIRECTION('center_axis',(1.,0.,0.)); #393434=DIRECTION('ref_axis',(0.,1.,0.)); #393435=DIRECTION('',(0.,1.,0.)); #393436=DIRECTION('',(0.,0.,1.)); #393437=DIRECTION('',(0.,1.,0.)); #393438=DIRECTION('',(0.,0.,1.)); #393439=DIRECTION('center_axis',(0.,-1.,0.)); #393440=DIRECTION('ref_axis',(1.,0.,0.)); #393441=DIRECTION('',(1.,0.,0.)); #393442=DIRECTION('',(1.,0.,0.)); #393443=DIRECTION('',(0.,0.,1.)); #393444=DIRECTION('center_axis',(-1.,0.,0.)); #393445=DIRECTION('ref_axis',(0.,-1.,0.)); #393446=DIRECTION('',(0.,-1.,0.)); #393447=DIRECTION('',(0.,-1.,0.)); #393448=DIRECTION('',(0.,0.,1.)); #393449=DIRECTION('center_axis',(0.,1.,0.)); #393450=DIRECTION('ref_axis',(-1.,0.,0.)); #393451=DIRECTION('',(-1.,0.,0.)); #393452=DIRECTION('',(-1.,0.,0.)); #393453=DIRECTION('',(0.,0.,1.)); #393454=DIRECTION('center_axis',(-1.,0.,0.)); #393455=DIRECTION('ref_axis',(0.,-1.,0.)); #393456=DIRECTION('',(0.,-1.,0.)); #393457=DIRECTION('',(0.,-1.,0.)); #393458=DIRECTION('',(0.,0.,1.)); #393459=DIRECTION('center_axis',(0.,0.,1.)); #393460=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393461=DIRECTION('center_axis',(0.,0.,1.)); #393462=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393463=DIRECTION('center_axis',(0.,0.,1.)); #393464=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393465=DIRECTION('',(0.,0.,1.)); #393466=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #393467=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #393468=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #393469=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #393470=DIRECTION('',(0.,0.,1.)); #393471=DIRECTION('center_axis',(-1.,0.,0.)); #393472=DIRECTION('ref_axis',(0.,-1.,0.)); #393473=DIRECTION('',(0.,-1.,0.)); #393474=DIRECTION('',(0.,-1.,0.)); #393475=DIRECTION('',(0.,0.,1.)); #393476=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #393477=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #393478=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #393479=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #393480=DIRECTION('',(0.,0.,1.)); #393481=DIRECTION('center_axis',(0.,0.,1.)); #393482=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #393483=DIRECTION('center_axis',(0.,0.,1.)); #393484=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #393485=DIRECTION('center_axis',(0.,0.,1.)); #393486=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #393487=DIRECTION('',(0.,0.,1.)); #393488=DIRECTION('center_axis',(-1.,0.,0.)); #393489=DIRECTION('ref_axis',(0.,-1.,0.)); #393490=DIRECTION('',(0.,-1.,0.)); #393491=DIRECTION('',(0.,-1.,0.)); #393492=DIRECTION('',(0.,0.,1.)); #393493=DIRECTION('center_axis',(0.,0.,1.)); #393494=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393495=DIRECTION('center_axis',(0.,0.,1.)); #393496=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393497=DIRECTION('center_axis',(0.,0.,1.)); #393498=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393499=DIRECTION('',(0.,0.,1.)); #393500=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393501=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393502=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393503=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393504=DIRECTION('',(0.,0.,1.)); #393505=DIRECTION('center_axis',(0.,0.,1.)); #393506=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #393507=DIRECTION('center_axis',(0.,0.,1.)); #393508=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #393509=DIRECTION('center_axis',(0.,0.,1.)); #393510=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #393511=DIRECTION('',(0.,0.,1.)); #393512=DIRECTION('center_axis',(0.,1.,0.)); #393513=DIRECTION('ref_axis',(-1.,0.,0.)); #393514=DIRECTION('',(-1.,0.,0.)); #393515=DIRECTION('',(-1.,0.,0.)); #393516=DIRECTION('',(0.,0.,1.)); #393517=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393518=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393519=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393520=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393521=DIRECTION('',(0.,0.,1.)); #393522=DIRECTION('center_axis',(0.,0.,1.)); #393523=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #393524=DIRECTION('center_axis',(0.,0.,1.)); #393525=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #393526=DIRECTION('center_axis',(0.,0.,1.)); #393527=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #393528=DIRECTION('',(0.,0.,1.)); #393529=DIRECTION('center_axis',(0.,1.,0.)); #393530=DIRECTION('ref_axis',(-1.,0.,0.)); #393531=DIRECTION('',(-1.,0.,0.)); #393532=DIRECTION('',(-1.,0.,0.)); #393533=DIRECTION('',(0.,0.,1.)); #393534=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #393535=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #393536=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #393537=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #393538=DIRECTION('',(0.,0.,1.)); #393539=DIRECTION('center_axis',(-1.,0.,0.)); #393540=DIRECTION('ref_axis',(0.,-1.,0.)); #393541=DIRECTION('',(0.,-1.,0.)); #393542=DIRECTION('',(0.,-1.,0.)); #393543=DIRECTION('',(0.,0.,1.)); #393544=DIRECTION('center_axis',(0.,1.,0.)); #393545=DIRECTION('ref_axis',(-1.,0.,0.)); #393546=DIRECTION('',(-1.,0.,0.)); #393547=DIRECTION('',(-1.,0.,0.)); #393548=DIRECTION('',(0.,0.,1.)); #393549=DIRECTION('center_axis',(1.,0.,0.)); #393550=DIRECTION('ref_axis',(0.,1.,0.)); #393551=DIRECTION('',(0.,1.,0.)); #393552=DIRECTION('',(0.,1.,0.)); #393553=DIRECTION('',(0.,0.,1.)); #393554=DIRECTION('center_axis',(0.,-1.,0.)); #393555=DIRECTION('ref_axis',(1.,0.,0.)); #393556=DIRECTION('',(1.,0.,0.)); #393557=DIRECTION('',(1.,0.,0.)); #393558=DIRECTION('',(0.,0.,1.)); #393559=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #393560=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #393561=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #393562=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #393563=DIRECTION('',(0.,0.,1.)); #393564=DIRECTION('center_axis',(0.,0.,1.)); #393565=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #393566=DIRECTION('center_axis',(0.,0.,1.)); #393567=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #393568=DIRECTION('center_axis',(0.,0.,1.)); #393569=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #393570=DIRECTION('',(0.,0.,1.)); #393571=DIRECTION('center_axis',(0.,-1.,0.)); #393572=DIRECTION('ref_axis',(1.,0.,0.)); #393573=DIRECTION('',(1.,0.,0.)); #393574=DIRECTION('',(1.,0.,0.)); #393575=DIRECTION('',(0.,0.,1.)); #393576=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #393577=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #393578=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #393579=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #393580=DIRECTION('',(0.,0.,1.)); #393581=DIRECTION('center_axis',(0.,0.,1.)); #393582=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #393583=DIRECTION('center_axis',(0.,0.,1.)); #393584=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #393585=DIRECTION('center_axis',(0.,0.,1.)); #393586=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #393587=DIRECTION('',(0.,0.,1.)); #393588=DIRECTION('center_axis',(0.,-1.,0.)); #393589=DIRECTION('ref_axis',(1.,0.,0.)); #393590=DIRECTION('',(1.,0.,0.)); #393591=DIRECTION('',(1.,0.,0.)); #393592=DIRECTION('',(0.,0.,1.)); #393593=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393594=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393595=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #393596=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #393597=DIRECTION('',(0.,0.,1.)); #393598=DIRECTION('center_axis',(1.,0.,0.)); #393599=DIRECTION('ref_axis',(0.,1.,0.)); #393600=DIRECTION('',(0.,1.,0.)); #393601=DIRECTION('',(0.,1.,0.)); #393602=DIRECTION('',(0.,0.,1.)); #393603=DIRECTION('center_axis',(0.707107058417278,0.707106503955709,0.)); #393604=DIRECTION('ref_axis',(-0.707106503955709,0.707107058417278,0.)); #393605=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #393606=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #393607=DIRECTION('',(0.,0.,1.)); #393608=DIRECTION('center_axis',(0.,0.,1.)); #393609=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393610=DIRECTION('center_axis',(0.,0.,1.)); #393611=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393612=DIRECTION('center_axis',(0.,0.,1.)); #393613=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393614=DIRECTION('',(0.,0.,1.)); #393615=DIRECTION('center_axis',(1.,0.,0.)); #393616=DIRECTION('ref_axis',(0.,1.,0.)); #393617=DIRECTION('',(0.,1.,0.)); #393618=DIRECTION('',(0.,1.,0.)); #393619=DIRECTION('',(0.,0.,1.)); #393620=DIRECTION('center_axis',(0.,0.,1.)); #393621=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #393622=DIRECTION('center_axis',(0.,0.,1.)); #393623=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #393624=DIRECTION('center_axis',(0.,0.,1.)); #393625=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #393626=DIRECTION('',(0.,0.,1.)); #393627=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #393628=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #393629=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #393630=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #393631=DIRECTION('',(0.,0.,1.)); #393632=DIRECTION('center_axis',(1.,0.,0.)); #393633=DIRECTION('ref_axis',(0.,1.,0.)); #393634=DIRECTION('',(0.,1.,0.)); #393635=DIRECTION('',(0.,1.,0.)); #393636=DIRECTION('',(0.,0.,1.)); #393637=DIRECTION('center_axis',(0.,1.,0.)); #393638=DIRECTION('ref_axis',(-1.,0.,0.)); #393639=DIRECTION('',(-1.,0.,0.)); #393640=DIRECTION('',(-1.,0.,0.)); #393641=DIRECTION('center_axis',(0.,0.,1.)); #393642=DIRECTION('ref_axis',(1.,0.,0.)); #393643=DIRECTION('center_axis',(0.,0.,1.)); #393644=DIRECTION('ref_axis',(1.,0.,0.)); #393645=DIRECTION('center_axis',(1.,0.,0.)); #393646=DIRECTION('ref_axis',(0.,1.,0.)); #393647=DIRECTION('',(0.,1.,0.)); #393648=DIRECTION('',(0.,0.,1.)); #393649=DIRECTION('',(0.,1.,0.)); #393650=DIRECTION('',(0.,0.,1.)); #393651=DIRECTION('center_axis',(0.,-1.,0.)); #393652=DIRECTION('ref_axis',(1.,0.,0.)); #393653=DIRECTION('',(1.,0.,0.)); #393654=DIRECTION('',(1.,0.,0.)); #393655=DIRECTION('',(0.,0.,1.)); #393656=DIRECTION('center_axis',(-1.,0.,0.)); #393657=DIRECTION('ref_axis',(0.,-1.,0.)); #393658=DIRECTION('',(0.,-1.,0.)); #393659=DIRECTION('',(0.,-1.,0.)); #393660=DIRECTION('',(0.,0.,1.)); #393661=DIRECTION('center_axis',(0.,1.,0.)); #393662=DIRECTION('ref_axis',(-1.,0.,0.)); #393663=DIRECTION('',(-1.,0.,0.)); #393664=DIRECTION('',(-1.,0.,0.)); #393665=DIRECTION('',(0.,0.,1.)); #393666=DIRECTION('center_axis',(-1.,0.,0.)); #393667=DIRECTION('ref_axis',(0.,-1.,0.)); #393668=DIRECTION('',(0.,-1.,0.)); #393669=DIRECTION('',(0.,-1.,0.)); #393670=DIRECTION('',(0.,0.,1.)); #393671=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #393672=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #393673=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #393674=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #393675=DIRECTION('',(0.,0.,1.)); #393676=DIRECTION('center_axis',(0.,0.,1.)); #393677=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #393678=DIRECTION('center_axis',(0.,0.,1.)); #393679=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #393680=DIRECTION('center_axis',(0.,0.,1.)); #393681=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #393682=DIRECTION('',(0.,0.,1.)); #393683=DIRECTION('center_axis',(-1.,0.,0.)); #393684=DIRECTION('ref_axis',(0.,-1.,0.)); #393685=DIRECTION('',(0.,-1.,0.)); #393686=DIRECTION('',(0.,-1.,0.)); #393687=DIRECTION('',(0.,0.,1.)); #393688=DIRECTION('center_axis',(0.,0.,1.)); #393689=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393690=DIRECTION('center_axis',(0.,0.,1.)); #393691=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393692=DIRECTION('center_axis',(0.,0.,1.)); #393693=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393694=DIRECTION('',(0.,0.,1.)); #393695=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393696=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393697=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393698=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393699=DIRECTION('',(0.,0.,1.)); #393700=DIRECTION('center_axis',(-1.,0.,0.)); #393701=DIRECTION('ref_axis',(0.,-1.,0.)); #393702=DIRECTION('',(0.,-1.,0.)); #393703=DIRECTION('',(0.,-1.,0.)); #393704=DIRECTION('',(0.,0.,1.)); #393705=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #393706=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #393707=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #393708=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #393709=DIRECTION('',(0.,0.,1.)); #393710=DIRECTION('center_axis',(0.,-1.,0.)); #393711=DIRECTION('ref_axis',(1.,0.,0.)); #393712=DIRECTION('',(1.,0.,0.)); #393713=DIRECTION('',(1.,0.,0.)); #393714=DIRECTION('',(0.,0.,1.)); #393715=DIRECTION('center_axis',(0.,0.,1.)); #393716=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393717=DIRECTION('center_axis',(0.,0.,1.)); #393718=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393719=DIRECTION('center_axis',(0.,0.,1.)); #393720=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393721=DIRECTION('',(0.,0.,1.)); #393722=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #393723=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #393724=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #393725=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #393726=DIRECTION('',(0.,0.,1.)); #393727=DIRECTION('center_axis',(0.,-1.,0.)); #393728=DIRECTION('ref_axis',(1.,0.,0.)); #393729=DIRECTION('',(1.,0.,0.)); #393730=DIRECTION('',(1.,0.,0.)); #393731=DIRECTION('',(0.,0.,1.)); #393732=DIRECTION('center_axis',(0.,0.,1.)); #393733=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #393734=DIRECTION('center_axis',(0.,0.,1.)); #393735=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #393736=DIRECTION('center_axis',(0.,0.,1.)); #393737=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #393738=DIRECTION('',(0.,0.,1.)); #393739=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #393740=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #393741=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #393742=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #393743=DIRECTION('',(0.,0.,1.)); #393744=DIRECTION('center_axis',(0.,-1.,0.)); #393745=DIRECTION('ref_axis',(1.,0.,0.)); #393746=DIRECTION('',(1.,0.,0.)); #393747=DIRECTION('',(1.,0.,0.)); #393748=DIRECTION('',(0.,0.,1.)); #393749=DIRECTION('center_axis',(-1.,0.,0.)); #393750=DIRECTION('ref_axis',(0.,-1.,0.)); #393751=DIRECTION('',(0.,-1.,0.)); #393752=DIRECTION('',(0.,-1.,0.)); #393753=DIRECTION('',(0.,0.,1.)); #393754=DIRECTION('center_axis',(0.,1.,0.)); #393755=DIRECTION('ref_axis',(-1.,0.,0.)); #393756=DIRECTION('',(-1.,0.,0.)); #393757=DIRECTION('',(-1.,0.,0.)); #393758=DIRECTION('',(0.,0.,1.)); #393759=DIRECTION('center_axis',(1.,0.,0.)); #393760=DIRECTION('ref_axis',(0.,1.,0.)); #393761=DIRECTION('',(0.,1.,0.)); #393762=DIRECTION('',(0.,1.,0.)); #393763=DIRECTION('',(0.,0.,1.)); #393764=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393765=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393766=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393767=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393768=DIRECTION('',(0.,0.,1.)); #393769=DIRECTION('center_axis',(0.,1.,0.)); #393770=DIRECTION('ref_axis',(-1.,0.,0.)); #393771=DIRECTION('',(-1.,0.,0.)); #393772=DIRECTION('',(-1.,0.,0.)); #393773=DIRECTION('',(0.,0.,1.)); #393774=DIRECTION('center_axis',(0.,0.,1.)); #393775=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393776=DIRECTION('center_axis',(0.,0.,1.)); #393777=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393778=DIRECTION('center_axis',(0.,0.,1.)); #393779=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393780=DIRECTION('',(0.,0.,1.)); #393781=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #393782=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #393783=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #393784=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #393785=DIRECTION('',(0.,0.,1.)); #393786=DIRECTION('center_axis',(0.,1.,0.)); #393787=DIRECTION('ref_axis',(-1.,0.,0.)); #393788=DIRECTION('',(-1.,0.,0.)); #393789=DIRECTION('',(-1.,0.,0.)); #393790=DIRECTION('',(0.,0.,1.)); #393791=DIRECTION('center_axis',(0.,0.,1.)); #393792=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #393793=DIRECTION('center_axis',(0.,0.,1.)); #393794=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #393795=DIRECTION('center_axis',(0.,0.,1.)); #393796=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #393797=DIRECTION('',(0.,0.,1.)); #393798=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #393799=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #393800=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #393801=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #393802=DIRECTION('',(0.,0.,1.)); #393803=DIRECTION('center_axis',(0.,0.,1.)); #393804=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393805=DIRECTION('center_axis',(0.,0.,1.)); #393806=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393807=DIRECTION('center_axis',(0.,0.,1.)); #393808=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #393809=DIRECTION('',(0.,0.,1.)); #393810=DIRECTION('center_axis',(1.,0.,0.)); #393811=DIRECTION('ref_axis',(0.,1.,0.)); #393812=DIRECTION('',(0.,1.,0.)); #393813=DIRECTION('',(0.,1.,0.)); #393814=DIRECTION('',(0.,0.,1.)); #393815=DIRECTION('center_axis',(0.,0.,1.)); #393816=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393817=DIRECTION('center_axis',(0.,0.,1.)); #393818=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393819=DIRECTION('center_axis',(0.,0.,1.)); #393820=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #393821=DIRECTION('',(0.,0.,1.)); #393822=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #393823=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #393824=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #393825=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #393826=DIRECTION('',(0.,0.,1.)); #393827=DIRECTION('center_axis',(1.,0.,0.)); #393828=DIRECTION('ref_axis',(0.,1.,0.)); #393829=DIRECTION('',(0.,1.,0.)); #393830=DIRECTION('',(0.,1.,0.)); #393831=DIRECTION('',(0.,0.,1.)); #393832=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #393833=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #393834=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #393835=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #393836=DIRECTION('',(0.,0.,1.)); #393837=DIRECTION('center_axis',(0.,0.,1.)); #393838=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #393839=DIRECTION('center_axis',(0.,0.,1.)); #393840=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #393841=DIRECTION('center_axis',(0.,0.,1.)); #393842=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #393843=DIRECTION('',(0.,0.,1.)); #393844=DIRECTION('center_axis',(1.,0.,0.)); #393845=DIRECTION('ref_axis',(0.,1.,0.)); #393846=DIRECTION('',(0.,1.,0.)); #393847=DIRECTION('',(0.,1.,0.)); #393848=DIRECTION('',(0.,0.,1.)); #393849=DIRECTION('center_axis',(0.,1.,0.)); #393850=DIRECTION('ref_axis',(-1.,0.,0.)); #393851=DIRECTION('',(-1.,0.,0.)); #393852=DIRECTION('',(-1.,0.,0.)); #393853=DIRECTION('center_axis',(0.,0.,1.)); #393854=DIRECTION('ref_axis',(1.,0.,0.)); #393855=DIRECTION('center_axis',(0.,0.,1.)); #393856=DIRECTION('ref_axis',(1.,0.,0.)); #393857=DIRECTION('center_axis',(1.,0.,0.)); #393858=DIRECTION('ref_axis',(0.,1.,0.)); #393859=DIRECTION('',(0.,1.,0.)); #393860=DIRECTION('',(0.,0.,1.)); #393861=DIRECTION('',(0.,1.,0.)); #393862=DIRECTION('',(0.,0.,1.)); #393863=DIRECTION('center_axis',(0.,-1.,0.)); #393864=DIRECTION('ref_axis',(1.,0.,0.)); #393865=DIRECTION('',(1.,0.,0.)); #393866=DIRECTION('',(1.,0.,0.)); #393867=DIRECTION('',(0.,0.,1.)); #393868=DIRECTION('center_axis',(-1.,0.,0.)); #393869=DIRECTION('ref_axis',(0.,-1.,0.)); #393870=DIRECTION('',(0.,-1.,0.)); #393871=DIRECTION('',(0.,-1.,0.)); #393872=DIRECTION('',(0.,0.,1.)); #393873=DIRECTION('center_axis',(0.,-1.,0.)); #393874=DIRECTION('ref_axis',(1.,0.,0.)); #393875=DIRECTION('',(1.,0.,0.)); #393876=DIRECTION('',(1.,0.,0.)); #393877=DIRECTION('',(0.,0.,1.)); #393878=DIRECTION('center_axis',(0.,0.,1.)); #393879=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393880=DIRECTION('center_axis',(0.,0.,1.)); #393881=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393882=DIRECTION('center_axis',(0.,0.,1.)); #393883=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393884=DIRECTION('',(0.,0.,1.)); #393885=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #393886=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #393887=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #393888=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #393889=DIRECTION('',(0.,0.,1.)); #393890=DIRECTION('center_axis',(0.,-1.,0.)); #393891=DIRECTION('ref_axis',(1.,0.,0.)); #393892=DIRECTION('',(1.,0.,0.)); #393893=DIRECTION('',(1.,0.,0.)); #393894=DIRECTION('',(0.,0.,1.)); #393895=DIRECTION('center_axis',(0.,0.,1.)); #393896=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393897=DIRECTION('center_axis',(0.,0.,1.)); #393898=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393899=DIRECTION('center_axis',(0.,0.,1.)); #393900=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #393901=DIRECTION('',(0.,0.,1.)); #393902=DIRECTION('center_axis',(-0.707106781186546,-0.70710678118655,0.)); #393903=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186545,0.)); #393904=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #393905=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #393906=DIRECTION('',(0.,0.,1.)); #393907=DIRECTION('center_axis',(0.,-1.,0.)); #393908=DIRECTION('ref_axis',(1.,0.,0.)); #393909=DIRECTION('',(1.,0.,0.)); #393910=DIRECTION('',(1.,0.,0.)); #393911=DIRECTION('',(0.,0.,1.)); #393912=DIRECTION('center_axis',(-1.,0.,0.)); #393913=DIRECTION('ref_axis',(0.,-1.,0.)); #393914=DIRECTION('',(0.,-1.,0.)); #393915=DIRECTION('',(0.,-1.,0.)); #393916=DIRECTION('',(0.,0.,1.)); #393917=DIRECTION('center_axis',(0.,1.,0.)); #393918=DIRECTION('ref_axis',(-1.,0.,0.)); #393919=DIRECTION('',(-1.,0.,0.)); #393920=DIRECTION('',(-1.,0.,0.)); #393921=DIRECTION('',(0.,0.,1.)); #393922=DIRECTION('center_axis',(1.,0.,0.)); #393923=DIRECTION('ref_axis',(0.,1.,0.)); #393924=DIRECTION('',(0.,1.,0.)); #393925=DIRECTION('',(0.,1.,0.)); #393926=DIRECTION('',(0.,0.,1.)); #393927=DIRECTION('center_axis',(0.70710678118655,0.707106781186546,0.)); #393928=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186549,0.)); #393929=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #393930=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #393931=DIRECTION('',(0.,0.,1.)); #393932=DIRECTION('center_axis',(0.,1.,0.)); #393933=DIRECTION('ref_axis',(-1.,0.,0.)); #393934=DIRECTION('',(-1.,0.,0.)); #393935=DIRECTION('',(-1.,0.,0.)); #393936=DIRECTION('',(0.,0.,1.)); #393937=DIRECTION('center_axis',(0.,0.,1.)); #393938=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393939=DIRECTION('center_axis',(0.,0.,1.)); #393940=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393941=DIRECTION('center_axis',(0.,0.,1.)); #393942=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393943=DIRECTION('',(0.,0.,1.)); #393944=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #393945=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #393946=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393947=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #393948=DIRECTION('',(0.,0.,1.)); #393949=DIRECTION('center_axis',(0.,1.,0.)); #393950=DIRECTION('ref_axis',(-1.,0.,0.)); #393951=DIRECTION('',(-1.,0.,0.)); #393952=DIRECTION('',(-1.,0.,0.)); #393953=DIRECTION('',(0.,0.,1.)); #393954=DIRECTION('center_axis',(-1.,0.,0.)); #393955=DIRECTION('ref_axis',(0.,-1.,0.)); #393956=DIRECTION('',(0.,-1.,0.)); #393957=DIRECTION('',(0.,-1.,0.)); #393958=DIRECTION('',(0.,0.,1.)); #393959=DIRECTION('center_axis',(0.,1.,0.)); #393960=DIRECTION('ref_axis',(-1.,0.,0.)); #393961=DIRECTION('',(-1.,0.,0.)); #393962=DIRECTION('',(-1.,0.,0.)); #393963=DIRECTION('center_axis',(0.,0.,1.)); #393964=DIRECTION('ref_axis',(1.,0.,0.)); #393965=DIRECTION('center_axis',(0.,0.,1.)); #393966=DIRECTION('ref_axis',(1.,0.,0.)); #393967=DIRECTION('center_axis',(0.,0.,1.)); #393968=DIRECTION('ref_axis',(1.,0.,0.)); #393969=DIRECTION('center_axis',(0.,0.,1.)); #393970=DIRECTION('ref_axis',(1.,0.,0.)); #393971=DIRECTION('',(0.,0.,1.)); #393972=DIRECTION('center_axis',(0.,0.,-1.)); #393973=DIRECTION('ref_axis',(1.,0.,0.)); #393974=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393975=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393976=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393977=DIRECTION('',(0.,0.,1.)); #393978=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393979=DIRECTION('',(0.,0.,1.)); #393980=DIRECTION('center_axis',(0.,1.,0.)); #393981=DIRECTION('ref_axis',(-1.,0.,0.)); #393982=DIRECTION('',(-1.,0.,0.)); #393983=DIRECTION('',(-1.,0.,0.)); #393984=DIRECTION('',(0.,0.,1.)); #393985=DIRECTION('center_axis',(0.,0.,1.)); #393986=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #393987=DIRECTION('center_axis',(0.,0.,1.)); #393988=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #393989=DIRECTION('center_axis',(0.,0.,1.)); #393990=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #393991=DIRECTION('',(0.,0.,1.)); #393992=DIRECTION('center_axis',(0.228549733743527,0.973532238400847,0.)); #393993=DIRECTION('ref_axis',(-0.973532238400847,0.228549733743527,0.)); #393994=DIRECTION('',(-0.973532238400847,0.228549733743527,0.)); #393995=DIRECTION('',(-0.973532238400847,0.228549733743527,0.)); #393996=DIRECTION('',(0.,0.,1.)); #393997=DIRECTION('center_axis',(0.127810440204558,0.991798614323854,0.)); #393998=DIRECTION('ref_axis',(-0.991798614323854,0.127810440204558,0.)); #393999=DIRECTION('',(-0.991798614323854,0.127810440204558,0.)); #394000=DIRECTION('',(-0.991798614323854,0.127810440204558,0.)); #394001=DIRECTION('',(0.,0.,1.)); #394002=DIRECTION('center_axis',(0.358957638710899,0.933353852304203,0.)); #394003=DIRECTION('ref_axis',(-0.933353852304203,0.358957638710899,0.)); #394004=DIRECTION('',(-0.933353852304203,0.358957638710899,0.)); #394005=DIRECTION('',(-0.933353852304203,0.358957638710899,0.)); #394006=DIRECTION('',(0.,0.,1.)); #394007=DIRECTION('center_axis',(0.,0.,1.)); #394008=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #394009=DIRECTION('center_axis',(0.,0.,1.)); #394010=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #394011=DIRECTION('center_axis',(0.,0.,1.)); #394012=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #394013=DIRECTION('',(0.,0.,1.)); #394014=DIRECTION('center_axis',(0.707106876875023,0.70710668549806,0.)); #394015=DIRECTION('ref_axis',(-0.707106685498059,0.707106876875023,0.)); #394016=DIRECTION('',(-0.707106685498059,0.707106876875023,0.)); #394017=DIRECTION('',(-0.707106685498059,0.707106876875023,0.)); #394018=DIRECTION('',(0.,0.,1.)); #394019=DIRECTION('center_axis',(0.,1.,0.)); #394020=DIRECTION('ref_axis',(-1.,0.,0.)); #394021=DIRECTION('',(-1.,0.,0.)); #394022=DIRECTION('',(-1.,0.,0.)); #394023=DIRECTION('',(0.,0.,1.)); #394024=DIRECTION('center_axis',(0.,0.,1.)); #394025=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #394026=DIRECTION('center_axis',(0.,0.,1.)); #394027=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #394028=DIRECTION('center_axis',(0.,0.,1.)); #394029=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #394030=DIRECTION('',(0.,0.,1.)); #394031=DIRECTION('center_axis',(0.,0.,1.)); #394032=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #394033=DIRECTION('center_axis',(0.,0.,1.)); #394034=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #394035=DIRECTION('center_axis',(0.,0.,1.)); #394036=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #394037=DIRECTION('',(0.,0.,1.)); #394038=DIRECTION('center_axis',(0.,-1.,0.)); #394039=DIRECTION('ref_axis',(1.,0.,0.)); #394040=DIRECTION('',(1.,0.,0.)); #394041=DIRECTION('',(1.,0.,0.)); #394042=DIRECTION('',(0.,0.,1.)); #394043=DIRECTION('center_axis',(0.,0.,1.)); #394044=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #394045=DIRECTION('center_axis',(0.,0.,1.)); #394046=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #394047=DIRECTION('center_axis',(0.,0.,1.)); #394048=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #394049=DIRECTION('',(0.,0.,1.)); #394050=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #394051=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #394052=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #394053=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #394054=DIRECTION('',(0.,0.,1.)); #394055=DIRECTION('center_axis',(0.,-1.,0.)); #394056=DIRECTION('ref_axis',(1.,0.,0.)); #394057=DIRECTION('',(1.,0.,0.)); #394058=DIRECTION('',(1.,0.,0.)); #394059=DIRECTION('',(0.,0.,1.)); #394060=DIRECTION('center_axis',(0.,0.,1.)); #394061=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #394062=DIRECTION('center_axis',(0.,0.,1.)); #394063=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #394064=DIRECTION('center_axis',(0.,0.,1.)); #394065=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #394066=DIRECTION('',(0.,0.,1.)); #394067=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394068=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394069=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394070=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394071=DIRECTION('',(0.,0.,1.)); #394072=DIRECTION('center_axis',(0.,-1.,0.)); #394073=DIRECTION('ref_axis',(1.,0.,0.)); #394074=DIRECTION('',(1.,0.,0.)); #394075=DIRECTION('',(1.,0.,0.)); #394076=DIRECTION('',(0.,0.,1.)); #394077=DIRECTION('center_axis',(-1.,0.,0.)); #394078=DIRECTION('ref_axis',(0.,-1.,0.)); #394079=DIRECTION('',(0.,-1.,0.)); #394080=DIRECTION('',(0.,-1.,0.)); #394081=DIRECTION('',(0.,0.,1.)); #394082=DIRECTION('center_axis',(0.,1.,0.)); #394083=DIRECTION('ref_axis',(-1.,0.,0.)); #394084=DIRECTION('',(-1.,0.,0.)); #394085=DIRECTION('',(-1.,0.,0.)); #394086=DIRECTION('',(0.,0.,1.)); #394087=DIRECTION('center_axis',(1.,0.,0.)); #394088=DIRECTION('ref_axis',(0.,1.,0.)); #394089=DIRECTION('',(0.,1.,0.)); #394090=DIRECTION('',(0.,1.,0.)); #394091=DIRECTION('center_axis',(0.,0.,1.)); #394092=DIRECTION('ref_axis',(1.,0.,0.)); #394093=DIRECTION('center_axis',(0.,0.,1.)); #394094=DIRECTION('ref_axis',(1.,0.,0.)); #394095=DIRECTION('center_axis',(0.,0.,1.)); #394096=DIRECTION('ref_axis',(1.,0.,0.)); #394097=DIRECTION('center_axis',(0.,0.,1.)); #394098=DIRECTION('ref_axis',(1.,0.,0.)); #394099=DIRECTION('',(0.,0.,1.)); #394100=DIRECTION('center_axis',(0.,0.,-1.)); #394101=DIRECTION('ref_axis',(1.,0.,0.)); #394102=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #394103=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #394104=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394105=DIRECTION('',(0.,0.,1.)); #394106=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394107=DIRECTION('',(0.,0.,1.)); #394108=DIRECTION('center_axis',(0.,0.,1.)); #394109=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #394110=DIRECTION('center_axis',(0.,0.,1.)); #394111=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #394112=DIRECTION('center_axis',(0.,0.,1.)); #394113=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #394114=DIRECTION('',(0.,0.,1.)); #394115=DIRECTION('center_axis',(-1.,0.,0.)); #394116=DIRECTION('ref_axis',(0.,-1.,0.)); #394117=DIRECTION('',(0.,-1.,0.)); #394118=DIRECTION('',(0.,-1.,0.)); #394119=DIRECTION('',(0.,0.,1.)); #394120=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #394121=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #394122=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #394123=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #394124=DIRECTION('',(0.,0.,1.)); #394125=DIRECTION('center_axis',(0.,0.,1.)); #394126=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394127=DIRECTION('center_axis',(0.,0.,1.)); #394128=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394129=DIRECTION('center_axis',(0.,0.,1.)); #394130=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394131=DIRECTION('',(0.,0.,1.)); #394132=DIRECTION('center_axis',(-1.,0.,0.)); #394133=DIRECTION('ref_axis',(0.,-1.,0.)); #394134=DIRECTION('',(0.,-1.,0.)); #394135=DIRECTION('',(0.,-1.,0.)); #394136=DIRECTION('',(0.,0.,1.)); #394137=DIRECTION('center_axis',(0.,-1.,0.)); #394138=DIRECTION('ref_axis',(1.,0.,0.)); #394139=DIRECTION('',(1.,0.,0.)); #394140=DIRECTION('',(1.,0.,0.)); #394141=DIRECTION('',(0.,0.,1.)); #394142=DIRECTION('center_axis',(-1.,0.,0.)); #394143=DIRECTION('ref_axis',(0.,-1.,0.)); #394144=DIRECTION('',(0.,-1.,0.)); #394145=DIRECTION('',(0.,-1.,0.)); #394146=DIRECTION('',(0.,0.,1.)); #394147=DIRECTION('center_axis',(0.,1.,0.)); #394148=DIRECTION('ref_axis',(-1.,0.,0.)); #394149=DIRECTION('',(-1.,0.,0.)); #394150=DIRECTION('',(-1.,0.,0.)); #394151=DIRECTION('',(0.,0.,1.)); #394152=DIRECTION('center_axis',(1.,0.,0.)); #394153=DIRECTION('ref_axis',(0.,1.,0.)); #394154=DIRECTION('',(0.,1.,0.)); #394155=DIRECTION('',(0.,1.,0.)); #394156=DIRECTION('',(0.,0.,1.)); #394157=DIRECTION('center_axis',(0.,-1.,0.)); #394158=DIRECTION('ref_axis',(1.,0.,0.)); #394159=DIRECTION('',(1.,0.,0.)); #394160=DIRECTION('',(1.,0.,0.)); #394161=DIRECTION('',(0.,0.,1.)); #394162=DIRECTION('center_axis',(1.,0.,0.)); #394163=DIRECTION('ref_axis',(0.,1.,0.)); #394164=DIRECTION('',(0.,1.,0.)); #394165=DIRECTION('',(0.,1.,0.)); #394166=DIRECTION('',(0.,0.,1.)); #394167=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #394168=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #394169=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #394170=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #394171=DIRECTION('',(0.,0.,1.)); #394172=DIRECTION('center_axis',(0.,0.,1.)); #394173=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394174=DIRECTION('center_axis',(0.,0.,1.)); #394175=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394176=DIRECTION('center_axis',(0.,0.,1.)); #394177=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394178=DIRECTION('',(0.,0.,1.)); #394179=DIRECTION('center_axis',(1.,0.,0.)); #394180=DIRECTION('ref_axis',(0.,1.,0.)); #394181=DIRECTION('',(0.,1.,0.)); #394182=DIRECTION('',(0.,1.,0.)); #394183=DIRECTION('',(0.,0.,1.)); #394184=DIRECTION('center_axis',(0.,0.,1.)); #394185=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #394186=DIRECTION('center_axis',(0.,0.,1.)); #394187=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #394188=DIRECTION('center_axis',(0.,0.,1.)); #394189=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #394190=DIRECTION('center_axis',(0.,0.,1.)); #394191=DIRECTION('ref_axis',(1.,0.,0.)); #394192=DIRECTION('center_axis',(0.,0.,1.)); #394193=DIRECTION('ref_axis',(1.,0.,0.)); #394194=DIRECTION('center_axis',(0.,0.,1.)); #394195=DIRECTION('ref_axis',(1.,0.,0.)); #394196=DIRECTION('center_axis',(0.,0.,1.)); #394197=DIRECTION('ref_axis',(1.,0.,0.)); #394198=DIRECTION('',(0.,0.,1.)); #394199=DIRECTION('center_axis',(0.,0.,-1.)); #394200=DIRECTION('ref_axis',(1.,0.,0.)); #394201=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #394202=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #394203=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #394204=DIRECTION('',(0.,0.,1.)); #394205=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #394206=DIRECTION('',(0.,0.,1.)); #394207=DIRECTION('center_axis',(0.,0.,1.)); #394208=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #394209=DIRECTION('center_axis',(0.,0.,1.)); #394210=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #394211=DIRECTION('center_axis',(0.,0.,1.)); #394212=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #394213=DIRECTION('',(0.,0.,1.)); #394214=DIRECTION('center_axis',(0.,0.,1.)); #394215=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394216=DIRECTION('center_axis',(0.,0.,1.)); #394217=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394218=DIRECTION('center_axis',(0.,0.,1.)); #394219=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394220=DIRECTION('',(0.,0.,1.)); #394221=DIRECTION('center_axis',(0.,1.,0.)); #394222=DIRECTION('ref_axis',(-1.,0.,0.)); #394223=DIRECTION('',(-1.,0.,0.)); #394224=DIRECTION('',(-1.,0.,0.)); #394225=DIRECTION('',(0.,0.,1.)); #394226=DIRECTION('center_axis',(-0.707106781186547,0.707106781186549,0.)); #394227=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186546,0.)); #394228=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #394229=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #394230=DIRECTION('',(0.,0.,1.)); #394231=DIRECTION('center_axis',(-1.,0.,0.)); #394232=DIRECTION('ref_axis',(0.,-1.,0.)); #394233=DIRECTION('',(0.,-1.,0.)); #394234=DIRECTION('',(0.,-1.,0.)); #394235=DIRECTION('',(0.,0.,1.)); #394236=DIRECTION('center_axis',(0.,1.,0.)); #394237=DIRECTION('ref_axis',(-1.,0.,0.)); #394238=DIRECTION('',(-1.,0.,0.)); #394239=DIRECTION('',(-1.,0.,0.)); #394240=DIRECTION('',(0.,0.,1.)); #394241=DIRECTION('center_axis',(1.,0.,0.)); #394242=DIRECTION('ref_axis',(0.,1.,0.)); #394243=DIRECTION('',(0.,1.,0.)); #394244=DIRECTION('',(0.,1.,0.)); #394245=DIRECTION('',(0.,0.,1.)); #394246=DIRECTION('center_axis',(0.,-1.,0.)); #394247=DIRECTION('ref_axis',(1.,0.,0.)); #394248=DIRECTION('',(1.,0.,0.)); #394249=DIRECTION('',(1.,0.,0.)); #394250=DIRECTION('',(0.,0.,1.)); #394251=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #394252=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #394253=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394254=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394255=DIRECTION('',(0.,0.,1.)); #394256=DIRECTION('center_axis',(0.,0.,1.)); #394257=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #394258=DIRECTION('center_axis',(0.,0.,1.)); #394259=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #394260=DIRECTION('center_axis',(0.,0.,1.)); #394261=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #394262=DIRECTION('',(0.,0.,1.)); #394263=DIRECTION('center_axis',(0.,-1.,0.)); #394264=DIRECTION('ref_axis',(1.,0.,0.)); #394265=DIRECTION('',(1.,0.,0.)); #394266=DIRECTION('',(1.,0.,0.)); #394267=DIRECTION('',(0.,0.,1.)); #394268=DIRECTION('center_axis',(0.,0.,1.)); #394269=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #394270=DIRECTION('center_axis',(0.,0.,1.)); #394271=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #394272=DIRECTION('center_axis',(0.,0.,1.)); #394273=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #394274=DIRECTION('center_axis',(0.,0.,1.)); #394275=DIRECTION('ref_axis',(1.,0.,0.)); #394276=DIRECTION('center_axis',(0.,0.,1.)); #394277=DIRECTION('ref_axis',(1.,0.,0.)); #394278=DIRECTION('center_axis',(0.,0.,1.)); #394279=DIRECTION('ref_axis',(1.,0.,0.)); #394280=DIRECTION('center_axis',(0.,0.,1.)); #394281=DIRECTION('ref_axis',(1.,0.,0.)); #394282=DIRECTION('',(0.,0.,1.)); #394283=DIRECTION('center_axis',(0.,0.,-1.)); #394284=DIRECTION('ref_axis',(1.,0.,0.)); #394285=DIRECTION('center_axis',(1.,0.,0.)); #394286=DIRECTION('ref_axis',(0.,1.,0.)); #394287=DIRECTION('',(0.,1.,0.)); #394288=DIRECTION('',(0.,0.,1.)); #394289=DIRECTION('',(0.,1.,0.)); #394290=DIRECTION('',(0.,0.,1.)); #394291=DIRECTION('center_axis',(0.,-1.,0.)); #394292=DIRECTION('ref_axis',(1.,0.,0.)); #394293=DIRECTION('',(1.,0.,0.)); #394294=DIRECTION('',(1.,0.,0.)); #394295=DIRECTION('',(0.,0.,1.)); #394296=DIRECTION('center_axis',(-1.,0.,0.)); #394297=DIRECTION('ref_axis',(0.,-1.,0.)); #394298=DIRECTION('',(0.,-1.,0.)); #394299=DIRECTION('',(0.,-1.,0.)); #394300=DIRECTION('',(0.,0.,1.)); #394301=DIRECTION('center_axis',(0.,1.,0.)); #394302=DIRECTION('ref_axis',(-1.,0.,0.)); #394303=DIRECTION('',(-1.,0.,0.)); #394304=DIRECTION('',(-1.,0.,0.)); #394305=DIRECTION('',(0.,0.,1.)); #394306=DIRECTION('center_axis',(-1.,0.,0.)); #394307=DIRECTION('ref_axis',(0.,-1.,0.)); #394308=DIRECTION('',(0.,-1.,0.)); #394309=DIRECTION('',(0.,-1.,0.)); #394310=DIRECTION('',(0.,0.,1.)); #394311=DIRECTION('center_axis',(0.,0.,1.)); #394312=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394313=DIRECTION('center_axis',(0.,0.,1.)); #394314=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394315=DIRECTION('center_axis',(0.,0.,1.)); #394316=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394317=DIRECTION('',(0.,0.,1.)); #394318=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394319=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394320=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394321=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394322=DIRECTION('',(0.,0.,1.)); #394323=DIRECTION('center_axis',(-1.,0.,0.)); #394324=DIRECTION('ref_axis',(0.,-1.,0.)); #394325=DIRECTION('',(0.,-1.,0.)); #394326=DIRECTION('',(0.,-1.,0.)); #394327=DIRECTION('',(0.,0.,1.)); #394328=DIRECTION('center_axis',(0.,0.,1.)); #394329=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394330=DIRECTION('center_axis',(0.,0.,1.)); #394331=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394332=DIRECTION('center_axis',(0.,0.,1.)); #394333=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #394334=DIRECTION('',(0.,0.,1.)); #394335=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #394336=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #394337=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #394338=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #394339=DIRECTION('',(0.,0.,1.)); #394340=DIRECTION('center_axis',(0.,0.,1.)); #394341=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #394342=DIRECTION('center_axis',(0.,0.,1.)); #394343=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #394344=DIRECTION('center_axis',(0.,0.,1.)); #394345=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #394346=DIRECTION('',(0.,0.,1.)); #394347=DIRECTION('center_axis',(0.,0.,1.)); #394348=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #394349=DIRECTION('center_axis',(0.,0.,1.)); #394350=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #394351=DIRECTION('center_axis',(0.,0.,1.)); #394352=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #394353=DIRECTION('',(0.,0.,1.)); #394354=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #394355=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #394356=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #394357=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #394358=DIRECTION('',(0.,0.,1.)); #394359=DIRECTION('center_axis',(1.,0.,0.)); #394360=DIRECTION('ref_axis',(0.,1.,0.)); #394361=DIRECTION('',(0.,1.,0.)); #394362=DIRECTION('',(0.,1.,0.)); #394363=DIRECTION('',(0.,0.,1.)); #394364=DIRECTION('center_axis',(0.,0.,1.)); #394365=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #394366=DIRECTION('center_axis',(0.,0.,1.)); #394367=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #394368=DIRECTION('center_axis',(0.,0.,1.)); #394369=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #394370=DIRECTION('',(0.,0.,1.)); #394371=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #394372=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #394373=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394374=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394375=DIRECTION('',(0.,0.,1.)); #394376=DIRECTION('center_axis',(1.,0.,0.)); #394377=DIRECTION('ref_axis',(0.,1.,0.)); #394378=DIRECTION('',(0.,1.,0.)); #394379=DIRECTION('',(0.,1.,0.)); #394380=DIRECTION('',(0.,0.,1.)); #394381=DIRECTION('center_axis',(0.,1.,0.)); #394382=DIRECTION('ref_axis',(-1.,0.,0.)); #394383=DIRECTION('',(-1.,0.,0.)); #394384=DIRECTION('',(-1.,0.,0.)); #394385=DIRECTION('center_axis',(0.,0.,1.)); #394386=DIRECTION('ref_axis',(1.,0.,0.)); #394387=DIRECTION('center_axis',(0.,0.,1.)); #394388=DIRECTION('ref_axis',(1.,0.,0.)); #394389=DIRECTION('center_axis',(0.,0.,1.)); #394390=DIRECTION('ref_axis',(1.,0.,0.)); #394391=DIRECTION('center_axis',(0.,0.,1.)); #394392=DIRECTION('ref_axis',(1.,0.,0.)); #394393=DIRECTION('',(0.,0.,1.)); #394394=DIRECTION('center_axis',(0.,0.,-1.)); #394395=DIRECTION('ref_axis',(1.,0.,0.)); #394396=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #394397=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #394398=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #394399=DIRECTION('',(0.,0.,1.)); #394400=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #394401=DIRECTION('',(0.,0.,1.)); #394402=DIRECTION('center_axis',(0.,0.,1.)); #394403=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #394404=DIRECTION('center_axis',(0.,0.,1.)); #394405=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #394406=DIRECTION('center_axis',(0.,0.,1.)); #394407=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #394408=DIRECTION('',(0.,0.,1.)); #394409=DIRECTION('center_axis',(0.,-1.,0.)); #394410=DIRECTION('ref_axis',(1.,0.,0.)); #394411=DIRECTION('',(1.,0.,0.)); #394412=DIRECTION('',(1.,0.,0.)); #394413=DIRECTION('',(0.,0.,1.)); #394414=DIRECTION('center_axis',(0.,0.,1.)); #394415=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #394416=DIRECTION('center_axis',(0.,0.,1.)); #394417=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #394418=DIRECTION('center_axis',(0.,0.,1.)); #394419=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #394420=DIRECTION('',(0.,0.,1.)); #394421=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #394422=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #394423=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #394424=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #394425=DIRECTION('',(0.,0.,1.)); #394426=DIRECTION('center_axis',(0.,-1.,0.)); #394427=DIRECTION('ref_axis',(1.,0.,0.)); #394428=DIRECTION('',(1.,0.,0.)); #394429=DIRECTION('',(1.,0.,0.)); #394430=DIRECTION('',(0.,0.,1.)); #394431=DIRECTION('center_axis',(-1.,0.,0.)); #394432=DIRECTION('ref_axis',(0.,-1.,0.)); #394433=DIRECTION('',(0.,-1.,0.)); #394434=DIRECTION('',(0.,-1.,0.)); #394435=DIRECTION('',(0.,0.,1.)); #394436=DIRECTION('center_axis',(0.,1.,0.)); #394437=DIRECTION('ref_axis',(-1.,0.,0.)); #394438=DIRECTION('',(-1.,0.,0.)); #394439=DIRECTION('',(-1.,0.,0.)); #394440=DIRECTION('',(0.,0.,1.)); #394441=DIRECTION('center_axis',(1.,0.,0.)); #394442=DIRECTION('ref_axis',(0.,1.,0.)); #394443=DIRECTION('',(0.,1.,0.)); #394444=DIRECTION('',(0.,1.,0.)); #394445=DIRECTION('',(0.,0.,1.)); #394446=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #394447=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #394448=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394449=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394450=DIRECTION('',(0.,0.,1.)); #394451=DIRECTION('center_axis',(0.,1.,0.)); #394452=DIRECTION('ref_axis',(-1.,0.,0.)); #394453=DIRECTION('',(-1.,0.,0.)); #394454=DIRECTION('',(-1.,0.,0.)); #394455=DIRECTION('',(0.,0.,1.)); #394456=DIRECTION('center_axis',(0.,0.,1.)); #394457=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #394458=DIRECTION('center_axis',(0.,0.,1.)); #394459=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #394460=DIRECTION('center_axis',(0.,0.,1.)); #394461=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #394462=DIRECTION('',(0.,0.,1.)); #394463=DIRECTION('center_axis',(0.,0.,1.)); #394464=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #394465=DIRECTION('center_axis',(0.,0.,1.)); #394466=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #394467=DIRECTION('center_axis',(0.,0.,1.)); #394468=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #394469=DIRECTION('center_axis',(0.,0.,1.)); #394470=DIRECTION('ref_axis',(1.,0.,0.)); #394471=DIRECTION('center_axis',(0.,0.,1.)); #394472=DIRECTION('ref_axis',(1.,0.,0.)); #394473=DIRECTION('center_axis',(0.,0.,1.)); #394474=DIRECTION('ref_axis',(1.,0.,0.)); #394475=DIRECTION('center_axis',(0.,0.,1.)); #394476=DIRECTION('ref_axis',(1.,0.,0.)); #394477=DIRECTION('',(0.,0.,1.)); #394478=DIRECTION('center_axis',(0.,0.,-1.)); #394479=DIRECTION('ref_axis',(1.,0.,0.)); #394480=DIRECTION('center_axis',(1.,0.,0.)); #394481=DIRECTION('ref_axis',(0.,1.,0.)); #394482=DIRECTION('',(0.,1.,0.)); #394483=DIRECTION('',(0.,0.,1.)); #394484=DIRECTION('',(0.,1.,0.)); #394485=DIRECTION('',(0.,0.,1.)); #394486=DIRECTION('center_axis',(0.,-1.,0.)); #394487=DIRECTION('ref_axis',(1.,0.,0.)); #394488=DIRECTION('',(1.,0.,0.)); #394489=DIRECTION('',(1.,0.,0.)); #394490=DIRECTION('',(0.,0.,1.)); #394491=DIRECTION('center_axis',(-1.,0.,0.)); #394492=DIRECTION('ref_axis',(0.,-1.,0.)); #394493=DIRECTION('',(0.,-1.,0.)); #394494=DIRECTION('',(0.,-1.,0.)); #394495=DIRECTION('',(0.,0.,1.)); #394496=DIRECTION('center_axis',(0.,1.,0.)); #394497=DIRECTION('ref_axis',(-1.,0.,0.)); #394498=DIRECTION('',(-1.,0.,0.)); #394499=DIRECTION('',(-1.,0.,0.)); #394500=DIRECTION('',(0.,0.,1.)); #394501=DIRECTION('center_axis',(-1.,0.,0.)); #394502=DIRECTION('ref_axis',(0.,-1.,0.)); #394503=DIRECTION('',(0.,-1.,0.)); #394504=DIRECTION('',(0.,-1.,0.)); #394505=DIRECTION('',(0.,0.,1.)); #394506=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394507=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394508=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394509=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394510=DIRECTION('',(0.,0.,1.)); #394511=DIRECTION('center_axis',(0.,0.,1.)); #394512=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394513=DIRECTION('center_axis',(0.,0.,1.)); #394514=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394515=DIRECTION('center_axis',(0.,0.,1.)); #394516=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #394517=DIRECTION('',(0.,0.,1.)); #394518=DIRECTION('center_axis',(-1.,0.,0.)); #394519=DIRECTION('ref_axis',(0.,-1.,0.)); #394520=DIRECTION('',(0.,-1.,0.)); #394521=DIRECTION('',(0.,-1.,0.)); #394522=DIRECTION('',(0.,0.,1.)); #394523=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #394524=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #394525=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #394526=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #394527=DIRECTION('',(0.,0.,1.)); #394528=DIRECTION('center_axis',(0.,0.,1.)); #394529=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #394530=DIRECTION('center_axis',(0.,0.,1.)); #394531=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #394532=DIRECTION('center_axis',(0.,0.,1.)); #394533=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #394534=DIRECTION('',(0.,0.,1.)); #394535=DIRECTION('center_axis',(0.,0.,1.)); #394536=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #394537=DIRECTION('center_axis',(0.,0.,1.)); #394538=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #394539=DIRECTION('center_axis',(0.,0.,1.)); #394540=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #394541=DIRECTION('',(0.,0.,1.)); #394542=DIRECTION('center_axis',(0.707106962262367,0.707106600110681,0.)); #394543=DIRECTION('ref_axis',(-0.707106600110681,0.707106962262367,0.)); #394544=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #394545=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #394546=DIRECTION('',(0.,0.,1.)); #394547=DIRECTION('center_axis',(0.,0.,1.)); #394548=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394549=DIRECTION('center_axis',(0.,0.,1.)); #394550=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394551=DIRECTION('center_axis',(0.,0.,1.)); #394552=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #394553=DIRECTION('',(0.,0.,1.)); #394554=DIRECTION('center_axis',(1.,0.,0.)); #394555=DIRECTION('ref_axis',(0.,1.,0.)); #394556=DIRECTION('',(0.,1.,0.)); #394557=DIRECTION('',(0.,1.,0.)); #394558=DIRECTION('',(0.,0.,1.)); #394559=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #394560=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #394561=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #394562=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #394563=DIRECTION('',(0.,0.,1.)); #394564=DIRECTION('center_axis',(0.,0.,1.)); #394565=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #394566=DIRECTION('center_axis',(0.,0.,1.)); #394567=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #394568=DIRECTION('center_axis',(0.,0.,1.)); #394569=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #394570=DIRECTION('',(0.,0.,1.)); #394571=DIRECTION('center_axis',(1.,0.,0.)); #394572=DIRECTION('ref_axis',(0.,1.,0.)); #394573=DIRECTION('',(0.,1.,0.)); #394574=DIRECTION('',(0.,1.,0.)); #394575=DIRECTION('',(0.,0.,1.)); #394576=DIRECTION('center_axis',(0.,1.,0.)); #394577=DIRECTION('ref_axis',(-1.,0.,0.)); #394578=DIRECTION('',(-1.,0.,0.)); #394579=DIRECTION('',(-1.,0.,0.)); #394580=DIRECTION('center_axis',(0.,0.,1.)); #394581=DIRECTION('ref_axis',(1.,0.,0.)); #394582=DIRECTION('center_axis',(0.,0.,1.)); #394583=DIRECTION('ref_axis',(1.,0.,0.)); #394584=DIRECTION('center_axis',(0.,0.,1.)); #394585=DIRECTION('ref_axis',(1.,0.,0.)); #394586=DIRECTION('center_axis',(0.,0.,1.)); #394587=DIRECTION('ref_axis',(1.,0.,0.)); #394588=DIRECTION('',(0.,0.,1.)); #394589=DIRECTION('center_axis',(0.,0.,-1.)); #394590=DIRECTION('ref_axis',(1.,0.,0.)); #394591=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #394592=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #394593=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #394594=DIRECTION('',(0.,0.,1.)); #394595=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #394596=DIRECTION('',(0.,0.,1.)); #394597=DIRECTION('center_axis',(0.,0.,1.)); #394598=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #394599=DIRECTION('center_axis',(0.,0.,1.)); #394600=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #394601=DIRECTION('center_axis',(0.,0.,1.)); #394602=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #394603=DIRECTION('',(0.,0.,1.)); #394604=DIRECTION('center_axis',(0.,-1.,0.)); #394605=DIRECTION('ref_axis',(1.,0.,0.)); #394606=DIRECTION('',(1.,0.,0.)); #394607=DIRECTION('',(1.,0.,0.)); #394608=DIRECTION('',(0.,0.,1.)); #394609=DIRECTION('center_axis',(1.,0.,0.)); #394610=DIRECTION('ref_axis',(0.,1.,0.)); #394611=DIRECTION('',(0.,1.,0.)); #394612=DIRECTION('',(0.,1.,0.)); #394613=DIRECTION('',(0.,0.,1.)); #394614=DIRECTION('center_axis',(0.,-1.,0.)); #394615=DIRECTION('ref_axis',(1.,0.,0.)); #394616=DIRECTION('',(1.,0.,0.)); #394617=DIRECTION('',(1.,0.,0.)); #394618=DIRECTION('',(0.,0.,1.)); #394619=DIRECTION('center_axis',(-1.,0.,0.)); #394620=DIRECTION('ref_axis',(0.,-1.,0.)); #394621=DIRECTION('',(0.,-1.,0.)); #394622=DIRECTION('',(0.,-1.,0.)); #394623=DIRECTION('',(0.,0.,1.)); #394624=DIRECTION('center_axis',(0.,1.,0.)); #394625=DIRECTION('ref_axis',(-1.,0.,0.)); #394626=DIRECTION('',(-1.,0.,0.)); #394627=DIRECTION('',(-1.,0.,0.)); #394628=DIRECTION('',(0.,0.,1.)); #394629=DIRECTION('center_axis',(1.,0.,0.)); #394630=DIRECTION('ref_axis',(0.,1.,0.)); #394631=DIRECTION('',(0.,1.,0.)); #394632=DIRECTION('',(0.,1.,0.)); #394633=DIRECTION('',(0.,0.,1.)); #394634=DIRECTION('center_axis',(0.,1.,0.)); #394635=DIRECTION('ref_axis',(-1.,0.,0.)); #394636=DIRECTION('',(-1.,0.,0.)); #394637=DIRECTION('',(-1.,0.,0.)); #394638=DIRECTION('',(0.,0.,1.)); #394639=DIRECTION('center_axis',(0.,0.,1.)); #394640=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #394641=DIRECTION('center_axis',(0.,0.,1.)); #394642=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #394643=DIRECTION('center_axis',(0.,0.,1.)); #394644=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #394645=DIRECTION('',(0.,0.,1.)); #394646=DIRECTION('center_axis',(0.,0.,1.)); #394647=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #394648=DIRECTION('center_axis',(0.,0.,1.)); #394649=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #394650=DIRECTION('center_axis',(0.,0.,1.)); #394651=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #394652=DIRECTION('center_axis',(0.,0.,1.)); #394653=DIRECTION('ref_axis',(1.,0.,0.)); #394654=DIRECTION('center_axis',(0.,0.,1.)); #394655=DIRECTION('ref_axis',(1.,0.,0.)); #394656=DIRECTION('center_axis',(0.,0.,1.)); #394657=DIRECTION('ref_axis',(1.,0.,0.)); #394658=DIRECTION('center_axis',(0.,0.,1.)); #394659=DIRECTION('ref_axis',(1.,0.,0.)); #394660=DIRECTION('',(0.,0.,1.)); #394661=DIRECTION('center_axis',(0.,0.,-1.)); #394662=DIRECTION('ref_axis',(1.,0.,0.)); #394663=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #394664=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #394665=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394666=DIRECTION('',(0.,0.,1.)); #394667=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394668=DIRECTION('',(0.,0.,1.)); #394669=DIRECTION('center_axis',(0.,0.,1.)); #394670=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #394671=DIRECTION('center_axis',(0.,0.,1.)); #394672=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #394673=DIRECTION('center_axis',(0.,0.,1.)); #394674=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #394675=DIRECTION('',(0.,0.,1.)); #394676=DIRECTION('center_axis',(0.,0.,1.)); #394677=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #394678=DIRECTION('center_axis',(0.,0.,1.)); #394679=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #394680=DIRECTION('center_axis',(0.,0.,1.)); #394681=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #394682=DIRECTION('',(0.,0.,1.)); #394683=DIRECTION('center_axis',(0.,1.,0.)); #394684=DIRECTION('ref_axis',(-1.,0.,0.)); #394685=DIRECTION('',(-1.,0.,0.)); #394686=DIRECTION('',(-1.,0.,0.)); #394687=DIRECTION('',(0.,0.,1.)); #394688=DIRECTION('center_axis',(-1.,0.,0.)); #394689=DIRECTION('ref_axis',(0.,-1.,0.)); #394690=DIRECTION('',(0.,-1.,0.)); #394691=DIRECTION('',(0.,-1.,0.)); #394692=DIRECTION('',(0.,0.,1.)); #394693=DIRECTION('center_axis',(0.,1.,0.)); #394694=DIRECTION('ref_axis',(-1.,0.,0.)); #394695=DIRECTION('',(-1.,0.,0.)); #394696=DIRECTION('',(-1.,0.,0.)); #394697=DIRECTION('',(0.,0.,1.)); #394698=DIRECTION('center_axis',(1.,0.,0.)); #394699=DIRECTION('ref_axis',(0.,1.,0.)); #394700=DIRECTION('',(0.,1.,0.)); #394701=DIRECTION('',(0.,1.,0.)); #394702=DIRECTION('',(0.,0.,1.)); #394703=DIRECTION('center_axis',(0.,-1.,0.)); #394704=DIRECTION('ref_axis',(1.,0.,0.)); #394705=DIRECTION('',(1.,0.,0.)); #394706=DIRECTION('',(1.,0.,0.)); #394707=DIRECTION('',(0.,0.,1.)); #394708=DIRECTION('center_axis',(-1.,0.,0.)); #394709=DIRECTION('ref_axis',(0.,-1.,0.)); #394710=DIRECTION('',(0.,-1.,0.)); #394711=DIRECTION('',(0.,-1.,0.)); #394712=DIRECTION('',(0.,0.,1.)); #394713=DIRECTION('center_axis',(0.,-1.,0.)); #394714=DIRECTION('ref_axis',(1.,0.,0.)); #394715=DIRECTION('',(1.,0.,0.)); #394716=DIRECTION('',(1.,0.,0.)); #394717=DIRECTION('',(0.,0.,1.)); #394718=DIRECTION('center_axis',(0.,0.,1.)); #394719=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #394720=DIRECTION('center_axis',(0.,0.,1.)); #394721=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #394722=DIRECTION('center_axis',(0.,0.,1.)); #394723=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #394724=DIRECTION('center_axis',(0.,0.,1.)); #394725=DIRECTION('ref_axis',(1.,0.,0.)); #394726=DIRECTION('center_axis',(0.,0.,1.)); #394727=DIRECTION('ref_axis',(1.,0.,0.)); #394728=DIRECTION('center_axis',(0.,0.,1.)); #394729=DIRECTION('ref_axis',(1.,0.,0.)); #394730=DIRECTION('center_axis',(0.,0.,1.)); #394731=DIRECTION('ref_axis',(1.,0.,0.)); #394732=DIRECTION('',(0.,0.,1.)); #394733=DIRECTION('center_axis',(0.,0.,-1.)); #394734=DIRECTION('ref_axis',(1.,0.,0.)); #394735=DIRECTION('center_axis',(1.,0.,0.)); #394736=DIRECTION('ref_axis',(0.,1.,0.)); #394737=DIRECTION('',(0.,1.,0.)); #394738=DIRECTION('',(0.,0.,1.)); #394739=DIRECTION('',(0.,1.,0.)); #394740=DIRECTION('',(0.,0.,1.)); #394741=DIRECTION('center_axis',(0.,-1.,0.)); #394742=DIRECTION('ref_axis',(1.,0.,0.)); #394743=DIRECTION('',(1.,0.,0.)); #394744=DIRECTION('',(1.,0.,0.)); #394745=DIRECTION('',(0.,0.,1.)); #394746=DIRECTION('center_axis',(-1.,0.,0.)); #394747=DIRECTION('ref_axis',(0.,-1.,0.)); #394748=DIRECTION('',(0.,-1.,0.)); #394749=DIRECTION('',(0.,-1.,0.)); #394750=DIRECTION('',(0.,0.,1.)); #394751=DIRECTION('center_axis',(0.,1.,0.)); #394752=DIRECTION('ref_axis',(-1.,0.,0.)); #394753=DIRECTION('',(-1.,0.,0.)); #394754=DIRECTION('',(-1.,0.,0.)); #394755=DIRECTION('',(0.,0.,1.)); #394756=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394757=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394758=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394759=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394760=DIRECTION('',(0.,0.,1.)); #394761=DIRECTION('center_axis',(0.,0.,1.)); #394762=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #394763=DIRECTION('center_axis',(0.,0.,1.)); #394764=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #394765=DIRECTION('center_axis',(0.,0.,1.)); #394766=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #394767=DIRECTION('',(0.,0.,1.)); #394768=DIRECTION('center_axis',(0.,1.,0.)); #394769=DIRECTION('ref_axis',(-1.,0.,0.)); #394770=DIRECTION('',(-1.,0.,0.)); #394771=DIRECTION('',(-1.,0.,0.)); #394772=DIRECTION('',(0.,0.,1.)); #394773=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #394774=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #394775=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #394776=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #394777=DIRECTION('',(0.,0.,1.)); #394778=DIRECTION('center_axis',(-1.,0.,0.)); #394779=DIRECTION('ref_axis',(0.,-1.,0.)); #394780=DIRECTION('',(0.,-1.,0.)); #394781=DIRECTION('',(0.,-1.,0.)); #394782=DIRECTION('',(0.,0.,1.)); #394783=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #394784=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #394785=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #394786=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #394787=DIRECTION('',(0.,0.,1.)); #394788=DIRECTION('center_axis',(0.,-1.,0.)); #394789=DIRECTION('ref_axis',(1.,0.,0.)); #394790=DIRECTION('',(1.,0.,0.)); #394791=DIRECTION('',(1.,0.,0.)); #394792=DIRECTION('',(0.,0.,1.)); #394793=DIRECTION('center_axis',(0.,0.,1.)); #394794=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #394795=DIRECTION('center_axis',(0.,0.,1.)); #394796=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #394797=DIRECTION('center_axis',(0.,0.,1.)); #394798=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #394799=DIRECTION('',(0.,0.,1.)); #394800=DIRECTION('center_axis',(0.,0.,1.)); #394801=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #394802=DIRECTION('center_axis',(0.,0.,1.)); #394803=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #394804=DIRECTION('center_axis',(0.,0.,1.)); #394805=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #394806=DIRECTION('',(0.,0.,1.)); #394807=DIRECTION('center_axis',(0.,1.,0.)); #394808=DIRECTION('ref_axis',(-1.,0.,0.)); #394809=DIRECTION('',(-1.,0.,0.)); #394810=DIRECTION('',(-1.,0.,0.)); #394811=DIRECTION('',(0.,0.,1.)); #394812=DIRECTION('center_axis',(0.,0.,1.)); #394813=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394814=DIRECTION('center_axis',(0.,0.,1.)); #394815=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394816=DIRECTION('center_axis',(0.,0.,1.)); #394817=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394818=DIRECTION('',(0.,0.,1.)); #394819=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394820=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394821=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394822=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394823=DIRECTION('',(0.,0.,1.)); #394824=DIRECTION('center_axis',(0.,0.,1.)); #394825=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394826=DIRECTION('center_axis',(0.,0.,1.)); #394827=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394828=DIRECTION('center_axis',(0.,0.,1.)); #394829=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394830=DIRECTION('',(0.,0.,1.)); #394831=DIRECTION('center_axis',(1.,0.,0.)); #394832=DIRECTION('ref_axis',(0.,1.,0.)); #394833=DIRECTION('',(0.,1.,0.)); #394834=DIRECTION('',(0.,1.,0.)); #394835=DIRECTION('',(0.,0.,1.)); #394836=DIRECTION('center_axis',(0.,0.,1.)); #394837=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #394838=DIRECTION('center_axis',(0.,0.,1.)); #394839=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #394840=DIRECTION('center_axis',(0.,0.,1.)); #394841=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #394842=DIRECTION('',(0.,0.,1.)); #394843=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #394844=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #394845=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394846=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394847=DIRECTION('',(0.,0.,1.)); #394848=DIRECTION('center_axis',(0.,0.,1.)); #394849=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #394850=DIRECTION('center_axis',(0.,0.,1.)); #394851=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #394852=DIRECTION('center_axis',(0.,0.,1.)); #394853=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #394854=DIRECTION('',(0.,0.,1.)); #394855=DIRECTION('center_axis',(0.,-1.,0.)); #394856=DIRECTION('ref_axis',(1.,0.,0.)); #394857=DIRECTION('',(1.,0.,0.)); #394858=DIRECTION('',(1.,0.,0.)); #394859=DIRECTION('',(0.,0.,1.)); #394860=DIRECTION('center_axis',(0.707106781186552,-0.707106781186543,0.)); #394861=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #394862=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #394863=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #394864=DIRECTION('center_axis',(0.,0.,1.)); #394865=DIRECTION('ref_axis',(1.,0.,0.)); #394866=DIRECTION('center_axis',(0.,0.,1.)); #394867=DIRECTION('ref_axis',(1.,0.,0.)); #394868=DIRECTION('center_axis',(0.,0.,1.)); #394869=DIRECTION('ref_axis',(1.,0.,0.)); #394870=DIRECTION('center_axis',(0.,0.,1.)); #394871=DIRECTION('ref_axis',(1.,0.,0.)); #394872=DIRECTION('',(0.,0.,1.)); #394873=DIRECTION('center_axis',(0.,0.,-1.)); #394874=DIRECTION('ref_axis',(1.,0.,0.)); #394875=DIRECTION('center_axis',(1.,0.,0.)); #394876=DIRECTION('ref_axis',(0.,1.,0.)); #394877=DIRECTION('',(0.,1.,0.)); #394878=DIRECTION('',(0.,0.,1.)); #394879=DIRECTION('',(0.,1.,0.)); #394880=DIRECTION('',(0.,0.,1.)); #394881=DIRECTION('center_axis',(0.,-1.,0.)); #394882=DIRECTION('ref_axis',(1.,0.,0.)); #394883=DIRECTION('',(1.,0.,0.)); #394884=DIRECTION('',(1.,0.,0.)); #394885=DIRECTION('',(0.,0.,1.)); #394886=DIRECTION('center_axis',(-1.,0.,0.)); #394887=DIRECTION('ref_axis',(0.,-1.,0.)); #394888=DIRECTION('',(0.,-1.,0.)); #394889=DIRECTION('',(0.,-1.,0.)); #394890=DIRECTION('',(0.,0.,1.)); #394891=DIRECTION('center_axis',(0.,1.,0.)); #394892=DIRECTION('ref_axis',(-1.,0.,0.)); #394893=DIRECTION('',(-1.,0.,0.)); #394894=DIRECTION('',(-1.,0.,0.)); #394895=DIRECTION('',(0.,0.,1.)); #394896=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #394897=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #394898=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #394899=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #394900=DIRECTION('',(0.,0.,1.)); #394901=DIRECTION('center_axis',(-1.,0.,0.)); #394902=DIRECTION('ref_axis',(0.,-1.,0.)); #394903=DIRECTION('',(0.,-1.,0.)); #394904=DIRECTION('',(0.,-1.,0.)); #394905=DIRECTION('',(0.,0.,1.)); #394906=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #394907=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #394908=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #394909=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #394910=DIRECTION('',(0.,0.,1.)); #394911=DIRECTION('center_axis',(0.,-1.,0.)); #394912=DIRECTION('ref_axis',(1.,0.,0.)); #394913=DIRECTION('',(1.,0.,0.)); #394914=DIRECTION('',(1.,0.,0.)); #394915=DIRECTION('',(0.,0.,1.)); #394916=DIRECTION('center_axis',(0.,0.,1.)); #394917=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #394918=DIRECTION('center_axis',(0.,0.,1.)); #394919=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #394920=DIRECTION('center_axis',(0.,0.,1.)); #394921=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #394922=DIRECTION('',(0.,0.,1.)); #394923=DIRECTION('center_axis',(0.,0.,1.)); #394924=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #394925=DIRECTION('center_axis',(0.,0.,1.)); #394926=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #394927=DIRECTION('center_axis',(0.,0.,1.)); #394928=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #394929=DIRECTION('',(0.,0.,1.)); #394930=DIRECTION('center_axis',(0.,1.,0.)); #394931=DIRECTION('ref_axis',(-1.,0.,0.)); #394932=DIRECTION('',(-1.,0.,0.)); #394933=DIRECTION('',(-1.,0.,0.)); #394934=DIRECTION('',(0.,0.,1.)); #394935=DIRECTION('center_axis',(0.,0.,1.)); #394936=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394937=DIRECTION('center_axis',(0.,0.,1.)); #394938=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394939=DIRECTION('center_axis',(0.,0.,1.)); #394940=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #394941=DIRECTION('',(0.,0.,1.)); #394942=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #394943=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #394944=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394945=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394946=DIRECTION('',(0.,0.,1.)); #394947=DIRECTION('center_axis',(0.,0.,1.)); #394948=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394949=DIRECTION('center_axis',(0.,0.,1.)); #394950=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394951=DIRECTION('center_axis',(0.,0.,1.)); #394952=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #394953=DIRECTION('',(0.,0.,1.)); #394954=DIRECTION('center_axis',(1.,0.,0.)); #394955=DIRECTION('ref_axis',(0.,1.,0.)); #394956=DIRECTION('',(0.,1.,0.)); #394957=DIRECTION('',(0.,1.,0.)); #394958=DIRECTION('',(0.,0.,1.)); #394959=DIRECTION('center_axis',(0.,0.,1.)); #394960=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #394961=DIRECTION('center_axis',(0.,0.,1.)); #394962=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #394963=DIRECTION('center_axis',(0.,0.,1.)); #394964=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #394965=DIRECTION('',(0.,0.,1.)); #394966=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #394967=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #394968=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #394969=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #394970=DIRECTION('center_axis',(0.,0.,1.)); #394971=DIRECTION('ref_axis',(1.,0.,0.)); #394972=DIRECTION('center_axis',(0.,0.,1.)); #394973=DIRECTION('ref_axis',(1.,0.,0.)); #394974=DIRECTION('center_axis',(0.,0.,1.)); #394975=DIRECTION('ref_axis',(1.,0.,0.)); #394976=DIRECTION('center_axis',(0.,0.,1.)); #394977=DIRECTION('ref_axis',(1.,0.,0.)); #394978=DIRECTION('',(0.,0.,1.)); #394979=DIRECTION('center_axis',(0.,0.,-1.)); #394980=DIRECTION('ref_axis',(1.,0.,0.)); #394981=DIRECTION('center_axis',(1.,0.,0.)); #394982=DIRECTION('ref_axis',(0.,1.,0.)); #394983=DIRECTION('',(0.,1.,0.)); #394984=DIRECTION('',(0.,0.,1.)); #394985=DIRECTION('',(0.,1.,0.)); #394986=DIRECTION('',(0.,0.,1.)); #394987=DIRECTION('center_axis',(0.,-1.,0.)); #394988=DIRECTION('ref_axis',(1.,0.,0.)); #394989=DIRECTION('',(1.,0.,0.)); #394990=DIRECTION('',(1.,0.,0.)); #394991=DIRECTION('',(0.,0.,1.)); #394992=DIRECTION('center_axis',(-1.,0.,0.)); #394993=DIRECTION('ref_axis',(0.,-1.,0.)); #394994=DIRECTION('',(0.,-1.,0.)); #394995=DIRECTION('',(0.,-1.,0.)); #394996=DIRECTION('',(0.,0.,1.)); #394997=DIRECTION('center_axis',(-0.707106781186557,-0.707106781186538, 0.)); #394998=DIRECTION('ref_axis',(0.707106781186538,-0.707106781186557,0.)); #394999=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #395000=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #395001=DIRECTION('',(0.,0.,1.)); #395002=DIRECTION('center_axis',(0.,-1.,0.)); #395003=DIRECTION('ref_axis',(1.,0.,0.)); #395004=DIRECTION('',(1.,0.,0.)); #395005=DIRECTION('',(1.,0.,0.)); #395006=DIRECTION('',(0.,0.,1.)); #395007=DIRECTION('center_axis',(0.,0.,1.)); #395008=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395009=DIRECTION('center_axis',(0.,0.,1.)); #395010=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395011=DIRECTION('center_axis',(0.,0.,1.)); #395012=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395013=DIRECTION('',(0.,0.,1.)); #395014=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395015=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395016=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395017=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395018=DIRECTION('',(0.,0.,1.)); #395019=DIRECTION('center_axis',(0.,0.,1.)); #395020=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #395021=DIRECTION('center_axis',(0.,0.,1.)); #395022=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #395023=DIRECTION('center_axis',(0.,0.,1.)); #395024=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #395025=DIRECTION('',(0.,0.,1.)); #395026=DIRECTION('center_axis',(-1.,0.,0.)); #395027=DIRECTION('ref_axis',(0.,-1.,0.)); #395028=DIRECTION('',(0.,-1.,0.)); #395029=DIRECTION('',(0.,-1.,0.)); #395030=DIRECTION('',(0.,0.,1.)); #395031=DIRECTION('center_axis',(0.,0.,1.)); #395032=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #395033=DIRECTION('center_axis',(0.,0.,1.)); #395034=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #395035=DIRECTION('center_axis',(0.,0.,1.)); #395036=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #395037=DIRECTION('',(0.,0.,1.)); #395038=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #395039=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #395040=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395041=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395042=DIRECTION('',(0.,0.,1.)); #395043=DIRECTION('center_axis',(0.,0.,1.)); #395044=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #395045=DIRECTION('center_axis',(0.,0.,1.)); #395046=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #395047=DIRECTION('center_axis',(0.,0.,1.)); #395048=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #395049=DIRECTION('',(0.,0.,1.)); #395050=DIRECTION('center_axis',(0.,1.,0.)); #395051=DIRECTION('ref_axis',(-1.,0.,0.)); #395052=DIRECTION('',(-1.,0.,0.)); #395053=DIRECTION('',(-1.,0.,0.)); #395054=DIRECTION('',(0.,0.,1.)); #395055=DIRECTION('center_axis',(0.,0.,1.)); #395056=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #395057=DIRECTION('center_axis',(0.,0.,1.)); #395058=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #395059=DIRECTION('center_axis',(0.,0.,1.)); #395060=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #395061=DIRECTION('',(0.,0.,1.)); #395062=DIRECTION('center_axis',(0.,0.,1.)); #395063=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395064=DIRECTION('center_axis',(0.,0.,1.)); #395065=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395066=DIRECTION('center_axis',(0.,0.,1.)); #395067=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395068=DIRECTION('',(0.,0.,1.)); #395069=DIRECTION('center_axis',(0.,-1.,0.)); #395070=DIRECTION('ref_axis',(1.,0.,0.)); #395071=DIRECTION('',(1.,0.,0.)); #395072=DIRECTION('',(1.,0.,0.)); #395073=DIRECTION('',(0.,0.,1.)); #395074=DIRECTION('center_axis',(0.70710678118654,-0.707106781186555,0.)); #395075=DIRECTION('ref_axis',(0.707106781186555,0.70710678118654,0.)); #395076=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #395077=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #395078=DIRECTION('',(0.,0.,1.)); #395079=DIRECTION('center_axis',(1.,0.,0.)); #395080=DIRECTION('ref_axis',(0.,1.,0.)); #395081=DIRECTION('',(0.,1.,0.)); #395082=DIRECTION('',(0.,1.,0.)); #395083=DIRECTION('',(0.,0.,1.)); #395084=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395085=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395086=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395087=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395088=DIRECTION('',(0.,0.,1.)); #395089=DIRECTION('center_axis',(0.,1.,0.)); #395090=DIRECTION('ref_axis',(-1.,0.,0.)); #395091=DIRECTION('',(-1.,0.,0.)); #395092=DIRECTION('',(-1.,0.,0.)); #395093=DIRECTION('',(0.,0.,1.)); #395094=DIRECTION('center_axis',(0.,0.,1.)); #395095=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395096=DIRECTION('center_axis',(0.,0.,1.)); #395097=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395098=DIRECTION('center_axis',(0.,0.,1.)); #395099=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395100=DIRECTION('',(0.,0.,1.)); #395101=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #395102=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #395103=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #395104=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #395105=DIRECTION('',(0.,0.,1.)); #395106=DIRECTION('center_axis',(0.,1.,0.)); #395107=DIRECTION('ref_axis',(-1.,0.,0.)); #395108=DIRECTION('',(-1.,0.,0.)); #395109=DIRECTION('',(-1.,0.,0.)); #395110=DIRECTION('center_axis',(0.,0.,1.)); #395111=DIRECTION('ref_axis',(1.,0.,0.)); #395112=DIRECTION('center_axis',(0.,0.,1.)); #395113=DIRECTION('ref_axis',(1.,0.,0.)); #395114=DIRECTION('center_axis',(1.,0.,0.)); #395115=DIRECTION('ref_axis',(0.,1.,0.)); #395116=DIRECTION('',(0.,1.,0.)); #395117=DIRECTION('',(0.,0.,1.)); #395118=DIRECTION('',(0.,1.,0.)); #395119=DIRECTION('',(0.,0.,1.)); #395120=DIRECTION('center_axis',(0.,-1.,0.)); #395121=DIRECTION('ref_axis',(1.,0.,0.)); #395122=DIRECTION('',(1.,0.,0.)); #395123=DIRECTION('',(1.,0.,0.)); #395124=DIRECTION('',(0.,0.,1.)); #395125=DIRECTION('center_axis',(-1.,0.,0.)); #395126=DIRECTION('ref_axis',(0.,-1.,0.)); #395127=DIRECTION('',(0.,-1.,0.)); #395128=DIRECTION('',(0.,-1.,0.)); #395129=DIRECTION('',(0.,0.,1.)); #395130=DIRECTION('center_axis',(0.,-1.,0.)); #395131=DIRECTION('ref_axis',(1.,0.,0.)); #395132=DIRECTION('',(1.,0.,0.)); #395133=DIRECTION('',(1.,0.,0.)); #395134=DIRECTION('',(0.,0.,1.)); #395135=DIRECTION('center_axis',(0.,0.,1.)); #395136=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395137=DIRECTION('center_axis',(0.,0.,1.)); #395138=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395139=DIRECTION('center_axis',(0.,0.,1.)); #395140=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395141=DIRECTION('',(0.,0.,1.)); #395142=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #395143=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #395144=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #395145=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #395146=DIRECTION('',(0.,0.,1.)); #395147=DIRECTION('center_axis',(0.,0.,1.)); #395148=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395149=DIRECTION('center_axis',(0.,0.,1.)); #395150=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395151=DIRECTION('center_axis',(0.,0.,1.)); #395152=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395153=DIRECTION('',(0.,0.,1.)); #395154=DIRECTION('center_axis',(-1.,0.,0.)); #395155=DIRECTION('ref_axis',(0.,-1.,0.)); #395156=DIRECTION('',(0.,-1.,0.)); #395157=DIRECTION('',(0.,-1.,0.)); #395158=DIRECTION('',(0.,0.,1.)); #395159=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395160=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395161=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395162=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395163=DIRECTION('',(0.,0.,1.)); #395164=DIRECTION('center_axis',(0.,-1.,0.)); #395165=DIRECTION('ref_axis',(1.,0.,0.)); #395166=DIRECTION('',(1.,0.,0.)); #395167=DIRECTION('',(1.,0.,0.)); #395168=DIRECTION('',(0.,0.,1.)); #395169=DIRECTION('center_axis',(1.,0.,0.)); #395170=DIRECTION('ref_axis',(0.,1.,0.)); #395171=DIRECTION('',(0.,1.,0.)); #395172=DIRECTION('',(0.,1.,0.)); #395173=DIRECTION('',(0.,0.,1.)); #395174=DIRECTION('center_axis',(0.,-1.,0.)); #395175=DIRECTION('ref_axis',(1.,0.,0.)); #395176=DIRECTION('',(1.,0.,0.)); #395177=DIRECTION('',(1.,0.,0.)); #395178=DIRECTION('',(0.,0.,1.)); #395179=DIRECTION('center_axis',(-1.,0.,0.)); #395180=DIRECTION('ref_axis',(0.,-1.,0.)); #395181=DIRECTION('',(0.,-1.,0.)); #395182=DIRECTION('',(0.,-1.,0.)); #395183=DIRECTION('',(0.,0.,1.)); #395184=DIRECTION('center_axis',(0.,1.,0.)); #395185=DIRECTION('ref_axis',(-1.,0.,0.)); #395186=DIRECTION('',(-1.,0.,0.)); #395187=DIRECTION('',(-1.,0.,0.)); #395188=DIRECTION('',(0.,0.,1.)); #395189=DIRECTION('center_axis',(1.,0.,0.)); #395190=DIRECTION('ref_axis',(0.,1.,0.)); #395191=DIRECTION('',(0.,1.,0.)); #395192=DIRECTION('',(0.,1.,0.)); #395193=DIRECTION('',(0.,0.,1.)); #395194=DIRECTION('center_axis',(0.,1.,0.)); #395195=DIRECTION('ref_axis',(-1.,0.,0.)); #395196=DIRECTION('',(-1.,0.,0.)); #395197=DIRECTION('',(-1.,0.,0.)); #395198=DIRECTION('',(0.,0.,1.)); #395199=DIRECTION('center_axis',(0.,0.,1.)); #395200=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395201=DIRECTION('center_axis',(0.,0.,1.)); #395202=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395203=DIRECTION('center_axis',(0.,0.,1.)); #395204=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #395205=DIRECTION('',(0.,0.,1.)); #395206=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395207=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395208=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #395209=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #395210=DIRECTION('',(0.,0.,1.)); #395211=DIRECTION('center_axis',(0.,0.,1.)); #395212=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395213=DIRECTION('center_axis',(0.,0.,1.)); #395214=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395215=DIRECTION('center_axis',(0.,0.,1.)); #395216=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #395217=DIRECTION('',(0.,0.,1.)); #395218=DIRECTION('center_axis',(1.,0.,0.)); #395219=DIRECTION('ref_axis',(0.,1.,0.)); #395220=DIRECTION('',(0.,1.,0.)); #395221=DIRECTION('',(0.,1.,0.)); #395222=DIRECTION('',(0.,0.,1.)); #395223=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #395224=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #395225=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395226=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395227=DIRECTION('',(0.,0.,1.)); #395228=DIRECTION('center_axis',(0.,1.,0.)); #395229=DIRECTION('ref_axis',(-1.,0.,0.)); #395230=DIRECTION('',(-1.,0.,0.)); #395231=DIRECTION('',(-1.,0.,0.)); #395232=DIRECTION('',(0.,0.,1.)); #395233=DIRECTION('center_axis',(-1.,0.,0.)); #395234=DIRECTION('ref_axis',(0.,-1.,0.)); #395235=DIRECTION('',(0.,-1.,0.)); #395236=DIRECTION('',(0.,-1.,0.)); #395237=DIRECTION('',(0.,0.,1.)); #395238=DIRECTION('center_axis',(0.,1.,0.)); #395239=DIRECTION('ref_axis',(-1.,0.,0.)); #395240=DIRECTION('',(-1.,0.,0.)); #395241=DIRECTION('',(-1.,0.,0.)); #395242=DIRECTION('center_axis',(0.,0.,1.)); #395243=DIRECTION('ref_axis',(1.,0.,0.)); #395244=DIRECTION('center_axis',(0.,0.,1.)); #395245=DIRECTION('ref_axis',(1.,0.,0.)); #395246=DIRECTION('center_axis',(0.,0.,1.)); #395247=DIRECTION('ref_axis',(1.,0.,0.)); #395248=DIRECTION('center_axis',(0.,0.,1.)); #395249=DIRECTION('ref_axis',(1.,0.,0.)); #395250=DIRECTION('',(0.,0.,1.)); #395251=DIRECTION('center_axis',(0.,0.,-1.)); #395252=DIRECTION('ref_axis',(1.,0.,0.)); #395253=DIRECTION('center_axis',(1.,0.,0.)); #395254=DIRECTION('ref_axis',(0.,1.,0.)); #395255=DIRECTION('',(0.,1.,0.)); #395256=DIRECTION('',(0.,0.,1.)); #395257=DIRECTION('',(0.,1.,0.)); #395258=DIRECTION('',(0.,0.,1.)); #395259=DIRECTION('center_axis',(0.,-1.,0.)); #395260=DIRECTION('ref_axis',(1.,0.,0.)); #395261=DIRECTION('',(1.,0.,0.)); #395262=DIRECTION('',(1.,0.,0.)); #395263=DIRECTION('',(0.,0.,1.)); #395264=DIRECTION('center_axis',(-1.,0.,0.)); #395265=DIRECTION('ref_axis',(0.,-1.,0.)); #395266=DIRECTION('',(0.,-1.,0.)); #395267=DIRECTION('',(0.,-1.,0.)); #395268=DIRECTION('',(0.,0.,1.)); #395269=DIRECTION('center_axis',(0.,1.,0.)); #395270=DIRECTION('ref_axis',(-1.,0.,0.)); #395271=DIRECTION('',(-1.,0.,0.)); #395272=DIRECTION('',(-1.,0.,0.)); #395273=DIRECTION('center_axis',(0.,0.,1.)); #395274=DIRECTION('ref_axis',(1.,0.,0.)); #395275=DIRECTION('center_axis',(0.,0.,1.)); #395276=DIRECTION('ref_axis',(1.,0.,0.)); #395277=DIRECTION('center_axis',(0.,0.,1.)); #395278=DIRECTION('ref_axis',(1.,0.,0.)); #395279=DIRECTION('center_axis',(0.,0.,1.)); #395280=DIRECTION('ref_axis',(1.,0.,0.)); #395281=DIRECTION('',(0.,0.,1.)); #395282=DIRECTION('center_axis',(0.,0.,-1.)); #395283=DIRECTION('ref_axis',(1.,0.,0.)); #395284=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #395285=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #395286=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #395287=DIRECTION('',(0.,0.,1.)); #395288=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #395289=DIRECTION('',(0.,0.,1.)); #395290=DIRECTION('center_axis',(0.,0.,1.)); #395291=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #395292=DIRECTION('center_axis',(0.,0.,1.)); #395293=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #395294=DIRECTION('center_axis',(0.,0.,1.)); #395295=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #395296=DIRECTION('',(0.,0.,1.)); #395297=DIRECTION('center_axis',(-1.,0.,0.)); #395298=DIRECTION('ref_axis',(0.,-1.,0.)); #395299=DIRECTION('',(0.,-1.,0.)); #395300=DIRECTION('',(0.,-1.,0.)); #395301=DIRECTION('',(0.,0.,1.)); #395302=DIRECTION('center_axis',(0.,0.,1.)); #395303=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #395304=DIRECTION('center_axis',(0.,0.,1.)); #395305=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #395306=DIRECTION('center_axis',(0.,0.,1.)); #395307=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #395308=DIRECTION('',(0.,0.,1.)); #395309=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #395310=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #395311=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #395312=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #395313=DIRECTION('',(0.,0.,1.)); #395314=DIRECTION('center_axis',(-1.,0.,0.)); #395315=DIRECTION('ref_axis',(0.,-1.,0.)); #395316=DIRECTION('',(0.,-1.,0.)); #395317=DIRECTION('',(0.,-1.,0.)); #395318=DIRECTION('',(0.,0.,1.)); #395319=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395320=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395321=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395322=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395323=DIRECTION('',(0.,0.,1.)); #395324=DIRECTION('center_axis',(0.,-1.,0.)); #395325=DIRECTION('ref_axis',(1.,0.,0.)); #395326=DIRECTION('',(1.,0.,0.)); #395327=DIRECTION('',(1.,0.,0.)); #395328=DIRECTION('',(0.,0.,1.)); #395329=DIRECTION('center_axis',(0.,0.,1.)); #395330=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #395331=DIRECTION('center_axis',(0.,0.,1.)); #395332=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #395333=DIRECTION('center_axis',(0.,0.,1.)); #395334=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #395335=DIRECTION('',(0.,0.,1.)); #395336=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #395337=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #395338=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #395339=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #395340=DIRECTION('',(0.,0.,1.)); #395341=DIRECTION('center_axis',(0.,-1.,0.)); #395342=DIRECTION('ref_axis',(1.,0.,0.)); #395343=DIRECTION('',(1.,0.,0.)); #395344=DIRECTION('',(1.,0.,0.)); #395345=DIRECTION('',(0.,0.,1.)); #395346=DIRECTION('center_axis',(-1.,0.,0.)); #395347=DIRECTION('ref_axis',(0.,-1.,0.)); #395348=DIRECTION('',(0.,-1.,0.)); #395349=DIRECTION('',(0.,-1.,0.)); #395350=DIRECTION('',(0.,0.,1.)); #395351=DIRECTION('center_axis',(0.,1.,0.)); #395352=DIRECTION('ref_axis',(-1.,0.,0.)); #395353=DIRECTION('',(-1.,0.,0.)); #395354=DIRECTION('',(-1.,0.,0.)); #395355=DIRECTION('',(0.,0.,1.)); #395356=DIRECTION('center_axis',(1.,0.,0.)); #395357=DIRECTION('ref_axis',(0.,1.,0.)); #395358=DIRECTION('',(0.,1.,0.)); #395359=DIRECTION('',(0.,1.,0.)); #395360=DIRECTION('',(0.,0.,1.)); #395361=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #395362=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #395363=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #395364=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #395365=DIRECTION('',(0.,0.,1.)); #395366=DIRECTION('center_axis',(0.,1.,0.)); #395367=DIRECTION('ref_axis',(-1.,0.,0.)); #395368=DIRECTION('',(-1.,0.,0.)); #395369=DIRECTION('',(-1.,0.,0.)); #395370=DIRECTION('',(0.,0.,1.)); #395371=DIRECTION('center_axis',(0.,0.,1.)); #395372=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395373=DIRECTION('center_axis',(0.,0.,1.)); #395374=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395375=DIRECTION('center_axis',(0.,0.,1.)); #395376=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395377=DIRECTION('',(0.,0.,1.)); #395378=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #395379=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #395380=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #395381=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #395382=DIRECTION('',(0.,0.,1.)); #395383=DIRECTION('center_axis',(0.,0.,1.)); #395384=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395385=DIRECTION('center_axis',(0.,0.,1.)); #395386=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395387=DIRECTION('center_axis',(0.,0.,1.)); #395388=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395389=DIRECTION('',(0.,0.,1.)); #395390=DIRECTION('center_axis',(1.,0.,0.)); #395391=DIRECTION('ref_axis',(0.,1.,0.)); #395392=DIRECTION('',(0.,1.,0.)); #395393=DIRECTION('',(0.,1.,0.)); #395394=DIRECTION('',(0.,0.,1.)); #395395=DIRECTION('center_axis',(0.,0.,1.)); #395396=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #395397=DIRECTION('center_axis',(0.,0.,1.)); #395398=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #395399=DIRECTION('center_axis',(0.,0.,1.)); #395400=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #395401=DIRECTION('',(0.,0.,1.)); #395402=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395403=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395404=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395405=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395406=DIRECTION('',(0.,0.,1.)); #395407=DIRECTION('center_axis',(1.,0.,0.)); #395408=DIRECTION('ref_axis',(0.,1.,0.)); #395409=DIRECTION('',(0.,1.,0.)); #395410=DIRECTION('',(0.,1.,0.)); #395411=DIRECTION('',(0.,0.,1.)); #395412=DIRECTION('center_axis',(0.,0.,1.)); #395413=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #395414=DIRECTION('center_axis',(0.,0.,1.)); #395415=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #395416=DIRECTION('center_axis',(0.,0.,1.)); #395417=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #395418=DIRECTION('center_axis',(0.,0.,1.)); #395419=DIRECTION('ref_axis',(1.,0.,0.)); #395420=DIRECTION('center_axis',(0.,0.,1.)); #395421=DIRECTION('ref_axis',(1.,0.,0.)); #395422=DIRECTION('center_axis',(0.,0.,1.)); #395423=DIRECTION('ref_axis',(1.,0.,0.)); #395424=DIRECTION('center_axis',(0.,0.,1.)); #395425=DIRECTION('ref_axis',(1.,0.,0.)); #395426=DIRECTION('',(0.,0.,1.)); #395427=DIRECTION('center_axis',(0.,0.,-1.)); #395428=DIRECTION('ref_axis',(1.,0.,0.)); #395429=DIRECTION('center_axis',(1.,0.,0.)); #395430=DIRECTION('ref_axis',(0.,1.,0.)); #395431=DIRECTION('',(0.,1.,0.)); #395432=DIRECTION('',(0.,0.,1.)); #395433=DIRECTION('',(0.,1.,0.)); #395434=DIRECTION('',(0.,0.,1.)); #395435=DIRECTION('center_axis',(0.,-1.,0.)); #395436=DIRECTION('ref_axis',(1.,0.,0.)); #395437=DIRECTION('',(1.,0.,0.)); #395438=DIRECTION('',(1.,0.,0.)); #395439=DIRECTION('',(0.,0.,1.)); #395440=DIRECTION('center_axis',(-1.,0.,0.)); #395441=DIRECTION('ref_axis',(0.,-1.,0.)); #395442=DIRECTION('',(0.,-1.,0.)); #395443=DIRECTION('',(0.,-1.,0.)); #395444=DIRECTION('',(0.,0.,1.)); #395445=DIRECTION('center_axis',(0.,1.,0.)); #395446=DIRECTION('ref_axis',(-1.,0.,0.)); #395447=DIRECTION('',(-1.,0.,0.)); #395448=DIRECTION('',(-1.,0.,0.)); #395449=DIRECTION('',(0.,0.,1.)); #395450=DIRECTION('center_axis',(1.,0.,0.)); #395451=DIRECTION('ref_axis',(0.,1.,0.)); #395452=DIRECTION('',(0.,1.,0.)); #395453=DIRECTION('',(0.,1.,0.)); #395454=DIRECTION('',(0.,0.,1.)); #395455=DIRECTION('center_axis',(0.,1.,0.)); #395456=DIRECTION('ref_axis',(-1.,0.,0.)); #395457=DIRECTION('',(-1.,0.,0.)); #395458=DIRECTION('',(-1.,0.,0.)); #395459=DIRECTION('',(0.,0.,1.)); #395460=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #395461=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #395462=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #395463=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #395464=DIRECTION('',(0.,0.,1.)); #395465=DIRECTION('center_axis',(0.,0.,1.)); #395466=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #395467=DIRECTION('center_axis',(0.,0.,1.)); #395468=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #395469=DIRECTION('center_axis',(0.,0.,1.)); #395470=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #395471=DIRECTION('',(0.,0.,1.)); #395472=DIRECTION('center_axis',(0.,1.,0.)); #395473=DIRECTION('ref_axis',(-1.,0.,0.)); #395474=DIRECTION('',(-1.,0.,0.)); #395475=DIRECTION('',(-1.,0.,0.)); #395476=DIRECTION('',(0.,0.,1.)); #395477=DIRECTION('center_axis',(0.,0.,1.)); #395478=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #395479=DIRECTION('center_axis',(0.,0.,1.)); #395480=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #395481=DIRECTION('center_axis',(0.,0.,1.)); #395482=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #395483=DIRECTION('',(0.,0.,1.)); #395484=DIRECTION('center_axis',(0.,0.,1.)); #395485=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #395486=DIRECTION('center_axis',(0.,0.,1.)); #395487=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #395488=DIRECTION('center_axis',(0.,0.,1.)); #395489=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #395490=DIRECTION('',(0.,0.,1.)); #395491=DIRECTION('center_axis',(0.,-1.,0.)); #395492=DIRECTION('ref_axis',(1.,0.,0.)); #395493=DIRECTION('',(1.,0.,0.)); #395494=DIRECTION('',(1.,0.,0.)); #395495=DIRECTION('',(0.,0.,1.)); #395496=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #395497=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #395498=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #395499=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #395500=DIRECTION('',(0.,0.,1.)); #395501=DIRECTION('center_axis',(0.,0.,1.)); #395502=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395503=DIRECTION('center_axis',(0.,0.,1.)); #395504=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395505=DIRECTION('center_axis',(0.,0.,1.)); #395506=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395507=DIRECTION('',(0.,0.,1.)); #395508=DIRECTION('center_axis',(0.,-1.,0.)); #395509=DIRECTION('ref_axis',(1.,0.,0.)); #395510=DIRECTION('',(1.,0.,0.)); #395511=DIRECTION('',(1.,0.,0.)); #395512=DIRECTION('center_axis',(0.,0.,1.)); #395513=DIRECTION('ref_axis',(1.,0.,0.)); #395514=DIRECTION('center_axis',(0.,0.,1.)); #395515=DIRECTION('ref_axis',(1.,0.,0.)); #395516=DIRECTION('center_axis',(0.,0.,1.)); #395517=DIRECTION('ref_axis',(1.,0.,0.)); #395518=DIRECTION('center_axis',(0.,0.,1.)); #395519=DIRECTION('ref_axis',(1.,0.,0.)); #395520=DIRECTION('',(0.,0.,1.)); #395521=DIRECTION('center_axis',(0.,0.,-1.)); #395522=DIRECTION('ref_axis',(1.,0.,0.)); #395523=DIRECTION('center_axis',(1.,0.,0.)); #395524=DIRECTION('ref_axis',(0.,1.,0.)); #395525=DIRECTION('',(0.,1.,0.)); #395526=DIRECTION('',(0.,0.,1.)); #395527=DIRECTION('',(0.,1.,0.)); #395528=DIRECTION('',(0.,0.,1.)); #395529=DIRECTION('center_axis',(0.,1.,0.)); #395530=DIRECTION('ref_axis',(-1.,0.,0.)); #395531=DIRECTION('',(-1.,0.,0.)); #395532=DIRECTION('',(-1.,0.,0.)); #395533=DIRECTION('',(0.,0.,1.)); #395534=DIRECTION('center_axis',(-1.,0.,0.)); #395535=DIRECTION('ref_axis',(0.,-1.,0.)); #395536=DIRECTION('',(0.,-1.,0.)); #395537=DIRECTION('',(0.,-1.,0.)); #395538=DIRECTION('',(0.,0.,1.)); #395539=DIRECTION('center_axis',(0.,1.,0.)); #395540=DIRECTION('ref_axis',(-1.,0.,0.)); #395541=DIRECTION('',(-1.,0.,0.)); #395542=DIRECTION('',(-1.,0.,0.)); #395543=DIRECTION('',(0.,0.,1.)); #395544=DIRECTION('center_axis',(1.,0.,0.)); #395545=DIRECTION('ref_axis',(0.,1.,0.)); #395546=DIRECTION('',(0.,1.,0.)); #395547=DIRECTION('',(0.,1.,0.)); #395548=DIRECTION('',(0.,0.,1.)); #395549=DIRECTION('center_axis',(0.,-1.,0.)); #395550=DIRECTION('ref_axis',(1.,0.,0.)); #395551=DIRECTION('',(1.,0.,0.)); #395552=DIRECTION('',(1.,0.,0.)); #395553=DIRECTION('',(0.,0.,1.)); #395554=DIRECTION('center_axis',(-1.,0.,0.)); #395555=DIRECTION('ref_axis',(0.,-1.,0.)); #395556=DIRECTION('',(0.,-1.,0.)); #395557=DIRECTION('',(0.,-1.,0.)); #395558=DIRECTION('',(0.,0.,1.)); #395559=DIRECTION('center_axis',(0.,-1.,0.)); #395560=DIRECTION('ref_axis',(1.,0.,0.)); #395561=DIRECTION('',(1.,0.,0.)); #395562=DIRECTION('',(1.,0.,0.)); #395563=DIRECTION('',(0.,0.,1.)); #395564=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395565=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395566=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395567=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395568=DIRECTION('',(0.,0.,1.)); #395569=DIRECTION('center_axis',(1.,0.,0.)); #395570=DIRECTION('ref_axis',(0.,1.,0.)); #395571=DIRECTION('',(0.,1.,0.)); #395572=DIRECTION('',(0.,1.,0.)); #395573=DIRECTION('',(0.,0.,1.)); #395574=DIRECTION('center_axis',(0.,0.,1.)); #395575=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #395576=DIRECTION('center_axis',(0.,0.,1.)); #395577=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #395578=DIRECTION('center_axis',(0.,0.,1.)); #395579=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #395580=DIRECTION('',(0.,0.,1.)); #395581=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395582=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395583=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395584=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395585=DIRECTION('',(0.,0.,1.)); #395586=DIRECTION('center_axis',(0.,0.,1.)); #395587=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #395588=DIRECTION('center_axis',(0.,0.,1.)); #395589=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #395590=DIRECTION('center_axis',(0.,0.,1.)); #395591=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #395592=DIRECTION('',(0.,0.,1.)); #395593=DIRECTION('center_axis',(0.,-1.,0.)); #395594=DIRECTION('ref_axis',(1.,0.,0.)); #395595=DIRECTION('',(1.,0.,0.)); #395596=DIRECTION('',(1.,0.,0.)); #395597=DIRECTION('',(0.,0.,1.)); #395598=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395599=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395600=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395601=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395602=DIRECTION('',(0.,0.,1.)); #395603=DIRECTION('center_axis',(1.,0.,0.)); #395604=DIRECTION('ref_axis',(0.,1.,0.)); #395605=DIRECTION('',(0.,1.,0.)); #395606=DIRECTION('',(0.,1.,0.)); #395607=DIRECTION('',(0.,0.,1.)); #395608=DIRECTION('center_axis',(0.,0.,1.)); #395609=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #395610=DIRECTION('center_axis',(0.,0.,1.)); #395611=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #395612=DIRECTION('center_axis',(0.,0.,1.)); #395613=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #395614=DIRECTION('',(0.,0.,1.)); #395615=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #395616=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #395617=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #395618=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #395619=DIRECTION('',(0.,0.,1.)); #395620=DIRECTION('center_axis',(0.,0.,1.)); #395621=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #395622=DIRECTION('center_axis',(0.,0.,1.)); #395623=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #395624=DIRECTION('center_axis',(0.,0.,1.)); #395625=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #395626=DIRECTION('',(0.,0.,1.)); #395627=DIRECTION('center_axis',(0.,-1.,0.)); #395628=DIRECTION('ref_axis',(1.,0.,0.)); #395629=DIRECTION('',(1.,0.,0.)); #395630=DIRECTION('',(1.,0.,0.)); #395631=DIRECTION('',(0.,0.,1.)); #395632=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #395633=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #395634=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #395635=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #395636=DIRECTION('',(0.,0.,1.)); #395637=DIRECTION('center_axis',(1.,0.,0.)); #395638=DIRECTION('ref_axis',(0.,1.,0.)); #395639=DIRECTION('',(0.,1.,0.)); #395640=DIRECTION('',(0.,1.,0.)); #395641=DIRECTION('',(0.,0.,1.)); #395642=DIRECTION('center_axis',(0.,0.,1.)); #395643=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #395644=DIRECTION('center_axis',(0.,0.,1.)); #395645=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #395646=DIRECTION('center_axis',(0.,0.,1.)); #395647=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #395648=DIRECTION('',(0.,0.,1.)); #395649=DIRECTION('center_axis',(0.,0.,1.)); #395650=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #395651=DIRECTION('center_axis',(0.,0.,1.)); #395652=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #395653=DIRECTION('center_axis',(0.,0.,1.)); #395654=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #395655=DIRECTION('',(0.,0.,1.)); #395656=DIRECTION('center_axis',(-1.,0.,0.)); #395657=DIRECTION('ref_axis',(0.,-1.,0.)); #395658=DIRECTION('',(0.,-1.,0.)); #395659=DIRECTION('',(0.,-1.,0.)); #395660=DIRECTION('',(0.,0.,1.)); #395661=DIRECTION('center_axis',(0.,0.,1.)); #395662=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #395663=DIRECTION('center_axis',(0.,0.,1.)); #395664=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #395665=DIRECTION('center_axis',(0.,0.,1.)); #395666=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #395667=DIRECTION('',(0.,0.,1.)); #395668=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #395669=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #395670=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #395671=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #395672=DIRECTION('',(0.,0.,1.)); #395673=DIRECTION('center_axis',(0.,0.,1.)); #395674=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #395675=DIRECTION('center_axis',(0.,0.,1.)); #395676=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #395677=DIRECTION('center_axis',(0.,0.,1.)); #395678=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #395679=DIRECTION('',(0.,0.,1.)); #395680=DIRECTION('center_axis',(0.,1.,0.)); #395681=DIRECTION('ref_axis',(-1.,0.,0.)); #395682=DIRECTION('',(-1.,0.,0.)); #395683=DIRECTION('',(-1.,0.,0.)); #395684=DIRECTION('',(0.,0.,1.)); #395685=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #395686=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #395687=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395688=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395689=DIRECTION('',(0.,0.,1.)); #395690=DIRECTION('center_axis',(-1.,0.,0.)); #395691=DIRECTION('ref_axis',(0.,-1.,0.)); #395692=DIRECTION('',(0.,-1.,0.)); #395693=DIRECTION('',(0.,-1.,0.)); #395694=DIRECTION('',(0.,0.,1.)); #395695=DIRECTION('center_axis',(0.,0.,1.)); #395696=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #395697=DIRECTION('center_axis',(0.,0.,1.)); #395698=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #395699=DIRECTION('center_axis',(0.,0.,1.)); #395700=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #395701=DIRECTION('',(0.,0.,1.)); #395702=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #395703=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #395704=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #395705=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #395706=DIRECTION('',(0.,0.,1.)); #395707=DIRECTION('center_axis',(0.,0.,1.)); #395708=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #395709=DIRECTION('center_axis',(0.,0.,1.)); #395710=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #395711=DIRECTION('center_axis',(0.,0.,1.)); #395712=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #395713=DIRECTION('',(0.,0.,1.)); #395714=DIRECTION('center_axis',(0.,1.,0.)); #395715=DIRECTION('ref_axis',(-1.,0.,0.)); #395716=DIRECTION('',(-1.,0.,0.)); #395717=DIRECTION('',(-1.,0.,0.)); #395718=DIRECTION('',(0.,0.,1.)); #395719=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #395720=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #395721=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395722=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #395723=DIRECTION('',(0.,0.,1.)); #395724=DIRECTION('center_axis',(-1.,0.,0.)); #395725=DIRECTION('ref_axis',(0.,-1.,0.)); #395726=DIRECTION('',(0.,-1.,0.)); #395727=DIRECTION('',(0.,-1.,0.)); #395728=DIRECTION('',(0.,0.,1.)); #395729=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395730=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395731=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395732=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395733=DIRECTION('',(0.,0.,1.)); #395734=DIRECTION('center_axis',(0.,-1.,0.)); #395735=DIRECTION('ref_axis',(1.,0.,0.)); #395736=DIRECTION('',(1.,0.,0.)); #395737=DIRECTION('',(1.,0.,0.)); #395738=DIRECTION('',(0.,0.,1.)); #395739=DIRECTION('center_axis',(1.,0.,0.)); #395740=DIRECTION('ref_axis',(0.,1.,0.)); #395741=DIRECTION('',(0.,1.,0.)); #395742=DIRECTION('',(0.,1.,0.)); #395743=DIRECTION('',(0.,0.,1.)); #395744=DIRECTION('center_axis',(0.,-1.,0.)); #395745=DIRECTION('ref_axis',(1.,0.,0.)); #395746=DIRECTION('',(1.,0.,0.)); #395747=DIRECTION('',(1.,0.,0.)); #395748=DIRECTION('',(0.,0.,1.)); #395749=DIRECTION('center_axis',(-1.,0.,0.)); #395750=DIRECTION('ref_axis',(0.,-1.,0.)); #395751=DIRECTION('',(0.,-1.,0.)); #395752=DIRECTION('',(0.,-1.,0.)); #395753=DIRECTION('',(0.,0.,1.)); #395754=DIRECTION('center_axis',(0.,1.,0.)); #395755=DIRECTION('ref_axis',(-1.,0.,0.)); #395756=DIRECTION('',(-1.,0.,0.)); #395757=DIRECTION('',(-1.,0.,0.)); #395758=DIRECTION('center_axis',(0.,0.,1.)); #395759=DIRECTION('ref_axis',(1.,0.,0.)); #395760=DIRECTION('center_axis',(0.,0.,1.)); #395761=DIRECTION('ref_axis',(1.,0.,0.)); #395762=DIRECTION('center_axis',(0.,0.,1.)); #395763=DIRECTION('ref_axis',(1.,0.,0.)); #395764=DIRECTION('center_axis',(0.,0.,1.)); #395765=DIRECTION('ref_axis',(1.,0.,0.)); #395766=DIRECTION('',(0.,0.,1.)); #395767=DIRECTION('center_axis',(0.,0.,-1.)); #395768=DIRECTION('ref_axis',(1.,0.,0.)); #395769=DIRECTION('center_axis',(1.,0.,0.)); #395770=DIRECTION('ref_axis',(0.,1.,0.)); #395771=DIRECTION('',(0.,1.,0.)); #395772=DIRECTION('',(0.,0.,1.)); #395773=DIRECTION('',(0.,1.,0.)); #395774=DIRECTION('',(0.,0.,1.)); #395775=DIRECTION('center_axis',(0.,-1.,0.)); #395776=DIRECTION('ref_axis',(1.,0.,0.)); #395777=DIRECTION('',(1.,0.,0.)); #395778=DIRECTION('',(1.,0.,0.)); #395779=DIRECTION('',(0.,0.,1.)); #395780=DIRECTION('center_axis',(-1.,0.,0.)); #395781=DIRECTION('ref_axis',(0.,-1.,0.)); #395782=DIRECTION('',(0.,-1.,0.)); #395783=DIRECTION('',(0.,-1.,0.)); #395784=DIRECTION('',(0.,0.,1.)); #395785=DIRECTION('center_axis',(0.,1.,0.)); #395786=DIRECTION('ref_axis',(-1.,0.,0.)); #395787=DIRECTION('',(-1.,0.,0.)); #395788=DIRECTION('',(-1.,0.,0.)); #395789=DIRECTION('',(0.,0.,1.)); #395790=DIRECTION('center_axis',(-1.,0.,0.)); #395791=DIRECTION('ref_axis',(0.,-1.,0.)); #395792=DIRECTION('',(0.,-1.,0.)); #395793=DIRECTION('',(0.,-1.,0.)); #395794=DIRECTION('',(0.,0.,1.)); #395795=DIRECTION('center_axis',(0.,0.,1.)); #395796=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395797=DIRECTION('center_axis',(0.,0.,1.)); #395798=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395799=DIRECTION('center_axis',(0.,0.,1.)); #395800=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395801=DIRECTION('',(0.,0.,1.)); #395802=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #395803=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #395804=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #395805=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #395806=DIRECTION('',(0.,0.,1.)); #395807=DIRECTION('center_axis',(-1.,0.,0.)); #395808=DIRECTION('ref_axis',(0.,-1.,0.)); #395809=DIRECTION('',(0.,-1.,0.)); #395810=DIRECTION('',(0.,-1.,0.)); #395811=DIRECTION('',(0.,0.,1.)); #395812=DIRECTION('center_axis',(0.,0.,1.)); #395813=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395814=DIRECTION('center_axis',(0.,0.,1.)); #395815=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395816=DIRECTION('center_axis',(0.,0.,1.)); #395817=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #395818=DIRECTION('',(0.,0.,1.)); #395819=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #395820=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #395821=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #395822=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #395823=DIRECTION('',(0.,0.,1.)); #395824=DIRECTION('center_axis',(-1.,0.,0.)); #395825=DIRECTION('ref_axis',(0.,-1.,0.)); #395826=DIRECTION('',(0.,-1.,0.)); #395827=DIRECTION('',(0.,-1.,0.)); #395828=DIRECTION('',(0.,0.,1.)); #395829=DIRECTION('center_axis',(0.,0.,1.)); #395830=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #395831=DIRECTION('center_axis',(0.,0.,1.)); #395832=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #395833=DIRECTION('center_axis',(0.,0.,1.)); #395834=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #395835=DIRECTION('',(0.,0.,1.)); #395836=DIRECTION('center_axis',(0.,0.,1.)); #395837=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #395838=DIRECTION('center_axis',(0.,0.,1.)); #395839=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #395840=DIRECTION('center_axis',(0.,0.,1.)); #395841=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #395842=DIRECTION('',(0.,0.,1.)); #395843=DIRECTION('center_axis',(1.,0.,0.)); #395844=DIRECTION('ref_axis',(0.,1.,0.)); #395845=DIRECTION('',(0.,1.,0.)); #395846=DIRECTION('',(0.,1.,0.)); #395847=DIRECTION('',(0.,0.,1.)); #395848=DIRECTION('center_axis',(0.,0.,1.)); #395849=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #395850=DIRECTION('center_axis',(0.,0.,1.)); #395851=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #395852=DIRECTION('center_axis',(0.,0.,1.)); #395853=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #395854=DIRECTION('',(0.,0.,1.)); #395855=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395856=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395857=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #395858=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #395859=DIRECTION('',(0.,0.,1.)); #395860=DIRECTION('center_axis',(1.,0.,0.)); #395861=DIRECTION('ref_axis',(0.,1.,0.)); #395862=DIRECTION('',(0.,1.,0.)); #395863=DIRECTION('',(0.,1.,0.)); #395864=DIRECTION('',(0.,0.,1.)); #395865=DIRECTION('center_axis',(0.,0.,1.)); #395866=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #395867=DIRECTION('center_axis',(0.,0.,1.)); #395868=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #395869=DIRECTION('center_axis',(0.,0.,1.)); #395870=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #395871=DIRECTION('',(0.,0.,1.)); #395872=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #395873=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #395874=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #395875=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #395876=DIRECTION('',(0.,0.,1.)); #395877=DIRECTION('center_axis',(1.,0.,0.)); #395878=DIRECTION('ref_axis',(0.,1.,0.)); #395879=DIRECTION('',(0.,1.,0.)); #395880=DIRECTION('',(0.,1.,0.)); #395881=DIRECTION('',(0.,0.,1.)); #395882=DIRECTION('center_axis',(0.,1.,0.)); #395883=DIRECTION('ref_axis',(-1.,0.,0.)); #395884=DIRECTION('',(-1.,0.,0.)); #395885=DIRECTION('',(-1.,0.,0.)); #395886=DIRECTION('center_axis',(0.,0.,1.)); #395887=DIRECTION('ref_axis',(1.,0.,0.)); #395888=DIRECTION('center_axis',(0.,0.,1.)); #395889=DIRECTION('ref_axis',(1.,0.,0.)); #395890=DIRECTION('center_axis',(0.,0.,1.)); #395891=DIRECTION('ref_axis',(1.,0.,0.)); #395892=DIRECTION('center_axis',(0.,0.,1.)); #395893=DIRECTION('ref_axis',(1.,0.,0.)); #395894=DIRECTION('',(0.,0.,1.)); #395895=DIRECTION('center_axis',(0.,0.,-1.)); #395896=DIRECTION('ref_axis',(1.,0.,0.)); #395897=DIRECTION('center_axis',(1.,0.,0.)); #395898=DIRECTION('ref_axis',(0.,1.,0.)); #395899=DIRECTION('',(0.,1.,0.)); #395900=DIRECTION('',(0.,0.,1.)); #395901=DIRECTION('',(0.,1.,0.)); #395902=DIRECTION('',(0.,0.,1.)); #395903=DIRECTION('center_axis',(0.,-1.,0.)); #395904=DIRECTION('ref_axis',(1.,0.,0.)); #395905=DIRECTION('',(1.,0.,0.)); #395906=DIRECTION('',(1.,0.,0.)); #395907=DIRECTION('',(0.,0.,1.)); #395908=DIRECTION('center_axis',(-1.,0.,0.)); #395909=DIRECTION('ref_axis',(0.,-1.,0.)); #395910=DIRECTION('',(0.,-1.,0.)); #395911=DIRECTION('',(0.,-1.,0.)); #395912=DIRECTION('',(0.,0.,1.)); #395913=DIRECTION('center_axis',(0.,1.,0.)); #395914=DIRECTION('ref_axis',(-1.,0.,0.)); #395915=DIRECTION('',(-1.,0.,0.)); #395916=DIRECTION('',(-1.,0.,0.)); #395917=DIRECTION('center_axis',(0.,0.,1.)); #395918=DIRECTION('ref_axis',(1.,0.,0.)); #395919=DIRECTION('center_axis',(0.,0.,1.)); #395920=DIRECTION('ref_axis',(1.,0.,0.)); #395921=DIRECTION('center_axis',(0.,0.,1.)); #395922=DIRECTION('ref_axis',(1.,0.,0.)); #395923=DIRECTION('center_axis',(0.,0.,1.)); #395924=DIRECTION('ref_axis',(1.,0.,0.)); #395925=DIRECTION('',(0.,0.,1.)); #395926=DIRECTION('center_axis',(0.,0.,-1.)); #395927=DIRECTION('ref_axis',(1.,0.,0.)); #395928=DIRECTION('center_axis',(1.,0.,0.)); #395929=DIRECTION('ref_axis',(0.,1.,0.)); #395930=DIRECTION('',(0.,1.,0.)); #395931=DIRECTION('',(0.,0.,1.)); #395932=DIRECTION('',(0.,1.,0.)); #395933=DIRECTION('',(0.,0.,1.)); #395934=DIRECTION('center_axis',(0.,-1.,0.)); #395935=DIRECTION('ref_axis',(1.,0.,0.)); #395936=DIRECTION('',(1.,0.,0.)); #395937=DIRECTION('',(1.,0.,0.)); #395938=DIRECTION('',(0.,0.,1.)); #395939=DIRECTION('center_axis',(-1.,0.,0.)); #395940=DIRECTION('ref_axis',(0.,-1.,0.)); #395941=DIRECTION('',(0.,-1.,0.)); #395942=DIRECTION('',(0.,-1.,0.)); #395943=DIRECTION('',(0.,0.,1.)); #395944=DIRECTION('center_axis',(0.,1.,0.)); #395945=DIRECTION('ref_axis',(-1.,0.,0.)); #395946=DIRECTION('',(-1.,0.,0.)); #395947=DIRECTION('',(-1.,0.,0.)); #395948=DIRECTION('center_axis',(0.,0.,1.)); #395949=DIRECTION('ref_axis',(1.,0.,0.)); #395950=DIRECTION('center_axis',(0.,0.,1.)); #395951=DIRECTION('ref_axis',(1.,0.,0.)); #395952=DIRECTION('center_axis',(0.,0.,1.)); #395953=DIRECTION('ref_axis',(1.,0.,0.)); #395954=DIRECTION('center_axis',(0.,0.,1.)); #395955=DIRECTION('ref_axis',(1.,0.,0.)); #395956=DIRECTION('',(0.,0.,1.)); #395957=DIRECTION('center_axis',(0.,0.,-1.)); #395958=DIRECTION('ref_axis',(1.,0.,0.)); #395959=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #395960=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #395961=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #395962=DIRECTION('',(0.,0.,1.)); #395963=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #395964=DIRECTION('',(0.,0.,1.)); #395965=DIRECTION('center_axis',(0.,0.,1.)); #395966=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #395967=DIRECTION('center_axis',(0.,0.,1.)); #395968=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #395969=DIRECTION('center_axis',(0.,0.,1.)); #395970=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #395971=DIRECTION('',(0.,0.,1.)); #395972=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #395973=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #395974=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #395975=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #395976=DIRECTION('',(0.,0.,1.)); #395977=DIRECTION('center_axis',(0.,0.,1.)); #395978=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395979=DIRECTION('center_axis',(0.,0.,1.)); #395980=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395981=DIRECTION('center_axis',(0.,0.,1.)); #395982=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #395983=DIRECTION('',(0.,0.,1.)); #395984=DIRECTION('center_axis',(-1.,0.,0.)); #395985=DIRECTION('ref_axis',(0.,-1.,0.)); #395986=DIRECTION('',(0.,-1.,0.)); #395987=DIRECTION('',(0.,-1.,0.)); #395988=DIRECTION('',(0.,0.,1.)); #395989=DIRECTION('center_axis',(0.,-1.,0.)); #395990=DIRECTION('ref_axis',(1.,0.,0.)); #395991=DIRECTION('',(1.,0.,0.)); #395992=DIRECTION('',(1.,0.,0.)); #395993=DIRECTION('',(0.,0.,1.)); #395994=DIRECTION('center_axis',(-1.,0.,0.)); #395995=DIRECTION('ref_axis',(0.,-1.,0.)); #395996=DIRECTION('',(0.,-1.,0.)); #395997=DIRECTION('',(0.,-1.,0.)); #395998=DIRECTION('',(0.,0.,1.)); #395999=DIRECTION('center_axis',(0.,1.,0.)); #396000=DIRECTION('ref_axis',(-1.,0.,0.)); #396001=DIRECTION('',(-1.,0.,0.)); #396002=DIRECTION('',(-1.,0.,0.)); #396003=DIRECTION('',(0.,0.,1.)); #396004=DIRECTION('center_axis',(1.,0.,0.)); #396005=DIRECTION('ref_axis',(0.,1.,0.)); #396006=DIRECTION('',(0.,1.,0.)); #396007=DIRECTION('',(0.,1.,0.)); #396008=DIRECTION('',(0.,0.,1.)); #396009=DIRECTION('center_axis',(0.,-1.,0.)); #396010=DIRECTION('ref_axis',(1.,0.,0.)); #396011=DIRECTION('',(1.,0.,0.)); #396012=DIRECTION('',(1.,0.,0.)); #396013=DIRECTION('',(0.,0.,1.)); #396014=DIRECTION('center_axis',(1.,0.,0.)); #396015=DIRECTION('ref_axis',(0.,1.,0.)); #396016=DIRECTION('',(0.,1.,0.)); #396017=DIRECTION('',(0.,1.,0.)); #396018=DIRECTION('',(0.,0.,1.)); #396019=DIRECTION('center_axis',(0.707103342561715,0.707110219794658,0.)); #396020=DIRECTION('ref_axis',(-0.707110219794658,0.707103342561715,0.)); #396021=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #396022=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #396023=DIRECTION('',(0.,0.,1.)); #396024=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #396025=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #396026=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #396027=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #396028=DIRECTION('',(0.,0.,1.)); #396029=DIRECTION('center_axis',(0.,0.,1.)); #396030=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #396031=DIRECTION('center_axis',(0.,0.,1.)); #396032=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #396033=DIRECTION('center_axis',(0.,0.,1.)); #396034=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #396035=DIRECTION('center_axis',(0.,0.,1.)); #396036=DIRECTION('ref_axis',(1.,0.,0.)); #396037=DIRECTION('center_axis',(0.,0.,1.)); #396038=DIRECTION('ref_axis',(1.,0.,0.)); #396039=DIRECTION('center_axis',(0.,0.,1.)); #396040=DIRECTION('ref_axis',(1.,0.,0.)); #396041=DIRECTION('center_axis',(0.,0.,1.)); #396042=DIRECTION('ref_axis',(1.,0.,0.)); #396043=DIRECTION('',(0.,0.,1.)); #396044=DIRECTION('center_axis',(0.,0.,-1.)); #396045=DIRECTION('ref_axis',(1.,0.,0.)); #396046=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #396047=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #396048=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #396049=DIRECTION('',(0.,0.,1.)); #396050=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #396051=DIRECTION('',(0.,0.,1.)); #396052=DIRECTION('center_axis',(0.,0.,1.)); #396053=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396054=DIRECTION('center_axis',(0.,0.,1.)); #396055=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396056=DIRECTION('center_axis',(0.,0.,1.)); #396057=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396058=DIRECTION('',(0.,0.,1.)); #396059=DIRECTION('center_axis',(0.,0.,1.)); #396060=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396061=DIRECTION('center_axis',(0.,0.,1.)); #396062=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396063=DIRECTION('center_axis',(0.,0.,1.)); #396064=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396065=DIRECTION('center_axis',(0.,0.,1.)); #396066=DIRECTION('ref_axis',(1.,0.,0.)); #396067=DIRECTION('center_axis',(0.,0.,1.)); #396068=DIRECTION('ref_axis',(1.,0.,0.)); #396069=DIRECTION('center_axis',(0.,0.,1.)); #396070=DIRECTION('ref_axis',(1.,0.,0.)); #396071=DIRECTION('center_axis',(0.,0.,1.)); #396072=DIRECTION('ref_axis',(1.,0.,0.)); #396073=DIRECTION('',(0.,0.,1.)); #396074=DIRECTION('center_axis',(0.,0.,-1.)); #396075=DIRECTION('ref_axis',(1.,0.,0.)); #396076=DIRECTION('center_axis',(0.,0.,1.)); #396077=DIRECTION('ref_axis',(1.,0.,0.)); #396078=DIRECTION('center_axis',(0.,0.,1.)); #396079=DIRECTION('ref_axis',(1.,0.,0.)); #396080=DIRECTION('',(0.,0.,1.)); #396081=DIRECTION('center_axis',(0.,0.,-1.)); #396082=DIRECTION('ref_axis',(1.,0.,0.)); #396083=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #396084=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #396085=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #396086=DIRECTION('',(0.,0.,1.)); #396087=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #396088=DIRECTION('',(0.,0.,1.)); #396089=DIRECTION('center_axis',(0.,0.,1.)); #396090=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #396091=DIRECTION('center_axis',(0.,0.,1.)); #396092=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #396093=DIRECTION('center_axis',(0.,0.,1.)); #396094=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #396095=DIRECTION('',(0.,0.,1.)); #396096=DIRECTION('center_axis',(-1.,0.,0.)); #396097=DIRECTION('ref_axis',(0.,-1.,0.)); #396098=DIRECTION('',(0.,-1.,0.)); #396099=DIRECTION('',(0.,-1.,0.)); #396100=DIRECTION('',(0.,0.,1.)); #396101=DIRECTION('center_axis',(0.,0.,1.)); #396102=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #396103=DIRECTION('center_axis',(0.,0.,1.)); #396104=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #396105=DIRECTION('center_axis',(0.,0.,1.)); #396106=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #396107=DIRECTION('',(0.,0.,1.)); #396108=DIRECTION('center_axis',(0.,0.,1.)); #396109=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396110=DIRECTION('center_axis',(0.,0.,1.)); #396111=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396112=DIRECTION('center_axis',(0.,0.,1.)); #396113=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396114=DIRECTION('',(0.,0.,1.)); #396115=DIRECTION('center_axis',(1.,0.,0.)); #396116=DIRECTION('ref_axis',(0.,1.,0.)); #396117=DIRECTION('',(0.,1.,0.)); #396118=DIRECTION('',(0.,1.,0.)); #396119=DIRECTION('',(0.,0.,1.)); #396120=DIRECTION('center_axis',(0.,0.,1.)); #396121=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396122=DIRECTION('center_axis',(0.,0.,1.)); #396123=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396124=DIRECTION('center_axis',(0.,0.,1.)); #396125=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396126=DIRECTION('center_axis',(0.,0.,1.)); #396127=DIRECTION('ref_axis',(1.,0.,0.)); #396128=DIRECTION('center_axis',(0.,0.,1.)); #396129=DIRECTION('ref_axis',(1.,0.,0.)); #396130=DIRECTION('center_axis',(0.,0.,1.)); #396131=DIRECTION('ref_axis',(1.,0.,0.)); #396132=DIRECTION('center_axis',(0.,0.,1.)); #396133=DIRECTION('ref_axis',(1.,0.,0.)); #396134=DIRECTION('',(0.,0.,1.)); #396135=DIRECTION('center_axis',(0.,0.,-1.)); #396136=DIRECTION('ref_axis',(1.,0.,0.)); #396137=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #396138=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #396139=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #396140=DIRECTION('',(0.,0.,1.)); #396141=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #396142=DIRECTION('',(0.,0.,1.)); #396143=DIRECTION('center_axis',(0.,0.,1.)); #396144=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396145=DIRECTION('center_axis',(0.,0.,1.)); #396146=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396147=DIRECTION('center_axis',(0.,0.,1.)); #396148=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396149=DIRECTION('',(0.,0.,1.)); #396150=DIRECTION('center_axis',(0.,0.,1.)); #396151=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #396152=DIRECTION('center_axis',(0.,0.,1.)); #396153=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #396154=DIRECTION('center_axis',(0.,0.,1.)); #396155=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #396156=DIRECTION('center_axis',(0.,0.,1.)); #396157=DIRECTION('ref_axis',(1.,0.,0.)); #396158=DIRECTION('center_axis',(0.,0.,1.)); #396159=DIRECTION('ref_axis',(1.,0.,0.)); #396160=DIRECTION('center_axis',(0.,0.,1.)); #396161=DIRECTION('ref_axis',(1.,0.,0.)); #396162=DIRECTION('center_axis',(0.,0.,1.)); #396163=DIRECTION('ref_axis',(1.,0.,0.)); #396164=DIRECTION('',(0.,0.,1.)); #396165=DIRECTION('center_axis',(0.,0.,-1.)); #396166=DIRECTION('ref_axis',(1.,0.,0.)); #396167=DIRECTION('center_axis',(1.,0.,0.)); #396168=DIRECTION('ref_axis',(0.,1.,0.)); #396169=DIRECTION('',(0.,1.,0.)); #396170=DIRECTION('',(0.,0.,1.)); #396171=DIRECTION('',(0.,1.,0.)); #396172=DIRECTION('',(0.,0.,1.)); #396173=DIRECTION('center_axis',(0.,-1.,0.)); #396174=DIRECTION('ref_axis',(1.,0.,0.)); #396175=DIRECTION('',(1.,0.,0.)); #396176=DIRECTION('',(1.,0.,0.)); #396177=DIRECTION('',(0.,0.,1.)); #396178=DIRECTION('center_axis',(-1.,0.,0.)); #396179=DIRECTION('ref_axis',(0.,-1.,0.)); #396180=DIRECTION('',(0.,-1.,0.)); #396181=DIRECTION('',(0.,-1.,0.)); #396182=DIRECTION('',(0.,0.,1.)); #396183=DIRECTION('center_axis',(0.,1.,0.)); #396184=DIRECTION('ref_axis',(-1.,0.,0.)); #396185=DIRECTION('',(-1.,0.,0.)); #396186=DIRECTION('',(-1.,0.,0.)); #396187=DIRECTION('center_axis',(0.,0.,1.)); #396188=DIRECTION('ref_axis',(1.,0.,0.)); #396189=DIRECTION('center_axis',(0.,0.,1.)); #396190=DIRECTION('ref_axis',(1.,0.,0.)); #396191=DIRECTION('center_axis',(0.,0.,1.)); #396192=DIRECTION('ref_axis',(1.,0.,0.)); #396193=DIRECTION('center_axis',(0.,0.,1.)); #396194=DIRECTION('ref_axis',(1.,0.,0.)); #396195=DIRECTION('',(0.,0.,1.)); #396196=DIRECTION('center_axis',(0.,0.,-1.)); #396197=DIRECTION('ref_axis',(1.,0.,0.)); #396198=DIRECTION('center_axis',(1.,0.,0.)); #396199=DIRECTION('ref_axis',(0.,1.,0.)); #396200=DIRECTION('',(0.,1.,0.)); #396201=DIRECTION('',(0.,0.,1.)); #396202=DIRECTION('',(0.,1.,0.)); #396203=DIRECTION('',(0.,0.,1.)); #396204=DIRECTION('center_axis',(0.,1.,0.)); #396205=DIRECTION('ref_axis',(-1.,0.,0.)); #396206=DIRECTION('',(-1.,0.,0.)); #396207=DIRECTION('',(-1.,0.,0.)); #396208=DIRECTION('',(0.,0.,1.)); #396209=DIRECTION('center_axis',(-1.,0.,0.)); #396210=DIRECTION('ref_axis',(0.,-1.,0.)); #396211=DIRECTION('',(0.,-1.,0.)); #396212=DIRECTION('',(0.,-1.,0.)); #396213=DIRECTION('',(0.,0.,1.)); #396214=DIRECTION('center_axis',(0.,1.,0.)); #396215=DIRECTION('ref_axis',(-1.,0.,0.)); #396216=DIRECTION('',(-1.,0.,0.)); #396217=DIRECTION('',(-1.,0.,0.)); #396218=DIRECTION('',(0.,0.,1.)); #396219=DIRECTION('center_axis',(1.,0.,0.)); #396220=DIRECTION('ref_axis',(0.,1.,0.)); #396221=DIRECTION('',(0.,1.,0.)); #396222=DIRECTION('',(0.,1.,0.)); #396223=DIRECTION('',(0.,0.,1.)); #396224=DIRECTION('center_axis',(0.,-1.,0.)); #396225=DIRECTION('ref_axis',(1.,0.,0.)); #396226=DIRECTION('',(1.,0.,0.)); #396227=DIRECTION('',(1.,0.,0.)); #396228=DIRECTION('',(0.,0.,1.)); #396229=DIRECTION('center_axis',(-1.,0.,0.)); #396230=DIRECTION('ref_axis',(0.,-1.,0.)); #396231=DIRECTION('',(0.,-1.,0.)); #396232=DIRECTION('',(0.,-1.,0.)); #396233=DIRECTION('',(0.,0.,1.)); #396234=DIRECTION('center_axis',(0.,-1.,0.)); #396235=DIRECTION('ref_axis',(1.,0.,0.)); #396236=DIRECTION('',(1.,0.,0.)); #396237=DIRECTION('',(1.,0.,0.)); #396238=DIRECTION('',(0.,0.,1.)); #396239=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #396240=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #396241=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #396242=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #396243=DIRECTION('',(0.,0.,1.)); #396244=DIRECTION('center_axis',(1.,0.,0.)); #396245=DIRECTION('ref_axis',(0.,1.,0.)); #396246=DIRECTION('',(0.,1.,0.)); #396247=DIRECTION('',(0.,1.,0.)); #396248=DIRECTION('',(0.,0.,1.)); #396249=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #396250=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #396251=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #396252=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #396253=DIRECTION('',(0.,0.,1.)); #396254=DIRECTION('center_axis',(0.,1.,0.)); #396255=DIRECTION('ref_axis',(-1.,0.,0.)); #396256=DIRECTION('',(-1.,0.,0.)); #396257=DIRECTION('',(-1.,0.,0.)); #396258=DIRECTION('',(0.,0.,1.)); #396259=DIRECTION('center_axis',(0.,0.,1.)); #396260=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #396261=DIRECTION('center_axis',(0.,0.,1.)); #396262=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #396263=DIRECTION('center_axis',(0.,0.,1.)); #396264=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #396265=DIRECTION('',(0.,0.,1.)); #396266=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #396267=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #396268=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #396269=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #396270=DIRECTION('',(0.,0.,1.)); #396271=DIRECTION('center_axis',(0.,0.,1.)); #396272=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #396273=DIRECTION('center_axis',(0.,0.,1.)); #396274=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #396275=DIRECTION('center_axis',(0.,0.,1.)); #396276=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #396277=DIRECTION('',(0.,0.,1.)); #396278=DIRECTION('center_axis',(1.,0.,0.)); #396279=DIRECTION('ref_axis',(0.,1.,0.)); #396280=DIRECTION('',(0.,1.,0.)); #396281=DIRECTION('',(0.,1.,0.)); #396282=DIRECTION('',(0.,0.,1.)); #396283=DIRECTION('center_axis',(0.707106781186542,0.707106781186554,0.)); #396284=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186541,0.)); #396285=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #396286=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #396287=DIRECTION('',(0.,0.,1.)); #396288=DIRECTION('center_axis',(0.,1.,0.)); #396289=DIRECTION('ref_axis',(-1.,0.,0.)); #396290=DIRECTION('',(-1.,0.,0.)); #396291=DIRECTION('',(-1.,0.,0.)); #396292=DIRECTION('',(0.,0.,1.)); #396293=DIRECTION('center_axis',(0.,0.,1.)); #396294=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #396295=DIRECTION('center_axis',(0.,0.,1.)); #396296=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #396297=DIRECTION('center_axis',(0.,0.,1.)); #396298=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #396299=DIRECTION('',(0.,0.,1.)); #396300=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #396301=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #396302=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #396303=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #396304=DIRECTION('',(0.,0.,1.)); #396305=DIRECTION('center_axis',(0.,0.,1.)); #396306=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #396307=DIRECTION('center_axis',(0.,0.,1.)); #396308=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #396309=DIRECTION('center_axis',(0.,0.,1.)); #396310=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #396311=DIRECTION('',(0.,0.,1.)); #396312=DIRECTION('center_axis',(1.,0.,0.)); #396313=DIRECTION('ref_axis',(0.,1.,0.)); #396314=DIRECTION('',(0.,1.,0.)); #396315=DIRECTION('',(0.,1.,0.)); #396316=DIRECTION('',(0.,0.,1.)); #396317=DIRECTION('center_axis',(0.,0.,1.)); #396318=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #396319=DIRECTION('center_axis',(0.,0.,1.)); #396320=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #396321=DIRECTION('center_axis',(0.,0.,1.)); #396322=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #396323=DIRECTION('',(0.,0.,1.)); #396324=DIRECTION('center_axis',(0.,0.,1.)); #396325=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #396326=DIRECTION('center_axis',(0.,0.,1.)); #396327=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #396328=DIRECTION('center_axis',(0.,0.,1.)); #396329=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #396330=DIRECTION('',(0.,0.,1.)); #396331=DIRECTION('center_axis',(-1.,0.,0.)); #396332=DIRECTION('ref_axis',(0.,-1.,0.)); #396333=DIRECTION('',(0.,-1.,0.)); #396334=DIRECTION('',(0.,-1.,0.)); #396335=DIRECTION('',(0.,0.,1.)); #396336=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #396337=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #396338=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #396339=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #396340=DIRECTION('',(0.,0.,1.)); #396341=DIRECTION('center_axis',(0.,-1.,0.)); #396342=DIRECTION('ref_axis',(1.,0.,0.)); #396343=DIRECTION('',(1.,0.,0.)); #396344=DIRECTION('',(1.,0.,0.)); #396345=DIRECTION('',(0.,0.,1.)); #396346=DIRECTION('center_axis',(0.,0.,1.)); #396347=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #396348=DIRECTION('center_axis',(0.,0.,1.)); #396349=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #396350=DIRECTION('center_axis',(0.,0.,1.)); #396351=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #396352=DIRECTION('',(0.,0.,1.)); #396353=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #396354=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #396355=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #396356=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #396357=DIRECTION('',(0.,0.,1.)); #396358=DIRECTION('center_axis',(0.,0.,1.)); #396359=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #396360=DIRECTION('center_axis',(0.,0.,1.)); #396361=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #396362=DIRECTION('center_axis',(0.,0.,1.)); #396363=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #396364=DIRECTION('',(0.,0.,1.)); #396365=DIRECTION('center_axis',(-1.,0.,0.)); #396366=DIRECTION('ref_axis',(0.,-1.,0.)); #396367=DIRECTION('',(0.,-1.,0.)); #396368=DIRECTION('',(0.,-1.,0.)); #396369=DIRECTION('',(0.,0.,1.)); #396370=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #396371=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #396372=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #396373=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #396374=DIRECTION('',(0.,0.,1.)); #396375=DIRECTION('center_axis',(0.,-1.,0.)); #396376=DIRECTION('ref_axis',(1.,0.,0.)); #396377=DIRECTION('',(1.,0.,0.)); #396378=DIRECTION('',(1.,0.,0.)); #396379=DIRECTION('',(0.,0.,1.)); #396380=DIRECTION('center_axis',(0.,0.,1.)); #396381=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #396382=DIRECTION('center_axis',(0.,0.,1.)); #396383=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #396384=DIRECTION('center_axis',(0.,0.,1.)); #396385=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #396386=DIRECTION('',(0.,0.,1.)); #396387=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #396388=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #396389=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #396390=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #396391=DIRECTION('',(0.,0.,1.)); #396392=DIRECTION('center_axis',(0.,0.,1.)); #396393=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #396394=DIRECTION('center_axis',(0.,0.,1.)); #396395=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #396396=DIRECTION('center_axis',(0.,0.,1.)); #396397=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #396398=DIRECTION('',(0.,0.,1.)); #396399=DIRECTION('center_axis',(-1.,0.,0.)); #396400=DIRECTION('ref_axis',(0.,-1.,0.)); #396401=DIRECTION('',(0.,-1.,0.)); #396402=DIRECTION('',(0.,-1.,0.)); #396403=DIRECTION('',(0.,0.,1.)); #396404=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #396405=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #396406=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #396407=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #396408=DIRECTION('',(0.,0.,1.)); #396409=DIRECTION('center_axis',(0.,-1.,0.)); #396410=DIRECTION('ref_axis',(1.,0.,0.)); #396411=DIRECTION('',(1.,0.,0.)); #396412=DIRECTION('',(1.,0.,0.)); #396413=DIRECTION('',(0.,0.,1.)); #396414=DIRECTION('center_axis',(1.,0.,0.)); #396415=DIRECTION('ref_axis',(0.,1.,0.)); #396416=DIRECTION('',(0.,1.,0.)); #396417=DIRECTION('',(0.,1.,0.)); #396418=DIRECTION('',(0.,0.,1.)); #396419=DIRECTION('center_axis',(0.,-1.,0.)); #396420=DIRECTION('ref_axis',(1.,0.,0.)); #396421=DIRECTION('',(1.,0.,0.)); #396422=DIRECTION('',(1.,0.,0.)); #396423=DIRECTION('',(0.,0.,1.)); #396424=DIRECTION('center_axis',(-1.,0.,0.)); #396425=DIRECTION('ref_axis',(0.,-1.,0.)); #396426=DIRECTION('',(0.,-1.,0.)); #396427=DIRECTION('',(0.,-1.,0.)); #396428=DIRECTION('',(0.,0.,1.)); #396429=DIRECTION('center_axis',(0.,1.,0.)); #396430=DIRECTION('ref_axis',(-1.,0.,0.)); #396431=DIRECTION('',(-1.,0.,0.)); #396432=DIRECTION('',(-1.,0.,0.)); #396433=DIRECTION('center_axis',(0.,0.,1.)); #396434=DIRECTION('ref_axis',(1.,0.,0.)); #396435=DIRECTION('center_axis',(0.,0.,1.)); #396436=DIRECTION('ref_axis',(1.,0.,0.)); #396437=DIRECTION('center_axis',(0.,0.,1.)); #396438=DIRECTION('ref_axis',(1.,0.,0.)); #396439=DIRECTION('center_axis',(0.,0.,1.)); #396440=DIRECTION('ref_axis',(1.,0.,0.)); #396441=DIRECTION('',(0.,0.,1.)); #396442=DIRECTION('center_axis',(0.,0.,-1.)); #396443=DIRECTION('ref_axis',(1.,0.,0.)); #396444=DIRECTION('center_axis',(1.,0.,0.)); #396445=DIRECTION('ref_axis',(0.,1.,0.)); #396446=DIRECTION('',(0.,1.,0.)); #396447=DIRECTION('',(0.,0.,1.)); #396448=DIRECTION('',(0.,1.,0.)); #396449=DIRECTION('',(0.,0.,1.)); #396450=DIRECTION('center_axis',(0.,-1.,0.)); #396451=DIRECTION('ref_axis',(1.,0.,0.)); #396452=DIRECTION('',(1.,0.,0.)); #396453=DIRECTION('',(1.,0.,0.)); #396454=DIRECTION('',(0.,0.,1.)); #396455=DIRECTION('center_axis',(-1.,0.,0.)); #396456=DIRECTION('ref_axis',(0.,-1.,0.)); #396457=DIRECTION('',(0.,-1.,0.)); #396458=DIRECTION('',(0.,-1.,0.)); #396459=DIRECTION('',(0.,0.,1.)); #396460=DIRECTION('center_axis',(0.,-1.,0.)); #396461=DIRECTION('ref_axis',(1.,0.,0.)); #396462=DIRECTION('',(1.,0.,0.)); #396463=DIRECTION('',(1.,0.,0.)); #396464=DIRECTION('',(0.,0.,1.)); #396465=DIRECTION('center_axis',(0.,0.,1.)); #396466=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396467=DIRECTION('center_axis',(0.,0.,1.)); #396468=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396469=DIRECTION('center_axis',(0.,0.,1.)); #396470=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396471=DIRECTION('',(0.,0.,1.)); #396472=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #396473=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #396474=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #396475=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #396476=DIRECTION('',(0.,0.,1.)); #396477=DIRECTION('center_axis',(0.,0.,1.)); #396478=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396479=DIRECTION('center_axis',(0.,0.,1.)); #396480=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396481=DIRECTION('center_axis',(0.,0.,1.)); #396482=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396483=DIRECTION('',(0.,0.,1.)); #396484=DIRECTION('center_axis',(-1.,0.,0.)); #396485=DIRECTION('ref_axis',(0.,-1.,0.)); #396486=DIRECTION('',(0.,-1.,0.)); #396487=DIRECTION('',(0.,-1.,0.)); #396488=DIRECTION('',(0.,0.,1.)); #396489=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #396490=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #396491=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396492=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396493=DIRECTION('',(0.,0.,1.)); #396494=DIRECTION('center_axis',(0.,0.,1.)); #396495=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #396496=DIRECTION('center_axis',(0.,0.,1.)); #396497=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #396498=DIRECTION('center_axis',(0.,0.,1.)); #396499=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #396500=DIRECTION('',(0.,0.,1.)); #396501=DIRECTION('center_axis',(-1.,0.,0.)); #396502=DIRECTION('ref_axis',(0.,-1.,0.)); #396503=DIRECTION('',(0.,-1.,0.)); #396504=DIRECTION('',(0.,-1.,0.)); #396505=DIRECTION('',(0.,0.,1.)); #396506=DIRECTION('center_axis',(0.,0.,1.)); #396507=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #396508=DIRECTION('center_axis',(0.,0.,1.)); #396509=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #396510=DIRECTION('center_axis',(0.,0.,1.)); #396511=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #396512=DIRECTION('',(0.,0.,1.)); #396513=DIRECTION('center_axis',(0.,0.,1.)); #396514=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #396515=DIRECTION('center_axis',(0.,0.,1.)); #396516=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #396517=DIRECTION('center_axis',(0.,0.,1.)); #396518=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #396519=DIRECTION('',(0.,0.,1.)); #396520=DIRECTION('center_axis',(1.,0.,0.)); #396521=DIRECTION('ref_axis',(0.,1.,0.)); #396522=DIRECTION('',(0.,1.,0.)); #396523=DIRECTION('',(0.,1.,0.)); #396524=DIRECTION('',(0.,0.,1.)); #396525=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #396526=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #396527=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396528=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #396529=DIRECTION('',(0.,0.,1.)); #396530=DIRECTION('center_axis',(0.,0.,1.)); #396531=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396532=DIRECTION('center_axis',(0.,0.,1.)); #396533=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396534=DIRECTION('center_axis',(0.,0.,1.)); #396535=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396536=DIRECTION('',(0.,0.,1.)); #396537=DIRECTION('center_axis',(1.,0.,0.)); #396538=DIRECTION('ref_axis',(0.,1.,0.)); #396539=DIRECTION('',(0.,1.,0.)); #396540=DIRECTION('',(0.,1.,0.)); #396541=DIRECTION('',(0.,0.,1.)); #396542=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #396543=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #396544=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #396545=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #396546=DIRECTION('',(0.,0.,1.)); #396547=DIRECTION('center_axis',(0.,1.,0.)); #396548=DIRECTION('ref_axis',(-1.,0.,0.)); #396549=DIRECTION('',(-1.,0.,0.)); #396550=DIRECTION('',(-1.,0.,0.)); #396551=DIRECTION('',(0.,0.,1.)); #396552=DIRECTION('center_axis',(-1.,0.,0.)); #396553=DIRECTION('ref_axis',(0.,-1.,0.)); #396554=DIRECTION('',(0.,-1.,0.)); #396555=DIRECTION('',(0.,-1.,0.)); #396556=DIRECTION('',(0.,0.,1.)); #396557=DIRECTION('center_axis',(0.,1.,0.)); #396558=DIRECTION('ref_axis',(-1.,0.,0.)); #396559=DIRECTION('',(-1.,0.,0.)); #396560=DIRECTION('',(-1.,0.,0.)); #396561=DIRECTION('center_axis',(0.,0.,1.)); #396562=DIRECTION('ref_axis',(1.,0.,0.)); #396563=DIRECTION('center_axis',(0.,0.,1.)); #396564=DIRECTION('ref_axis',(1.,0.,0.)); #396565=DIRECTION('center_axis',(1.,0.,0.)); #396566=DIRECTION('ref_axis',(0.,1.,0.)); #396567=DIRECTION('',(0.,1.,0.)); #396568=DIRECTION('',(0.,0.,1.)); #396569=DIRECTION('',(0.,1.,0.)); #396570=DIRECTION('',(0.,0.,1.)); #396571=DIRECTION('center_axis',(0.,-1.,0.)); #396572=DIRECTION('ref_axis',(1.,0.,0.)); #396573=DIRECTION('',(1.,0.,0.)); #396574=DIRECTION('',(1.,0.,0.)); #396575=DIRECTION('',(0.,0.,1.)); #396576=DIRECTION('center_axis',(-1.,0.,0.)); #396577=DIRECTION('ref_axis',(0.,-1.,0.)); #396578=DIRECTION('',(0.,-1.,0.)); #396579=DIRECTION('',(0.,-1.,0.)); #396580=DIRECTION('',(0.,0.,1.)); #396581=DIRECTION('center_axis',(0.,1.,0.)); #396582=DIRECTION('ref_axis',(-1.,0.,0.)); #396583=DIRECTION('',(-1.,0.,0.)); #396584=DIRECTION('',(-1.,0.,0.)); #396585=DIRECTION('',(0.,0.,1.)); #396586=DIRECTION('center_axis',(-1.,0.,0.)); #396587=DIRECTION('ref_axis',(0.,-1.,0.)); #396588=DIRECTION('',(0.,-1.,0.)); #396589=DIRECTION('',(0.,-1.,0.)); #396590=DIRECTION('',(0.,0.,1.)); #396591=DIRECTION('center_axis',(0.,-1.,0.)); #396592=DIRECTION('ref_axis',(1.,0.,0.)); #396593=DIRECTION('',(1.,0.,0.)); #396594=DIRECTION('',(1.,0.,0.)); #396595=DIRECTION('',(0.,0.,1.)); #396596=DIRECTION('center_axis',(-1.,0.,0.)); #396597=DIRECTION('ref_axis',(0.,-1.,0.)); #396598=DIRECTION('',(0.,-1.,0.)); #396599=DIRECTION('',(0.,-1.,0.)); #396600=DIRECTION('',(0.,0.,1.)); #396601=DIRECTION('center_axis',(0.,1.,0.)); #396602=DIRECTION('ref_axis',(-1.,0.,0.)); #396603=DIRECTION('',(-1.,0.,0.)); #396604=DIRECTION('',(-1.,0.,0.)); #396605=DIRECTION('',(0.,0.,1.)); #396606=DIRECTION('center_axis',(1.,0.,0.)); #396607=DIRECTION('ref_axis',(0.,1.,0.)); #396608=DIRECTION('',(0.,1.,0.)); #396609=DIRECTION('',(0.,1.,0.)); #396610=DIRECTION('',(0.,0.,1.)); #396611=DIRECTION('center_axis',(0.,-1.,0.)); #396612=DIRECTION('ref_axis',(1.,0.,0.)); #396613=DIRECTION('',(1.,0.,0.)); #396614=DIRECTION('',(1.,0.,0.)); #396615=DIRECTION('',(0.,0.,1.)); #396616=DIRECTION('center_axis',(1.,0.,0.)); #396617=DIRECTION('ref_axis',(0.,1.,0.)); #396618=DIRECTION('',(0.,1.,0.)); #396619=DIRECTION('',(0.,1.,0.)); #396620=DIRECTION('',(0.,0.,1.)); #396621=DIRECTION('center_axis',(0.,1.,0.)); #396622=DIRECTION('ref_axis',(-1.,0.,0.)); #396623=DIRECTION('',(-1.,0.,0.)); #396624=DIRECTION('',(-1.,0.,0.)); #396625=DIRECTION('center_axis',(0.,0.,1.)); #396626=DIRECTION('ref_axis',(1.,0.,0.)); #396627=DIRECTION('center_axis',(0.,0.,1.)); #396628=DIRECTION('ref_axis',(1.,0.,0.)); #396629=DIRECTION('center_axis',(1.,0.,0.)); #396630=DIRECTION('ref_axis',(0.,1.,0.)); #396631=DIRECTION('',(0.,1.,0.)); #396632=DIRECTION('',(0.,0.,1.)); #396633=DIRECTION('',(0.,1.,0.)); #396634=DIRECTION('',(0.,0.,1.)); #396635=DIRECTION('center_axis',(0.,-1.,0.)); #396636=DIRECTION('ref_axis',(1.,0.,0.)); #396637=DIRECTION('',(1.,0.,0.)); #396638=DIRECTION('',(1.,0.,0.)); #396639=DIRECTION('',(0.,0.,1.)); #396640=DIRECTION('center_axis',(-1.,0.,0.)); #396641=DIRECTION('ref_axis',(0.,-1.,0.)); #396642=DIRECTION('',(0.,-1.,0.)); #396643=DIRECTION('',(0.,-1.,0.)); #396644=DIRECTION('',(0.,0.,1.)); #396645=DIRECTION('center_axis',(0.,1.,0.)); #396646=DIRECTION('ref_axis',(-1.,0.,0.)); #396647=DIRECTION('',(-1.,0.,0.)); #396648=DIRECTION('',(-1.,0.,0.)); #396649=DIRECTION('',(0.,0.,1.)); #396650=DIRECTION('center_axis',(-1.,0.,0.)); #396651=DIRECTION('ref_axis',(0.,-1.,0.)); #396652=DIRECTION('',(0.,-1.,0.)); #396653=DIRECTION('',(0.,-1.,0.)); #396654=DIRECTION('',(0.,0.,1.)); #396655=DIRECTION('center_axis',(0.,-1.,0.)); #396656=DIRECTION('ref_axis',(1.,0.,0.)); #396657=DIRECTION('',(1.,0.,0.)); #396658=DIRECTION('',(1.,0.,0.)); #396659=DIRECTION('',(0.,0.,1.)); #396660=DIRECTION('center_axis',(-1.,0.,0.)); #396661=DIRECTION('ref_axis',(0.,-1.,0.)); #396662=DIRECTION('',(0.,-1.,0.)); #396663=DIRECTION('',(0.,-1.,0.)); #396664=DIRECTION('',(0.,0.,1.)); #396665=DIRECTION('center_axis',(0.,1.,0.)); #396666=DIRECTION('ref_axis',(-1.,0.,0.)); #396667=DIRECTION('',(-1.,0.,0.)); #396668=DIRECTION('',(-1.,0.,0.)); #396669=DIRECTION('',(0.,0.,1.)); #396670=DIRECTION('center_axis',(1.,0.,0.)); #396671=DIRECTION('ref_axis',(0.,1.,0.)); #396672=DIRECTION('',(0.,1.,0.)); #396673=DIRECTION('',(0.,1.,0.)); #396674=DIRECTION('',(0.,0.,1.)); #396675=DIRECTION('center_axis',(0.,-1.,0.)); #396676=DIRECTION('ref_axis',(1.,0.,0.)); #396677=DIRECTION('',(1.,0.,0.)); #396678=DIRECTION('',(1.,0.,0.)); #396679=DIRECTION('',(0.,0.,1.)); #396680=DIRECTION('center_axis',(1.,0.,0.)); #396681=DIRECTION('ref_axis',(0.,1.,0.)); #396682=DIRECTION('',(0.,1.,0.)); #396683=DIRECTION('',(0.,1.,0.)); #396684=DIRECTION('',(0.,0.,1.)); #396685=DIRECTION('center_axis',(0.,1.,0.)); #396686=DIRECTION('ref_axis',(-1.,0.,0.)); #396687=DIRECTION('',(-1.,0.,0.)); #396688=DIRECTION('',(-1.,0.,0.)); #396689=DIRECTION('center_axis',(0.,0.,1.)); #396690=DIRECTION('ref_axis',(1.,0.,0.)); #396691=DIRECTION('center_axis',(0.,0.,1.)); #396692=DIRECTION('ref_axis',(1.,0.,0.)); #396693=DIRECTION('center_axis',(0.,0.,1.)); #396694=DIRECTION('ref_axis',(1.,0.,0.)); #396695=DIRECTION('center_axis',(0.,0.,1.)); #396696=DIRECTION('ref_axis',(1.,0.,0.)); #396697=DIRECTION('',(0.,0.,1.)); #396698=DIRECTION('center_axis',(0.,0.,-1.)); #396699=DIRECTION('ref_axis',(1.,0.,0.)); #396700=DIRECTION('center_axis',(1.,0.,0.)); #396701=DIRECTION('ref_axis',(0.,1.,0.)); #396702=DIRECTION('',(0.,1.,0.)); #396703=DIRECTION('',(0.,0.,1.)); #396704=DIRECTION('',(0.,1.,0.)); #396705=DIRECTION('',(0.,0.,1.)); #396706=DIRECTION('center_axis',(0.,-1.,0.)); #396707=DIRECTION('ref_axis',(1.,0.,0.)); #396708=DIRECTION('',(1.,0.,0.)); #396709=DIRECTION('',(1.,0.,0.)); #396710=DIRECTION('',(0.,0.,1.)); #396711=DIRECTION('center_axis',(-1.,0.,0.)); #396712=DIRECTION('ref_axis',(0.,-1.,0.)); #396713=DIRECTION('',(0.,-1.,0.)); #396714=DIRECTION('',(0.,-1.,0.)); #396715=DIRECTION('',(0.,0.,1.)); #396716=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #396717=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #396718=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #396719=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #396720=DIRECTION('',(0.,0.,1.)); #396721=DIRECTION('center_axis',(0.,0.,1.)); #396722=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #396723=DIRECTION('center_axis',(0.,0.,1.)); #396724=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #396725=DIRECTION('center_axis',(0.,0.,1.)); #396726=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #396727=DIRECTION('',(0.,0.,1.)); #396728=DIRECTION('center_axis',(-1.,0.,0.)); #396729=DIRECTION('ref_axis',(0.,-1.,0.)); #396730=DIRECTION('',(0.,-1.,0.)); #396731=DIRECTION('',(0.,-1.,0.)); #396732=DIRECTION('',(0.,0.,1.)); #396733=DIRECTION('center_axis',(0.,0.,1.)); #396734=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #396735=DIRECTION('center_axis',(0.,0.,1.)); #396736=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #396737=DIRECTION('center_axis',(0.,0.,1.)); #396738=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #396739=DIRECTION('',(0.,0.,1.)); #396740=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #396741=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #396742=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #396743=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #396744=DIRECTION('',(0.,0.,1.)); #396745=DIRECTION('center_axis',(0.,0.,1.)); #396746=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #396747=DIRECTION('center_axis',(0.,0.,1.)); #396748=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #396749=DIRECTION('center_axis',(0.,0.,1.)); #396750=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #396751=DIRECTION('',(0.,0.,1.)); #396752=DIRECTION('center_axis',(0.,1.,0.)); #396753=DIRECTION('ref_axis',(-1.,0.,0.)); #396754=DIRECTION('',(-1.,0.,0.)); #396755=DIRECTION('',(-1.,0.,0.)); #396756=DIRECTION('',(0.,0.,1.)); #396757=DIRECTION('center_axis',(0.,0.,1.)); #396758=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #396759=DIRECTION('center_axis',(0.,0.,1.)); #396760=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #396761=DIRECTION('center_axis',(0.,0.,1.)); #396762=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #396763=DIRECTION('',(0.,0.,1.)); #396764=DIRECTION('center_axis',(0.,0.,1.)); #396765=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #396766=DIRECTION('center_axis',(0.,0.,1.)); #396767=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #396768=DIRECTION('center_axis',(0.,0.,1.)); #396769=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #396770=DIRECTION('',(0.,0.,1.)); #396771=DIRECTION('center_axis',(0.,-1.,0.)); #396772=DIRECTION('ref_axis',(1.,0.,0.)); #396773=DIRECTION('',(1.,0.,0.)); #396774=DIRECTION('',(1.,0.,0.)); #396775=DIRECTION('',(0.,0.,1.)); #396776=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396777=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396778=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396779=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396780=DIRECTION('',(0.,0.,1.)); #396781=DIRECTION('center_axis',(1.,0.,0.)); #396782=DIRECTION('ref_axis',(0.,1.,0.)); #396783=DIRECTION('',(0.,1.,0.)); #396784=DIRECTION('',(0.,1.,0.)); #396785=DIRECTION('',(0.,0.,1.)); #396786=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #396787=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #396788=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #396789=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #396790=DIRECTION('',(0.,0.,1.)); #396791=DIRECTION('center_axis',(0.,1.,0.)); #396792=DIRECTION('ref_axis',(-1.,0.,0.)); #396793=DIRECTION('',(-1.,0.,0.)); #396794=DIRECTION('',(-1.,0.,0.)); #396795=DIRECTION('center_axis',(0.,0.,1.)); #396796=DIRECTION('ref_axis',(1.,0.,0.)); #396797=DIRECTION('center_axis',(0.,0.,1.)); #396798=DIRECTION('ref_axis',(1.,0.,0.)); #396799=DIRECTION('center_axis',(0.,0.,1.)); #396800=DIRECTION('ref_axis',(1.,0.,0.)); #396801=DIRECTION('center_axis',(0.,0.,1.)); #396802=DIRECTION('ref_axis',(1.,0.,0.)); #396803=DIRECTION('',(0.,0.,1.)); #396804=DIRECTION('center_axis',(0.,0.,-1.)); #396805=DIRECTION('ref_axis',(1.,0.,0.)); #396806=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #396807=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #396808=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #396809=DIRECTION('',(0.,0.,1.)); #396810=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #396811=DIRECTION('',(0.,0.,1.)); #396812=DIRECTION('center_axis',(0.,0.,1.)); #396813=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #396814=DIRECTION('center_axis',(0.,0.,1.)); #396815=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #396816=DIRECTION('center_axis',(0.,0.,1.)); #396817=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #396818=DIRECTION('',(0.,0.,1.)); #396819=DIRECTION('center_axis',(-1.,0.,0.)); #396820=DIRECTION('ref_axis',(0.,-1.,0.)); #396821=DIRECTION('',(0.,-1.,0.)); #396822=DIRECTION('',(0.,-1.,0.)); #396823=DIRECTION('',(0.,0.,1.)); #396824=DIRECTION('center_axis',(0.,0.,1.)); #396825=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #396826=DIRECTION('center_axis',(0.,0.,1.)); #396827=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #396828=DIRECTION('center_axis',(0.,0.,1.)); #396829=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #396830=DIRECTION('',(0.,0.,1.)); #396831=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396832=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396833=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396834=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396835=DIRECTION('',(0.,0.,1.)); #396836=DIRECTION('center_axis',(0.,0.,1.)); #396837=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #396838=DIRECTION('center_axis',(0.,0.,1.)); #396839=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #396840=DIRECTION('center_axis',(0.,0.,1.)); #396841=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #396842=DIRECTION('',(0.,0.,1.)); #396843=DIRECTION('center_axis',(0.,1.,0.)); #396844=DIRECTION('ref_axis',(-1.,0.,0.)); #396845=DIRECTION('',(-1.,0.,0.)); #396846=DIRECTION('',(-1.,0.,0.)); #396847=DIRECTION('',(0.,0.,1.)); #396848=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396849=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396850=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396851=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396852=DIRECTION('',(0.,0.,1.)); #396853=DIRECTION('center_axis',(-1.,0.,0.)); #396854=DIRECTION('ref_axis',(0.,-1.,0.)); #396855=DIRECTION('',(0.,-1.,0.)); #396856=DIRECTION('',(0.,-1.,0.)); #396857=DIRECTION('',(0.,0.,1.)); #396858=DIRECTION('center_axis',(0.,-1.,0.)); #396859=DIRECTION('ref_axis',(1.,0.,0.)); #396860=DIRECTION('',(1.,0.,0.)); #396861=DIRECTION('',(1.,0.,0.)); #396862=DIRECTION('',(0.,0.,1.)); #396863=DIRECTION('center_axis',(-1.,0.,0.)); #396864=DIRECTION('ref_axis',(0.,-1.,0.)); #396865=DIRECTION('',(0.,-1.,0.)); #396866=DIRECTION('',(0.,-1.,0.)); #396867=DIRECTION('',(0.,0.,1.)); #396868=DIRECTION('center_axis',(0.,1.,0.)); #396869=DIRECTION('ref_axis',(-1.,0.,0.)); #396870=DIRECTION('',(-1.,0.,0.)); #396871=DIRECTION('',(-1.,0.,0.)); #396872=DIRECTION('',(0.,0.,1.)); #396873=DIRECTION('center_axis',(1.,0.,0.)); #396874=DIRECTION('ref_axis',(0.,1.,0.)); #396875=DIRECTION('',(0.,1.,0.)); #396876=DIRECTION('',(0.,1.,0.)); #396877=DIRECTION('',(0.,0.,1.)); #396878=DIRECTION('center_axis',(0.,-1.,0.)); #396879=DIRECTION('ref_axis',(1.,0.,0.)); #396880=DIRECTION('',(1.,0.,0.)); #396881=DIRECTION('',(1.,0.,0.)); #396882=DIRECTION('',(0.,0.,1.)); #396883=DIRECTION('center_axis',(1.,0.,0.)); #396884=DIRECTION('ref_axis',(0.,1.,0.)); #396885=DIRECTION('',(0.,1.,0.)); #396886=DIRECTION('',(0.,1.,0.)); #396887=DIRECTION('',(0.,0.,1.)); #396888=DIRECTION('center_axis',(0.,0.,1.)); #396889=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #396890=DIRECTION('center_axis',(0.,0.,1.)); #396891=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #396892=DIRECTION('center_axis',(0.,0.,1.)); #396893=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #396894=DIRECTION('',(0.,0.,1.)); #396895=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #396896=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #396897=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #396898=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #396899=DIRECTION('',(0.,0.,1.)); #396900=DIRECTION('center_axis',(0.,0.,1.)); #396901=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #396902=DIRECTION('center_axis',(0.,0.,1.)); #396903=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #396904=DIRECTION('center_axis',(0.,0.,1.)); #396905=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #396906=DIRECTION('',(0.,0.,1.)); #396907=DIRECTION('center_axis',(0.,-1.,0.)); #396908=DIRECTION('ref_axis',(1.,0.,0.)); #396909=DIRECTION('',(1.,0.,0.)); #396910=DIRECTION('',(1.,0.,0.)); #396911=DIRECTION('',(0.,0.,1.)); #396912=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #396913=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #396914=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #396915=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #396916=DIRECTION('',(0.,0.,1.)); #396917=DIRECTION('center_axis',(1.,0.,0.)); #396918=DIRECTION('ref_axis',(0.,1.,0.)); #396919=DIRECTION('',(0.,1.,0.)); #396920=DIRECTION('',(0.,1.,0.)); #396921=DIRECTION('',(0.,0.,1.)); #396922=DIRECTION('center_axis',(0.,0.,1.)); #396923=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396924=DIRECTION('center_axis',(0.,0.,1.)); #396925=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396926=DIRECTION('center_axis',(0.,0.,1.)); #396927=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396928=DIRECTION('center_axis',(0.,0.,1.)); #396929=DIRECTION('ref_axis',(1.,0.,0.)); #396930=DIRECTION('center_axis',(0.,0.,1.)); #396931=DIRECTION('ref_axis',(1.,0.,0.)); #396932=DIRECTION('center_axis',(0.,0.,1.)); #396933=DIRECTION('ref_axis',(1.,0.,0.)); #396934=DIRECTION('center_axis',(0.,0.,1.)); #396935=DIRECTION('ref_axis',(1.,0.,0.)); #396936=DIRECTION('',(0.,0.,1.)); #396937=DIRECTION('center_axis',(0.,0.,-1.)); #396938=DIRECTION('ref_axis',(1.,0.,0.)); #396939=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #396940=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #396941=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #396942=DIRECTION('',(0.,0.,1.)); #396943=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #396944=DIRECTION('',(0.,0.,1.)); #396945=DIRECTION('center_axis',(0.,0.,1.)); #396946=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #396947=DIRECTION('center_axis',(0.,0.,1.)); #396948=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #396949=DIRECTION('center_axis',(0.,0.,1.)); #396950=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #396951=DIRECTION('',(0.,0.,1.)); #396952=DIRECTION('center_axis',(0.,0.,1.)); #396953=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #396954=DIRECTION('center_axis',(0.,0.,1.)); #396955=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #396956=DIRECTION('center_axis',(0.,0.,1.)); #396957=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #396958=DIRECTION('',(0.,0.,1.)); #396959=DIRECTION('center_axis',(-0.707094924240216,0.707118637934062,0.)); #396960=DIRECTION('ref_axis',(-0.707118637934062,-0.707094924240216,0.)); #396961=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #396962=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #396963=DIRECTION('',(0.,0.,1.)); #396964=DIRECTION('center_axis',(-1.,0.,0.)); #396965=DIRECTION('ref_axis',(0.,-1.,0.)); #396966=DIRECTION('',(0.,-1.,0.)); #396967=DIRECTION('',(0.,-1.,0.)); #396968=DIRECTION('',(0.,0.,1.)); #396969=DIRECTION('center_axis',(0.,1.,0.)); #396970=DIRECTION('ref_axis',(-1.,0.,0.)); #396971=DIRECTION('',(-1.,0.,0.)); #396972=DIRECTION('',(-1.,0.,0.)); #396973=DIRECTION('',(0.,0.,1.)); #396974=DIRECTION('center_axis',(1.,0.,0.)); #396975=DIRECTION('ref_axis',(0.,1.,0.)); #396976=DIRECTION('',(0.,1.,0.)); #396977=DIRECTION('',(0.,1.,0.)); #396978=DIRECTION('',(0.,0.,1.)); #396979=DIRECTION('center_axis',(0.,-1.,0.)); #396980=DIRECTION('ref_axis',(1.,0.,0.)); #396981=DIRECTION('',(1.,0.,0.)); #396982=DIRECTION('',(1.,0.,0.)); #396983=DIRECTION('',(0.,0.,1.)); #396984=DIRECTION('center_axis',(1.,0.,0.)); #396985=DIRECTION('ref_axis',(0.,1.,0.)); #396986=DIRECTION('',(0.,1.,0.)); #396987=DIRECTION('',(0.,1.,0.)); #396988=DIRECTION('',(0.,0.,1.)); #396989=DIRECTION('center_axis',(0.,0.,1.)); #396990=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #396991=DIRECTION('center_axis',(0.,0.,1.)); #396992=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #396993=DIRECTION('center_axis',(0.,0.,1.)); #396994=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #396995=DIRECTION('',(0.,0.,1.)); #396996=DIRECTION('center_axis',(0.70711134223886,-0.707102220104815,0.)); #396997=DIRECTION('ref_axis',(0.707102220104815,0.70711134223886,0.)); #396998=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #396999=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #397000=DIRECTION('',(0.,0.,1.)); #397001=DIRECTION('center_axis',(0.,0.,1.)); #397002=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #397003=DIRECTION('center_axis',(0.,0.,1.)); #397004=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #397005=DIRECTION('center_axis',(0.,0.,1.)); #397006=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #397007=DIRECTION('center_axis',(0.,0.,1.)); #397008=DIRECTION('ref_axis',(1.,0.,0.)); #397009=DIRECTION('center_axis',(0.,0.,1.)); #397010=DIRECTION('ref_axis',(1.,0.,0.)); #397011=DIRECTION('center_axis',(1.,0.,0.)); #397012=DIRECTION('ref_axis',(0.,1.,0.)); #397013=DIRECTION('',(0.,1.,0.)); #397014=DIRECTION('',(0.,0.,1.)); #397015=DIRECTION('',(0.,1.,0.)); #397016=DIRECTION('',(0.,0.,1.)); #397017=DIRECTION('center_axis',(0.,-1.,0.)); #397018=DIRECTION('ref_axis',(1.,0.,0.)); #397019=DIRECTION('',(1.,0.,0.)); #397020=DIRECTION('',(1.,0.,0.)); #397021=DIRECTION('',(0.,0.,1.)); #397022=DIRECTION('center_axis',(-1.,0.,0.)); #397023=DIRECTION('ref_axis',(0.,-1.,0.)); #397024=DIRECTION('',(0.,-1.,0.)); #397025=DIRECTION('',(0.,-1.,0.)); #397026=DIRECTION('',(0.,0.,1.)); #397027=DIRECTION('center_axis',(0.,-1.,0.)); #397028=DIRECTION('ref_axis',(1.,0.,0.)); #397029=DIRECTION('',(1.,0.,0.)); #397030=DIRECTION('',(1.,0.,0.)); #397031=DIRECTION('',(0.,0.,1.)); #397032=DIRECTION('center_axis',(0.,0.,1.)); #397033=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #397034=DIRECTION('center_axis',(0.,0.,1.)); #397035=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #397036=DIRECTION('center_axis',(0.,0.,1.)); #397037=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #397038=DIRECTION('',(0.,0.,1.)); #397039=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397040=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397041=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397042=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397043=DIRECTION('',(0.,0.,1.)); #397044=DIRECTION('center_axis',(0.,0.,1.)); #397045=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #397046=DIRECTION('center_axis',(0.,0.,1.)); #397047=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #397048=DIRECTION('center_axis',(0.,0.,1.)); #397049=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #397050=DIRECTION('',(0.,0.,1.)); #397051=DIRECTION('center_axis',(-1.,0.,0.)); #397052=DIRECTION('ref_axis',(0.,-1.,0.)); #397053=DIRECTION('',(0.,-1.,0.)); #397054=DIRECTION('',(0.,-1.,0.)); #397055=DIRECTION('',(0.,0.,1.)); #397056=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #397057=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #397058=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #397059=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #397060=DIRECTION('',(0.,0.,1.)); #397061=DIRECTION('center_axis',(0.,-1.,0.)); #397062=DIRECTION('ref_axis',(1.,0.,0.)); #397063=DIRECTION('',(1.,0.,0.)); #397064=DIRECTION('',(1.,0.,0.)); #397065=DIRECTION('',(0.,0.,1.)); #397066=DIRECTION('center_axis',(0.,0.,1.)); #397067=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397068=DIRECTION('center_axis',(0.,0.,1.)); #397069=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397070=DIRECTION('center_axis',(0.,0.,1.)); #397071=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397072=DIRECTION('',(0.,0.,1.)); #397073=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397074=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397075=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397076=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397077=DIRECTION('',(0.,0.,1.)); #397078=DIRECTION('center_axis',(0.,0.,1.)); #397079=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #397080=DIRECTION('center_axis',(0.,0.,1.)); #397081=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #397082=DIRECTION('center_axis',(0.,0.,1.)); #397083=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #397084=DIRECTION('',(0.,0.,1.)); #397085=DIRECTION('center_axis',(-1.,0.,0.)); #397086=DIRECTION('ref_axis',(0.,-1.,0.)); #397087=DIRECTION('',(0.,-1.,0.)); #397088=DIRECTION('',(0.,-1.,0.)); #397089=DIRECTION('',(0.,0.,1.)); #397090=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397091=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397092=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397093=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397094=DIRECTION('',(0.,0.,1.)); #397095=DIRECTION('center_axis',(0.,-1.,0.)); #397096=DIRECTION('ref_axis',(1.,0.,0.)); #397097=DIRECTION('',(1.,0.,0.)); #397098=DIRECTION('',(1.,0.,0.)); #397099=DIRECTION('',(0.,0.,1.)); #397100=DIRECTION('center_axis',(1.,0.,0.)); #397101=DIRECTION('ref_axis',(0.,1.,0.)); #397102=DIRECTION('',(0.,1.,0.)); #397103=DIRECTION('',(0.,1.,0.)); #397104=DIRECTION('',(0.,0.,1.)); #397105=DIRECTION('center_axis',(0.,-1.,0.)); #397106=DIRECTION('ref_axis',(1.,0.,0.)); #397107=DIRECTION('',(1.,0.,0.)); #397108=DIRECTION('',(1.,0.,0.)); #397109=DIRECTION('',(0.,0.,1.)); #397110=DIRECTION('center_axis',(-1.,0.,0.)); #397111=DIRECTION('ref_axis',(0.,-1.,0.)); #397112=DIRECTION('',(0.,-1.,0.)); #397113=DIRECTION('',(0.,-1.,0.)); #397114=DIRECTION('',(0.,0.,1.)); #397115=DIRECTION('center_axis',(0.,1.,0.)); #397116=DIRECTION('ref_axis',(-1.,0.,0.)); #397117=DIRECTION('',(-1.,0.,0.)); #397118=DIRECTION('',(-1.,0.,0.)); #397119=DIRECTION('',(0.,0.,1.)); #397120=DIRECTION('center_axis',(1.,0.,0.)); #397121=DIRECTION('ref_axis',(0.,1.,0.)); #397122=DIRECTION('',(0.,1.,0.)); #397123=DIRECTION('',(0.,1.,0.)); #397124=DIRECTION('',(0.,0.,1.)); #397125=DIRECTION('center_axis',(0.,1.,0.)); #397126=DIRECTION('ref_axis',(-1.,0.,0.)); #397127=DIRECTION('',(-1.,0.,0.)); #397128=DIRECTION('',(-1.,0.,0.)); #397129=DIRECTION('',(0.,0.,1.)); #397130=DIRECTION('center_axis',(0.,0.,1.)); #397131=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397132=DIRECTION('center_axis',(0.,0.,1.)); #397133=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397134=DIRECTION('center_axis',(0.,0.,1.)); #397135=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397136=DIRECTION('',(0.,0.,1.)); #397137=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397138=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397139=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397140=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397141=DIRECTION('',(0.,0.,1.)); #397142=DIRECTION('center_axis',(0.,0.,1.)); #397143=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #397144=DIRECTION('center_axis',(0.,0.,1.)); #397145=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #397146=DIRECTION('center_axis',(0.,0.,1.)); #397147=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #397148=DIRECTION('',(0.,0.,1.)); #397149=DIRECTION('center_axis',(1.,0.,0.)); #397150=DIRECTION('ref_axis',(0.,1.,0.)); #397151=DIRECTION('',(0.,1.,0.)); #397152=DIRECTION('',(0.,1.,0.)); #397153=DIRECTION('',(0.,0.,1.)); #397154=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397155=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397156=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397157=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397158=DIRECTION('',(0.,0.,1.)); #397159=DIRECTION('center_axis',(0.,1.,0.)); #397160=DIRECTION('ref_axis',(-1.,0.,0.)); #397161=DIRECTION('',(-1.,0.,0.)); #397162=DIRECTION('',(-1.,0.,0.)); #397163=DIRECTION('',(0.,0.,1.)); #397164=DIRECTION('center_axis',(0.,0.,1.)); #397165=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397166=DIRECTION('center_axis',(0.,0.,1.)); #397167=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397168=DIRECTION('center_axis',(0.,0.,1.)); #397169=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397170=DIRECTION('',(0.,0.,1.)); #397171=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397172=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397173=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397174=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397175=DIRECTION('',(0.,0.,1.)); #397176=DIRECTION('center_axis',(0.,0.,1.)); #397177=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #397178=DIRECTION('center_axis',(0.,0.,1.)); #397179=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #397180=DIRECTION('center_axis',(0.,0.,1.)); #397181=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #397182=DIRECTION('',(0.,0.,1.)); #397183=DIRECTION('center_axis',(1.,0.,0.)); #397184=DIRECTION('ref_axis',(0.,1.,0.)); #397185=DIRECTION('',(0.,1.,0.)); #397186=DIRECTION('',(0.,1.,0.)); #397187=DIRECTION('',(0.,0.,1.)); #397188=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397189=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397190=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397191=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397192=DIRECTION('',(0.,0.,1.)); #397193=DIRECTION('center_axis',(0.,1.,0.)); #397194=DIRECTION('ref_axis',(-1.,0.,0.)); #397195=DIRECTION('',(-1.,0.,0.)); #397196=DIRECTION('',(-1.,0.,0.)); #397197=DIRECTION('',(0.,0.,1.)); #397198=DIRECTION('center_axis',(-1.,0.,0.)); #397199=DIRECTION('ref_axis',(0.,-1.,0.)); #397200=DIRECTION('',(0.,-1.,0.)); #397201=DIRECTION('',(0.,-1.,0.)); #397202=DIRECTION('',(0.,0.,1.)); #397203=DIRECTION('center_axis',(0.,1.,0.)); #397204=DIRECTION('ref_axis',(-1.,0.,0.)); #397205=DIRECTION('',(-1.,0.,0.)); #397206=DIRECTION('',(-1.,0.,0.)); #397207=DIRECTION('center_axis',(0.,0.,1.)); #397208=DIRECTION('ref_axis',(1.,0.,0.)); #397209=DIRECTION('center_axis',(0.,0.,1.)); #397210=DIRECTION('ref_axis',(1.,0.,0.)); #397211=DIRECTION('center_axis',(0.,0.,1.)); #397212=DIRECTION('ref_axis',(1.,0.,0.)); #397213=DIRECTION('center_axis',(0.,0.,1.)); #397214=DIRECTION('ref_axis',(1.,0.,0.)); #397215=DIRECTION('',(0.,0.,1.)); #397216=DIRECTION('center_axis',(0.,0.,-1.)); #397217=DIRECTION('ref_axis',(1.,0.,0.)); #397218=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #397219=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #397220=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #397221=DIRECTION('',(0.,0.,1.)); #397222=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #397223=DIRECTION('',(0.,0.,1.)); #397224=DIRECTION('center_axis',(0.,0.,1.)); #397225=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #397226=DIRECTION('center_axis',(0.,0.,1.)); #397227=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #397228=DIRECTION('center_axis',(0.,0.,1.)); #397229=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #397230=DIRECTION('',(0.,0.,1.)); #397231=DIRECTION('center_axis',(-1.,0.,0.)); #397232=DIRECTION('ref_axis',(0.,-1.,0.)); #397233=DIRECTION('',(0.,-1.,0.)); #397234=DIRECTION('',(0.,-1.,0.)); #397235=DIRECTION('',(0.,0.,1.)); #397236=DIRECTION('center_axis',(0.,-1.,0.)); #397237=DIRECTION('ref_axis',(1.,0.,0.)); #397238=DIRECTION('',(1.,0.,0.)); #397239=DIRECTION('',(1.,0.,0.)); #397240=DIRECTION('',(0.,0.,1.)); #397241=DIRECTION('center_axis',(-1.,0.,0.)); #397242=DIRECTION('ref_axis',(0.,-1.,0.)); #397243=DIRECTION('',(0.,-1.,0.)); #397244=DIRECTION('',(0.,-1.,0.)); #397245=DIRECTION('',(0.,0.,1.)); #397246=DIRECTION('center_axis',(0.,1.,0.)); #397247=DIRECTION('ref_axis',(-1.,0.,0.)); #397248=DIRECTION('',(-1.,0.,0.)); #397249=DIRECTION('',(-1.,0.,0.)); #397250=DIRECTION('',(0.,0.,1.)); #397251=DIRECTION('center_axis',(1.,0.,0.)); #397252=DIRECTION('ref_axis',(0.,1.,0.)); #397253=DIRECTION('',(0.,1.,0.)); #397254=DIRECTION('',(0.,1.,0.)); #397255=DIRECTION('',(0.,0.,1.)); #397256=DIRECTION('center_axis',(0.,-1.,0.)); #397257=DIRECTION('ref_axis',(1.,0.,0.)); #397258=DIRECTION('',(1.,0.,0.)); #397259=DIRECTION('',(1.,0.,0.)); #397260=DIRECTION('',(0.,0.,1.)); #397261=DIRECTION('center_axis',(1.,0.,0.)); #397262=DIRECTION('ref_axis',(0.,1.,0.)); #397263=DIRECTION('',(0.,1.,0.)); #397264=DIRECTION('',(0.,1.,0.)); #397265=DIRECTION('',(0.,0.,1.)); #397266=DIRECTION('center_axis',(0.,0.,1.)); #397267=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #397268=DIRECTION('center_axis',(0.,0.,1.)); #397269=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #397270=DIRECTION('center_axis',(0.,0.,1.)); #397271=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #397272=DIRECTION('center_axis',(0.,0.,1.)); #397273=DIRECTION('ref_axis',(1.,0.,0.)); #397274=DIRECTION('center_axis',(0.,0.,1.)); #397275=DIRECTION('ref_axis',(1.,0.,0.)); #397276=DIRECTION('center_axis',(0.,0.,1.)); #397277=DIRECTION('ref_axis',(1.,0.,0.)); #397278=DIRECTION('center_axis',(0.,0.,1.)); #397279=DIRECTION('ref_axis',(1.,0.,0.)); #397280=DIRECTION('',(0.,0.,1.)); #397281=DIRECTION('center_axis',(0.,0.,-1.)); #397282=DIRECTION('ref_axis',(1.,0.,0.)); #397283=DIRECTION('center_axis',(0.,0.,1.)); #397284=DIRECTION('ref_axis',(1.,0.,0.)); #397285=DIRECTION('center_axis',(0.,0.,1.)); #397286=DIRECTION('ref_axis',(1.,0.,0.)); #397287=DIRECTION('',(0.,0.,1.)); #397288=DIRECTION('center_axis',(0.,0.,-1.)); #397289=DIRECTION('ref_axis',(1.,0.,0.)); #397290=DIRECTION('center_axis',(-0.993822986070332,-0.11097690011101,0.)); #397291=DIRECTION('ref_axis',(0.11097690011101,-0.993822986070332,0.)); #397292=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #397293=DIRECTION('',(0.,0.,1.)); #397294=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #397295=DIRECTION('',(0.,0.,1.)); #397296=DIRECTION('center_axis',(-1.,0.,0.)); #397297=DIRECTION('ref_axis',(0.,-1.,0.)); #397298=DIRECTION('',(0.,-1.,0.)); #397299=DIRECTION('',(0.,-1.,0.)); #397300=DIRECTION('',(0.,0.,1.)); #397301=DIRECTION('center_axis',(0.,0.,1.)); #397302=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #397303=DIRECTION('center_axis',(0.,0.,1.)); #397304=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #397305=DIRECTION('center_axis',(0.,0.,1.)); #397306=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #397307=DIRECTION('',(0.,0.,1.)); #397308=DIRECTION('center_axis',(0.,0.,1.)); #397309=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #397310=DIRECTION('center_axis',(0.,0.,1.)); #397311=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #397312=DIRECTION('center_axis',(0.,0.,1.)); #397313=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #397314=DIRECTION('',(0.,0.,1.)); #397315=DIRECTION('center_axis',(1.,0.,0.)); #397316=DIRECTION('ref_axis',(0.,1.,0.)); #397317=DIRECTION('',(0.,1.,0.)); #397318=DIRECTION('',(0.,1.,0.)); #397319=DIRECTION('',(0.,0.,1.)); #397320=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397321=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397322=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397323=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397324=DIRECTION('',(0.,0.,1.)); #397325=DIRECTION('center_axis',(0.,0.,1.)); #397326=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #397327=DIRECTION('center_axis',(0.,0.,1.)); #397328=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #397329=DIRECTION('center_axis',(0.,0.,1.)); #397330=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #397331=DIRECTION('',(0.,0.,1.)); #397332=DIRECTION('center_axis',(0.,0.,1.)); #397333=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #397334=DIRECTION('center_axis',(0.,0.,1.)); #397335=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #397336=DIRECTION('center_axis',(0.,0.,1.)); #397337=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #397338=DIRECTION('',(0.,0.,1.)); #397339=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397340=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397341=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #397342=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #397343=DIRECTION('',(0.,0.,1.)); #397344=DIRECTION('center_axis',(1.,0.,0.)); #397345=DIRECTION('ref_axis',(0.,1.,0.)); #397346=DIRECTION('',(0.,1.,0.)); #397347=DIRECTION('',(0.,1.,0.)); #397348=DIRECTION('',(0.,0.,1.)); #397349=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #397350=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #397351=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #397352=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #397353=DIRECTION('',(0.,0.,1.)); #397354=DIRECTION('center_axis',(0.,1.,0.)); #397355=DIRECTION('ref_axis',(-1.,0.,0.)); #397356=DIRECTION('',(-1.,0.,0.)); #397357=DIRECTION('',(-1.,0.,0.)); #397358=DIRECTION('',(0.,0.,1.)); #397359=DIRECTION('center_axis',(-1.,0.,0.)); #397360=DIRECTION('ref_axis',(0.,-1.,0.)); #397361=DIRECTION('',(0.,-1.,0.)); #397362=DIRECTION('',(0.,-1.,0.)); #397363=DIRECTION('',(0.,0.,1.)); #397364=DIRECTION('center_axis',(0.,1.,0.)); #397365=DIRECTION('ref_axis',(-1.,0.,0.)); #397366=DIRECTION('',(-1.,0.,0.)); #397367=DIRECTION('',(-1.,0.,0.)); #397368=DIRECTION('',(0.,0.,1.)); #397369=DIRECTION('center_axis',(1.,0.,0.)); #397370=DIRECTION('ref_axis',(0.,1.,0.)); #397371=DIRECTION('',(0.,1.,0.)); #397372=DIRECTION('',(0.,1.,0.)); #397373=DIRECTION('',(0.,0.,1.)); #397374=DIRECTION('center_axis',(0.,-1.,0.)); #397375=DIRECTION('ref_axis',(1.,0.,0.)); #397376=DIRECTION('',(1.,0.,0.)); #397377=DIRECTION('',(1.,0.,0.)); #397378=DIRECTION('',(0.,0.,1.)); #397379=DIRECTION('center_axis',(-1.,0.,0.)); #397380=DIRECTION('ref_axis',(0.,-1.,0.)); #397381=DIRECTION('',(0.,-1.,0.)); #397382=DIRECTION('',(0.,-1.,0.)); #397383=DIRECTION('',(0.,0.,1.)); #397384=DIRECTION('center_axis',(0.,-1.,0.)); #397385=DIRECTION('ref_axis',(1.,0.,0.)); #397386=DIRECTION('',(1.,0.,0.)); #397387=DIRECTION('',(1.,0.,0.)); #397388=DIRECTION('',(0.,0.,1.)); #397389=DIRECTION('center_axis',(0.,0.,1.)); #397390=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #397391=DIRECTION('center_axis',(0.,0.,1.)); #397392=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #397393=DIRECTION('center_axis',(0.,0.,1.)); #397394=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #397395=DIRECTION('',(0.,0.,1.)); #397396=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397397=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397398=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397399=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397400=DIRECTION('',(0.,0.,1.)); #397401=DIRECTION('center_axis',(0.,0.,1.)); #397402=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #397403=DIRECTION('center_axis',(0.,0.,1.)); #397404=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #397405=DIRECTION('center_axis',(0.,0.,1.)); #397406=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #397407=DIRECTION('center_axis',(0.,0.,1.)); #397408=DIRECTION('ref_axis',(1.,0.,0.)); #397409=DIRECTION('center_axis',(0.,0.,1.)); #397410=DIRECTION('ref_axis',(1.,0.,0.)); #397411=DIRECTION('center_axis',(1.,0.,0.)); #397412=DIRECTION('ref_axis',(0.,1.,0.)); #397413=DIRECTION('',(0.,1.,0.)); #397414=DIRECTION('',(0.,0.,1.)); #397415=DIRECTION('',(0.,1.,0.)); #397416=DIRECTION('',(0.,0.,1.)); #397417=DIRECTION('center_axis',(0.,-1.,0.)); #397418=DIRECTION('ref_axis',(1.,0.,0.)); #397419=DIRECTION('',(1.,0.,0.)); #397420=DIRECTION('',(1.,0.,0.)); #397421=DIRECTION('',(0.,0.,1.)); #397422=DIRECTION('center_axis',(-1.,0.,0.)); #397423=DIRECTION('ref_axis',(0.,-1.,0.)); #397424=DIRECTION('',(0.,-1.,0.)); #397425=DIRECTION('',(0.,-1.,0.)); #397426=DIRECTION('',(0.,0.,1.)); #397427=DIRECTION('center_axis',(0.,-1.,0.)); #397428=DIRECTION('ref_axis',(1.,0.,0.)); #397429=DIRECTION('',(1.,0.,0.)); #397430=DIRECTION('',(1.,0.,0.)); #397431=DIRECTION('',(0.,0.,1.)); #397432=DIRECTION('center_axis',(0.,0.,1.)); #397433=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #397434=DIRECTION('center_axis',(0.,0.,1.)); #397435=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #397436=DIRECTION('center_axis',(0.,0.,1.)); #397437=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #397438=DIRECTION('',(0.,0.,1.)); #397439=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #397440=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #397441=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #397442=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #397443=DIRECTION('',(0.,0.,1.)); #397444=DIRECTION('center_axis',(0.,-1.,0.)); #397445=DIRECTION('ref_axis',(1.,0.,0.)); #397446=DIRECTION('',(1.,0.,0.)); #397447=DIRECTION('',(1.,0.,0.)); #397448=DIRECTION('',(0.,0.,1.)); #397449=DIRECTION('center_axis',(-1.,0.,0.)); #397450=DIRECTION('ref_axis',(0.,-1.,0.)); #397451=DIRECTION('',(0.,-1.,0.)); #397452=DIRECTION('',(0.,-1.,0.)); #397453=DIRECTION('',(0.,0.,1.)); #397454=DIRECTION('center_axis',(0.,1.,0.)); #397455=DIRECTION('ref_axis',(-1.,0.,0.)); #397456=DIRECTION('',(-1.,0.,0.)); #397457=DIRECTION('',(-1.,0.,0.)); #397458=DIRECTION('',(0.,0.,1.)); #397459=DIRECTION('center_axis',(1.,0.,0.)); #397460=DIRECTION('ref_axis',(0.,1.,0.)); #397461=DIRECTION('',(0.,1.,0.)); #397462=DIRECTION('',(0.,1.,0.)); #397463=DIRECTION('',(0.,0.,1.)); #397464=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #397465=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #397466=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #397467=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #397468=DIRECTION('',(0.,0.,1.)); #397469=DIRECTION('center_axis',(0.,1.,0.)); #397470=DIRECTION('ref_axis',(-1.,0.,0.)); #397471=DIRECTION('',(-1.,0.,0.)); #397472=DIRECTION('',(-1.,0.,0.)); #397473=DIRECTION('',(0.,0.,1.)); #397474=DIRECTION('center_axis',(-1.,0.,0.)); #397475=DIRECTION('ref_axis',(0.,-1.,0.)); #397476=DIRECTION('',(0.,-1.,0.)); #397477=DIRECTION('',(0.,-1.,0.)); #397478=DIRECTION('',(0.,0.,1.)); #397479=DIRECTION('center_axis',(0.,1.,0.)); #397480=DIRECTION('ref_axis',(-1.,0.,0.)); #397481=DIRECTION('',(-1.,0.,0.)); #397482=DIRECTION('',(-1.,0.,0.)); #397483=DIRECTION('center_axis',(0.,0.,1.)); #397484=DIRECTION('ref_axis',(1.,0.,0.)); #397485=DIRECTION('center_axis',(0.,0.,1.)); #397486=DIRECTION('ref_axis',(1.,0.,0.)); #397487=DIRECTION('center_axis',(0.,0.,1.)); #397488=DIRECTION('ref_axis',(1.,0.,0.)); #397489=DIRECTION('center_axis',(0.,0.,1.)); #397490=DIRECTION('ref_axis',(1.,0.,0.)); #397491=DIRECTION('',(0.,0.,1.)); #397492=DIRECTION('center_axis',(0.,0.,-1.)); #397493=DIRECTION('ref_axis',(1.,0.,0.)); #397494=DIRECTION('center_axis',(0.,0.,1.)); #397495=DIRECTION('ref_axis',(1.,0.,0.)); #397496=DIRECTION('center_axis',(0.,0.,1.)); #397497=DIRECTION('ref_axis',(1.,0.,0.)); #397498=DIRECTION('',(0.,0.,1.)); #397499=DIRECTION('center_axis',(0.,0.,-1.)); #397500=DIRECTION('ref_axis',(1.,0.,0.)); #397501=DIRECTION('center_axis',(0.,0.,1.)); #397502=DIRECTION('ref_axis',(1.,0.,0.)); #397503=DIRECTION('center_axis',(0.,0.,1.)); #397504=DIRECTION('ref_axis',(1.,0.,0.)); #397505=DIRECTION('',(0.,0.,1.)); #397506=DIRECTION('center_axis',(0.,0.,-1.)); #397507=DIRECTION('ref_axis',(1.,0.,0.)); #397508=DIRECTION('center_axis',(0.,0.,1.)); #397509=DIRECTION('ref_axis',(1.,0.,0.)); #397510=DIRECTION('center_axis',(0.,0.,1.)); #397511=DIRECTION('ref_axis',(1.,0.,0.)); #397512=DIRECTION('',(0.,0.,1.)); #397513=DIRECTION('center_axis',(0.,0.,-1.)); #397514=DIRECTION('ref_axis',(1.,0.,0.)); #397515=DIRECTION('center_axis',(0.,0.,1.)); #397516=DIRECTION('ref_axis',(1.,0.,0.)); #397517=DIRECTION('center_axis',(0.,0.,1.)); #397518=DIRECTION('ref_axis',(1.,0.,0.)); #397519=DIRECTION('',(0.,0.,1.)); #397520=DIRECTION('center_axis',(0.,0.,-1.)); #397521=DIRECTION('ref_axis',(1.,0.,0.)); #397522=DIRECTION('center_axis',(0.,0.,1.)); #397523=DIRECTION('ref_axis',(1.,0.,0.)); #397524=DIRECTION('center_axis',(0.,0.,1.)); #397525=DIRECTION('ref_axis',(1.,0.,0.)); #397526=DIRECTION('',(0.,0.,1.)); #397527=DIRECTION('center_axis',(0.,0.,-1.)); #397528=DIRECTION('ref_axis',(1.,0.,0.)); #397529=DIRECTION('center_axis',(0.,0.,1.)); #397530=DIRECTION('ref_axis',(1.,0.,0.)); #397531=DIRECTION('center_axis',(0.,0.,1.)); #397532=DIRECTION('ref_axis',(1.,0.,0.)); #397533=DIRECTION('',(0.,0.,1.)); #397534=DIRECTION('center_axis',(0.,0.,-1.)); #397535=DIRECTION('ref_axis',(1.,0.,0.)); #397536=DIRECTION('center_axis',(0.,0.,1.)); #397537=DIRECTION('ref_axis',(1.,0.,0.)); #397538=DIRECTION('center_axis',(0.,0.,1.)); #397539=DIRECTION('ref_axis',(1.,0.,0.)); #397540=DIRECTION('',(0.,0.,1.)); #397541=DIRECTION('center_axis',(0.,0.,-1.)); #397542=DIRECTION('ref_axis',(1.,0.,0.)); #397543=DIRECTION('center_axis',(0.,0.,1.)); #397544=DIRECTION('ref_axis',(1.,0.,0.)); #397545=DIRECTION('center_axis',(0.,0.,1.)); #397546=DIRECTION('ref_axis',(1.,0.,0.)); #397547=DIRECTION('',(0.,0.,1.)); #397548=DIRECTION('center_axis',(0.,0.,-1.)); #397549=DIRECTION('ref_axis',(1.,0.,0.)); #397550=DIRECTION('center_axis',(0.,0.,1.)); #397551=DIRECTION('ref_axis',(1.,0.,0.)); #397552=DIRECTION('center_axis',(0.,0.,1.)); #397553=DIRECTION('ref_axis',(1.,0.,0.)); #397554=DIRECTION('',(0.,0.,1.)); #397555=DIRECTION('center_axis',(0.,0.,-1.)); #397556=DIRECTION('ref_axis',(1.,0.,0.)); #397557=DIRECTION('center_axis',(0.,0.,1.)); #397558=DIRECTION('ref_axis',(1.,0.,0.)); #397559=DIRECTION('center_axis',(0.,0.,1.)); #397560=DIRECTION('ref_axis',(1.,0.,0.)); #397561=DIRECTION('',(0.,0.,1.)); #397562=DIRECTION('center_axis',(0.,0.,-1.)); #397563=DIRECTION('ref_axis',(1.,0.,0.)); #397564=DIRECTION('center_axis',(1.,0.,0.)); #397565=DIRECTION('ref_axis',(0.,1.,0.)); #397566=DIRECTION('',(0.,1.,0.)); #397567=DIRECTION('',(0.,0.,1.)); #397568=DIRECTION('',(0.,1.,0.)); #397569=DIRECTION('',(0.,0.,1.)); #397570=DIRECTION('center_axis',(0.,-1.,0.)); #397571=DIRECTION('ref_axis',(1.,0.,0.)); #397572=DIRECTION('',(1.,0.,0.)); #397573=DIRECTION('',(1.,0.,0.)); #397574=DIRECTION('',(0.,0.,1.)); #397575=DIRECTION('center_axis',(-1.,0.,0.)); #397576=DIRECTION('ref_axis',(0.,-1.,0.)); #397577=DIRECTION('',(0.,-1.,0.)); #397578=DIRECTION('',(0.,-1.,0.)); #397579=DIRECTION('',(0.,0.,1.)); #397580=DIRECTION('center_axis',(0.,-1.,0.)); #397581=DIRECTION('ref_axis',(1.,0.,0.)); #397582=DIRECTION('',(1.,0.,0.)); #397583=DIRECTION('',(1.,0.,0.)); #397584=DIRECTION('',(0.,0.,1.)); #397585=DIRECTION('center_axis',(0.,0.,1.)); #397586=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #397587=DIRECTION('center_axis',(0.,0.,1.)); #397588=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #397589=DIRECTION('center_axis',(0.,0.,1.)); #397590=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #397591=DIRECTION('',(0.,0.,1.)); #397592=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397593=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397594=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397595=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397596=DIRECTION('',(0.,0.,1.)); #397597=DIRECTION('center_axis',(0.,0.,1.)); #397598=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #397599=DIRECTION('center_axis',(0.,0.,1.)); #397600=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #397601=DIRECTION('center_axis',(0.,0.,1.)); #397602=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #397603=DIRECTION('',(0.,0.,1.)); #397604=DIRECTION('center_axis',(-1.,0.,0.)); #397605=DIRECTION('ref_axis',(0.,-1.,0.)); #397606=DIRECTION('',(0.,-1.,0.)); #397607=DIRECTION('',(0.,-1.,0.)); #397608=DIRECTION('',(0.,0.,1.)); #397609=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397610=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397611=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397612=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397613=DIRECTION('',(0.,0.,1.)); #397614=DIRECTION('center_axis',(0.,-1.,0.)); #397615=DIRECTION('ref_axis',(1.,0.,0.)); #397616=DIRECTION('',(1.,0.,0.)); #397617=DIRECTION('',(1.,0.,0.)); #397618=DIRECTION('',(0.,0.,1.)); #397619=DIRECTION('center_axis',(1.,0.,0.)); #397620=DIRECTION('ref_axis',(0.,1.,0.)); #397621=DIRECTION('',(0.,1.,0.)); #397622=DIRECTION('',(0.,1.,0.)); #397623=DIRECTION('',(0.,0.,1.)); #397624=DIRECTION('center_axis',(0.987649512185963,0.156679421363592,0.)); #397625=DIRECTION('ref_axis',(-0.156679421363592,0.987649512185963,0.)); #397626=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #397627=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #397628=DIRECTION('',(0.,0.,1.)); #397629=DIRECTION('center_axis',(0.12543811388941,0.992101446215994,0.)); #397630=DIRECTION('ref_axis',(-0.992101446215994,0.12543811388941,0.)); #397631=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #397632=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #397633=DIRECTION('',(0.,0.,1.)); #397634=DIRECTION('center_axis',(0.,1.,0.)); #397635=DIRECTION('ref_axis',(-1.,0.,0.)); #397636=DIRECTION('',(-1.,0.,0.)); #397637=DIRECTION('',(-1.,0.,0.)); #397638=DIRECTION('',(0.,0.,1.)); #397639=DIRECTION('center_axis',(-0.156679421363592,0.987649512185963,0.)); #397640=DIRECTION('ref_axis',(-0.987649512185963,-0.156679421363592,0.)); #397641=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #397642=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #397643=DIRECTION('',(0.,0.,1.)); #397644=DIRECTION('center_axis',(-0.992101446215994,0.12543811388941,0.)); #397645=DIRECTION('ref_axis',(-0.12543811388941,-0.992101446215994,0.)); #397646=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #397647=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #397648=DIRECTION('',(0.,0.,1.)); #397649=DIRECTION('center_axis',(-1.,0.,0.)); #397650=DIRECTION('ref_axis',(0.,-1.,0.)); #397651=DIRECTION('',(0.,-1.,0.)); #397652=DIRECTION('',(0.,-1.,0.)); #397653=DIRECTION('',(0.,0.,1.)); #397654=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #397655=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #397656=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #397657=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #397658=DIRECTION('',(0.,0.,1.)); #397659=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #397660=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #397661=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #397662=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #397663=DIRECTION('',(0.,0.,1.)); #397664=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #397665=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #397666=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #397667=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #397668=DIRECTION('',(0.,0.,1.)); #397669=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #397670=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #397671=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #397672=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #397673=DIRECTION('',(0.,0.,1.)); #397674=DIRECTION('center_axis',(0.,1.,0.)); #397675=DIRECTION('ref_axis',(-1.,0.,0.)); #397676=DIRECTION('',(-1.,0.,0.)); #397677=DIRECTION('',(-1.,0.,0.)); #397678=DIRECTION('',(0.,0.,1.)); #397679=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #397680=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #397681=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #397682=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #397683=DIRECTION('',(0.,0.,1.)); #397684=DIRECTION('center_axis',(0.552227809242827,0.833693256958978,0.)); #397685=DIRECTION('ref_axis',(-0.833693256958978,0.552227809242827,0.)); #397686=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #397687=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #397688=DIRECTION('',(0.,0.,1.)); #397689=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397690=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397691=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397692=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397693=DIRECTION('',(0.,0.,1.)); #397694=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #397695=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #397696=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #397697=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #397698=DIRECTION('',(0.,0.,1.)); #397699=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #397700=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #397701=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #397702=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #397703=DIRECTION('',(0.,0.,1.)); #397704=DIRECTION('center_axis',(1.,0.,0.)); #397705=DIRECTION('ref_axis',(0.,1.,0.)); #397706=DIRECTION('',(0.,1.,0.)); #397707=DIRECTION('',(0.,1.,0.)); #397708=DIRECTION('',(0.,0.,1.)); #397709=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #397710=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #397711=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #397712=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #397713=DIRECTION('',(0.,0.,1.)); #397714=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #397715=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #397716=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #397717=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #397718=DIRECTION('',(0.,0.,1.)); #397719=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #397720=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #397721=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #397722=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #397723=DIRECTION('',(0.,0.,1.)); #397724=DIRECTION('center_axis',(0.199010641789328,-0.979997328799727,0.)); #397725=DIRECTION('ref_axis',(0.979997328799727,0.199010641789328,0.)); #397726=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #397727=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #397728=DIRECTION('',(0.,0.,1.)); #397729=DIRECTION('center_axis',(0.,-1.,0.)); #397730=DIRECTION('ref_axis',(1.,0.,0.)); #397731=DIRECTION('',(1.,0.,0.)); #397732=DIRECTION('',(1.,0.,0.)); #397733=DIRECTION('',(0.,0.,1.)); #397734=DIRECTION('center_axis',(0.140944937191828,-0.990017436553514,0.)); #397735=DIRECTION('ref_axis',(0.990017436553514,0.140944937191828,0.)); #397736=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #397737=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #397738=DIRECTION('',(0.,0.,1.)); #397739=DIRECTION('center_axis',(0.613211584811163,-0.789918699774466,0.)); #397740=DIRECTION('ref_axis',(0.789918699774466,0.613211584811163,0.)); #397741=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #397742=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #397743=DIRECTION('',(0.,0.,1.)); #397744=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397745=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397746=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397747=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397748=DIRECTION('',(0.,0.,1.)); #397749=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #397750=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #397751=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #397752=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #397753=DIRECTION('',(0.,0.,1.)); #397754=DIRECTION('center_axis',(0.992121345390277,-0.125280629073241,0.)); #397755=DIRECTION('ref_axis',(0.125280629073241,0.992121345390277,0.)); #397756=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #397757=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #397758=DIRECTION('',(0.,0.,1.)); #397759=DIRECTION('center_axis',(1.,0.,0.)); #397760=DIRECTION('ref_axis',(0.,1.,0.)); #397761=DIRECTION('',(0.,1.,0.)); #397762=DIRECTION('',(0.,1.,0.)); #397763=DIRECTION('',(0.,0.,1.)); #397764=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #397765=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #397766=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #397767=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #397768=DIRECTION('',(0.,0.,1.)); #397769=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #397770=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #397771=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #397772=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #397773=DIRECTION('',(0.,0.,1.)); #397774=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397775=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397776=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397777=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397778=DIRECTION('',(0.,0.,1.)); #397779=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #397780=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #397781=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #397782=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #397783=DIRECTION('',(0.,0.,1.)); #397784=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #397785=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #397786=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #397787=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #397788=DIRECTION('',(0.,0.,1.)); #397789=DIRECTION('center_axis',(0.,-1.,0.)); #397790=DIRECTION('ref_axis',(1.,0.,0.)); #397791=DIRECTION('',(1.,0.,0.)); #397792=DIRECTION('',(1.,0.,0.)); #397793=DIRECTION('',(0.,0.,1.)); #397794=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #397795=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #397796=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #397797=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #397798=DIRECTION('',(0.,0.,1.)); #397799=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #397800=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #397801=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #397802=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #397803=DIRECTION('',(0.,0.,1.)); #397804=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #397805=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #397806=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #397807=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #397808=DIRECTION('',(0.,0.,1.)); #397809=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #397810=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #397811=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #397812=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #397813=DIRECTION('',(0.,0.,1.)); #397814=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #397815=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #397816=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #397817=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #397818=DIRECTION('',(0.,0.,1.)); #397819=DIRECTION('center_axis',(-1.,0.,0.)); #397820=DIRECTION('ref_axis',(0.,-1.,0.)); #397821=DIRECTION('',(0.,-1.,0.)); #397822=DIRECTION('',(0.,-1.,0.)); #397823=DIRECTION('',(0.,0.,1.)); #397824=DIRECTION('center_axis',(-0.995393973877089,-0.095868851923743, 0.)); #397825=DIRECTION('ref_axis',(0.095868851923743,-0.995393973877089,0.)); #397826=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #397827=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #397828=DIRECTION('',(0.,0.,1.)); #397829=DIRECTION('center_axis',(-0.94971778516927,-0.313107215715603,0.)); #397830=DIRECTION('ref_axis',(0.313107215715603,-0.94971778516927,0.)); #397831=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #397832=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #397833=DIRECTION('',(0.,0.,1.)); #397834=DIRECTION('center_axis',(-0.842364599557948,-0.538908045413667, 0.)); #397835=DIRECTION('ref_axis',(0.538908045413667,-0.842364599557948,0.)); #397836=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #397837=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #397838=DIRECTION('',(0.,0.,1.)); #397839=DIRECTION('center_axis',(-0.768221180734969,-0.640184518299349, 0.)); #397840=DIRECTION('ref_axis',(0.640184518299349,-0.768221180734969,0.)); #397841=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #397842=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #397843=DIRECTION('',(0.,0.,1.)); #397844=DIRECTION('center_axis',(-0.853752470878315,-0.52067909355876,0.)); #397845=DIRECTION('ref_axis',(0.52067909355876,-0.853752470878315,0.)); #397846=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #397847=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #397848=DIRECTION('',(0.,0.,1.)); #397849=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #397850=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #397851=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #397852=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #397853=DIRECTION('',(0.,0.,1.)); #397854=DIRECTION('center_axis',(-1.,0.,0.)); #397855=DIRECTION('ref_axis',(0.,-1.,0.)); #397856=DIRECTION('',(0.,-1.,0.)); #397857=DIRECTION('',(0.,-1.,0.)); #397858=DIRECTION('',(0.,0.,1.)); #397859=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #397860=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #397861=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #397862=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #397863=DIRECTION('',(0.,0.,1.)); #397864=DIRECTION('center_axis',(-0.833699290017718,0.552218701081331,0.)); #397865=DIRECTION('ref_axis',(-0.552218701081331,-0.833699290017718,0.)); #397866=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #397867=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #397868=DIRECTION('',(0.,0.,1.)); #397869=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #397870=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #397871=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #397872=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #397873=DIRECTION('',(0.,0.,1.)); #397874=DIRECTION('center_axis',(-0.558856232804961,0.829264560351549,0.)); #397875=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804961,0.)); #397876=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #397877=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #397878=DIRECTION('',(0.,0.,1.)); #397879=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #397880=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #397881=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #397882=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #397883=DIRECTION('',(0.,0.,1.)); #397884=DIRECTION('center_axis',(0.,1.,0.)); #397885=DIRECTION('ref_axis',(-1.,0.,0.)); #397886=DIRECTION('',(-1.,0.,0.)); #397887=DIRECTION('',(-1.,0.,0.)); #397888=DIRECTION('',(0.,0.,1.)); #397889=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #397890=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #397891=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #397892=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #397893=DIRECTION('',(0.,0.,1.)); #397894=DIRECTION('center_axis',(0.538928498327897,0.842351514327622,0.)); #397895=DIRECTION('ref_axis',(-0.842351514327622,0.538928498327897,0.)); #397896=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #397897=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #397898=DIRECTION('',(0.,0.,1.)); #397899=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #397900=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #397901=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #397902=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #397903=DIRECTION('',(0.,0.,1.)); #397904=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #397905=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #397906=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #397907=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #397908=DIRECTION('',(0.,0.,1.)); #397909=DIRECTION('center_axis',(1.,0.,0.)); #397910=DIRECTION('ref_axis',(0.,1.,0.)); #397911=DIRECTION('',(0.,1.,0.)); #397912=DIRECTION('',(0.,1.,0.)); #397913=DIRECTION('',(0.,0.,1.)); #397914=DIRECTION('center_axis',(0.707106781186521,0.707106781186574,0.)); #397915=DIRECTION('ref_axis',(-0.707106781186574,0.707106781186521,0.)); #397916=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #397917=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #397918=DIRECTION('',(0.,0.,1.)); #397919=DIRECTION('center_axis',(0.,1.,0.)); #397920=DIRECTION('ref_axis',(-1.,0.,0.)); #397921=DIRECTION('',(-1.,0.,0.)); #397922=DIRECTION('',(-1.,0.,0.)); #397923=DIRECTION('',(0.,0.,1.)); #397924=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #397925=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #397926=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #397927=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #397928=DIRECTION('',(0.,0.,1.)); #397929=DIRECTION('center_axis',(-1.,0.,0.)); #397930=DIRECTION('ref_axis',(0.,-1.,0.)); #397931=DIRECTION('',(0.,-1.,0.)); #397932=DIRECTION('',(0.,-1.,0.)); #397933=DIRECTION('',(0.,0.,1.)); #397934=DIRECTION('center_axis',(-0.983054265471482,0.183314787014918,0.)); #397935=DIRECTION('ref_axis',(-0.183314787014918,-0.983054265471482,0.)); #397936=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #397937=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #397938=DIRECTION('',(0.,0.,1.)); #397939=DIRECTION('center_axis',(-0.842351514327714,0.538928498327753,0.)); #397940=DIRECTION('ref_axis',(-0.538928498327753,-0.842351514327714,0.)); #397941=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #397942=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #397943=DIRECTION('',(0.,0.,1.)); #397944=DIRECTION('center_axis',(-0.565473758099673,0.824766287441862,0.)); #397945=DIRECTION('ref_axis',(-0.824766287441862,-0.565473758099673,0.)); #397946=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #397947=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #397948=DIRECTION('',(0.,0.,1.)); #397949=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #397950=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #397951=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #397952=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #397953=DIRECTION('',(0.,0.,1.)); #397954=DIRECTION('center_axis',(0.,1.,0.)); #397955=DIRECTION('ref_axis',(-1.,0.,0.)); #397956=DIRECTION('',(-1.,0.,0.)); #397957=DIRECTION('',(-1.,0.,0.)); #397958=DIRECTION('',(0.,0.,1.)); #397959=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #397960=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #397961=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #397962=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #397963=DIRECTION('',(0.,0.,1.)); #397964=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #397965=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #397966=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #397967=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #397968=DIRECTION('',(0.,0.,1.)); #397969=DIRECTION('center_axis',(0.707106781186566,0.707106781186529,0.)); #397970=DIRECTION('ref_axis',(-0.707106781186529,0.707106781186566,0.)); #397971=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #397972=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #397973=DIRECTION('',(0.,0.,1.)); #397974=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #397975=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #397976=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #397977=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #397978=DIRECTION('',(0.,0.,1.)); #397979=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #397980=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #397981=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #397982=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #397983=DIRECTION('',(0.,0.,1.)); #397984=DIRECTION('center_axis',(1.,0.,0.)); #397985=DIRECTION('ref_axis',(0.,1.,0.)); #397986=DIRECTION('',(0.,1.,0.)); #397987=DIRECTION('',(0.,1.,0.)); #397988=DIRECTION('',(0.,0.,1.)); #397989=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #397990=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #397991=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #397992=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #397993=DIRECTION('',(0.,0.,1.)); #397994=DIRECTION('center_axis',(0.,1.,0.)); #397995=DIRECTION('ref_axis',(-1.,0.,0.)); #397996=DIRECTION('',(-1.,0.,0.)); #397997=DIRECTION('',(-1.,0.,0.)); #397998=DIRECTION('',(0.,0.,1.)); #397999=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #398000=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #398001=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #398002=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #398003=DIRECTION('',(0.,0.,1.)); #398004=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #398005=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #398006=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #398007=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #398008=DIRECTION('',(0.,0.,1.)); #398009=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #398010=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #398011=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #398012=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #398013=DIRECTION('',(0.,0.,1.)); #398014=DIRECTION('center_axis',(0.829258128535986,0.558865776602034,0.)); #398015=DIRECTION('ref_axis',(-0.558865776602034,0.829258128535985,0.)); #398016=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #398017=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #398018=DIRECTION('',(0.,0.,1.)); #398019=DIRECTION('center_axis',(0.979998112340244,0.199006783325492,0.)); #398020=DIRECTION('ref_axis',(-0.199006783325492,0.979998112340244,0.)); #398021=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #398022=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #398023=DIRECTION('',(0.,0.,1.)); #398024=DIRECTION('center_axis',(1.,0.,0.)); #398025=DIRECTION('ref_axis',(0.,1.,0.)); #398026=DIRECTION('',(0.,1.,0.)); #398027=DIRECTION('',(0.,1.,0.)); #398028=DIRECTION('',(0.,0.,1.)); #398029=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #398030=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #398031=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #398032=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #398033=DIRECTION('',(0.,0.,1.)); #398034=DIRECTION('center_axis',(0.,1.,0.)); #398035=DIRECTION('ref_axis',(-1.,0.,0.)); #398036=DIRECTION('',(-1.,0.,0.)); #398037=DIRECTION('',(-1.,0.,0.)); #398038=DIRECTION('',(0.,0.,1.)); #398039=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #398040=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #398041=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #398042=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #398043=DIRECTION('',(0.,0.,1.)); #398044=DIRECTION('center_axis',(0.552227809242867,0.833693256958951,0.)); #398045=DIRECTION('ref_axis',(-0.833693256958951,0.552227809242867,0.)); #398046=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #398047=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #398048=DIRECTION('',(0.,0.,1.)); #398049=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #398050=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #398051=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #398052=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #398053=DIRECTION('',(0.,0.,1.)); #398054=DIRECTION('center_axis',(0.829258128536035,0.55886577660196,0.)); #398055=DIRECTION('ref_axis',(-0.55886577660196,0.829258128536035,0.)); #398056=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #398057=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #398058=DIRECTION('',(0.,0.,1.)); #398059=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #398060=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #398061=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #398062=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #398063=DIRECTION('',(0.,0.,1.)); #398064=DIRECTION('center_axis',(1.,0.,0.)); #398065=DIRECTION('ref_axis',(0.,1.,0.)); #398066=DIRECTION('',(0.,1.,0.)); #398067=DIRECTION('',(0.,1.,0.)); #398068=DIRECTION('',(0.,0.,1.)); #398069=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #398070=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #398071=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #398072=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #398073=DIRECTION('',(0.,0.,1.)); #398074=DIRECTION('center_axis',(0.833693256958951,-0.552227809242867,0.)); #398075=DIRECTION('ref_axis',(0.552227809242867,0.833693256958951,0.)); #398076=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #398077=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #398078=DIRECTION('',(0.,0.,1.)); #398079=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #398080=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #398081=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #398082=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #398083=DIRECTION('',(0.,0.,1.)); #398084=DIRECTION('center_axis',(1.,0.,0.)); #398085=DIRECTION('ref_axis',(0.,1.,0.)); #398086=DIRECTION('',(0.,1.,0.)); #398087=DIRECTION('',(0.,1.,0.)); #398088=DIRECTION('',(0.,0.,1.)); #398089=DIRECTION('center_axis',(0.983054265471485,-0.183314787014901,0.)); #398090=DIRECTION('ref_axis',(0.183314787014901,0.983054265471485,0.)); #398091=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #398092=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #398093=DIRECTION('',(0.,0.,1.)); #398094=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #398095=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #398096=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #398097=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #398098=DIRECTION('',(0.,0.,1.)); #398099=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #398100=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #398101=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #398102=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #398103=DIRECTION('',(0.,0.,1.)); #398104=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #398105=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #398106=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398107=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398108=DIRECTION('',(0.,0.,1.)); #398109=DIRECTION('center_axis',(0.,-1.,0.)); #398110=DIRECTION('ref_axis',(1.,0.,0.)); #398111=DIRECTION('',(1.,0.,0.)); #398112=DIRECTION('',(1.,0.,0.)); #398113=DIRECTION('',(0.,0.,1.)); #398114=DIRECTION('center_axis',(0.140944937191896,-0.990017436553505,0.)); #398115=DIRECTION('ref_axis',(0.990017436553505,0.140944937191896,0.)); #398116=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #398117=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #398118=DIRECTION('',(0.,0.,1.)); #398119=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #398120=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #398121=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #398122=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #398123=DIRECTION('',(0.,0.,1.)); #398124=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #398125=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #398126=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #398127=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #398128=DIRECTION('',(0.,0.,1.)); #398129=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #398130=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #398131=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #398132=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #398133=DIRECTION('',(0.,0.,1.)); #398134=DIRECTION('center_axis',(0.992121345390267,-0.125280629073318,0.)); #398135=DIRECTION('ref_axis',(0.125280629073318,0.992121345390267,0.)); #398136=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #398137=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #398138=DIRECTION('',(0.,0.,1.)); #398139=DIRECTION('center_axis',(1.,0.,0.)); #398140=DIRECTION('ref_axis',(0.,1.,0.)); #398141=DIRECTION('',(0.,1.,0.)); #398142=DIRECTION('',(0.,1.,0.)); #398143=DIRECTION('',(0.,0.,1.)); #398144=DIRECTION('center_axis',(0.981552099144143,-0.191194865688719,0.)); #398145=DIRECTION('ref_axis',(0.191194865688719,0.981552099144143,0.)); #398146=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #398147=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #398148=DIRECTION('',(0.,0.,1.)); #398149=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #398150=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #398151=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #398152=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #398153=DIRECTION('',(0.,0.,1.)); #398154=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #398155=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #398156=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398157=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398158=DIRECTION('',(0.,0.,1.)); #398159=DIRECTION('center_axis',(0.558856232804919,-0.829264560351577,0.)); #398160=DIRECTION('ref_axis',(0.829264560351577,0.558856232804919,0.)); #398161=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #398162=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #398163=DIRECTION('',(0.,0.,1.)); #398164=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #398165=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #398166=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398167=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398168=DIRECTION('',(0.,0.,1.)); #398169=DIRECTION('center_axis',(0.,-1.,0.)); #398170=DIRECTION('ref_axis',(1.,0.,0.)); #398171=DIRECTION('',(1.,0.,0.)); #398172=DIRECTION('',(1.,0.,0.)); #398173=DIRECTION('',(0.,0.,1.)); #398174=DIRECTION('center_axis',(0.156679421363592,-0.987649512185963,0.)); #398175=DIRECTION('ref_axis',(0.987649512185963,0.156679421363592,0.)); #398176=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #398177=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #398178=DIRECTION('',(0.,0.,1.)); #398179=DIRECTION('center_axis',(0.992101446215994,-0.12543811388941,0.)); #398180=DIRECTION('ref_axis',(0.12543811388941,0.992101446215994,0.)); #398181=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #398182=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #398183=DIRECTION('',(0.,0.,1.)); #398184=DIRECTION('center_axis',(1.,0.,0.)); #398185=DIRECTION('ref_axis',(0.,1.,0.)); #398186=DIRECTION('',(0.,1.,0.)); #398187=DIRECTION('',(0.,1.,0.)); #398188=DIRECTION('',(0.,0.,1.)); #398189=DIRECTION('center_axis',(0.,1.,0.)); #398190=DIRECTION('ref_axis',(-1.,0.,0.)); #398191=DIRECTION('',(-1.,0.,0.)); #398192=DIRECTION('',(-1.,0.,0.)); #398193=DIRECTION('',(0.,0.,1.)); #398194=DIRECTION('center_axis',(0.,0.,1.)); #398195=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #398196=DIRECTION('center_axis',(0.,0.,1.)); #398197=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #398198=DIRECTION('center_axis',(0.,0.,1.)); #398199=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #398200=DIRECTION('',(0.,0.,1.)); #398201=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398202=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398203=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398204=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398205=DIRECTION('',(0.,0.,1.)); #398206=DIRECTION('center_axis',(0.,0.,1.)); #398207=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #398208=DIRECTION('center_axis',(0.,0.,1.)); #398209=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #398210=DIRECTION('center_axis',(0.,0.,1.)); #398211=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #398212=DIRECTION('',(0.,0.,1.)); #398213=DIRECTION('center_axis',(1.,0.,0.)); #398214=DIRECTION('ref_axis',(0.,1.,0.)); #398215=DIRECTION('',(0.,1.,0.)); #398216=DIRECTION('',(0.,1.,0.)); #398217=DIRECTION('',(0.,0.,1.)); #398218=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398219=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398220=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398221=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398222=DIRECTION('',(0.,0.,1.)); #398223=DIRECTION('center_axis',(0.,1.,0.)); #398224=DIRECTION('ref_axis',(-1.,0.,0.)); #398225=DIRECTION('',(-1.,0.,0.)); #398226=DIRECTION('',(-1.,0.,0.)); #398227=DIRECTION('',(0.,0.,1.)); #398228=DIRECTION('center_axis',(-1.,0.,0.)); #398229=DIRECTION('ref_axis',(0.,-1.,0.)); #398230=DIRECTION('',(0.,-1.,0.)); #398231=DIRECTION('',(0.,-1.,0.)); #398232=DIRECTION('',(0.,0.,1.)); #398233=DIRECTION('center_axis',(0.,1.,0.)); #398234=DIRECTION('ref_axis',(-1.,0.,0.)); #398235=DIRECTION('',(-1.,0.,0.)); #398236=DIRECTION('',(-1.,0.,0.)); #398237=DIRECTION('center_axis',(0.,0.,1.)); #398238=DIRECTION('ref_axis',(1.,0.,0.)); #398239=DIRECTION('center_axis',(0.,0.,1.)); #398240=DIRECTION('ref_axis',(1.,0.,0.)); #398241=DIRECTION('center_axis',(0.,0.,1.)); #398242=DIRECTION('ref_axis',(1.,0.,0.)); #398243=DIRECTION('center_axis',(0.,0.,1.)); #398244=DIRECTION('ref_axis',(1.,0.,0.)); #398245=DIRECTION('',(0.,0.,1.)); #398246=DIRECTION('center_axis',(0.,0.,-1.)); #398247=DIRECTION('ref_axis',(1.,0.,0.)); #398248=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #398249=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #398250=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #398251=DIRECTION('',(0.,0.,1.)); #398252=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #398253=DIRECTION('',(0.,0.,1.)); #398254=DIRECTION('center_axis',(0.,0.,1.)); #398255=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398256=DIRECTION('center_axis',(0.,0.,1.)); #398257=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398258=DIRECTION('center_axis',(0.,0.,1.)); #398259=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398260=DIRECTION('',(0.,0.,1.)); #398261=DIRECTION('center_axis',(0.,0.,1.)); #398262=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398263=DIRECTION('center_axis',(0.,0.,1.)); #398264=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398265=DIRECTION('center_axis',(0.,0.,1.)); #398266=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398267=DIRECTION('center_axis',(0.,0.,1.)); #398268=DIRECTION('ref_axis',(1.,0.,0.)); #398269=DIRECTION('center_axis',(0.,0.,1.)); #398270=DIRECTION('ref_axis',(1.,0.,0.)); #398271=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #398272=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #398273=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #398274=DIRECTION('',(0.,0.,1.)); #398275=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #398276=DIRECTION('',(0.,0.,1.)); #398277=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #398278=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #398279=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #398280=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #398281=DIRECTION('',(0.,0.,1.)); #398282=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #398283=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #398284=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #398285=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #398286=DIRECTION('',(0.,0.,1.)); #398287=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #398288=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #398289=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #398290=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #398291=DIRECTION('',(0.,0.,1.)); #398292=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #398293=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #398294=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #398295=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #398296=DIRECTION('',(0.,0.,1.)); #398297=DIRECTION('center_axis',(-0.973151456886365,-0.230165683714894, 0.)); #398298=DIRECTION('ref_axis',(0.230165683714894,-0.973151456886365,0.)); #398299=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #398300=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #398301=DIRECTION('',(0.,0.,1.)); #398302=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #398303=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #398304=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #398305=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #398306=DIRECTION('',(0.,0.,1.)); #398307=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #398308=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #398309=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #398310=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #398311=DIRECTION('',(0.,0.,1.)); #398312=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #398313=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #398314=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #398315=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #398316=DIRECTION('',(0.,0.,1.)); #398317=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #398318=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #398319=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #398320=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #398321=DIRECTION('',(0.,0.,1.)); #398322=DIRECTION('center_axis',(0.,1.,0.)); #398323=DIRECTION('ref_axis',(-1.,0.,0.)); #398324=DIRECTION('',(-1.,0.,0.)); #398325=DIRECTION('',(-1.,0.,0.)); #398326=DIRECTION('',(0.,0.,1.)); #398327=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #398328=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #398329=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #398330=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #398331=DIRECTION('',(0.,0.,1.)); #398332=DIRECTION('center_axis',(0.552278225391724,0.833659859749867,0.)); #398333=DIRECTION('ref_axis',(-0.833659859749867,0.552278225391724,0.)); #398334=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #398335=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #398336=DIRECTION('',(0.,0.,1.)); #398337=DIRECTION('center_axis',(0.707106781186569,0.707106781186526,0.)); #398338=DIRECTION('ref_axis',(-0.707106781186526,0.707106781186569,0.)); #398339=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #398340=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #398341=DIRECTION('',(0.,0.,1.)); #398342=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #398343=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #398344=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #398345=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #398346=DIRECTION('',(0.,0.,1.)); #398347=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #398348=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #398349=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398350=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398351=DIRECTION('',(0.,0.,1.)); #398352=DIRECTION('center_axis',(1.,0.,0.)); #398353=DIRECTION('ref_axis',(0.,1.,0.)); #398354=DIRECTION('',(0.,1.,0.)); #398355=DIRECTION('',(0.,1.,0.)); #398356=DIRECTION('',(0.,0.,1.)); #398357=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #398358=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #398359=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #398360=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #398361=DIRECTION('',(0.,0.,1.)); #398362=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #398363=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #398364=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #398365=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #398366=DIRECTION('',(0.,0.,1.)); #398367=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #398368=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #398369=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #398370=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #398371=DIRECTION('center_axis',(0.,0.,1.)); #398372=DIRECTION('ref_axis',(1.,0.,0.)); #398373=DIRECTION('center_axis',(0.,0.,1.)); #398374=DIRECTION('ref_axis',(1.,0.,0.)); #398375=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #398376=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #398377=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #398378=DIRECTION('',(0.,0.,1.)); #398379=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #398380=DIRECTION('',(0.,0.,1.)); #398381=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #398382=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #398383=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #398384=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #398385=DIRECTION('',(0.,0.,1.)); #398386=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #398387=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #398388=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #398389=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #398390=DIRECTION('',(0.,0.,1.)); #398391=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398392=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398393=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398394=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398395=DIRECTION('',(0.,0.,1.)); #398396=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #398397=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #398398=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #398399=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #398400=DIRECTION('',(0.,0.,1.)); #398401=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #398402=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #398403=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398404=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398405=DIRECTION('',(0.,0.,1.)); #398406=DIRECTION('center_axis',(-1.,0.,0.)); #398407=DIRECTION('ref_axis',(0.,-1.,0.)); #398408=DIRECTION('',(0.,-1.,0.)); #398409=DIRECTION('',(0.,-1.,0.)); #398410=DIRECTION('',(0.,0.,1.)); #398411=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #398412=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #398413=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398414=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #398415=DIRECTION('',(0.,0.,1.)); #398416=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #398417=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #398418=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #398419=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #398420=DIRECTION('',(0.,0.,1.)); #398421=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398422=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398423=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398424=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398425=DIRECTION('',(0.,0.,1.)); #398426=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #398427=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #398428=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #398429=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #398430=DIRECTION('',(0.,0.,1.)); #398431=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #398432=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #398433=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #398434=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #398435=DIRECTION('',(0.,0.,1.)); #398436=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #398437=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #398438=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #398439=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #398440=DIRECTION('',(0.,0.,1.)); #398441=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #398442=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #398443=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #398444=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #398445=DIRECTION('',(0.,0.,1.)); #398446=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398447=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398448=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398449=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398450=DIRECTION('',(0.,0.,1.)); #398451=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #398452=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #398453=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #398454=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #398455=DIRECTION('',(0.,0.,1.)); #398456=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #398457=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #398458=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398459=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398460=DIRECTION('',(0.,0.,1.)); #398461=DIRECTION('center_axis',(1.,0.,0.)); #398462=DIRECTION('ref_axis',(0.,1.,0.)); #398463=DIRECTION('',(0.,1.,0.)); #398464=DIRECTION('',(0.,1.,0.)); #398465=DIRECTION('',(0.,0.,1.)); #398466=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #398467=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #398468=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #398469=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #398470=DIRECTION('',(0.,0.,1.)); #398471=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #398472=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #398473=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #398474=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #398475=DIRECTION('',(0.,0.,1.)); #398476=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #398477=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #398478=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #398479=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #398480=DIRECTION('center_axis',(0.,0.,1.)); #398481=DIRECTION('ref_axis',(1.,0.,0.)); #398482=DIRECTION('center_axis',(0.,0.,1.)); #398483=DIRECTION('ref_axis',(1.,0.,0.)); #398484=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #398485=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #398486=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #398487=DIRECTION('',(0.,0.,1.)); #398488=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #398489=DIRECTION('',(0.,0.,1.)); #398490=DIRECTION('center_axis',(0.,-1.,0.)); #398491=DIRECTION('ref_axis',(1.,0.,0.)); #398492=DIRECTION('',(1.,0.,0.)); #398493=DIRECTION('',(1.,0.,0.)); #398494=DIRECTION('',(0.,0.,1.)); #398495=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #398496=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #398497=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #398498=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #398499=DIRECTION('',(0.,0.,1.)); #398500=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #398501=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #398502=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #398503=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #398504=DIRECTION('',(0.,0.,1.)); #398505=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #398506=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #398507=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #398508=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #398509=DIRECTION('',(0.,0.,1.)); #398510=DIRECTION('center_axis',(-0.820212632122596,-0.572058771549325, 0.)); #398511=DIRECTION('ref_axis',(0.572058771549325,-0.820212632122596,0.)); #398512=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #398513=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #398514=DIRECTION('',(0.,0.,1.)); #398515=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #398516=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #398517=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #398518=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #398519=DIRECTION('',(0.,0.,1.)); #398520=DIRECTION('center_axis',(-0.985847618607373,0.167643887112447,0.)); #398521=DIRECTION('ref_axis',(-0.167643887112447,-0.985847618607373,0.)); #398522=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #398523=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #398524=DIRECTION('',(0.,0.,1.)); #398525=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #398526=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #398527=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #398528=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #398529=DIRECTION('',(0.,0.,1.)); #398530=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #398531=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #398532=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #398533=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #398534=DIRECTION('',(0.,0.,1.)); #398535=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #398536=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #398537=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #398538=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #398539=DIRECTION('',(0.,0.,1.)); #398540=DIRECTION('center_axis',(0.,1.,0.)); #398541=DIRECTION('ref_axis',(-1.,0.,0.)); #398542=DIRECTION('',(-1.,0.,0.)); #398543=DIRECTION('',(-1.,0.,0.)); #398544=DIRECTION('',(0.,0.,1.)); #398545=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #398546=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #398547=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #398548=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #398549=DIRECTION('',(0.,0.,1.)); #398550=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #398551=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #398552=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #398553=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #398554=DIRECTION('',(0.,0.,1.)); #398555=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #398556=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #398557=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #398558=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #398559=DIRECTION('',(0.,0.,1.)); #398560=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #398561=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #398562=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #398563=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #398564=DIRECTION('',(0.,0.,1.)); #398565=DIRECTION('center_axis',(0.973144071552987,0.230196907019351,0.)); #398566=DIRECTION('ref_axis',(-0.230196907019351,0.973144071552987,0.)); #398567=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #398568=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #398569=DIRECTION('',(0.,0.,1.)); #398570=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #398571=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #398572=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #398573=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #398574=DIRECTION('',(0.,0.,1.)); #398575=DIRECTION('center_axis',(0.842377379073538,-0.538888069291942,0.)); #398576=DIRECTION('ref_axis',(0.538888069291942,0.842377379073538,0.)); #398577=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #398578=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #398579=DIRECTION('',(0.,0.,1.)); #398580=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #398581=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #398582=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #398583=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #398584=DIRECTION('center_axis',(0.,0.,1.)); #398585=DIRECTION('ref_axis',(1.,0.,0.)); #398586=DIRECTION('center_axis',(0.,0.,1.)); #398587=DIRECTION('ref_axis',(1.,0.,0.)); #398588=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #398589=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #398590=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #398591=DIRECTION('',(0.,0.,1.)); #398592=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #398593=DIRECTION('',(0.,0.,1.)); #398594=DIRECTION('center_axis',(-0.167675934907869,-0.985842168327553, 0.)); #398595=DIRECTION('ref_axis',(0.985842168327553,-0.167675934907869,0.)); #398596=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #398597=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #398598=DIRECTION('',(0.,0.,1.)); #398599=DIRECTION('center_axis',(-0.538888069292009,-0.842377379073495, 0.)); #398600=DIRECTION('ref_axis',(0.842377379073495,-0.538888069292009,0.)); #398601=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #398602=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #398603=DIRECTION('',(0.,0.,1.)); #398604=DIRECTION('center_axis',(-0.824756093514948,-0.565488626065955, 0.)); #398605=DIRECTION('ref_axis',(0.565488626065955,-0.824756093514948,0.)); #398606=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #398607=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #398608=DIRECTION('',(0.,0.,1.)); #398609=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #398610=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #398611=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #398612=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #398613=DIRECTION('',(0.,0.,1.)); #398614=DIRECTION('center_axis',(-1.,0.,0.)); #398615=DIRECTION('ref_axis',(0.,-1.,0.)); #398616=DIRECTION('',(0.,-1.,0.)); #398617=DIRECTION('',(0.,-1.,0.)); #398618=DIRECTION('',(0.,0.,1.)); #398619=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #398620=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #398621=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #398622=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #398623=DIRECTION('',(0.,0.,1.)); #398624=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #398625=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #398626=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398627=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398628=DIRECTION('',(0.,0.,1.)); #398629=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398630=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398631=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398632=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398633=DIRECTION('',(0.,0.,1.)); #398634=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #398635=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #398636=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #398637=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #398638=DIRECTION('',(0.,0.,1.)); #398639=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #398640=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #398641=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #398642=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #398643=DIRECTION('',(0.,0.,1.)); #398644=DIRECTION('center_axis',(0.183334818573699,0.98305052988061,0.)); #398645=DIRECTION('ref_axis',(-0.98305052988061,0.183334818573699,0.)); #398646=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #398647=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #398648=DIRECTION('',(0.,0.,1.)); #398649=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #398650=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #398651=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #398652=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #398653=DIRECTION('',(0.,0.,1.)); #398654=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398655=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398656=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398657=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398658=DIRECTION('',(0.,0.,1.)); #398659=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #398660=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #398661=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #398662=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #398663=DIRECTION('',(0.,0.,1.)); #398664=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #398665=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #398666=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398667=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #398668=DIRECTION('',(0.,0.,1.)); #398669=DIRECTION('center_axis',(1.,0.,0.)); #398670=DIRECTION('ref_axis',(0.,1.,0.)); #398671=DIRECTION('',(0.,1.,0.)); #398672=DIRECTION('',(0.,1.,0.)); #398673=DIRECTION('',(0.,0.,1.)); #398674=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #398675=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #398676=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #398677=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #398678=DIRECTION('',(0.,0.,1.)); #398679=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #398680=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #398681=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #398682=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #398683=DIRECTION('',(0.,0.,1.)); #398684=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #398685=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #398686=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #398687=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #398688=DIRECTION('',(0.,0.,1.)); #398689=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #398690=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #398691=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #398692=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #398693=DIRECTION('center_axis',(0.,0.,1.)); #398694=DIRECTION('ref_axis',(1.,0.,0.)); #398695=DIRECTION('center_axis',(0.,0.,1.)); #398696=DIRECTION('ref_axis',(1.,0.,0.)); #398697=DIRECTION('center_axis',(0.,0.,1.)); #398698=DIRECTION('ref_axis',(1.,0.,0.)); #398699=DIRECTION('center_axis',(0.,0.,1.)); #398700=DIRECTION('ref_axis',(1.,0.,0.)); #398701=DIRECTION('',(0.,0.,1.)); #398702=DIRECTION('center_axis',(0.,0.,-1.)); #398703=DIRECTION('ref_axis',(1.,0.,0.)); #398704=DIRECTION('center_axis',(0.,0.,1.)); #398705=DIRECTION('ref_axis',(1.,0.,0.)); #398706=DIRECTION('center_axis',(0.,0.,1.)); #398707=DIRECTION('ref_axis',(1.,0.,0.)); #398708=DIRECTION('',(0.,0.,1.)); #398709=DIRECTION('center_axis',(0.,0.,-1.)); #398710=DIRECTION('ref_axis',(1.,0.,0.)); #398711=DIRECTION('center_axis',(0.,0.,1.)); #398712=DIRECTION('ref_axis',(1.,0.,0.)); #398713=DIRECTION('center_axis',(0.,0.,1.)); #398714=DIRECTION('ref_axis',(1.,0.,0.)); #398715=DIRECTION('',(0.,0.,1.)); #398716=DIRECTION('center_axis',(0.,0.,-1.)); #398717=DIRECTION('ref_axis',(1.,0.,0.)); #398718=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #398719=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #398720=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #398721=DIRECTION('',(0.,0.,1.)); #398722=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #398723=DIRECTION('',(0.,0.,1.)); #398724=DIRECTION('center_axis',(0.,-1.,0.)); #398725=DIRECTION('ref_axis',(1.,0.,0.)); #398726=DIRECTION('',(1.,0.,0.)); #398727=DIRECTION('',(1.,0.,0.)); #398728=DIRECTION('',(0.,0.,1.)); #398729=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #398730=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #398731=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #398732=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #398733=DIRECTION('',(0.,0.,1.)); #398734=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #398735=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #398736=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #398737=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #398738=DIRECTION('',(0.,0.,1.)); #398739=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #398740=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #398741=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #398742=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #398743=DIRECTION('',(0.,0.,1.)); #398744=DIRECTION('center_axis',(0.,-1.,0.)); #398745=DIRECTION('ref_axis',(1.,0.,0.)); #398746=DIRECTION('',(1.,0.,0.)); #398747=DIRECTION('',(1.,0.,0.)); #398748=DIRECTION('',(0.,0.,1.)); #398749=DIRECTION('center_axis',(0.707087858650815,-0.707125703215917,0.)); #398750=DIRECTION('ref_axis',(0.707125703215917,0.707087858650815,0.)); #398751=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #398752=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #398753=DIRECTION('',(0.,0.,1.)); #398754=DIRECTION('center_axis',(0.572058771549057,-0.820212632122783,0.)); #398755=DIRECTION('ref_axis',(0.820212632122783,0.572058771549057,0.)); #398756=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #398757=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #398758=DIRECTION('',(0.,0.,1.)); #398759=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #398760=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #398761=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #398762=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #398763=DIRECTION('',(0.,0.,1.)); #398764=DIRECTION('center_axis',(-0.167675934908035,-0.985842168327525, 0.)); #398765=DIRECTION('ref_axis',(0.985842168327525,-0.167675934908035,0.)); #398766=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #398767=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #398768=DIRECTION('',(0.,0.,1.)); #398769=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #398770=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #398771=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #398772=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #398773=DIRECTION('',(0.,0.,1.)); #398774=DIRECTION('center_axis',(-0.824745549033945,-0.565504004714994, 0.)); #398775=DIRECTION('ref_axis',(0.565504004714994,-0.824745549033945,0.)); #398776=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #398777=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #398778=DIRECTION('',(0.,0.,1.)); #398779=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #398780=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #398781=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #398782=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #398783=DIRECTION('',(0.,0.,1.)); #398784=DIRECTION('center_axis',(-1.,0.,0.)); #398785=DIRECTION('ref_axis',(0.,-1.,0.)); #398786=DIRECTION('',(0.,-1.,0.)); #398787=DIRECTION('',(0.,-1.,0.)); #398788=DIRECTION('',(0.,0.,1.)); #398789=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #398790=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #398791=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #398792=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #398793=DIRECTION('',(0.,0.,1.)); #398794=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #398795=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #398796=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398797=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398798=DIRECTION('',(0.,0.,1.)); #398799=DIRECTION('center_axis',(-0.707106781186708,0.707106781186387,0.)); #398800=DIRECTION('ref_axis',(-0.707106781186387,-0.707106781186708,0.)); #398801=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #398802=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #398803=DIRECTION('',(0.,0.,1.)); #398804=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #398805=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #398806=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #398807=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #398808=DIRECTION('',(0.,0.,1.)); #398809=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #398810=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #398811=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #398812=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #398813=DIRECTION('',(0.,0.,1.)); #398814=DIRECTION('center_axis',(0.,1.,0.)); #398815=DIRECTION('ref_axis',(-1.,0.,0.)); #398816=DIRECTION('',(-1.,0.,0.)); #398817=DIRECTION('',(-1.,0.,0.)); #398818=DIRECTION('',(0.,0.,1.)); #398819=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398820=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398821=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398822=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398823=DIRECTION('',(0.,0.,1.)); #398824=DIRECTION('center_axis',(-1.,0.,0.)); #398825=DIRECTION('ref_axis',(0.,-1.,0.)); #398826=DIRECTION('',(0.,-1.,0.)); #398827=DIRECTION('',(0.,-1.,0.)); #398828=DIRECTION('',(0.,0.,1.)); #398829=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398830=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398831=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398832=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398833=DIRECTION('',(0.,0.,1.)); #398834=DIRECTION('center_axis',(0.,-1.,0.)); #398835=DIRECTION('ref_axis',(1.,0.,0.)); #398836=DIRECTION('',(1.,0.,0.)); #398837=DIRECTION('',(1.,0.,0.)); #398838=DIRECTION('',(0.,0.,1.)); #398839=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #398840=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #398841=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #398842=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #398843=DIRECTION('',(0.,0.,1.)); #398844=DIRECTION('center_axis',(-0.525373540973569,-0.850871695642118, 0.)); #398845=DIRECTION('ref_axis',(0.850871695642118,-0.525373540973569,0.)); #398846=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #398847=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #398848=DIRECTION('',(0.,0.,1.)); #398849=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #398850=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #398851=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #398852=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #398853=DIRECTION('',(0.,0.,1.)); #398854=DIRECTION('center_axis',(-0.976692604453637,-0.214642857802378, 0.)); #398855=DIRECTION('ref_axis',(0.214642857802378,-0.976692604453637,0.)); #398856=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #398857=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #398858=DIRECTION('',(0.,0.,1.)); #398859=DIRECTION('center_axis',(-0.983056472197929,0.183302952703337,0.)); #398860=DIRECTION('ref_axis',(-0.183302952703337,-0.983056472197929,0.)); #398861=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #398862=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #398863=DIRECTION('',(0.,0.,1.)); #398864=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #398865=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #398866=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #398867=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #398868=DIRECTION('',(0.,0.,1.)); #398869=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #398870=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #398871=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #398872=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #398873=DIRECTION('',(0.,0.,1.)); #398874=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #398875=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #398876=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #398877=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #398878=DIRECTION('',(0.,0.,1.)); #398879=DIRECTION('center_axis',(-0.198846786199896,0.980030589123612,0.)); #398880=DIRECTION('ref_axis',(-0.980030589123612,-0.198846786199896,0.)); #398881=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #398882=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #398883=DIRECTION('',(0.,0.,1.)); #398884=DIRECTION('center_axis',(-0.707102906342199,0.707110656009662,0.)); #398885=DIRECTION('ref_axis',(-0.707110656009662,-0.707102906342199,0.)); #398886=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #398887=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #398888=DIRECTION('',(0.,0.,1.)); #398889=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #398890=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #398891=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #398892=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #398893=DIRECTION('',(0.,0.,1.)); #398894=DIRECTION('center_axis',(-0.214667400660304,0.976687210469016,0.)); #398895=DIRECTION('ref_axis',(-0.976687210469016,-0.214667400660304,0.)); #398896=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #398897=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #398898=DIRECTION('',(0.,0.,1.)); #398899=DIRECTION('center_axis',(0.183340761560929,0.983049421519721,0.)); #398900=DIRECTION('ref_axis',(-0.983049421519721,0.183340761560929,0.)); #398901=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #398902=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #398903=DIRECTION('',(0.,0.,1.)); #398904=DIRECTION('center_axis',(0.552263045083061,0.833669916115236,0.)); #398905=DIRECTION('ref_axis',(-0.833669916115236,0.552263045083061,0.)); #398906=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #398907=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #398908=DIRECTION('',(0.,0.,1.)); #398909=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #398910=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #398911=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #398912=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #398913=DIRECTION('',(0.,0.,1.)); #398914=DIRECTION('center_axis',(0.829214556504162,0.558930424365686,0.)); #398915=DIRECTION('ref_axis',(-0.558930424365686,0.829214556504162,0.)); #398916=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #398917=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #398918=DIRECTION('',(0.,0.,1.)); #398919=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #398920=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #398921=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #398922=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #398923=DIRECTION('',(0.,0.,1.)); #398924=DIRECTION('center_axis',(1.,0.,0.)); #398925=DIRECTION('ref_axis',(0.,1.,0.)); #398926=DIRECTION('',(0.,1.,0.)); #398927=DIRECTION('',(0.,1.,0.)); #398928=DIRECTION('',(0.,0.,1.)); #398929=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398930=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398931=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398932=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398933=DIRECTION('',(0.,0.,1.)); #398934=DIRECTION('center_axis',(0.,1.,0.)); #398935=DIRECTION('ref_axis',(-1.,0.,0.)); #398936=DIRECTION('',(-1.,0.,0.)); #398937=DIRECTION('',(-1.,0.,0.)); #398938=DIRECTION('',(0.,0.,1.)); #398939=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #398940=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #398941=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #398942=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #398943=DIRECTION('',(0.,0.,1.)); #398944=DIRECTION('center_axis',(-1.,0.,0.)); #398945=DIRECTION('ref_axis',(0.,-1.,0.)); #398946=DIRECTION('',(0.,-1.,0.)); #398947=DIRECTION('',(0.,-1.,0.)); #398948=DIRECTION('',(0.,0.,1.)); #398949=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #398950=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #398951=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #398952=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #398953=DIRECTION('',(0.,0.,1.)); #398954=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #398955=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #398956=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #398957=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #398958=DIRECTION('',(0.,0.,1.)); #398959=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #398960=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #398961=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #398962=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #398963=DIRECTION('',(0.,0.,1.)); #398964=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #398965=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #398966=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #398967=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #398968=DIRECTION('',(0.,0.,1.)); #398969=DIRECTION('center_axis',(0.,1.,0.)); #398970=DIRECTION('ref_axis',(-1.,0.,0.)); #398971=DIRECTION('',(-1.,0.,0.)); #398972=DIRECTION('',(-1.,0.,0.)); #398973=DIRECTION('',(0.,0.,1.)); #398974=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #398975=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #398976=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #398977=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #398978=DIRECTION('',(0.,0.,1.)); #398979=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #398980=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #398981=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #398982=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #398983=DIRECTION('',(0.,0.,1.)); #398984=DIRECTION('center_axis',(0.707106781186531,0.707106781186564,0.)); #398985=DIRECTION('ref_axis',(-0.707106781186564,0.707106781186531,0.)); #398986=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #398987=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #398988=DIRECTION('',(0.,0.,1.)); #398989=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #398990=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #398991=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #398992=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #398993=DIRECTION('',(0.,0.,1.)); #398994=DIRECTION('center_axis',(0.980008419561381,0.198956019232402,0.)); #398995=DIRECTION('ref_axis',(-0.198956019232402,0.980008419561381,0.)); #398996=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #398997=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #398998=DIRECTION('',(0.,0.,1.)); #398999=DIRECTION('center_axis',(1.,0.,0.)); #399000=DIRECTION('ref_axis',(0.,1.,0.)); #399001=DIRECTION('',(0.,1.,0.)); #399002=DIRECTION('',(0.,1.,0.)); #399003=DIRECTION('',(0.,0.,1.)); #399004=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #399005=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #399006=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #399007=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #399008=DIRECTION('',(0.,0.,1.)); #399009=DIRECTION('center_axis',(0.,1.,0.)); #399010=DIRECTION('ref_axis',(-1.,0.,0.)); #399011=DIRECTION('',(-1.,0.,0.)); #399012=DIRECTION('',(-1.,0.,0.)); #399013=DIRECTION('',(0.,0.,1.)); #399014=DIRECTION('center_axis',(0.183305290257358,0.983056036329398,0.)); #399015=DIRECTION('ref_axis',(-0.983056036329397,0.183305290257358,0.)); #399016=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #399017=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #399018=DIRECTION('',(0.,0.,1.)); #399019=DIRECTION('center_axis',(0.53891146674598,0.842362410729311,0.)); #399020=DIRECTION('ref_axis',(-0.842362410729311,0.53891146674598,0.)); #399021=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #399022=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #399023=DIRECTION('',(0.,0.,1.)); #399024=DIRECTION('center_axis',(0.82474554903406,0.565504004714826,0.)); #399025=DIRECTION('ref_axis',(-0.565504004714826,0.82474554903406,0.)); #399026=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #399027=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #399028=DIRECTION('',(0.,0.,1.)); #399029=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #399030=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #399031=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #399032=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #399033=DIRECTION('',(0.,0.,1.)); #399034=DIRECTION('center_axis',(1.,0.,0.)); #399035=DIRECTION('ref_axis',(0.,1.,0.)); #399036=DIRECTION('',(0.,1.,0.)); #399037=DIRECTION('',(0.,1.,0.)); #399038=DIRECTION('',(0.,0.,1.)); #399039=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #399040=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #399041=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #399042=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #399043=DIRECTION('',(0.,0.,1.)); #399044=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #399045=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #399046=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #399047=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #399048=DIRECTION('',(0.,0.,1.)); #399049=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #399050=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #399051=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #399052=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #399053=DIRECTION('',(0.,0.,1.)); #399054=DIRECTION('center_axis',(0.198956019232402,-0.980008419561381,0.)); #399055=DIRECTION('ref_axis',(0.980008419561381,0.198956019232402,0.)); #399056=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #399057=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #399058=DIRECTION('',(0.,0.,1.)); #399059=DIRECTION('center_axis',(0.,-1.,0.)); #399060=DIRECTION('ref_axis',(1.,0.,0.)); #399061=DIRECTION('',(1.,0.,0.)); #399062=DIRECTION('',(1.,0.,0.)); #399063=DIRECTION('',(0.,0.,1.)); #399064=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #399065=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #399066=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399067=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399068=DIRECTION('',(0.,0.,1.)); #399069=DIRECTION('center_axis',(1.,0.,0.)); #399070=DIRECTION('ref_axis',(0.,1.,0.)); #399071=DIRECTION('',(0.,1.,0.)); #399072=DIRECTION('',(0.,1.,0.)); #399073=DIRECTION('',(0.,0.,1.)); #399074=DIRECTION('center_axis',(0.981560865252968,-0.191149856928652,0.)); #399075=DIRECTION('ref_axis',(0.191149856928652,0.981560865252968,0.)); #399076=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #399077=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #399078=DIRECTION('',(0.,0.,1.)); #399079=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #399080=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #399081=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #399082=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #399083=DIRECTION('',(0.,0.,1.)); #399084=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #399085=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #399086=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399087=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399088=DIRECTION('',(0.,0.,1.)); #399089=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #399090=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #399091=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #399092=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #399093=DIRECTION('',(0.,0.,1.)); #399094=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #399095=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #399096=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #399097=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #399098=DIRECTION('',(0.,0.,1.)); #399099=DIRECTION('center_axis',(0.,-1.,0.)); #399100=DIRECTION('ref_axis',(1.,0.,0.)); #399101=DIRECTION('',(1.,0.,0.)); #399102=DIRECTION('',(1.,0.,0.)); #399103=DIRECTION('',(0.,0.,1.)); #399104=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #399105=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #399106=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #399107=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #399108=DIRECTION('',(0.,0.,1.)); #399109=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #399110=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #399111=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #399112=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #399113=DIRECTION('',(0.,0.,1.)); #399114=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #399115=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #399116=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #399117=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #399118=DIRECTION('',(0.,0.,1.)); #399119=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #399120=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #399121=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399122=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399123=DIRECTION('',(0.,0.,1.)); #399124=DIRECTION('center_axis',(-1.,0.,0.)); #399125=DIRECTION('ref_axis',(0.,-1.,0.)); #399126=DIRECTION('',(0.,-1.,0.)); #399127=DIRECTION('',(0.,-1.,0.)); #399128=DIRECTION('',(0.,0.,1.)); #399129=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #399130=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #399131=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399132=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399133=DIRECTION('',(0.,0.,1.)); #399134=DIRECTION('center_axis',(0.,-1.,0.)); #399135=DIRECTION('ref_axis',(1.,0.,0.)); #399136=DIRECTION('',(1.,0.,0.)); #399137=DIRECTION('',(1.,0.,0.)); #399138=DIRECTION('',(0.,0.,1.)); #399139=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #399140=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #399141=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #399142=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #399143=DIRECTION('',(0.,0.,1.)); #399144=DIRECTION('center_axis',(1.,0.,0.)); #399145=DIRECTION('ref_axis',(0.,1.,0.)); #399146=DIRECTION('',(0.,1.,0.)); #399147=DIRECTION('',(0.,1.,0.)); #399148=DIRECTION('',(0.,0.,1.)); #399149=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #399150=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #399151=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #399152=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #399153=DIRECTION('',(0.,0.,1.)); #399154=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #399155=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #399156=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #399157=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #399158=DIRECTION('',(0.,0.,1.)); #399159=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #399160=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #399161=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #399162=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #399163=DIRECTION('',(0.,0.,1.)); #399164=DIRECTION('center_axis',(0.558930424365581,-0.829214556504233,0.)); #399165=DIRECTION('ref_axis',(0.829214556504233,0.558930424365581,0.)); #399166=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #399167=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #399168=DIRECTION('center_axis',(0.,0.,1.)); #399169=DIRECTION('ref_axis',(1.,0.,0.)); #399170=DIRECTION('center_axis',(0.,0.,1.)); #399171=DIRECTION('ref_axis',(1.,0.,0.)); #399172=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #399173=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #399174=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399175=DIRECTION('',(0.,0.,1.)); #399176=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399177=DIRECTION('',(0.,0.,1.)); #399178=DIRECTION('center_axis',(0.,-1.,0.)); #399179=DIRECTION('ref_axis',(1.,0.,0.)); #399180=DIRECTION('',(1.,0.,0.)); #399181=DIRECTION('',(1.,0.,0.)); #399182=DIRECTION('',(0.,0.,1.)); #399183=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #399184=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #399185=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #399186=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #399187=DIRECTION('',(0.,0.,1.)); #399188=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #399189=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #399190=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #399191=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #399192=DIRECTION('',(0.,0.,1.)); #399193=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #399194=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #399195=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #399196=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #399197=DIRECTION('',(0.,0.,1.)); #399198=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #399199=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #399200=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #399201=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #399202=DIRECTION('',(0.,0.,1.)); #399203=DIRECTION('center_axis',(-1.,0.,0.)); #399204=DIRECTION('ref_axis',(0.,-1.,0.)); #399205=DIRECTION('',(0.,-1.,0.)); #399206=DIRECTION('',(0.,-1.,0.)); #399207=DIRECTION('',(0.,0.,1.)); #399208=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #399209=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #399210=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #399211=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #399212=DIRECTION('',(0.,0.,1.)); #399213=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #399214=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #399215=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #399216=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #399217=DIRECTION('',(0.,0.,1.)); #399218=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #399219=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #399220=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #399221=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #399222=DIRECTION('',(0.,0.,1.)); #399223=DIRECTION('center_axis',(-0.833664013512907,0.5522719552662,0.)); #399224=DIRECTION('ref_axis',(-0.5522719552662,-0.833664013512907,0.)); #399225=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #399226=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #399227=DIRECTION('',(0.,0.,1.)); #399228=DIRECTION('center_axis',(-1.,0.,0.)); #399229=DIRECTION('ref_axis',(0.,-1.,0.)); #399230=DIRECTION('',(0.,-1.,0.)); #399231=DIRECTION('',(0.,-1.,0.)); #399232=DIRECTION('',(0.,0.,1.)); #399233=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #399234=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #399235=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #399236=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #399237=DIRECTION('',(0.,0.,1.)); #399238=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #399239=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #399240=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #399241=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #399242=DIRECTION('',(0.,0.,1.)); #399243=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #399244=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #399245=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #399246=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #399247=DIRECTION('',(0.,0.,1.)); #399248=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #399249=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #399250=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399251=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399252=DIRECTION('',(0.,0.,1.)); #399253=DIRECTION('center_axis',(0.,1.,0.)); #399254=DIRECTION('ref_axis',(-1.,0.,0.)); #399255=DIRECTION('',(-1.,0.,0.)); #399256=DIRECTION('',(-1.,0.,0.)); #399257=DIRECTION('',(0.,0.,1.)); #399258=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #399259=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #399260=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #399261=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #399262=DIRECTION('',(0.,0.,1.)); #399263=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #399264=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #399265=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399266=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399267=DIRECTION('',(0.,0.,1.)); #399268=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #399269=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #399270=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #399271=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #399272=DIRECTION('',(0.,0.,1.)); #399273=DIRECTION('center_axis',(0.,1.,0.)); #399274=DIRECTION('ref_axis',(-1.,0.,0.)); #399275=DIRECTION('',(-1.,0.,0.)); #399276=DIRECTION('',(-1.,0.,0.)); #399277=DIRECTION('',(0.,0.,1.)); #399278=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #399279=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #399280=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399281=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399282=DIRECTION('',(0.,0.,1.)); #399283=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #399284=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #399285=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399286=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399287=DIRECTION('',(0.,0.,1.)); #399288=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399289=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399290=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #399291=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #399292=DIRECTION('',(0.,0.,1.)); #399293=DIRECTION('center_axis',(0.82478989011981,0.565439331101182,0.)); #399294=DIRECTION('ref_axis',(-0.565439331101182,0.82478989011981,0.)); #399295=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #399296=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #399297=DIRECTION('',(0.,0.,1.)); #399298=DIRECTION('center_axis',(0.976685690837554,0.214674314516595,0.)); #399299=DIRECTION('ref_axis',(-0.214674314516595,0.976685690837554,0.)); #399300=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #399301=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #399302=DIRECTION('',(0.,0.,1.)); #399303=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #399304=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #399305=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #399306=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #399307=DIRECTION('',(0.,0.,1.)); #399308=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #399309=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #399310=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #399311=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #399312=DIRECTION('',(0.,0.,1.)); #399313=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #399314=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #399315=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399316=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399317=DIRECTION('',(0.,0.,1.)); #399318=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #399319=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #399320=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #399321=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #399322=DIRECTION('',(0.,0.,1.)); #399323=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #399324=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #399325=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #399326=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #399327=DIRECTION('',(0.,0.,1.)); #399328=DIRECTION('center_axis',(0.,-1.,0.)); #399329=DIRECTION('ref_axis',(1.,0.,0.)); #399330=DIRECTION('',(1.,0.,0.)); #399331=DIRECTION('',(1.,0.,0.)); #399332=DIRECTION('',(0.,0.,1.)); #399333=DIRECTION('center_axis',(0.707106781186541,-0.707106781186554,0.)); #399334=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #399335=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #399336=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #399337=DIRECTION('',(0.,0.,1.)); #399338=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #399339=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #399340=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #399341=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #399342=DIRECTION('center_axis',(0.,0.,1.)); #399343=DIRECTION('ref_axis',(1.,0.,0.)); #399344=DIRECTION('center_axis',(0.,0.,1.)); #399345=DIRECTION('ref_axis',(1.,0.,0.)); #399346=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #399347=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #399348=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #399349=DIRECTION('',(0.,0.,1.)); #399350=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #399351=DIRECTION('',(0.,0.,1.)); #399352=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #399353=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #399354=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #399355=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #399356=DIRECTION('',(0.,0.,1.)); #399357=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #399358=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #399359=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #399360=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #399361=DIRECTION('',(0.,0.,1.)); #399362=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #399363=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #399364=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #399365=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #399366=DIRECTION('',(0.,0.,1.)); #399367=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #399368=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #399369=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #399370=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #399371=DIRECTION('',(0.,0.,1.)); #399372=DIRECTION('center_axis',(-1.,0.,0.)); #399373=DIRECTION('ref_axis',(0.,-1.,0.)); #399374=DIRECTION('',(0.,-1.,0.)); #399375=DIRECTION('',(0.,-1.,0.)); #399376=DIRECTION('',(0.,0.,1.)); #399377=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #399378=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #399379=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #399380=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #399381=DIRECTION('',(0.,0.,1.)); #399382=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #399383=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #399384=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #399385=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #399386=DIRECTION('',(0.,0.,1.)); #399387=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #399388=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #399389=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #399390=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #399391=DIRECTION('',(0.,0.,1.)); #399392=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #399393=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #399394=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #399395=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #399396=DIRECTION('',(0.,0.,1.)); #399397=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #399398=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #399399=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #399400=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #399401=DIRECTION('',(0.,0.,1.)); #399402=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #399403=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #399404=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #399405=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #399406=DIRECTION('',(0.,0.,1.)); #399407=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #399408=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #399409=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #399410=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #399411=DIRECTION('',(0.,0.,1.)); #399412=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #399413=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #399414=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #399415=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #399416=DIRECTION('',(0.,0.,1.)); #399417=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #399418=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #399419=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399420=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399421=DIRECTION('',(0.,0.,1.)); #399422=DIRECTION('center_axis',(1.,0.,0.)); #399423=DIRECTION('ref_axis',(0.,1.,0.)); #399424=DIRECTION('',(0.,1.,0.)); #399425=DIRECTION('',(0.,1.,0.)); #399426=DIRECTION('',(0.,0.,1.)); #399427=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #399428=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #399429=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #399430=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #399431=DIRECTION('',(0.,0.,1.)); #399432=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #399433=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #399434=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #399435=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #399436=DIRECTION('',(0.,0.,1.)); #399437=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #399438=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #399439=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #399440=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #399441=DIRECTION('',(0.,0.,1.)); #399442=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #399443=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #399444=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #399445=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #399446=DIRECTION('center_axis',(0.,0.,1.)); #399447=DIRECTION('ref_axis',(1.,0.,0.)); #399448=DIRECTION('center_axis',(0.,0.,1.)); #399449=DIRECTION('ref_axis',(1.,0.,0.)); #399450=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #399451=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #399452=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399453=DIRECTION('',(0.,0.,1.)); #399454=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399455=DIRECTION('',(0.,0.,1.)); #399456=DIRECTION('center_axis',(0.,-1.,0.)); #399457=DIRECTION('ref_axis',(1.,0.,0.)); #399458=DIRECTION('',(1.,0.,0.)); #399459=DIRECTION('',(1.,0.,0.)); #399460=DIRECTION('',(0.,0.,1.)); #399461=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #399462=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #399463=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #399464=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #399465=DIRECTION('',(0.,0.,1.)); #399466=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #399467=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #399468=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #399469=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #399470=DIRECTION('',(0.,0.,1.)); #399471=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #399472=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #399473=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #399474=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #399475=DIRECTION('',(0.,0.,1.)); #399476=DIRECTION('center_axis',(-0.820212632122522,-0.572058771549431, 0.)); #399477=DIRECTION('ref_axis',(0.572058771549431,-0.820212632122522,0.)); #399478=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #399479=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #399480=DIRECTION('',(0.,0.,1.)); #399481=DIRECTION('center_axis',(-0.97315145688646,-0.230165683714495,0.)); #399482=DIRECTION('ref_axis',(0.230165683714495,-0.97315145688646,0.)); #399483=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #399484=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #399485=DIRECTION('',(0.,0.,1.)); #399486=DIRECTION('center_axis',(-0.985847618607416,0.167643887112198,0.)); #399487=DIRECTION('ref_axis',(-0.167643887112198,-0.985847618607416,0.)); #399488=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #399489=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #399490=DIRECTION('',(0.,0.,1.)); #399491=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #399492=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #399493=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #399494=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #399495=DIRECTION('',(0.,0.,1.)); #399496=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #399497=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #399498=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #399499=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #399500=DIRECTION('',(0.,0.,1.)); #399501=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #399502=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #399503=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399504=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399505=DIRECTION('',(0.,0.,1.)); #399506=DIRECTION('center_axis',(0.,1.,0.)); #399507=DIRECTION('ref_axis',(-1.,0.,0.)); #399508=DIRECTION('',(-1.,0.,0.)); #399509=DIRECTION('',(-1.,0.,0.)); #399510=DIRECTION('',(0.,0.,1.)); #399511=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #399512=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #399513=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399514=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399515=DIRECTION('',(0.,0.,1.)); #399516=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #399517=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #399518=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399519=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399520=DIRECTION('',(0.,0.,1.)); #399521=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #399522=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #399523=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #399524=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #399525=DIRECTION('',(0.,0.,1.)); #399526=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #399527=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #399528=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #399529=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #399530=DIRECTION('',(0.,0.,1.)); #399531=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #399532=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #399533=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #399534=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #399535=DIRECTION('',(0.,0.,1.)); #399536=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #399537=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #399538=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #399539=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #399540=DIRECTION('',(0.,0.,1.)); #399541=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #399542=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #399543=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #399544=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #399545=DIRECTION('',(0.,0.,1.)); #399546=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #399547=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #399548=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #399549=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #399550=DIRECTION('',(0.,0.,1.)); #399551=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #399552=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #399553=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #399554=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #399555=DIRECTION('center_axis',(0.,0.,1.)); #399556=DIRECTION('ref_axis',(1.,0.,0.)); #399557=DIRECTION('center_axis',(0.,0.,1.)); #399558=DIRECTION('ref_axis',(1.,0.,0.)); #399559=DIRECTION('center_axis',(0.,0.,1.)); #399560=DIRECTION('ref_axis',(1.,0.,0.)); #399561=DIRECTION('center_axis',(0.,0.,1.)); #399562=DIRECTION('ref_axis',(1.,0.,0.)); #399563=DIRECTION('',(0.,0.,1.)); #399564=DIRECTION('center_axis',(0.,0.,-1.)); #399565=DIRECTION('ref_axis',(1.,0.,0.)); #399566=DIRECTION('center_axis',(0.,1.,0.)); #399567=DIRECTION('ref_axis',(-1.,0.,0.)); #399568=DIRECTION('',(1.,0.,0.)); #399569=DIRECTION('',(0.,0.,1.)); #399570=DIRECTION('',(-1.,0.,0.)); #399571=DIRECTION('',(0.,0.,1.)); #399572=DIRECTION('center_axis',(0.,0.,1.)); #399573=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #399574=DIRECTION('center_axis',(0.,0.,-1.)); #399575=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #399576=DIRECTION('center_axis',(0.,0.,1.)); #399577=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #399578=DIRECTION('',(0.,0.,1.)); #399579=DIRECTION('center_axis',(0.,-1.,0.)); #399580=DIRECTION('ref_axis',(1.,0.,0.)); #399581=DIRECTION('',(-1.,0.,0.)); #399582=DIRECTION('',(1.,0.,0.)); #399583=DIRECTION('',(0.,0.,1.)); #399584=DIRECTION('center_axis',(0.,0.,1.)); #399585=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #399586=DIRECTION('center_axis',(0.,0.,-1.)); #399587=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #399588=DIRECTION('center_axis',(0.,0.,1.)); #399589=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #399590=DIRECTION('center_axis',(0.,0.,1.)); #399591=DIRECTION('ref_axis',(1.,0.,0.)); #399592=DIRECTION('center_axis',(0.,0.,1.)); #399593=DIRECTION('ref_axis',(1.,0.,0.)); #399594=DIRECTION('',(0.,0.,1.)); #399595=DIRECTION('center_axis',(0.,0.,-1.)); #399596=DIRECTION('ref_axis',(1.,0.,0.)); #399597=DIRECTION('center_axis',(0.,0.,1.)); #399598=DIRECTION('ref_axis',(1.,0.,0.)); #399599=DIRECTION('center_axis',(0.,0.,1.)); #399600=DIRECTION('ref_axis',(1.,0.,0.)); #399601=DIRECTION('',(0.,0.,1.)); #399602=DIRECTION('center_axis',(0.,0.,-1.)); #399603=DIRECTION('ref_axis',(1.,0.,0.)); #399604=DIRECTION('center_axis',(0.,0.,1.)); #399605=DIRECTION('ref_axis',(1.,0.,0.)); #399606=DIRECTION('center_axis',(0.,0.,1.)); #399607=DIRECTION('ref_axis',(1.,0.,0.)); #399608=DIRECTION('',(0.,0.,1.)); #399609=DIRECTION('center_axis',(0.,0.,-1.)); #399610=DIRECTION('ref_axis',(1.,0.,0.)); #399611=DIRECTION('center_axis',(0.,0.,1.)); #399612=DIRECTION('ref_axis',(1.,0.,0.)); #399613=DIRECTION('center_axis',(0.,0.,1.)); #399614=DIRECTION('ref_axis',(1.,0.,0.)); #399615=DIRECTION('',(0.,0.,1.)); #399616=DIRECTION('center_axis',(0.,0.,-1.)); #399617=DIRECTION('ref_axis',(1.,0.,0.)); #399618=DIRECTION('center_axis',(0.,0.,1.)); #399619=DIRECTION('ref_axis',(1.,0.,0.)); #399620=DIRECTION('center_axis',(0.,0.,1.)); #399621=DIRECTION('ref_axis',(1.,0.,0.)); #399622=DIRECTION('',(0.,0.,1.)); #399623=DIRECTION('center_axis',(0.,0.,-1.)); #399624=DIRECTION('ref_axis',(1.,0.,0.)); #399625=DIRECTION('center_axis',(0.,0.,1.)); #399626=DIRECTION('ref_axis',(1.,0.,0.)); #399627=DIRECTION('center_axis',(0.,0.,1.)); #399628=DIRECTION('ref_axis',(1.,0.,0.)); #399629=DIRECTION('',(0.,0.,1.)); #399630=DIRECTION('center_axis',(0.,0.,-1.)); #399631=DIRECTION('ref_axis',(1.,0.,0.)); #399632=DIRECTION('center_axis',(0.,0.,1.)); #399633=DIRECTION('ref_axis',(1.,0.,0.)); #399634=DIRECTION('center_axis',(0.,0.,1.)); #399635=DIRECTION('ref_axis',(1.,0.,0.)); #399636=DIRECTION('',(0.,0.,1.)); #399637=DIRECTION('center_axis',(0.,0.,-1.)); #399638=DIRECTION('ref_axis',(1.,0.,0.)); #399639=DIRECTION('center_axis',(0.,0.,1.)); #399640=DIRECTION('ref_axis',(1.,0.,0.)); #399641=DIRECTION('center_axis',(0.,0.,1.)); #399642=DIRECTION('ref_axis',(1.,0.,0.)); #399643=DIRECTION('',(0.,0.,1.)); #399644=DIRECTION('center_axis',(0.,0.,-1.)); #399645=DIRECTION('ref_axis',(1.,0.,0.)); #399646=DIRECTION('center_axis',(0.,0.,1.)); #399647=DIRECTION('ref_axis',(1.,0.,0.)); #399648=DIRECTION('center_axis',(0.,0.,1.)); #399649=DIRECTION('ref_axis',(1.,0.,0.)); #399650=DIRECTION('',(0.,0.,1.)); #399651=DIRECTION('center_axis',(0.,0.,-1.)); #399652=DIRECTION('ref_axis',(1.,0.,0.)); #399653=DIRECTION('center_axis',(0.,1.,0.)); #399654=DIRECTION('ref_axis',(-1.,0.,0.)); #399655=DIRECTION('',(-1.,0.,0.)); #399656=DIRECTION('',(0.,0.,1.)); #399657=DIRECTION('',(-1.,0.,0.)); #399658=DIRECTION('',(0.,0.,1.)); #399659=DIRECTION('center_axis',(-0.382684321704497,0.923879164134341,0.)); #399660=DIRECTION('ref_axis',(-0.923879164134341,-0.382684321704497,0.)); #399661=DIRECTION('',(-0.923879164134341,-0.382684321704497,0.)); #399662=DIRECTION('',(-0.923879164134341,-0.382684321704497,0.)); #399663=DIRECTION('',(0.,0.,1.)); #399664=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #399665=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #399666=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #399667=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #399668=DIRECTION('',(0.,0.,1.)); #399669=DIRECTION('center_axis',(-0.923879164134337,0.382684321704506,0.)); #399670=DIRECTION('ref_axis',(-0.382684321704506,-0.923879164134337,0.)); #399671=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #399672=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #399673=DIRECTION('',(0.,0.,1.)); #399674=DIRECTION('center_axis',(-1.,0.,0.)); #399675=DIRECTION('ref_axis',(0.,-1.,0.)); #399676=DIRECTION('',(0.,-1.,0.)); #399677=DIRECTION('',(0.,-1.,0.)); #399678=DIRECTION('',(0.,0.,1.)); #399679=DIRECTION('center_axis',(-0.923879164134336,-0.38268432170451,0.)); #399680=DIRECTION('ref_axis',(0.38268432170451,-0.923879164134336,0.)); #399681=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #399682=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #399683=DIRECTION('',(0.,0.,1.)); #399684=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #399685=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #399686=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #399687=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #399688=DIRECTION('',(0.,0.,1.)); #399689=DIRECTION('center_axis',(-0.382684321704487,-0.923879164134345, 0.)); #399690=DIRECTION('ref_axis',(0.923879164134345,-0.382684321704487,0.)); #399691=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #399692=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #399693=DIRECTION('',(0.,0.,1.)); #399694=DIRECTION('center_axis',(0.,-1.,0.)); #399695=DIRECTION('ref_axis',(1.,0.,0.)); #399696=DIRECTION('',(1.,0.,0.)); #399697=DIRECTION('',(1.,0.,0.)); #399698=DIRECTION('',(0.,0.,1.)); #399699=DIRECTION('center_axis',(0.382684321704495,-0.923879164134342,0.)); #399700=DIRECTION('ref_axis',(0.923879164134342,0.382684321704495,0.)); #399701=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #399702=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #399703=DIRECTION('',(0.,0.,1.)); #399704=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #399705=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #399706=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #399707=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #399708=DIRECTION('',(0.,0.,1.)); #399709=DIRECTION('center_axis',(0.923879164134344,-0.382684321704491,0.)); #399710=DIRECTION('ref_axis',(0.382684321704491,0.923879164134344,0.)); #399711=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #399712=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #399713=DIRECTION('',(0.,0.,1.)); #399714=DIRECTION('center_axis',(1.,0.,0.)); #399715=DIRECTION('ref_axis',(0.,1.,0.)); #399716=DIRECTION('',(0.,1.,0.)); #399717=DIRECTION('',(0.,1.,0.)); #399718=DIRECTION('',(0.,0.,1.)); #399719=DIRECTION('center_axis',(0.923879164134345,0.382684321704487,0.)); #399720=DIRECTION('ref_axis',(-0.382684321704487,0.923879164134345,0.)); #399721=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #399722=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #399723=DIRECTION('',(0.,0.,1.)); #399724=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #399725=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #399726=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #399727=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #399728=DIRECTION('',(0.,0.,1.)); #399729=DIRECTION('center_axis',(0.382684321704505,0.923879164134338,0.)); #399730=DIRECTION('ref_axis',(-0.923879164134338,0.382684321704505,0.)); #399731=DIRECTION('',(-0.923879164134338,0.382684321704505,0.)); #399732=DIRECTION('',(-0.923879164134338,0.382684321704505,0.)); #399733=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #399734=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #399735=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399736=DIRECTION('',(0.,0.,1.)); #399737=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #399738=DIRECTION('',(0.,0.,1.)); #399739=DIRECTION('center_axis',(0.,-1.,0.)); #399740=DIRECTION('ref_axis',(1.,0.,0.)); #399741=DIRECTION('',(1.,0.,0.)); #399742=DIRECTION('',(1.,0.,0.)); #399743=DIRECTION('',(0.,0.,1.)); #399744=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #399745=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #399746=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #399747=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #399748=DIRECTION('',(0.,0.,1.)); #399749=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #399750=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #399751=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #399752=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #399753=DIRECTION('',(0.,0.,1.)); #399754=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #399755=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #399756=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399757=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399758=DIRECTION('',(0.,0.,1.)); #399759=DIRECTION('center_axis',(0.,-1.,0.)); #399760=DIRECTION('ref_axis',(1.,0.,0.)); #399761=DIRECTION('',(1.,0.,0.)); #399762=DIRECTION('',(1.,0.,0.)); #399763=DIRECTION('',(0.,0.,1.)); #399764=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #399765=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #399766=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #399767=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #399768=DIRECTION('',(0.,0.,1.)); #399769=DIRECTION('center_axis',(-0.538911466745885,-0.842362410729372, 0.)); #399770=DIRECTION('ref_axis',(0.842362410729372,-0.538911466745885,0.)); #399771=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #399772=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #399773=DIRECTION('',(0.,0.,1.)); #399774=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #399775=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #399776=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #399777=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #399778=DIRECTION('',(0.,0.,1.)); #399779=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #399780=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #399781=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399782=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399783=DIRECTION('',(0.,0.,1.)); #399784=DIRECTION('center_axis',(-1.,0.,0.)); #399785=DIRECTION('ref_axis',(0.,-1.,0.)); #399786=DIRECTION('',(0.,-1.,0.)); #399787=DIRECTION('',(0.,-1.,0.)); #399788=DIRECTION('',(0.,0.,1.)); #399789=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #399790=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #399791=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399792=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399793=DIRECTION('',(0.,0.,1.)); #399794=DIRECTION('center_axis',(0.,-1.,0.)); #399795=DIRECTION('ref_axis',(1.,0.,0.)); #399796=DIRECTION('',(1.,0.,0.)); #399797=DIRECTION('',(1.,0.,0.)); #399798=DIRECTION('',(0.,0.,1.)); #399799=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #399800=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #399801=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #399802=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #399803=DIRECTION('',(0.,0.,1.)); #399804=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #399805=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #399806=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #399807=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #399808=DIRECTION('',(0.,0.,1.)); #399809=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #399810=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #399811=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #399812=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #399813=DIRECTION('',(0.,0.,1.)); #399814=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #399815=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #399816=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #399817=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #399818=DIRECTION('',(0.,0.,1.)); #399819=DIRECTION('center_axis',(-1.,0.,0.)); #399820=DIRECTION('ref_axis',(0.,-1.,0.)); #399821=DIRECTION('',(0.,-1.,0.)); #399822=DIRECTION('',(0.,-1.,0.)); #399823=DIRECTION('',(0.,0.,1.)); #399824=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #399825=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #399826=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #399827=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #399828=DIRECTION('',(0.,0.,1.)); #399829=DIRECTION('center_axis',(0.,-1.,0.)); #399830=DIRECTION('ref_axis',(1.,0.,0.)); #399831=DIRECTION('',(1.,0.,0.)); #399832=DIRECTION('',(1.,0.,0.)); #399833=DIRECTION('',(0.,0.,1.)); #399834=DIRECTION('center_axis',(-0.183305290257387,-0.983056036329392, 0.)); #399835=DIRECTION('ref_axis',(0.983056036329392,-0.183305290257387,0.)); #399836=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #399837=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #399838=DIRECTION('',(0.,0.,1.)); #399839=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #399840=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #399841=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #399842=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #399843=DIRECTION('',(0.,0.,1.)); #399844=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #399845=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #399846=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #399847=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #399848=DIRECTION('',(0.,0.,1.)); #399849=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #399850=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #399851=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #399852=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #399853=DIRECTION('',(0.,0.,1.)); #399854=DIRECTION('center_axis',(-1.,0.,0.)); #399855=DIRECTION('ref_axis',(0.,-1.,0.)); #399856=DIRECTION('',(0.,-1.,0.)); #399857=DIRECTION('',(0.,-1.,0.)); #399858=DIRECTION('',(0.,0.,1.)); #399859=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #399860=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #399861=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #399862=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #399863=DIRECTION('',(0.,0.,1.)); #399864=DIRECTION('center_axis',(-0.833669916115124,0.55226304508323,0.)); #399865=DIRECTION('ref_axis',(-0.55226304508323,-0.833669916115124,0.)); #399866=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #399867=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #399868=DIRECTION('',(0.,0.,1.)); #399869=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #399870=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #399871=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #399872=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #399873=DIRECTION('',(0.,0.,1.)); #399874=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #399875=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #399876=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #399877=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #399878=DIRECTION('',(0.,0.,1.)); #399879=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #399880=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #399881=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #399882=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #399883=DIRECTION('',(0.,0.,1.)); #399884=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #399885=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #399886=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #399887=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #399888=DIRECTION('',(0.,0.,1.)); #399889=DIRECTION('center_axis',(0.538888069291942,0.842377379073538,0.)); #399890=DIRECTION('ref_axis',(-0.842377379073538,0.538888069291942,0.)); #399891=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #399892=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #399893=DIRECTION('',(0.,0.,1.)); #399894=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #399895=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #399896=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #399897=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #399898=DIRECTION('',(0.,0.,1.)); #399899=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #399900=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #399901=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399902=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399903=DIRECTION('',(0.,0.,1.)); #399904=DIRECTION('center_axis',(1.,0.,0.)); #399905=DIRECTION('ref_axis',(0.,1.,0.)); #399906=DIRECTION('',(0.,1.,0.)); #399907=DIRECTION('',(0.,1.,0.)); #399908=DIRECTION('',(0.,0.,1.)); #399909=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #399910=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #399911=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399912=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399913=DIRECTION('',(0.,0.,1.)); #399914=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #399915=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #399916=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #399917=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #399918=DIRECTION('',(0.,0.,1.)); #399919=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #399920=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #399921=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #399922=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #399923=DIRECTION('',(0.,0.,1.)); #399924=DIRECTION('center_axis',(1.,0.,0.)); #399925=DIRECTION('ref_axis',(0.,1.,0.)); #399926=DIRECTION('',(0.,1.,0.)); #399927=DIRECTION('',(0.,1.,0.)); #399928=DIRECTION('',(0.,0.,1.)); #399929=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399930=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399931=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399932=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399933=DIRECTION('',(0.,0.,1.)); #399934=DIRECTION('center_axis',(0.,1.,0.)); #399935=DIRECTION('ref_axis',(-1.,0.,0.)); #399936=DIRECTION('',(-1.,0.,0.)); #399937=DIRECTION('',(-1.,0.,0.)); #399938=DIRECTION('',(0.,0.,1.)); #399939=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #399940=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #399941=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #399942=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #399943=DIRECTION('',(0.,0.,1.)); #399944=DIRECTION('center_axis',(-1.,0.,0.)); #399945=DIRECTION('ref_axis',(0.,-1.,0.)); #399946=DIRECTION('',(0.,-1.,0.)); #399947=DIRECTION('',(0.,-1.,0.)); #399948=DIRECTION('',(0.,0.,1.)); #399949=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #399950=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #399951=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #399952=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #399953=DIRECTION('',(0.,0.,1.)); #399954=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #399955=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #399956=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #399957=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #399958=DIRECTION('',(0.,0.,1.)); #399959=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #399960=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #399961=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399962=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399963=DIRECTION('',(0.,0.,1.)); #399964=DIRECTION('center_axis',(-1.,0.,0.)); #399965=DIRECTION('ref_axis',(0.,-1.,0.)); #399966=DIRECTION('',(0.,-1.,0.)); #399967=DIRECTION('',(0.,-1.,0.)); #399968=DIRECTION('',(0.,0.,1.)); #399969=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #399970=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #399971=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #399972=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #399973=DIRECTION('',(0.,0.,1.)); #399974=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #399975=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #399976=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #399977=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #399978=DIRECTION('',(0.,0.,1.)); #399979=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #399980=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #399981=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #399982=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #399983=DIRECTION('',(0.,0.,1.)); #399984=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #399985=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #399986=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #399987=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #399988=DIRECTION('',(0.,0.,1.)); #399989=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #399990=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #399991=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #399992=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #399993=DIRECTION('',(0.,0.,1.)); #399994=DIRECTION('center_axis',(0.,1.,0.)); #399995=DIRECTION('ref_axis',(-1.,0.,0.)); #399996=DIRECTION('',(-1.,0.,0.)); #399997=DIRECTION('',(-1.,0.,0.)); #399998=DIRECTION('',(0.,0.,1.)); #399999=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400000=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400001=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400002=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400003=DIRECTION('',(0.,0.,1.)); #400004=DIRECTION('center_axis',(-1.,0.,0.)); #400005=DIRECTION('ref_axis',(0.,-1.,0.)); #400006=DIRECTION('',(0.,-1.,0.)); #400007=DIRECTION('',(0.,-1.,0.)); #400008=DIRECTION('',(0.,0.,1.)); #400009=DIRECTION('center_axis',(-0.983056036329444,0.183305290257108,0.)); #400010=DIRECTION('ref_axis',(-0.183305290257108,-0.983056036329444,0.)); #400011=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #400012=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #400013=DIRECTION('',(0.,0.,1.)); #400014=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #400015=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #400016=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #400017=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #400018=DIRECTION('',(0.,0.,1.)); #400019=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #400020=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #400021=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #400022=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #400023=DIRECTION('',(0.,0.,1.)); #400024=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #400025=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #400026=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #400027=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #400028=DIRECTION('',(0.,0.,1.)); #400029=DIRECTION('center_axis',(0.,1.,0.)); #400030=DIRECTION('ref_axis',(-1.,0.,0.)); #400031=DIRECTION('',(-1.,0.,0.)); #400032=DIRECTION('',(-1.,0.,0.)); #400033=DIRECTION('',(0.,0.,1.)); #400034=DIRECTION('center_axis',(-0.707106781186583,0.707106781186512,0.)); #400035=DIRECTION('ref_axis',(-0.707106781186512,-0.707106781186583,0.)); #400036=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #400037=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #400038=DIRECTION('',(0.,0.,1.)); #400039=DIRECTION('center_axis',(-1.,0.,0.)); #400040=DIRECTION('ref_axis',(0.,-1.,0.)); #400041=DIRECTION('',(0.,-1.,0.)); #400042=DIRECTION('',(0.,-1.,0.)); #400043=DIRECTION('',(0.,0.,1.)); #400044=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #400045=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #400046=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #400047=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #400048=DIRECTION('',(0.,0.,1.)); #400049=DIRECTION('center_axis',(0.,-1.,0.)); #400050=DIRECTION('ref_axis',(1.,0.,0.)); #400051=DIRECTION('',(1.,0.,0.)); #400052=DIRECTION('',(1.,0.,0.)); #400053=DIRECTION('',(0.,0.,1.)); #400054=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #400055=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #400056=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #400057=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #400058=DIRECTION('',(0.,0.,1.)); #400059=DIRECTION('center_axis',(1.,0.,0.)); #400060=DIRECTION('ref_axis',(0.,1.,0.)); #400061=DIRECTION('',(0.,1.,0.)); #400062=DIRECTION('',(0.,1.,0.)); #400063=DIRECTION('',(0.,0.,1.)); #400064=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #400065=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #400066=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #400067=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #400068=DIRECTION('',(0.,0.,1.)); #400069=DIRECTION('center_axis',(0.,1.,0.)); #400070=DIRECTION('ref_axis',(-1.,0.,0.)); #400071=DIRECTION('',(-1.,0.,0.)); #400072=DIRECTION('',(-1.,0.,0.)); #400073=DIRECTION('',(0.,0.,1.)); #400074=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #400075=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #400076=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #400077=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #400078=DIRECTION('',(0.,0.,1.)); #400079=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #400080=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #400081=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #400082=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #400083=DIRECTION('',(0.,0.,1.)); #400084=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #400085=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #400086=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #400087=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #400088=DIRECTION('',(0.,0.,1.)); #400089=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #400090=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #400091=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400092=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400093=DIRECTION('',(0.,0.,1.)); #400094=DIRECTION('center_axis',(1.,0.,0.)); #400095=DIRECTION('ref_axis',(0.,1.,0.)); #400096=DIRECTION('',(0.,1.,0.)); #400097=DIRECTION('',(0.,1.,0.)); #400098=DIRECTION('',(0.,0.,1.)); #400099=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #400100=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #400101=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #400102=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #400103=DIRECTION('',(0.,0.,1.)); #400104=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #400105=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #400106=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #400107=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #400108=DIRECTION('',(0.,0.,1.)); #400109=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #400110=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #400111=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #400112=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #400113=DIRECTION('',(0.,0.,1.)); #400114=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #400115=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #400116=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #400117=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #400118=DIRECTION('',(0.,0.,1.)); #400119=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #400120=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #400121=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #400122=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #400123=DIRECTION('',(0.,0.,1.)); #400124=DIRECTION('center_axis',(0.,-1.,0.)); #400125=DIRECTION('ref_axis',(1.,0.,0.)); #400126=DIRECTION('',(1.,0.,0.)); #400127=DIRECTION('',(1.,0.,0.)); #400128=DIRECTION('',(0.,0.,1.)); #400129=DIRECTION('center_axis',(-0.175495599689754,-0.984480215387558, 0.)); #400130=DIRECTION('ref_axis',(0.984480215387559,-0.175495599689754,0.)); #400131=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #400132=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #400133=DIRECTION('',(0.,0.,1.)); #400134=DIRECTION('center_axis',(-0.525373540973438,-0.850871695642199, 0.)); #400135=DIRECTION('ref_axis',(0.850871695642199,-0.525373540973438,0.)); #400136=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #400137=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #400138=DIRECTION('',(0.,0.,1.)); #400139=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #400140=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #400141=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #400142=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #400143=DIRECTION('',(0.,0.,1.)); #400144=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #400145=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #400146=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #400147=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #400148=DIRECTION('',(0.,0.,1.)); #400149=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #400150=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #400151=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #400152=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #400153=DIRECTION('',(0.,0.,1.)); #400154=DIRECTION('center_axis',(-0.833659859749845,0.552278225391757,0.)); #400155=DIRECTION('ref_axis',(-0.552278225391757,-0.833659859749845,0.)); #400156=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #400157=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #400158=DIRECTION('',(0.,0.,1.)); #400159=DIRECTION('center_axis',(-0.707106781186542,0.707106781186553,0.)); #400160=DIRECTION('ref_axis',(-0.707106781186553,-0.707106781186542,0.)); #400161=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #400162=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #400163=DIRECTION('',(0.,0.,1.)); #400164=DIRECTION('center_axis',(-1.,0.,0.)); #400165=DIRECTION('ref_axis',(0.,-1.,0.)); #400166=DIRECTION('',(0.,-1.,0.)); #400167=DIRECTION('',(0.,-1.,0.)); #400168=DIRECTION('',(0.,0.,1.)); #400169=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #400170=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #400171=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #400172=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #400173=DIRECTION('',(0.,0.,1.)); #400174=DIRECTION('center_axis',(-0.833669916115057,0.552263045083332,0.)); #400175=DIRECTION('ref_axis',(-0.552263045083332,-0.833669916115057,0.)); #400176=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #400177=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #400178=DIRECTION('',(0.,0.,1.)); #400179=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #400180=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #400181=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #400182=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #400183=DIRECTION('',(0.,0.,1.)); #400184=DIRECTION('center_axis',(-0.572058771549169,0.820212632122705,0.)); #400185=DIRECTION('ref_axis',(-0.820212632122705,-0.572058771549169,0.)); #400186=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #400187=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #400188=DIRECTION('',(0.,0.,1.)); #400189=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #400190=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #400191=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #400192=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #400193=DIRECTION('',(0.,0.,1.)); #400194=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #400195=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #400196=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #400197=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #400198=DIRECTION('',(0.,0.,1.)); #400199=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #400200=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #400201=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #400202=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #400203=DIRECTION('',(0.,0.,1.)); #400204=DIRECTION('center_axis',(0.824756093514902,0.565488626066023,0.)); #400205=DIRECTION('ref_axis',(-0.565488626066023,0.824756093514902,0.)); #400206=DIRECTION('',(-0.565488626066023,0.824756093514902,0.)); #400207=DIRECTION('',(-0.565488626066023,0.824756093514902,0.)); #400208=DIRECTION('',(0.,0.,1.)); #400209=DIRECTION('center_axis',(0.980008419561381,0.198956019232402,0.)); #400210=DIRECTION('ref_axis',(-0.198956019232402,0.980008419561381,0.)); #400211=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #400212=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #400213=DIRECTION('',(0.,0.,1.)); #400214=DIRECTION('center_axis',(1.,0.,0.)); #400215=DIRECTION('ref_axis',(0.,1.,0.)); #400216=DIRECTION('',(0.,1.,0.)); #400217=DIRECTION('',(0.,1.,0.)); #400218=DIRECTION('',(0.,0.,1.)); #400219=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #400220=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #400221=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #400222=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #400223=DIRECTION('',(0.,0.,1.)); #400224=DIRECTION('center_axis',(0.,1.,0.)); #400225=DIRECTION('ref_axis',(-1.,0.,0.)); #400226=DIRECTION('',(-1.,0.,0.)); #400227=DIRECTION('',(-1.,0.,0.)); #400228=DIRECTION('',(0.,0.,1.)); #400229=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400230=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400231=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400232=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400233=DIRECTION('',(0.,0.,1.)); #400234=DIRECTION('center_axis',(-1.,0.,0.)); #400235=DIRECTION('ref_axis',(0.,-1.,0.)); #400236=DIRECTION('',(0.,-1.,0.)); #400237=DIRECTION('',(0.,-1.,0.)); #400238=DIRECTION('',(0.,0.,1.)); #400239=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #400240=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #400241=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #400242=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #400243=DIRECTION('',(0.,0.,1.)); #400244=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #400245=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #400246=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #400247=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #400248=DIRECTION('',(0.,0.,1.)); #400249=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #400250=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #400251=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #400252=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #400253=DIRECTION('',(0.,0.,1.)); #400254=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #400255=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #400256=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #400257=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #400258=DIRECTION('',(0.,0.,1.)); #400259=DIRECTION('center_axis',(-0.19895601923274,0.980008419561313,0.)); #400260=DIRECTION('ref_axis',(-0.980008419561313,-0.19895601923274,0.)); #400261=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #400262=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #400263=DIRECTION('',(0.,0.,1.)); #400264=DIRECTION('center_axis',(0.,1.,0.)); #400265=DIRECTION('ref_axis',(-1.,0.,0.)); #400266=DIRECTION('',(-1.,0.,0.)); #400267=DIRECTION('',(-1.,0.,0.)); #400268=DIRECTION('',(0.,0.,1.)); #400269=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400270=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400271=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400272=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400273=DIRECTION('',(0.,0.,1.)); #400274=DIRECTION('center_axis',(-1.,0.,0.)); #400275=DIRECTION('ref_axis',(0.,-1.,0.)); #400276=DIRECTION('',(0.,-1.,0.)); #400277=DIRECTION('',(0.,-1.,0.)); #400278=DIRECTION('',(0.,0.,1.)); #400279=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #400280=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #400281=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #400282=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #400283=DIRECTION('',(0.,0.,1.)); #400284=DIRECTION('center_axis',(0.,-1.,0.)); #400285=DIRECTION('ref_axis',(1.,0.,0.)); #400286=DIRECTION('',(1.,0.,0.)); #400287=DIRECTION('',(1.,0.,0.)); #400288=DIRECTION('',(0.,0.,1.)); #400289=DIRECTION('center_axis',(-0.175495599689668,-0.984480215387574, 0.)); #400290=DIRECTION('ref_axis',(0.984480215387574,-0.175495599689668,0.)); #400291=DIRECTION('',(0.984480215387574,-0.175495599689668,0.)); #400292=DIRECTION('',(0.984480215387574,-0.175495599689668,0.)); #400293=DIRECTION('',(0.,0.,1.)); #400294=DIRECTION('center_axis',(-0.52537354097374,-0.850871695642012,0.)); #400295=DIRECTION('ref_axis',(0.850871695642012,-0.52537354097374,0.)); #400296=DIRECTION('',(0.850871695642012,-0.52537354097374,0.)); #400297=DIRECTION('',(0.850871695642012,-0.52537354097374,0.)); #400298=DIRECTION('',(0.,0.,1.)); #400299=DIRECTION('center_axis',(-0.815693852178772,-0.578483828224917, 0.)); #400300=DIRECTION('ref_axis',(0.578483828224917,-0.815693852178772,0.)); #400301=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #400302=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #400303=DIRECTION('',(0.,0.,1.)); #400304=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #400305=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #400306=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #400307=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #400308=DIRECTION('',(0.,0.,1.)); #400309=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #400310=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #400311=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #400312=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #400313=DIRECTION('',(0.,0.,1.)); #400314=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #400315=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #400316=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #400317=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #400318=DIRECTION('',(0.,0.,1.)); #400319=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400320=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400321=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400322=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400323=DIRECTION('',(0.,0.,1.)); #400324=DIRECTION('center_axis',(-1.,0.,0.)); #400325=DIRECTION('ref_axis',(0.,-1.,0.)); #400326=DIRECTION('',(0.,-1.,0.)); #400327=DIRECTION('',(0.,-1.,0.)); #400328=DIRECTION('',(0.,0.,1.)); #400329=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #400330=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #400331=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #400332=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #400333=DIRECTION('',(0.,0.,1.)); #400334=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #400335=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #400336=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #400337=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #400338=DIRECTION('',(0.,0.,1.)); #400339=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #400340=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #400341=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #400342=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #400343=DIRECTION('',(0.,0.,1.)); #400344=DIRECTION('center_axis',(-1.,0.,0.)); #400345=DIRECTION('ref_axis',(0.,-1.,0.)); #400346=DIRECTION('',(0.,-1.,0.)); #400347=DIRECTION('',(0.,-1.,0.)); #400348=DIRECTION('',(0.,0.,1.)); #400349=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #400350=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #400351=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #400352=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #400353=DIRECTION('',(0.,0.,1.)); #400354=DIRECTION('center_axis',(0.,-1.,0.)); #400355=DIRECTION('ref_axis',(1.,0.,0.)); #400356=DIRECTION('',(1.,0.,0.)); #400357=DIRECTION('',(1.,0.,0.)); #400358=DIRECTION('',(0.,0.,1.)); #400359=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #400360=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #400361=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #400362=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #400363=DIRECTION('',(0.,0.,1.)); #400364=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #400365=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #400366=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #400367=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #400368=DIRECTION('',(0.,0.,1.)); #400369=DIRECTION('center_axis',(0.21467431451675,-0.97668569083752,0.)); #400370=DIRECTION('ref_axis',(0.97668569083752,0.21467431451675,0.)); #400371=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #400372=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #400373=DIRECTION('',(0.,0.,1.)); #400374=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #400375=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #400376=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #400377=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #400378=DIRECTION('',(0.,0.,1.)); #400379=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #400380=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #400381=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #400382=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #400383=DIRECTION('',(0.,0.,1.)); #400384=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #400385=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #400386=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #400387=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #400388=DIRECTION('',(0.,0.,1.)); #400389=DIRECTION('center_axis',(0.,-1.,0.)); #400390=DIRECTION('ref_axis',(1.,0.,0.)); #400391=DIRECTION('',(1.,0.,0.)); #400392=DIRECTION('',(1.,0.,0.)); #400393=DIRECTION('',(0.,0.,1.)); #400394=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #400395=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #400396=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #400397=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #400398=DIRECTION('',(0.,0.,1.)); #400399=DIRECTION('center_axis',(1.,0.,0.)); #400400=DIRECTION('ref_axis',(0.,1.,0.)); #400401=DIRECTION('',(0.,1.,0.)); #400402=DIRECTION('',(0.,1.,0.)); #400403=DIRECTION('',(0.,0.,1.)); #400404=DIRECTION('center_axis',(0.707108784529073,0.707104777838346,0.)); #400405=DIRECTION('ref_axis',(-0.707104777838346,0.707108784529073,0.)); #400406=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #400407=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #400408=DIRECTION('',(0.,0.,1.)); #400409=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #400410=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #400411=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #400412=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #400413=DIRECTION('',(0.,0.,1.)); #400414=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #400415=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #400416=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400417=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #400418=DIRECTION('',(0.,0.,1.)); #400419=DIRECTION('center_axis',(1.,0.,0.)); #400420=DIRECTION('ref_axis',(0.,1.,0.)); #400421=DIRECTION('',(0.,1.,0.)); #400422=DIRECTION('',(0.,1.,0.)); #400423=DIRECTION('',(0.,0.,1.)); #400424=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #400425=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #400426=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #400427=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #400428=DIRECTION('',(0.,0.,1.)); #400429=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #400430=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #400431=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #400432=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #400433=DIRECTION('',(0.,0.,1.)); #400434=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #400435=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #400436=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #400437=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #400438=DIRECTION('',(0.,0.,1.)); #400439=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #400440=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #400441=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #400442=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #400443=DIRECTION('',(0.,0.,1.)); #400444=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #400445=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #400446=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #400447=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #400448=DIRECTION('',(0.,0.,1.)); #400449=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #400450=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #400451=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #400452=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #400453=DIRECTION('',(0.,0.,1.)); #400454=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #400455=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #400456=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #400457=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #400458=DIRECTION('',(0.,0.,1.)); #400459=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #400460=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #400461=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #400462=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #400463=DIRECTION('',(0.,0.,1.)); #400464=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #400465=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #400466=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #400467=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #400468=DIRECTION('',(0.,0.,1.)); #400469=DIRECTION('center_axis',(-1.,0.,0.)); #400470=DIRECTION('ref_axis',(0.,-1.,0.)); #400471=DIRECTION('',(0.,-1.,0.)); #400472=DIRECTION('',(0.,-1.,0.)); #400473=DIRECTION('',(0.,0.,1.)); #400474=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #400475=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #400476=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #400477=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #400478=DIRECTION('',(0.,0.,1.)); #400479=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #400480=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #400481=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #400482=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #400483=DIRECTION('',(0.,0.,1.)); #400484=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #400485=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #400486=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #400487=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #400488=DIRECTION('',(0.,0.,1.)); #400489=DIRECTION('center_axis',(-1.,0.,0.)); #400490=DIRECTION('ref_axis',(0.,-1.,0.)); #400491=DIRECTION('',(0.,-1.,0.)); #400492=DIRECTION('',(0.,-1.,0.)); #400493=DIRECTION('',(0.,0.,1.)); #400494=DIRECTION('center_axis',(-0.981560865252922,0.191149856928888,0.)); #400495=DIRECTION('ref_axis',(-0.191149856928888,-0.981560865252922,0.)); #400496=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #400497=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #400498=DIRECTION('',(0.,0.,1.)); #400499=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #400500=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #400501=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #400502=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #400503=DIRECTION('',(0.,0.,1.)); #400504=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400505=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400506=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400507=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400508=DIRECTION('',(0.,0.,1.)); #400509=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #400510=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #400511=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #400512=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #400513=DIRECTION('',(0.,0.,1.)); #400514=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #400515=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #400516=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #400517=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #400518=DIRECTION('',(0.,0.,1.)); #400519=DIRECTION('center_axis',(0.,1.,0.)); #400520=DIRECTION('ref_axis',(-1.,0.,0.)); #400521=DIRECTION('',(-1.,0.,0.)); #400522=DIRECTION('',(-1.,0.,0.)); #400523=DIRECTION('',(0.,0.,1.)); #400524=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #400525=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #400526=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #400527=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #400528=DIRECTION('',(0.,0.,1.)); #400529=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #400530=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #400531=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #400532=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #400533=DIRECTION('',(0.,0.,1.)); #400534=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #400535=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #400536=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #400537=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #400538=DIRECTION('',(0.,0.,1.)); #400539=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #400540=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #400541=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #400542=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #400543=DIRECTION('',(0.,0.,1.)); #400544=DIRECTION('center_axis',(0.538911466745818,0.842362410729415,0.)); #400545=DIRECTION('ref_axis',(-0.842362410729414,0.538911466745818,0.)); #400546=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #400547=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #400548=DIRECTION('',(0.,0.,1.)); #400549=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #400550=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #400551=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #400552=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #400553=DIRECTION('',(0.,0.,1.)); #400554=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #400555=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #400556=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #400557=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #400558=DIRECTION('',(0.,0.,1.)); #400559=DIRECTION('center_axis',(1.,0.,0.)); #400560=DIRECTION('ref_axis',(0.,1.,0.)); #400561=DIRECTION('',(0.,1.,0.)); #400562=DIRECTION('',(0.,1.,0.)); #400563=DIRECTION('',(0.,0.,1.)); #400564=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #400565=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #400566=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #400567=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #400568=DIRECTION('',(0.,0.,1.)); #400569=DIRECTION('center_axis',(0.,1.,0.)); #400570=DIRECTION('ref_axis',(-1.,0.,0.)); #400571=DIRECTION('',(-1.,0.,0.)); #400572=DIRECTION('',(-1.,0.,0.)); #400573=DIRECTION('',(0.,0.,1.)); #400574=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #400575=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #400576=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #400577=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #400578=DIRECTION('',(0.,0.,1.)); #400579=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #400580=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #400581=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #400582=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #400583=DIRECTION('',(0.,0.,1.)); #400584=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #400585=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #400586=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #400587=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #400588=DIRECTION('',(0.,0.,1.)); #400589=DIRECTION('center_axis',(0.183334818573558,0.983050529880637,0.)); #400590=DIRECTION('ref_axis',(-0.983050529880637,0.183334818573558,0.)); #400591=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #400592=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #400593=DIRECTION('',(0.,0.,1.)); #400594=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #400595=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #400596=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #400597=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #400598=DIRECTION('',(0.,0.,1.)); #400599=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #400600=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #400601=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #400602=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #400603=DIRECTION('',(0.,0.,1.)); #400604=DIRECTION('center_axis',(0.,1.,0.)); #400605=DIRECTION('ref_axis',(-1.,0.,0.)); #400606=DIRECTION('',(-1.,0.,0.)); #400607=DIRECTION('',(-1.,0.,0.)); #400608=DIRECTION('',(0.,0.,1.)); #400609=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400610=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400611=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400612=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #400613=DIRECTION('',(0.,0.,1.)); #400614=DIRECTION('center_axis',(-1.,0.,0.)); #400615=DIRECTION('ref_axis',(0.,-1.,0.)); #400616=DIRECTION('',(0.,-1.,0.)); #400617=DIRECTION('',(0.,-1.,0.)); #400618=DIRECTION('',(0.,0.,1.)); #400619=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #400620=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #400621=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #400622=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #400623=DIRECTION('',(0.,0.,1.)); #400624=DIRECTION('center_axis',(-0.829203833807366,-0.558946331948933, 0.)); #400625=DIRECTION('ref_axis',(0.558946331948932,-0.829203833807366,0.)); #400626=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #400627=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #400628=DIRECTION('',(0.,0.,1.)); #400629=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #400630=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #400631=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #400632=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #400633=DIRECTION('',(0.,0.,1.)); #400634=DIRECTION('center_axis',(-1.,0.,0.)); #400635=DIRECTION('ref_axis',(0.,-1.,0.)); #400636=DIRECTION('',(0.,-1.,0.)); #400637=DIRECTION('',(0.,-1.,0.)); #400638=DIRECTION('',(0.,0.,1.)); #400639=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #400640=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #400641=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #400642=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #400643=DIRECTION('',(0.,0.,1.)); #400644=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #400645=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #400646=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #400647=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #400648=DIRECTION('',(0.,0.,1.)); #400649=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #400650=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #400651=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400652=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #400653=DIRECTION('',(0.,0.,1.)); #400654=DIRECTION('center_axis',(-0.55894633194911,0.829203833807246,0.)); #400655=DIRECTION('ref_axis',(-0.829203833807246,-0.55894633194911,0.)); #400656=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #400657=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #400658=DIRECTION('',(0.,0.,1.)); #400659=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #400660=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #400661=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #400662=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #400663=DIRECTION('',(0.,0.,1.)); #400664=DIRECTION('center_axis',(0.,1.,0.)); #400665=DIRECTION('ref_axis',(-1.,0.,0.)); #400666=DIRECTION('',(-1.,0.,0.)); #400667=DIRECTION('',(-1.,0.,0.)); #400668=DIRECTION('',(0.,0.,1.)); #400669=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #400670=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #400671=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #400672=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #400673=DIRECTION('',(0.,0.,1.)); #400674=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #400675=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #400676=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #400677=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #400678=DIRECTION('',(0.,0.,1.)); #400679=DIRECTION('center_axis',(0.824745549034014,0.565504004714894,0.)); #400680=DIRECTION('ref_axis',(-0.565504004714894,0.824745549034014,0.)); #400681=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #400682=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #400683=DIRECTION('',(0.,0.,1.)); #400684=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #400685=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #400686=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #400687=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #400688=DIRECTION('',(0.,0.,1.)); #400689=DIRECTION('center_axis',(1.,0.,0.)); #400690=DIRECTION('ref_axis',(0.,1.,0.)); #400691=DIRECTION('',(0.,1.,0.)); #400692=DIRECTION('',(0.,1.,0.)); #400693=DIRECTION('',(0.,0.,1.)); #400694=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #400695=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #400696=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #400697=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #400698=DIRECTION('',(0.,0.,1.)); #400699=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #400700=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #400701=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #400702=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #400703=DIRECTION('',(0.,0.,1.)); #400704=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #400705=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #400706=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #400707=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #400708=DIRECTION('',(0.,0.,1.)); #400709=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #400710=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #400711=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #400712=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #400713=DIRECTION('',(0.,0.,1.)); #400714=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #400715=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #400716=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #400717=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #400718=DIRECTION('',(0.,0.,1.)); #400719=DIRECTION('center_axis',(0.,-1.,0.)); #400720=DIRECTION('ref_axis',(1.,0.,0.)); #400721=DIRECTION('',(1.,0.,0.)); #400722=DIRECTION('',(1.,0.,0.)); #400723=DIRECTION('',(0.,0.,1.)); #400724=DIRECTION('center_axis',(0.105885061288489,-0.994378375567336,0.)); #400725=DIRECTION('ref_axis',(0.994378375567335,0.105885061288489,0.)); #400726=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #400727=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #400728=DIRECTION('',(0.,0.,1.)); #400729=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #400730=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #400731=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #400732=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #400733=DIRECTION('',(0.,0.,1.)); #400734=DIRECTION('center_axis',(0.506114900434878,-0.862466061684628,0.)); #400735=DIRECTION('ref_axis',(0.862466061684628,0.506114900434878,0.)); #400736=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #400737=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #400738=DIRECTION('',(0.,0.,1.)); #400739=DIRECTION('center_axis',(0.65250882445189,-0.757781125399949,0.)); #400740=DIRECTION('ref_axis',(0.757781125399949,0.65250882445189,0.)); #400741=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #400742=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #400743=DIRECTION('',(0.,0.,1.)); #400744=DIRECTION('center_axis',(0.70710706513946,-0.707106497233521,0.)); #400745=DIRECTION('ref_axis',(0.707106497233521,0.70710706513946,0.)); #400746=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #400747=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #400748=DIRECTION('',(0.,0.,1.)); #400749=DIRECTION('center_axis',(0.77804881138165,-0.628203826084816,0.)); #400750=DIRECTION('ref_axis',(0.628203826084816,0.77804881138165,0.)); #400751=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #400752=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #400753=DIRECTION('',(0.,0.,1.)); #400754=DIRECTION('center_axis',(0.892938781493067,-0.450178111979777,0.)); #400755=DIRECTION('ref_axis',(0.450178111979777,0.892938781493067,0.)); #400756=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #400757=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #400758=DIRECTION('',(0.,0.,1.)); #400759=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #400760=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #400761=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #400762=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #400763=DIRECTION('',(0.,0.,1.)); #400764=DIRECTION('center_axis',(0.997238118319985,-0.0742706898420613, 0.)); #400765=DIRECTION('ref_axis',(0.0742706898420613,0.997238118319985,0.)); #400766=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #400767=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #400768=DIRECTION('',(0.,0.,1.)); #400769=DIRECTION('center_axis',(1.,0.,0.)); #400770=DIRECTION('ref_axis',(0.,1.,0.)); #400771=DIRECTION('',(0.,1.,0.)); #400772=DIRECTION('',(0.,1.,0.)); #400773=DIRECTION('',(0.,0.,1.)); #400774=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #400775=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #400776=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #400777=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #400778=DIRECTION('',(0.,0.,1.)); #400779=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #400780=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #400781=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #400782=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #400783=DIRECTION('',(0.,0.,1.)); #400784=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #400785=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #400786=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #400787=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #400788=DIRECTION('center_axis',(0.,0.,1.)); #400789=DIRECTION('ref_axis',(1.,0.,0.)); #400790=DIRECTION('center_axis',(0.,0.,1.)); #400791=DIRECTION('ref_axis',(1.,0.,0.)); #400792=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #400793=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #400794=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #400795=DIRECTION('',(0.,0.,1.)); #400796=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #400797=DIRECTION('',(0.,0.,1.)); #400798=DIRECTION('center_axis',(0.,-1.,0.)); #400799=DIRECTION('ref_axis',(1.,0.,0.)); #400800=DIRECTION('',(1.,0.,0.)); #400801=DIRECTION('',(1.,0.,0.)); #400802=DIRECTION('',(0.,0.,1.)); #400803=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #400804=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #400805=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #400806=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #400807=DIRECTION('',(0.,0.,1.)); #400808=DIRECTION('center_axis',(-0.525373540973438,-0.850871695642199, 0.)); #400809=DIRECTION('ref_axis',(0.850871695642199,-0.525373540973438,0.)); #400810=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #400811=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #400812=DIRECTION('',(0.,0.,1.)); #400813=DIRECTION('center_axis',(-0.815693852178959,-0.578483828224653, 0.)); #400814=DIRECTION('ref_axis',(0.578483828224653,-0.815693852178959,0.)); #400815=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #400816=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #400817=DIRECTION('',(0.,0.,1.)); #400818=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #400819=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #400820=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #400821=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #400822=DIRECTION('',(0.,0.,1.)); #400823=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #400824=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #400825=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #400826=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #400827=DIRECTION('',(0.,0.,1.)); #400828=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #400829=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #400830=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #400831=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #400832=DIRECTION('',(0.,0.,1.)); #400833=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #400834=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #400835=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #400836=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #400837=DIRECTION('',(0.,0.,1.)); #400838=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #400839=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #400840=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #400841=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #400842=DIRECTION('',(0.,0.,1.)); #400843=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #400844=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #400845=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #400846=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #400847=DIRECTION('',(0.,0.,1.)); #400848=DIRECTION('center_axis',(0.,1.,0.)); #400849=DIRECTION('ref_axis',(-1.,0.,0.)); #400850=DIRECTION('',(-1.,0.,0.)); #400851=DIRECTION('',(-1.,0.,0.)); #400852=DIRECTION('',(0.,0.,1.)); #400853=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #400854=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #400855=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #400856=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #400857=DIRECTION('',(0.,0.,1.)); #400858=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #400859=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #400860=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #400861=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #400862=DIRECTION('',(0.,0.,1.)); #400863=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #400864=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #400865=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #400866=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #400867=DIRECTION('',(0.,0.,1.)); #400868=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #400869=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #400870=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #400871=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #400872=DIRECTION('',(0.,0.,1.)); #400873=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #400874=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #400875=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #400876=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #400877=DIRECTION('',(0.,0.,1.)); #400878=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #400879=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #400880=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #400881=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #400882=DIRECTION('',(0.,0.,1.)); #400883=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #400884=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #400885=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #400886=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #400887=DIRECTION('',(0.,0.,1.)); #400888=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #400889=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #400890=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #400891=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #400892=DIRECTION('',(0.,0.,1.)); #400893=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #400894=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #400895=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #400896=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #400897=DIRECTION('center_axis',(0.,0.,1.)); #400898=DIRECTION('ref_axis',(1.,0.,0.)); #400899=DIRECTION('center_axis',(0.,0.,1.)); #400900=DIRECTION('ref_axis',(1.,0.,0.)); #400901=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #400902=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #400903=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #400904=DIRECTION('',(0.,0.,1.)); #400905=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #400906=DIRECTION('',(0.,0.,1.)); #400907=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #400908=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #400909=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #400910=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #400911=DIRECTION('',(0.,0.,1.)); #400912=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #400913=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #400914=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #400915=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #400916=DIRECTION('',(0.,0.,1.)); #400917=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #400918=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #400919=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #400920=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #400921=DIRECTION('',(0.,0.,1.)); #400922=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #400923=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #400924=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #400925=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #400926=DIRECTION('',(0.,0.,1.)); #400927=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #400928=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #400929=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #400930=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #400931=DIRECTION('',(0.,0.,1.)); #400932=DIRECTION('center_axis',(-1.,0.,0.)); #400933=DIRECTION('ref_axis',(0.,-1.,0.)); #400934=DIRECTION('',(0.,-1.,0.)); #400935=DIRECTION('',(0.,-1.,0.)); #400936=DIRECTION('',(0.,0.,1.)); #400937=DIRECTION('center_axis',(-0.984479063846087,0.175502059386013,0.)); #400938=DIRECTION('ref_axis',(-0.175502059386013,-0.984479063846087,0.)); #400939=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #400940=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #400941=DIRECTION('',(0.,0.,1.)); #400942=DIRECTION('center_axis',(-0.850880796063459,0.525358802049051,0.)); #400943=DIRECTION('ref_axis',(-0.525358802049051,-0.850880796063459,0.)); #400944=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #400945=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #400946=DIRECTION('',(0.,0.,1.)); #400947=DIRECTION('center_axis',(-0.578461888589359,0.815709411156713,0.)); #400948=DIRECTION('ref_axis',(-0.815709411156713,-0.578461888589359,0.)); #400949=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #400950=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #400951=DIRECTION('',(0.,0.,1.)); #400952=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #400953=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #400954=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #400955=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #400956=DIRECTION('',(0.,0.,1.)); #400957=DIRECTION('center_axis',(0.18333481857362,0.983050529880625,0.)); #400958=DIRECTION('ref_axis',(-0.983050529880625,0.18333481857362,0.)); #400959=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #400960=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #400961=DIRECTION('',(0.,0.,1.)); #400962=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #400963=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #400964=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #400965=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #400966=DIRECTION('',(0.,0.,1.)); #400967=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #400968=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #400969=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #400970=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #400971=DIRECTION('',(0.,0.,1.)); #400972=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #400973=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #400974=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #400975=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #400976=DIRECTION('',(0.,0.,1.)); #400977=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #400978=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #400979=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #400980=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #400981=DIRECTION('',(0.,0.,1.)); #400982=DIRECTION('center_axis',(1.,0.,0.)); #400983=DIRECTION('ref_axis',(0.,1.,0.)); #400984=DIRECTION('',(0.,1.,0.)); #400985=DIRECTION('',(0.,1.,0.)); #400986=DIRECTION('',(0.,0.,1.)); #400987=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #400988=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #400989=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #400990=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #400991=DIRECTION('',(0.,0.,1.)); #400992=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #400993=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #400994=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #400995=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #400996=DIRECTION('',(0.,0.,1.)); #400997=DIRECTION('center_axis',(0.57848382822482,-0.815693852178841,0.)); #400998=DIRECTION('ref_axis',(0.815693852178841,0.57848382822482,0.)); #400999=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #401000=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #401001=DIRECTION('center_axis',(0.,0.,1.)); #401002=DIRECTION('ref_axis',(1.,0.,0.)); #401003=DIRECTION('center_axis',(0.,0.,1.)); #401004=DIRECTION('ref_axis',(1.,0.,0.)); #401005=DIRECTION('center_axis',(0.,0.,1.)); #401006=DIRECTION('ref_axis',(1.,0.,0.)); #401007=DIRECTION('center_axis',(0.,0.,1.)); #401008=DIRECTION('ref_axis',(1.,0.,0.)); #401009=DIRECTION('',(0.,0.,1.)); #401010=DIRECTION('center_axis',(0.,0.,-1.)); #401011=DIRECTION('ref_axis',(1.,0.,0.)); #401012=DIRECTION('center_axis',(0.,0.,1.)); #401013=DIRECTION('ref_axis',(1.,0.,0.)); #401014=DIRECTION('center_axis',(0.,0.,1.)); #401015=DIRECTION('ref_axis',(1.,0.,0.)); #401016=DIRECTION('',(0.,0.,1.)); #401017=DIRECTION('center_axis',(0.,0.,-1.)); #401018=DIRECTION('ref_axis',(1.,0.,0.)); #401019=DIRECTION('center_axis',(0.,0.,1.)); #401020=DIRECTION('ref_axis',(1.,0.,0.)); #401021=DIRECTION('center_axis',(0.,0.,1.)); #401022=DIRECTION('ref_axis',(1.,0.,0.)); #401023=DIRECTION('',(0.,0.,1.)); #401024=DIRECTION('center_axis',(0.,0.,-1.)); #401025=DIRECTION('ref_axis',(1.,0.,0.)); #401026=DIRECTION('center_axis',(0.,0.,1.)); #401027=DIRECTION('ref_axis',(1.,0.,0.)); #401028=DIRECTION('center_axis',(0.,0.,1.)); #401029=DIRECTION('ref_axis',(1.,0.,0.)); #401030=DIRECTION('',(0.,0.,1.)); #401031=DIRECTION('center_axis',(0.,0.,-1.)); #401032=DIRECTION('ref_axis',(1.,0.,0.)); #401033=DIRECTION('center_axis',(0.,0.,1.)); #401034=DIRECTION('ref_axis',(1.,0.,0.)); #401035=DIRECTION('center_axis',(0.,0.,1.)); #401036=DIRECTION('ref_axis',(1.,0.,0.)); #401037=DIRECTION('',(0.,0.,1.)); #401038=DIRECTION('center_axis',(0.,0.,-1.)); #401039=DIRECTION('ref_axis',(1.,0.,0.)); #401040=DIRECTION('center_axis',(0.,0.,1.)); #401041=DIRECTION('ref_axis',(1.,0.,0.)); #401042=DIRECTION('center_axis',(0.,0.,1.)); #401043=DIRECTION('ref_axis',(1.,0.,0.)); #401044=DIRECTION('',(0.,0.,1.)); #401045=DIRECTION('center_axis',(0.,0.,-1.)); #401046=DIRECTION('ref_axis',(1.,0.,0.)); #401047=DIRECTION('center_axis',(0.,0.,1.)); #401048=DIRECTION('ref_axis',(1.,0.,0.)); #401049=DIRECTION('center_axis',(0.,0.,1.)); #401050=DIRECTION('ref_axis',(1.,0.,0.)); #401051=DIRECTION('',(0.,0.,1.)); #401052=DIRECTION('center_axis',(0.,0.,-1.)); #401053=DIRECTION('ref_axis',(1.,0.,0.)); #401054=DIRECTION('center_axis',(0.,0.,1.)); #401055=DIRECTION('ref_axis',(1.,0.,0.)); #401056=DIRECTION('center_axis',(0.,0.,1.)); #401057=DIRECTION('ref_axis',(1.,0.,0.)); #401058=DIRECTION('',(0.,0.,1.)); #401059=DIRECTION('center_axis',(0.,0.,-1.)); #401060=DIRECTION('ref_axis',(1.,0.,0.)); #401061=DIRECTION('center_axis',(0.,0.,1.)); #401062=DIRECTION('ref_axis',(1.,0.,0.)); #401063=DIRECTION('center_axis',(0.,0.,1.)); #401064=DIRECTION('ref_axis',(1.,0.,0.)); #401065=DIRECTION('',(0.,0.,1.)); #401066=DIRECTION('center_axis',(0.,0.,-1.)); #401067=DIRECTION('ref_axis',(1.,0.,0.)); #401068=DIRECTION('center_axis',(0.,0.,1.)); #401069=DIRECTION('ref_axis',(1.,0.,0.)); #401070=DIRECTION('center_axis',(0.,0.,1.)); #401071=DIRECTION('ref_axis',(1.,0.,0.)); #401072=DIRECTION('',(0.,0.,1.)); #401073=DIRECTION('center_axis',(0.,0.,-1.)); #401074=DIRECTION('ref_axis',(1.,0.,0.)); #401075=DIRECTION('center_axis',(0.,0.,1.)); #401076=DIRECTION('ref_axis',(1.,0.,0.)); #401077=DIRECTION('center_axis',(0.,0.,1.)); #401078=DIRECTION('ref_axis',(1.,0.,0.)); #401079=DIRECTION('',(0.,0.,1.)); #401080=DIRECTION('center_axis',(0.,0.,-1.)); #401081=DIRECTION('ref_axis',(1.,0.,0.)); #401082=DIRECTION('center_axis',(0.,0.,1.)); #401083=DIRECTION('ref_axis',(1.,0.,0.)); #401084=DIRECTION('center_axis',(0.,0.,1.)); #401085=DIRECTION('ref_axis',(1.,0.,0.)); #401086=DIRECTION('',(0.,0.,1.)); #401087=DIRECTION('center_axis',(0.,0.,-1.)); #401088=DIRECTION('ref_axis',(1.,0.,0.)); #401089=DIRECTION('center_axis',(0.,0.,1.)); #401090=DIRECTION('ref_axis',(1.,0.,0.)); #401091=DIRECTION('center_axis',(0.,0.,1.)); #401092=DIRECTION('ref_axis',(1.,0.,0.)); #401093=DIRECTION('',(0.,0.,1.)); #401094=DIRECTION('center_axis',(0.,0.,-1.)); #401095=DIRECTION('ref_axis',(1.,0.,0.)); #401096=DIRECTION('center_axis',(0.,0.,1.)); #401097=DIRECTION('ref_axis',(1.,0.,0.)); #401098=DIRECTION('center_axis',(0.,0.,1.)); #401099=DIRECTION('ref_axis',(1.,0.,0.)); #401100=DIRECTION('',(0.,0.,1.)); #401101=DIRECTION('center_axis',(0.,0.,-1.)); #401102=DIRECTION('ref_axis',(1.,0.,0.)); #401103=DIRECTION('center_axis',(0.,0.,1.)); #401104=DIRECTION('ref_axis',(1.,0.,0.)); #401105=DIRECTION('center_axis',(0.,0.,1.)); #401106=DIRECTION('ref_axis',(1.,0.,0.)); #401107=DIRECTION('',(0.,0.,1.)); #401108=DIRECTION('center_axis',(0.,0.,-1.)); #401109=DIRECTION('ref_axis',(1.,0.,0.)); #401110=DIRECTION('center_axis',(0.,0.,1.)); #401111=DIRECTION('ref_axis',(1.,0.,0.)); #401112=DIRECTION('center_axis',(0.,0.,1.)); #401113=DIRECTION('ref_axis',(1.,0.,0.)); #401114=DIRECTION('',(0.,0.,1.)); #401115=DIRECTION('center_axis',(0.,0.,-1.)); #401116=DIRECTION('ref_axis',(1.,0.,0.)); #401117=DIRECTION('center_axis',(0.,0.,1.)); #401118=DIRECTION('ref_axis',(1.,0.,0.)); #401119=DIRECTION('center_axis',(0.,0.,1.)); #401120=DIRECTION('ref_axis',(1.,0.,0.)); #401121=DIRECTION('',(0.,0.,1.)); #401122=DIRECTION('center_axis',(0.,0.,-1.)); #401123=DIRECTION('ref_axis',(1.,0.,0.)); #401124=DIRECTION('center_axis',(0.,0.,1.)); #401125=DIRECTION('ref_axis',(1.,0.,0.)); #401126=DIRECTION('center_axis',(0.,0.,1.)); #401127=DIRECTION('ref_axis',(1.,0.,0.)); #401128=DIRECTION('',(0.,0.,1.)); #401129=DIRECTION('center_axis',(0.,0.,-1.)); #401130=DIRECTION('ref_axis',(1.,0.,0.)); #401131=DIRECTION('center_axis',(0.,0.,1.)); #401132=DIRECTION('ref_axis',(1.,0.,0.)); #401133=DIRECTION('center_axis',(0.,0.,1.)); #401134=DIRECTION('ref_axis',(1.,0.,0.)); #401135=DIRECTION('',(0.,0.,1.)); #401136=DIRECTION('center_axis',(0.,0.,-1.)); #401137=DIRECTION('ref_axis',(1.,0.,0.)); #401138=DIRECTION('center_axis',(0.,0.,1.)); #401139=DIRECTION('ref_axis',(1.,0.,0.)); #401140=DIRECTION('center_axis',(0.,0.,1.)); #401141=DIRECTION('ref_axis',(1.,0.,0.)); #401142=DIRECTION('',(0.,0.,1.)); #401143=DIRECTION('center_axis',(0.,0.,-1.)); #401144=DIRECTION('ref_axis',(1.,0.,0.)); #401145=DIRECTION('center_axis',(0.,0.,1.)); #401146=DIRECTION('ref_axis',(1.,0.,0.)); #401147=DIRECTION('center_axis',(0.,0.,1.)); #401148=DIRECTION('ref_axis',(1.,0.,0.)); #401149=DIRECTION('',(0.,0.,1.)); #401150=DIRECTION('center_axis',(0.,0.,-1.)); #401151=DIRECTION('ref_axis',(1.,0.,0.)); #401152=DIRECTION('center_axis',(0.,0.,1.)); #401153=DIRECTION('ref_axis',(1.,0.,0.)); #401154=DIRECTION('center_axis',(0.,0.,1.)); #401155=DIRECTION('ref_axis',(1.,0.,0.)); #401156=DIRECTION('',(0.,0.,1.)); #401157=DIRECTION('center_axis',(0.,0.,-1.)); #401158=DIRECTION('ref_axis',(1.,0.,0.)); #401159=DIRECTION('center_axis',(0.,0.,1.)); #401160=DIRECTION('ref_axis',(1.,0.,0.)); #401161=DIRECTION('center_axis',(0.,0.,1.)); #401162=DIRECTION('ref_axis',(1.,0.,0.)); #401163=DIRECTION('',(0.,0.,1.)); #401164=DIRECTION('center_axis',(0.,0.,-1.)); #401165=DIRECTION('ref_axis',(1.,0.,0.)); #401166=DIRECTION('center_axis',(0.,0.,1.)); #401167=DIRECTION('ref_axis',(1.,0.,0.)); #401168=DIRECTION('center_axis',(0.,0.,1.)); #401169=DIRECTION('ref_axis',(1.,0.,0.)); #401170=DIRECTION('',(0.,0.,1.)); #401171=DIRECTION('center_axis',(0.,0.,-1.)); #401172=DIRECTION('ref_axis',(1.,0.,0.)); #401173=DIRECTION('center_axis',(0.,0.,1.)); #401174=DIRECTION('ref_axis',(1.,0.,0.)); #401175=DIRECTION('center_axis',(0.,0.,1.)); #401176=DIRECTION('ref_axis',(1.,0.,0.)); #401177=DIRECTION('',(0.,0.,1.)); #401178=DIRECTION('center_axis',(0.,0.,-1.)); #401179=DIRECTION('ref_axis',(1.,0.,0.)); #401180=DIRECTION('center_axis',(0.,0.,1.)); #401181=DIRECTION('ref_axis',(1.,0.,0.)); #401182=DIRECTION('center_axis',(0.,0.,1.)); #401183=DIRECTION('ref_axis',(1.,0.,0.)); #401184=DIRECTION('',(0.,0.,1.)); #401185=DIRECTION('center_axis',(0.,0.,-1.)); #401186=DIRECTION('ref_axis',(1.,0.,0.)); #401187=DIRECTION('center_axis',(0.,0.,1.)); #401188=DIRECTION('ref_axis',(1.,0.,0.)); #401189=DIRECTION('center_axis',(0.,0.,1.)); #401190=DIRECTION('ref_axis',(1.,0.,0.)); #401191=DIRECTION('',(0.,0.,1.)); #401192=DIRECTION('center_axis',(0.,0.,-1.)); #401193=DIRECTION('ref_axis',(1.,0.,0.)); #401194=DIRECTION('center_axis',(0.,0.,1.)); #401195=DIRECTION('ref_axis',(1.,0.,0.)); #401196=DIRECTION('center_axis',(0.,0.,1.)); #401197=DIRECTION('ref_axis',(1.,0.,0.)); #401198=DIRECTION('',(0.,0.,1.)); #401199=DIRECTION('center_axis',(0.,0.,-1.)); #401200=DIRECTION('ref_axis',(1.,0.,0.)); #401201=DIRECTION('center_axis',(0.,0.,1.)); #401202=DIRECTION('ref_axis',(1.,0.,0.)); #401203=DIRECTION('center_axis',(0.,0.,1.)); #401204=DIRECTION('ref_axis',(1.,0.,0.)); #401205=DIRECTION('',(0.,0.,1.)); #401206=DIRECTION('center_axis',(0.,0.,-1.)); #401207=DIRECTION('ref_axis',(1.,0.,0.)); #401208=DIRECTION('center_axis',(0.,0.,1.)); #401209=DIRECTION('ref_axis',(1.,0.,0.)); #401210=DIRECTION('center_axis',(0.,0.,1.)); #401211=DIRECTION('ref_axis',(1.,0.,0.)); #401212=DIRECTION('',(0.,0.,1.)); #401213=DIRECTION('center_axis',(0.,0.,-1.)); #401214=DIRECTION('ref_axis',(1.,0.,0.)); #401215=DIRECTION('center_axis',(0.,0.,1.)); #401216=DIRECTION('ref_axis',(1.,0.,0.)); #401217=DIRECTION('center_axis',(0.,0.,1.)); #401218=DIRECTION('ref_axis',(1.,0.,0.)); #401219=DIRECTION('',(0.,0.,1.)); #401220=DIRECTION('center_axis',(0.,0.,-1.)); #401221=DIRECTION('ref_axis',(1.,0.,0.)); #401222=DIRECTION('center_axis',(0.,0.,1.)); #401223=DIRECTION('ref_axis',(1.,0.,0.)); #401224=DIRECTION('center_axis',(0.,0.,1.)); #401225=DIRECTION('ref_axis',(1.,0.,0.)); #401226=DIRECTION('',(0.,0.,1.)); #401227=DIRECTION('center_axis',(0.,0.,-1.)); #401228=DIRECTION('ref_axis',(1.,0.,0.)); #401229=DIRECTION('center_axis',(0.,0.,1.)); #401230=DIRECTION('ref_axis',(1.,0.,0.)); #401231=DIRECTION('center_axis',(0.,0.,1.)); #401232=DIRECTION('ref_axis',(1.,0.,0.)); #401233=DIRECTION('',(0.,0.,1.)); #401234=DIRECTION('center_axis',(0.,0.,-1.)); #401235=DIRECTION('ref_axis',(1.,0.,0.)); #401236=DIRECTION('center_axis',(0.,0.,1.)); #401237=DIRECTION('ref_axis',(1.,0.,0.)); #401238=DIRECTION('center_axis',(0.,0.,1.)); #401239=DIRECTION('ref_axis',(1.,0.,0.)); #401240=DIRECTION('',(0.,0.,1.)); #401241=DIRECTION('center_axis',(0.,0.,-1.)); #401242=DIRECTION('ref_axis',(1.,0.,0.)); #401243=DIRECTION('center_axis',(0.,0.,1.)); #401244=DIRECTION('ref_axis',(1.,0.,0.)); #401245=DIRECTION('center_axis',(0.,0.,1.)); #401246=DIRECTION('ref_axis',(1.,0.,0.)); #401247=DIRECTION('',(0.,0.,1.)); #401248=DIRECTION('center_axis',(0.,0.,-1.)); #401249=DIRECTION('ref_axis',(1.,0.,0.)); #401250=DIRECTION('center_axis',(1.,0.,0.)); #401251=DIRECTION('ref_axis',(0.,1.,0.)); #401252=DIRECTION('',(0.,-1.,0.)); #401253=DIRECTION('',(0.,0.,1.)); #401254=DIRECTION('',(0.,1.,0.)); #401255=DIRECTION('',(0.,0.,1.)); #401256=DIRECTION('center_axis',(0.,0.,1.)); #401257=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #401258=DIRECTION('center_axis',(0.,0.,-1.)); #401259=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #401260=DIRECTION('center_axis',(0.,0.,1.)); #401261=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #401262=DIRECTION('',(0.,0.,1.)); #401263=DIRECTION('center_axis',(-1.,0.,0.)); #401264=DIRECTION('ref_axis',(0.,-1.,0.)); #401265=DIRECTION('',(0.,1.,0.)); #401266=DIRECTION('',(0.,-1.,0.)); #401267=DIRECTION('',(0.,0.,1.)); #401268=DIRECTION('center_axis',(0.,0.,1.)); #401269=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #401270=DIRECTION('center_axis',(0.,0.,-1.)); #401271=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #401272=DIRECTION('center_axis',(0.,0.,1.)); #401273=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #401274=DIRECTION('center_axis',(0.,0.,1.)); #401275=DIRECTION('ref_axis',(1.,0.,0.)); #401276=DIRECTION('center_axis',(0.,0.,1.)); #401277=DIRECTION('ref_axis',(1.,0.,0.)); #401278=DIRECTION('',(0.,0.,1.)); #401279=DIRECTION('center_axis',(0.,0.,-1.)); #401280=DIRECTION('ref_axis',(1.,0.,0.)); #401281=DIRECTION('center_axis',(0.,0.,1.)); #401282=DIRECTION('ref_axis',(1.,0.,0.)); #401283=DIRECTION('center_axis',(0.,0.,1.)); #401284=DIRECTION('ref_axis',(1.,0.,0.)); #401285=DIRECTION('',(0.,0.,1.)); #401286=DIRECTION('center_axis',(0.,0.,-1.)); #401287=DIRECTION('ref_axis',(1.,0.,0.)); #401288=DIRECTION('center_axis',(0.,0.,1.)); #401289=DIRECTION('ref_axis',(1.,0.,0.)); #401290=DIRECTION('center_axis',(0.,0.,1.)); #401291=DIRECTION('ref_axis',(1.,0.,0.)); #401292=DIRECTION('',(0.,0.,1.)); #401293=DIRECTION('center_axis',(0.,0.,-1.)); #401294=DIRECTION('ref_axis',(1.,0.,0.)); #401295=DIRECTION('center_axis',(0.,0.,1.)); #401296=DIRECTION('ref_axis',(1.,0.,0.)); #401297=DIRECTION('center_axis',(0.,0.,1.)); #401298=DIRECTION('ref_axis',(1.,0.,0.)); #401299=DIRECTION('',(0.,0.,1.)); #401300=DIRECTION('center_axis',(0.,0.,-1.)); #401301=DIRECTION('ref_axis',(1.,0.,0.)); #401302=DIRECTION('center_axis',(1.,0.,0.)); #401303=DIRECTION('ref_axis',(0.,1.,0.)); #401304=DIRECTION('',(0.,-1.,0.)); #401305=DIRECTION('',(0.,0.,1.)); #401306=DIRECTION('',(0.,1.,0.)); #401307=DIRECTION('',(0.,0.,1.)); #401308=DIRECTION('center_axis',(0.,0.,1.)); #401309=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #401310=DIRECTION('center_axis',(0.,0.,-1.)); #401311=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #401312=DIRECTION('center_axis',(0.,0.,1.)); #401313=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #401314=DIRECTION('',(0.,0.,1.)); #401315=DIRECTION('center_axis',(-1.,0.,0.)); #401316=DIRECTION('ref_axis',(0.,-1.,0.)); #401317=DIRECTION('',(0.,1.,0.)); #401318=DIRECTION('',(0.,-1.,0.)); #401319=DIRECTION('',(0.,0.,1.)); #401320=DIRECTION('center_axis',(0.,0.,1.)); #401321=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #401322=DIRECTION('center_axis',(0.,0.,-1.)); #401323=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #401324=DIRECTION('center_axis',(0.,0.,1.)); #401325=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #401326=DIRECTION('center_axis',(1.,0.,0.)); #401327=DIRECTION('ref_axis',(0.,1.,0.)); #401328=DIRECTION('',(0.,-1.,0.)); #401329=DIRECTION('',(0.,0.,1.)); #401330=DIRECTION('',(0.,1.,0.)); #401331=DIRECTION('',(0.,0.,1.)); #401332=DIRECTION('center_axis',(0.,0.,1.)); #401333=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #401334=DIRECTION('center_axis',(0.,0.,-1.)); #401335=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #401336=DIRECTION('center_axis',(0.,0.,1.)); #401337=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #401338=DIRECTION('',(0.,0.,1.)); #401339=DIRECTION('center_axis',(-1.,0.,0.)); #401340=DIRECTION('ref_axis',(0.,-1.,0.)); #401341=DIRECTION('',(0.,1.,0.)); #401342=DIRECTION('',(0.,-1.,0.)); #401343=DIRECTION('',(0.,0.,1.)); #401344=DIRECTION('center_axis',(0.,0.,1.)); #401345=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #401346=DIRECTION('center_axis',(0.,0.,-1.)); #401347=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #401348=DIRECTION('center_axis',(0.,0.,1.)); #401349=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #401350=DIRECTION('center_axis',(1.,0.,0.)); #401351=DIRECTION('ref_axis',(0.,1.,0.)); #401352=DIRECTION('',(0.,-1.,0.)); #401353=DIRECTION('',(0.,0.,1.)); #401354=DIRECTION('',(0.,1.,0.)); #401355=DIRECTION('',(0.,0.,1.)); #401356=DIRECTION('center_axis',(0.,0.,1.)); #401357=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #401358=DIRECTION('center_axis',(0.,0.,-1.)); #401359=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #401360=DIRECTION('center_axis',(0.,0.,1.)); #401361=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #401362=DIRECTION('',(0.,0.,1.)); #401363=DIRECTION('center_axis',(-1.,0.,0.)); #401364=DIRECTION('ref_axis',(0.,-1.,0.)); #401365=DIRECTION('',(0.,1.,0.)); #401366=DIRECTION('',(0.,-1.,0.)); #401367=DIRECTION('',(0.,0.,1.)); #401368=DIRECTION('center_axis',(0.,0.,1.)); #401369=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #401370=DIRECTION('center_axis',(0.,0.,-1.)); #401371=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #401372=DIRECTION('center_axis',(0.,0.,1.)); #401373=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #401374=DIRECTION('center_axis',(0.,0.,1.)); #401375=DIRECTION('ref_axis',(1.,0.,0.)); #401376=DIRECTION('center_axis',(0.,0.,1.)); #401377=DIRECTION('ref_axis',(1.,0.,0.)); #401378=DIRECTION('',(0.,0.,1.)); #401379=DIRECTION('center_axis',(0.,0.,-1.)); #401380=DIRECTION('ref_axis',(1.,0.,0.)); #401381=DIRECTION('center_axis',(0.,0.,1.)); #401382=DIRECTION('ref_axis',(1.,0.,0.)); #401383=DIRECTION('center_axis',(0.,0.,1.)); #401384=DIRECTION('ref_axis',(1.,0.,0.)); #401385=DIRECTION('',(0.,0.,1.)); #401386=DIRECTION('center_axis',(0.,0.,-1.)); #401387=DIRECTION('ref_axis',(1.,0.,0.)); #401388=DIRECTION('center_axis',(0.,0.,1.)); #401389=DIRECTION('ref_axis',(1.,0.,0.)); #401390=DIRECTION('center_axis',(0.,0.,1.)); #401391=DIRECTION('ref_axis',(1.,0.,0.)); #401392=DIRECTION('',(0.,0.,1.)); #401393=DIRECTION('center_axis',(0.,0.,-1.)); #401394=DIRECTION('ref_axis',(1.,0.,0.)); #401395=DIRECTION('center_axis',(0.,0.,1.)); #401396=DIRECTION('ref_axis',(1.,0.,0.)); #401397=DIRECTION('center_axis',(0.,0.,1.)); #401398=DIRECTION('ref_axis',(1.,0.,0.)); #401399=DIRECTION('',(0.,0.,1.)); #401400=DIRECTION('center_axis',(0.,0.,-1.)); #401401=DIRECTION('ref_axis',(1.,0.,0.)); #401402=DIRECTION('center_axis',(0.,0.,1.)); #401403=DIRECTION('ref_axis',(1.,0.,0.)); #401404=DIRECTION('center_axis',(0.,0.,1.)); #401405=DIRECTION('ref_axis',(1.,0.,0.)); #401406=DIRECTION('',(0.,0.,1.)); #401407=DIRECTION('center_axis',(0.,0.,-1.)); #401408=DIRECTION('ref_axis',(1.,0.,0.)); #401409=DIRECTION('center_axis',(0.,0.,1.)); #401410=DIRECTION('ref_axis',(1.,0.,0.)); #401411=DIRECTION('center_axis',(0.,0.,1.)); #401412=DIRECTION('ref_axis',(1.,0.,0.)); #401413=DIRECTION('',(0.,0.,1.)); #401414=DIRECTION('center_axis',(0.,0.,-1.)); #401415=DIRECTION('ref_axis',(1.,0.,0.)); #401416=DIRECTION('center_axis',(0.,0.,1.)); #401417=DIRECTION('ref_axis',(1.,0.,0.)); #401418=DIRECTION('center_axis',(0.,0.,1.)); #401419=DIRECTION('ref_axis',(1.,0.,0.)); #401420=DIRECTION('',(0.,0.,1.)); #401421=DIRECTION('center_axis',(0.,0.,-1.)); #401422=DIRECTION('ref_axis',(1.,0.,0.)); #401423=DIRECTION('center_axis',(0.,0.,1.)); #401424=DIRECTION('ref_axis',(1.,0.,0.)); #401425=DIRECTION('center_axis',(0.,0.,1.)); #401426=DIRECTION('ref_axis',(1.,0.,0.)); #401427=DIRECTION('',(0.,0.,1.)); #401428=DIRECTION('center_axis',(0.,0.,-1.)); #401429=DIRECTION('ref_axis',(1.,0.,0.)); #401430=DIRECTION('center_axis',(0.,0.,1.)); #401431=DIRECTION('ref_axis',(1.,0.,0.)); #401432=DIRECTION('center_axis',(0.,0.,1.)); #401433=DIRECTION('ref_axis',(1.,0.,0.)); #401434=DIRECTION('',(0.,0.,1.)); #401435=DIRECTION('center_axis',(0.,0.,-1.)); #401436=DIRECTION('ref_axis',(1.,0.,0.)); #401437=DIRECTION('center_axis',(0.,0.,1.)); #401438=DIRECTION('ref_axis',(1.,0.,0.)); #401439=DIRECTION('center_axis',(0.,0.,1.)); #401440=DIRECTION('ref_axis',(1.,0.,0.)); #401441=DIRECTION('',(0.,0.,1.)); #401442=DIRECTION('center_axis',(0.,0.,-1.)); #401443=DIRECTION('ref_axis',(1.,0.,0.)); #401444=DIRECTION('center_axis',(0.,0.,1.)); #401445=DIRECTION('ref_axis',(1.,0.,0.)); #401446=DIRECTION('center_axis',(0.,0.,1.)); #401447=DIRECTION('ref_axis',(1.,0.,0.)); #401448=DIRECTION('',(0.,0.,1.)); #401449=DIRECTION('center_axis',(0.,0.,-1.)); #401450=DIRECTION('ref_axis',(1.,0.,0.)); #401451=DIRECTION('center_axis',(0.,0.,1.)); #401452=DIRECTION('ref_axis',(1.,0.,0.)); #401453=DIRECTION('center_axis',(0.,0.,1.)); #401454=DIRECTION('ref_axis',(1.,0.,0.)); #401455=DIRECTION('',(0.,0.,1.)); #401456=DIRECTION('center_axis',(0.,0.,-1.)); #401457=DIRECTION('ref_axis',(1.,0.,0.)); #401458=DIRECTION('center_axis',(0.,0.,1.)); #401459=DIRECTION('ref_axis',(1.,0.,0.)); #401460=DIRECTION('center_axis',(0.,0.,1.)); #401461=DIRECTION('ref_axis',(1.,0.,0.)); #401462=DIRECTION('',(0.,0.,1.)); #401463=DIRECTION('center_axis',(0.,0.,-1.)); #401464=DIRECTION('ref_axis',(1.,0.,0.)); #401465=DIRECTION('center_axis',(0.,0.,1.)); #401466=DIRECTION('ref_axis',(1.,0.,0.)); #401467=DIRECTION('center_axis',(0.,0.,1.)); #401468=DIRECTION('ref_axis',(1.,0.,0.)); #401469=DIRECTION('',(0.,0.,1.)); #401470=DIRECTION('center_axis',(0.,0.,-1.)); #401471=DIRECTION('ref_axis',(1.,0.,0.)); #401472=DIRECTION('center_axis',(0.,0.,1.)); #401473=DIRECTION('ref_axis',(1.,0.,0.)); #401474=DIRECTION('center_axis',(0.,0.,1.)); #401475=DIRECTION('ref_axis',(1.,0.,0.)); #401476=DIRECTION('',(0.,0.,1.)); #401477=DIRECTION('center_axis',(0.,0.,-1.)); #401478=DIRECTION('ref_axis',(1.,0.,0.)); #401479=DIRECTION('center_axis',(0.,0.,1.)); #401480=DIRECTION('ref_axis',(1.,0.,0.)); #401481=DIRECTION('center_axis',(0.,0.,1.)); #401482=DIRECTION('ref_axis',(1.,0.,0.)); #401483=DIRECTION('',(0.,0.,1.)); #401484=DIRECTION('center_axis',(0.,0.,-1.)); #401485=DIRECTION('ref_axis',(1.,0.,0.)); #401486=DIRECTION('center_axis',(0.,0.,1.)); #401487=DIRECTION('ref_axis',(1.,0.,0.)); #401488=DIRECTION('center_axis',(0.,0.,1.)); #401489=DIRECTION('ref_axis',(1.,0.,0.)); #401490=DIRECTION('',(0.,0.,1.)); #401491=DIRECTION('center_axis',(0.,0.,-1.)); #401492=DIRECTION('ref_axis',(1.,0.,0.)); #401493=DIRECTION('center_axis',(0.,0.,1.)); #401494=DIRECTION('ref_axis',(1.,0.,0.)); #401495=DIRECTION('center_axis',(0.,0.,1.)); #401496=DIRECTION('ref_axis',(1.,0.,0.)); #401497=DIRECTION('',(0.,0.,1.)); #401498=DIRECTION('center_axis',(0.,0.,-1.)); #401499=DIRECTION('ref_axis',(1.,0.,0.)); #401500=DIRECTION('center_axis',(0.,0.,1.)); #401501=DIRECTION('ref_axis',(1.,0.,0.)); #401502=DIRECTION('center_axis',(0.,0.,1.)); #401503=DIRECTION('ref_axis',(1.,0.,0.)); #401504=DIRECTION('',(0.,0.,1.)); #401505=DIRECTION('center_axis',(0.,0.,-1.)); #401506=DIRECTION('ref_axis',(1.,0.,0.)); #401507=DIRECTION('center_axis',(0.,1.,0.)); #401508=DIRECTION('ref_axis',(-1.,0.,0.)); #401509=DIRECTION('',(-1.,0.,0.)); #401510=DIRECTION('',(0.,0.,1.)); #401511=DIRECTION('',(-1.,0.,0.)); #401512=DIRECTION('',(0.,0.,1.)); #401513=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #401514=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #401515=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401516=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401517=DIRECTION('',(0.,0.,1.)); #401518=DIRECTION('center_axis',(-1.,0.,0.)); #401519=DIRECTION('ref_axis',(0.,-1.,0.)); #401520=DIRECTION('',(0.,-1.,0.)); #401521=DIRECTION('',(0.,-1.,0.)); #401522=DIRECTION('',(0.,0.,1.)); #401523=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401524=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401525=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401526=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401527=DIRECTION('',(0.,0.,1.)); #401528=DIRECTION('center_axis',(0.,-1.,0.)); #401529=DIRECTION('ref_axis',(1.,0.,0.)); #401530=DIRECTION('',(1.,0.,0.)); #401531=DIRECTION('',(1.,0.,0.)); #401532=DIRECTION('',(0.,0.,1.)); #401533=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401534=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401535=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401536=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401537=DIRECTION('',(0.,0.,1.)); #401538=DIRECTION('center_axis',(1.,0.,0.)); #401539=DIRECTION('ref_axis',(0.,1.,0.)); #401540=DIRECTION('',(0.,1.,0.)); #401541=DIRECTION('',(0.,1.,0.)); #401542=DIRECTION('',(0.,0.,1.)); #401543=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #401544=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #401545=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #401546=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #401547=DIRECTION('center_axis',(0.,1.,0.)); #401548=DIRECTION('ref_axis',(-1.,0.,0.)); #401549=DIRECTION('',(-1.,0.,0.)); #401550=DIRECTION('',(0.,0.,1.)); #401551=DIRECTION('',(-1.,0.,0.)); #401552=DIRECTION('',(0.,0.,1.)); #401553=DIRECTION('center_axis',(-0.382685866754958,0.92387852415023,0.)); #401554=DIRECTION('ref_axis',(-0.92387852415023,-0.382685866754958,0.)); #401555=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #401556=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #401557=DIRECTION('',(0.,0.,1.)); #401558=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #401559=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #401560=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #401561=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #401562=DIRECTION('',(0.,0.,1.)); #401563=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #401564=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #401565=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #401566=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #401567=DIRECTION('',(0.,0.,1.)); #401568=DIRECTION('center_axis',(-1.,0.,0.)); #401569=DIRECTION('ref_axis',(0.,-1.,0.)); #401570=DIRECTION('',(0.,-1.,0.)); #401571=DIRECTION('',(0.,-1.,0.)); #401572=DIRECTION('',(0.,0.,1.)); #401573=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #401574=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #401575=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #401576=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #401577=DIRECTION('',(0.,0.,1.)); #401578=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #401579=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #401580=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #401581=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #401582=DIRECTION('',(0.,0.,1.)); #401583=DIRECTION('center_axis',(-0.382685866754976,-0.923878524150222, 0.)); #401584=DIRECTION('ref_axis',(0.923878524150222,-0.382685866754976,0.)); #401585=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #401586=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #401587=DIRECTION('',(0.,0.,1.)); #401588=DIRECTION('center_axis',(0.,-1.,0.)); #401589=DIRECTION('ref_axis',(1.,0.,0.)); #401590=DIRECTION('',(1.,0.,0.)); #401591=DIRECTION('',(1.,0.,0.)); #401592=DIRECTION('',(0.,0.,1.)); #401593=DIRECTION('center_axis',(0.382685022283815,-0.923878873943785,0.)); #401594=DIRECTION('ref_axis',(0.923878873943785,0.382685022283815,0.)); #401595=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #401596=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #401597=DIRECTION('',(0.,0.,1.)); #401598=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #401599=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #401600=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #401601=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #401602=DIRECTION('',(0.,0.,1.)); #401603=DIRECTION('center_axis',(0.923878524150223,-0.382685866754975,0.)); #401604=DIRECTION('ref_axis',(0.382685866754975,0.923878524150223,0.)); #401605=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #401606=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #401607=DIRECTION('',(0.,0.,1.)); #401608=DIRECTION('center_axis',(1.,0.,0.)); #401609=DIRECTION('ref_axis',(0.,1.,0.)); #401610=DIRECTION('',(0.,1.,0.)); #401611=DIRECTION('',(0.,1.,0.)); #401612=DIRECTION('',(0.,0.,1.)); #401613=DIRECTION('center_axis',(0.923878524150226,0.382685866754967,0.)); #401614=DIRECTION('ref_axis',(-0.382685866754967,0.923878524150226,0.)); #401615=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #401616=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #401617=DIRECTION('',(0.,0.,1.)); #401618=DIRECTION('center_axis',(0.707107975447159,0.707105586923919,0.)); #401619=DIRECTION('ref_axis',(-0.707105586923919,0.707107975447159,0.)); #401620=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #401621=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #401622=DIRECTION('',(0.,0.,1.)); #401623=DIRECTION('center_axis',(0.382685022283797,0.923878873943793,0.)); #401624=DIRECTION('ref_axis',(-0.923878873943792,0.382685022283797,0.)); #401625=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #401626=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #401627=DIRECTION('center_axis',(0.,1.,0.)); #401628=DIRECTION('ref_axis',(-1.,0.,0.)); #401629=DIRECTION('',(-1.,0.,0.)); #401630=DIRECTION('',(0.,0.,1.)); #401631=DIRECTION('',(-1.,0.,0.)); #401632=DIRECTION('',(0.,0.,1.)); #401633=DIRECTION('center_axis',(-0.382685022283793,0.923878873943794,0.)); #401634=DIRECTION('ref_axis',(-0.923878873943794,-0.382685022283793,0.)); #401635=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #401636=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #401637=DIRECTION('',(0.,0.,1.)); #401638=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #401639=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #401640=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #401641=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #401642=DIRECTION('',(0.,0.,1.)); #401643=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #401644=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #401645=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #401646=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #401647=DIRECTION('',(0.,0.,1.)); #401648=DIRECTION('center_axis',(-1.,0.,0.)); #401649=DIRECTION('ref_axis',(0.,-1.,0.)); #401650=DIRECTION('',(0.,-1.,0.)); #401651=DIRECTION('',(0.,-1.,0.)); #401652=DIRECTION('',(0.,0.,1.)); #401653=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #401654=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #401655=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #401656=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #401657=DIRECTION('',(0.,0.,1.)); #401658=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #401659=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #401660=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #401661=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #401662=DIRECTION('',(0.,0.,1.)); #401663=DIRECTION('center_axis',(-0.382685022283811,-0.923878873943787, 0.)); #401664=DIRECTION('ref_axis',(0.923878873943787,-0.382685022283811,0.)); #401665=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #401666=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #401667=DIRECTION('',(0.,0.,1.)); #401668=DIRECTION('center_axis',(0.,-1.,0.)); #401669=DIRECTION('ref_axis',(1.,0.,0.)); #401670=DIRECTION('',(1.,0.,0.)); #401671=DIRECTION('',(1.,0.,0.)); #401672=DIRECTION('',(0.,0.,1.)); #401673=DIRECTION('center_axis',(0.382685866754976,-0.923878524150222,0.)); #401674=DIRECTION('ref_axis',(0.923878524150222,0.382685866754976,0.)); #401675=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #401676=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #401677=DIRECTION('',(0.,0.,1.)); #401678=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #401679=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #401680=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #401681=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #401682=DIRECTION('',(0.,0.,1.)); #401683=DIRECTION('center_axis',(0.923878524150215,-0.382685866754993,0.)); #401684=DIRECTION('ref_axis',(0.382685866754993,0.923878524150215,0.)); #401685=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #401686=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #401687=DIRECTION('',(0.,0.,1.)); #401688=DIRECTION('center_axis',(1.,0.,0.)); #401689=DIRECTION('ref_axis',(0.,1.,0.)); #401690=DIRECTION('',(0.,1.,0.)); #401691=DIRECTION('',(0.,1.,0.)); #401692=DIRECTION('',(0.,0.,1.)); #401693=DIRECTION('center_axis',(0.923878524150219,0.382685866754985,0.)); #401694=DIRECTION('ref_axis',(-0.382685866754985,0.923878524150219,0.)); #401695=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #401696=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #401697=DIRECTION('',(0.,0.,1.)); #401698=DIRECTION('center_axis',(0.70710797544717,0.707105586923908,0.)); #401699=DIRECTION('ref_axis',(-0.707105586923908,0.70710797544717,0.)); #401700=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #401701=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #401702=DIRECTION('',(0.,0.,1.)); #401703=DIRECTION('center_axis',(0.382685866754958,0.92387852415023,0.)); #401704=DIRECTION('ref_axis',(-0.92387852415023,0.382685866754958,0.)); #401705=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #401706=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #401707=DIRECTION('center_axis',(0.,1.,0.)); #401708=DIRECTION('ref_axis',(-1.,0.,0.)); #401709=DIRECTION('',(-1.,0.,0.)); #401710=DIRECTION('',(0.,0.,1.)); #401711=DIRECTION('',(-1.,0.,0.)); #401712=DIRECTION('',(0.,0.,1.)); #401713=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #401714=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #401715=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401716=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401717=DIRECTION('',(0.,0.,1.)); #401718=DIRECTION('center_axis',(-1.,0.,0.)); #401719=DIRECTION('ref_axis',(0.,-1.,0.)); #401720=DIRECTION('',(0.,-1.,0.)); #401721=DIRECTION('',(0.,-1.,0.)); #401722=DIRECTION('',(0.,0.,1.)); #401723=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401724=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401725=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401726=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401727=DIRECTION('',(0.,0.,1.)); #401728=DIRECTION('center_axis',(0.,-1.,0.)); #401729=DIRECTION('ref_axis',(1.,0.,0.)); #401730=DIRECTION('',(1.,0.,0.)); #401731=DIRECTION('',(1.,0.,0.)); #401732=DIRECTION('',(0.,0.,1.)); #401733=DIRECTION('center_axis',(-0.183305290257137,-0.983056036329439, 0.)); #401734=DIRECTION('ref_axis',(0.983056036329439,-0.183305290257137,0.)); #401735=DIRECTION('',(0.983056036329439,-0.183305290257137,0.)); #401736=DIRECTION('',(0.983056036329439,-0.183305290257137,0.)); #401737=DIRECTION('',(0.,0.,1.)); #401738=DIRECTION('center_axis',(-0.538911466745989,-0.842362410729306, 0.)); #401739=DIRECTION('ref_axis',(0.842362410729306,-0.538911466745989,0.)); #401740=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #401741=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #401742=DIRECTION('',(0.,0.,1.)); #401743=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #401744=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #401745=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #401746=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #401747=DIRECTION('',(0.,0.,1.)); #401748=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #401749=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #401750=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #401751=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #401752=DIRECTION('',(0.,0.,1.)); #401753=DIRECTION('center_axis',(-1.,0.,0.)); #401754=DIRECTION('ref_axis',(0.,-1.,0.)); #401755=DIRECTION('',(0.,-1.,0.)); #401756=DIRECTION('',(0.,-1.,0.)); #401757=DIRECTION('',(0.,0.,1.)); #401758=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #401759=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #401760=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #401761=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #401762=DIRECTION('',(0.,0.,1.)); #401763=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #401764=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #401765=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #401766=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #401767=DIRECTION('',(0.,0.,1.)); #401768=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #401769=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #401770=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #401771=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #401772=DIRECTION('',(0.,0.,1.)); #401773=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #401774=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #401775=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401776=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401777=DIRECTION('',(0.,0.,1.)); #401778=DIRECTION('center_axis',(0.,1.,0.)); #401779=DIRECTION('ref_axis',(-1.,0.,0.)); #401780=DIRECTION('',(-1.,0.,0.)); #401781=DIRECTION('',(-1.,0.,0.)); #401782=DIRECTION('',(0.,0.,1.)); #401783=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #401784=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #401785=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401786=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401787=DIRECTION('',(0.,0.,1.)); #401788=DIRECTION('center_axis',(-1.,0.,0.)); #401789=DIRECTION('ref_axis',(0.,-1.,0.)); #401790=DIRECTION('',(0.,-1.,0.)); #401791=DIRECTION('',(0.,-1.,0.)); #401792=DIRECTION('',(0.,0.,1.)); #401793=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #401794=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #401795=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #401796=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #401797=DIRECTION('',(0.,0.,1.)); #401798=DIRECTION('center_axis',(0.,-1.,0.)); #401799=DIRECTION('ref_axis',(1.,0.,0.)); #401800=DIRECTION('',(1.,0.,0.)); #401801=DIRECTION('',(1.,0.,0.)); #401802=DIRECTION('',(0.,0.,1.)); #401803=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #401804=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #401805=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401806=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401807=DIRECTION('',(0.,0.,1.)); #401808=DIRECTION('center_axis',(1.,0.,0.)); #401809=DIRECTION('ref_axis',(0.,1.,0.)); #401810=DIRECTION('',(0.,1.,0.)); #401811=DIRECTION('',(0.,1.,0.)); #401812=DIRECTION('',(0.,0.,1.)); #401813=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #401814=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #401815=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401816=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401817=DIRECTION('',(0.,0.,1.)); #401818=DIRECTION('center_axis',(0.,1.,0.)); #401819=DIRECTION('ref_axis',(-1.,0.,0.)); #401820=DIRECTION('',(-1.,0.,0.)); #401821=DIRECTION('',(-1.,0.,0.)); #401822=DIRECTION('',(0.,0.,1.)); #401823=DIRECTION('center_axis',(0.183305290257387,0.983056036329392,0.)); #401824=DIRECTION('ref_axis',(-0.983056036329392,0.183305290257387,0.)); #401825=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #401826=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #401827=DIRECTION('',(0.,0.,1.)); #401828=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #401829=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #401830=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #401831=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #401832=DIRECTION('',(0.,0.,1.)); #401833=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #401834=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #401835=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #401836=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #401837=DIRECTION('',(0.,0.,1.)); #401838=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #401839=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #401840=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #401841=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #401842=DIRECTION('',(0.,0.,1.)); #401843=DIRECTION('center_axis',(1.,0.,0.)); #401844=DIRECTION('ref_axis',(0.,1.,0.)); #401845=DIRECTION('',(0.,1.,0.)); #401846=DIRECTION('',(0.,1.,0.)); #401847=DIRECTION('',(0.,0.,1.)); #401848=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #401849=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #401850=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #401851=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #401852=DIRECTION('',(0.,0.,1.)); #401853=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #401854=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #401855=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #401856=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #401857=DIRECTION('',(0.,0.,1.)); #401858=DIRECTION('center_axis',(0.565504004715094,-0.824745549033877,0.)); #401859=DIRECTION('ref_axis',(0.824745549033877,0.565504004715094,0.)); #401860=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #401861=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #401862=DIRECTION('',(0.,0.,1.)); #401863=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #401864=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #401865=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #401866=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #401867=DIRECTION('',(0.,0.,1.)); #401868=DIRECTION('center_axis',(0.,-1.,0.)); #401869=DIRECTION('ref_axis',(1.,0.,0.)); #401870=DIRECTION('',(1.,0.,0.)); #401871=DIRECTION('',(1.,0.,0.)); #401872=DIRECTION('',(0.,0.,1.)); #401873=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #401874=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #401875=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401876=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401877=DIRECTION('',(0.,0.,1.)); #401878=DIRECTION('center_axis',(1.,0.,0.)); #401879=DIRECTION('ref_axis',(0.,1.,0.)); #401880=DIRECTION('',(0.,1.,0.)); #401881=DIRECTION('',(0.,1.,0.)); #401882=DIRECTION('',(0.,0.,1.)); #401883=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #401884=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #401885=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401886=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401887=DIRECTION('center_axis',(0.,1.,0.)); #401888=DIRECTION('ref_axis',(-1.,0.,0.)); #401889=DIRECTION('',(-1.,0.,0.)); #401890=DIRECTION('',(0.,0.,1.)); #401891=DIRECTION('',(-1.,0.,0.)); #401892=DIRECTION('',(0.,0.,1.)); #401893=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #401894=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #401895=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401896=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401897=DIRECTION('',(0.,0.,1.)); #401898=DIRECTION('center_axis',(-1.,0.,0.)); #401899=DIRECTION('ref_axis',(0.,-1.,0.)); #401900=DIRECTION('',(0.,-1.,0.)); #401901=DIRECTION('',(0.,-1.,0.)); #401902=DIRECTION('',(0.,0.,1.)); #401903=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401904=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401905=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401906=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401907=DIRECTION('',(0.,0.,1.)); #401908=DIRECTION('center_axis',(0.,-1.,0.)); #401909=DIRECTION('ref_axis',(1.,0.,0.)); #401910=DIRECTION('',(1.,0.,0.)); #401911=DIRECTION('',(1.,0.,0.)); #401912=DIRECTION('',(0.,0.,1.)); #401913=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #401914=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #401915=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #401916=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #401917=DIRECTION('',(0.,0.,1.)); #401918=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #401919=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #401920=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401921=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401922=DIRECTION('',(0.,0.,1.)); #401923=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #401924=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #401925=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #401926=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #401927=DIRECTION('',(0.,0.,1.)); #401928=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #401929=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #401930=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #401931=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #401932=DIRECTION('',(0.,0.,1.)); #401933=DIRECTION('center_axis',(-1.,0.,0.)); #401934=DIRECTION('ref_axis',(0.,-1.,0.)); #401935=DIRECTION('',(0.,-1.,0.)); #401936=DIRECTION('',(0.,-1.,0.)); #401937=DIRECTION('',(0.,0.,1.)); #401938=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #401939=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #401940=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #401941=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #401942=DIRECTION('',(0.,0.,1.)); #401943=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #401944=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #401945=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #401946=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #401947=DIRECTION('',(0.,0.,1.)); #401948=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #401949=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #401950=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #401951=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #401952=DIRECTION('',(0.,0.,1.)); #401953=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #401954=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #401955=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401956=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #401957=DIRECTION('',(0.,0.,1.)); #401958=DIRECTION('center_axis',(0.,1.,0.)); #401959=DIRECTION('ref_axis',(-1.,0.,0.)); #401960=DIRECTION('',(-1.,0.,0.)); #401961=DIRECTION('',(-1.,0.,0.)); #401962=DIRECTION('',(0.,0.,1.)); #401963=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #401964=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #401965=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401966=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401967=DIRECTION('',(0.,0.,1.)); #401968=DIRECTION('center_axis',(-1.,0.,0.)); #401969=DIRECTION('ref_axis',(0.,-1.,0.)); #401970=DIRECTION('',(0.,-1.,0.)); #401971=DIRECTION('',(0.,-1.,0.)); #401972=DIRECTION('',(0.,0.,1.)); #401973=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #401974=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #401975=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #401976=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #401977=DIRECTION('',(0.,0.,1.)); #401978=DIRECTION('center_axis',(0.,-1.,0.)); #401979=DIRECTION('ref_axis',(1.,0.,0.)); #401980=DIRECTION('',(1.,0.,0.)); #401981=DIRECTION('',(1.,0.,0.)); #401982=DIRECTION('',(0.,0.,1.)); #401983=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #401984=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #401985=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401986=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401987=DIRECTION('',(0.,0.,1.)); #401988=DIRECTION('center_axis',(1.,0.,0.)); #401989=DIRECTION('ref_axis',(0.,1.,0.)); #401990=DIRECTION('',(0.,1.,0.)); #401991=DIRECTION('',(0.,1.,0.)); #401992=DIRECTION('',(0.,0.,1.)); #401993=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #401994=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #401995=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401996=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401997=DIRECTION('',(0.,0.,1.)); #401998=DIRECTION('center_axis',(0.,1.,0.)); #401999=DIRECTION('ref_axis',(-1.,0.,0.)); #402000=DIRECTION('',(-1.,0.,0.)); #402001=DIRECTION('',(-1.,0.,0.)); #402002=DIRECTION('',(0.,0.,1.)); #402003=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #402004=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #402005=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #402006=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #402007=DIRECTION('',(0.,0.,1.)); #402008=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #402009=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #402010=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #402011=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #402012=DIRECTION('',(0.,0.,1.)); #402013=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #402014=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #402015=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #402016=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #402017=DIRECTION('',(0.,0.,1.)); #402018=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #402019=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #402020=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #402021=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #402022=DIRECTION('',(0.,0.,1.)); #402023=DIRECTION('center_axis',(1.,0.,0.)); #402024=DIRECTION('ref_axis',(0.,1.,0.)); #402025=DIRECTION('',(0.,1.,0.)); #402026=DIRECTION('',(0.,1.,0.)); #402027=DIRECTION('',(0.,0.,1.)); #402028=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #402029=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #402030=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #402031=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #402032=DIRECTION('',(0.,0.,1.)); #402033=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #402034=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #402035=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #402036=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #402037=DIRECTION('',(0.,0.,1.)); #402038=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #402039=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #402040=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #402041=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #402042=DIRECTION('',(0.,0.,1.)); #402043=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #402044=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #402045=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #402046=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #402047=DIRECTION('',(0.,0.,1.)); #402048=DIRECTION('center_axis',(0.,-1.,0.)); #402049=DIRECTION('ref_axis',(1.,0.,0.)); #402050=DIRECTION('',(1.,0.,0.)); #402051=DIRECTION('',(1.,0.,0.)); #402052=DIRECTION('',(0.,0.,1.)); #402053=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #402054=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #402055=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #402056=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #402057=DIRECTION('',(0.,0.,1.)); #402058=DIRECTION('center_axis',(1.,0.,0.)); #402059=DIRECTION('ref_axis',(0.,1.,0.)); #402060=DIRECTION('',(0.,1.,0.)); #402061=DIRECTION('',(0.,1.,0.)); #402062=DIRECTION('',(0.,0.,1.)); #402063=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #402064=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #402065=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #402066=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #402067=DIRECTION('center_axis',(0.,1.,0.)); #402068=DIRECTION('ref_axis',(-1.,0.,0.)); #402069=DIRECTION('',(-1.,0.,0.)); #402070=DIRECTION('',(0.,0.,1.)); #402071=DIRECTION('',(-1.,0.,0.)); #402072=DIRECTION('',(0.,0.,1.)); #402073=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #402074=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #402075=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #402076=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #402077=DIRECTION('',(0.,0.,1.)); #402078=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #402079=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #402080=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #402081=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #402082=DIRECTION('',(0.,0.,1.)); #402083=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #402084=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #402085=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #402086=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #402087=DIRECTION('',(0.,0.,1.)); #402088=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #402089=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #402090=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #402091=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #402092=DIRECTION('',(0.,0.,1.)); #402093=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #402094=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #402095=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #402096=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #402097=DIRECTION('',(0.,0.,1.)); #402098=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #402099=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #402100=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #402101=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #402102=DIRECTION('',(0.,0.,1.)); #402103=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #402104=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #402105=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #402106=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #402107=DIRECTION('',(0.,0.,1.)); #402108=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #402109=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #402110=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #402111=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #402112=DIRECTION('',(0.,0.,1.)); #402113=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #402114=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #402115=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #402116=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #402117=DIRECTION('',(0.,0.,1.)); #402118=DIRECTION('center_axis',(0.,1.,0.)); #402119=DIRECTION('ref_axis',(-1.,0.,0.)); #402120=DIRECTION('',(-1.,0.,0.)); #402121=DIRECTION('',(-1.,0.,0.)); #402122=DIRECTION('',(0.,0.,1.)); #402123=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #402124=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #402125=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #402126=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #402127=DIRECTION('',(0.,0.,1.)); #402128=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #402129=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #402130=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #402131=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #402132=DIRECTION('',(0.,0.,1.)); #402133=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #402134=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #402135=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #402136=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #402137=DIRECTION('',(0.,0.,1.)); #402138=DIRECTION('center_axis',(-1.,0.,0.)); #402139=DIRECTION('ref_axis',(0.,-1.,0.)); #402140=DIRECTION('',(0.,-1.,0.)); #402141=DIRECTION('',(0.,-1.,0.)); #402142=DIRECTION('',(0.,0.,1.)); #402143=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #402144=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #402145=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #402146=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #402147=DIRECTION('',(0.,0.,1.)); #402148=DIRECTION('center_axis',(-0.707105976813813,-0.707107585558367, 0.)); #402149=DIRECTION('ref_axis',(0.707107585558367,-0.707105976813813,0.)); #402150=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #402151=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #402152=DIRECTION('',(0.,0.,1.)); #402153=DIRECTION('center_axis',(-0.38268403024089,-0.923879284862795,0.)); #402154=DIRECTION('ref_axis',(0.923879284862795,-0.38268403024089,0.)); #402155=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #402156=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #402157=DIRECTION('',(0.,0.,1.)); #402158=DIRECTION('center_axis',(0.,-1.,0.)); #402159=DIRECTION('ref_axis',(1.,0.,0.)); #402160=DIRECTION('',(1.,0.,0.)); #402161=DIRECTION('',(1.,0.,0.)); #402162=DIRECTION('',(0.,0.,1.)); #402163=DIRECTION('center_axis',(-0.183301831720629,-0.983056681218261, 0.)); #402164=DIRECTION('ref_axis',(0.983056681218261,-0.183301831720629,0.)); #402165=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #402166=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #402167=DIRECTION('',(0.,0.,1.)); #402168=DIRECTION('center_axis',(-0.538904447640605,-0.842366901245042, 0.)); #402169=DIRECTION('ref_axis',(0.842366901245042,-0.538904447640605,0.)); #402170=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #402171=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #402172=DIRECTION('',(0.,0.,1.)); #402173=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #402174=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #402175=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #402176=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #402177=DIRECTION('',(0.,0.,1.)); #402178=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #402179=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #402180=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #402181=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #402182=DIRECTION('',(0.,0.,1.)); #402183=DIRECTION('center_axis',(-1.,0.,0.)); #402184=DIRECTION('ref_axis',(0.,-1.,0.)); #402185=DIRECTION('',(0.,-1.,0.)); #402186=DIRECTION('',(0.,-1.,0.)); #402187=DIRECTION('',(0.,0.,1.)); #402188=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #402189=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #402190=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #402191=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #402192=DIRECTION('',(0.,0.,1.)); #402193=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #402194=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #402195=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #402196=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #402197=DIRECTION('',(0.,0.,1.)); #402198=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #402199=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #402200=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #402201=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #402202=DIRECTION('',(0.,0.,1.)); #402203=DIRECTION('center_axis',(-0.198968686113148,0.980005847914393,0.)); #402204=DIRECTION('ref_axis',(-0.980005847914393,-0.198968686113148,0.)); #402205=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #402206=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #402207=DIRECTION('',(0.,0.,1.)); #402208=DIRECTION('center_axis',(0.,1.,0.)); #402209=DIRECTION('ref_axis',(-1.,0.,0.)); #402210=DIRECTION('',(-1.,0.,0.)); #402211=DIRECTION('',(-1.,0.,0.)); #402212=DIRECTION('',(0.,0.,1.)); #402213=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #402214=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #402215=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #402216=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #402217=DIRECTION('',(0.,0.,1.)); #402218=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #402219=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #402220=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #402221=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #402222=DIRECTION('',(0.,0.,1.)); #402223=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #402224=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #402225=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #402226=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #402227=DIRECTION('',(0.,0.,1.)); #402228=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #402229=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #402230=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #402231=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #402232=DIRECTION('',(0.,0.,1.)); #402233=DIRECTION('center_axis',(-0.707106939765109,0.707106622607951,0.)); #402234=DIRECTION('ref_axis',(-0.707106622607951,-0.707106939765109,0.)); #402235=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #402236=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #402237=DIRECTION('',(0.,0.,1.)); #402238=DIRECTION('center_axis',(-0.55892981188434,0.829214969345668,0.)); #402239=DIRECTION('ref_axis',(-0.829214969345668,-0.55892981188434,0.)); #402240=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #402241=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #402242=DIRECTION('',(0.,0.,1.)); #402243=DIRECTION('center_axis',(-0.198968686113154,0.980005847914391,0.)); #402244=DIRECTION('ref_axis',(-0.980005847914391,-0.198968686113154,0.)); #402245=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #402246=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #402247=DIRECTION('',(0.,0.,1.)); #402248=DIRECTION('center_axis',(0.,1.,0.)); #402249=DIRECTION('ref_axis',(-1.,0.,0.)); #402250=DIRECTION('',(-1.,0.,0.)); #402251=DIRECTION('',(-1.,0.,0.)); #402252=DIRECTION('',(0.,0.,1.)); #402253=DIRECTION('center_axis',(-0.105885061288483,0.994378375567336,0.)); #402254=DIRECTION('ref_axis',(-0.994378375567336,-0.105885061288483,0.)); #402255=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #402256=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #402257=DIRECTION('',(0.,0.,1.)); #402258=DIRECTION('center_axis',(-0.312934735821426,0.949774631750277,0.)); #402259=DIRECTION('ref_axis',(-0.949774631750277,-0.312934735821426,0.)); #402260=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #402261=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #402262=DIRECTION('',(0.,0.,1.)); #402263=DIRECTION('center_axis',(-0.506098478960332,0.862475697973015,0.)); #402264=DIRECTION('ref_axis',(-0.862475697973015,-0.506098478960332,0.)); #402265=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #402266=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #402267=DIRECTION('',(0.,0.,1.)); #402268=DIRECTION('center_axis',(-0.652519128376101,0.757772252793208,0.)); #402269=DIRECTION('ref_axis',(-0.757772252793208,-0.652519128376101,0.)); #402270=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #402271=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #402272=DIRECTION('',(0.,0.,1.)); #402273=DIRECTION('center_axis',(-0.707106922915761,0.707106639457305,0.)); #402274=DIRECTION('ref_axis',(-0.707106639457305,-0.707106922915761,0.)); #402275=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #402276=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #402277=DIRECTION('',(0.,0.,1.)); #402278=DIRECTION('center_axis',(-0.778048811381514,0.628203826084985,0.)); #402279=DIRECTION('ref_axis',(-0.628203826084984,-0.778048811381514,0.)); #402280=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #402281=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #402282=DIRECTION('',(0.,0.,1.)); #402283=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #402284=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #402285=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #402286=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #402287=DIRECTION('',(0.,0.,1.)); #402288=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #402289=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #402290=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #402291=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #402292=DIRECTION('',(0.,0.,1.)); #402293=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #402294=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #402295=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #402296=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #402297=DIRECTION('',(0.,0.,1.)); #402298=DIRECTION('center_axis',(-1.,0.,0.)); #402299=DIRECTION('ref_axis',(0.,-1.,0.)); #402300=DIRECTION('',(0.,-1.,0.)); #402301=DIRECTION('',(0.,-1.,0.)); #402302=DIRECTION('',(0.,0.,1.)); #402303=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #402304=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #402305=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #402306=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #402307=DIRECTION('',(0.,0.,1.)); #402308=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #402309=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #402310=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #402311=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #402312=DIRECTION('',(0.,0.,1.)); #402313=DIRECTION('center_axis',(-0.565504004715149,0.824745549033839,0.)); #402314=DIRECTION('ref_axis',(-0.824745549033839,-0.565504004715149,0.)); #402315=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #402316=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #402317=DIRECTION('',(0.,0.,1.)); #402318=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #402319=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #402320=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #402321=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #402322=DIRECTION('',(0.,0.,1.)); #402323=DIRECTION('center_axis',(0.,1.,0.)); #402324=DIRECTION('ref_axis',(-1.,0.,0.)); #402325=DIRECTION('',(-1.,0.,0.)); #402326=DIRECTION('',(-1.,0.,0.)); #402327=DIRECTION('',(0.,0.,1.)); #402328=DIRECTION('center_axis',(-0.105885061288489,0.994378375567336,0.)); #402329=DIRECTION('ref_axis',(-0.994378375567335,-0.105885061288489,0.)); #402330=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #402331=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #402332=DIRECTION('',(0.,0.,1.)); #402333=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #402334=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #402335=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #402336=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #402337=DIRECTION('',(0.,0.,1.)); #402338=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #402339=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #402340=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #402341=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #402342=DIRECTION('',(0.,0.,1.)); #402343=DIRECTION('center_axis',(-0.652508824452228,0.757781125399657,0.)); #402344=DIRECTION('ref_axis',(-0.757781125399657,-0.652508824452228,0.)); #402345=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #402346=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #402347=DIRECTION('',(0.,0.,1.)); #402348=DIRECTION('center_axis',(-0.707106869683021,0.707106692690063,0.)); #402349=DIRECTION('ref_axis',(-0.707106692690063,-0.707106869683021,0.)); #402350=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #402351=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #402352=DIRECTION('',(0.,0.,1.)); #402353=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #402354=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #402355=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #402356=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #402357=DIRECTION('',(0.,0.,1.)); #402358=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #402359=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #402360=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #402361=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #402362=DIRECTION('',(0.,0.,1.)); #402363=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #402364=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #402365=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #402366=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #402367=DIRECTION('',(0.,0.,1.)); #402368=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #402369=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #402370=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #402371=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #402372=DIRECTION('',(0.,0.,1.)); #402373=DIRECTION('center_axis',(-1.,0.,0.)); #402374=DIRECTION('ref_axis',(0.,-1.,0.)); #402375=DIRECTION('',(0.,-1.,0.)); #402376=DIRECTION('',(0.,-1.,0.)); #402377=DIRECTION('',(0.,0.,1.)); #402378=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #402379=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #402380=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #402381=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #402382=DIRECTION('',(0.,0.,1.)); #402383=DIRECTION('center_axis',(-0.833659859749867,0.552278225391724,0.)); #402384=DIRECTION('ref_axis',(-0.552278225391724,-0.833659859749867,0.)); #402385=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #402386=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #402387=DIRECTION('',(0.,0.,1.)); #402388=DIRECTION('center_axis',(-0.707106876869274,0.707106685503809,0.)); #402389=DIRECTION('ref_axis',(-0.707106685503809,-0.707106876869274,0.)); #402390=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #402391=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #402392=DIRECTION('',(0.,0.,1.)); #402393=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #402394=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #402395=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #402396=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #402397=DIRECTION('',(0.,0.,1.)); #402398=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #402399=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #402400=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #402401=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #402402=DIRECTION('',(0.,0.,1.)); #402403=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #402404=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #402405=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #402406=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #402407=DIRECTION('',(0.,0.,1.)); #402408=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #402409=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #402410=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #402411=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #402412=DIRECTION('',(0.,0.,1.)); #402413=DIRECTION('center_axis',(-1.,0.,0.)); #402414=DIRECTION('ref_axis',(0.,-1.,0.)); #402415=DIRECTION('',(0.,-1.,0.)); #402416=DIRECTION('',(0.,-1.,0.)); #402417=DIRECTION('',(0.,0.,1.)); #402418=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #402419=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #402420=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #402421=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #402422=DIRECTION('',(0.,0.,1.)); #402423=DIRECTION('center_axis',(-0.949766016990435,-0.312960880894282, 0.)); #402424=DIRECTION('ref_axis',(0.312960880894282,-0.949766016990435,0.)); #402425=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #402426=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #402427=DIRECTION('',(0.,0.,1.)); #402428=DIRECTION('center_axis',(-0.837174075245197,-0.546936529898442, 0.)); #402429=DIRECTION('ref_axis',(0.546936529898442,-0.837174075245197,0.)); #402430=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #402431=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #402432=DIRECTION('',(0.,0.,1.)); #402433=DIRECTION('center_axis',(-0.74329456719604,-0.668964263901183,0.)); #402434=DIRECTION('ref_axis',(0.668964263901183,-0.74329456719604,0.)); #402435=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #402436=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #402437=DIRECTION('',(0.,0.,1.)); #402438=DIRECTION('center_axis',(-0.668310465897522,-0.743882464621823, 0.)); #402439=DIRECTION('ref_axis',(0.743882464621823,-0.668310465897522,0.)); #402440=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #402441=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #402442=DIRECTION('',(0.,0.,1.)); #402443=DIRECTION('center_axis',(-0.496576102786833,-0.867993187842532, 0.)); #402444=DIRECTION('ref_axis',(0.867993187842532,-0.496576102786833,0.)); #402445=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #402446=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #402447=DIRECTION('',(0.,0.,1.)); #402448=DIRECTION('center_axis',(-0.30251116059194,-0.953145842836928,0.)); #402449=DIRECTION('ref_axis',(0.953145842836928,-0.30251116059194,0.)); #402450=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #402451=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #402452=DIRECTION('',(0.,0.,1.)); #402453=DIRECTION('center_axis',(-0.100530782529678,-0.994933948442795, 0.)); #402454=DIRECTION('ref_axis',(0.994933948442795,-0.100530782529678,0.)); #402455=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #402456=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #402457=DIRECTION('',(0.,0.,1.)); #402458=DIRECTION('center_axis',(0.,-1.,0.)); #402459=DIRECTION('ref_axis',(1.,0.,0.)); #402460=DIRECTION('',(1.,0.,0.)); #402461=DIRECTION('',(1.,0.,0.)); #402462=DIRECTION('',(0.,0.,1.)); #402463=DIRECTION('center_axis',(0.105885061288662,-0.994378375567317,0.)); #402464=DIRECTION('ref_axis',(0.994378375567317,0.105885061288662,0.)); #402465=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #402466=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #402467=DIRECTION('',(0.,0.,1.)); #402468=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #402469=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #402470=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #402471=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #402472=DIRECTION('',(0.,0.,1.)); #402473=DIRECTION('center_axis',(0.506057198050737,-0.86249992017451,0.)); #402474=DIRECTION('ref_axis',(0.86249992017451,0.506057198050737,0.)); #402475=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #402476=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #402477=DIRECTION('',(0.,0.,1.)); #402478=DIRECTION('center_axis',(0.676309465188481,-0.736617612670557,0.)); #402479=DIRECTION('ref_axis',(0.736617612670557,0.676309465188481,0.)); #402480=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #402481=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #402482=DIRECTION('',(0.,0.,1.)); #402483=DIRECTION('center_axis',(0.816318974247621,-0.57760136104697,0.)); #402484=DIRECTION('ref_axis',(0.57760136104697,0.816318974247621,0.)); #402485=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #402486=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #402487=DIRECTION('',(0.,0.,1.)); #402488=DIRECTION('center_axis',(0.919633143145632,-0.392778413393717,0.)); #402489=DIRECTION('ref_axis',(0.392778413393717,0.919633143145632,0.)); #402490=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #402491=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #402492=DIRECTION('',(0.,0.,1.)); #402493=DIRECTION('center_axis',(0.988961531561705,-0.148172497755578,0.)); #402494=DIRECTION('ref_axis',(0.148172497755578,0.988961531561705,0.)); #402495=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #402496=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #402497=DIRECTION('',(0.,0.,1.)); #402498=DIRECTION('center_axis',(1.,0.,0.)); #402499=DIRECTION('ref_axis',(0.,1.,0.)); #402500=DIRECTION('',(0.,1.,0.)); #402501=DIRECTION('',(0.,1.,0.)); #402502=DIRECTION('',(0.,0.,1.)); #402503=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #402504=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #402505=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #402506=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #402507=DIRECTION('',(0.,0.,1.)); #402508=DIRECTION('center_axis',(0.842362410729178,-0.538911466746188,0.)); #402509=DIRECTION('ref_axis',(0.538911466746188,0.842362410729178,0.)); #402510=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #402511=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #402512=DIRECTION('',(0.,0.,1.)); #402513=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #402514=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #402515=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #402516=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #402517=DIRECTION('',(0.,0.,1.)); #402518=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #402519=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #402520=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #402521=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #402522=DIRECTION('',(0.,0.,1.)); #402523=DIRECTION('center_axis',(0.,-1.,0.)); #402524=DIRECTION('ref_axis',(1.,0.,0.)); #402525=DIRECTION('',(1.,0.,0.)); #402526=DIRECTION('',(1.,0.,0.)); #402527=DIRECTION('',(0.,0.,1.)); #402528=DIRECTION('center_axis',(-0.183305290257473,-0.983056036329376, 0.)); #402529=DIRECTION('ref_axis',(0.983056036329376,-0.183305290257473,0.)); #402530=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #402531=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #402532=DIRECTION('',(0.,0.,1.)); #402533=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #402534=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #402535=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #402536=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #402537=DIRECTION('',(0.,0.,1.)); #402538=DIRECTION('center_axis',(-0.82474554903383,-0.565504004715162,0.)); #402539=DIRECTION('ref_axis',(0.565504004715162,-0.82474554903383,0.)); #402540=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #402541=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #402542=DIRECTION('',(0.,0.,1.)); #402543=DIRECTION('center_axis',(-0.980008419561381,-0.198956019232402, 0.)); #402544=DIRECTION('ref_axis',(0.198956019232402,-0.980008419561381,0.)); #402545=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #402546=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #402547=DIRECTION('',(0.,0.,1.)); #402548=DIRECTION('center_axis',(-1.,0.,0.)); #402549=DIRECTION('ref_axis',(0.,-1.,0.)); #402550=DIRECTION('',(0.,-1.,0.)); #402551=DIRECTION('',(0.,-1.,0.)); #402552=DIRECTION('',(0.,0.,1.)); #402553=DIRECTION('center_axis',(-0.994378375567313,-0.105885061288698, 0.)); #402554=DIRECTION('ref_axis',(0.105885061288698,-0.994378375567313,0.)); #402555=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #402556=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #402557=DIRECTION('',(0.,0.,1.)); #402558=DIRECTION('center_axis',(-0.94977879238451,-0.312922107778631,0.)); #402559=DIRECTION('ref_axis',(0.312922107778631,-0.94977879238451,0.)); #402560=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #402561=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #402562=DIRECTION('',(0.,0.,1.)); #402563=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #402564=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #402565=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #402566=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #402567=DIRECTION('',(0.,0.,1.)); #402568=DIRECTION('center_axis',(-0.73663894537961,-0.676286229454671,0.)); #402569=DIRECTION('ref_axis',(0.676286229454671,-0.73663894537961,0.)); #402570=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #402571=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #402572=DIRECTION('',(0.,0.,1.)); #402573=DIRECTION('center_axis',(-0.577570241664856,-0.816340992443231, 0.)); #402574=DIRECTION('ref_axis',(0.816340992443231,-0.577570241664856,0.)); #402575=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #402576=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #402577=DIRECTION('',(0.,0.,1.)); #402578=DIRECTION('center_axis',(-0.392778413393338,-0.919633143145794, 0.)); #402579=DIRECTION('ref_axis',(0.919633143145794,-0.392778413393338,0.)); #402580=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #402581=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #402582=DIRECTION('',(0.,0.,1.)); #402583=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #402584=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #402585=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #402586=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #402587=DIRECTION('',(0.,0.,1.)); #402588=DIRECTION('center_axis',(0.,-1.,0.)); #402589=DIRECTION('ref_axis',(1.,0.,0.)); #402590=DIRECTION('',(1.,0.,0.)); #402591=DIRECTION('',(1.,0.,0.)); #402592=DIRECTION('',(0.,0.,1.)); #402593=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #402594=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #402595=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #402596=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #402597=DIRECTION('',(0.,0.,1.)); #402598=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #402599=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #402600=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #402601=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #402602=DIRECTION('',(0.,0.,1.)); #402603=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #402604=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #402605=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #402606=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #402607=DIRECTION('',(0.,0.,1.)); #402608=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #402609=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #402610=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #402611=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #402612=DIRECTION('',(0.,0.,1.)); #402613=DIRECTION('center_axis',(-1.,0.,0.)); #402614=DIRECTION('ref_axis',(0.,-1.,0.)); #402615=DIRECTION('',(0.,-1.,0.)); #402616=DIRECTION('',(0.,-1.,0.)); #402617=DIRECTION('',(0.,0.,1.)); #402618=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #402619=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #402620=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #402621=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #402622=DIRECTION('',(0.,0.,1.)); #402623=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #402624=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #402625=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #402626=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #402627=DIRECTION('',(0.,0.,1.)); #402628=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #402629=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #402630=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #402631=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #402632=DIRECTION('',(0.,0.,1.)); #402633=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #402634=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #402635=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #402636=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #402637=DIRECTION('',(0.,0.,1.)); #402638=DIRECTION('center_axis',(-0.707107551522362,-0.707106010849894, 0.)); #402639=DIRECTION('ref_axis',(0.707106010849894,-0.707107551522362,0.)); #402640=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #402641=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #402642=DIRECTION('',(0.,0.,1.)); #402643=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #402644=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #402645=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #402646=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #402647=DIRECTION('',(0.,0.,1.)); #402648=DIRECTION('center_axis',(-0.976687210469078,-0.214667400660024, 0.)); #402649=DIRECTION('ref_axis',(0.214667400660024,-0.976687210469078,0.)); #402650=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #402651=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #402652=DIRECTION('',(0.,0.,1.)); #402653=DIRECTION('center_axis',(-0.983049421519731,0.183340761560876,0.)); #402654=DIRECTION('ref_axis',(-0.183340761560876,-0.983049421519731,0.)); #402655=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #402656=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #402657=DIRECTION('',(0.,0.,1.)); #402658=DIRECTION('center_axis',(-0.833659859749868,0.552278225391723,0.)); #402659=DIRECTION('ref_axis',(-0.552278225391723,-0.833659859749868,0.)); #402660=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #402661=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #402662=DIRECTION('',(0.,0.,1.)); #402663=DIRECTION('center_axis',(-0.707131237125211,0.707082324402024,0.)); #402664=DIRECTION('ref_axis',(-0.707082324402024,-0.707131237125211,0.)); #402665=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #402666=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #402667=DIRECTION('',(0.,0.,1.)); #402668=DIRECTION('center_axis',(-1.,0.,0.)); #402669=DIRECTION('ref_axis',(0.,-1.,0.)); #402670=DIRECTION('',(0.,-1.,0.)); #402671=DIRECTION('',(0.,-1.,0.)); #402672=DIRECTION('',(0.,0.,1.)); #402673=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402674=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402675=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402676=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402677=DIRECTION('',(0.,0.,1.)); #402678=DIRECTION('center_axis',(0.,-1.,0.)); #402679=DIRECTION('ref_axis',(1.,0.,0.)); #402680=DIRECTION('',(1.,0.,0.)); #402681=DIRECTION('',(1.,0.,0.)); #402682=DIRECTION('',(0.,0.,1.)); #402683=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #402684=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #402685=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #402686=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #402687=DIRECTION('',(0.,0.,1.)); #402688=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #402689=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #402690=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #402691=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #402692=DIRECTION('',(0.,0.,1.)); #402693=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #402694=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #402695=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #402696=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #402697=DIRECTION('',(0.,0.,1.)); #402698=DIRECTION('center_axis',(0.,-1.,0.)); #402699=DIRECTION('ref_axis',(1.,0.,0.)); #402700=DIRECTION('',(1.,0.,0.)); #402701=DIRECTION('',(1.,0.,0.)); #402702=DIRECTION('',(0.,0.,1.)); #402703=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #402704=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #402705=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #402706=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #402707=DIRECTION('',(0.,0.,1.)); #402708=DIRECTION('center_axis',(1.,0.,0.)); #402709=DIRECTION('ref_axis',(0.,1.,0.)); #402710=DIRECTION('',(0.,1.,0.)); #402711=DIRECTION('',(0.,1.,0.)); #402712=DIRECTION('',(0.,0.,1.)); #402713=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #402714=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #402715=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #402716=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #402717=DIRECTION('',(0.,0.,1.)); #402718=DIRECTION('center_axis',(0.850871695642028,-0.525373540973715,0.)); #402719=DIRECTION('ref_axis',(0.525373540973715,0.850871695642028,0.)); #402720=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #402721=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #402722=DIRECTION('',(0.,0.,1.)); #402723=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #402724=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #402725=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #402726=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #402727=DIRECTION('',(0.,0.,1.)); #402728=DIRECTION('center_axis',(0.214642857802378,-0.976692604453637,0.)); #402729=DIRECTION('ref_axis',(0.976692604453637,0.214642857802378,0.)); #402730=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #402731=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #402732=DIRECTION('',(0.,0.,1.)); #402733=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #402734=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #402735=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #402736=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #402737=DIRECTION('',(0.,0.,1.)); #402738=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #402739=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #402740=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #402741=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #402742=DIRECTION('',(0.,0.,1.)); #402743=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402744=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402745=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402746=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402747=DIRECTION('',(0.,0.,1.)); #402748=DIRECTION('center_axis',(0.,-1.,0.)); #402749=DIRECTION('ref_axis',(1.,0.,0.)); #402750=DIRECTION('',(1.,0.,0.)); #402751=DIRECTION('',(1.,0.,0.)); #402752=DIRECTION('',(0.,0.,1.)); #402753=DIRECTION('center_axis',(-0.183305290257387,-0.983056036329392, 0.)); #402754=DIRECTION('ref_axis',(0.983056036329392,-0.183305290257387,0.)); #402755=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #402756=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #402757=DIRECTION('',(0.,0.,1.)); #402758=DIRECTION('center_axis',(-0.53891146674598,-0.842362410729311,0.)); #402759=DIRECTION('ref_axis',(0.842362410729311,-0.53891146674598,0.)); #402760=DIRECTION('',(0.842362410729311,-0.53891146674598,0.)); #402761=DIRECTION('',(0.842362410729311,-0.53891146674598,0.)); #402762=DIRECTION('',(0.,0.,1.)); #402763=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #402764=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #402765=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #402766=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #402767=DIRECTION('',(0.,0.,1.)); #402768=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #402769=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #402770=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #402771=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #402772=DIRECTION('',(0.,0.,1.)); #402773=DIRECTION('center_axis',(-1.,0.,0.)); #402774=DIRECTION('ref_axis',(0.,-1.,0.)); #402775=DIRECTION('',(0.,-1.,0.)); #402776=DIRECTION('',(0.,-1.,0.)); #402777=DIRECTION('',(0.,0.,1.)); #402778=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402779=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402780=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402781=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402782=DIRECTION('',(0.,0.,1.)); #402783=DIRECTION('center_axis',(0.,-1.,0.)); #402784=DIRECTION('ref_axis',(1.,0.,0.)); #402785=DIRECTION('',(1.,0.,0.)); #402786=DIRECTION('',(1.,0.,0.)); #402787=DIRECTION('',(0.,0.,1.)); #402788=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #402789=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #402790=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #402791=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #402792=DIRECTION('',(0.,0.,1.)); #402793=DIRECTION('center_axis',(1.,0.,0.)); #402794=DIRECTION('ref_axis',(0.,1.,0.)); #402795=DIRECTION('',(0.,1.,0.)); #402796=DIRECTION('',(0.,1.,0.)); #402797=DIRECTION('',(0.,0.,1.)); #402798=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #402799=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #402800=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #402801=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #402802=DIRECTION('',(0.,0.,1.)); #402803=DIRECTION('center_axis',(0.,1.,0.)); #402804=DIRECTION('ref_axis',(-1.,0.,0.)); #402805=DIRECTION('',(-1.,0.,0.)); #402806=DIRECTION('',(-1.,0.,0.)); #402807=DIRECTION('',(0.,0.,1.)); #402808=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #402809=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #402810=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #402811=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #402812=DIRECTION('',(0.,0.,1.)); #402813=DIRECTION('center_axis',(-1.,0.,0.)); #402814=DIRECTION('ref_axis',(0.,-1.,0.)); #402815=DIRECTION('',(0.,-1.,0.)); #402816=DIRECTION('',(0.,-1.,0.)); #402817=DIRECTION('',(0.,0.,1.)); #402818=DIRECTION('center_axis',(-0.983056036329426,0.183305290257204,0.)); #402819=DIRECTION('ref_axis',(-0.183305290257204,-0.983056036329426,0.)); #402820=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #402821=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #402822=DIRECTION('',(0.,0.,1.)); #402823=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #402824=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #402825=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #402826=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #402827=DIRECTION('',(0.,0.,1.)); #402828=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #402829=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #402830=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #402831=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #402832=DIRECTION('',(0.,0.,1.)); #402833=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #402834=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #402835=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #402836=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #402837=DIRECTION('',(0.,0.,1.)); #402838=DIRECTION('center_axis',(0.,1.,0.)); #402839=DIRECTION('ref_axis',(-1.,0.,0.)); #402840=DIRECTION('',(-1.,0.,0.)); #402841=DIRECTION('',(-1.,0.,0.)); #402842=DIRECTION('',(0.,0.,1.)); #402843=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #402844=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #402845=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #402846=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #402847=DIRECTION('',(0.,0.,1.)); #402848=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #402849=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #402850=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #402851=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #402852=DIRECTION('',(0.,0.,1.)); #402853=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402854=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402855=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402856=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402857=DIRECTION('',(0.,0.,1.)); #402858=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #402859=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #402860=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #402861=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #402862=DIRECTION('',(0.,0.,1.)); #402863=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #402864=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #402865=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #402866=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #402867=DIRECTION('',(0.,0.,1.)); #402868=DIRECTION('center_axis',(1.,0.,0.)); #402869=DIRECTION('ref_axis',(0.,1.,0.)); #402870=DIRECTION('',(0.,1.,0.)); #402871=DIRECTION('',(0.,1.,0.)); #402872=DIRECTION('',(0.,0.,1.)); #402873=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #402874=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #402875=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #402876=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #402877=DIRECTION('',(0.,0.,1.)); #402878=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #402879=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #402880=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #402881=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #402882=DIRECTION('',(0.,0.,1.)); #402883=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402884=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402885=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #402886=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #402887=DIRECTION('',(0.,0.,1.)); #402888=DIRECTION('center_axis',(0.558946331949003,-0.829203833807318,0.)); #402889=DIRECTION('ref_axis',(0.829203833807318,0.558946331949003,0.)); #402890=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #402891=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #402892=DIRECTION('',(0.,0.,1.)); #402893=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #402894=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #402895=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #402896=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #402897=DIRECTION('',(0.,0.,1.)); #402898=DIRECTION('center_axis',(0.,-1.,0.)); #402899=DIRECTION('ref_axis',(1.,0.,0.)); #402900=DIRECTION('',(1.,0.,0.)); #402901=DIRECTION('',(1.,0.,0.)); #402902=DIRECTION('',(0.,0.,1.)); #402903=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402904=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402905=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #402906=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #402907=DIRECTION('',(0.,0.,1.)); #402908=DIRECTION('center_axis',(1.,0.,0.)); #402909=DIRECTION('ref_axis',(0.,1.,0.)); #402910=DIRECTION('',(0.,1.,0.)); #402911=DIRECTION('',(0.,1.,0.)); #402912=DIRECTION('',(0.,0.,1.)); #402913=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402914=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402915=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402916=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402917=DIRECTION('',(0.,0.,1.)); #402918=DIRECTION('center_axis',(0.,1.,0.)); #402919=DIRECTION('ref_axis',(-1.,0.,0.)); #402920=DIRECTION('',(-1.,0.,0.)); #402921=DIRECTION('',(-1.,0.,0.)); #402922=DIRECTION('',(0.,0.,1.)); #402923=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #402924=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #402925=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #402926=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #402927=DIRECTION('',(0.,0.,1.)); #402928=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #402929=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #402930=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #402931=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #402932=DIRECTION('',(0.,0.,1.)); #402933=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402934=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402935=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402936=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402937=DIRECTION('',(0.,0.,1.)); #402938=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #402939=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #402940=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #402941=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #402942=DIRECTION('',(0.,0.,1.)); #402943=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #402944=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #402945=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #402946=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #402947=DIRECTION('',(0.,0.,1.)); #402948=DIRECTION('center_axis',(1.,0.,0.)); #402949=DIRECTION('ref_axis',(0.,1.,0.)); #402950=DIRECTION('',(0.,1.,0.)); #402951=DIRECTION('',(0.,1.,0.)); #402952=DIRECTION('',(0.,0.,1.)); #402953=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #402954=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #402955=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #402956=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #402957=DIRECTION('',(0.,0.,1.)); #402958=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #402959=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #402960=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #402961=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #402962=DIRECTION('',(0.,0.,1.)); #402963=DIRECTION('center_axis',(0.578461888589193,-0.815709411156831,0.)); #402964=DIRECTION('ref_axis',(0.815709411156831,0.578461888589193,0.)); #402965=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #402966=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #402967=DIRECTION('',(0.,0.,1.)); #402968=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #402969=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #402970=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #402971=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #402972=DIRECTION('',(0.,0.,1.)); #402973=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #402974=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #402975=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #402976=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #402977=DIRECTION('',(0.,0.,1.)); #402978=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #402979=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #402980=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #402981=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #402982=DIRECTION('',(0.,0.,1.)); #402983=DIRECTION('center_axis',(-0.707102206471886,-0.707111355871613, 0.)); #402984=DIRECTION('ref_axis',(0.707111355871613,-0.707102206471886,0.)); #402985=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #402986=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #402987=DIRECTION('',(0.,0.,1.)); #402988=DIRECTION('center_axis',(0.,-1.,0.)); #402989=DIRECTION('ref_axis',(1.,0.,0.)); #402990=DIRECTION('',(1.,0.,0.)); #402991=DIRECTION('',(1.,0.,0.)); #402992=DIRECTION('',(0.,0.,1.)); #402993=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402994=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402995=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402996=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402997=DIRECTION('',(0.,0.,1.)); #402998=DIRECTION('center_axis',(1.,0.,0.)); #402999=DIRECTION('ref_axis',(0.,1.,0.)); #403000=DIRECTION('',(0.,1.,0.)); #403001=DIRECTION('',(0.,1.,0.)); #403002=DIRECTION('',(0.,0.,1.)); #403003=DIRECTION('center_axis',(0.98156086525294,-0.191149856928798,0.)); #403004=DIRECTION('ref_axis',(0.191149856928798,0.98156086525294,0.)); #403005=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #403006=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #403007=DIRECTION('',(0.,0.,1.)); #403008=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #403009=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #403010=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #403011=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #403012=DIRECTION('',(0.,0.,1.)); #403013=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #403014=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #403015=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #403016=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #403017=DIRECTION('',(0.,0.,1.)); #403018=DIRECTION('center_axis',(1.,0.,0.)); #403019=DIRECTION('ref_axis',(0.,1.,0.)); #403020=DIRECTION('',(0.,1.,0.)); #403021=DIRECTION('',(0.,1.,0.)); #403022=DIRECTION('',(0.,0.,1.)); #403023=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #403024=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #403025=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #403026=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #403027=DIRECTION('',(0.,0.,1.)); #403028=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #403029=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #403030=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #403031=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #403032=DIRECTION('',(0.,0.,1.)); #403033=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #403034=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #403035=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #403036=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #403037=DIRECTION('',(0.,0.,1.)); #403038=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #403039=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #403040=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #403041=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #403042=DIRECTION('',(0.,0.,1.)); #403043=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #403044=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #403045=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #403046=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #403047=DIRECTION('',(0.,0.,1.)); #403048=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #403049=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #403050=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #403051=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #403052=DIRECTION('',(0.,0.,1.)); #403053=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #403054=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #403055=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #403056=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #403057=DIRECTION('',(0.,0.,1.)); #403058=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #403059=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #403060=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #403061=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #403062=DIRECTION('',(0.,0.,1.)); #403063=DIRECTION('center_axis',(0.,-1.,0.)); #403064=DIRECTION('ref_axis',(1.,0.,0.)); #403065=DIRECTION('',(1.,0.,0.)); #403066=DIRECTION('',(1.,0.,0.)); #403067=DIRECTION('',(0.,0.,1.)); #403068=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #403069=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #403070=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #403071=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #403072=DIRECTION('',(0.,0.,1.)); #403073=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403074=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403075=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403076=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403077=DIRECTION('',(0.,0.,1.)); #403078=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #403079=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #403080=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #403081=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #403082=DIRECTION('',(0.,0.,1.)); #403083=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #403084=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #403085=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #403086=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #403087=DIRECTION('',(0.,0.,1.)); #403088=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #403089=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #403090=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #403091=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #403092=DIRECTION('',(0.,0.,1.)); #403093=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #403094=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #403095=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #403096=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #403097=DIRECTION('',(0.,0.,1.)); #403098=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #403099=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #403100=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #403101=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #403102=DIRECTION('',(0.,0.,1.)); #403103=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #403104=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #403105=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #403106=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #403107=DIRECTION('',(0.,0.,1.)); #403108=DIRECTION('center_axis',(-1.,0.,0.)); #403109=DIRECTION('ref_axis',(0.,-1.,0.)); #403110=DIRECTION('',(0.,-1.,0.)); #403111=DIRECTION('',(0.,-1.,0.)); #403112=DIRECTION('',(0.,0.,1.)); #403113=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #403114=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #403115=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #403116=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #403117=DIRECTION('',(0.,0.,1.)); #403118=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #403119=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #403120=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #403121=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #403122=DIRECTION('',(0.,0.,1.)); #403123=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #403124=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #403125=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403126=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403127=DIRECTION('',(0.,0.,1.)); #403128=DIRECTION('center_axis',(-1.,0.,0.)); #403129=DIRECTION('ref_axis',(0.,-1.,0.)); #403130=DIRECTION('',(0.,-1.,0.)); #403131=DIRECTION('',(0.,-1.,0.)); #403132=DIRECTION('',(0.,0.,1.)); #403133=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403134=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403135=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403136=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403137=DIRECTION('',(0.,0.,1.)); #403138=DIRECTION('center_axis',(0.,-1.,0.)); #403139=DIRECTION('ref_axis',(1.,0.,0.)); #403140=DIRECTION('',(1.,0.,0.)); #403141=DIRECTION('',(1.,0.,0.)); #403142=DIRECTION('',(0.,0.,1.)); #403143=DIRECTION('center_axis',(0.707102206471886,-0.707111355871613,0.)); #403144=DIRECTION('ref_axis',(0.707111355871613,0.707102206471886,0.)); #403145=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #403146=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #403147=DIRECTION('',(0.,0.,1.)); #403148=DIRECTION('center_axis',(0.572058771549358,-0.820212632122573,0.)); #403149=DIRECTION('ref_axis',(0.820212632122573,0.572058771549358,0.)); #403150=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #403151=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #403152=DIRECTION('',(0.,0.,1.)); #403153=DIRECTION('center_axis',(0.23019690701918,-0.973144071553027,0.)); #403154=DIRECTION('ref_axis',(0.973144071553027,0.23019690701918,0.)); #403155=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #403156=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #403157=DIRECTION('',(0.,0.,1.)); #403158=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #403159=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #403160=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #403161=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #403162=DIRECTION('',(0.,0.,1.)); #403163=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #403164=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #403165=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403166=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403167=DIRECTION('',(0.,0.,1.)); #403168=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #403169=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #403170=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #403171=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #403172=DIRECTION('',(0.,0.,1.)); #403173=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #403174=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #403175=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403176=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403177=DIRECTION('',(0.,0.,1.)); #403178=DIRECTION('center_axis',(-1.,0.,0.)); #403179=DIRECTION('ref_axis',(0.,-1.,0.)); #403180=DIRECTION('',(0.,-1.,0.)); #403181=DIRECTION('',(0.,-1.,0.)); #403182=DIRECTION('',(0.,0.,1.)); #403183=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #403184=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #403185=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #403186=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #403187=DIRECTION('',(0.,0.,1.)); #403188=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #403189=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #403190=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #403191=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #403192=DIRECTION('',(0.,0.,1.)); #403193=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403194=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403195=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403196=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403197=DIRECTION('',(0.,0.,1.)); #403198=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #403199=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #403200=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #403201=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #403202=DIRECTION('',(0.,0.,1.)); #403203=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #403204=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #403205=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #403206=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #403207=DIRECTION('',(0.,0.,1.)); #403208=DIRECTION('center_axis',(0.,1.,0.)); #403209=DIRECTION('ref_axis',(-1.,0.,0.)); #403210=DIRECTION('',(-1.,0.,0.)); #403211=DIRECTION('',(-1.,0.,0.)); #403212=DIRECTION('',(0.,0.,1.)); #403213=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403214=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403215=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #403216=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #403217=DIRECTION('',(0.,0.,1.)); #403218=DIRECTION('center_axis',(-1.,0.,0.)); #403219=DIRECTION('ref_axis',(0.,-1.,0.)); #403220=DIRECTION('',(0.,-1.,0.)); #403221=DIRECTION('',(0.,-1.,0.)); #403222=DIRECTION('',(0.,0.,1.)); #403223=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403224=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403225=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403226=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403227=DIRECTION('',(0.,0.,1.)); #403228=DIRECTION('center_axis',(0.,-1.,0.)); #403229=DIRECTION('ref_axis',(1.,0.,0.)); #403230=DIRECTION('',(1.,0.,0.)); #403231=DIRECTION('',(1.,0.,0.)); #403232=DIRECTION('',(0.,0.,1.)); #403233=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #403234=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #403235=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #403236=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #403237=DIRECTION('',(0.,0.,1.)); #403238=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #403239=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #403240=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #403241=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #403242=DIRECTION('',(0.,0.,1.)); #403243=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #403244=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #403245=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #403246=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #403247=DIRECTION('',(0.,0.,1.)); #403248=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #403249=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #403250=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #403251=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #403252=DIRECTION('',(0.,0.,1.)); #403253=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #403254=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #403255=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #403256=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #403257=DIRECTION('',(0.,0.,1.)); #403258=DIRECTION('center_axis',(-1.,0.,0.)); #403259=DIRECTION('ref_axis',(0.,-1.,0.)); #403260=DIRECTION('',(0.,-1.,0.)); #403261=DIRECTION('',(0.,-1.,0.)); #403262=DIRECTION('',(0.,0.,1.)); #403263=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #403264=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #403265=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #403266=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #403267=DIRECTION('',(0.,0.,1.)); #403268=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #403269=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #403270=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #403271=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #403272=DIRECTION('',(0.,0.,1.)); #403273=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403274=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403275=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403276=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403277=DIRECTION('',(0.,0.,1.)); #403278=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #403279=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #403280=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #403281=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #403282=DIRECTION('',(0.,0.,1.)); #403283=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #403284=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #403285=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #403286=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #403287=DIRECTION('',(0.,0.,1.)); #403288=DIRECTION('center_axis',(0.,1.,0.)); #403289=DIRECTION('ref_axis',(-1.,0.,0.)); #403290=DIRECTION('',(-1.,0.,0.)); #403291=DIRECTION('',(-1.,0.,0.)); #403292=DIRECTION('',(0.,0.,1.)); #403293=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #403294=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #403295=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #403296=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #403297=DIRECTION('',(0.,0.,1.)); #403298=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #403299=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #403300=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #403301=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #403302=DIRECTION('',(0.,0.,1.)); #403303=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #403304=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #403305=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #403306=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #403307=DIRECTION('',(0.,0.,1.)); #403308=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #403309=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #403310=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #403311=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #403312=DIRECTION('',(0.,0.,1.)); #403313=DIRECTION('center_axis',(1.,0.,0.)); #403314=DIRECTION('ref_axis',(0.,1.,0.)); #403315=DIRECTION('',(0.,1.,0.)); #403316=DIRECTION('',(0.,1.,0.)); #403317=DIRECTION('',(0.,0.,1.)); #403318=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #403319=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #403320=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #403321=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #403322=DIRECTION('',(0.,0.,1.)); #403323=DIRECTION('center_axis',(0.,1.,0.)); #403324=DIRECTION('ref_axis',(-1.,0.,0.)); #403325=DIRECTION('',(-1.,0.,0.)); #403326=DIRECTION('',(-1.,0.,0.)); #403327=DIRECTION('',(0.,0.,1.)); #403328=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #403329=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #403330=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #403331=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #403332=DIRECTION('',(0.,0.,1.)); #403333=DIRECTION('center_axis',(-1.,0.,0.)); #403334=DIRECTION('ref_axis',(0.,-1.,0.)); #403335=DIRECTION('',(0.,-1.,0.)); #403336=DIRECTION('',(0.,-1.,0.)); #403337=DIRECTION('',(0.,0.,1.)); #403338=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403339=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403340=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403341=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403342=DIRECTION('',(0.,0.,1.)); #403343=DIRECTION('center_axis',(0.,-1.,0.)); #403344=DIRECTION('ref_axis',(1.,0.,0.)); #403345=DIRECTION('',(1.,0.,0.)); #403346=DIRECTION('',(1.,0.,0.)); #403347=DIRECTION('',(0.,0.,1.)); #403348=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #403349=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #403350=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403351=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403352=DIRECTION('',(0.,0.,1.)); #403353=DIRECTION('center_axis',(1.,0.,0.)); #403354=DIRECTION('ref_axis',(0.,1.,0.)); #403355=DIRECTION('',(0.,1.,0.)); #403356=DIRECTION('',(0.,1.,0.)); #403357=DIRECTION('',(0.,0.,1.)); #403358=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #403359=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #403360=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #403361=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #403362=DIRECTION('',(0.,0.,1.)); #403363=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #403364=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #403365=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #403366=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #403367=DIRECTION('',(0.,0.,1.)); #403368=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #403369=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #403370=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #403371=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #403372=DIRECTION('',(0.,0.,1.)); #403373=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #403374=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #403375=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #403376=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #403377=DIRECTION('',(0.,0.,1.)); #403378=DIRECTION('center_axis',(0.,-1.,0.)); #403379=DIRECTION('ref_axis',(1.,0.,0.)); #403380=DIRECTION('',(1.,0.,0.)); #403381=DIRECTION('',(1.,0.,0.)); #403382=DIRECTION('',(0.,0.,1.)); #403383=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403384=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403385=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #403386=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #403387=DIRECTION('',(0.,0.,1.)); #403388=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #403389=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #403390=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #403391=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #403392=DIRECTION('',(0.,0.,1.)); #403393=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #403394=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #403395=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #403396=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #403397=DIRECTION('',(0.,0.,1.)); #403398=DIRECTION('center_axis',(-0.167643887112495,-0.985847618607365, 0.)); #403399=DIRECTION('ref_axis',(0.985847618607365,-0.167643887112495,0.)); #403400=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #403401=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #403402=DIRECTION('',(0.,0.,1.)); #403403=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #403404=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #403405=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403406=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403407=DIRECTION('',(0.,0.,1.)); #403408=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #403409=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #403410=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #403411=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #403412=DIRECTION('',(0.,0.,1.)); #403413=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #403414=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #403415=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403416=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403417=DIRECTION('',(0.,0.,1.)); #403418=DIRECTION('center_axis',(-1.,0.,0.)); #403419=DIRECTION('ref_axis',(0.,-1.,0.)); #403420=DIRECTION('',(0.,-1.,0.)); #403421=DIRECTION('',(0.,-1.,0.)); #403422=DIRECTION('',(0.,0.,1.)); #403423=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #403424=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #403425=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #403426=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #403427=DIRECTION('',(0.,0.,1.)); #403428=DIRECTION('center_axis',(0.,-1.,0.)); #403429=DIRECTION('ref_axis',(1.,0.,0.)); #403430=DIRECTION('',(1.,0.,0.)); #403431=DIRECTION('',(1.,0.,0.)); #403432=DIRECTION('',(0.,0.,1.)); #403433=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #403434=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #403435=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #403436=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #403437=DIRECTION('',(0.,0.,1.)); #403438=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #403439=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #403440=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #403441=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #403442=DIRECTION('',(0.,0.,1.)); #403443=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #403444=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #403445=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #403446=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #403447=DIRECTION('',(0.,0.,1.)); #403448=DIRECTION('center_axis',(0.,-1.,0.)); #403449=DIRECTION('ref_axis',(1.,0.,0.)); #403450=DIRECTION('',(1.,0.,0.)); #403451=DIRECTION('',(1.,0.,0.)); #403452=DIRECTION('',(0.,0.,1.)); #403453=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #403454=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #403455=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #403456=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #403457=DIRECTION('',(0.,0.,1.)); #403458=DIRECTION('center_axis',(0.983052942270862,-0.183321882743445,0.)); #403459=DIRECTION('ref_axis',(0.183321882743445,0.983052942270862,0.)); #403460=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #403461=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #403462=DIRECTION('',(0.,0.,1.)); #403463=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #403464=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #403465=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #403466=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #403467=DIRECTION('',(0.,0.,1.)); #403468=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #403469=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #403470=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #403471=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #403472=DIRECTION('',(0.,0.,1.)); #403473=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #403474=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #403475=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #403476=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #403477=DIRECTION('',(0.,0.,1.)); #403478=DIRECTION('center_axis',(0.,-1.,0.)); #403479=DIRECTION('ref_axis',(1.,0.,0.)); #403480=DIRECTION('',(1.,0.,0.)); #403481=DIRECTION('',(1.,0.,0.)); #403482=DIRECTION('',(0.,0.,1.)); #403483=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #403484=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #403485=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #403486=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #403487=DIRECTION('',(0.,0.,1.)); #403488=DIRECTION('center_axis',(0.312935976921665,-0.949774222827764,0.)); #403489=DIRECTION('ref_axis',(0.949774222827764,0.312935976921665,0.)); #403490=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #403491=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #403492=DIRECTION('',(0.,0.,1.)); #403493=DIRECTION('center_axis',(0.506095628368247,-0.862477370686646,0.)); #403494=DIRECTION('ref_axis',(0.862477370686645,0.506095628368247,0.)); #403495=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #403496=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #403497=DIRECTION('',(0.,0.,1.)); #403498=DIRECTION('center_axis',(0.652508824452436,-0.757781125399478,0.)); #403499=DIRECTION('ref_axis',(0.757781125399478,0.652508824452436,0.)); #403500=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #403501=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #403502=DIRECTION('',(0.,0.,1.)); #403503=DIRECTION('center_axis',(0.707106983782849,-0.707106578590188,0.)); #403504=DIRECTION('ref_axis',(0.707106578590188,0.707106983782849,0.)); #403505=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #403506=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #403507=DIRECTION('',(0.,0.,1.)); #403508=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #403509=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #403510=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #403511=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #403512=DIRECTION('',(0.,0.,1.)); #403513=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #403514=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #403515=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #403516=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #403517=DIRECTION('',(0.,0.,1.)); #403518=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #403519=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #403520=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #403521=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #403522=DIRECTION('',(0.,0.,1.)); #403523=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #403524=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #403525=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #403526=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #403527=DIRECTION('',(0.,0.,1.)); #403528=DIRECTION('center_axis',(1.,0.,0.)); #403529=DIRECTION('ref_axis',(0.,1.,0.)); #403530=DIRECTION('',(0.,1.,0.)); #403531=DIRECTION('',(0.,1.,0.)); #403532=DIRECTION('',(0.,0.,1.)); #403533=DIRECTION('center_axis',(0.983056036329391,-0.183305290257396,0.)); #403534=DIRECTION('ref_axis',(0.183305290257396,0.983056036329391,0.)); #403535=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #403536=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #403537=DIRECTION('',(0.,0.,1.)); #403538=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #403539=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #403540=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #403541=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #403542=DIRECTION('',(0.,0.,1.)); #403543=DIRECTION('center_axis',(0.565504004715196,-0.824745549033807,0.)); #403544=DIRECTION('ref_axis',(0.824745549033807,0.565504004715196,0.)); #403545=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #403546=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #403547=DIRECTION('',(0.,0.,1.)); #403548=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #403549=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #403550=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403551=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #403552=DIRECTION('',(0.,0.,1.)); #403553=DIRECTION('center_axis',(0.,-1.,0.)); #403554=DIRECTION('ref_axis',(1.,0.,0.)); #403555=DIRECTION('',(1.,0.,0.)); #403556=DIRECTION('',(1.,0.,0.)); #403557=DIRECTION('',(0.,0.,1.)); #403558=DIRECTION('center_axis',(0.105885061288698,-0.994378375567313,0.)); #403559=DIRECTION('ref_axis',(0.994378375567313,0.105885061288698,0.)); #403560=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #403561=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #403562=DIRECTION('',(0.,0.,1.)); #403563=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #403564=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #403565=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #403566=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #403567=DIRECTION('',(0.,0.,1.)); #403568=DIRECTION('center_axis',(0.506057198050692,-0.862499920174537,0.)); #403569=DIRECTION('ref_axis',(0.862499920174537,0.506057198050692,0.)); #403570=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #403571=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #403572=DIRECTION('',(0.,0.,1.)); #403573=DIRECTION('center_axis',(0.676309465188533,-0.73661761267051,0.)); #403574=DIRECTION('ref_axis',(0.736617612670509,0.676309465188533,0.)); #403575=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #403576=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #403577=DIRECTION('',(0.,0.,1.)); #403578=DIRECTION('center_axis',(0.816318974247523,-0.577601361047108,0.)); #403579=DIRECTION('ref_axis',(0.577601361047108,0.816318974247523,0.)); #403580=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #403581=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #403582=DIRECTION('',(0.,0.,1.)); #403583=DIRECTION('center_axis',(0.919633143145591,-0.392778413393812,0.)); #403584=DIRECTION('ref_axis',(0.392778413393812,0.919633143145591,0.)); #403585=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #403586=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #403587=DIRECTION('',(0.,0.,1.)); #403588=DIRECTION('center_axis',(0.988961531561696,-0.148172497755637,0.)); #403589=DIRECTION('ref_axis',(0.148172497755637,0.988961531561696,0.)); #403590=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #403591=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #403592=DIRECTION('',(0.,0.,1.)); #403593=DIRECTION('center_axis',(1.,0.,0.)); #403594=DIRECTION('ref_axis',(0.,1.,0.)); #403595=DIRECTION('',(0.,1.,0.)); #403596=DIRECTION('',(0.,1.,0.)); #403597=DIRECTION('',(0.,0.,1.)); #403598=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #403599=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #403600=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #403601=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #403602=DIRECTION('',(0.,0.,1.)); #403603=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #403604=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #403605=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #403606=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #403607=DIRECTION('',(0.,0.,1.)); #403608=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #403609=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #403610=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #403611=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #403612=DIRECTION('',(0.,0.,1.)); #403613=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #403614=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #403615=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #403616=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #403617=DIRECTION('',(0.,0.,1.)); #403618=DIRECTION('center_axis',(0.,-1.,0.)); #403619=DIRECTION('ref_axis',(1.,0.,0.)); #403620=DIRECTION('',(1.,0.,0.)); #403621=DIRECTION('',(1.,0.,0.)); #403622=DIRECTION('',(0.,0.,1.)); #403623=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #403624=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #403625=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #403626=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #403627=DIRECTION('',(0.,0.,1.)); #403628=DIRECTION('center_axis',(-0.538911466745881,-0.842362410729375, 0.)); #403629=DIRECTION('ref_axis',(0.842362410729375,-0.538911466745881,0.)); #403630=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #403631=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #403632=DIRECTION('',(0.,0.,1.)); #403633=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #403634=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #403635=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #403636=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #403637=DIRECTION('',(0.,0.,1.)); #403638=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #403639=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #403640=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #403641=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #403642=DIRECTION('',(0.,0.,1.)); #403643=DIRECTION('center_axis',(-1.,0.,0.)); #403644=DIRECTION('ref_axis',(0.,-1.,0.)); #403645=DIRECTION('',(0.,-1.,0.)); #403646=DIRECTION('',(0.,-1.,0.)); #403647=DIRECTION('',(0.,0.,1.)); #403648=DIRECTION('center_axis',(-0.994378375567341,-0.10588506128844,0.)); #403649=DIRECTION('ref_axis',(0.10588506128844,-0.994378375567341,0.)); #403650=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #403651=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #403652=DIRECTION('',(0.,0.,1.)); #403653=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #403654=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #403655=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #403656=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #403657=DIRECTION('',(0.,0.,1.)); #403658=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #403659=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #403660=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #403661=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #403662=DIRECTION('',(0.,0.,1.)); #403663=DIRECTION('center_axis',(-0.736638945379662,-0.676286229454615, 0.)); #403664=DIRECTION('ref_axis',(0.676286229454615,-0.736638945379662,0.)); #403665=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #403666=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #403667=DIRECTION('',(0.,0.,1.)); #403668=DIRECTION('center_axis',(-0.577570241664954,-0.816340992443162, 0.)); #403669=DIRECTION('ref_axis',(0.816340992443162,-0.577570241664954,0.)); #403670=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #403671=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #403672=DIRECTION('',(0.,0.,1.)); #403673=DIRECTION('center_axis',(-0.392778413393378,-0.919633143145777, 0.)); #403674=DIRECTION('ref_axis',(0.919633143145777,-0.392778413393378,0.)); #403675=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #403676=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #403677=DIRECTION('',(0.,0.,1.)); #403678=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #403679=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #403680=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #403681=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #403682=DIRECTION('',(0.,0.,1.)); #403683=DIRECTION('center_axis',(0.,-1.,0.)); #403684=DIRECTION('ref_axis',(1.,0.,0.)); #403685=DIRECTION('',(1.,0.,0.)); #403686=DIRECTION('',(1.,0.,0.)); #403687=DIRECTION('',(0.,0.,1.)); #403688=DIRECTION('center_axis',(0.105885061288689,-0.994378375567314,0.)); #403689=DIRECTION('ref_axis',(0.994378375567314,0.105885061288689,0.)); #403690=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #403691=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #403692=DIRECTION('',(0.,0.,1.)); #403693=DIRECTION('center_axis',(0.31293597692126,-0.949774222827898,0.)); #403694=DIRECTION('ref_axis',(0.949774222827897,0.31293597692126,0.)); #403695=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #403696=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #403697=DIRECTION('',(0.,0.,1.)); #403698=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #403699=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #403700=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #403701=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #403702=DIRECTION('',(0.,0.,1.)); #403703=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #403704=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #403705=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #403706=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #403707=DIRECTION('',(0.,0.,1.)); #403708=DIRECTION('center_axis',(0.707107039233482,-0.707106523139519,0.)); #403709=DIRECTION('ref_axis',(0.707106523139519,0.707107039233482,0.)); #403710=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #403711=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #403712=DIRECTION('',(0.,0.,1.)); #403713=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #403714=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #403715=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #403716=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #403717=DIRECTION('',(0.,0.,1.)); #403718=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #403719=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #403720=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #403721=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #403722=DIRECTION('',(0.,0.,1.)); #403723=DIRECTION('center_axis',(0.967662278077973,-0.25224931235773,0.)); #403724=DIRECTION('ref_axis',(0.25224931235773,0.967662278077973,0.)); #403725=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #403726=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #403727=DIRECTION('',(0.,0.,1.)); #403728=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #403729=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #403730=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #403731=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #403732=DIRECTION('',(0.,0.,1.)); #403733=DIRECTION('center_axis',(1.,0.,0.)); #403734=DIRECTION('ref_axis',(0.,1.,0.)); #403735=DIRECTION('',(0.,1.,0.)); #403736=DIRECTION('',(0.,1.,0.)); #403737=DIRECTION('',(0.,0.,1.)); #403738=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #403739=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #403740=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #403741=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #403742=DIRECTION('',(0.,0.,1.)); #403743=DIRECTION('center_axis',(0.949761034136728,0.312976002331701,0.)); #403744=DIRECTION('ref_axis',(-0.312976002331701,0.949761034136728,0.)); #403745=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #403746=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #403747=DIRECTION('',(0.,0.,1.)); #403748=DIRECTION('center_axis',(0.847486929710934,0.530816261967486,0.)); #403749=DIRECTION('ref_axis',(-0.530816261967486,0.847486929710934,0.)); #403750=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #403751=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #403752=DIRECTION('',(0.,0.,1.)); #403753=DIRECTION('center_axis',(0.768221251274941,0.6401844336514,0.)); #403754=DIRECTION('ref_axis',(-0.6401844336514,0.768221251274941,0.)); #403755=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #403756=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #403757=DIRECTION('',(0.,0.,1.)); #403758=DIRECTION('center_axis',(0.853663582771797,0.520824814548251,0.)); #403759=DIRECTION('ref_axis',(-0.520824814548251,0.853663582771797,0.)); #403760=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #403761=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #403762=DIRECTION('',(0.,0.,1.)); #403763=DIRECTION('center_axis',(0.980008419561338,0.198956019232613,0.)); #403764=DIRECTION('ref_axis',(-0.198956019232613,0.980008419561339,0.)); #403765=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #403766=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #403767=DIRECTION('',(0.,0.,1.)); #403768=DIRECTION('center_axis',(1.,0.,0.)); #403769=DIRECTION('ref_axis',(0.,1.,0.)); #403770=DIRECTION('',(0.,1.,0.)); #403771=DIRECTION('',(0.,1.,0.)); #403772=DIRECTION('',(0.,0.,1.)); #403773=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #403774=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #403775=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #403776=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #403777=DIRECTION('',(0.,0.,1.)); #403778=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #403779=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #403780=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #403781=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #403782=DIRECTION('',(0.,0.,1.)); #403783=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #403784=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #403785=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #403786=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #403787=DIRECTION('',(0.,0.,1.)); #403788=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #403789=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #403790=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #403791=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #403792=DIRECTION('',(0.,0.,1.)); #403793=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #403794=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #403795=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #403796=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #403797=DIRECTION('',(0.,0.,1.)); #403798=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #403799=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #403800=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #403801=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #403802=DIRECTION('',(0.,0.,1.)); #403803=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #403804=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #403805=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #403806=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #403807=DIRECTION('',(0.,0.,1.)); #403808=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #403809=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #403810=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #403811=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #403812=DIRECTION('',(0.,0.,1.)); #403813=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #403814=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #403815=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #403816=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #403817=DIRECTION('',(0.,0.,1.)); #403818=DIRECTION('center_axis',(0.,1.,0.)); #403819=DIRECTION('ref_axis',(-1.,0.,0.)); #403820=DIRECTION('',(-1.,0.,0.)); #403821=DIRECTION('',(-1.,0.,0.)); #403822=DIRECTION('',(0.,0.,1.)); #403823=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #403824=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #403825=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #403826=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #403827=DIRECTION('',(0.,0.,1.)); #403828=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #403829=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #403830=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #403831=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #403832=DIRECTION('',(0.,0.,1.)); #403833=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #403834=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #403835=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #403836=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #403837=DIRECTION('',(0.,0.,1.)); #403838=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #403839=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #403840=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #403841=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #403842=DIRECTION('',(0.,0.,1.)); #403843=DIRECTION('center_axis',(-0.707107065139459,0.707106497233522,0.)); #403844=DIRECTION('ref_axis',(-0.707106497233522,-0.707107065139459,0.)); #403845=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #403846=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #403847=DIRECTION('',(0.,0.,1.)); #403848=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #403849=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #403850=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #403851=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #403852=DIRECTION('',(0.,0.,1.)); #403853=DIRECTION('center_axis',(-0.892938781493067,0.450178111979778,0.)); #403854=DIRECTION('ref_axis',(-0.450178111979778,-0.892938781493066,0.)); #403855=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #403856=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #403857=DIRECTION('',(0.,0.,1.)); #403858=DIRECTION('center_axis',(-0.967662278077938,0.252249312357865,0.)); #403859=DIRECTION('ref_axis',(-0.252249312357865,-0.967662278077938,0.)); #403860=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #403861=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #403862=DIRECTION('',(0.,0.,1.)); #403863=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #403864=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #403865=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #403866=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #403867=DIRECTION('',(0.,0.,1.)); #403868=DIRECTION('center_axis',(-1.,0.,0.)); #403869=DIRECTION('ref_axis',(0.,-1.,0.)); #403870=DIRECTION('',(0.,-1.,0.)); #403871=DIRECTION('',(0.,-1.,0.)); #403872=DIRECTION('',(0.,0.,1.)); #403873=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #403874=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #403875=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #403876=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #403877=DIRECTION('',(0.,0.,1.)); #403878=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #403879=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #403880=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #403881=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #403882=DIRECTION('',(0.,0.,1.)); #403883=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403884=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403885=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403886=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403887=DIRECTION('',(0.,0.,1.)); #403888=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #403889=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #403890=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #403891=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #403892=DIRECTION('',(0.,0.,1.)); #403893=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #403894=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #403895=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #403896=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #403897=DIRECTION('',(0.,0.,1.)); #403898=DIRECTION('center_axis',(0.,1.,0.)); #403899=DIRECTION('ref_axis',(-1.,0.,0.)); #403900=DIRECTION('',(-1.,0.,0.)); #403901=DIRECTION('',(-1.,0.,0.)); #403902=DIRECTION('',(0.,0.,1.)); #403903=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #403904=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #403905=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #403906=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #403907=DIRECTION('',(0.,0.,1.)); #403908=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #403909=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #403910=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #403911=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #403912=DIRECTION('',(0.,0.,1.)); #403913=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #403914=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #403915=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #403916=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #403917=DIRECTION('',(0.,0.,1.)); #403918=DIRECTION('center_axis',(0.,1.,0.)); #403919=DIRECTION('ref_axis',(-1.,0.,0.)); #403920=DIRECTION('',(-1.,0.,0.)); #403921=DIRECTION('',(-1.,0.,0.)); #403922=DIRECTION('',(0.,0.,1.)); #403923=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #403924=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #403925=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #403926=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #403927=DIRECTION('',(0.,0.,1.)); #403928=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #403929=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #403930=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #403931=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #403932=DIRECTION('',(0.,0.,1.)); #403933=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #403934=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #403935=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #403936=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #403937=DIRECTION('',(0.,0.,1.)); #403938=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #403939=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #403940=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #403941=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #403942=DIRECTION('',(0.,0.,1.)); #403943=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #403944=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #403945=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #403946=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #403947=DIRECTION('',(0.,0.,1.)); #403948=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #403949=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #403950=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #403951=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #403952=DIRECTION('',(0.,0.,1.)); #403953=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #403954=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #403955=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #403956=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #403957=DIRECTION('',(0.,0.,1.)); #403958=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #403959=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #403960=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #403961=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #403962=DIRECTION('',(0.,0.,1.)); #403963=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #403964=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #403965=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #403966=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #403967=DIRECTION('',(0.,0.,1.)); #403968=DIRECTION('center_axis',(0.,-1.,0.)); #403969=DIRECTION('ref_axis',(1.,0.,0.)); #403970=DIRECTION('',(1.,0.,0.)); #403971=DIRECTION('',(1.,0.,0.)); #403972=DIRECTION('',(0.,0.,1.)); #403973=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403974=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403975=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #403976=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #403977=DIRECTION('',(0.,0.,1.)); #403978=DIRECTION('center_axis',(1.,0.,0.)); #403979=DIRECTION('ref_axis',(0.,1.,0.)); #403980=DIRECTION('',(0.,1.,0.)); #403981=DIRECTION('',(0.,1.,0.)); #403982=DIRECTION('',(0.,0.,1.)); #403983=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #403984=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #403985=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #403986=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #403987=DIRECTION('',(0.,0.,1.)); #403988=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #403989=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #403990=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #403991=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #403992=DIRECTION('',(0.,0.,1.)); #403993=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #403994=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #403995=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #403996=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #403997=DIRECTION('',(0.,0.,1.)); #403998=DIRECTION('center_axis',(1.,0.,0.)); #403999=DIRECTION('ref_axis',(0.,1.,0.)); #404000=DIRECTION('',(0.,1.,0.)); #404001=DIRECTION('',(0.,1.,0.)); #404002=DIRECTION('',(0.,0.,1.)); #404003=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #404004=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #404005=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #404006=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #404007=DIRECTION('',(0.,0.,1.)); #404008=DIRECTION('center_axis',(0.850871695642224,-0.525373540973398,0.)); #404009=DIRECTION('ref_axis',(0.525373540973398,0.850871695642224,0.)); #404010=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #404011=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #404012=DIRECTION('',(0.,0.,1.)); #404013=DIRECTION('center_axis',(0.578483828224848,-0.815693852178821,0.)); #404014=DIRECTION('ref_axis',(0.815693852178821,0.578483828224848,0.)); #404015=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #404016=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #404017=DIRECTION('',(0.,0.,1.)); #404018=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #404019=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #404020=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #404021=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #404022=DIRECTION('',(0.,0.,1.)); #404023=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #404024=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #404025=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #404026=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #404027=DIRECTION('',(0.,0.,1.)); #404028=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #404029=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #404030=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #404031=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #404032=DIRECTION('',(0.,0.,1.)); #404033=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404034=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404035=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404036=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404037=DIRECTION('',(0.,0.,1.)); #404038=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #404039=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #404040=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #404041=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #404042=DIRECTION('',(0.,0.,1.)); #404043=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #404044=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #404045=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404046=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404047=DIRECTION('',(0.,0.,1.)); #404048=DIRECTION('center_axis',(-1.,0.,0.)); #404049=DIRECTION('ref_axis',(0.,-1.,0.)); #404050=DIRECTION('',(0.,-1.,0.)); #404051=DIRECTION('',(0.,-1.,0.)); #404052=DIRECTION('',(0.,0.,1.)); #404053=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404054=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404055=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404056=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404057=DIRECTION('',(0.,0.,1.)); #404058=DIRECTION('center_axis',(0.,-1.,0.)); #404059=DIRECTION('ref_axis',(1.,0.,0.)); #404060=DIRECTION('',(1.,0.,0.)); #404061=DIRECTION('',(1.,0.,0.)); #404062=DIRECTION('',(0.,0.,1.)); #404063=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #404064=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #404065=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #404066=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #404067=DIRECTION('',(0.,0.,1.)); #404068=DIRECTION('center_axis',(1.,0.,0.)); #404069=DIRECTION('ref_axis',(0.,1.,0.)); #404070=DIRECTION('',(0.,1.,0.)); #404071=DIRECTION('',(0.,1.,0.)); #404072=DIRECTION('',(0.,0.,1.)); #404073=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #404074=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #404075=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404076=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404077=DIRECTION('',(0.,0.,1.)); #404078=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #404079=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #404080=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #404081=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #404082=DIRECTION('',(0.,0.,1.)); #404083=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #404084=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #404085=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #404086=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #404087=DIRECTION('',(0.,0.,1.)); #404088=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #404089=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #404090=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404091=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404092=DIRECTION('',(0.,0.,1.)); #404093=DIRECTION('center_axis',(0.,-1.,0.)); #404094=DIRECTION('ref_axis',(1.,0.,0.)); #404095=DIRECTION('',(1.,0.,0.)); #404096=DIRECTION('',(1.,0.,0.)); #404097=DIRECTION('',(0.,0.,1.)); #404098=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #404099=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #404100=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #404101=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #404102=DIRECTION('',(0.,0.,1.)); #404103=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #404104=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #404105=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #404106=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #404107=DIRECTION('',(0.,0.,1.)); #404108=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #404109=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #404110=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #404111=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #404112=DIRECTION('',(0.,0.,1.)); #404113=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #404114=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #404115=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #404116=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #404117=DIRECTION('',(0.,0.,1.)); #404118=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #404119=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #404120=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #404121=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #404122=DIRECTION('',(0.,0.,1.)); #404123=DIRECTION('center_axis',(-1.,0.,0.)); #404124=DIRECTION('ref_axis',(0.,-1.,0.)); #404125=DIRECTION('',(0.,-1.,0.)); #404126=DIRECTION('',(0.,-1.,0.)); #404127=DIRECTION('',(0.,0.,1.)); #404128=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404129=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404130=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404131=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404132=DIRECTION('',(0.,0.,1.)); #404133=DIRECTION('center_axis',(0.,-1.,0.)); #404134=DIRECTION('ref_axis',(1.,0.,0.)); #404135=DIRECTION('',(1.,0.,0.)); #404136=DIRECTION('',(1.,0.,0.)); #404137=DIRECTION('',(0.,0.,1.)); #404138=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #404139=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #404140=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #404141=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #404142=DIRECTION('',(0.,0.,1.)); #404143=DIRECTION('center_axis',(1.,0.,0.)); #404144=DIRECTION('ref_axis',(0.,1.,0.)); #404145=DIRECTION('',(0.,1.,0.)); #404146=DIRECTION('',(0.,1.,0.)); #404147=DIRECTION('',(0.,0.,1.)); #404148=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404149=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404150=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404151=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404152=DIRECTION('',(0.,0.,1.)); #404153=DIRECTION('center_axis',(0.,1.,0.)); #404154=DIRECTION('ref_axis',(-1.,0.,0.)); #404155=DIRECTION('',(-1.,0.,0.)); #404156=DIRECTION('',(-1.,0.,0.)); #404157=DIRECTION('',(0.,0.,1.)); #404158=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #404159=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #404160=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #404161=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #404162=DIRECTION('',(0.,0.,1.)); #404163=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #404164=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #404165=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #404166=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #404167=DIRECTION('',(0.,0.,1.)); #404168=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404169=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404170=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404171=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404172=DIRECTION('',(0.,0.,1.)); #404173=DIRECTION('center_axis',(0.,1.,0.)); #404174=DIRECTION('ref_axis',(-1.,0.,0.)); #404175=DIRECTION('',(-1.,0.,0.)); #404176=DIRECTION('',(-1.,0.,0.)); #404177=DIRECTION('',(0.,0.,1.)); #404178=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #404179=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #404180=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #404181=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #404182=DIRECTION('',(0.,0.,1.)); #404183=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #404184=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #404185=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #404186=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #404187=DIRECTION('',(0.,0.,1.)); #404188=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #404189=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #404190=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #404191=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #404192=DIRECTION('',(0.,0.,1.)); #404193=DIRECTION('center_axis',(0.829203833807388,0.558946331948899,0.)); #404194=DIRECTION('ref_axis',(-0.558946331948899,0.829203833807388,0.)); #404195=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #404196=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #404197=DIRECTION('',(0.,0.,1.)); #404198=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #404199=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #404200=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #404201=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #404202=DIRECTION('',(0.,0.,1.)); #404203=DIRECTION('center_axis',(1.,0.,0.)); #404204=DIRECTION('ref_axis',(0.,1.,0.)); #404205=DIRECTION('',(0.,1.,0.)); #404206=DIRECTION('',(0.,1.,0.)); #404207=DIRECTION('',(0.,0.,1.)); #404208=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #404209=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #404210=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #404211=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #404212=DIRECTION('',(0.,0.,1.)); #404213=DIRECTION('center_axis',(0.842362410729351,-0.538911466745918,0.)); #404214=DIRECTION('ref_axis',(0.538911466745918,0.842362410729351,0.)); #404215=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #404216=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #404217=DIRECTION('',(0.,0.,1.)); #404218=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #404219=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #404220=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #404221=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #404222=DIRECTION('',(0.,0.,1.)); #404223=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #404224=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #404225=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404226=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404227=DIRECTION('',(0.,0.,1.)); #404228=DIRECTION('center_axis',(0.,-1.,0.)); #404229=DIRECTION('ref_axis',(1.,0.,0.)); #404230=DIRECTION('',(1.,0.,0.)); #404231=DIRECTION('',(1.,0.,0.)); #404232=DIRECTION('',(0.,0.,1.)); #404233=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #404234=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #404235=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #404236=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #404237=DIRECTION('',(0.,0.,1.)); #404238=DIRECTION('center_axis',(1.,0.,0.)); #404239=DIRECTION('ref_axis',(0.,1.,0.)); #404240=DIRECTION('',(0.,1.,0.)); #404241=DIRECTION('',(0.,1.,0.)); #404242=DIRECTION('',(0.,0.,1.)); #404243=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #404244=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #404245=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404246=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404247=DIRECTION('',(0.,0.,1.)); #404248=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #404249=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #404250=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #404251=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #404252=DIRECTION('',(0.,0.,1.)); #404253=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #404254=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #404255=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #404256=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #404257=DIRECTION('',(0.,0.,1.)); #404258=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #404259=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #404260=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404261=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404262=DIRECTION('',(0.,0.,1.)); #404263=DIRECTION('center_axis',(0.,-1.,0.)); #404264=DIRECTION('ref_axis',(1.,0.,0.)); #404265=DIRECTION('',(1.,0.,0.)); #404266=DIRECTION('',(1.,0.,0.)); #404267=DIRECTION('',(0.,0.,1.)); #404268=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #404269=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #404270=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #404271=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #404272=DIRECTION('',(0.,0.,1.)); #404273=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #404274=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #404275=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #404276=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #404277=DIRECTION('',(0.,0.,1.)); #404278=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #404279=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #404280=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #404281=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #404282=DIRECTION('',(0.,0.,1.)); #404283=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #404284=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #404285=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #404286=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #404287=DIRECTION('',(0.,0.,1.)); #404288=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #404289=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #404290=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #404291=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #404292=DIRECTION('',(0.,0.,1.)); #404293=DIRECTION('center_axis',(-1.,0.,0.)); #404294=DIRECTION('ref_axis',(0.,-1.,0.)); #404295=DIRECTION('',(0.,-1.,0.)); #404296=DIRECTION('',(0.,-1.,0.)); #404297=DIRECTION('',(0.,0.,1.)); #404298=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #404299=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #404300=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #404301=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #404302=DIRECTION('',(0.,0.,1.)); #404303=DIRECTION('center_axis',(0.,-1.,0.)); #404304=DIRECTION('ref_axis',(1.,0.,0.)); #404305=DIRECTION('',(1.,0.,0.)); #404306=DIRECTION('',(1.,0.,0.)); #404307=DIRECTION('',(0.,0.,1.)); #404308=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #404309=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #404310=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #404311=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #404312=DIRECTION('',(0.,0.,1.)); #404313=DIRECTION('center_axis',(1.,0.,0.)); #404314=DIRECTION('ref_axis',(0.,1.,0.)); #404315=DIRECTION('',(0.,1.,0.)); #404316=DIRECTION('',(0.,1.,0.)); #404317=DIRECTION('',(0.,0.,1.)); #404318=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404319=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404320=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404321=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404322=DIRECTION('',(0.,0.,1.)); #404323=DIRECTION('center_axis',(0.,1.,0.)); #404324=DIRECTION('ref_axis',(-1.,0.,0.)); #404325=DIRECTION('',(-1.,0.,0.)); #404326=DIRECTION('',(-1.,0.,0.)); #404327=DIRECTION('',(0.,0.,1.)); #404328=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #404329=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #404330=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #404331=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #404332=DIRECTION('',(0.,0.,1.)); #404333=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #404334=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #404335=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #404336=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #404337=DIRECTION('',(0.,0.,1.)); #404338=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #404339=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #404340=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #404341=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #404342=DIRECTION('',(0.,0.,1.)); #404343=DIRECTION('center_axis',(0.,1.,0.)); #404344=DIRECTION('ref_axis',(-1.,0.,0.)); #404345=DIRECTION('',(-1.,0.,0.)); #404346=DIRECTION('',(-1.,0.,0.)); #404347=DIRECTION('',(0.,0.,1.)); #404348=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #404349=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #404350=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #404351=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #404352=DIRECTION('',(0.,0.,1.)); #404353=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #404354=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #404355=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #404356=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #404357=DIRECTION('',(0.,0.,1.)); #404358=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #404359=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #404360=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404361=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404362=DIRECTION('',(0.,0.,1.)); #404363=DIRECTION('center_axis',(0.,1.,0.)); #404364=DIRECTION('ref_axis',(-1.,0.,0.)); #404365=DIRECTION('',(-1.,0.,0.)); #404366=DIRECTION('',(-1.,0.,0.)); #404367=DIRECTION('',(0.,0.,1.)); #404368=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #404369=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #404370=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #404371=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #404372=DIRECTION('',(0.,0.,1.)); #404373=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #404374=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #404375=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #404376=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #404377=DIRECTION('',(0.,0.,1.)); #404378=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #404379=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #404380=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #404381=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #404382=DIRECTION('',(0.,0.,1.)); #404383=DIRECTION('center_axis',(0.,1.,0.)); #404384=DIRECTION('ref_axis',(-1.,0.,0.)); #404385=DIRECTION('',(-1.,0.,0.)); #404386=DIRECTION('',(-1.,0.,0.)); #404387=DIRECTION('',(0.,0.,1.)); #404388=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #404389=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #404390=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #404391=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #404392=DIRECTION('',(0.,0.,1.)); #404393=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #404394=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #404395=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #404396=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #404397=DIRECTION('',(0.,0.,1.)); #404398=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #404399=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #404400=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #404401=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #404402=DIRECTION('',(0.,0.,1.)); #404403=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #404404=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #404405=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404406=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404407=DIRECTION('',(0.,0.,1.)); #404408=DIRECTION('center_axis',(1.,0.,0.)); #404409=DIRECTION('ref_axis',(0.,1.,0.)); #404410=DIRECTION('',(0.,1.,0.)); #404411=DIRECTION('',(0.,1.,0.)); #404412=DIRECTION('',(0.,0.,1.)); #404413=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #404414=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #404415=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404416=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404417=DIRECTION('',(0.,0.,1.)); #404418=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #404419=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #404420=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #404421=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #404422=DIRECTION('',(0.,0.,1.)); #404423=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #404424=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #404425=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #404426=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #404427=DIRECTION('',(0.,0.,1.)); #404428=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #404429=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #404430=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404431=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404432=DIRECTION('',(0.,0.,1.)); #404433=DIRECTION('center_axis',(0.,-1.,0.)); #404434=DIRECTION('ref_axis',(1.,0.,0.)); #404435=DIRECTION('',(1.,0.,0.)); #404436=DIRECTION('',(1.,0.,0.)); #404437=DIRECTION('',(0.,0.,1.)); #404438=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #404439=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #404440=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #404441=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #404442=DIRECTION('',(0.,0.,1.)); #404443=DIRECTION('center_axis',(1.,0.,0.)); #404444=DIRECTION('ref_axis',(0.,1.,0.)); #404445=DIRECTION('',(0.,1.,0.)); #404446=DIRECTION('',(0.,1.,0.)); #404447=DIRECTION('',(0.,0.,1.)); #404448=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404449=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404450=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404451=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404452=DIRECTION('',(0.,0.,1.)); #404453=DIRECTION('center_axis',(0.,1.,0.)); #404454=DIRECTION('ref_axis',(-1.,0.,0.)); #404455=DIRECTION('',(-1.,0.,0.)); #404456=DIRECTION('',(-1.,0.,0.)); #404457=DIRECTION('',(0.,0.,1.)); #404458=DIRECTION('center_axis',(-0.707105131259446,0.7071084311098,0.)); #404459=DIRECTION('ref_axis',(-0.7071084311098,-0.707105131259446,0.)); #404460=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #404461=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #404462=DIRECTION('',(0.,0.,1.)); #404463=DIRECTION('center_axis',(-0.572058771549303,0.820212632122612,0.)); #404464=DIRECTION('ref_axis',(-0.820212632122612,-0.572058771549303,0.)); #404465=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #404466=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #404467=DIRECTION('',(0.,0.,1.)); #404468=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #404469=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #404470=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #404471=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #404472=DIRECTION('',(0.,0.,1.)); #404473=DIRECTION('center_axis',(0.16767593490806,0.985842168327521,0.)); #404474=DIRECTION('ref_axis',(-0.985842168327521,0.16767593490806,0.)); #404475=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #404476=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #404477=DIRECTION('',(0.,0.,1.)); #404478=DIRECTION('center_axis',(0.538888069291876,0.84237737907358,0.)); #404479=DIRECTION('ref_axis',(-0.84237737907358,0.538888069291876,0.)); #404480=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #404481=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #404482=DIRECTION('',(0.,0.,1.)); #404483=DIRECTION('center_axis',(0.824756093515062,0.56548862606579,0.)); #404484=DIRECTION('ref_axis',(-0.56548862606579,0.824756093515062,0.)); #404485=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #404486=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #404487=DIRECTION('',(0.,0.,1.)); #404488=DIRECTION('center_axis',(0.980008419561321,0.198956019232697,0.)); #404489=DIRECTION('ref_axis',(-0.198956019232697,0.980008419561321,0.)); #404490=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #404491=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #404492=DIRECTION('',(0.,0.,1.)); #404493=DIRECTION('center_axis',(1.,0.,0.)); #404494=DIRECTION('ref_axis',(0.,1.,0.)); #404495=DIRECTION('',(0.,1.,0.)); #404496=DIRECTION('',(0.,1.,0.)); #404497=DIRECTION('',(0.,0.,1.)); #404498=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #404499=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #404500=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #404501=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #404502=DIRECTION('',(0.,0.,1.)); #404503=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #404504=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #404505=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #404506=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #404507=DIRECTION('',(0.,0.,1.)); #404508=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #404509=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #404510=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #404511=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #404512=DIRECTION('',(0.,0.,1.)); #404513=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #404514=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #404515=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #404516=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #404517=DIRECTION('',(0.,0.,1.)); #404518=DIRECTION('center_axis',(0.,-1.,0.)); #404519=DIRECTION('ref_axis',(1.,0.,0.)); #404520=DIRECTION('',(1.,0.,0.)); #404521=DIRECTION('',(1.,0.,0.)); #404522=DIRECTION('',(0.,0.,1.)); #404523=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #404524=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #404525=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #404526=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #404527=DIRECTION('',(0.,0.,1.)); #404528=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #404529=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #404530=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #404531=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #404532=DIRECTION('',(0.,0.,1.)); #404533=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #404534=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #404535=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #404536=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #404537=DIRECTION('',(0.,0.,1.)); #404538=DIRECTION('center_axis',(-0.98000382879404,-0.198978630885383,0.)); #404539=DIRECTION('ref_axis',(0.198978630885383,-0.98000382879404,0.)); #404540=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #404541=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #404542=DIRECTION('',(0.,0.,1.)); #404543=DIRECTION('center_axis',(-0.707105370129516,-0.707108192240763, 0.)); #404544=DIRECTION('ref_axis',(0.707108192240763,-0.707105370129516,0.)); #404545=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #404546=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #404547=DIRECTION('',(0.,0.,1.)); #404548=DIRECTION('center_axis',(0.,-1.,0.)); #404549=DIRECTION('ref_axis',(1.,0.,0.)); #404550=DIRECTION('',(1.,0.,0.)); #404551=DIRECTION('',(1.,0.,0.)); #404552=DIRECTION('',(0.,0.,1.)); #404553=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #404554=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #404555=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #404556=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #404557=DIRECTION('',(0.,0.,1.)); #404558=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #404559=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #404560=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #404561=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #404562=DIRECTION('',(0.,0.,1.)); #404563=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #404564=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #404565=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404566=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404567=DIRECTION('',(0.,0.,1.)); #404568=DIRECTION('center_axis',(0.,-1.,0.)); #404569=DIRECTION('ref_axis',(1.,0.,0.)); #404570=DIRECTION('',(1.,0.,0.)); #404571=DIRECTION('',(1.,0.,0.)); #404572=DIRECTION('',(0.,0.,1.)); #404573=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #404574=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #404575=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #404576=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #404577=DIRECTION('',(0.,0.,1.)); #404578=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #404579=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #404580=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #404581=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #404582=DIRECTION('',(0.,0.,1.)); #404583=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404584=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404585=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404586=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404587=DIRECTION('',(0.,0.,1.)); #404588=DIRECTION('center_axis',(-0.829214556504279,-0.558930424365512, 0.)); #404589=DIRECTION('ref_axis',(0.558930424365512,-0.829214556504279,0.)); #404590=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #404591=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #404592=DIRECTION('',(0.,0.,1.)); #404593=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #404594=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #404595=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404596=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404597=DIRECTION('',(0.,0.,1.)); #404598=DIRECTION('center_axis',(-1.,0.,0.)); #404599=DIRECTION('ref_axis',(0.,-1.,0.)); #404600=DIRECTION('',(0.,-1.,0.)); #404601=DIRECTION('',(0.,-1.,0.)); #404602=DIRECTION('',(0.,0.,1.)); #404603=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #404604=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #404605=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #404606=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #404607=DIRECTION('',(0.,0.,1.)); #404608=DIRECTION('center_axis',(0.,-1.,0.)); #404609=DIRECTION('ref_axis',(1.,0.,0.)); #404610=DIRECTION('',(1.,0.,0.)); #404611=DIRECTION('',(1.,0.,0.)); #404612=DIRECTION('',(0.,0.,1.)); #404613=DIRECTION('center_axis',(0.707106781186521,-0.707106781186574,0.)); #404614=DIRECTION('ref_axis',(0.707106781186574,0.707106781186521,0.)); #404615=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #404616=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #404617=DIRECTION('',(0.,0.,1.)); #404618=DIRECTION('center_axis',(1.,0.,0.)); #404619=DIRECTION('ref_axis',(0.,1.,0.)); #404620=DIRECTION('',(0.,1.,0.)); #404621=DIRECTION('',(0.,1.,0.)); #404622=DIRECTION('',(0.,0.,1.)); #404623=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #404624=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #404625=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404626=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #404627=DIRECTION('',(0.,0.,1.)); #404628=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #404629=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #404630=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #404631=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #404632=DIRECTION('',(0.,0.,1.)); #404633=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #404634=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #404635=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #404636=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #404637=DIRECTION('',(0.,0.,1.)); #404638=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #404639=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #404640=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404641=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #404642=DIRECTION('',(0.,0.,1.)); #404643=DIRECTION('center_axis',(0.,-1.,0.)); #404644=DIRECTION('ref_axis',(1.,0.,0.)); #404645=DIRECTION('',(1.,0.,0.)); #404646=DIRECTION('',(1.,0.,0.)); #404647=DIRECTION('',(0.,0.,1.)); #404648=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #404649=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #404650=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #404651=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #404652=DIRECTION('',(0.,0.,1.)); #404653=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #404654=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #404655=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #404656=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #404657=DIRECTION('',(0.,0.,1.)); #404658=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #404659=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #404660=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #404661=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #404662=DIRECTION('',(0.,0.,1.)); #404663=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #404664=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #404665=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #404666=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #404667=DIRECTION('',(0.,0.,1.)); #404668=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #404669=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #404670=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #404671=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #404672=DIRECTION('',(0.,0.,1.)); #404673=DIRECTION('center_axis',(-1.,0.,0.)); #404674=DIRECTION('ref_axis',(0.,-1.,0.)); #404675=DIRECTION('',(0.,-1.,0.)); #404676=DIRECTION('',(0.,-1.,0.)); #404677=DIRECTION('',(0.,0.,1.)); #404678=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #404679=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #404680=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #404681=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #404682=DIRECTION('',(0.,0.,1.)); #404683=DIRECTION('center_axis',(0.,-1.,0.)); #404684=DIRECTION('ref_axis',(1.,0.,0.)); #404685=DIRECTION('',(1.,0.,0.)); #404686=DIRECTION('',(1.,0.,0.)); #404687=DIRECTION('',(0.,0.,1.)); #404688=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #404689=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #404690=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #404691=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #404692=DIRECTION('',(0.,0.,1.)); #404693=DIRECTION('center_axis',(1.,0.,0.)); #404694=DIRECTION('ref_axis',(0.,1.,0.)); #404695=DIRECTION('',(0.,1.,0.)); #404696=DIRECTION('',(0.,1.,0.)); #404697=DIRECTION('',(0.,0.,1.)); #404698=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #404699=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #404700=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #404701=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #404702=DIRECTION('',(0.,0.,1.)); #404703=DIRECTION('center_axis',(0.,1.,0.)); #404704=DIRECTION('ref_axis',(-1.,0.,0.)); #404705=DIRECTION('',(-1.,0.,0.)); #404706=DIRECTION('',(-1.,0.,0.)); #404707=DIRECTION('',(0.,0.,1.)); #404708=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #404709=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #404710=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #404711=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #404712=DIRECTION('',(0.,0.,1.)); #404713=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #404714=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #404715=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #404716=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #404717=DIRECTION('',(0.,0.,1.)); #404718=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #404719=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #404720=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #404721=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #404722=DIRECTION('',(0.,0.,1.)); #404723=DIRECTION('center_axis',(0.,1.,0.)); #404724=DIRECTION('ref_axis',(-1.,0.,0.)); #404725=DIRECTION('',(-1.,0.,0.)); #404726=DIRECTION('',(-1.,0.,0.)); #404727=DIRECTION('',(0.,0.,1.)); #404728=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #404729=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #404730=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #404731=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #404732=DIRECTION('',(0.,0.,1.)); #404733=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #404734=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #404735=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #404736=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #404737=DIRECTION('',(0.,0.,1.)); #404738=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #404739=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #404740=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #404741=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #404742=DIRECTION('',(0.,0.,1.)); #404743=DIRECTION('center_axis',(0.,1.,0.)); #404744=DIRECTION('ref_axis',(-1.,0.,0.)); #404745=DIRECTION('',(-1.,0.,0.)); #404746=DIRECTION('',(-1.,0.,0.)); #404747=DIRECTION('',(0.,0.,1.)); #404748=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #404749=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #404750=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #404751=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #404752=DIRECTION('',(0.,0.,1.)); #404753=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #404754=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #404755=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #404756=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #404757=DIRECTION('',(0.,0.,1.)); #404758=DIRECTION('center_axis',(0.824745549033943,0.565504004714997,0.)); #404759=DIRECTION('ref_axis',(-0.565504004714997,0.824745549033943,0.)); #404760=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #404761=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #404762=DIRECTION('',(0.,0.,1.)); #404763=DIRECTION('center_axis',(0.98000841956135,0.198956019232557,0.)); #404764=DIRECTION('ref_axis',(-0.198956019232557,0.98000841956135,0.)); #404765=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #404766=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #404767=DIRECTION('',(0.,0.,1.)); #404768=DIRECTION('center_axis',(1.,0.,0.)); #404769=DIRECTION('ref_axis',(0.,1.,0.)); #404770=DIRECTION('',(0.,1.,0.)); #404771=DIRECTION('',(0.,1.,0.)); #404772=DIRECTION('',(0.,0.,1.)); #404773=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #404774=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #404775=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #404776=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #404777=DIRECTION('',(0.,0.,1.)); #404778=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #404779=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #404780=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #404781=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #404782=DIRECTION('',(0.,0.,1.)); #404783=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #404784=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #404785=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #404786=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #404787=DIRECTION('',(0.,0.,1.)); #404788=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #404789=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #404790=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #404791=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #404792=DIRECTION('',(0.,0.,1.)); #404793=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #404794=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #404795=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #404796=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #404797=DIRECTION('',(0.,0.,1.)); #404798=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #404799=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #404800=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #404801=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #404802=DIRECTION('',(0.,0.,1.)); #404803=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #404804=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #404805=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #404806=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #404807=DIRECTION('',(0.,0.,1.)); #404808=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #404809=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #404810=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #404811=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #404812=DIRECTION('',(0.,0.,1.)); #404813=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #404814=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #404815=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #404816=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #404817=DIRECTION('',(0.,0.,1.)); #404818=DIRECTION('center_axis',(0.,1.,0.)); #404819=DIRECTION('ref_axis',(-1.,0.,0.)); #404820=DIRECTION('',(-1.,0.,0.)); #404821=DIRECTION('',(-1.,0.,0.)); #404822=DIRECTION('',(0.,0.,1.)); #404823=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #404824=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #404825=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #404826=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #404827=DIRECTION('',(0.,0.,1.)); #404828=DIRECTION('center_axis',(0.538904447640657,0.842366901245009,0.)); #404829=DIRECTION('ref_axis',(-0.842366901245009,0.538904447640657,0.)); #404830=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #404831=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #404832=DIRECTION('',(0.,0.,1.)); #404833=DIRECTION('center_axis',(0.824750821382105,0.565496315310316,0.)); #404834=DIRECTION('ref_axis',(-0.565496315310316,0.824750821382105,0.)); #404835=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #404836=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #404837=DIRECTION('',(0.,0.,1.)); #404838=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #404839=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #404840=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #404841=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #404842=DIRECTION('',(0.,0.,1.)); #404843=DIRECTION('center_axis',(1.,0.,0.)); #404844=DIRECTION('ref_axis',(0.,1.,0.)); #404845=DIRECTION('',(0.,1.,0.)); #404846=DIRECTION('',(0.,1.,0.)); #404847=DIRECTION('',(0.,0.,1.)); #404848=DIRECTION('center_axis',(0.983055675573396,-0.183307224961088,0.)); #404849=DIRECTION('ref_axis',(0.183307224961088,0.983055675573396,0.)); #404850=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #404851=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #404852=DIRECTION('',(0.,0.,1.)); #404853=DIRECTION('center_axis',(0.842361453103755,-0.538912963589605,0.)); #404854=DIRECTION('ref_axis',(0.538912963589605,0.842361453103755,0.)); #404855=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #404856=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #404857=DIRECTION('',(0.,0.,1.)); #404858=DIRECTION('center_axis',(0.565504004715056,-0.824745549033903,0.)); #404859=DIRECTION('ref_axis',(0.824745549033903,0.565504004715056,0.)); #404860=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #404861=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #404862=DIRECTION('',(0.,0.,1.)); #404863=DIRECTION('center_axis',(0.198968686113119,-0.980005847914398,0.)); #404864=DIRECTION('ref_axis',(0.980005847914398,0.198968686113119,0.)); #404865=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #404866=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #404867=DIRECTION('',(0.,0.,1.)); #404868=DIRECTION('center_axis',(0.,-1.,0.)); #404869=DIRECTION('ref_axis',(1.,0.,0.)); #404870=DIRECTION('',(1.,0.,0.)); #404871=DIRECTION('',(1.,0.,0.)); #404872=DIRECTION('',(0.,0.,1.)); #404873=DIRECTION('center_axis',(0.38268403024089,-0.923879284862795,0.)); #404874=DIRECTION('ref_axis',(0.923879284862795,0.38268403024089,0.)); #404875=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #404876=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #404877=DIRECTION('',(0.,0.,1.)); #404878=DIRECTION('center_axis',(0.707105976813804,-0.707107585558376,0.)); #404879=DIRECTION('ref_axis',(0.707107585558376,0.707105976813804,0.)); #404880=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #404881=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #404882=DIRECTION('',(0.,0.,1.)); #404883=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #404884=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #404885=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #404886=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #404887=DIRECTION('',(0.,0.,1.)); #404888=DIRECTION('center_axis',(1.,0.,0.)); #404889=DIRECTION('ref_axis',(0.,1.,0.)); #404890=DIRECTION('',(0.,1.,0.)); #404891=DIRECTION('',(0.,1.,0.)); #404892=DIRECTION('',(0.,0.,1.)); #404893=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #404894=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #404895=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #404896=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #404897=DIRECTION('',(0.,0.,1.)); #404898=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #404899=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #404900=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #404901=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #404902=DIRECTION('',(0.,0.,1.)); #404903=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #404904=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #404905=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #404906=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #404907=DIRECTION('',(0.,0.,1.)); #404908=DIRECTION('center_axis',(0.,1.,0.)); #404909=DIRECTION('ref_axis',(-1.,0.,0.)); #404910=DIRECTION('',(-1.,0.,0.)); #404911=DIRECTION('',(-1.,0.,0.)); #404912=DIRECTION('',(0.,0.,1.)); #404913=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #404914=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #404915=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #404916=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #404917=DIRECTION('',(0.,0.,1.)); #404918=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #404919=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #404920=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #404921=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #404922=DIRECTION('',(0.,0.,1.)); #404923=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #404924=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #404925=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #404926=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #404927=DIRECTION('',(0.,0.,1.)); #404928=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #404929=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #404930=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #404931=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #404932=DIRECTION('',(0.,0.,1.)); #404933=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #404934=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #404935=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #404936=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #404937=DIRECTION('',(0.,0.,1.)); #404938=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #404939=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #404940=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #404941=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #404942=DIRECTION('',(0.,0.,1.)); #404943=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #404944=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #404945=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #404946=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #404947=DIRECTION('',(0.,0.,1.)); #404948=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #404949=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #404950=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #404951=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #404952=DIRECTION('',(0.,0.,1.)); #404953=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #404954=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #404955=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #404956=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #404957=DIRECTION('center_axis',(0.,1.,0.)); #404958=DIRECTION('ref_axis',(-1.,0.,0.)); #404959=DIRECTION('',(-1.,0.,0.)); #404960=DIRECTION('',(0.,0.,1.)); #404961=DIRECTION('',(-1.,0.,0.)); #404962=DIRECTION('',(0.,0.,1.)); #404963=DIRECTION('center_axis',(-0.707104327390292,0.707109234974288,0.)); #404964=DIRECTION('ref_axis',(-0.707109234974288,-0.707104327390292,0.)); #404965=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #404966=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #404967=DIRECTION('',(0.,0.,1.)); #404968=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #404969=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #404970=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #404971=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #404972=DIRECTION('',(0.,0.,1.)); #404973=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #404974=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #404975=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #404976=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #404977=DIRECTION('',(0.,0.,1.)); #404978=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #404979=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #404980=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #404981=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #404982=DIRECTION('',(0.,0.,1.)); #404983=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #404984=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #404985=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #404986=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #404987=DIRECTION('',(0.,0.,1.)); #404988=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #404989=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #404990=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #404991=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #404992=DIRECTION('',(0.,0.,1.)); #404993=DIRECTION('center_axis',(0.,1.,0.)); #404994=DIRECTION('ref_axis',(-1.,0.,0.)); #404995=DIRECTION('',(-1.,0.,0.)); #404996=DIRECTION('',(-1.,0.,0.)); #404997=DIRECTION('',(0.,0.,1.)); #404998=DIRECTION('center_axis',(-0.707104327390292,0.707109234974288,0.)); #404999=DIRECTION('ref_axis',(-0.707109234974288,-0.707104327390292,0.)); #405000=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #405001=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #405002=DIRECTION('',(0.,0.,1.)); #405003=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #405004=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #405005=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #405006=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #405007=DIRECTION('',(0.,0.,1.)); #405008=DIRECTION('center_axis',(-0.21467431451675,0.97668569083752,0.)); #405009=DIRECTION('ref_axis',(-0.97668569083752,-0.21467431451675,0.)); #405010=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #405011=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #405012=DIRECTION('',(0.,0.,1.)); #405013=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #405014=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #405015=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #405016=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #405017=DIRECTION('',(0.,0.,1.)); #405018=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #405019=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #405020=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #405021=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #405022=DIRECTION('',(0.,0.,1.)); #405023=DIRECTION('center_axis',(0.707104327390292,0.707109234974288,0.)); #405024=DIRECTION('ref_axis',(-0.707109234974288,0.707104327390292,0.)); #405025=DIRECTION('',(-0.707109234974288,0.707104327390292,0.)); #405026=DIRECTION('',(-0.707109234974288,0.707104327390292,0.)); #405027=DIRECTION('',(0.,0.,1.)); #405028=DIRECTION('center_axis',(0.,1.,0.)); #405029=DIRECTION('ref_axis',(-1.,0.,0.)); #405030=DIRECTION('',(-1.,0.,0.)); #405031=DIRECTION('',(-1.,0.,0.)); #405032=DIRECTION('',(0.,0.,1.)); #405033=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #405034=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #405035=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #405036=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #405037=DIRECTION('',(0.,0.,1.)); #405038=DIRECTION('center_axis',(-1.,0.,0.)); #405039=DIRECTION('ref_axis',(0.,-1.,0.)); #405040=DIRECTION('',(0.,-1.,0.)); #405041=DIRECTION('',(0.,-1.,0.)); #405042=DIRECTION('',(0.,0.,1.)); #405043=DIRECTION('center_axis',(-0.707108784529064,-0.707104777838355, 0.)); #405044=DIRECTION('ref_axis',(0.707104777838355,-0.707108784529064,0.)); #405045=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #405046=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #405047=DIRECTION('',(0.,0.,1.)); #405048=DIRECTION('center_axis',(-0.829203833807437,-0.558946331948828, 0.)); #405049=DIRECTION('ref_axis',(0.558946331948828,-0.829203833807437,0.)); #405050=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #405051=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #405052=DIRECTION('',(0.,0.,1.)); #405053=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #405054=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #405055=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #405056=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #405057=DIRECTION('',(0.,0.,1.)); #405058=DIRECTION('center_axis',(-1.,0.,0.)); #405059=DIRECTION('ref_axis',(0.,-1.,0.)); #405060=DIRECTION('',(0.,-1.,0.)); #405061=DIRECTION('',(0.,-1.,0.)); #405062=DIRECTION('',(0.,0.,1.)); #405063=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405064=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405065=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405066=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405067=DIRECTION('',(0.,0.,1.)); #405068=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #405069=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #405070=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #405071=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #405072=DIRECTION('',(0.,0.,1.)); #405073=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #405074=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #405075=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #405076=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #405077=DIRECTION('',(0.,0.,1.)); #405078=DIRECTION('center_axis',(-1.,0.,0.)); #405079=DIRECTION('ref_axis',(0.,-1.,0.)); #405080=DIRECTION('',(0.,-1.,0.)); #405081=DIRECTION('',(0.,-1.,0.)); #405082=DIRECTION('',(0.,0.,1.)); #405083=DIRECTION('center_axis',(-0.981560865252906,0.191149856928974,0.)); #405084=DIRECTION('ref_axis',(-0.191149856928974,-0.981560865252906,0.)); #405085=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #405086=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #405087=DIRECTION('',(0.,0.,1.)); #405088=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #405089=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #405090=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #405091=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #405092=DIRECTION('',(0.,0.,1.)); #405093=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #405094=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #405095=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #405096=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #405097=DIRECTION('',(0.,0.,1.)); #405098=DIRECTION('center_axis',(-0.558946331949144,0.829203833807223,0.)); #405099=DIRECTION('ref_axis',(-0.829203833807223,-0.558946331949144,0.)); #405100=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #405101=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #405102=DIRECTION('',(0.,0.,1.)); #405103=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #405104=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #405105=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #405106=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #405107=DIRECTION('',(0.,0.,1.)); #405108=DIRECTION('center_axis',(0.,1.,0.)); #405109=DIRECTION('ref_axis',(-1.,0.,0.)); #405110=DIRECTION('',(-1.,0.,0.)); #405111=DIRECTION('',(-1.,0.,0.)); #405112=DIRECTION('',(0.,0.,1.)); #405113=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #405114=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #405115=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #405116=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #405117=DIRECTION('',(0.,0.,1.)); #405118=DIRECTION('center_axis',(-0.558946331948935,0.829203833807364,0.)); #405119=DIRECTION('ref_axis',(-0.829203833807364,-0.558946331948935,0.)); #405120=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #405121=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #405122=DIRECTION('',(0.,0.,1.)); #405123=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #405124=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #405125=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #405126=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #405127=DIRECTION('',(0.,0.,1.)); #405128=DIRECTION('center_axis',(0.,1.,0.)); #405129=DIRECTION('ref_axis',(-1.,0.,0.)); #405130=DIRECTION('',(-1.,0.,0.)); #405131=DIRECTION('',(-1.,0.,0.)); #405132=DIRECTION('',(0.,0.,1.)); #405133=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #405134=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #405135=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #405136=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #405137=DIRECTION('',(0.,0.,1.)); #405138=DIRECTION('center_axis',(-1.,0.,0.)); #405139=DIRECTION('ref_axis',(0.,-1.,0.)); #405140=DIRECTION('',(0.,-1.,0.)); #405141=DIRECTION('',(0.,-1.,0.)); #405142=DIRECTION('',(0.,0.,1.)); #405143=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #405144=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #405145=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405146=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405147=DIRECTION('',(0.,0.,1.)); #405148=DIRECTION('center_axis',(0.,-1.,0.)); #405149=DIRECTION('ref_axis',(1.,0.,0.)); #405150=DIRECTION('',(1.,0.,0.)); #405151=DIRECTION('',(1.,0.,0.)); #405152=DIRECTION('',(0.,0.,1.)); #405153=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #405154=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #405155=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405156=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405157=DIRECTION('',(0.,0.,1.)); #405158=DIRECTION('center_axis',(1.,0.,0.)); #405159=DIRECTION('ref_axis',(0.,1.,0.)); #405160=DIRECTION('',(0.,1.,0.)); #405161=DIRECTION('',(0.,1.,0.)); #405162=DIRECTION('',(0.,0.,1.)); #405163=DIRECTION('center_axis',(0.981560865252906,-0.191149856928974,0.)); #405164=DIRECTION('ref_axis',(0.191149856928974,0.981560865252906,0.)); #405165=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #405166=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #405167=DIRECTION('',(0.,0.,1.)); #405168=DIRECTION('center_axis',(0.83365985974989,-0.55227822539169,0.)); #405169=DIRECTION('ref_axis',(0.55227822539169,0.83365985974989,0.)); #405170=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #405171=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #405172=DIRECTION('',(0.,0.,1.)); #405173=DIRECTION('center_axis',(0.707106781186534,-0.707106781186561,0.)); #405174=DIRECTION('ref_axis',(0.707106781186561,0.707106781186534,0.)); #405175=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #405176=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #405177=DIRECTION('',(0.,0.,1.)); #405178=DIRECTION('center_axis',(0.558946331949074,-0.829203833807271,0.)); #405179=DIRECTION('ref_axis',(0.829203833807271,0.558946331949074,0.)); #405180=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #405181=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #405182=DIRECTION('',(0.,0.,1.)); #405183=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #405184=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #405185=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #405186=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #405187=DIRECTION('',(0.,0.,1.)); #405188=DIRECTION('center_axis',(0.,-1.,0.)); #405189=DIRECTION('ref_axis',(1.,0.,0.)); #405190=DIRECTION('',(1.,0.,0.)); #405191=DIRECTION('',(1.,0.,0.)); #405192=DIRECTION('',(0.,0.,1.)); #405193=DIRECTION('center_axis',(-0.18330529025708,-0.983056036329449,0.)); #405194=DIRECTION('ref_axis',(0.983056036329449,-0.18330529025708,0.)); #405195=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #405196=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #405197=DIRECTION('',(0.,0.,1.)); #405198=DIRECTION('center_axis',(-0.538911466746188,-0.842362410729178, 0.)); #405199=DIRECTION('ref_axis',(0.842362410729178,-0.538911466746188,0.)); #405200=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #405201=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #405202=DIRECTION('',(0.,0.,1.)); #405203=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #405204=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #405205=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #405206=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #405207=DIRECTION('',(0.,0.,1.)); #405208=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #405209=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #405210=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #405211=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #405212=DIRECTION('',(0.,0.,1.)); #405213=DIRECTION('center_axis',(-1.,0.,0.)); #405214=DIRECTION('ref_axis',(0.,-1.,0.)); #405215=DIRECTION('',(0.,-1.,0.)); #405216=DIRECTION('',(0.,-1.,0.)); #405217=DIRECTION('',(0.,0.,1.)); #405218=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405219=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405220=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405221=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405222=DIRECTION('',(0.,0.,1.)); #405223=DIRECTION('center_axis',(0.,-1.,0.)); #405224=DIRECTION('ref_axis',(1.,0.,0.)); #405225=DIRECTION('',(1.,0.,0.)); #405226=DIRECTION('',(1.,0.,0.)); #405227=DIRECTION('',(0.,0.,1.)); #405228=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #405229=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #405230=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405231=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405232=DIRECTION('',(0.,0.,1.)); #405233=DIRECTION('center_axis',(1.,0.,0.)); #405234=DIRECTION('ref_axis',(0.,1.,0.)); #405235=DIRECTION('',(0.,1.,0.)); #405236=DIRECTION('',(0.,1.,0.)); #405237=DIRECTION('',(0.,0.,1.)); #405238=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #405239=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #405240=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #405241=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #405242=DIRECTION('',(0.,0.,1.)); #405243=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #405244=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #405245=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #405246=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #405247=DIRECTION('',(0.,0.,1.)); #405248=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #405249=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #405250=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #405251=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #405252=DIRECTION('',(0.,0.,1.)); #405253=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #405254=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #405255=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #405256=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #405257=DIRECTION('',(0.,0.,1.)); #405258=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #405259=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #405260=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #405261=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #405262=DIRECTION('',(0.,0.,1.)); #405263=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #405264=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #405265=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #405266=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #405267=DIRECTION('',(0.,0.,1.)); #405268=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #405269=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #405270=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #405271=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #405272=DIRECTION('',(0.,0.,1.)); #405273=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #405274=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #405275=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #405276=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #405277=DIRECTION('',(0.,0.,1.)); #405278=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #405279=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #405280=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #405281=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #405282=DIRECTION('',(0.,0.,1.)); #405283=DIRECTION('center_axis',(-1.,0.,0.)); #405284=DIRECTION('ref_axis',(0.,-1.,0.)); #405285=DIRECTION('',(0.,-1.,0.)); #405286=DIRECTION('',(0.,-1.,0.)); #405287=DIRECTION('',(0.,0.,1.)); #405288=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405289=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405290=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405291=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405292=DIRECTION('',(0.,0.,1.)); #405293=DIRECTION('center_axis',(0.,-1.,0.)); #405294=DIRECTION('ref_axis',(1.,0.,0.)); #405295=DIRECTION('',(1.,0.,0.)); #405296=DIRECTION('',(1.,0.,0.)); #405297=DIRECTION('',(0.,0.,1.)); #405298=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #405299=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #405300=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405301=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405302=DIRECTION('',(0.,0.,1.)); #405303=DIRECTION('center_axis',(1.,0.,0.)); #405304=DIRECTION('ref_axis',(0.,1.,0.)); #405305=DIRECTION('',(0.,1.,0.)); #405306=DIRECTION('',(0.,1.,0.)); #405307=DIRECTION('',(0.,0.,1.)); #405308=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #405309=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #405310=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #405311=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #405312=DIRECTION('',(0.,0.,1.)); #405313=DIRECTION('center_axis',(0.842362410729311,-0.53891146674598,0.)); #405314=DIRECTION('ref_axis',(0.53891146674598,0.842362410729311,0.)); #405315=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #405316=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #405317=DIRECTION('',(0.,0.,1.)); #405318=DIRECTION('center_axis',(0.565504004715094,-0.824745549033877,0.)); #405319=DIRECTION('ref_axis',(0.824745549033877,0.565504004715094,0.)); #405320=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #405321=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #405322=DIRECTION('',(0.,0.,1.)); #405323=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #405324=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #405325=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #405326=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #405327=DIRECTION('',(0.,0.,1.)); #405328=DIRECTION('center_axis',(0.,-1.,0.)); #405329=DIRECTION('ref_axis',(1.,0.,0.)); #405330=DIRECTION('',(1.,0.,0.)); #405331=DIRECTION('',(1.,0.,0.)); #405332=DIRECTION('',(0.,0.,1.)); #405333=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #405334=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #405335=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #405336=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #405337=DIRECTION('',(0.,0.,1.)); #405338=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #405339=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #405340=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #405341=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #405342=DIRECTION('',(0.,0.,1.)); #405343=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #405344=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #405345=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #405346=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #405347=DIRECTION('',(0.,0.,1.)); #405348=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #405349=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #405350=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #405351=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #405352=DIRECTION('',(0.,0.,1.)); #405353=DIRECTION('center_axis',(-1.,0.,0.)); #405354=DIRECTION('ref_axis',(0.,-1.,0.)); #405355=DIRECTION('',(0.,-1.,0.)); #405356=DIRECTION('',(0.,-1.,0.)); #405357=DIRECTION('',(0.,0.,1.)); #405358=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #405359=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #405360=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405361=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405362=DIRECTION('',(0.,0.,1.)); #405363=DIRECTION('center_axis',(0.,-1.,0.)); #405364=DIRECTION('ref_axis',(1.,0.,0.)); #405365=DIRECTION('',(1.,0.,0.)); #405366=DIRECTION('',(1.,0.,0.)); #405367=DIRECTION('',(0.,0.,1.)); #405368=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #405369=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #405370=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405371=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405372=DIRECTION('',(0.,0.,1.)); #405373=DIRECTION('center_axis',(1.,0.,0.)); #405374=DIRECTION('ref_axis',(0.,1.,0.)); #405375=DIRECTION('',(0.,1.,0.)); #405376=DIRECTION('',(0.,1.,0.)); #405377=DIRECTION('',(0.,0.,1.)); #405378=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #405379=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #405380=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #405381=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #405382=DIRECTION('',(0.,0.,1.)); #405383=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #405384=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #405385=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #405386=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #405387=DIRECTION('',(0.,0.,1.)); #405388=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #405389=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #405390=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #405391=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #405392=DIRECTION('',(0.,0.,1.)); #405393=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #405394=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #405395=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #405396=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #405397=DIRECTION('',(0.,0.,1.)); #405398=DIRECTION('center_axis',(-0.190847644948435,-0.981619669942303, 0.)); #405399=DIRECTION('ref_axis',(0.981619669942303,-0.190847644948435,0.)); #405400=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #405401=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #405402=DIRECTION('',(0.,0.,1.)); #405403=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #405404=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #405405=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #405406=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #405407=DIRECTION('',(0.,0.,1.)); #405408=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #405409=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #405410=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #405411=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #405412=DIRECTION('',(0.,0.,1.)); #405413=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #405414=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #405415=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #405416=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #405417=DIRECTION('',(0.,0.,1.)); #405418=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #405419=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #405420=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #405421=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #405422=DIRECTION('',(0.,0.,1.)); #405423=DIRECTION('center_axis',(-1.,0.,0.)); #405424=DIRECTION('ref_axis',(0.,-1.,0.)); #405425=DIRECTION('',(0.,-1.,0.)); #405426=DIRECTION('',(0.,-1.,0.)); #405427=DIRECTION('',(0.,0.,1.)); #405428=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #405429=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #405430=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405431=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405432=DIRECTION('',(0.,0.,1.)); #405433=DIRECTION('center_axis',(0.,-1.,0.)); #405434=DIRECTION('ref_axis',(1.,0.,0.)); #405435=DIRECTION('',(1.,0.,0.)); #405436=DIRECTION('',(1.,0.,0.)); #405437=DIRECTION('',(0.,0.,1.)); #405438=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #405439=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #405440=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405441=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405442=DIRECTION('',(0.,0.,1.)); #405443=DIRECTION('center_axis',(1.,0.,0.)); #405444=DIRECTION('ref_axis',(0.,1.,0.)); #405445=DIRECTION('',(0.,1.,0.)); #405446=DIRECTION('',(0.,1.,0.)); #405447=DIRECTION('',(0.,0.,1.)); #405448=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405449=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405450=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405451=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405452=DIRECTION('',(0.,0.,1.)); #405453=DIRECTION('center_axis',(0.,1.,0.)); #405454=DIRECTION('ref_axis',(-1.,0.,0.)); #405455=DIRECTION('',(-1.,0.,0.)); #405456=DIRECTION('',(-1.,0.,0.)); #405457=DIRECTION('',(0.,0.,1.)); #405458=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #405459=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #405460=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #405461=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #405462=DIRECTION('',(0.,0.,1.)); #405463=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #405464=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #405465=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #405466=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #405467=DIRECTION('',(0.,0.,1.)); #405468=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405469=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405470=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405471=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405472=DIRECTION('',(0.,0.,1.)); #405473=DIRECTION('center_axis',(0.,1.,0.)); #405474=DIRECTION('ref_axis',(-1.,0.,0.)); #405475=DIRECTION('',(-1.,0.,0.)); #405476=DIRECTION('',(-1.,0.,0.)); #405477=DIRECTION('',(0.,0.,1.)); #405478=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #405479=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #405480=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #405481=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #405482=DIRECTION('',(0.,0.,1.)); #405483=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #405484=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #405485=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #405486=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #405487=DIRECTION('',(0.,0.,1.)); #405488=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #405489=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #405490=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #405491=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #405492=DIRECTION('',(0.,0.,1.)); #405493=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #405494=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #405495=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405496=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #405497=DIRECTION('',(0.,0.,1.)); #405498=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #405499=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #405500=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #405501=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #405502=DIRECTION('',(0.,0.,1.)); #405503=DIRECTION('center_axis',(1.,0.,0.)); #405504=DIRECTION('ref_axis',(0.,1.,0.)); #405505=DIRECTION('',(0.,1.,0.)); #405506=DIRECTION('',(0.,1.,0.)); #405507=DIRECTION('',(0.,0.,1.)); #405508=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #405509=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #405510=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #405511=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #405512=DIRECTION('',(0.,0.,1.)); #405513=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #405514=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #405515=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #405516=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #405517=DIRECTION('',(0.,0.,1.)); #405518=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #405519=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #405520=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405521=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405522=DIRECTION('',(0.,0.,1.)); #405523=DIRECTION('center_axis',(1.,0.,0.)); #405524=DIRECTION('ref_axis',(0.,1.,0.)); #405525=DIRECTION('',(0.,1.,0.)); #405526=DIRECTION('',(0.,1.,0.)); #405527=DIRECTION('',(0.,0.,1.)); #405528=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #405529=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #405530=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #405531=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #405532=DIRECTION('',(0.,0.,1.)); #405533=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #405534=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #405535=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #405536=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #405537=DIRECTION('',(0.,0.,1.)); #405538=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #405539=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #405540=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #405541=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #405542=DIRECTION('',(0.,0.,1.)); #405543=DIRECTION('center_axis',(1.,0.,0.)); #405544=DIRECTION('ref_axis',(0.,1.,0.)); #405545=DIRECTION('',(0.,1.,0.)); #405546=DIRECTION('',(0.,1.,0.)); #405547=DIRECTION('',(0.,0.,1.)); #405548=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405549=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405550=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405551=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405552=DIRECTION('center_axis',(0.,1.,0.)); #405553=DIRECTION('ref_axis',(-1.,0.,0.)); #405554=DIRECTION('',(-1.,0.,0.)); #405555=DIRECTION('',(0.,0.,1.)); #405556=DIRECTION('',(-1.,0.,0.)); #405557=DIRECTION('',(0.,0.,1.)); #405558=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #405559=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #405560=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #405561=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #405562=DIRECTION('',(0.,0.,1.)); #405563=DIRECTION('center_axis',(-1.,0.,0.)); #405564=DIRECTION('ref_axis',(0.,-1.,0.)); #405565=DIRECTION('',(0.,-1.,0.)); #405566=DIRECTION('',(0.,-1.,0.)); #405567=DIRECTION('',(0.,0.,1.)); #405568=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #405569=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #405570=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405571=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #405572=DIRECTION('',(0.,0.,1.)); #405573=DIRECTION('center_axis',(0.,-1.,0.)); #405574=DIRECTION('ref_axis',(1.,0.,0.)); #405575=DIRECTION('',(1.,0.,0.)); #405576=DIRECTION('',(1.,0.,0.)); #405577=DIRECTION('',(0.,0.,1.)); #405578=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #405579=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #405580=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405581=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405582=DIRECTION('',(0.,0.,1.)); #405583=DIRECTION('center_axis',(1.,0.,0.)); #405584=DIRECTION('ref_axis',(0.,1.,0.)); #405585=DIRECTION('',(0.,1.,0.)); #405586=DIRECTION('',(0.,1.,0.)); #405587=DIRECTION('',(0.,0.,1.)); #405588=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #405589=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #405590=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #405591=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #405592=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #405593=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #405594=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #405595=DIRECTION('',(0.,0.,1.)); #405596=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #405597=DIRECTION('',(0.,0.,1.)); #405598=DIRECTION('center_axis',(0.,-1.,0.)); #405599=DIRECTION('ref_axis',(1.,0.,0.)); #405600=DIRECTION('',(1.,0.,0.)); #405601=DIRECTION('',(1.,0.,0.)); #405602=DIRECTION('',(0.,0.,1.)); #405603=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #405604=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #405605=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #405606=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #405607=DIRECTION('',(0.,0.,1.)); #405608=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #405609=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #405610=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #405611=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #405612=DIRECTION('',(0.,0.,1.)); #405613=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #405614=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #405615=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #405616=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #405617=DIRECTION('',(0.,0.,1.)); #405618=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #405619=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #405620=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #405621=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #405622=DIRECTION('',(0.,0.,1.)); #405623=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #405624=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #405625=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #405626=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #405627=DIRECTION('',(0.,0.,1.)); #405628=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #405629=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #405630=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #405631=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #405632=DIRECTION('',(0.,0.,1.)); #405633=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #405634=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #405635=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #405636=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #405637=DIRECTION('',(0.,0.,1.)); #405638=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #405639=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #405640=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #405641=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #405642=DIRECTION('',(0.,0.,1.)); #405643=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #405644=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #405645=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #405646=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #405647=DIRECTION('',(0.,0.,1.)); #405648=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #405649=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #405650=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #405651=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #405652=DIRECTION('',(0.,0.,1.)); #405653=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #405654=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #405655=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #405656=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #405657=DIRECTION('',(0.,0.,1.)); #405658=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #405659=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #405660=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #405661=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #405662=DIRECTION('',(0.,0.,1.)); #405663=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #405664=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #405665=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #405666=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #405667=DIRECTION('',(0.,0.,1.)); #405668=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #405669=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #405670=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #405671=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #405672=DIRECTION('',(0.,0.,1.)); #405673=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405674=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405675=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405676=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405677=DIRECTION('',(0.,0.,1.)); #405678=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #405679=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #405680=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #405681=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #405682=DIRECTION('',(0.,0.,1.)); #405683=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #405684=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #405685=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #405686=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #405687=DIRECTION('',(0.,0.,1.)); #405688=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #405689=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #405690=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #405691=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #405692=DIRECTION('',(0.,0.,1.)); #405693=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #405694=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #405695=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #405696=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #405697=DIRECTION('',(0.,0.,1.)); #405698=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #405699=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #405700=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #405701=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #405702=DIRECTION('',(0.,0.,1.)); #405703=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #405704=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #405705=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #405706=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #405707=DIRECTION('',(0.,0.,1.)); #405708=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #405709=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #405710=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #405711=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #405712=DIRECTION('',(0.,0.,1.)); #405713=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #405714=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #405715=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #405716=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #405717=DIRECTION('',(0.,0.,1.)); #405718=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #405719=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #405720=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #405721=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #405722=DIRECTION('',(0.,0.,1.)); #405723=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #405724=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #405725=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #405726=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #405727=DIRECTION('',(0.,0.,1.)); #405728=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #405729=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #405730=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #405731=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #405732=DIRECTION('',(0.,0.,1.)); #405733=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #405734=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #405735=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #405736=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #405737=DIRECTION('',(0.,0.,1.)); #405738=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #405739=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #405740=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #405741=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #405742=DIRECTION('',(0.,0.,1.)); #405743=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #405744=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #405745=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #405746=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #405747=DIRECTION('',(0.,0.,1.)); #405748=DIRECTION('center_axis',(-1.,0.,0.)); #405749=DIRECTION('ref_axis',(0.,-1.,0.)); #405750=DIRECTION('',(0.,-1.,0.)); #405751=DIRECTION('',(0.,-1.,0.)); #405752=DIRECTION('',(0.,0.,1.)); #405753=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #405754=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #405755=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #405756=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #405757=DIRECTION('',(0.,0.,1.)); #405758=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #405759=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #405760=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #405761=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #405762=DIRECTION('',(0.,0.,1.)); #405763=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #405764=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #405765=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #405766=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #405767=DIRECTION('',(0.,0.,1.)); #405768=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #405769=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #405770=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #405771=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #405772=DIRECTION('',(0.,0.,1.)); #405773=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #405774=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #405775=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #405776=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #405777=DIRECTION('',(0.,0.,1.)); #405778=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #405779=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #405780=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #405781=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #405782=DIRECTION('',(0.,0.,1.)); #405783=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #405784=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #405785=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #405786=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #405787=DIRECTION('',(0.,0.,1.)); #405788=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #405789=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #405790=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #405791=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #405792=DIRECTION('',(0.,0.,1.)); #405793=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #405794=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #405795=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #405796=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #405797=DIRECTION('',(0.,0.,1.)); #405798=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #405799=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #405800=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #405801=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #405802=DIRECTION('',(0.,0.,1.)); #405803=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #405804=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #405805=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #405806=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #405807=DIRECTION('',(0.,0.,1.)); #405808=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #405809=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #405810=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #405811=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #405812=DIRECTION('',(0.,0.,1.)); #405813=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #405814=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #405815=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #405816=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #405817=DIRECTION('',(0.,0.,1.)); #405818=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #405819=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #405820=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #405821=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #405822=DIRECTION('',(0.,0.,1.)); #405823=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #405824=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #405825=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #405826=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #405827=DIRECTION('',(0.,0.,1.)); #405828=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #405829=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #405830=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #405831=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #405832=DIRECTION('',(0.,0.,1.)); #405833=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #405834=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #405835=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #405836=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #405837=DIRECTION('',(0.,0.,1.)); #405838=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #405839=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #405840=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #405841=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #405842=DIRECTION('',(0.,0.,1.)); #405843=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #405844=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #405845=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #405846=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #405847=DIRECTION('',(0.,0.,1.)); #405848=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #405849=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #405850=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #405851=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #405852=DIRECTION('',(0.,0.,1.)); #405853=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #405854=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #405855=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #405856=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #405857=DIRECTION('',(0.,0.,1.)); #405858=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #405859=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #405860=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #405861=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #405862=DIRECTION('',(0.,0.,1.)); #405863=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #405864=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #405865=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #405866=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #405867=DIRECTION('',(0.,0.,1.)); #405868=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #405869=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #405870=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #405871=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #405872=DIRECTION('',(0.,0.,1.)); #405873=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #405874=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #405875=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #405876=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #405877=DIRECTION('',(0.,0.,1.)); #405878=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #405879=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #405880=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #405881=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #405882=DIRECTION('',(0.,0.,1.)); #405883=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #405884=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #405885=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #405886=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #405887=DIRECTION('',(0.,0.,1.)); #405888=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #405889=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #405890=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #405891=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #405892=DIRECTION('',(0.,0.,1.)); #405893=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #405894=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #405895=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #405896=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #405897=DIRECTION('',(0.,0.,1.)); #405898=DIRECTION('center_axis',(0.,1.,0.)); #405899=DIRECTION('ref_axis',(-1.,0.,0.)); #405900=DIRECTION('',(-1.,0.,0.)); #405901=DIRECTION('',(-1.,0.,0.)); #405902=DIRECTION('',(0.,0.,1.)); #405903=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #405904=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #405905=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #405906=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #405907=DIRECTION('',(0.,0.,1.)); #405908=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #405909=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #405910=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #405911=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #405912=DIRECTION('',(0.,0.,1.)); #405913=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #405914=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #405915=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #405916=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #405917=DIRECTION('',(0.,0.,1.)); #405918=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #405919=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #405920=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #405921=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #405922=DIRECTION('',(0.,0.,1.)); #405923=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #405924=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #405925=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #405926=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #405927=DIRECTION('',(0.,0.,1.)); #405928=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #405929=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #405930=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #405931=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #405932=DIRECTION('',(0.,0.,1.)); #405933=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #405934=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #405935=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #405936=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #405937=DIRECTION('',(0.,0.,1.)); #405938=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #405939=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #405940=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #405941=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #405942=DIRECTION('',(0.,0.,1.)); #405943=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #405944=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #405945=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #405946=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #405947=DIRECTION('',(0.,0.,1.)); #405948=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #405949=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #405950=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #405951=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #405952=DIRECTION('',(0.,0.,1.)); #405953=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #405954=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #405955=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #405956=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #405957=DIRECTION('',(0.,0.,1.)); #405958=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #405959=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #405960=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #405961=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #405962=DIRECTION('',(0.,0.,1.)); #405963=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #405964=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #405965=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #405966=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #405967=DIRECTION('',(0.,0.,1.)); #405968=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #405969=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #405970=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #405971=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #405972=DIRECTION('',(0.,0.,1.)); #405973=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405974=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405975=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405976=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405977=DIRECTION('',(0.,0.,1.)); #405978=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #405979=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #405980=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #405981=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #405982=DIRECTION('',(0.,0.,1.)); #405983=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #405984=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #405985=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #405986=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #405987=DIRECTION('',(0.,0.,1.)); #405988=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #405989=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #405990=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #405991=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #405992=DIRECTION('',(0.,0.,1.)); #405993=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #405994=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #405995=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #405996=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #405997=DIRECTION('',(0.,0.,1.)); #405998=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #405999=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #406000=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #406001=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #406002=DIRECTION('',(0.,0.,1.)); #406003=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #406004=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #406005=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #406006=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #406007=DIRECTION('',(0.,0.,1.)); #406008=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #406009=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #406010=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #406011=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #406012=DIRECTION('',(0.,0.,1.)); #406013=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #406014=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #406015=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #406016=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #406017=DIRECTION('',(0.,0.,1.)); #406018=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #406019=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #406020=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #406021=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #406022=DIRECTION('',(0.,0.,1.)); #406023=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #406024=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #406025=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #406026=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #406027=DIRECTION('',(0.,0.,1.)); #406028=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #406029=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #406030=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #406031=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #406032=DIRECTION('',(0.,0.,1.)); #406033=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #406034=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #406035=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #406036=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #406037=DIRECTION('',(0.,0.,1.)); #406038=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #406039=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #406040=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #406041=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #406042=DIRECTION('',(0.,0.,1.)); #406043=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #406044=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #406045=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #406046=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #406047=DIRECTION('',(0.,0.,1.)); #406048=DIRECTION('center_axis',(1.,0.,0.)); #406049=DIRECTION('ref_axis',(0.,1.,0.)); #406050=DIRECTION('',(0.,1.,0.)); #406051=DIRECTION('',(0.,1.,0.)); #406052=DIRECTION('',(0.,0.,1.)); #406053=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #406054=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #406055=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #406056=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #406057=DIRECTION('',(0.,0.,1.)); #406058=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #406059=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #406060=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #406061=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #406062=DIRECTION('',(0.,0.,1.)); #406063=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #406064=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #406065=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #406066=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #406067=DIRECTION('',(0.,0.,1.)); #406068=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #406069=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #406070=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #406071=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #406072=DIRECTION('',(0.,0.,1.)); #406073=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #406074=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #406075=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #406076=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #406077=DIRECTION('',(0.,0.,1.)); #406078=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #406079=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #406080=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #406081=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #406082=DIRECTION('',(0.,0.,1.)); #406083=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #406084=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #406085=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #406086=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #406087=DIRECTION('',(0.,0.,1.)); #406088=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #406089=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #406090=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #406091=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #406092=DIRECTION('',(0.,0.,1.)); #406093=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #406094=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #406095=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #406096=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #406097=DIRECTION('',(0.,0.,1.)); #406098=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #406099=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #406100=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #406101=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #406102=DIRECTION('',(0.,0.,1.)); #406103=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #406104=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #406105=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #406106=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #406107=DIRECTION('',(0.,0.,1.)); #406108=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #406109=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #406110=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #406111=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #406112=DIRECTION('',(0.,0.,1.)); #406113=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #406114=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #406115=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #406116=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #406117=DIRECTION('',(0.,0.,1.)); #406118=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #406119=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #406120=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #406121=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #406122=DIRECTION('',(0.,0.,1.)); #406123=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #406124=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #406125=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #406126=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #406127=DIRECTION('',(0.,0.,1.)); #406128=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #406129=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #406130=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #406131=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #406132=DIRECTION('',(0.,0.,1.)); #406133=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #406134=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #406135=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #406136=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #406137=DIRECTION('',(0.,0.,1.)); #406138=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #406139=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #406140=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #406141=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #406142=DIRECTION('',(0.,0.,1.)); #406143=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #406144=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #406145=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #406146=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #406147=DIRECTION('',(0.,0.,1.)); #406148=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #406149=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #406150=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #406151=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #406152=DIRECTION('',(0.,0.,1.)); #406153=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #406154=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #406155=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #406156=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #406157=DIRECTION('',(0.,0.,1.)); #406158=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #406159=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #406160=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #406161=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #406162=DIRECTION('',(0.,0.,1.)); #406163=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #406164=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #406165=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #406166=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #406167=DIRECTION('',(0.,0.,1.)); #406168=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #406169=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #406170=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #406171=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #406172=DIRECTION('',(0.,0.,1.)); #406173=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #406174=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #406175=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #406176=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #406177=DIRECTION('',(0.,0.,1.)); #406178=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #406179=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #406180=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #406181=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #406182=DIRECTION('',(0.,0.,1.)); #406183=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #406184=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #406185=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #406186=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #406187=DIRECTION('',(0.,0.,1.)); #406188=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #406189=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #406190=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #406191=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #406192=DIRECTION('center_axis',(0.,0.,1.)); #406193=DIRECTION('ref_axis',(1.,0.,0.)); #406194=DIRECTION('center_axis',(0.,0.,1.)); #406195=DIRECTION('ref_axis',(1.,0.,0.)); #406196=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #406197=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #406198=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #406199=DIRECTION('',(0.,0.,1.)); #406200=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #406201=DIRECTION('',(0.,0.,1.)); #406202=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #406203=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #406204=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406205=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406206=DIRECTION('',(0.,0.,1.)); #406207=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #406208=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #406209=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #406210=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #406211=DIRECTION('',(0.,0.,1.)); #406212=DIRECTION('center_axis',(-0.707106781186525,-0.70710678118657,0.)); #406213=DIRECTION('ref_axis',(0.70710678118657,-0.707106781186525,0.)); #406214=DIRECTION('',(0.70710678118657,-0.707106781186525,0.)); #406215=DIRECTION('',(0.70710678118657,-0.707106781186525,0.)); #406216=DIRECTION('',(0.,0.,1.)); #406217=DIRECTION('center_axis',(-0.829203833807437,-0.558946331948828, 0.)); #406218=DIRECTION('ref_axis',(0.558946331948828,-0.829203833807437,0.)); #406219=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #406220=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #406221=DIRECTION('',(0.,0.,1.)); #406222=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #406223=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #406224=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #406225=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #406226=DIRECTION('',(0.,0.,1.)); #406227=DIRECTION('center_axis',(-1.,0.,0.)); #406228=DIRECTION('ref_axis',(0.,-1.,0.)); #406229=DIRECTION('',(0.,-1.,0.)); #406230=DIRECTION('',(0.,-1.,0.)); #406231=DIRECTION('',(0.,0.,1.)); #406232=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #406233=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #406234=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #406235=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #406236=DIRECTION('',(0.,0.,1.)); #406237=DIRECTION('center_axis',(-0.850871695642093,0.525373540973609,0.)); #406238=DIRECTION('ref_axis',(-0.525373540973609,-0.850871695642093,0.)); #406239=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #406240=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #406241=DIRECTION('',(0.,0.,1.)); #406242=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #406243=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #406244=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #406245=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #406246=DIRECTION('',(0.,0.,1.)); #406247=DIRECTION('center_axis',(-0.214674314516999,0.976685690837465,0.)); #406248=DIRECTION('ref_axis',(-0.976685690837465,-0.214674314516999,0.)); #406249=DIRECTION('',(-0.976685690837465,-0.214674314516999,0.)); #406250=DIRECTION('',(-0.976685690837465,-0.214674314516999,0.)); #406251=DIRECTION('',(0.,0.,1.)); #406252=DIRECTION('center_axis',(0.183334818573814,0.983050529880589,0.)); #406253=DIRECTION('ref_axis',(-0.983050529880589,0.183334818573814,0.)); #406254=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #406255=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #406256=DIRECTION('',(0.,0.,1.)); #406257=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #406258=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #406259=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #406260=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #406261=DIRECTION('',(0.,0.,1.)); #406262=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #406263=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #406264=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #406265=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #406266=DIRECTION('',(0.,0.,1.)); #406267=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #406268=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #406269=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #406270=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #406271=DIRECTION('',(0.,0.,1.)); #406272=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #406273=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #406274=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #406275=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #406276=DIRECTION('',(0.,0.,1.)); #406277=DIRECTION('center_axis',(1.,0.,0.)); #406278=DIRECTION('ref_axis',(0.,1.,0.)); #406279=DIRECTION('',(0.,1.,0.)); #406280=DIRECTION('',(0.,1.,0.)); #406281=DIRECTION('',(0.,0.,1.)); #406282=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #406283=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #406284=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #406285=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #406286=DIRECTION('',(0.,0.,1.)); #406287=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #406288=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #406289=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #406290=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #406291=DIRECTION('',(0.,0.,1.)); #406292=DIRECTION('center_axis',(0.707106781186525,-0.70710678118657,0.)); #406293=DIRECTION('ref_axis',(0.70710678118657,0.707106781186525,0.)); #406294=DIRECTION('',(0.70710678118657,0.707106781186525,0.)); #406295=DIRECTION('',(0.70710678118657,0.707106781186525,0.)); #406296=DIRECTION('',(0.,0.,1.)); #406297=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #406298=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #406299=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #406300=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #406301=DIRECTION('center_axis',(0.,0.,1.)); #406302=DIRECTION('ref_axis',(1.,0.,0.)); #406303=DIRECTION('center_axis',(0.,0.,1.)); #406304=DIRECTION('ref_axis',(1.,0.,0.)); #406305=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #406306=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #406307=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #406308=DIRECTION('',(0.,0.,1.)); #406309=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #406310=DIRECTION('',(0.,0.,1.)); #406311=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #406312=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #406313=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #406314=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #406315=DIRECTION('',(0.,0.,1.)); #406316=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #406317=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #406318=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #406319=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #406320=DIRECTION('',(0.,0.,1.)); #406321=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #406322=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #406323=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406324=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406325=DIRECTION('',(0.,0.,1.)); #406326=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #406327=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #406328=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #406329=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #406330=DIRECTION('',(0.,0.,1.)); #406331=DIRECTION('center_axis',(-1.,0.,0.)); #406332=DIRECTION('ref_axis',(0.,-1.,0.)); #406333=DIRECTION('',(0.,-1.,0.)); #406334=DIRECTION('',(0.,-1.,0.)); #406335=DIRECTION('',(0.,0.,1.)); #406336=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #406337=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #406338=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #406339=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #406340=DIRECTION('',(0.,0.,1.)); #406341=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #406342=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #406343=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #406344=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #406345=DIRECTION('',(0.,0.,1.)); #406346=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #406347=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #406348=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #406349=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #406350=DIRECTION('',(0.,0.,1.)); #406351=DIRECTION('center_axis',(-1.,0.,0.)); #406352=DIRECTION('ref_axis',(0.,-1.,0.)); #406353=DIRECTION('',(0.,-1.,0.)); #406354=DIRECTION('',(0.,-1.,0.)); #406355=DIRECTION('',(0.,0.,1.)); #406356=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #406357=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #406358=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #406359=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #406360=DIRECTION('',(0.,0.,1.)); #406361=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #406362=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #406363=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #406364=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #406365=DIRECTION('',(0.,0.,1.)); #406366=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #406367=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #406368=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #406369=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #406370=DIRECTION('',(0.,0.,1.)); #406371=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #406372=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #406373=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #406374=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #406375=DIRECTION('',(0.,0.,1.)); #406376=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #406377=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #406378=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #406379=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #406380=DIRECTION('',(0.,0.,1.)); #406381=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #406382=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #406383=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #406384=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #406385=DIRECTION('',(0.,0.,1.)); #406386=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #406387=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #406388=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #406389=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #406390=DIRECTION('',(0.,0.,1.)); #406391=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #406392=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #406393=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #406394=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #406395=DIRECTION('',(0.,0.,1.)); #406396=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #406397=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #406398=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #406399=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #406400=DIRECTION('',(0.,0.,1.)); #406401=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #406402=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #406403=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #406404=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #406405=DIRECTION('',(0.,0.,1.)); #406406=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #406407=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #406408=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406409=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406410=DIRECTION('',(0.,0.,1.)); #406411=DIRECTION('center_axis',(1.,0.,0.)); #406412=DIRECTION('ref_axis',(0.,1.,0.)); #406413=DIRECTION('',(0.,1.,0.)); #406414=DIRECTION('',(0.,1.,0.)); #406415=DIRECTION('',(0.,0.,1.)); #406416=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #406417=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #406418=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #406419=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #406420=DIRECTION('',(0.,0.,1.)); #406421=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #406422=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #406423=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #406424=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #406425=DIRECTION('',(0.,0.,1.)); #406426=DIRECTION('center_axis',(0.70710678118656,-0.707106781186535,0.)); #406427=DIRECTION('ref_axis',(0.707106781186535,0.70710678118656,0.)); #406428=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #406429=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #406430=DIRECTION('',(0.,0.,1.)); #406431=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #406432=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #406433=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #406434=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #406435=DIRECTION('center_axis',(0.,0.,1.)); #406436=DIRECTION('ref_axis',(1.,0.,0.)); #406437=DIRECTION('center_axis',(0.,0.,1.)); #406438=DIRECTION('ref_axis',(1.,0.,0.)); #406439=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #406440=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #406441=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406442=DIRECTION('',(0.,0.,1.)); #406443=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406444=DIRECTION('',(0.,0.,1.)); #406445=DIRECTION('center_axis',(0.,-1.,0.)); #406446=DIRECTION('ref_axis',(1.,0.,0.)); #406447=DIRECTION('',(1.,0.,0.)); #406448=DIRECTION('',(1.,0.,0.)); #406449=DIRECTION('',(0.,0.,1.)); #406450=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #406451=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #406452=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406453=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406454=DIRECTION('',(0.,0.,1.)); #406455=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #406456=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #406457=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #406458=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #406459=DIRECTION('',(0.,0.,1.)); #406460=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #406461=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #406462=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406463=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406464=DIRECTION('',(0.,0.,1.)); #406465=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #406466=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #406467=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #406468=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #406469=DIRECTION('',(0.,0.,1.)); #406470=DIRECTION('center_axis',(-1.,0.,0.)); #406471=DIRECTION('ref_axis',(0.,-1.,0.)); #406472=DIRECTION('',(0.,-1.,0.)); #406473=DIRECTION('',(0.,-1.,0.)); #406474=DIRECTION('',(0.,0.,1.)); #406475=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #406476=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #406477=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #406478=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #406479=DIRECTION('',(0.,0.,1.)); #406480=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #406481=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #406482=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #406483=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #406484=DIRECTION('',(0.,0.,1.)); #406485=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #406486=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #406487=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #406488=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #406489=DIRECTION('',(0.,0.,1.)); #406490=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #406491=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #406492=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #406493=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #406494=DIRECTION('',(0.,0.,1.)); #406495=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #406496=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #406497=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #406498=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #406499=DIRECTION('',(0.,0.,1.)); #406500=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #406501=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #406502=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #406503=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #406504=DIRECTION('',(0.,0.,1.)); #406505=DIRECTION('center_axis',(0.538911466746188,0.842362410729178,0.)); #406506=DIRECTION('ref_axis',(-0.842362410729178,0.538911466746188,0.)); #406507=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #406508=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #406509=DIRECTION('',(0.,0.,1.)); #406510=DIRECTION('center_axis',(0.824745549033805,0.565504004715199,0.)); #406511=DIRECTION('ref_axis',(-0.565504004715199,0.824745549033805,0.)); #406512=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #406513=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #406514=DIRECTION('',(0.,0.,1.)); #406515=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #406516=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #406517=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #406518=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #406519=DIRECTION('',(0.,0.,1.)); #406520=DIRECTION('center_axis',(1.,0.,0.)); #406521=DIRECTION('ref_axis',(0.,1.,0.)); #406522=DIRECTION('',(0.,1.,0.)); #406523=DIRECTION('',(0.,1.,0.)); #406524=DIRECTION('',(0.,0.,1.)); #406525=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #406526=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #406527=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #406528=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #406529=DIRECTION('',(0.,0.,1.)); #406530=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #406531=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #406532=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #406533=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #406534=DIRECTION('',(0.,0.,1.)); #406535=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #406536=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #406537=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #406538=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #406539=DIRECTION('center_axis',(0.,0.,1.)); #406540=DIRECTION('ref_axis',(1.,0.,0.)); #406541=DIRECTION('center_axis',(0.,0.,1.)); #406542=DIRECTION('ref_axis',(1.,0.,0.)); #406543=DIRECTION('center_axis',(0.23016568371465,-0.973151456886423,0.)); #406544=DIRECTION('ref_axis',(0.973151456886423,0.23016568371465,0.)); #406545=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #406546=DIRECTION('',(0.,0.,1.)); #406547=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #406548=DIRECTION('',(0.,0.,1.)); #406549=DIRECTION('center_axis',(-0.167643887112304,-0.985847618607398, 0.)); #406550=DIRECTION('ref_axis',(0.985847618607398,-0.167643887112304,0.)); #406551=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #406552=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #406553=DIRECTION('',(0.,0.,1.)); #406554=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #406555=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #406556=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #406557=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #406558=DIRECTION('',(0.,0.,1.)); #406559=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #406560=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #406561=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406562=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406563=DIRECTION('',(0.,0.,1.)); #406564=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #406565=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #406566=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #406567=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #406568=DIRECTION('',(0.,0.,1.)); #406569=DIRECTION('center_axis',(-1.,0.,0.)); #406570=DIRECTION('ref_axis',(0.,-1.,0.)); #406571=DIRECTION('',(0.,-1.,0.)); #406572=DIRECTION('',(0.,-1.,0.)); #406573=DIRECTION('',(0.,0.,1.)); #406574=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #406575=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #406576=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #406577=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #406578=DIRECTION('',(0.,0.,1.)); #406579=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #406580=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #406581=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #406582=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #406583=DIRECTION('',(0.,0.,1.)); #406584=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #406585=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #406586=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #406587=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #406588=DIRECTION('',(0.,0.,1.)); #406589=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #406590=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #406591=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #406592=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #406593=DIRECTION('',(0.,0.,1.)); #406594=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #406595=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #406596=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #406597=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #406598=DIRECTION('',(0.,0.,1.)); #406599=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #406600=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #406601=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #406602=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #406603=DIRECTION('',(0.,0.,1.)); #406604=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406605=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406606=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406607=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406608=DIRECTION('',(0.,0.,1.)); #406609=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #406610=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #406611=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #406612=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #406613=DIRECTION('',(0.,0.,1.)); #406614=DIRECTION('center_axis',(0.,1.,0.)); #406615=DIRECTION('ref_axis',(-1.,0.,0.)); #406616=DIRECTION('',(-1.,0.,0.)); #406617=DIRECTION('',(-1.,0.,0.)); #406618=DIRECTION('',(0.,0.,1.)); #406619=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #406620=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #406621=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #406622=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #406623=DIRECTION('',(0.,0.,1.)); #406624=DIRECTION('center_axis',(0.525373540973438,0.850871695642199,0.)); #406625=DIRECTION('ref_axis',(-0.850871695642199,0.525373540973438,0.)); #406626=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #406627=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #406628=DIRECTION('',(0.,0.,1.)); #406629=DIRECTION('center_axis',(0.81569385217889,0.578483828224751,0.)); #406630=DIRECTION('ref_axis',(-0.578483828224751,0.81569385217889,0.)); #406631=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #406632=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #406633=DIRECTION('',(0.,0.,1.)); #406634=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #406635=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #406636=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #406637=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #406638=DIRECTION('',(0.,0.,1.)); #406639=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #406640=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #406641=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #406642=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #406643=DIRECTION('',(0.,0.,1.)); #406644=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #406645=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #406646=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #406647=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #406648=DIRECTION('',(0.,0.,1.)); #406649=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #406650=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #406651=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #406652=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #406653=DIRECTION('',(0.,0.,1.)); #406654=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #406655=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #406656=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #406657=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #406658=DIRECTION('center_axis',(0.,0.,1.)); #406659=DIRECTION('ref_axis',(1.,0.,0.)); #406660=DIRECTION('center_axis',(0.,0.,1.)); #406661=DIRECTION('ref_axis',(1.,0.,0.)); #406662=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #406663=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #406664=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #406665=DIRECTION('',(0.,0.,1.)); #406666=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #406667=DIRECTION('',(0.,0.,1.)); #406668=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #406669=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #406670=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #406671=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #406672=DIRECTION('',(0.,0.,1.)); #406673=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #406674=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #406675=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #406676=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #406677=DIRECTION('',(0.,0.,1.)); #406678=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406679=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406680=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406681=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406682=DIRECTION('',(0.,0.,1.)); #406683=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #406684=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #406685=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #406686=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #406687=DIRECTION('',(0.,0.,1.)); #406688=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #406689=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #406690=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #406691=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #406692=DIRECTION('',(0.,0.,1.)); #406693=DIRECTION('center_axis',(-1.,0.,0.)); #406694=DIRECTION('ref_axis',(0.,-1.,0.)); #406695=DIRECTION('',(0.,-1.,0.)); #406696=DIRECTION('',(0.,-1.,0.)); #406697=DIRECTION('',(0.,0.,1.)); #406698=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #406699=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #406700=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #406701=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #406702=DIRECTION('',(0.,0.,1.)); #406703=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #406704=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #406705=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #406706=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #406707=DIRECTION('',(0.,0.,1.)); #406708=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #406709=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #406710=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #406711=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #406712=DIRECTION('',(0.,0.,1.)); #406713=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #406714=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #406715=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #406716=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #406717=DIRECTION('',(0.,0.,1.)); #406718=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #406719=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #406720=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #406721=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #406722=DIRECTION('',(0.,0.,1.)); #406723=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #406724=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #406725=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #406726=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #406727=DIRECTION('',(0.,0.,1.)); #406728=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #406729=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #406730=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #406731=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #406732=DIRECTION('',(0.,0.,1.)); #406733=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #406734=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #406735=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #406736=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #406737=DIRECTION('',(0.,0.,1.)); #406738=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #406739=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #406740=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406741=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406742=DIRECTION('',(0.,0.,1.)); #406743=DIRECTION('center_axis',(1.,0.,0.)); #406744=DIRECTION('ref_axis',(0.,1.,0.)); #406745=DIRECTION('',(0.,1.,0.)); #406746=DIRECTION('',(0.,1.,0.)); #406747=DIRECTION('',(0.,0.,1.)); #406748=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #406749=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #406750=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #406751=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #406752=DIRECTION('',(0.,0.,1.)); #406753=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #406754=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #406755=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #406756=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #406757=DIRECTION('',(0.,0.,1.)); #406758=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #406759=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #406760=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #406761=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #406762=DIRECTION('',(0.,0.,1.)); #406763=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #406764=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #406765=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #406766=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #406767=DIRECTION('center_axis',(0.,0.,1.)); #406768=DIRECTION('ref_axis',(1.,0.,0.)); #406769=DIRECTION('center_axis',(0.,0.,1.)); #406770=DIRECTION('ref_axis',(1.,0.,0.)); #406771=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #406772=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #406773=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #406774=DIRECTION('',(0.,0.,1.)); #406775=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #406776=DIRECTION('',(0.,0.,1.)); #406777=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #406778=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #406779=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406780=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406781=DIRECTION('',(0.,0.,1.)); #406782=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #406783=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #406784=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #406785=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #406786=DIRECTION('',(0.,0.,1.)); #406787=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406788=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406789=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406790=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406791=DIRECTION('',(0.,0.,1.)); #406792=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #406793=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #406794=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #406795=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #406796=DIRECTION('',(0.,0.,1.)); #406797=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #406798=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #406799=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #406800=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #406801=DIRECTION('',(0.,0.,1.)); #406802=DIRECTION('center_axis',(-1.,0.,0.)); #406803=DIRECTION('ref_axis',(0.,-1.,0.)); #406804=DIRECTION('',(0.,-1.,0.)); #406805=DIRECTION('',(0.,-1.,0.)); #406806=DIRECTION('',(0.,0.,1.)); #406807=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #406808=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #406809=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #406810=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #406811=DIRECTION('',(0.,0.,1.)); #406812=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #406813=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #406814=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #406815=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #406816=DIRECTION('',(0.,0.,1.)); #406817=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #406818=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #406819=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #406820=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #406821=DIRECTION('',(0.,0.,1.)); #406822=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #406823=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #406824=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #406825=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #406826=DIRECTION('',(0.,0.,1.)); #406827=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #406828=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #406829=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #406830=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #406831=DIRECTION('',(0.,0.,1.)); #406832=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #406833=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #406834=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #406835=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #406836=DIRECTION('',(0.,0.,1.)); #406837=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #406838=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #406839=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #406840=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #406841=DIRECTION('',(0.,0.,1.)); #406842=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #406843=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #406844=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #406845=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #406846=DIRECTION('',(0.,0.,1.)); #406847=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406848=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406849=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406850=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406851=DIRECTION('',(0.,0.,1.)); #406852=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #406853=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #406854=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #406855=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #406856=DIRECTION('',(0.,0.,1.)); #406857=DIRECTION('center_axis',(0.,1.,0.)); #406858=DIRECTION('ref_axis',(-1.,0.,0.)); #406859=DIRECTION('',(-1.,0.,0.)); #406860=DIRECTION('',(-1.,0.,0.)); #406861=DIRECTION('',(0.,0.,1.)); #406862=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #406863=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #406864=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #406865=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #406866=DIRECTION('',(0.,0.,1.)); #406867=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #406868=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #406869=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #406870=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #406871=DIRECTION('',(0.,0.,1.)); #406872=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #406873=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #406874=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #406875=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #406876=DIRECTION('',(0.,0.,1.)); #406877=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #406878=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #406879=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #406880=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #406881=DIRECTION('',(0.,0.,1.)); #406882=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #406883=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #406884=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #406885=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #406886=DIRECTION('',(0.,0.,1.)); #406887=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #406888=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #406889=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #406890=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #406891=DIRECTION('',(0.,0.,1.)); #406892=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #406893=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #406894=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #406895=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #406896=DIRECTION('',(0.,0.,1.)); #406897=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #406898=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #406899=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406900=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #406901=DIRECTION('',(0.,0.,1.)); #406902=DIRECTION('center_axis',(1.,0.,0.)); #406903=DIRECTION('ref_axis',(0.,1.,0.)); #406904=DIRECTION('',(0.,1.,0.)); #406905=DIRECTION('',(0.,1.,0.)); #406906=DIRECTION('',(0.,0.,1.)); #406907=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #406908=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #406909=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #406910=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #406911=DIRECTION('',(0.,0.,1.)); #406912=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #406913=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #406914=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #406915=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #406916=DIRECTION('',(0.,0.,1.)); #406917=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #406918=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #406919=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #406920=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #406921=DIRECTION('center_axis',(0.,0.,1.)); #406922=DIRECTION('ref_axis',(1.,0.,0.)); #406923=DIRECTION('center_axis',(0.,0.,1.)); #406924=DIRECTION('ref_axis',(1.,0.,0.)); #406925=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #406926=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #406927=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406928=DIRECTION('',(0.,0.,1.)); #406929=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406930=DIRECTION('',(0.,0.,1.)); #406931=DIRECTION('center_axis',(0.,-1.,0.)); #406932=DIRECTION('ref_axis',(1.,0.,0.)); #406933=DIRECTION('',(1.,0.,0.)); #406934=DIRECTION('',(1.,0.,0.)); #406935=DIRECTION('',(0.,0.,1.)); #406936=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #406937=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #406938=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406939=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406940=DIRECTION('',(0.,0.,1.)); #406941=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #406942=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #406943=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #406944=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #406945=DIRECTION('',(0.,0.,1.)); #406946=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #406947=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #406948=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406949=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #406950=DIRECTION('',(0.,0.,1.)); #406951=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #406952=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #406953=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #406954=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #406955=DIRECTION('',(0.,0.,1.)); #406956=DIRECTION('center_axis',(-1.,0.,0.)); #406957=DIRECTION('ref_axis',(0.,-1.,0.)); #406958=DIRECTION('',(0.,-1.,0.)); #406959=DIRECTION('',(0.,-1.,0.)); #406960=DIRECTION('',(0.,0.,1.)); #406961=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #406962=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #406963=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #406964=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #406965=DIRECTION('',(0.,0.,1.)); #406966=DIRECTION('center_axis',(-0.850871695642265,0.525373540973332,0.)); #406967=DIRECTION('ref_axis',(-0.525373540973332,-0.850871695642265,0.)); #406968=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #406969=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #406970=DIRECTION('',(0.,0.,1.)); #406971=DIRECTION('center_axis',(-0.578483828224751,0.81569385217889,0.)); #406972=DIRECTION('ref_axis',(-0.81569385217889,-0.578483828224751,0.)); #406973=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #406974=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #406975=DIRECTION('',(0.,0.,1.)); #406976=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #406977=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #406978=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #406979=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #406980=DIRECTION('',(0.,0.,1.)); #406981=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #406982=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #406983=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #406984=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #406985=DIRECTION('',(0.,0.,1.)); #406986=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #406987=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #406988=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #406989=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #406990=DIRECTION('',(0.,0.,1.)); #406991=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406992=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406993=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406994=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406995=DIRECTION('',(0.,0.,1.)); #406996=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #406997=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #406998=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #406999=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #407000=DIRECTION('',(0.,0.,1.)); #407001=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #407002=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #407003=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #407004=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #407005=DIRECTION('',(0.,0.,1.)); #407006=DIRECTION('center_axis',(1.,0.,0.)); #407007=DIRECTION('ref_axis',(0.,1.,0.)); #407008=DIRECTION('',(0.,1.,0.)); #407009=DIRECTION('',(0.,1.,0.)); #407010=DIRECTION('',(0.,0.,1.)); #407011=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #407012=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #407013=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407014=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407015=DIRECTION('',(0.,0.,1.)); #407016=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #407017=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #407018=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #407019=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #407020=DIRECTION('',(0.,0.,1.)); #407021=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #407022=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #407023=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #407024=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #407025=DIRECTION('center_axis',(0.,0.,1.)); #407026=DIRECTION('ref_axis',(1.,0.,0.)); #407027=DIRECTION('center_axis',(0.,0.,1.)); #407028=DIRECTION('ref_axis',(1.,0.,0.)); #407029=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #407030=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #407031=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #407032=DIRECTION('',(0.,0.,1.)); #407033=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #407034=DIRECTION('',(0.,0.,1.)); #407035=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #407036=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #407037=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #407038=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #407039=DIRECTION('',(0.,0.,1.)); #407040=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #407041=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #407042=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #407043=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #407044=DIRECTION('',(0.,0.,1.)); #407045=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #407046=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #407047=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #407048=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #407049=DIRECTION('',(0.,0.,1.)); #407050=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #407051=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #407052=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #407053=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #407054=DIRECTION('',(0.,0.,1.)); #407055=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #407056=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #407057=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #407058=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #407059=DIRECTION('',(0.,0.,1.)); #407060=DIRECTION('center_axis',(-1.,0.,0.)); #407061=DIRECTION('ref_axis',(0.,-1.,0.)); #407062=DIRECTION('',(0.,-1.,0.)); #407063=DIRECTION('',(0.,-1.,0.)); #407064=DIRECTION('',(0.,0.,1.)); #407065=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #407066=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #407067=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #407068=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #407069=DIRECTION('',(0.,0.,1.)); #407070=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #407071=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #407072=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #407073=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #407074=DIRECTION('',(0.,0.,1.)); #407075=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #407076=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #407077=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #407078=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #407079=DIRECTION('',(0.,0.,1.)); #407080=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #407081=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #407082=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #407083=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #407084=DIRECTION('',(0.,0.,1.)); #407085=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #407086=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #407087=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #407088=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #407089=DIRECTION('',(0.,0.,1.)); #407090=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #407091=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #407092=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #407093=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #407094=DIRECTION('',(0.,0.,1.)); #407095=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407096=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407097=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407098=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407099=DIRECTION('',(0.,0.,1.)); #407100=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #407101=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #407102=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #407103=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #407104=DIRECTION('',(0.,0.,1.)); #407105=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #407106=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #407107=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #407108=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #407109=DIRECTION('',(0.,0.,1.)); #407110=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #407111=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #407112=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #407113=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #407114=DIRECTION('',(0.,0.,1.)); #407115=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #407116=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #407117=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407118=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407119=DIRECTION('',(0.,0.,1.)); #407120=DIRECTION('center_axis',(1.,0.,0.)); #407121=DIRECTION('ref_axis',(0.,1.,0.)); #407122=DIRECTION('',(0.,1.,0.)); #407123=DIRECTION('',(0.,1.,0.)); #407124=DIRECTION('',(0.,0.,1.)); #407125=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #407126=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #407127=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #407128=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #407129=DIRECTION('',(0.,0.,1.)); #407130=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #407131=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #407132=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #407133=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #407134=DIRECTION('',(0.,0.,1.)); #407135=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #407136=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #407137=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #407138=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #407139=DIRECTION('',(0.,0.,1.)); #407140=DIRECTION('center_axis',(1.,0.,0.)); #407141=DIRECTION('ref_axis',(0.,1.,0.)); #407142=DIRECTION('',(0.,1.,0.)); #407143=DIRECTION('',(0.,1.,0.)); #407144=DIRECTION('',(0.,0.,1.)); #407145=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407146=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407147=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407148=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407149=DIRECTION('',(0.,0.,1.)); #407150=DIRECTION('center_axis',(0.,1.,0.)); #407151=DIRECTION('ref_axis',(-1.,0.,0.)); #407152=DIRECTION('',(-1.,0.,0.)); #407153=DIRECTION('',(-1.,0.,0.)); #407154=DIRECTION('',(0.,0.,1.)); #407155=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #407156=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #407157=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #407158=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #407159=DIRECTION('',(0.,0.,1.)); #407160=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #407161=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #407162=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #407163=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #407164=DIRECTION('',(0.,0.,1.)); #407165=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #407166=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #407167=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #407168=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #407169=DIRECTION('',(0.,0.,1.)); #407170=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #407171=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #407172=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407173=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #407174=DIRECTION('',(0.,0.,1.)); #407175=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #407176=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #407177=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407178=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #407179=DIRECTION('',(0.,0.,1.)); #407180=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #407181=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #407182=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #407183=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #407184=DIRECTION('',(0.,0.,1.)); #407185=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407186=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407187=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407188=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407189=DIRECTION('',(0.,0.,1.)); #407190=DIRECTION('center_axis',(0.565439331101364,-0.824789890119685,0.)); #407191=DIRECTION('ref_axis',(0.824789890119685,0.565439331101364,0.)); #407192=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #407193=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #407194=DIRECTION('center_axis',(0.,0.,1.)); #407195=DIRECTION('ref_axis',(1.,0.,0.)); #407196=DIRECTION('center_axis',(0.,0.,1.)); #407197=DIRECTION('ref_axis',(1.,0.,0.)); #407198=DIRECTION('center_axis',(0.,0.,1.)); #407199=DIRECTION('ref_axis',(1.,0.,0.)); #407200=DIRECTION('center_axis',(0.,0.,1.)); #407201=DIRECTION('ref_axis',(1.,0.,0.)); #407202=DIRECTION('',(0.,0.,1.)); #407203=DIRECTION('center_axis',(0.,0.,-1.)); #407204=DIRECTION('ref_axis',(1.,0.,0.)); #407205=DIRECTION('center_axis',(0.,0.,1.)); #407206=DIRECTION('ref_axis',(1.,0.,0.)); #407207=DIRECTION('center_axis',(0.,0.,1.)); #407208=DIRECTION('ref_axis',(1.,0.,0.)); #407209=DIRECTION('',(0.,0.,1.)); #407210=DIRECTION('center_axis',(0.,0.,-1.)); #407211=DIRECTION('ref_axis',(1.,0.,0.)); #407212=DIRECTION('center_axis',(0.,0.,1.)); #407213=DIRECTION('ref_axis',(1.,0.,0.)); #407214=DIRECTION('center_axis',(0.,0.,1.)); #407215=DIRECTION('ref_axis',(1.,0.,0.)); #407216=DIRECTION('',(0.,0.,1.)); #407217=DIRECTION('center_axis',(0.,0.,-1.)); #407218=DIRECTION('ref_axis',(1.,0.,0.)); #407219=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #407220=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #407221=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #407222=DIRECTION('',(0.,0.,1.)); #407223=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #407224=DIRECTION('',(0.,0.,1.)); #407225=DIRECTION('center_axis',(0.,-1.,0.)); #407226=DIRECTION('ref_axis',(1.,0.,0.)); #407227=DIRECTION('',(1.,0.,0.)); #407228=DIRECTION('',(1.,0.,0.)); #407229=DIRECTION('',(0.,0.,1.)); #407230=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #407231=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #407232=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #407233=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #407234=DIRECTION('',(0.,0.,1.)); #407235=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #407236=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #407237=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #407238=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #407239=DIRECTION('',(0.,0.,1.)); #407240=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407241=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407242=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407243=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407244=DIRECTION('',(0.,0.,1.)); #407245=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #407246=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #407247=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #407248=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #407249=DIRECTION('',(0.,0.,1.)); #407250=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #407251=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #407252=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407253=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407254=DIRECTION('',(0.,0.,1.)); #407255=DIRECTION('center_axis',(-1.,0.,0.)); #407256=DIRECTION('ref_axis',(0.,-1.,0.)); #407257=DIRECTION('',(0.,-1.,0.)); #407258=DIRECTION('',(0.,-1.,0.)); #407259=DIRECTION('',(0.,0.,1.)); #407260=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #407261=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #407262=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #407263=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #407264=DIRECTION('',(0.,0.,1.)); #407265=DIRECTION('center_axis',(0.,-1.,0.)); #407266=DIRECTION('ref_axis',(1.,0.,0.)); #407267=DIRECTION('',(1.,0.,0.)); #407268=DIRECTION('',(1.,0.,0.)); #407269=DIRECTION('',(0.,0.,1.)); #407270=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #407271=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #407272=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #407273=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #407274=DIRECTION('',(0.,0.,1.)); #407275=DIRECTION('center_axis',(1.,0.,0.)); #407276=DIRECTION('ref_axis',(0.,1.,0.)); #407277=DIRECTION('',(0.,1.,0.)); #407278=DIRECTION('',(0.,1.,0.)); #407279=DIRECTION('',(0.,0.,1.)); #407280=DIRECTION('center_axis',(0.983056036329382,-0.183305290257444,0.)); #407281=DIRECTION('ref_axis',(0.183305290257444,0.983056036329381,0.)); #407282=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #407283=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #407284=DIRECTION('',(0.,0.,1.)); #407285=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #407286=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #407287=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #407288=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #407289=DIRECTION('',(0.,0.,1.)); #407290=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #407291=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #407292=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #407293=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #407294=DIRECTION('',(0.,0.,1.)); #407295=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #407296=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #407297=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #407298=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #407299=DIRECTION('',(0.,0.,1.)); #407300=DIRECTION('center_axis',(0.,-1.,0.)); #407301=DIRECTION('ref_axis',(1.,0.,0.)); #407302=DIRECTION('',(1.,0.,0.)); #407303=DIRECTION('',(1.,0.,0.)); #407304=DIRECTION('',(0.,0.,1.)); #407305=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #407306=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #407307=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #407308=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #407309=DIRECTION('',(0.,0.,1.)); #407310=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #407311=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #407312=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #407313=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #407314=DIRECTION('',(0.,0.,1.)); #407315=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407316=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407317=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407318=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407319=DIRECTION('',(0.,0.,1.)); #407320=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #407321=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #407322=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407323=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407324=DIRECTION('',(0.,0.,1.)); #407325=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #407326=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #407327=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407328=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407329=DIRECTION('',(0.,0.,1.)); #407330=DIRECTION('center_axis',(-1.,0.,0.)); #407331=DIRECTION('ref_axis',(0.,-1.,0.)); #407332=DIRECTION('',(0.,-1.,0.)); #407333=DIRECTION('',(0.,-1.,0.)); #407334=DIRECTION('',(0.,0.,1.)); #407335=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #407336=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #407337=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #407338=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #407339=DIRECTION('',(0.,0.,1.)); #407340=DIRECTION('center_axis',(0.,-1.,0.)); #407341=DIRECTION('ref_axis',(1.,0.,0.)); #407342=DIRECTION('',(1.,0.,0.)); #407343=DIRECTION('',(1.,0.,0.)); #407344=DIRECTION('',(0.,0.,1.)); #407345=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #407346=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #407347=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #407348=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #407349=DIRECTION('',(0.,0.,1.)); #407350=DIRECTION('center_axis',(-0.538911466746088,-0.842362410729242, 0.)); #407351=DIRECTION('ref_axis',(0.842362410729242,-0.538911466746088,0.)); #407352=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #407353=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #407354=DIRECTION('',(0.,0.,1.)); #407355=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #407356=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #407357=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #407358=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #407359=DIRECTION('',(0.,0.,1.)); #407360=DIRECTION('center_axis',(-0.980008419561327,-0.19895601923267,0.)); #407361=DIRECTION('ref_axis',(0.19895601923267,-0.980008419561327,0.)); #407362=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #407363=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #407364=DIRECTION('',(0.,0.,1.)); #407365=DIRECTION('center_axis',(-1.,0.,0.)); #407366=DIRECTION('ref_axis',(0.,-1.,0.)); #407367=DIRECTION('',(0.,-1.,0.)); #407368=DIRECTION('',(0.,-1.,0.)); #407369=DIRECTION('',(0.,0.,1.)); #407370=DIRECTION('center_axis',(-0.983056036329391,0.183305290257396,0.)); #407371=DIRECTION('ref_axis',(-0.183305290257396,-0.983056036329391,0.)); #407372=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #407373=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #407374=DIRECTION('',(0.,0.,1.)); #407375=DIRECTION('center_axis',(-0.842362410729415,0.538911466745818,0.)); #407376=DIRECTION('ref_axis',(-0.538911466745818,-0.842362410729414,0.)); #407377=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #407378=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #407379=DIRECTION('',(0.,0.,1.)); #407380=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #407381=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #407382=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #407383=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #407384=DIRECTION('',(0.,0.,1.)); #407385=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #407386=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #407387=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407388=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407389=DIRECTION('',(0.,0.,1.)); #407390=DIRECTION('center_axis',(0.,1.,0.)); #407391=DIRECTION('ref_axis',(-1.,0.,0.)); #407392=DIRECTION('',(-1.,0.,0.)); #407393=DIRECTION('',(-1.,0.,0.)); #407394=DIRECTION('',(0.,0.,1.)); #407395=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #407396=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #407397=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #407398=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #407399=DIRECTION('',(0.,0.,1.)); #407400=DIRECTION('center_axis',(-1.,0.,0.)); #407401=DIRECTION('ref_axis',(0.,-1.,0.)); #407402=DIRECTION('',(0.,-1.,0.)); #407403=DIRECTION('',(0.,-1.,0.)); #407404=DIRECTION('',(0.,0.,1.)); #407405=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #407406=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #407407=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #407408=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #407409=DIRECTION('',(0.,0.,1.)); #407410=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #407411=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #407412=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #407413=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #407414=DIRECTION('',(0.,0.,1.)); #407415=DIRECTION('center_axis',(-0.707106781186532,0.707106781186563,0.)); #407416=DIRECTION('ref_axis',(-0.707106781186563,-0.707106781186532,0.)); #407417=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #407418=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #407419=DIRECTION('',(0.,0.,1.)); #407420=DIRECTION('center_axis',(-0.558946331949074,0.829203833807271,0.)); #407421=DIRECTION('ref_axis',(-0.829203833807271,-0.558946331949074,0.)); #407422=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #407423=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #407424=DIRECTION('',(0.,0.,1.)); #407425=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #407426=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #407427=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407428=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407429=DIRECTION('',(0.,0.,1.)); #407430=DIRECTION('center_axis',(0.,1.,0.)); #407431=DIRECTION('ref_axis',(-1.,0.,0.)); #407432=DIRECTION('',(-1.,0.,0.)); #407433=DIRECTION('',(-1.,0.,0.)); #407434=DIRECTION('',(0.,0.,1.)); #407435=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #407436=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #407437=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #407438=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #407439=DIRECTION('',(0.,0.,1.)); #407440=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #407441=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #407442=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #407443=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #407444=DIRECTION('',(0.,0.,1.)); #407445=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #407446=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #407447=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407448=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407449=DIRECTION('',(0.,0.,1.)); #407450=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #407451=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #407452=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407453=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407454=DIRECTION('',(0.,0.,1.)); #407455=DIRECTION('center_axis',(1.,0.,0.)); #407456=DIRECTION('ref_axis',(0.,1.,0.)); #407457=DIRECTION('',(0.,1.,0.)); #407458=DIRECTION('',(0.,1.,0.)); #407459=DIRECTION('',(0.,0.,1.)); #407460=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #407461=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #407462=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #407463=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #407464=DIRECTION('',(0.,0.,1.)); #407465=DIRECTION('center_axis',(0.,1.,0.)); #407466=DIRECTION('ref_axis',(-1.,0.,0.)); #407467=DIRECTION('',(-1.,0.,0.)); #407468=DIRECTION('',(-1.,0.,0.)); #407469=DIRECTION('',(0.,0.,1.)); #407470=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #407471=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #407472=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #407473=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #407474=DIRECTION('',(0.,0.,1.)); #407475=DIRECTION('center_axis',(-1.,0.,0.)); #407476=DIRECTION('ref_axis',(0.,-1.,0.)); #407477=DIRECTION('',(0.,-1.,0.)); #407478=DIRECTION('',(0.,-1.,0.)); #407479=DIRECTION('',(0.,0.,1.)); #407480=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #407481=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #407482=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #407483=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #407484=DIRECTION('',(0.,0.,1.)); #407485=DIRECTION('center_axis',(-0.833669916115169,0.552263045083163,0.)); #407486=DIRECTION('ref_axis',(-0.552263045083163,-0.833669916115169,0.)); #407487=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #407488=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #407489=DIRECTION('',(0.,0.,1.)); #407490=DIRECTION('center_axis',(-0.707106364293931,0.707107198078918,0.)); #407491=DIRECTION('ref_axis',(-0.707107198078918,-0.707106364293931,0.)); #407492=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #407493=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #407494=DIRECTION('',(0.,0.,1.)); #407495=DIRECTION('center_axis',(-0.565456021552409,0.824778447639196,0.)); #407496=DIRECTION('ref_axis',(-0.824778447639196,-0.565456021552409,0.)); #407497=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #407498=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #407499=DIRECTION('',(0.,0.,1.)); #407500=DIRECTION('center_axis',(-0.214667400660583,0.976687210468955,0.)); #407501=DIRECTION('ref_axis',(-0.976687210468955,-0.214667400660583,0.)); #407502=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #407503=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #407504=DIRECTION('',(0.,0.,1.)); #407505=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #407506=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #407507=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #407508=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #407509=DIRECTION('',(0.,0.,1.)); #407510=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #407511=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #407512=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #407513=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #407514=DIRECTION('',(0.,0.,1.)); #407515=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #407516=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #407517=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #407518=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #407519=DIRECTION('',(0.,0.,1.)); #407520=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #407521=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #407522=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #407523=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #407524=DIRECTION('',(0.,0.,1.)); #407525=DIRECTION('center_axis',(0.973151456886423,0.23016568371465,0.)); #407526=DIRECTION('ref_axis',(-0.23016568371465,0.973151456886423,0.)); #407527=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #407528=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #407529=DIRECTION('',(0.,0.,1.)); #407530=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #407531=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #407532=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #407533=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #407534=DIRECTION('',(0.,0.,1.)); #407535=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #407536=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #407537=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #407538=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #407539=DIRECTION('',(0.,0.,1.)); #407540=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #407541=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #407542=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #407543=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #407544=DIRECTION('',(0.,0.,1.)); #407545=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #407546=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #407547=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #407548=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #407549=DIRECTION('',(0.,0.,1.)); #407550=DIRECTION('center_axis',(0.,-1.,0.)); #407551=DIRECTION('ref_axis',(1.,0.,0.)); #407552=DIRECTION('',(1.,0.,0.)); #407553=DIRECTION('',(1.,0.,0.)); #407554=DIRECTION('',(0.,0.,1.)); #407555=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #407556=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #407557=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #407558=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #407559=DIRECTION('',(0.,0.,1.)); #407560=DIRECTION('center_axis',(1.,0.,0.)); #407561=DIRECTION('ref_axis',(0.,1.,0.)); #407562=DIRECTION('',(0.,1.,0.)); #407563=DIRECTION('',(0.,1.,0.)); #407564=DIRECTION('',(0.,0.,1.)); #407565=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #407566=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #407567=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #407568=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #407569=DIRECTION('',(0.,0.,1.)); #407570=DIRECTION('center_axis',(0.,1.,0.)); #407571=DIRECTION('ref_axis',(-1.,0.,0.)); #407572=DIRECTION('',(-1.,0.,0.)); #407573=DIRECTION('',(-1.,0.,0.)); #407574=DIRECTION('',(0.,0.,1.)); #407575=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #407576=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #407577=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #407578=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #407579=DIRECTION('',(0.,0.,1.)); #407580=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #407581=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #407582=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #407583=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #407584=DIRECTION('',(0.,0.,1.)); #407585=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407586=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407587=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407588=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407589=DIRECTION('',(0.,0.,1.)); #407590=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #407591=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #407592=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #407593=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #407594=DIRECTION('',(0.,0.,1.)); #407595=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #407596=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #407597=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #407598=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #407599=DIRECTION('',(0.,0.,1.)); #407600=DIRECTION('center_axis',(1.,0.,0.)); #407601=DIRECTION('ref_axis',(0.,1.,0.)); #407602=DIRECTION('',(0.,1.,0.)); #407603=DIRECTION('',(0.,1.,0.)); #407604=DIRECTION('',(0.,0.,1.)); #407605=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #407606=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #407607=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #407608=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #407609=DIRECTION('',(0.,0.,1.)); #407610=DIRECTION('center_axis',(0.85088079606363,-0.525358802048774,0.)); #407611=DIRECTION('ref_axis',(0.525358802048774,0.85088079606363,0.)); #407612=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #407613=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #407614=DIRECTION('',(0.,0.,1.)); #407615=DIRECTION('center_axis',(0.578461888589124,-0.81570941115688,0.)); #407616=DIRECTION('ref_axis',(0.81570941115688,0.578461888589123,0.)); #407617=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #407618=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #407619=DIRECTION('',(0.,0.,1.)); #407620=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #407621=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #407622=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #407623=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #407624=DIRECTION('',(0.,0.,1.)); #407625=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #407626=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #407627=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #407628=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #407629=DIRECTION('',(0.,0.,1.)); #407630=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #407631=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #407632=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #407633=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #407634=DIRECTION('',(0.,0.,1.)); #407635=DIRECTION('center_axis',(-0.707093581138329,-0.707119980988356, 0.)); #407636=DIRECTION('ref_axis',(0.707119980988356,-0.707093581138329,0.)); #407637=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #407638=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #407639=DIRECTION('',(0.,0.,1.)); #407640=DIRECTION('center_axis',(0.,-1.,0.)); #407641=DIRECTION('ref_axis',(1.,0.,0.)); #407642=DIRECTION('',(1.,0.,0.)); #407643=DIRECTION('',(1.,0.,0.)); #407644=DIRECTION('',(0.,0.,1.)); #407645=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #407646=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #407647=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #407648=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #407649=DIRECTION('',(0.,0.,1.)); #407650=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #407651=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #407652=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #407653=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #407654=DIRECTION('center_axis',(0.,0.,1.)); #407655=DIRECTION('ref_axis',(1.,0.,0.)); #407656=DIRECTION('center_axis',(0.,0.,1.)); #407657=DIRECTION('ref_axis',(1.,0.,0.)); #407658=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #407659=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #407660=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #407661=DIRECTION('',(0.,0.,1.)); #407662=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #407663=DIRECTION('',(0.,0.,1.)); #407664=DIRECTION('center_axis',(0.,-1.,0.)); #407665=DIRECTION('ref_axis',(1.,0.,0.)); #407666=DIRECTION('',(1.,0.,0.)); #407667=DIRECTION('',(1.,0.,0.)); #407668=DIRECTION('',(0.,0.,1.)); #407669=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #407670=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #407671=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #407672=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #407673=DIRECTION('',(0.,0.,1.)); #407674=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #407675=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #407676=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #407677=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #407678=DIRECTION('',(0.,0.,1.)); #407679=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407680=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407681=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407682=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407683=DIRECTION('',(0.,0.,1.)); #407684=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #407685=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #407686=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407687=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407688=DIRECTION('',(0.,0.,1.)); #407689=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #407690=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #407691=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407692=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #407693=DIRECTION('',(0.,0.,1.)); #407694=DIRECTION('center_axis',(-1.,0.,0.)); #407695=DIRECTION('ref_axis',(0.,-1.,0.)); #407696=DIRECTION('',(0.,-1.,0.)); #407697=DIRECTION('',(0.,-1.,0.)); #407698=DIRECTION('',(0.,0.,1.)); #407699=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #407700=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #407701=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #407702=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #407703=DIRECTION('',(0.,0.,1.)); #407704=DIRECTION('center_axis',(-0.850871695642159,0.525373540973504,0.)); #407705=DIRECTION('ref_axis',(-0.525373540973504,-0.850871695642159,0.)); #407706=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #407707=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #407708=DIRECTION('',(0.,0.,1.)); #407709=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #407710=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #407711=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #407712=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #407713=DIRECTION('',(0.,0.,1.)); #407714=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #407715=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #407716=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #407717=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #407718=DIRECTION('',(0.,0.,1.)); #407719=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #407720=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #407721=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #407722=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #407723=DIRECTION('',(0.,0.,1.)); #407724=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #407725=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #407726=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #407727=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #407728=DIRECTION('',(0.,0.,1.)); #407729=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407730=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407731=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407732=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407733=DIRECTION('',(0.,0.,1.)); #407734=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #407735=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #407736=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #407737=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #407738=DIRECTION('',(0.,0.,1.)); #407739=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #407740=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #407741=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407742=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #407743=DIRECTION('',(0.,0.,1.)); #407744=DIRECTION('center_axis',(1.,0.,0.)); #407745=DIRECTION('ref_axis',(0.,1.,0.)); #407746=DIRECTION('',(0.,1.,0.)); #407747=DIRECTION('',(0.,1.,0.)); #407748=DIRECTION('',(0.,0.,1.)); #407749=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #407750=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #407751=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #407752=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #407753=DIRECTION('',(0.,0.,1.)); #407754=DIRECTION('center_axis',(0.833669916115192,-0.552263045083128,0.)); #407755=DIRECTION('ref_axis',(0.552263045083128,0.833669916115192,0.)); #407756=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #407757=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #407758=DIRECTION('',(0.,0.,1.)); #407759=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #407760=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #407761=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #407762=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #407763=DIRECTION('',(0.,0.,1.)); #407764=DIRECTION('center_axis',(1.,0.,0.)); #407765=DIRECTION('ref_axis',(0.,1.,0.)); #407766=DIRECTION('',(0.,1.,0.)); #407767=DIRECTION('',(0.,1.,0.)); #407768=DIRECTION('',(0.,0.,1.)); #407769=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #407770=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #407771=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407772=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407773=DIRECTION('',(0.,0.,1.)); #407774=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #407775=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #407776=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #407777=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #407778=DIRECTION('',(0.,0.,1.)); #407779=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #407780=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #407781=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #407782=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #407783=DIRECTION('',(0.,0.,1.)); #407784=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #407785=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #407786=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #407787=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #407788=DIRECTION('',(0.,0.,1.)); #407789=DIRECTION('center_axis',(0.,-1.,0.)); #407790=DIRECTION('ref_axis',(1.,0.,0.)); #407791=DIRECTION('',(1.,0.,0.)); #407792=DIRECTION('',(1.,0.,0.)); #407793=DIRECTION('',(0.,0.,1.)); #407794=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #407795=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #407796=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #407797=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #407798=DIRECTION('',(0.,0.,1.)); #407799=DIRECTION('center_axis',(0.5589304243656,-0.82921455650422,0.)); #407800=DIRECTION('ref_axis',(0.82921455650422,0.5589304243656,0.)); #407801=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #407802=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #407803=DIRECTION('center_axis',(0.,0.,1.)); #407804=DIRECTION('ref_axis',(1.,0.,0.)); #407805=DIRECTION('center_axis',(0.,0.,1.)); #407806=DIRECTION('ref_axis',(1.,0.,0.)); #407807=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #407808=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #407809=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #407810=DIRECTION('',(0.,0.,1.)); #407811=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #407812=DIRECTION('',(0.,0.,1.)); #407813=DIRECTION('center_axis',(0.,-1.,0.)); #407814=DIRECTION('ref_axis',(1.,0.,0.)); #407815=DIRECTION('',(1.,0.,0.)); #407816=DIRECTION('',(1.,0.,0.)); #407817=DIRECTION('',(0.,0.,1.)); #407818=DIRECTION('center_axis',(-0.175502059385909,-0.984479063846106, 0.)); #407819=DIRECTION('ref_axis',(0.984479063846106,-0.175502059385909,0.)); #407820=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #407821=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #407822=DIRECTION('',(0.,0.,1.)); #407823=DIRECTION('center_axis',(-0.525373540973544,-0.850871695642134, 0.)); #407824=DIRECTION('ref_axis',(0.850871695642134,-0.525373540973544,0.)); #407825=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #407826=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #407827=DIRECTION('',(0.,0.,1.)); #407828=DIRECTION('center_axis',(-0.815693852178841,-0.57848382822482,0.)); #407829=DIRECTION('ref_axis',(0.57848382822482,-0.815693852178841,0.)); #407830=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #407831=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #407832=DIRECTION('',(0.,0.,1.)); #407833=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #407834=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #407835=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407836=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #407837=DIRECTION('',(0.,0.,1.)); #407838=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #407839=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #407840=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #407841=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #407842=DIRECTION('',(0.,0.,1.)); #407843=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #407844=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #407845=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #407846=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #407847=DIRECTION('',(0.,0.,1.)); #407848=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407849=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407850=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407851=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407852=DIRECTION('',(0.,0.,1.)); #407853=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #407854=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #407855=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #407856=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #407857=DIRECTION('',(0.,0.,1.)); #407858=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #407859=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #407860=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #407861=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #407862=DIRECTION('',(0.,0.,1.)); #407863=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #407864=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #407865=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #407866=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #407867=DIRECTION('',(0.,0.,1.)); #407868=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #407869=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #407870=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #407871=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #407872=DIRECTION('',(0.,0.,1.)); #407873=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #407874=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #407875=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #407876=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #407877=DIRECTION('',(0.,0.,1.)); #407878=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #407879=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #407880=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #407881=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #407882=DIRECTION('',(0.,0.,1.)); #407883=DIRECTION('center_axis',(1.,0.,0.)); #407884=DIRECTION('ref_axis',(0.,1.,0.)); #407885=DIRECTION('',(0.,1.,0.)); #407886=DIRECTION('',(0.,1.,0.)); #407887=DIRECTION('',(0.,0.,1.)); #407888=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #407889=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #407890=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407891=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #407892=DIRECTION('',(0.,0.,1.)); #407893=DIRECTION('center_axis',(0.842362410729306,-0.538911466745989,0.)); #407894=DIRECTION('ref_axis',(0.538911466745989,0.842362410729306,0.)); #407895=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #407896=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #407897=DIRECTION('',(0.,0.,1.)); #407898=DIRECTION('center_axis',(0.565504004714931,-0.824745549033988,0.)); #407899=DIRECTION('ref_axis',(0.824745549033988,0.565504004714931,0.)); #407900=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #407901=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #407902=DIRECTION('center_axis',(0.,0.,1.)); #407903=DIRECTION('ref_axis',(1.,0.,0.)); #407904=DIRECTION('center_axis',(0.,0.,1.)); #407905=DIRECTION('ref_axis',(1.,0.,0.)); #407906=DIRECTION('center_axis',(0.,0.,1.)); #407907=DIRECTION('ref_axis',(1.,0.,0.)); #407908=DIRECTION('center_axis',(0.,0.,1.)); #407909=DIRECTION('ref_axis',(1.,0.,0.)); #407910=DIRECTION('',(0.,0.,1.)); #407911=DIRECTION('center_axis',(0.,0.,-1.)); #407912=DIRECTION('ref_axis',(1.,0.,0.)); #407913=DIRECTION('center_axis',(0.,0.,1.)); #407914=DIRECTION('ref_axis',(1.,0.,0.)); #407915=DIRECTION('center_axis',(0.,0.,1.)); #407916=DIRECTION('ref_axis',(1.,0.,0.)); #407917=DIRECTION('',(0.,0.,1.)); #407918=DIRECTION('center_axis',(0.,0.,-1.)); #407919=DIRECTION('ref_axis',(1.,0.,0.)); #407920=DIRECTION('center_axis',(0.,0.,1.)); #407921=DIRECTION('ref_axis',(1.,0.,0.)); #407922=DIRECTION('center_axis',(0.,0.,1.)); #407923=DIRECTION('ref_axis',(1.,0.,0.)); #407924=DIRECTION('',(0.,0.,1.)); #407925=DIRECTION('center_axis',(0.,0.,-1.)); #407926=DIRECTION('ref_axis',(1.,0.,0.)); #407927=DIRECTION('center_axis',(0.,0.,1.)); #407928=DIRECTION('ref_axis',(1.,0.,0.)); #407929=DIRECTION('center_axis',(0.,0.,1.)); #407930=DIRECTION('ref_axis',(1.,0.,0.)); #407931=DIRECTION('',(0.,0.,1.)); #407932=DIRECTION('center_axis',(0.,0.,-1.)); #407933=DIRECTION('ref_axis',(1.,0.,0.)); #407934=DIRECTION('center_axis',(0.,0.,1.)); #407935=DIRECTION('ref_axis',(1.,0.,0.)); #407936=DIRECTION('center_axis',(0.,0.,1.)); #407937=DIRECTION('ref_axis',(1.,0.,0.)); #407938=DIRECTION('',(0.,0.,1.)); #407939=DIRECTION('center_axis',(0.,0.,-1.)); #407940=DIRECTION('ref_axis',(1.,0.,0.)); #407941=DIRECTION('center_axis',(0.,0.,1.)); #407942=DIRECTION('ref_axis',(1.,0.,0.)); #407943=DIRECTION('center_axis',(0.,0.,1.)); #407944=DIRECTION('ref_axis',(1.,0.,0.)); #407945=DIRECTION('',(0.,0.,1.)); #407946=DIRECTION('center_axis',(0.,0.,-1.)); #407947=DIRECTION('ref_axis',(1.,0.,0.)); #407948=DIRECTION('center_axis',(0.,0.,1.)); #407949=DIRECTION('ref_axis',(1.,0.,0.)); #407950=DIRECTION('center_axis',(0.,0.,1.)); #407951=DIRECTION('ref_axis',(1.,0.,0.)); #407952=DIRECTION('',(0.,0.,1.)); #407953=DIRECTION('center_axis',(0.,0.,-1.)); #407954=DIRECTION('ref_axis',(1.,0.,0.)); #407955=DIRECTION('center_axis',(0.,0.,1.)); #407956=DIRECTION('ref_axis',(1.,0.,0.)); #407957=DIRECTION('center_axis',(0.,0.,1.)); #407958=DIRECTION('ref_axis',(1.,0.,0.)); #407959=DIRECTION('',(0.,0.,1.)); #407960=DIRECTION('center_axis',(0.,0.,-1.)); #407961=DIRECTION('ref_axis',(1.,0.,0.)); #407962=DIRECTION('center_axis',(0.,0.,1.)); #407963=DIRECTION('ref_axis',(1.,0.,0.)); #407964=DIRECTION('center_axis',(0.,0.,1.)); #407965=DIRECTION('ref_axis',(1.,0.,0.)); #407966=DIRECTION('',(0.,0.,1.)); #407967=DIRECTION('center_axis',(0.,0.,-1.)); #407968=DIRECTION('ref_axis',(1.,0.,0.)); #407969=DIRECTION('center_axis',(0.,0.,1.)); #407970=DIRECTION('ref_axis',(1.,0.,0.)); #407971=DIRECTION('center_axis',(0.,0.,1.)); #407972=DIRECTION('ref_axis',(1.,0.,0.)); #407973=DIRECTION('',(0.,0.,1.)); #407974=DIRECTION('center_axis',(0.,0.,-1.)); #407975=DIRECTION('ref_axis',(1.,0.,0.)); #407976=DIRECTION('center_axis',(0.,0.,1.)); #407977=DIRECTION('ref_axis',(1.,0.,0.)); #407978=DIRECTION('center_axis',(0.,0.,1.)); #407979=DIRECTION('ref_axis',(1.,0.,0.)); #407980=DIRECTION('',(0.,0.,1.)); #407981=DIRECTION('center_axis',(0.,0.,-1.)); #407982=DIRECTION('ref_axis',(1.,0.,0.)); #407983=DIRECTION('center_axis',(0.,0.,1.)); #407984=DIRECTION('ref_axis',(1.,0.,0.)); #407985=DIRECTION('center_axis',(0.,0.,1.)); #407986=DIRECTION('ref_axis',(1.,0.,0.)); #407987=DIRECTION('',(0.,0.,1.)); #407988=DIRECTION('center_axis',(0.,0.,-1.)); #407989=DIRECTION('ref_axis',(1.,0.,0.)); #407990=DIRECTION('center_axis',(0.,0.,1.)); #407991=DIRECTION('ref_axis',(1.,0.,0.)); #407992=DIRECTION('center_axis',(0.,0.,1.)); #407993=DIRECTION('ref_axis',(1.,0.,0.)); #407994=DIRECTION('',(0.,0.,1.)); #407995=DIRECTION('center_axis',(0.,0.,-1.)); #407996=DIRECTION('ref_axis',(1.,0.,0.)); #407997=DIRECTION('center_axis',(0.,0.,1.)); #407998=DIRECTION('ref_axis',(1.,0.,0.)); #407999=DIRECTION('center_axis',(0.,0.,1.)); #408000=DIRECTION('ref_axis',(1.,0.,0.)); #408001=DIRECTION('',(0.,0.,1.)); #408002=DIRECTION('center_axis',(0.,0.,-1.)); #408003=DIRECTION('ref_axis',(1.,0.,0.)); #408004=DIRECTION('center_axis',(0.,0.,1.)); #408005=DIRECTION('ref_axis',(1.,0.,0.)); #408006=DIRECTION('center_axis',(0.,0.,1.)); #408007=DIRECTION('ref_axis',(1.,0.,0.)); #408008=DIRECTION('',(0.,0.,1.)); #408009=DIRECTION('center_axis',(0.,0.,-1.)); #408010=DIRECTION('ref_axis',(1.,0.,0.)); #408011=DIRECTION('center_axis',(0.,0.,1.)); #408012=DIRECTION('ref_axis',(1.,0.,0.)); #408013=DIRECTION('center_axis',(0.,0.,1.)); #408014=DIRECTION('ref_axis',(1.,0.,0.)); #408015=DIRECTION('',(0.,0.,1.)); #408016=DIRECTION('center_axis',(0.,0.,-1.)); #408017=DIRECTION('ref_axis',(1.,0.,0.)); #408018=DIRECTION('center_axis',(0.,0.,1.)); #408019=DIRECTION('ref_axis',(1.,0.,0.)); #408020=DIRECTION('center_axis',(0.,0.,1.)); #408021=DIRECTION('ref_axis',(1.,0.,0.)); #408022=DIRECTION('',(0.,0.,1.)); #408023=DIRECTION('center_axis',(0.,0.,-1.)); #408024=DIRECTION('ref_axis',(1.,0.,0.)); #408025=DIRECTION('center_axis',(0.,0.,1.)); #408026=DIRECTION('ref_axis',(1.,0.,0.)); #408027=DIRECTION('center_axis',(0.,0.,1.)); #408028=DIRECTION('ref_axis',(1.,0.,0.)); #408029=DIRECTION('',(0.,0.,1.)); #408030=DIRECTION('center_axis',(0.,0.,-1.)); #408031=DIRECTION('ref_axis',(1.,0.,0.)); #408032=DIRECTION('center_axis',(0.,0.,1.)); #408033=DIRECTION('ref_axis',(1.,0.,0.)); #408034=DIRECTION('center_axis',(0.,0.,1.)); #408035=DIRECTION('ref_axis',(1.,0.,0.)); #408036=DIRECTION('',(0.,0.,1.)); #408037=DIRECTION('center_axis',(0.,0.,-1.)); #408038=DIRECTION('ref_axis',(1.,0.,0.)); #408039=DIRECTION('center_axis',(0.,0.,1.)); #408040=DIRECTION('ref_axis',(1.,0.,0.)); #408041=DIRECTION('center_axis',(0.,0.,1.)); #408042=DIRECTION('ref_axis',(1.,0.,0.)); #408043=DIRECTION('',(0.,0.,1.)); #408044=DIRECTION('center_axis',(0.,0.,-1.)); #408045=DIRECTION('ref_axis',(1.,0.,0.)); #408046=DIRECTION('center_axis',(0.,0.,1.)); #408047=DIRECTION('ref_axis',(1.,0.,0.)); #408048=DIRECTION('center_axis',(0.,0.,1.)); #408049=DIRECTION('ref_axis',(1.,0.,0.)); #408050=DIRECTION('',(0.,0.,1.)); #408051=DIRECTION('center_axis',(0.,0.,-1.)); #408052=DIRECTION('ref_axis',(1.,0.,0.)); #408053=DIRECTION('center_axis',(0.,0.,1.)); #408054=DIRECTION('ref_axis',(1.,0.,0.)); #408055=DIRECTION('center_axis',(0.,0.,1.)); #408056=DIRECTION('ref_axis',(1.,0.,0.)); #408057=DIRECTION('',(0.,0.,1.)); #408058=DIRECTION('center_axis',(0.,0.,-1.)); #408059=DIRECTION('ref_axis',(1.,0.,0.)); #408060=DIRECTION('center_axis',(0.,0.,1.)); #408061=DIRECTION('ref_axis',(1.,0.,0.)); #408062=DIRECTION('center_axis',(0.,0.,1.)); #408063=DIRECTION('ref_axis',(1.,0.,0.)); #408064=DIRECTION('',(0.,0.,1.)); #408065=DIRECTION('center_axis',(0.,0.,-1.)); #408066=DIRECTION('ref_axis',(1.,0.,0.)); #408067=DIRECTION('center_axis',(0.,0.,1.)); #408068=DIRECTION('ref_axis',(1.,0.,0.)); #408069=DIRECTION('center_axis',(0.,0.,1.)); #408070=DIRECTION('ref_axis',(1.,0.,0.)); #408071=DIRECTION('',(0.,0.,1.)); #408072=DIRECTION('center_axis',(0.,0.,-1.)); #408073=DIRECTION('ref_axis',(1.,0.,0.)); #408074=DIRECTION('center_axis',(0.,0.,1.)); #408075=DIRECTION('ref_axis',(1.,0.,0.)); #408076=DIRECTION('center_axis',(0.,0.,1.)); #408077=DIRECTION('ref_axis',(1.,0.,0.)); #408078=DIRECTION('',(0.,0.,1.)); #408079=DIRECTION('center_axis',(0.,0.,-1.)); #408080=DIRECTION('ref_axis',(1.,0.,0.)); #408081=DIRECTION('center_axis',(0.,0.,1.)); #408082=DIRECTION('ref_axis',(1.,0.,0.)); #408083=DIRECTION('center_axis',(0.,0.,1.)); #408084=DIRECTION('ref_axis',(1.,0.,0.)); #408085=DIRECTION('',(0.,0.,1.)); #408086=DIRECTION('center_axis',(0.,0.,-1.)); #408087=DIRECTION('ref_axis',(1.,0.,0.)); #408088=DIRECTION('center_axis',(0.,0.,1.)); #408089=DIRECTION('ref_axis',(1.,0.,0.)); #408090=DIRECTION('center_axis',(0.,0.,1.)); #408091=DIRECTION('ref_axis',(1.,0.,0.)); #408092=DIRECTION('',(0.,0.,1.)); #408093=DIRECTION('center_axis',(0.,0.,-1.)); #408094=DIRECTION('ref_axis',(1.,0.,0.)); #408095=DIRECTION('center_axis',(0.,0.,1.)); #408096=DIRECTION('ref_axis',(1.,0.,0.)); #408097=DIRECTION('center_axis',(0.,0.,1.)); #408098=DIRECTION('ref_axis',(1.,0.,0.)); #408099=DIRECTION('',(0.,0.,1.)); #408100=DIRECTION('center_axis',(0.,0.,-1.)); #408101=DIRECTION('ref_axis',(1.,0.,0.)); #408102=DIRECTION('center_axis',(0.,0.,1.)); #408103=DIRECTION('ref_axis',(1.,0.,0.)); #408104=DIRECTION('center_axis',(0.,0.,1.)); #408105=DIRECTION('ref_axis',(1.,0.,0.)); #408106=DIRECTION('',(0.,0.,1.)); #408107=DIRECTION('center_axis',(0.,0.,-1.)); #408108=DIRECTION('ref_axis',(1.,0.,0.)); #408109=DIRECTION('center_axis',(0.,0.,1.)); #408110=DIRECTION('ref_axis',(1.,0.,0.)); #408111=DIRECTION('center_axis',(0.,0.,1.)); #408112=DIRECTION('ref_axis',(1.,0.,0.)); #408113=DIRECTION('',(0.,0.,1.)); #408114=DIRECTION('center_axis',(0.,0.,-1.)); #408115=DIRECTION('ref_axis',(1.,0.,0.)); #408116=DIRECTION('center_axis',(0.,0.,1.)); #408117=DIRECTION('ref_axis',(1.,0.,0.)); #408118=DIRECTION('center_axis',(0.,0.,1.)); #408119=DIRECTION('ref_axis',(1.,0.,0.)); #408120=DIRECTION('',(0.,0.,1.)); #408121=DIRECTION('center_axis',(0.,0.,-1.)); #408122=DIRECTION('ref_axis',(1.,0.,0.)); #408123=DIRECTION('center_axis',(0.,0.,1.)); #408124=DIRECTION('ref_axis',(1.,0.,0.)); #408125=DIRECTION('center_axis',(0.,0.,1.)); #408126=DIRECTION('ref_axis',(1.,0.,0.)); #408127=DIRECTION('',(0.,0.,1.)); #408128=DIRECTION('center_axis',(0.,0.,-1.)); #408129=DIRECTION('ref_axis',(1.,0.,0.)); #408130=DIRECTION('center_axis',(0.,0.,1.)); #408131=DIRECTION('ref_axis',(1.,0.,0.)); #408132=DIRECTION('center_axis',(0.,0.,1.)); #408133=DIRECTION('ref_axis',(1.,0.,0.)); #408134=DIRECTION('',(0.,0.,1.)); #408135=DIRECTION('center_axis',(0.,0.,-1.)); #408136=DIRECTION('ref_axis',(1.,0.,0.)); #408137=DIRECTION('center_axis',(0.,0.,1.)); #408138=DIRECTION('ref_axis',(1.,0.,0.)); #408139=DIRECTION('center_axis',(0.,0.,1.)); #408140=DIRECTION('ref_axis',(1.,0.,0.)); #408141=DIRECTION('',(0.,0.,1.)); #408142=DIRECTION('center_axis',(0.,0.,-1.)); #408143=DIRECTION('ref_axis',(1.,0.,0.)); #408144=DIRECTION('center_axis',(0.,0.,1.)); #408145=DIRECTION('ref_axis',(1.,0.,0.)); #408146=DIRECTION('center_axis',(0.,0.,1.)); #408147=DIRECTION('ref_axis',(1.,0.,0.)); #408148=DIRECTION('',(0.,0.,1.)); #408149=DIRECTION('center_axis',(0.,0.,-1.)); #408150=DIRECTION('ref_axis',(1.,0.,0.)); #408151=DIRECTION('center_axis',(0.,0.,1.)); #408152=DIRECTION('ref_axis',(1.,0.,0.)); #408153=DIRECTION('center_axis',(0.,0.,1.)); #408154=DIRECTION('ref_axis',(1.,0.,0.)); #408155=DIRECTION('',(0.,0.,1.)); #408156=DIRECTION('center_axis',(0.,0.,-1.)); #408157=DIRECTION('ref_axis',(1.,0.,0.)); #408158=DIRECTION('center_axis',(0.,0.,1.)); #408159=DIRECTION('ref_axis',(1.,0.,0.)); #408160=DIRECTION('center_axis',(0.,0.,1.)); #408161=DIRECTION('ref_axis',(1.,0.,0.)); #408162=DIRECTION('',(0.,0.,1.)); #408163=DIRECTION('center_axis',(0.,0.,-1.)); #408164=DIRECTION('ref_axis',(1.,0.,0.)); #408165=DIRECTION('center_axis',(0.,0.,1.)); #408166=DIRECTION('ref_axis',(1.,0.,0.)); #408167=DIRECTION('center_axis',(0.,0.,1.)); #408168=DIRECTION('ref_axis',(1.,0.,0.)); #408169=DIRECTION('',(0.,0.,1.)); #408170=DIRECTION('center_axis',(0.,0.,-1.)); #408171=DIRECTION('ref_axis',(1.,0.,0.)); #408172=DIRECTION('center_axis',(0.,0.,1.)); #408173=DIRECTION('ref_axis',(1.,0.,0.)); #408174=DIRECTION('center_axis',(0.,0.,1.)); #408175=DIRECTION('ref_axis',(1.,0.,0.)); #408176=DIRECTION('',(0.,0.,1.)); #408177=DIRECTION('center_axis',(0.,0.,-1.)); #408178=DIRECTION('ref_axis',(1.,0.,0.)); #408179=DIRECTION('center_axis',(0.,0.,1.)); #408180=DIRECTION('ref_axis',(1.,0.,0.)); #408181=DIRECTION('center_axis',(0.,0.,1.)); #408182=DIRECTION('ref_axis',(1.,0.,0.)); #408183=DIRECTION('',(0.,0.,1.)); #408184=DIRECTION('center_axis',(0.,0.,-1.)); #408185=DIRECTION('ref_axis',(1.,0.,0.)); #408186=DIRECTION('center_axis',(0.,0.,1.)); #408187=DIRECTION('ref_axis',(1.,0.,0.)); #408188=DIRECTION('center_axis',(0.,0.,1.)); #408189=DIRECTION('ref_axis',(1.,0.,0.)); #408190=DIRECTION('',(0.,0.,1.)); #408191=DIRECTION('center_axis',(0.,0.,-1.)); #408192=DIRECTION('ref_axis',(1.,0.,0.)); #408193=DIRECTION('center_axis',(0.,0.,1.)); #408194=DIRECTION('ref_axis',(1.,0.,0.)); #408195=DIRECTION('center_axis',(0.,0.,1.)); #408196=DIRECTION('ref_axis',(1.,0.,0.)); #408197=DIRECTION('',(0.,0.,1.)); #408198=DIRECTION('center_axis',(0.,0.,-1.)); #408199=DIRECTION('ref_axis',(1.,0.,0.)); #408200=DIRECTION('center_axis',(0.,0.,1.)); #408201=DIRECTION('ref_axis',(1.,0.,0.)); #408202=DIRECTION('center_axis',(0.,0.,1.)); #408203=DIRECTION('ref_axis',(1.,0.,0.)); #408204=DIRECTION('',(0.,0.,1.)); #408205=DIRECTION('center_axis',(0.,0.,-1.)); #408206=DIRECTION('ref_axis',(1.,0.,0.)); #408207=DIRECTION('center_axis',(0.,0.,1.)); #408208=DIRECTION('ref_axis',(1.,0.,0.)); #408209=DIRECTION('center_axis',(0.,0.,1.)); #408210=DIRECTION('ref_axis',(1.,0.,0.)); #408211=DIRECTION('',(0.,0.,1.)); #408212=DIRECTION('center_axis',(0.,0.,-1.)); #408213=DIRECTION('ref_axis',(1.,0.,0.)); #408214=DIRECTION('center_axis',(0.,0.,1.)); #408215=DIRECTION('ref_axis',(1.,0.,0.)); #408216=DIRECTION('center_axis',(0.,0.,1.)); #408217=DIRECTION('ref_axis',(1.,0.,0.)); #408218=DIRECTION('',(0.,0.,1.)); #408219=DIRECTION('center_axis',(0.,0.,-1.)); #408220=DIRECTION('ref_axis',(1.,0.,0.)); #408221=DIRECTION('center_axis',(0.,0.,1.)); #408222=DIRECTION('ref_axis',(1.,0.,0.)); #408223=DIRECTION('center_axis',(0.,0.,1.)); #408224=DIRECTION('ref_axis',(1.,0.,0.)); #408225=DIRECTION('',(0.,0.,1.)); #408226=DIRECTION('center_axis',(0.,0.,-1.)); #408227=DIRECTION('ref_axis',(1.,0.,0.)); #408228=DIRECTION('center_axis',(0.,0.,1.)); #408229=DIRECTION('ref_axis',(1.,0.,0.)); #408230=DIRECTION('center_axis',(0.,0.,1.)); #408231=DIRECTION('ref_axis',(1.,0.,0.)); #408232=DIRECTION('',(0.,0.,1.)); #408233=DIRECTION('center_axis',(0.,0.,-1.)); #408234=DIRECTION('ref_axis',(1.,0.,0.)); #408235=DIRECTION('center_axis',(0.,0.,1.)); #408236=DIRECTION('ref_axis',(1.,0.,0.)); #408237=DIRECTION('center_axis',(0.,0.,1.)); #408238=DIRECTION('ref_axis',(1.,0.,0.)); #408239=DIRECTION('',(0.,0.,1.)); #408240=DIRECTION('center_axis',(0.,0.,-1.)); #408241=DIRECTION('ref_axis',(1.,0.,0.)); #408242=DIRECTION('center_axis',(0.,0.,1.)); #408243=DIRECTION('ref_axis',(1.,0.,0.)); #408244=DIRECTION('center_axis',(0.,0.,1.)); #408245=DIRECTION('ref_axis',(1.,0.,0.)); #408246=DIRECTION('',(0.,0.,1.)); #408247=DIRECTION('center_axis',(0.,0.,-1.)); #408248=DIRECTION('ref_axis',(1.,0.,0.)); #408249=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #408250=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #408251=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #408252=DIRECTION('',(0.,0.,1.)); #408253=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #408254=DIRECTION('',(0.,0.,1.)); #408255=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #408256=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #408257=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408258=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408259=DIRECTION('',(0.,0.,1.)); #408260=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408261=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408262=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408263=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408264=DIRECTION('',(0.,0.,1.)); #408265=DIRECTION('center_axis',(0.,-1.,0.)); #408266=DIRECTION('ref_axis',(1.,0.,0.)); #408267=DIRECTION('',(1.,0.,0.)); #408268=DIRECTION('',(1.,0.,0.)); #408269=DIRECTION('',(0.,0.,1.)); #408270=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #408271=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #408272=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408273=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408274=DIRECTION('',(0.,0.,1.)); #408275=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408276=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408277=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408278=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408279=DIRECTION('',(0.,0.,1.)); #408280=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #408281=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #408282=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408283=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408284=DIRECTION('',(0.,0.,1.)); #408285=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408286=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408287=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408288=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408289=DIRECTION('',(0.,0.,1.)); #408290=DIRECTION('center_axis',(0.,-1.,0.)); #408291=DIRECTION('ref_axis',(1.,0.,0.)); #408292=DIRECTION('',(1.,0.,0.)); #408293=DIRECTION('',(1.,0.,0.)); #408294=DIRECTION('',(0.,0.,1.)); #408295=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #408296=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #408297=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408298=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408299=DIRECTION('',(0.,0.,1.)); #408300=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408301=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408302=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408303=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408304=DIRECTION('',(0.,0.,1.)); #408305=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #408306=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #408307=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408308=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408309=DIRECTION('',(0.,0.,1.)); #408310=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408311=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408312=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408313=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408314=DIRECTION('',(0.,0.,1.)); #408315=DIRECTION('center_axis',(0.,-1.,0.)); #408316=DIRECTION('ref_axis',(1.,0.,0.)); #408317=DIRECTION('',(1.,0.,0.)); #408318=DIRECTION('',(1.,0.,0.)); #408319=DIRECTION('',(0.,0.,1.)); #408320=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #408321=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #408322=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408323=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408324=DIRECTION('',(0.,0.,1.)); #408325=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408326=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408327=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408328=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408329=DIRECTION('',(0.,0.,1.)); #408330=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #408331=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #408332=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408333=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408334=DIRECTION('',(0.,0.,1.)); #408335=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408336=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408337=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408338=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408339=DIRECTION('',(0.,0.,1.)); #408340=DIRECTION('center_axis',(0.,-1.,0.)); #408341=DIRECTION('ref_axis',(1.,0.,0.)); #408342=DIRECTION('',(1.,0.,0.)); #408343=DIRECTION('',(1.,0.,0.)); #408344=DIRECTION('',(0.,0.,1.)); #408345=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #408346=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #408347=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408348=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408349=DIRECTION('',(0.,0.,1.)); #408350=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408351=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408352=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408353=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408354=DIRECTION('',(0.,0.,1.)); #408355=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #408356=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #408357=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408358=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408359=DIRECTION('',(0.,0.,1.)); #408360=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408361=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408362=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408363=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408364=DIRECTION('',(0.,0.,1.)); #408365=DIRECTION('center_axis',(0.,-1.,0.)); #408366=DIRECTION('ref_axis',(1.,0.,0.)); #408367=DIRECTION('',(1.,0.,0.)); #408368=DIRECTION('',(1.,0.,0.)); #408369=DIRECTION('',(0.,0.,1.)); #408370=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #408371=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #408372=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408373=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408374=DIRECTION('',(0.,0.,1.)); #408375=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #408376=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #408377=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #408378=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #408379=DIRECTION('',(0.,0.,1.)); #408380=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #408381=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #408382=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408383=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408384=DIRECTION('',(0.,0.,1.)); #408385=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408386=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408387=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408388=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408389=DIRECTION('',(0.,0.,1.)); #408390=DIRECTION('center_axis',(0.,-1.,0.)); #408391=DIRECTION('ref_axis',(1.,0.,0.)); #408392=DIRECTION('',(1.,0.,0.)); #408393=DIRECTION('',(1.,0.,0.)); #408394=DIRECTION('',(0.,0.,1.)); #408395=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #408396=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #408397=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408398=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408399=DIRECTION('',(0.,0.,1.)); #408400=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408401=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408402=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408403=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408404=DIRECTION('',(0.,0.,1.)); #408405=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #408406=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #408407=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408408=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408409=DIRECTION('',(0.,0.,1.)); #408410=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408411=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408412=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408413=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408414=DIRECTION('',(0.,0.,1.)); #408415=DIRECTION('center_axis',(0.,-1.,0.)); #408416=DIRECTION('ref_axis',(1.,0.,0.)); #408417=DIRECTION('',(1.,0.,0.)); #408418=DIRECTION('',(1.,0.,0.)); #408419=DIRECTION('',(0.,0.,1.)); #408420=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #408421=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #408422=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408423=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408424=DIRECTION('',(0.,0.,1.)); #408425=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408426=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408427=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408428=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408429=DIRECTION('',(0.,0.,1.)); #408430=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #408431=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #408432=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408433=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408434=DIRECTION('',(0.,0.,1.)); #408435=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408436=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408437=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408438=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408439=DIRECTION('',(0.,0.,1.)); #408440=DIRECTION('center_axis',(0.,-1.,0.)); #408441=DIRECTION('ref_axis',(1.,0.,0.)); #408442=DIRECTION('',(1.,0.,0.)); #408443=DIRECTION('',(1.,0.,0.)); #408444=DIRECTION('',(0.,0.,1.)); #408445=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #408446=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #408447=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408448=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408449=DIRECTION('',(0.,0.,1.)); #408450=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408451=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408452=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408453=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408454=DIRECTION('',(0.,0.,1.)); #408455=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #408456=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #408457=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408458=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #408459=DIRECTION('',(0.,0.,1.)); #408460=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408461=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408462=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408463=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408464=DIRECTION('',(0.,0.,1.)); #408465=DIRECTION('center_axis',(0.,-1.,0.)); #408466=DIRECTION('ref_axis',(1.,0.,0.)); #408467=DIRECTION('',(1.,0.,0.)); #408468=DIRECTION('',(1.,0.,0.)); #408469=DIRECTION('',(0.,0.,1.)); #408470=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #408471=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #408472=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408473=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #408474=DIRECTION('',(0.,0.,1.)); #408475=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #408476=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #408477=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408478=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #408479=DIRECTION('',(0.,0.,1.)); #408480=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #408481=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #408482=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408483=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408484=DIRECTION('',(0.,0.,1.)); #408485=DIRECTION('center_axis',(-0.55227195526634,-0.833664013512815,0.)); #408486=DIRECTION('ref_axis',(0.833664013512814,-0.55227195526634,0.)); #408487=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #408488=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #408489=DIRECTION('',(0.,0.,1.)); #408490=DIRECTION('center_axis',(0.,-1.,0.)); #408491=DIRECTION('ref_axis',(1.,0.,0.)); #408492=DIRECTION('',(1.,0.,0.)); #408493=DIRECTION('',(1.,0.,0.)); #408494=DIRECTION('',(0.,0.,1.)); #408495=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #408496=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #408497=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408498=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #408499=DIRECTION('',(0.,0.,1.)); #408500=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #408501=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #408502=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #408503=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #408504=DIRECTION('',(0.,0.,1.)); #408505=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #408506=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #408507=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408508=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #408509=DIRECTION('',(0.,0.,1.)); #408510=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #408511=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #408512=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408513=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #408514=DIRECTION('',(0.,0.,1.)); #408515=DIRECTION('center_axis',(0.,-1.,0.)); #408516=DIRECTION('ref_axis',(1.,0.,0.)); #408517=DIRECTION('',(1.,0.,0.)); #408518=DIRECTION('',(1.,0.,0.)); #408519=DIRECTION('',(0.,0.,1.)); #408520=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #408521=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #408522=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #408523=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #408524=DIRECTION('',(0.,0.,1.)); #408525=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #408526=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #408527=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #408528=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #408529=DIRECTION('',(0.,0.,1.)); #408530=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #408531=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #408532=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #408533=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #408534=DIRECTION('',(0.,0.,1.)); #408535=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #408536=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #408537=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #408538=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #408539=DIRECTION('',(0.,0.,1.)); #408540=DIRECTION('center_axis',(-1.,0.,0.)); #408541=DIRECTION('ref_axis',(0.,-1.,0.)); #408542=DIRECTION('',(0.,-1.,0.)); #408543=DIRECTION('',(0.,-1.,0.)); #408544=DIRECTION('',(0.,0.,1.)); #408545=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #408546=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #408547=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408548=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408549=DIRECTION('',(0.,0.,1.)); #408550=DIRECTION('center_axis',(-0.976692604453647,-0.214642857802332, 0.)); #408551=DIRECTION('ref_axis',(0.214642857802332,-0.976692604453647,0.)); #408552=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #408553=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #408554=DIRECTION('',(0.,0.,1.)); #408555=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #408556=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #408557=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #408558=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #408559=DIRECTION('',(0.,0.,1.)); #408560=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #408561=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #408562=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #408563=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #408564=DIRECTION('',(0.,0.,1.)); #408565=DIRECTION('center_axis',(-1.,0.,0.)); #408566=DIRECTION('ref_axis',(0.,-1.,0.)); #408567=DIRECTION('',(0.,-1.,0.)); #408568=DIRECTION('',(0.,-1.,0.)); #408569=DIRECTION('',(0.,0.,1.)); #408570=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #408571=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #408572=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408573=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408574=DIRECTION('',(0.,0.,1.)); #408575=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #408576=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #408577=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408578=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408579=DIRECTION('',(0.,0.,1.)); #408580=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408581=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408582=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408583=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408584=DIRECTION('',(0.,0.,1.)); #408585=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #408586=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #408587=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #408588=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #408589=DIRECTION('',(0.,0.,1.)); #408590=DIRECTION('center_axis',(-1.,0.,0.)); #408591=DIRECTION('ref_axis',(0.,-1.,0.)); #408592=DIRECTION('',(0.,-1.,0.)); #408593=DIRECTION('',(0.,-1.,0.)); #408594=DIRECTION('',(0.,0.,1.)); #408595=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #408596=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #408597=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408598=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408599=DIRECTION('',(0.,0.,1.)); #408600=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #408601=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #408602=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408603=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408604=DIRECTION('',(0.,0.,1.)); #408605=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #408606=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #408607=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #408608=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #408609=DIRECTION('',(0.,0.,1.)); #408610=DIRECTION('center_axis',(-0.833664013512784,0.552271955266387,0.)); #408611=DIRECTION('ref_axis',(-0.552271955266387,-0.833664013512783,0.)); #408612=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #408613=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #408614=DIRECTION('',(0.,0.,1.)); #408615=DIRECTION('center_axis',(-1.,0.,0.)); #408616=DIRECTION('ref_axis',(0.,-1.,0.)); #408617=DIRECTION('',(0.,-1.,0.)); #408618=DIRECTION('',(0.,-1.,0.)); #408619=DIRECTION('',(0.,0.,1.)); #408620=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #408621=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #408622=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408623=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408624=DIRECTION('',(0.,0.,1.)); #408625=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #408626=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #408627=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408628=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408629=DIRECTION('',(0.,0.,1.)); #408630=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408631=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408632=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408633=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408634=DIRECTION('',(0.,0.,1.)); #408635=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #408636=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #408637=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408638=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408639=DIRECTION('',(0.,0.,1.)); #408640=DIRECTION('center_axis',(-1.,0.,0.)); #408641=DIRECTION('ref_axis',(0.,-1.,0.)); #408642=DIRECTION('',(0.,-1.,0.)); #408643=DIRECTION('',(0.,-1.,0.)); #408644=DIRECTION('',(0.,0.,1.)); #408645=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #408646=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #408647=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408648=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408649=DIRECTION('',(0.,0.,1.)); #408650=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #408651=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #408652=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408653=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408654=DIRECTION('',(0.,0.,1.)); #408655=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408656=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408657=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408658=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408659=DIRECTION('',(0.,0.,1.)); #408660=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #408661=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #408662=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408663=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408664=DIRECTION('',(0.,0.,1.)); #408665=DIRECTION('center_axis',(-1.,0.,0.)); #408666=DIRECTION('ref_axis',(0.,-1.,0.)); #408667=DIRECTION('',(0.,-1.,0.)); #408668=DIRECTION('',(0.,-1.,0.)); #408669=DIRECTION('',(0.,0.,1.)); #408670=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #408671=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #408672=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #408673=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #408674=DIRECTION('',(0.,0.,1.)); #408675=DIRECTION('center_axis',(0.,-1.,0.)); #408676=DIRECTION('ref_axis',(1.,0.,0.)); #408677=DIRECTION('',(1.,0.,0.)); #408678=DIRECTION('',(1.,0.,0.)); #408679=DIRECTION('',(0.,0.,1.)); #408680=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #408681=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #408682=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #408683=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #408684=DIRECTION('',(0.,0.,1.)); #408685=DIRECTION('center_axis',(1.,0.,0.)); #408686=DIRECTION('ref_axis',(0.,1.,0.)); #408687=DIRECTION('',(0.,1.,0.)); #408688=DIRECTION('',(0.,1.,0.)); #408689=DIRECTION('',(0.,0.,1.)); #408690=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #408691=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #408692=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #408693=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #408694=DIRECTION('',(0.,0.,1.)); #408695=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #408696=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #408697=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #408698=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #408699=DIRECTION('',(0.,0.,1.)); #408700=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #408701=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #408702=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #408703=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #408704=DIRECTION('',(0.,0.,1.)); #408705=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #408706=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #408707=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #408708=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #408709=DIRECTION('',(0.,0.,1.)); #408710=DIRECTION('center_axis',(0.,-1.,0.)); #408711=DIRECTION('ref_axis',(1.,0.,0.)); #408712=DIRECTION('',(1.,0.,0.)); #408713=DIRECTION('',(1.,0.,0.)); #408714=DIRECTION('',(0.,0.,1.)); #408715=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #408716=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #408717=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #408718=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #408719=DIRECTION('',(0.,0.,1.)); #408720=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #408721=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #408722=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #408723=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #408724=DIRECTION('',(0.,0.,1.)); #408725=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #408726=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #408727=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #408728=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #408729=DIRECTION('',(0.,0.,1.)); #408730=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #408731=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #408732=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #408733=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #408734=DIRECTION('',(0.,0.,1.)); #408735=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #408736=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #408737=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408738=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408739=DIRECTION('',(0.,0.,1.)); #408740=DIRECTION('center_axis',(-1.,0.,0.)); #408741=DIRECTION('ref_axis',(0.,-1.,0.)); #408742=DIRECTION('',(0.,-1.,0.)); #408743=DIRECTION('',(0.,-1.,0.)); #408744=DIRECTION('',(0.,0.,1.)); #408745=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #408746=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #408747=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408748=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408749=DIRECTION('',(0.,0.,1.)); #408750=DIRECTION('center_axis',(-0.842362410729308,0.538911466745984,0.)); #408751=DIRECTION('ref_axis',(-0.538911466745984,-0.842362410729308,0.)); #408752=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #408753=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #408754=DIRECTION('',(0.,0.,1.)); #408755=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #408756=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #408757=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #408758=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #408759=DIRECTION('',(0.,0.,1.)); #408760=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #408761=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #408762=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #408763=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #408764=DIRECTION('',(0.,0.,1.)); #408765=DIRECTION('center_axis',(0.,1.,0.)); #408766=DIRECTION('ref_axis',(-1.,0.,0.)); #408767=DIRECTION('',(-1.,0.,0.)); #408768=DIRECTION('',(-1.,0.,0.)); #408769=DIRECTION('',(0.,0.,1.)); #408770=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #408771=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #408772=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #408773=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #408774=DIRECTION('',(0.,0.,1.)); #408775=DIRECTION('center_axis',(-1.,0.,0.)); #408776=DIRECTION('ref_axis',(0.,-1.,0.)); #408777=DIRECTION('',(0.,-1.,0.)); #408778=DIRECTION('',(0.,-1.,0.)); #408779=DIRECTION('',(0.,0.,1.)); #408780=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408781=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408782=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408783=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408784=DIRECTION('',(0.,0.,1.)); #408785=DIRECTION('center_axis',(0.,-1.,0.)); #408786=DIRECTION('ref_axis',(1.,0.,0.)); #408787=DIRECTION('',(1.,0.,0.)); #408788=DIRECTION('',(1.,0.,0.)); #408789=DIRECTION('',(0.,0.,1.)); #408790=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #408791=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #408792=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #408793=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #408794=DIRECTION('',(0.,0.,1.)); #408795=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #408796=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #408797=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #408798=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #408799=DIRECTION('',(0.,0.,1.)); #408800=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #408801=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #408802=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #408803=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #408804=DIRECTION('',(0.,0.,1.)); #408805=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #408806=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #408807=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408808=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408809=DIRECTION('',(0.,0.,1.)); #408810=DIRECTION('center_axis',(-1.,0.,0.)); #408811=DIRECTION('ref_axis',(0.,-1.,0.)); #408812=DIRECTION('',(0.,-1.,0.)); #408813=DIRECTION('',(0.,-1.,0.)); #408814=DIRECTION('',(0.,0.,1.)); #408815=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #408816=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #408817=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #408818=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #408819=DIRECTION('',(0.,0.,1.)); #408820=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #408821=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #408822=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #408823=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #408824=DIRECTION('',(0.,0.,1.)); #408825=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #408826=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #408827=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408828=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408829=DIRECTION('',(0.,0.,1.)); #408830=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #408831=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #408832=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #408833=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #408834=DIRECTION('',(0.,0.,1.)); #408835=DIRECTION('center_axis',(-0.230196907019457,0.973144071552962,0.)); #408836=DIRECTION('ref_axis',(-0.973144071552962,-0.230196907019457,0.)); #408837=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #408838=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #408839=DIRECTION('',(0.,0.,1.)); #408840=DIRECTION('center_axis',(0.167675934908202,0.985842168327497,0.)); #408841=DIRECTION('ref_axis',(-0.985842168327497,0.167675934908202,0.)); #408842=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #408843=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #408844=DIRECTION('',(0.,0.,1.)); #408845=DIRECTION('center_axis',(0.538888069291772,0.842377379073647,0.)); #408846=DIRECTION('ref_axis',(-0.842377379073647,0.538888069291772,0.)); #408847=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #408848=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #408849=DIRECTION('',(0.,0.,1.)); #408850=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #408851=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #408852=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #408853=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #408854=DIRECTION('',(0.,0.,1.)); #408855=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #408856=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #408857=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #408858=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #408859=DIRECTION('',(0.,0.,1.)); #408860=DIRECTION('center_axis',(1.,0.,0.)); #408861=DIRECTION('ref_axis',(0.,1.,0.)); #408862=DIRECTION('',(0.,1.,0.)); #408863=DIRECTION('',(0.,1.,0.)); #408864=DIRECTION('',(0.,0.,1.)); #408865=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #408866=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #408867=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #408868=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #408869=DIRECTION('',(0.,0.,1.)); #408870=DIRECTION('center_axis',(0.,1.,0.)); #408871=DIRECTION('ref_axis',(-1.,0.,0.)); #408872=DIRECTION('',(-1.,0.,0.)); #408873=DIRECTION('',(-1.,0.,0.)); #408874=DIRECTION('',(0.,0.,1.)); #408875=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #408876=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #408877=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #408878=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #408879=DIRECTION('',(0.,0.,1.)); #408880=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #408881=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #408882=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #408883=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #408884=DIRECTION('',(0.,0.,1.)); #408885=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #408886=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #408887=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #408888=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #408889=DIRECTION('',(0.,0.,1.)); #408890=DIRECTION('center_axis',(0.,1.,0.)); #408891=DIRECTION('ref_axis',(-1.,0.,0.)); #408892=DIRECTION('',(-1.,0.,0.)); #408893=DIRECTION('',(-1.,0.,0.)); #408894=DIRECTION('',(0.,0.,1.)); #408895=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #408896=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #408897=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #408898=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #408899=DIRECTION('',(0.,0.,1.)); #408900=DIRECTION('center_axis',(-1.,0.,0.)); #408901=DIRECTION('ref_axis',(0.,-1.,0.)); #408902=DIRECTION('',(0.,-1.,0.)); #408903=DIRECTION('',(0.,-1.,0.)); #408904=DIRECTION('',(0.,0.,1.)); #408905=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #408906=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #408907=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408908=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408909=DIRECTION('',(0.,0.,1.)); #408910=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #408911=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #408912=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #408913=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #408914=DIRECTION('',(0.,0.,1.)); #408915=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408916=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408917=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408918=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408919=DIRECTION('',(0.,0.,1.)); #408920=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #408921=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #408922=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #408923=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #408924=DIRECTION('',(0.,0.,1.)); #408925=DIRECTION('center_axis',(-1.,0.,0.)); #408926=DIRECTION('ref_axis',(0.,-1.,0.)); #408927=DIRECTION('',(0.,-1.,0.)); #408928=DIRECTION('',(0.,-1.,0.)); #408929=DIRECTION('',(0.,0.,1.)); #408930=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #408931=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #408932=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408933=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #408934=DIRECTION('',(0.,0.,1.)); #408935=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #408936=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #408937=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408938=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408939=DIRECTION('',(0.,0.,1.)); #408940=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408941=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408942=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408943=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408944=DIRECTION('',(0.,0.,1.)); #408945=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #408946=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #408947=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408948=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408949=DIRECTION('',(0.,0.,1.)); #408950=DIRECTION('center_axis',(-1.,0.,0.)); #408951=DIRECTION('ref_axis',(0.,-1.,0.)); #408952=DIRECTION('',(0.,-1.,0.)); #408953=DIRECTION('',(0.,-1.,0.)); #408954=DIRECTION('',(0.,0.,1.)); #408955=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #408956=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #408957=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408958=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408959=DIRECTION('',(0.,0.,1.)); #408960=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #408961=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #408962=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408963=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408964=DIRECTION('',(0.,0.,1.)); #408965=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408966=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408967=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408968=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408969=DIRECTION('',(0.,0.,1.)); #408970=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #408971=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #408972=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408973=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408974=DIRECTION('',(0.,0.,1.)); #408975=DIRECTION('center_axis',(-1.,0.,0.)); #408976=DIRECTION('ref_axis',(0.,-1.,0.)); #408977=DIRECTION('',(0.,-1.,0.)); #408978=DIRECTION('',(0.,-1.,0.)); #408979=DIRECTION('',(0.,0.,1.)); #408980=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #408981=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #408982=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408983=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #408984=DIRECTION('',(0.,0.,1.)); #408985=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #408986=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #408987=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408988=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #408989=DIRECTION('',(0.,0.,1.)); #408990=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408991=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408992=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408993=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408994=DIRECTION('',(0.,0.,1.)); #408995=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #408996=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #408997=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408998=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #408999=DIRECTION('',(0.,0.,1.)); #409000=DIRECTION('center_axis',(-1.,0.,0.)); #409001=DIRECTION('ref_axis',(0.,-1.,0.)); #409002=DIRECTION('',(0.,-1.,0.)); #409003=DIRECTION('',(0.,-1.,0.)); #409004=DIRECTION('',(0.,0.,1.)); #409005=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #409006=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #409007=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409008=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409009=DIRECTION('',(0.,0.,1.)); #409010=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #409011=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #409012=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #409013=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #409014=DIRECTION('',(0.,0.,1.)); #409015=DIRECTION('center_axis',(-0.56550400471505,0.824745549033907,0.)); #409016=DIRECTION('ref_axis',(-0.824745549033907,-0.565504004715049,0.)); #409017=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #409018=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #409019=DIRECTION('',(0.,0.,1.)); #409020=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #409021=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #409022=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #409023=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #409024=DIRECTION('',(0.,0.,1.)); #409025=DIRECTION('center_axis',(0.,1.,0.)); #409026=DIRECTION('ref_axis',(-1.,0.,0.)); #409027=DIRECTION('',(-1.,0.,0.)); #409028=DIRECTION('',(-1.,0.,0.)); #409029=DIRECTION('',(0.,0.,1.)); #409030=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #409031=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #409032=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409033=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409034=DIRECTION('',(0.,0.,1.)); #409035=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409036=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409037=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409038=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409039=DIRECTION('',(0.,0.,1.)); #409040=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #409041=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #409042=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #409043=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #409044=DIRECTION('',(0.,0.,1.)); #409045=DIRECTION('center_axis',(0.552271955266479,0.833664013512722,0.)); #409046=DIRECTION('ref_axis',(-0.833664013512722,0.552271955266479,0.)); #409047=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #409048=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #409049=DIRECTION('',(0.,0.,1.)); #409050=DIRECTION('center_axis',(0.,1.,0.)); #409051=DIRECTION('ref_axis',(-1.,0.,0.)); #409052=DIRECTION('',(-1.,0.,0.)); #409053=DIRECTION('',(-1.,0.,0.)); #409054=DIRECTION('',(0.,0.,1.)); #409055=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #409056=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #409057=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409058=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409059=DIRECTION('',(0.,0.,1.)); #409060=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409061=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409062=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409063=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409064=DIRECTION('',(0.,0.,1.)); #409065=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409066=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409067=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409068=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409069=DIRECTION('',(0.,0.,1.)); #409070=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409071=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409072=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409073=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409074=DIRECTION('',(0.,0.,1.)); #409075=DIRECTION('center_axis',(0.,1.,0.)); #409076=DIRECTION('ref_axis',(-1.,0.,0.)); #409077=DIRECTION('',(-1.,0.,0.)); #409078=DIRECTION('',(-1.,0.,0.)); #409079=DIRECTION('',(0.,0.,1.)); #409080=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #409081=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #409082=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409083=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409084=DIRECTION('',(0.,0.,1.)); #409085=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #409086=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #409087=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #409088=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #409089=DIRECTION('',(0.,0.,1.)); #409090=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #409091=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #409092=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #409093=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #409094=DIRECTION('',(0.,0.,1.)); #409095=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409096=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409097=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409098=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409099=DIRECTION('',(0.,0.,1.)); #409100=DIRECTION('center_axis',(0.,1.,0.)); #409101=DIRECTION('ref_axis',(-1.,0.,0.)); #409102=DIRECTION('',(-1.,0.,0.)); #409103=DIRECTION('',(-1.,0.,0.)); #409104=DIRECTION('',(0.,0.,1.)); #409105=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #409106=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #409107=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409108=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409109=DIRECTION('',(0.,0.,1.)); #409110=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409111=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409112=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409113=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409114=DIRECTION('',(0.,0.,1.)); #409115=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409116=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409117=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409118=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409119=DIRECTION('',(0.,0.,1.)); #409120=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409121=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409122=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409123=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409124=DIRECTION('',(0.,0.,1.)); #409125=DIRECTION('center_axis',(0.,1.,0.)); #409126=DIRECTION('ref_axis',(-1.,0.,0.)); #409127=DIRECTION('',(-1.,0.,0.)); #409128=DIRECTION('',(-1.,0.,0.)); #409129=DIRECTION('',(0.,0.,1.)); #409130=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #409131=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #409132=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409133=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409134=DIRECTION('',(0.,0.,1.)); #409135=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409136=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409137=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409138=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409139=DIRECTION('',(0.,0.,1.)); #409140=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409141=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409142=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409143=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409144=DIRECTION('',(0.,0.,1.)); #409145=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409146=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409147=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409148=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409149=DIRECTION('',(0.,0.,1.)); #409150=DIRECTION('center_axis',(0.,1.,0.)); #409151=DIRECTION('ref_axis',(-1.,0.,0.)); #409152=DIRECTION('',(-1.,0.,0.)); #409153=DIRECTION('',(-1.,0.,0.)); #409154=DIRECTION('',(0.,0.,1.)); #409155=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #409156=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #409157=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409158=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409159=DIRECTION('',(0.,0.,1.)); #409160=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409161=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409162=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409163=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409164=DIRECTION('',(0.,0.,1.)); #409165=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409166=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409167=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409168=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409169=DIRECTION('',(0.,0.,1.)); #409170=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409171=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409172=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409173=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409174=DIRECTION('',(0.,0.,1.)); #409175=DIRECTION('center_axis',(0.,1.,0.)); #409176=DIRECTION('ref_axis',(-1.,0.,0.)); #409177=DIRECTION('',(-1.,0.,0.)); #409178=DIRECTION('',(-1.,0.,0.)); #409179=DIRECTION('',(0.,0.,1.)); #409180=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #409181=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #409182=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409183=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409184=DIRECTION('',(0.,0.,1.)); #409185=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409186=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409187=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409188=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409189=DIRECTION('',(0.,0.,1.)); #409190=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409191=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409192=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409193=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409194=DIRECTION('',(0.,0.,1.)); #409195=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409196=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409197=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409198=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409199=DIRECTION('',(0.,0.,1.)); #409200=DIRECTION('center_axis',(0.,1.,0.)); #409201=DIRECTION('ref_axis',(-1.,0.,0.)); #409202=DIRECTION('',(-1.,0.,0.)); #409203=DIRECTION('',(-1.,0.,0.)); #409204=DIRECTION('',(0.,0.,1.)); #409205=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #409206=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #409207=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409208=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409209=DIRECTION('',(0.,0.,1.)); #409210=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #409211=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #409212=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #409213=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #409214=DIRECTION('',(0.,0.,1.)); #409215=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #409216=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #409217=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #409218=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #409219=DIRECTION('',(0.,0.,1.)); #409220=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409221=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409222=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409223=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409224=DIRECTION('',(0.,0.,1.)); #409225=DIRECTION('center_axis',(0.,1.,0.)); #409226=DIRECTION('ref_axis',(-1.,0.,0.)); #409227=DIRECTION('',(-1.,0.,0.)); #409228=DIRECTION('',(-1.,0.,0.)); #409229=DIRECTION('',(0.,0.,1.)); #409230=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #409231=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #409232=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409233=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409234=DIRECTION('',(0.,0.,1.)); #409235=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409236=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409237=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409238=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409239=DIRECTION('',(0.,0.,1.)); #409240=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409241=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409242=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409243=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409244=DIRECTION('',(0.,0.,1.)); #409245=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409246=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409247=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409248=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409249=DIRECTION('',(0.,0.,1.)); #409250=DIRECTION('center_axis',(0.,1.,0.)); #409251=DIRECTION('ref_axis',(-1.,0.,0.)); #409252=DIRECTION('',(-1.,0.,0.)); #409253=DIRECTION('',(-1.,0.,0.)); #409254=DIRECTION('',(0.,0.,1.)); #409255=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #409256=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #409257=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409258=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #409259=DIRECTION('',(0.,0.,1.)); #409260=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409261=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409262=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409263=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409264=DIRECTION('',(0.,0.,1.)); #409265=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409266=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409267=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409268=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409269=DIRECTION('',(0.,0.,1.)); #409270=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409271=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409272=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409273=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409274=DIRECTION('',(0.,0.,1.)); #409275=DIRECTION('center_axis',(0.,1.,0.)); #409276=DIRECTION('ref_axis',(-1.,0.,0.)); #409277=DIRECTION('',(-1.,0.,0.)); #409278=DIRECTION('',(-1.,0.,0.)); #409279=DIRECTION('',(0.,0.,1.)); #409280=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #409281=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #409282=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409283=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #409284=DIRECTION('',(0.,0.,1.)); #409285=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #409286=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #409287=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409288=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #409289=DIRECTION('',(0.,0.,1.)); #409290=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #409291=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #409292=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409293=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #409294=DIRECTION('',(0.,0.,1.)); #409295=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #409296=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #409297=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409298=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #409299=DIRECTION('',(0.,0.,1.)); #409300=DIRECTION('center_axis',(0.,1.,0.)); #409301=DIRECTION('ref_axis',(-1.,0.,0.)); #409302=DIRECTION('',(-1.,0.,0.)); #409303=DIRECTION('',(-1.,0.,0.)); #409304=DIRECTION('',(0.,0.,1.)); #409305=DIRECTION('center_axis',(0.18330529025731,0.983056036329407,0.)); #409306=DIRECTION('ref_axis',(-0.983056036329407,0.18330529025731,0.)); #409307=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #409308=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #409309=DIRECTION('',(0.,0.,1.)); #409310=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #409311=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #409312=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #409313=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #409314=DIRECTION('',(0.,0.,1.)); #409315=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #409316=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #409317=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #409318=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #409319=DIRECTION('',(0.,0.,1.)); #409320=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #409321=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #409322=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #409323=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #409324=DIRECTION('',(0.,0.,1.)); #409325=DIRECTION('center_axis',(1.,0.,0.)); #409326=DIRECTION('ref_axis',(0.,1.,0.)); #409327=DIRECTION('',(0.,1.,0.)); #409328=DIRECTION('',(0.,1.,0.)); #409329=DIRECTION('',(0.,0.,1.)); #409330=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #409331=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #409332=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #409333=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #409334=DIRECTION('',(0.,0.,1.)); #409335=DIRECTION('center_axis',(0.,1.,0.)); #409336=DIRECTION('ref_axis',(-1.,0.,0.)); #409337=DIRECTION('',(-1.,0.,0.)); #409338=DIRECTION('',(-1.,0.,0.)); #409339=DIRECTION('',(0.,0.,1.)); #409340=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #409341=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #409342=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409343=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409344=DIRECTION('',(0.,0.,1.)); #409345=DIRECTION('center_axis',(-0.558930424365529,0.829214556504268,0.)); #409346=DIRECTION('ref_axis',(-0.829214556504268,-0.558930424365529,0.)); #409347=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #409348=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #409349=DIRECTION('',(0.,0.,1.)); #409350=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #409351=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #409352=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #409353=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #409354=DIRECTION('',(0.,0.,1.)); #409355=DIRECTION('center_axis',(0.,1.,0.)); #409356=DIRECTION('ref_axis',(-1.,0.,0.)); #409357=DIRECTION('',(-1.,0.,0.)); #409358=DIRECTION('',(-1.,0.,0.)); #409359=DIRECTION('',(0.,0.,1.)); #409360=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #409361=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #409362=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409363=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409364=DIRECTION('',(0.,0.,1.)); #409365=DIRECTION('center_axis',(0.525358802048827,0.850880796063598,0.)); #409366=DIRECTION('ref_axis',(-0.850880796063598,0.525358802048827,0.)); #409367=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #409368=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #409369=DIRECTION('',(0.,0.,1.)); #409370=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #409371=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #409372=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #409373=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #409374=DIRECTION('',(0.,0.,1.)); #409375=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #409376=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #409377=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409378=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409379=DIRECTION('',(0.,0.,1.)); #409380=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #409381=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #409382=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409383=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409384=DIRECTION('',(0.,0.,1.)); #409385=DIRECTION('center_axis',(0.833659859749665,-0.552278225392029,0.)); #409386=DIRECTION('ref_axis',(0.552278225392029,0.833659859749665,0.)); #409387=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #409388=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #409389=DIRECTION('',(0.,0.,1.)); #409390=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #409391=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #409392=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #409393=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #409394=DIRECTION('',(0.,0.,1.)); #409395=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #409396=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #409397=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #409398=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #409399=DIRECTION('',(0.,0.,1.)); #409400=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #409401=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #409402=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #409403=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #409404=DIRECTION('',(0.,0.,1.)); #409405=DIRECTION('center_axis',(0.,-1.,0.)); #409406=DIRECTION('ref_axis',(1.,0.,0.)); #409407=DIRECTION('',(1.,0.,0.)); #409408=DIRECTION('',(1.,0.,0.)); #409409=DIRECTION('',(0.,0.,1.)); #409410=DIRECTION('center_axis',(0.707106781186541,-0.707106781186554,0.)); #409411=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #409412=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #409413=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #409414=DIRECTION('',(0.,0.,1.)); #409415=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #409416=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #409417=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #409418=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #409419=DIRECTION('',(0.,0.,1.)); #409420=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #409421=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #409422=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409423=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409424=DIRECTION('',(0.,0.,1.)); #409425=DIRECTION('center_axis',(0.,-1.,0.)); #409426=DIRECTION('ref_axis',(1.,0.,0.)); #409427=DIRECTION('',(1.,0.,0.)); #409428=DIRECTION('',(1.,0.,0.)); #409429=DIRECTION('',(0.,0.,1.)); #409430=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #409431=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #409432=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #409433=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #409434=DIRECTION('',(0.,0.,1.)); #409435=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #409436=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #409437=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #409438=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #409439=DIRECTION('',(0.,0.,1.)); #409440=DIRECTION('center_axis',(-0.707106781186568,-0.707106781186527, 0.)); #409441=DIRECTION('ref_axis',(0.707106781186527,-0.707106781186568,0.)); #409442=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #409443=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #409444=DIRECTION('',(0.,0.,1.)); #409445=DIRECTION('center_axis',(0.,-1.,0.)); #409446=DIRECTION('ref_axis',(1.,0.,0.)); #409447=DIRECTION('',(1.,0.,0.)); #409448=DIRECTION('',(1.,0.,0.)); #409449=DIRECTION('',(0.,0.,1.)); #409450=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #409451=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #409452=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #409453=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #409454=DIRECTION('',(0.,0.,1.)); #409455=DIRECTION('center_axis',(1.,0.,0.)); #409456=DIRECTION('ref_axis',(0.,1.,0.)); #409457=DIRECTION('',(0.,1.,0.)); #409458=DIRECTION('',(0.,1.,0.)); #409459=DIRECTION('',(0.,0.,1.)); #409460=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #409461=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #409462=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409463=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409464=DIRECTION('',(0.,0.,1.)); #409465=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #409466=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #409467=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409468=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409469=DIRECTION('',(0.,0.,1.)); #409470=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #409471=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #409472=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409473=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409474=DIRECTION('',(0.,0.,1.)); #409475=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #409476=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #409477=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #409478=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #409479=DIRECTION('',(0.,0.,1.)); #409480=DIRECTION('center_axis',(1.,0.,0.)); #409481=DIRECTION('ref_axis',(0.,1.,0.)); #409482=DIRECTION('',(0.,1.,0.)); #409483=DIRECTION('',(0.,1.,0.)); #409484=DIRECTION('',(0.,0.,1.)); #409485=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #409486=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #409487=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409488=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409489=DIRECTION('',(0.,0.,1.)); #409490=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #409491=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #409492=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409493=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409494=DIRECTION('',(0.,0.,1.)); #409495=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #409496=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #409497=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409498=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409499=DIRECTION('',(0.,0.,1.)); #409500=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #409501=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #409502=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #409503=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #409504=DIRECTION('',(0.,0.,1.)); #409505=DIRECTION('center_axis',(1.,0.,0.)); #409506=DIRECTION('ref_axis',(0.,1.,0.)); #409507=DIRECTION('',(0.,1.,0.)); #409508=DIRECTION('',(0.,1.,0.)); #409509=DIRECTION('',(0.,0.,1.)); #409510=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #409511=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #409512=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409513=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409514=DIRECTION('',(0.,0.,1.)); #409515=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #409516=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #409517=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409518=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409519=DIRECTION('',(0.,0.,1.)); #409520=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #409521=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #409522=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409523=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409524=DIRECTION('',(0.,0.,1.)); #409525=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #409526=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #409527=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #409528=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #409529=DIRECTION('',(0.,0.,1.)); #409530=DIRECTION('center_axis',(1.,0.,0.)); #409531=DIRECTION('ref_axis',(0.,1.,0.)); #409532=DIRECTION('',(0.,1.,0.)); #409533=DIRECTION('',(0.,1.,0.)); #409534=DIRECTION('',(0.,0.,1.)); #409535=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #409536=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #409537=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409538=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409539=DIRECTION('',(0.,0.,1.)); #409540=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #409541=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #409542=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #409543=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #409544=DIRECTION('',(0.,0.,1.)); #409545=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #409546=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #409547=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409548=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409549=DIRECTION('',(0.,0.,1.)); #409550=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #409551=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #409552=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409553=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409554=DIRECTION('',(0.,0.,1.)); #409555=DIRECTION('center_axis',(1.,0.,0.)); #409556=DIRECTION('ref_axis',(0.,1.,0.)); #409557=DIRECTION('',(0.,1.,0.)); #409558=DIRECTION('',(0.,1.,0.)); #409559=DIRECTION('',(0.,0.,1.)); #409560=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #409561=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #409562=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409563=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #409564=DIRECTION('',(0.,0.,1.)); #409565=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #409566=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #409567=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #409568=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #409569=DIRECTION('',(0.,0.,1.)); #409570=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #409571=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #409572=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409573=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409574=DIRECTION('',(0.,0.,1.)); #409575=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #409576=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #409577=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409578=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409579=DIRECTION('',(0.,0.,1.)); #409580=DIRECTION('center_axis',(1.,0.,0.)); #409581=DIRECTION('ref_axis',(0.,1.,0.)); #409582=DIRECTION('',(0.,1.,0.)); #409583=DIRECTION('',(0.,1.,0.)); #409584=DIRECTION('',(0.,0.,1.)); #409585=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #409586=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #409587=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409588=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409589=DIRECTION('',(0.,0.,1.)); #409590=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #409591=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #409592=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409593=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409594=DIRECTION('',(0.,0.,1.)); #409595=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #409596=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #409597=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #409598=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #409599=DIRECTION('',(0.,0.,1.)); #409600=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #409601=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #409602=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409603=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409604=DIRECTION('',(0.,0.,1.)); #409605=DIRECTION('center_axis',(1.,0.,0.)); #409606=DIRECTION('ref_axis',(0.,1.,0.)); #409607=DIRECTION('',(0.,1.,0.)); #409608=DIRECTION('',(0.,1.,0.)); #409609=DIRECTION('',(0.,0.,1.)); #409610=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #409611=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #409612=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409613=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409614=DIRECTION('',(0.,0.,1.)); #409615=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #409616=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #409617=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #409618=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #409619=DIRECTION('',(0.,0.,1.)); #409620=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #409621=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #409622=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #409623=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #409624=DIRECTION('',(0.,0.,1.)); #409625=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #409626=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #409627=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409628=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409629=DIRECTION('',(0.,0.,1.)); #409630=DIRECTION('center_axis',(1.,0.,0.)); #409631=DIRECTION('ref_axis',(0.,1.,0.)); #409632=DIRECTION('',(0.,1.,0.)); #409633=DIRECTION('',(0.,1.,0.)); #409634=DIRECTION('',(0.,0.,1.)); #409635=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #409636=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #409637=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409638=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409639=DIRECTION('',(0.,0.,1.)); #409640=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #409641=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #409642=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #409643=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #409644=DIRECTION('',(0.,0.,1.)); #409645=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #409646=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #409647=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #409648=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #409649=DIRECTION('',(0.,0.,1.)); #409650=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #409651=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #409652=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409653=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409654=DIRECTION('',(0.,0.,1.)); #409655=DIRECTION('center_axis',(1.,0.,0.)); #409656=DIRECTION('ref_axis',(0.,1.,0.)); #409657=DIRECTION('',(0.,1.,0.)); #409658=DIRECTION('',(0.,1.,0.)); #409659=DIRECTION('',(0.,0.,1.)); #409660=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #409661=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #409662=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409663=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #409664=DIRECTION('',(0.,0.,1.)); #409665=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #409666=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #409667=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409668=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #409669=DIRECTION('',(0.,0.,1.)); #409670=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #409671=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #409672=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409673=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #409674=DIRECTION('',(0.,0.,1.)); #409675=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #409676=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #409677=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409678=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #409679=DIRECTION('',(0.,0.,1.)); #409680=DIRECTION('center_axis',(1.,0.,0.)); #409681=DIRECTION('ref_axis',(0.,1.,0.)); #409682=DIRECTION('',(0.,1.,0.)); #409683=DIRECTION('',(0.,1.,0.)); #409684=DIRECTION('',(0.,0.,1.)); #409685=DIRECTION('center_axis',(0.994222572580556,-0.107338139406743,0.)); #409686=DIRECTION('ref_axis',(0.107338139406743,0.994222572580556,0.)); #409687=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #409688=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #409689=DIRECTION('',(0.,0.,1.)); #409690=DIRECTION('center_axis',(0.9149217411843,-0.40363127667252,0.)); #409691=DIRECTION('ref_axis',(0.40363127667252,0.9149217411843,0.)); #409692=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #409693=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #409694=DIRECTION('',(0.,0.,1.)); #409695=DIRECTION('center_axis',(0.816341541946411,-0.577569464993221,0.)); #409696=DIRECTION('ref_axis',(0.577569464993221,0.816341541946411,0.)); #409697=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #409698=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #409699=DIRECTION('',(0.,0.,1.)); #409700=DIRECTION('center_axis',(0.919559317647945,-0.39295122003481,0.)); #409701=DIRECTION('ref_axis',(0.39295122003481,0.919559317647945,0.)); #409702=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #409703=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #409704=DIRECTION('',(0.,0.,1.)); #409705=DIRECTION('center_axis',(0.832914872222971,-0.553401134467388,0.)); #409706=DIRECTION('ref_axis',(0.553401134467388,0.832914872222971,0.)); #409707=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #409708=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #409709=DIRECTION('',(0.,0.,1.)); #409710=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #409711=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #409712=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #409713=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #409714=DIRECTION('',(0.,0.,1.)); #409715=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #409716=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #409717=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409718=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409719=DIRECTION('',(0.,0.,1.)); #409720=DIRECTION('center_axis',(0.,-1.,0.)); #409721=DIRECTION('ref_axis',(1.,0.,0.)); #409722=DIRECTION('',(1.,0.,0.)); #409723=DIRECTION('',(1.,0.,0.)); #409724=DIRECTION('',(0.,0.,1.)); #409725=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #409726=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #409727=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #409728=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #409729=DIRECTION('center_axis',(0.,0.,1.)); #409730=DIRECTION('ref_axis',(1.,0.,0.)); #409731=DIRECTION('center_axis',(0.,0.,1.)); #409732=DIRECTION('ref_axis',(1.,0.,0.)); #409733=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #409734=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #409735=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #409736=DIRECTION('',(0.,0.,1.)); #409737=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #409738=DIRECTION('',(0.,0.,1.)); #409739=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #409740=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #409741=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #409742=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #409743=DIRECTION('',(0.,0.,1.)); #409744=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #409745=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #409746=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #409747=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #409748=DIRECTION('',(0.,0.,1.)); #409749=DIRECTION('center_axis',(-0.707106781186561,-0.707106781186534, 0.)); #409750=DIRECTION('ref_axis',(0.707106781186534,-0.707106781186561,0.)); #409751=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #409752=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #409753=DIRECTION('',(0.,0.,1.)); #409754=DIRECTION('center_axis',(-0.829203833807223,-0.558946331949144, 0.)); #409755=DIRECTION('ref_axis',(0.558946331949144,-0.829203833807223,0.)); #409756=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #409757=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #409758=DIRECTION('',(0.,0.,1.)); #409759=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #409760=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #409761=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #409762=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #409763=DIRECTION('',(0.,0.,1.)); #409764=DIRECTION('center_axis',(-1.,0.,0.)); #409765=DIRECTION('ref_axis',(0.,-1.,0.)); #409766=DIRECTION('',(0.,-1.,0.)); #409767=DIRECTION('',(0.,-1.,0.)); #409768=DIRECTION('',(0.,0.,1.)); #409769=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #409770=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #409771=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #409772=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #409773=DIRECTION('',(0.,0.,1.)); #409774=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #409775=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #409776=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #409777=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #409778=DIRECTION('',(0.,0.,1.)); #409779=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #409780=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #409781=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #409782=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #409783=DIRECTION('',(0.,0.,1.)); #409784=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #409785=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #409786=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #409787=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #409788=DIRECTION('',(0.,0.,1.)); #409789=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #409790=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #409791=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #409792=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #409793=DIRECTION('',(0.,0.,1.)); #409794=DIRECTION('center_axis',(0.167643887112353,0.985847618607389,0.)); #409795=DIRECTION('ref_axis',(-0.985847618607389,0.167643887112353,0.)); #409796=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #409797=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #409798=DIRECTION('',(0.,0.,1.)); #409799=DIRECTION('center_axis',(0.538911466745989,0.842362410729306,0.)); #409800=DIRECTION('ref_axis',(-0.842362410729306,0.538911466745989,0.)); #409801=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #409802=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #409803=DIRECTION('',(0.,0.,1.)); #409804=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #409805=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #409806=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #409807=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #409808=DIRECTION('',(0.,0.,1.)); #409809=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #409810=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #409811=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409812=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409813=DIRECTION('',(0.,0.,1.)); #409814=DIRECTION('center_axis',(1.,0.,0.)); #409815=DIRECTION('ref_axis',(0.,1.,0.)); #409816=DIRECTION('',(0.,1.,0.)); #409817=DIRECTION('',(0.,1.,0.)); #409818=DIRECTION('',(0.,0.,1.)); #409819=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #409820=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #409821=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409822=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409823=DIRECTION('',(0.,0.,1.)); #409824=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #409825=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #409826=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #409827=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #409828=DIRECTION('',(0.,0.,1.)); #409829=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #409830=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #409831=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #409832=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #409833=DIRECTION('',(0.,0.,1.)); #409834=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #409835=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #409836=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #409837=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #409838=DIRECTION('center_axis',(0.,0.,1.)); #409839=DIRECTION('ref_axis',(1.,0.,0.)); #409840=DIRECTION('center_axis',(0.,0.,1.)); #409841=DIRECTION('ref_axis',(1.,0.,0.)); #409842=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #409843=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #409844=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409845=DIRECTION('',(0.,0.,1.)); #409846=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409847=DIRECTION('',(0.,0.,1.)); #409848=DIRECTION('center_axis',(0.,-1.,0.)); #409849=DIRECTION('ref_axis',(1.,0.,0.)); #409850=DIRECTION('',(1.,0.,0.)); #409851=DIRECTION('',(1.,0.,0.)); #409852=DIRECTION('',(0.,0.,1.)); #409853=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #409854=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #409855=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #409856=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #409857=DIRECTION('',(0.,0.,1.)); #409858=DIRECTION('center_axis',(-0.525373540973385,-0.850871695642232, 0.)); #409859=DIRECTION('ref_axis',(0.850871695642232,-0.525373540973385,0.)); #409860=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #409861=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #409862=DIRECTION('',(0.,0.,1.)); #409863=DIRECTION('center_axis',(-0.815693852178984,-0.578483828224619, 0.)); #409864=DIRECTION('ref_axis',(0.578483828224619,-0.815693852178984,0.)); #409865=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #409866=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #409867=DIRECTION('',(0.,0.,1.)); #409868=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #409869=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #409870=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #409871=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #409872=DIRECTION('',(0.,0.,1.)); #409873=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #409874=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #409875=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #409876=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #409877=DIRECTION('',(0.,0.,1.)); #409878=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #409879=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #409880=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #409881=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #409882=DIRECTION('',(0.,0.,1.)); #409883=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #409884=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #409885=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #409886=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #409887=DIRECTION('',(0.,0.,1.)); #409888=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #409889=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #409890=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #409891=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #409892=DIRECTION('',(0.,0.,1.)); #409893=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #409894=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #409895=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #409896=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #409897=DIRECTION('',(0.,0.,1.)); #409898=DIRECTION('center_axis',(0.,1.,0.)); #409899=DIRECTION('ref_axis',(-1.,0.,0.)); #409900=DIRECTION('',(-1.,0.,0.)); #409901=DIRECTION('',(-1.,0.,0.)); #409902=DIRECTION('',(0.,0.,1.)); #409903=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #409904=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #409905=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #409906=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #409907=DIRECTION('',(0.,0.,1.)); #409908=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #409909=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #409910=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #409911=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #409912=DIRECTION('',(0.,0.,1.)); #409913=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #409914=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #409915=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #409916=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #409917=DIRECTION('',(0.,0.,1.)); #409918=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #409919=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #409920=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #409921=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #409922=DIRECTION('',(0.,0.,1.)); #409923=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #409924=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #409925=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409926=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409927=DIRECTION('',(0.,0.,1.)); #409928=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #409929=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #409930=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409931=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409932=DIRECTION('',(0.,0.,1.)); #409933=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #409934=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #409935=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #409936=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #409937=DIRECTION('',(0.,0.,1.)); #409938=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #409939=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #409940=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #409941=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #409942=DIRECTION('',(0.,0.,1.)); #409943=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #409944=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #409945=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #409946=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #409947=DIRECTION('center_axis',(0.,0.,1.)); #409948=DIRECTION('ref_axis',(1.,0.,0.)); #409949=DIRECTION('center_axis',(0.,0.,1.)); #409950=DIRECTION('ref_axis',(1.,0.,0.)); #409951=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #409952=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #409953=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #409954=DIRECTION('',(0.,0.,1.)); #409955=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #409956=DIRECTION('',(0.,0.,1.)); #409957=DIRECTION('center_axis',(0.,-1.,0.)); #409958=DIRECTION('ref_axis',(1.,0.,0.)); #409959=DIRECTION('',(1.,0.,0.)); #409960=DIRECTION('',(1.,0.,0.)); #409961=DIRECTION('',(0.,0.,1.)); #409962=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #409963=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #409964=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #409965=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #409966=DIRECTION('',(0.,0.,1.)); #409967=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #409968=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #409969=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #409970=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #409971=DIRECTION('',(0.,0.,1.)); #409972=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #409973=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #409974=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #409975=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #409976=DIRECTION('',(0.,0.,1.)); #409977=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #409978=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #409979=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #409980=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #409981=DIRECTION('',(0.,0.,1.)); #409982=DIRECTION('center_axis',(-1.,0.,0.)); #409983=DIRECTION('ref_axis',(0.,-1.,0.)); #409984=DIRECTION('',(0.,-1.,0.)); #409985=DIRECTION('',(0.,-1.,0.)); #409986=DIRECTION('',(0.,0.,1.)); #409987=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #409988=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #409989=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #409990=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #409991=DIRECTION('',(0.,0.,1.)); #409992=DIRECTION('center_axis',(-0.850880796063565,0.52535880204888,0.)); #409993=DIRECTION('ref_axis',(-0.52535880204888,-0.850880796063565,0.)); #409994=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #409995=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #409996=DIRECTION('',(0.,0.,1.)); #409997=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #409998=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #409999=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #410000=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #410001=DIRECTION('',(0.,0.,1.)); #410002=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #410003=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #410004=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #410005=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #410006=DIRECTION('',(0.,0.,1.)); #410007=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #410008=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #410009=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #410010=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #410011=DIRECTION('',(0.,0.,1.)); #410012=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #410013=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #410014=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #410015=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #410016=DIRECTION('',(0.,0.,1.)); #410017=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #410018=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #410019=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410020=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410021=DIRECTION('',(0.,0.,1.)); #410022=DIRECTION('center_axis',(0.820212632122729,0.572058771549135,0.)); #410023=DIRECTION('ref_axis',(-0.572058771549135,0.820212632122729,0.)); #410024=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #410025=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #410026=DIRECTION('',(0.,0.,1.)); #410027=DIRECTION('center_axis',(0.973144071552962,0.230196907019457,0.)); #410028=DIRECTION('ref_axis',(-0.230196907019457,0.973144071552962,0.)); #410029=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #410030=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #410031=DIRECTION('',(0.,0.,1.)); #410032=DIRECTION('center_axis',(0.985842168327497,-0.167675934908202,0.)); #410033=DIRECTION('ref_axis',(0.167675934908202,0.985842168327497,0.)); #410034=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #410035=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #410036=DIRECTION('',(0.,0.,1.)); #410037=DIRECTION('center_axis',(0.842362410729438,-0.538911466745781,0.)); #410038=DIRECTION('ref_axis',(0.538911466745781,0.842362410729438,0.)); #410039=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #410040=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #410041=DIRECTION('',(0.,0.,1.)); #410042=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #410043=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #410044=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #410045=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #410046=DIRECTION('center_axis',(0.,0.,1.)); #410047=DIRECTION('ref_axis',(1.,0.,0.)); #410048=DIRECTION('center_axis',(0.,0.,1.)); #410049=DIRECTION('ref_axis',(1.,0.,0.)); #410050=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #410051=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #410052=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #410053=DIRECTION('',(0.,0.,1.)); #410054=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #410055=DIRECTION('',(0.,0.,1.)); #410056=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #410057=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #410058=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #410059=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #410060=DIRECTION('',(0.,0.,1.)); #410061=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #410062=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #410063=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #410064=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #410065=DIRECTION('',(0.,0.,1.)); #410066=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410067=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410068=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410069=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410070=DIRECTION('',(0.,0.,1.)); #410071=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #410072=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #410073=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #410074=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #410075=DIRECTION('',(0.,0.,1.)); #410076=DIRECTION('center_axis',(-0.973151456886361,-0.230165683714911, 0.)); #410077=DIRECTION('ref_axis',(0.230165683714911,-0.973151456886362,0.)); #410078=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #410079=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #410080=DIRECTION('',(0.,0.,1.)); #410081=DIRECTION('center_axis',(-0.985842168327499,0.16767593490819,0.)); #410082=DIRECTION('ref_axis',(-0.16767593490819,-0.985842168327499,0.)); #410083=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #410084=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #410085=DIRECTION('',(0.,0.,1.)); #410086=DIRECTION('center_axis',(-0.842377379073625,0.538888069291805,0.)); #410087=DIRECTION('ref_axis',(-0.538888069291805,-0.842377379073625,0.)); #410088=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #410089=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #410090=DIRECTION('',(0.,0.,1.)); #410091=DIRECTION('center_axis',(-0.565488626065806,0.824756093515051,0.)); #410092=DIRECTION('ref_axis',(-0.824756093515051,-0.565488626065806,0.)); #410093=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #410094=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #410095=DIRECTION('',(0.,0.,1.)); #410096=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #410097=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #410098=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #410099=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #410100=DIRECTION('',(0.,0.,1.)); #410101=DIRECTION('center_axis',(0.,1.,0.)); #410102=DIRECTION('ref_axis',(-1.,0.,0.)); #410103=DIRECTION('',(-1.,0.,0.)); #410104=DIRECTION('',(-1.,0.,0.)); #410105=DIRECTION('',(0.,0.,1.)); #410106=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #410107=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #410108=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #410109=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #410110=DIRECTION('',(0.,0.,1.)); #410111=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #410112=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #410113=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #410114=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #410115=DIRECTION('',(0.,0.,1.)); #410116=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #410117=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #410118=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #410119=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #410120=DIRECTION('',(0.,0.,1.)); #410121=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #410122=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #410123=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #410124=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #410125=DIRECTION('',(0.,0.,1.)); #410126=DIRECTION('center_axis',(1.,0.,0.)); #410127=DIRECTION('ref_axis',(0.,1.,0.)); #410128=DIRECTION('',(0.,1.,0.)); #410129=DIRECTION('',(0.,1.,0.)); #410130=DIRECTION('',(0.,0.,1.)); #410131=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #410132=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #410133=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #410134=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #410135=DIRECTION('',(0.,0.,1.)); #410136=DIRECTION('center_axis',(0.850871695642199,-0.525373540973438,0.)); #410137=DIRECTION('ref_axis',(0.525373540973438,0.850871695642199,0.)); #410138=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #410139=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #410140=DIRECTION('',(0.,0.,1.)); #410141=DIRECTION('center_axis',(0.578483828224771,-0.815693852178876,0.)); #410142=DIRECTION('ref_axis',(0.815693852178876,0.578483828224771,0.)); #410143=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #410144=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #410145=DIRECTION('center_axis',(0.,0.,1.)); #410146=DIRECTION('ref_axis',(1.,0.,0.)); #410147=DIRECTION('center_axis',(0.,0.,1.)); #410148=DIRECTION('ref_axis',(1.,0.,0.)); #410149=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #410150=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #410151=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #410152=DIRECTION('',(0.,0.,1.)); #410153=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #410154=DIRECTION('',(0.,0.,1.)); #410155=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #410156=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #410157=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #410158=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #410159=DIRECTION('',(0.,0.,1.)); #410160=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #410161=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #410162=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #410163=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #410164=DIRECTION('',(0.,0.,1.)); #410165=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #410166=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #410167=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #410168=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #410169=DIRECTION('',(0.,0.,1.)); #410170=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #410171=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #410172=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #410173=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #410174=DIRECTION('',(0.,0.,1.)); #410175=DIRECTION('center_axis',(-1.,0.,0.)); #410176=DIRECTION('ref_axis',(0.,-1.,0.)); #410177=DIRECTION('',(0.,-1.,0.)); #410178=DIRECTION('',(0.,-1.,0.)); #410179=DIRECTION('',(0.,0.,1.)); #410180=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #410181=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #410182=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #410183=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #410184=DIRECTION('',(0.,0.,1.)); #410185=DIRECTION('center_axis',(-0.842362410729396,0.538911466745847,0.)); #410186=DIRECTION('ref_axis',(-0.538911466745847,-0.842362410729396,0.)); #410187=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #410188=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #410189=DIRECTION('',(0.,0.,1.)); #410190=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #410191=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #410192=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #410193=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #410194=DIRECTION('',(0.,0.,1.)); #410195=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #410196=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #410197=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #410198=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #410199=DIRECTION('',(0.,0.,1.)); #410200=DIRECTION('center_axis',(0.,1.,0.)); #410201=DIRECTION('ref_axis',(-1.,0.,0.)); #410202=DIRECTION('',(-1.,0.,0.)); #410203=DIRECTION('',(-1.,0.,0.)); #410204=DIRECTION('',(0.,0.,1.)); #410205=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #410206=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #410207=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #410208=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #410209=DIRECTION('',(0.,0.,1.)); #410210=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #410211=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #410212=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #410213=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #410214=DIRECTION('',(0.,0.,1.)); #410215=DIRECTION('center_axis',(0.815693852178841,0.57848382822482,0.)); #410216=DIRECTION('ref_axis',(-0.57848382822482,0.815693852178841,0.)); #410217=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #410218=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #410219=DIRECTION('',(0.,0.,1.)); #410220=DIRECTION('center_axis',(0.97669260445365,0.214642857802316,0.)); #410221=DIRECTION('ref_axis',(-0.214642857802316,0.97669260445365,0.)); #410222=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #410223=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #410224=DIRECTION('',(0.,0.,1.)); #410225=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #410226=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #410227=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #410228=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #410229=DIRECTION('',(0.,0.,1.)); #410230=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #410231=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #410232=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #410233=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #410234=DIRECTION('',(0.,0.,1.)); #410235=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #410236=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #410237=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #410238=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #410239=DIRECTION('',(0.,0.,1.)); #410240=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #410241=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #410242=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #410243=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #410244=DIRECTION('center_axis',(0.,0.,1.)); #410245=DIRECTION('ref_axis',(1.,0.,0.)); #410246=DIRECTION('center_axis',(0.,0.,1.)); #410247=DIRECTION('ref_axis',(1.,0.,0.)); #410248=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #410249=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #410250=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #410251=DIRECTION('',(0.,0.,1.)); #410252=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #410253=DIRECTION('',(0.,0.,1.)); #410254=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #410255=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #410256=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #410257=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #410258=DIRECTION('',(0.,0.,1.)); #410259=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #410260=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #410261=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #410262=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #410263=DIRECTION('',(0.,0.,1.)); #410264=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410265=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410266=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410267=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410268=DIRECTION('',(0.,0.,1.)); #410269=DIRECTION('center_axis',(0.,-1.,0.)); #410270=DIRECTION('ref_axis',(1.,0.,0.)); #410271=DIRECTION('',(1.,0.,0.)); #410272=DIRECTION('',(1.,0.,0.)); #410273=DIRECTION('',(0.,0.,1.)); #410274=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #410275=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #410276=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #410277=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #410278=DIRECTION('',(0.,0.,1.)); #410279=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #410280=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #410281=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #410282=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #410283=DIRECTION('',(0.,0.,1.)); #410284=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #410285=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #410286=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #410287=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #410288=DIRECTION('',(0.,0.,1.)); #410289=DIRECTION('center_axis',(-0.976685690837527,-0.214674314516719, 0.)); #410290=DIRECTION('ref_axis',(0.214674314516719,-0.976685690837527,0.)); #410291=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #410292=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #410293=DIRECTION('',(0.,0.,1.)); #410294=DIRECTION('center_axis',(-0.983050529880594,0.183334818573788,0.)); #410295=DIRECTION('ref_axis',(-0.183334818573788,-0.983050529880594,0.)); #410296=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #410297=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #410298=DIRECTION('',(0.,0.,1.)); #410299=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #410300=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #410301=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #410302=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #410303=DIRECTION('',(0.,0.,1.)); #410304=DIRECTION('center_axis',(-0.707110741027282,0.707102821323638,0.)); #410305=DIRECTION('ref_axis',(-0.707102821323638,-0.707110741027282,0.)); #410306=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #410307=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #410308=DIRECTION('',(0.,0.,1.)); #410309=DIRECTION('center_axis',(-1.,0.,0.)); #410310=DIRECTION('ref_axis',(0.,-1.,0.)); #410311=DIRECTION('',(0.,-1.,0.)); #410312=DIRECTION('',(0.,-1.,0.)); #410313=DIRECTION('',(0.,0.,1.)); #410314=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #410315=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #410316=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #410317=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #410318=DIRECTION('',(0.,0.,1.)); #410319=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #410320=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #410321=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #410322=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #410323=DIRECTION('',(0.,0.,1.)); #410324=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #410325=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #410326=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #410327=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #410328=DIRECTION('',(0.,0.,1.)); #410329=DIRECTION('center_axis',(-1.,0.,0.)); #410330=DIRECTION('ref_axis',(0.,-1.,0.)); #410331=DIRECTION('',(0.,-1.,0.)); #410332=DIRECTION('',(0.,-1.,0.)); #410333=DIRECTION('',(0.,0.,1.)); #410334=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #410335=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #410336=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #410337=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #410338=DIRECTION('',(0.,0.,1.)); #410339=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #410340=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #410341=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #410342=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #410343=DIRECTION('',(0.,0.,1.)); #410344=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #410345=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #410346=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #410347=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #410348=DIRECTION('',(0.,0.,1.)); #410349=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #410350=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #410351=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #410352=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #410353=DIRECTION('',(0.,0.,1.)); #410354=DIRECTION('center_axis',(0.,1.,0.)); #410355=DIRECTION('ref_axis',(-1.,0.,0.)); #410356=DIRECTION('',(-1.,0.,0.)); #410357=DIRECTION('',(-1.,0.,0.)); #410358=DIRECTION('',(0.,0.,1.)); #410359=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #410360=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #410361=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #410362=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #410363=DIRECTION('',(0.,0.,1.)); #410364=DIRECTION('center_axis',(-1.,0.,0.)); #410365=DIRECTION('ref_axis',(0.,-1.,0.)); #410366=DIRECTION('',(0.,-1.,0.)); #410367=DIRECTION('',(0.,-1.,0.)); #410368=DIRECTION('',(0.,0.,1.)); #410369=DIRECTION('center_axis',(-0.984479063846111,0.17550205938588,0.)); #410370=DIRECTION('ref_axis',(-0.17550205938588,-0.984479063846111,0.)); #410371=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #410372=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #410373=DIRECTION('',(0.,0.,1.)); #410374=DIRECTION('center_axis',(-0.850871695642134,0.525373540973544,0.)); #410375=DIRECTION('ref_axis',(-0.525373540973544,-0.850871695642134,0.)); #410376=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #410377=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #410378=DIRECTION('',(0.,0.,1.)); #410379=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #410380=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #410381=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #410382=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #410383=DIRECTION('',(0.,0.,1.)); #410384=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #410385=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #410386=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #410387=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #410388=DIRECTION('',(0.,0.,1.)); #410389=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #410390=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #410391=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #410392=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #410393=DIRECTION('',(0.,0.,1.)); #410394=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #410395=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #410396=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #410397=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #410398=DIRECTION('',(0.,0.,1.)); #410399=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #410400=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #410401=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #410402=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #410403=DIRECTION('',(0.,0.,1.)); #410404=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #410405=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #410406=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #410407=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #410408=DIRECTION('',(0.,0.,1.)); #410409=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #410410=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #410411=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410412=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410413=DIRECTION('',(0.,0.,1.)); #410414=DIRECTION('center_axis',(1.,0.,0.)); #410415=DIRECTION('ref_axis',(0.,1.,0.)); #410416=DIRECTION('',(0.,1.,0.)); #410417=DIRECTION('',(0.,1.,0.)); #410418=DIRECTION('',(0.,0.,1.)); #410419=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #410420=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #410421=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #410422=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #410423=DIRECTION('',(0.,0.,1.)); #410424=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #410425=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #410426=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #410427=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #410428=DIRECTION('',(0.,0.,1.)); #410429=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #410430=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #410431=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #410432=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #410433=DIRECTION('center_axis',(0.,0.,1.)); #410434=DIRECTION('ref_axis',(1.,0.,0.)); #410435=DIRECTION('center_axis',(0.,0.,1.)); #410436=DIRECTION('ref_axis',(1.,0.,0.)); #410437=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #410438=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #410439=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #410440=DIRECTION('',(0.,0.,1.)); #410441=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #410442=DIRECTION('',(0.,0.,1.)); #410443=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #410444=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #410445=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #410446=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #410447=DIRECTION('',(0.,0.,1.)); #410448=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #410449=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #410450=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #410451=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #410452=DIRECTION('',(0.,0.,1.)); #410453=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410454=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410455=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410456=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410457=DIRECTION('',(0.,0.,1.)); #410458=DIRECTION('center_axis',(-0.829203833807507,-0.558946331948723, 0.)); #410459=DIRECTION('ref_axis',(0.558946331948723,-0.829203833807507,0.)); #410460=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #410461=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #410462=DIRECTION('',(0.,0.,1.)); #410463=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #410464=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #410465=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #410466=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #410467=DIRECTION('',(0.,0.,1.)); #410468=DIRECTION('center_axis',(-1.,0.,0.)); #410469=DIRECTION('ref_axis',(0.,-1.,0.)); #410470=DIRECTION('',(0.,-1.,0.)); #410471=DIRECTION('',(0.,-1.,0.)); #410472=DIRECTION('',(0.,0.,1.)); #410473=DIRECTION('center_axis',(-0.984480215387569,0.175495599689697,0.)); #410474=DIRECTION('ref_axis',(-0.175495599689697,-0.984480215387569,0.)); #410475=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #410476=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #410477=DIRECTION('',(0.,0.,1.)); #410478=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #410479=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #410480=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #410481=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #410482=DIRECTION('',(0.,0.,1.)); #410483=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #410484=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #410485=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #410486=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #410487=DIRECTION('',(0.,0.,1.)); #410488=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #410489=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #410490=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #410491=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #410492=DIRECTION('',(0.,0.,1.)); #410493=DIRECTION('center_axis',(0.183302952703505,0.983056472197898,0.)); #410494=DIRECTION('ref_axis',(-0.983056472197898,0.183302952703505,0.)); #410495=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #410496=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #410497=DIRECTION('',(0.,0.,1.)); #410498=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #410499=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #410500=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #410501=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #410502=DIRECTION('',(0.,0.,1.)); #410503=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #410504=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #410505=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410506=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410507=DIRECTION('',(0.,0.,1.)); #410508=DIRECTION('center_axis',(0.824789890119685,0.565439331101364,0.)); #410509=DIRECTION('ref_axis',(-0.565439331101364,0.824789890119685,0.)); #410510=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #410511=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #410512=DIRECTION('',(0.,0.,1.)); #410513=DIRECTION('center_axis',(0.976694123650575,0.214635944861141,0.)); #410514=DIRECTION('ref_axis',(-0.214635944861141,0.976694123650575,0.)); #410515=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #410516=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #410517=DIRECTION('',(0.,0.,1.)); #410518=DIRECTION('center_axis',(0.983055364215593,-0.183308894729493,0.)); #410519=DIRECTION('ref_axis',(0.183308894729493,0.983055364215593,0.)); #410520=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #410521=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #410522=DIRECTION('',(0.,0.,1.)); #410523=DIRECTION('center_axis',(0.83366991611508,-0.552263045083297,0.)); #410524=DIRECTION('ref_axis',(0.552263045083297,0.83366991611508,0.)); #410525=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #410526=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #410527=DIRECTION('',(0.,0.,1.)); #410528=DIRECTION('center_axis',(0.707061847003295,-0.70715171251457,0.)); #410529=DIRECTION('ref_axis',(0.70715171251457,0.707061847003295,0.)); #410530=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #410531=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #410532=DIRECTION('',(0.,0.,1.)); #410533=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #410534=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #410535=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #410536=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #410537=DIRECTION('center_axis',(0.,0.,1.)); #410538=DIRECTION('ref_axis',(1.,0.,0.)); #410539=DIRECTION('center_axis',(0.,0.,1.)); #410540=DIRECTION('ref_axis',(1.,0.,0.)); #410541=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #410542=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #410543=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #410544=DIRECTION('',(0.,0.,1.)); #410545=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #410546=DIRECTION('',(0.,0.,1.)); #410547=DIRECTION('center_axis',(0.,-1.,0.)); #410548=DIRECTION('ref_axis',(1.,0.,0.)); #410549=DIRECTION('',(1.,0.,0.)); #410550=DIRECTION('',(1.,0.,0.)); #410551=DIRECTION('',(0.,0.,1.)); #410552=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #410553=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #410554=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410555=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410556=DIRECTION('',(0.,0.,1.)); #410557=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #410558=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #410559=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #410560=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #410561=DIRECTION('',(0.,0.,1.)); #410562=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #410563=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #410564=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #410565=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #410566=DIRECTION('',(0.,0.,1.)); #410567=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #410568=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #410569=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #410570=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #410571=DIRECTION('',(0.,0.,1.)); #410572=DIRECTION('center_axis',(-1.,0.,0.)); #410573=DIRECTION('ref_axis',(0.,-1.,0.)); #410574=DIRECTION('',(0.,-1.,0.)); #410575=DIRECTION('',(0.,-1.,0.)); #410576=DIRECTION('',(0.,0.,1.)); #410577=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410578=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410579=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410580=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410581=DIRECTION('',(0.,0.,1.)); #410582=DIRECTION('center_axis',(0.,-1.,0.)); #410583=DIRECTION('ref_axis',(1.,0.,0.)); #410584=DIRECTION('',(1.,0.,0.)); #410585=DIRECTION('',(1.,0.,0.)); #410586=DIRECTION('',(0.,0.,1.)); #410587=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #410588=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #410589=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410590=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410591=DIRECTION('',(0.,0.,1.)); #410592=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #410593=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #410594=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #410595=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #410596=DIRECTION('',(0.,0.,1.)); #410597=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #410598=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #410599=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #410600=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #410601=DIRECTION('',(0.,0.,1.)); #410602=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #410603=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #410604=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #410605=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #410606=DIRECTION('',(0.,0.,1.)); #410607=DIRECTION('center_axis',(-1.,0.,0.)); #410608=DIRECTION('ref_axis',(0.,-1.,0.)); #410609=DIRECTION('',(0.,-1.,0.)); #410610=DIRECTION('',(0.,-1.,0.)); #410611=DIRECTION('',(0.,0.,1.)); #410612=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410613=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410614=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410615=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #410616=DIRECTION('',(0.,0.,1.)); #410617=DIRECTION('center_axis',(0.,-1.,0.)); #410618=DIRECTION('ref_axis',(1.,0.,0.)); #410619=DIRECTION('',(1.,0.,0.)); #410620=DIRECTION('',(1.,0.,0.)); #410621=DIRECTION('',(0.,0.,1.)); #410622=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #410623=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #410624=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410625=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #410626=DIRECTION('',(0.,0.,1.)); #410627=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #410628=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #410629=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #410630=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #410631=DIRECTION('',(0.,0.,1.)); #410632=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #410633=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #410634=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #410635=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #410636=DIRECTION('',(0.,0.,1.)); #410637=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #410638=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #410639=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #410640=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #410641=DIRECTION('',(0.,0.,1.)); #410642=DIRECTION('center_axis',(-1.,0.,0.)); #410643=DIRECTION('ref_axis',(0.,-1.,0.)); #410644=DIRECTION('',(0.,-1.,0.)); #410645=DIRECTION('',(0.,-1.,0.)); #410646=DIRECTION('',(0.,0.,1.)); #410647=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #410648=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #410649=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #410650=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #410651=DIRECTION('',(0.,0.,1.)); #410652=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #410653=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #410654=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #410655=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #410656=DIRECTION('',(0.,0.,1.)); #410657=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #410658=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #410659=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #410660=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #410661=DIRECTION('',(0.,0.,1.)); #410662=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #410663=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #410664=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #410665=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #410666=DIRECTION('',(0.,0.,1.)); #410667=DIRECTION('center_axis',(0.,1.,0.)); #410668=DIRECTION('ref_axis',(-1.,0.,0.)); #410669=DIRECTION('',(-1.,0.,0.)); #410670=DIRECTION('',(-1.,0.,0.)); #410671=DIRECTION('',(0.,0.,1.)); #410672=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #410673=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #410674=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #410675=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #410676=DIRECTION('',(0.,0.,1.)); #410677=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #410678=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #410679=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #410680=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #410681=DIRECTION('',(0.,0.,1.)); #410682=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #410683=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #410684=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #410685=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #410686=DIRECTION('',(0.,0.,1.)); #410687=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #410688=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #410689=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410690=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410691=DIRECTION('',(0.,0.,1.)); #410692=DIRECTION('center_axis',(1.,0.,0.)); #410693=DIRECTION('ref_axis',(0.,1.,0.)); #410694=DIRECTION('',(0.,1.,0.)); #410695=DIRECTION('',(0.,1.,0.)); #410696=DIRECTION('',(0.,0.,1.)); #410697=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #410698=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #410699=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410700=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #410701=DIRECTION('',(0.,0.,1.)); #410702=DIRECTION('center_axis',(0.,1.,0.)); #410703=DIRECTION('ref_axis',(-1.,0.,0.)); #410704=DIRECTION('',(-1.,0.,0.)); #410705=DIRECTION('',(-1.,0.,0.)); #410706=DIRECTION('',(0.,0.,1.)); #410707=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #410708=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #410709=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #410710=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #410711=DIRECTION('',(0.,0.,1.)); #410712=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #410713=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #410714=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #410715=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #410716=DIRECTION('',(0.,0.,1.)); #410717=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #410718=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #410719=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #410720=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #410721=DIRECTION('',(0.,0.,1.)); #410722=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #410723=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #410724=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410725=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #410726=DIRECTION('',(0.,0.,1.)); #410727=DIRECTION('center_axis',(1.,0.,0.)); #410728=DIRECTION('ref_axis',(0.,1.,0.)); #410729=DIRECTION('',(0.,1.,0.)); #410730=DIRECTION('',(0.,1.,0.)); #410731=DIRECTION('',(0.,0.,1.)); #410732=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #410733=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #410734=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #410735=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #410736=DIRECTION('',(0.,0.,1.)); #410737=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #410738=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #410739=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #410740=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #410741=DIRECTION('',(0.,0.,1.)); #410742=DIRECTION('center_axis',(0.707108784529046,-0.707104777838373,0.)); #410743=DIRECTION('ref_axis',(0.707104777838373,0.707108784529046,0.)); #410744=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #410745=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #410746=DIRECTION('',(0.,0.,1.)); #410747=DIRECTION('center_axis',(1.,0.,0.)); #410748=DIRECTION('ref_axis',(0.,1.,0.)); #410749=DIRECTION('',(0.,1.,0.)); #410750=DIRECTION('',(0.,1.,0.)); #410751=DIRECTION('',(0.,0.,1.)); #410752=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #410753=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #410754=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #410755=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #410756=DIRECTION('',(0.,0.,1.)); #410757=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #410758=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #410759=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #410760=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #410761=DIRECTION('',(0.,0.,1.)); #410762=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #410763=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #410764=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #410765=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #410766=DIRECTION('',(0.,0.,1.)); #410767=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #410768=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #410769=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #410770=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #410771=DIRECTION('',(0.,0.,1.)); #410772=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #410773=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #410774=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #410775=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #410776=DIRECTION('',(0.,0.,1.)); #410777=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #410778=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #410779=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #410780=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #410781=DIRECTION('center_axis',(0.,0.,1.)); #410782=DIRECTION('ref_axis',(1.,0.,0.)); #410783=DIRECTION('center_axis',(0.,0.,1.)); #410784=DIRECTION('ref_axis',(1.,0.,0.)); #410785=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #410786=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #410787=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #410788=DIRECTION('',(0.,0.,1.)); #410789=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #410790=DIRECTION('',(0.,0.,1.)); #410791=DIRECTION('center_axis',(0.,-1.,0.)); #410792=DIRECTION('ref_axis',(1.,0.,0.)); #410793=DIRECTION('',(1.,0.,0.)); #410794=DIRECTION('',(1.,0.,0.)); #410795=DIRECTION('',(0.,0.,1.)); #410796=DIRECTION('center_axis',(-0.191149856928931,-0.981560865252914, 0.)); #410797=DIRECTION('ref_axis',(0.981560865252914,-0.191149856928931,0.)); #410798=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #410799=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #410800=DIRECTION('',(0.,0.,1.)); #410801=DIRECTION('center_axis',(-0.552278225391927,-0.833659859749733, 0.)); #410802=DIRECTION('ref_axis',(0.833659859749732,-0.552278225391927,0.)); #410803=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #410804=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #410805=DIRECTION('',(0.,0.,1.)); #410806=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #410807=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #410808=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #410809=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #410810=DIRECTION('',(0.,0.,1.)); #410811=DIRECTION('center_axis',(-0.820212632122612,-0.572058771549303, 0.)); #410812=DIRECTION('ref_axis',(0.572058771549303,-0.820212632122612,0.)); #410813=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #410814=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #410815=DIRECTION('',(0.,0.,1.)); #410816=DIRECTION('center_axis',(-0.973151456886399,-0.230165683714752, 0.)); #410817=DIRECTION('ref_axis',(0.230165683714752,-0.973151456886399,0.)); #410818=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #410819=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #410820=DIRECTION('',(0.,0.,1.)); #410821=DIRECTION('center_axis',(-0.985847618607386,0.167643887112376,0.)); #410822=DIRECTION('ref_axis',(-0.167643887112376,-0.985847618607385,0.)); #410823=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #410824=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #410825=DIRECTION('',(0.,0.,1.)); #410826=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #410827=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #410828=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #410829=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #410830=DIRECTION('',(0.,0.,1.)); #410831=DIRECTION('center_axis',(-0.565504004715097,0.824745549033875,0.)); #410832=DIRECTION('ref_axis',(-0.824745549033875,-0.565504004715097,0.)); #410833=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #410834=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #410835=DIRECTION('',(0.,0.,1.)); #410836=DIRECTION('center_axis',(-0.198956019232557,0.98000841956135,0.)); #410837=DIRECTION('ref_axis',(-0.98000841956135,-0.198956019232557,0.)); #410838=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #410839=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #410840=DIRECTION('',(0.,0.,1.)); #410841=DIRECTION('center_axis',(0.,1.,0.)); #410842=DIRECTION('ref_axis',(-1.,0.,0.)); #410843=DIRECTION('',(-1.,0.,0.)); #410844=DIRECTION('',(-1.,0.,0.)); #410845=DIRECTION('',(0.,0.,1.)); #410846=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #410847=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #410848=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #410849=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #410850=DIRECTION('',(0.,0.,1.)); #410851=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #410852=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #410853=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #410854=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #410855=DIRECTION('',(0.,0.,1.)); #410856=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #410857=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #410858=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #410859=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #410860=DIRECTION('',(0.,0.,1.)); #410861=DIRECTION('center_axis',(0.820212632122596,0.572058771549325,0.)); #410862=DIRECTION('ref_axis',(-0.572058771549325,0.820212632122596,0.)); #410863=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #410864=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #410865=DIRECTION('',(0.,0.,1.)); #410866=DIRECTION('center_axis',(0.97315145688639,0.230165683714788,0.)); #410867=DIRECTION('ref_axis',(-0.230165683714788,0.97315145688639,0.)); #410868=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #410869=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #410870=DIRECTION('',(0.,0.,1.)); #410871=DIRECTION('center_axis',(0.985847618607373,-0.167643887112447,0.)); #410872=DIRECTION('ref_axis',(0.167643887112447,0.985847618607373,0.)); #410873=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #410874=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #410875=DIRECTION('',(0.,0.,1.)); #410876=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #410877=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #410878=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #410879=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #410880=DIRECTION('',(0.,0.,1.)); #410881=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #410882=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #410883=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #410884=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #410885=DIRECTION('center_axis',(0.,0.,1.)); #410886=DIRECTION('ref_axis',(1.,0.,0.)); #410887=DIRECTION('center_axis',(0.,0.,1.)); #410888=DIRECTION('ref_axis',(1.,0.,0.)); #410889=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #410890=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #410891=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #410892=DIRECTION('',(0.,0.,1.)); #410893=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #410894=DIRECTION('',(0.,0.,1.)); #410895=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #410896=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #410897=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #410898=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #410899=DIRECTION('',(0.,0.,1.)); #410900=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #410901=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #410902=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #410903=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #410904=DIRECTION('',(0.,0.,1.)); #410905=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #410906=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #410907=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #410908=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #410909=DIRECTION('',(0.,0.,1.)); #410910=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #410911=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #410912=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #410913=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #410914=DIRECTION('',(0.,0.,1.)); #410915=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410916=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410917=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410918=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410919=DIRECTION('',(0.,0.,1.)); #410920=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #410921=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #410922=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #410923=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #410924=DIRECTION('',(0.,0.,1.)); #410925=DIRECTION('center_axis',(0.,-1.,0.)); #410926=DIRECTION('ref_axis',(1.,0.,0.)); #410927=DIRECTION('',(1.,0.,0.)); #410928=DIRECTION('',(1.,0.,0.)); #410929=DIRECTION('',(0.,0.,1.)); #410930=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #410931=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #410932=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #410933=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #410934=DIRECTION('',(0.,0.,1.)); #410935=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #410936=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #410937=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410938=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #410939=DIRECTION('',(0.,0.,1.)); #410940=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #410941=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #410942=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #410943=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #410944=DIRECTION('',(0.,0.,1.)); #410945=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #410946=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #410947=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #410948=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #410949=DIRECTION('',(0.,0.,1.)); #410950=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #410951=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #410952=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #410953=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #410954=DIRECTION('',(0.,0.,1.)); #410955=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #410956=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #410957=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #410958=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #410959=DIRECTION('',(0.,0.,1.)); #410960=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #410961=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #410962=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #410963=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #410964=DIRECTION('',(0.,0.,1.)); #410965=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #410966=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #410967=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #410968=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #410969=DIRECTION('',(0.,0.,1.)); #410970=DIRECTION('center_axis',(-1.,0.,0.)); #410971=DIRECTION('ref_axis',(0.,-1.,0.)); #410972=DIRECTION('',(0.,-1.,0.)); #410973=DIRECTION('',(0.,-1.,0.)); #410974=DIRECTION('',(0.,0.,1.)); #410975=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #410976=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #410977=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #410978=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #410979=DIRECTION('',(0.,0.,1.)); #410980=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #410981=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #410982=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #410983=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #410984=DIRECTION('',(0.,0.,1.)); #410985=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #410986=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #410987=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #410988=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #410989=DIRECTION('',(0.,0.,1.)); #410990=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #410991=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #410992=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #410993=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #410994=DIRECTION('',(0.,0.,1.)); #410995=DIRECTION('center_axis',(0.,1.,0.)); #410996=DIRECTION('ref_axis',(-1.,0.,0.)); #410997=DIRECTION('',(-1.,0.,0.)); #410998=DIRECTION('',(-1.,0.,0.)); #410999=DIRECTION('',(0.,0.,1.)); #411000=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #411001=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #411002=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #411003=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #411004=DIRECTION('',(0.,0.,1.)); #411005=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #411006=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #411007=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #411008=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #411009=DIRECTION('',(0.,0.,1.)); #411010=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #411011=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #411012=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #411013=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #411014=DIRECTION('',(0.,0.,1.)); #411015=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #411016=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #411017=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #411018=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #411019=DIRECTION('',(0.,0.,1.)); #411020=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #411021=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #411022=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411023=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411024=DIRECTION('',(0.,0.,1.)); #411025=DIRECTION('center_axis',(1.,0.,0.)); #411026=DIRECTION('ref_axis',(0.,1.,0.)); #411027=DIRECTION('',(0.,1.,0.)); #411028=DIRECTION('',(0.,1.,0.)); #411029=DIRECTION('',(0.,0.,1.)); #411030=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #411031=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #411032=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #411033=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #411034=DIRECTION('',(0.,0.,1.)); #411035=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #411036=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #411037=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #411038=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #411039=DIRECTION('',(0.,0.,1.)); #411040=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #411041=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #411042=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #411043=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #411044=DIRECTION('center_axis',(0.,0.,1.)); #411045=DIRECTION('ref_axis',(1.,0.,0.)); #411046=DIRECTION('center_axis',(0.,0.,1.)); #411047=DIRECTION('ref_axis',(1.,0.,0.)); #411048=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #411049=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #411050=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #411051=DIRECTION('',(0.,0.,1.)); #411052=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #411053=DIRECTION('',(0.,0.,1.)); #411054=DIRECTION('center_axis',(0.,-1.,0.)); #411055=DIRECTION('ref_axis',(1.,0.,0.)); #411056=DIRECTION('',(1.,0.,0.)); #411057=DIRECTION('',(1.,0.,0.)); #411058=DIRECTION('',(0.,0.,1.)); #411059=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #411060=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #411061=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #411062=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #411063=DIRECTION('',(0.,0.,1.)); #411064=DIRECTION('center_axis',(-0.525358802048945,-0.850880796063525, 0.)); #411065=DIRECTION('ref_axis',(0.850880796063525,-0.525358802048945,0.)); #411066=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #411067=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #411068=DIRECTION('',(0.,0.,1.)); #411069=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #411070=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #411071=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #411072=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #411073=DIRECTION('',(0.,0.,1.)); #411074=DIRECTION('center_axis',(-0.976685690837588,-0.21467431451644,0.)); #411075=DIRECTION('ref_axis',(0.21467431451644,-0.976685690837588,0.)); #411076=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #411077=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #411078=DIRECTION('',(0.,0.,1.)); #411079=DIRECTION('center_axis',(-0.983050529880642,0.183334818573531,0.)); #411080=DIRECTION('ref_axis',(-0.183334818573531,-0.983050529880642,0.)); #411081=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #411082=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #411083=DIRECTION('',(0.,0.,1.)); #411084=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #411085=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #411086=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #411087=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #411088=DIRECTION('',(0.,0.,1.)); #411089=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #411090=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #411091=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411092=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411093=DIRECTION('',(0.,0.,1.)); #411094=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #411095=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #411096=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #411097=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #411098=DIRECTION('',(0.,0.,1.)); #411099=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #411100=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #411101=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #411102=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #411103=DIRECTION('',(0.,0.,1.)); #411104=DIRECTION('center_axis',(0.,1.,0.)); #411105=DIRECTION('ref_axis',(-1.,0.,0.)); #411106=DIRECTION('',(-1.,0.,0.)); #411107=DIRECTION('',(-1.,0.,0.)); #411108=DIRECTION('',(0.,0.,1.)); #411109=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #411110=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #411111=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #411112=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #411113=DIRECTION('',(0.,0.,1.)); #411114=DIRECTION('center_axis',(0.525373540973504,0.850871695642159,0.)); #411115=DIRECTION('ref_axis',(-0.850871695642159,0.525373540973504,0.)); #411116=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #411117=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #411118=DIRECTION('',(0.,0.,1.)); #411119=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #411120=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #411121=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #411122=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #411123=DIRECTION('',(0.,0.,1.)); #411124=DIRECTION('center_axis',(0.976685690837588,0.21467431451644,0.)); #411125=DIRECTION('ref_axis',(-0.21467431451644,0.976685690837588,0.)); #411126=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #411127=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #411128=DIRECTION('',(0.,0.,1.)); #411129=DIRECTION('center_axis',(0.983050529880642,-0.183334818573531,0.)); #411130=DIRECTION('ref_axis',(0.183334818573531,0.983050529880642,0.)); #411131=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #411132=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #411133=DIRECTION('',(0.,0.,1.)); #411134=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #411135=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #411136=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #411137=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #411138=DIRECTION('',(0.,0.,1.)); #411139=DIRECTION('center_axis',(0.707106781186536,-0.707106781186559,0.)); #411140=DIRECTION('ref_axis',(0.707106781186559,0.707106781186536,0.)); #411141=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #411142=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #411143=DIRECTION('',(0.,0.,1.)); #411144=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #411145=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #411146=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #411147=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #411148=DIRECTION('center_axis',(0.,0.,1.)); #411149=DIRECTION('ref_axis',(1.,0.,0.)); #411150=DIRECTION('center_axis',(0.,0.,1.)); #411151=DIRECTION('ref_axis',(1.,0.,0.)); #411152=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #411153=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #411154=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #411155=DIRECTION('',(0.,0.,1.)); #411156=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #411157=DIRECTION('',(0.,0.,1.)); #411158=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #411159=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #411160=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #411161=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #411162=DIRECTION('',(0.,0.,1.)); #411163=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #411164=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #411165=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #411166=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #411167=DIRECTION('',(0.,0.,1.)); #411168=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #411169=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #411170=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #411171=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #411172=DIRECTION('',(0.,0.,1.)); #411173=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #411174=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #411175=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #411176=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #411177=DIRECTION('',(0.,0.,1.)); #411178=DIRECTION('center_axis',(-1.,0.,0.)); #411179=DIRECTION('ref_axis',(0.,-1.,0.)); #411180=DIRECTION('',(0.,-1.,0.)); #411181=DIRECTION('',(0.,-1.,0.)); #411182=DIRECTION('',(0.,0.,1.)); #411183=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #411184=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #411185=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #411186=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #411187=DIRECTION('',(0.,0.,1.)); #411188=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #411189=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #411190=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #411191=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #411192=DIRECTION('',(0.,0.,1.)); #411193=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #411194=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #411195=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #411196=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #411197=DIRECTION('',(0.,0.,1.)); #411198=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #411199=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #411200=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #411201=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #411202=DIRECTION('',(0.,0.,1.)); #411203=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #411204=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #411205=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #411206=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #411207=DIRECTION('',(0.,0.,1.)); #411208=DIRECTION('center_axis',(0.,1.,0.)); #411209=DIRECTION('ref_axis',(-1.,0.,0.)); #411210=DIRECTION('',(-1.,0.,0.)); #411211=DIRECTION('',(-1.,0.,0.)); #411212=DIRECTION('',(0.,0.,1.)); #411213=DIRECTION('center_axis',(0.183305290257233,0.983056036329421,0.)); #411214=DIRECTION('ref_axis',(-0.983056036329421,0.183305290257233,0.)); #411215=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #411216=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #411217=DIRECTION('',(0.,0.,1.)); #411218=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #411219=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #411220=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #411221=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #411222=DIRECTION('',(0.,0.,1.)); #411223=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #411224=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #411225=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #411226=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #411227=DIRECTION('',(0.,0.,1.)); #411228=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #411229=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #411230=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #411231=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #411232=DIRECTION('',(0.,0.,1.)); #411233=DIRECTION('center_axis',(1.,0.,0.)); #411234=DIRECTION('ref_axis',(0.,1.,0.)); #411235=DIRECTION('',(0.,1.,0.)); #411236=DIRECTION('',(0.,1.,0.)); #411237=DIRECTION('',(0.,0.,1.)); #411238=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #411239=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #411240=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #411241=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #411242=DIRECTION('',(0.,0.,1.)); #411243=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #411244=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #411245=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #411246=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #411247=DIRECTION('',(0.,0.,1.)); #411248=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #411249=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #411250=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #411251=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #411252=DIRECTION('',(0.,0.,1.)); #411253=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #411254=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #411255=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #411256=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #411257=DIRECTION('',(0.,0.,1.)); #411258=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #411259=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #411260=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #411261=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #411262=DIRECTION('',(0.,0.,1.)); #411263=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #411264=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #411265=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #411266=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #411267=DIRECTION('',(0.,0.,1.)); #411268=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #411269=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #411270=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #411271=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #411272=DIRECTION('',(0.,0.,1.)); #411273=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #411274=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #411275=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #411276=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #411277=DIRECTION('',(0.,0.,1.)); #411278=DIRECTION('center_axis',(0.,-1.,0.)); #411279=DIRECTION('ref_axis',(1.,0.,0.)); #411280=DIRECTION('',(1.,0.,0.)); #411281=DIRECTION('',(1.,0.,0.)); #411282=DIRECTION('',(0.,0.,1.)); #411283=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #411284=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #411285=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #411286=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #411287=DIRECTION('',(0.,0.,1.)); #411288=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411289=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411290=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411291=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411292=DIRECTION('',(0.,0.,1.)); #411293=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #411294=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #411295=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #411296=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #411297=DIRECTION('',(0.,0.,1.)); #411298=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #411299=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #411300=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #411301=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #411302=DIRECTION('',(0.,0.,1.)); #411303=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #411304=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #411305=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #411306=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #411307=DIRECTION('center_axis',(0.,0.,1.)); #411308=DIRECTION('ref_axis',(1.,0.,0.)); #411309=DIRECTION('center_axis',(0.,0.,1.)); #411310=DIRECTION('ref_axis',(1.,0.,0.)); #411311=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #411312=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #411313=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #411314=DIRECTION('',(0.,0.,1.)); #411315=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #411316=DIRECTION('',(0.,0.,1.)); #411317=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #411318=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #411319=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #411320=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #411321=DIRECTION('',(0.,0.,1.)); #411322=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #411323=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #411324=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #411325=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #411326=DIRECTION('',(0.,0.,1.)); #411327=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411328=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411329=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #411330=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #411331=DIRECTION('',(0.,0.,1.)); #411332=DIRECTION('center_axis',(-0.829203833807366,-0.558946331948933, 0.)); #411333=DIRECTION('ref_axis',(0.558946331948932,-0.829203833807366,0.)); #411334=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #411335=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #411336=DIRECTION('',(0.,0.,1.)); #411337=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #411338=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #411339=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #411340=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #411341=DIRECTION('',(0.,0.,1.)); #411342=DIRECTION('center_axis',(-1.,0.,0.)); #411343=DIRECTION('ref_axis',(0.,-1.,0.)); #411344=DIRECTION('',(0.,-1.,0.)); #411345=DIRECTION('',(0.,-1.,0.)); #411346=DIRECTION('',(0.,0.,1.)); #411347=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #411348=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #411349=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #411350=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #411351=DIRECTION('',(0.,0.,1.)); #411352=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #411353=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #411354=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #411355=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #411356=DIRECTION('',(0.,0.,1.)); #411357=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #411358=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #411359=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411360=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411361=DIRECTION('',(0.,0.,1.)); #411362=DIRECTION('center_axis',(-0.572058771549548,0.820212632122441,0.)); #411363=DIRECTION('ref_axis',(-0.820212632122441,-0.572058771549548,0.)); #411364=DIRECTION('',(-0.820212632122441,-0.572058771549548,0.)); #411365=DIRECTION('',(-0.820212632122441,-0.572058771549548,0.)); #411366=DIRECTION('',(0.,0.,1.)); #411367=DIRECTION('center_axis',(-0.230165683714478,0.973151456886464,0.)); #411368=DIRECTION('ref_axis',(-0.973151456886464,-0.230165683714478,0.)); #411369=DIRECTION('',(-0.973151456886464,-0.230165683714478,0.)); #411370=DIRECTION('',(-0.973151456886464,-0.230165683714478,0.)); #411371=DIRECTION('',(0.,0.,1.)); #411372=DIRECTION('center_axis',(0.167643887112162,0.985847618607422,0.)); #411373=DIRECTION('ref_axis',(-0.985847618607422,0.167643887112162,0.)); #411374=DIRECTION('',(-0.985847618607422,0.167643887112162,0.)); #411375=DIRECTION('',(-0.985847618607422,0.167643887112162,0.)); #411376=DIRECTION('',(0.,0.,1.)); #411377=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #411378=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #411379=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #411380=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #411381=DIRECTION('',(0.,0.,1.)); #411382=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #411383=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #411384=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #411385=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #411386=DIRECTION('',(0.,0.,1.)); #411387=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #411388=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #411389=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #411390=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #411391=DIRECTION('',(0.,0.,1.)); #411392=DIRECTION('center_axis',(1.,0.,0.)); #411393=DIRECTION('ref_axis',(0.,1.,0.)); #411394=DIRECTION('',(0.,1.,0.)); #411395=DIRECTION('',(0.,1.,0.)); #411396=DIRECTION('',(0.,0.,1.)); #411397=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #411398=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #411399=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #411400=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #411401=DIRECTION('',(0.,0.,1.)); #411402=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #411403=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #411404=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #411405=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #411406=DIRECTION('',(0.,0.,1.)); #411407=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411408=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411409=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411410=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411411=DIRECTION('',(0.,0.,1.)); #411412=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #411413=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #411414=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #411415=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #411416=DIRECTION('center_axis',(0.,0.,1.)); #411417=DIRECTION('ref_axis',(1.,0.,0.)); #411418=DIRECTION('center_axis',(0.,0.,1.)); #411419=DIRECTION('ref_axis',(1.,0.,0.)); #411420=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #411421=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #411422=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #411423=DIRECTION('',(0.,0.,1.)); #411424=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #411425=DIRECTION('',(0.,0.,1.)); #411426=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #411427=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #411428=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #411429=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #411430=DIRECTION('',(0.,0.,1.)); #411431=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #411432=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #411433=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #411434=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #411435=DIRECTION('',(0.,0.,1.)); #411436=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #411437=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #411438=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #411439=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #411440=DIRECTION('',(0.,0.,1.)); #411441=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #411442=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #411443=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #411444=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #411445=DIRECTION('',(0.,0.,1.)); #411446=DIRECTION('center_axis',(-1.,0.,0.)); #411447=DIRECTION('ref_axis',(0.,-1.,0.)); #411448=DIRECTION('',(0.,-1.,0.)); #411449=DIRECTION('',(0.,-1.,0.)); #411450=DIRECTION('',(0.,0.,1.)); #411451=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #411452=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #411453=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #411454=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #411455=DIRECTION('',(0.,0.,1.)); #411456=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #411457=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #411458=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #411459=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #411460=DIRECTION('',(0.,0.,1.)); #411461=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #411462=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #411463=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #411464=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #411465=DIRECTION('',(0.,0.,1.)); #411466=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #411467=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #411468=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #411469=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #411470=DIRECTION('',(0.,0.,1.)); #411471=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #411472=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #411473=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #411474=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #411475=DIRECTION('',(0.,0.,1.)); #411476=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #411477=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #411478=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #411479=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #411480=DIRECTION('',(0.,0.,1.)); #411481=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #411482=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #411483=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #411484=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #411485=DIRECTION('',(0.,0.,1.)); #411486=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #411487=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #411488=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #411489=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #411490=DIRECTION('',(0.,0.,1.)); #411491=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411492=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411493=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411494=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411495=DIRECTION('',(0.,0.,1.)); #411496=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #411497=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #411498=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #411499=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #411500=DIRECTION('',(0.,0.,1.)); #411501=DIRECTION('center_axis',(0.,1.,0.)); #411502=DIRECTION('ref_axis',(-1.,0.,0.)); #411503=DIRECTION('',(-1.,0.,0.)); #411504=DIRECTION('',(-1.,0.,0.)); #411505=DIRECTION('',(0.,0.,1.)); #411506=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #411507=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #411508=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #411509=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #411510=DIRECTION('',(0.,0.,1.)); #411511=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #411512=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #411513=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #411514=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #411515=DIRECTION('',(0.,0.,1.)); #411516=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #411517=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #411518=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #411519=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #411520=DIRECTION('',(0.,0.,1.)); #411521=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #411522=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #411523=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #411524=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #411525=DIRECTION('',(0.,0.,1.)); #411526=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #411527=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #411528=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #411529=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #411530=DIRECTION('',(0.,0.,1.)); #411531=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #411532=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #411533=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #411534=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #411535=DIRECTION('',(0.,0.,1.)); #411536=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #411537=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #411538=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #411539=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #411540=DIRECTION('',(0.,0.,1.)); #411541=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #411542=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #411543=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #411544=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #411545=DIRECTION('',(0.,0.,1.)); #411546=DIRECTION('center_axis',(1.,0.,0.)); #411547=DIRECTION('ref_axis',(0.,1.,0.)); #411548=DIRECTION('',(0.,1.,0.)); #411549=DIRECTION('',(0.,1.,0.)); #411550=DIRECTION('',(0.,0.,1.)); #411551=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #411552=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #411553=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #411554=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #411555=DIRECTION('',(0.,0.,1.)); #411556=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #411557=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #411558=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #411559=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #411560=DIRECTION('',(0.,0.,1.)); #411561=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #411562=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #411563=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #411564=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #411565=DIRECTION('',(0.,0.,1.)); #411566=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #411567=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #411568=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #411569=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #411570=DIRECTION('',(0.,0.,1.)); #411571=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #411572=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #411573=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #411574=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #411575=DIRECTION('',(0.,0.,1.)); #411576=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #411577=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #411578=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #411579=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #411580=DIRECTION('',(0.,0.,1.)); #411581=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #411582=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #411583=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #411584=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #411585=DIRECTION('',(0.,0.,1.)); #411586=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #411587=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #411588=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #411589=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #411590=DIRECTION('',(0.,0.,1.)); #411591=DIRECTION('center_axis',(0.,-1.,0.)); #411592=DIRECTION('ref_axis',(1.,0.,0.)); #411593=DIRECTION('',(1.,0.,0.)); #411594=DIRECTION('',(1.,0.,0.)); #411595=DIRECTION('',(0.,0.,1.)); #411596=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #411597=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #411598=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #411599=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #411600=DIRECTION('',(0.,0.,1.)); #411601=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411602=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411603=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411604=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411605=DIRECTION('',(0.,0.,1.)); #411606=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #411607=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #411608=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #411609=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #411610=DIRECTION('',(0.,0.,1.)); #411611=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #411612=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #411613=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #411614=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #411615=DIRECTION('',(0.,0.,1.)); #411616=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #411617=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #411618=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #411619=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #411620=DIRECTION('center_axis',(0.,0.,1.)); #411621=DIRECTION('ref_axis',(1.,0.,0.)); #411622=DIRECTION('center_axis',(0.,0.,1.)); #411623=DIRECTION('ref_axis',(1.,0.,0.)); #411624=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #411625=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #411626=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #411627=DIRECTION('',(0.,0.,1.)); #411628=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #411629=DIRECTION('',(0.,0.,1.)); #411630=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #411631=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #411632=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #411633=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #411634=DIRECTION('',(0.,0.,1.)); #411635=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #411636=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #411637=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #411638=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #411639=DIRECTION('',(0.,0.,1.)); #411640=DIRECTION('center_axis',(-0.707061847002896,-0.707151712514969, 0.)); #411641=DIRECTION('ref_axis',(0.707151712514969,-0.707061847002896,0.)); #411642=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #411643=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #411644=DIRECTION('',(0.,0.,1.)); #411645=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #411646=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #411647=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #411648=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #411649=DIRECTION('',(0.,0.,1.)); #411650=DIRECTION('center_axis',(-0.976694123650575,-0.214635944861141, 0.)); #411651=DIRECTION('ref_axis',(0.214635944861141,-0.976694123650575,0.)); #411652=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #411653=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #411654=DIRECTION('',(0.,0.,1.)); #411655=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #411656=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #411657=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #411658=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #411659=DIRECTION('',(0.,0.,1.)); #411660=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #411661=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #411662=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #411663=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #411664=DIRECTION('',(0.,0.,1.)); #411665=DIRECTION('center_axis',(-0.707106781186527,0.707106781186568,0.)); #411666=DIRECTION('ref_axis',(-0.707106781186568,-0.707106781186527,0.)); #411667=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #411668=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #411669=DIRECTION('',(0.,0.,1.)); #411670=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #411671=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #411672=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #411673=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #411674=DIRECTION('',(0.,0.,1.)); #411675=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #411676=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #411677=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #411678=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #411679=DIRECTION('',(0.,0.,1.)); #411680=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #411681=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #411682=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #411683=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #411684=DIRECTION('',(0.,0.,1.)); #411685=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #411686=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #411687=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #411688=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #411689=DIRECTION('',(0.,0.,1.)); #411690=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #411691=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #411692=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #411693=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #411694=DIRECTION('',(0.,0.,1.)); #411695=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #411696=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #411697=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411698=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411699=DIRECTION('',(0.,0.,1.)); #411700=DIRECTION('center_axis',(1.,0.,0.)); #411701=DIRECTION('ref_axis',(0.,1.,0.)); #411702=DIRECTION('',(0.,1.,0.)); #411703=DIRECTION('',(0.,1.,0.)); #411704=DIRECTION('',(0.,0.,1.)); #411705=DIRECTION('center_axis',(0.981560865252922,-0.191149856928888,0.)); #411706=DIRECTION('ref_axis',(0.191149856928888,0.981560865252922,0.)); #411707=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #411708=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #411709=DIRECTION('',(0.,0.,1.)); #411710=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #411711=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #411712=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #411713=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #411714=DIRECTION('',(0.,0.,1.)); #411715=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411716=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411717=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411718=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411719=DIRECTION('',(0.,0.,1.)); #411720=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #411721=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #411722=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #411723=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #411724=DIRECTION('center_axis',(0.,0.,1.)); #411725=DIRECTION('ref_axis',(1.,0.,0.)); #411726=DIRECTION('center_axis',(0.,0.,1.)); #411727=DIRECTION('ref_axis',(1.,0.,0.)); #411728=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #411729=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #411730=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #411731=DIRECTION('',(0.,0.,1.)); #411732=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #411733=DIRECTION('',(0.,0.,1.)); #411734=DIRECTION('center_axis',(0.,-1.,0.)); #411735=DIRECTION('ref_axis',(1.,0.,0.)); #411736=DIRECTION('',(1.,0.,0.)); #411737=DIRECTION('',(1.,0.,0.)); #411738=DIRECTION('',(0.,0.,1.)); #411739=DIRECTION('center_axis',(-0.175495599689726,-0.984480215387564, 0.)); #411740=DIRECTION('ref_axis',(0.984480215387564,-0.175495599689726,0.)); #411741=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #411742=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #411743=DIRECTION('',(0.,0.,1.)); #411744=DIRECTION('center_axis',(-0.525373540973609,-0.850871695642093, 0.)); #411745=DIRECTION('ref_axis',(0.850871695642093,-0.525373540973609,0.)); #411746=DIRECTION('',(0.850871695642093,-0.525373540973609,0.)); #411747=DIRECTION('',(0.850871695642093,-0.525373540973609,0.)); #411748=DIRECTION('',(0.,0.,1.)); #411749=DIRECTION('center_axis',(-0.815693852178772,-0.578483828224917, 0.)); #411750=DIRECTION('ref_axis',(0.578483828224917,-0.815693852178772,0.)); #411751=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #411752=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #411753=DIRECTION('',(0.,0.,1.)); #411754=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #411755=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #411756=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #411757=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #411758=DIRECTION('',(0.,0.,1.)); #411759=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #411760=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #411761=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #411762=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #411763=DIRECTION('',(0.,0.,1.)); #411764=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #411765=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #411766=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #411767=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #411768=DIRECTION('',(0.,0.,1.)); #411769=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #411770=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #411771=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #411772=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #411773=DIRECTION('',(0.,0.,1.)); #411774=DIRECTION('center_axis',(-0.572058771549169,0.820212632122705,0.)); #411775=DIRECTION('ref_axis',(-0.820212632122705,-0.572058771549169,0.)); #411776=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #411777=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #411778=DIRECTION('',(0.,0.,1.)); #411779=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #411780=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #411781=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #411782=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #411783=DIRECTION('',(0.,0.,1.)); #411784=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #411785=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #411786=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #411787=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #411788=DIRECTION('',(0.,0.,1.)); #411789=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #411790=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #411791=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #411792=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #411793=DIRECTION('',(0.,0.,1.)); #411794=DIRECTION('center_axis',(0.824756093515038,0.565488626065824,0.)); #411795=DIRECTION('ref_axis',(-0.565488626065824,0.824756093515038,0.)); #411796=DIRECTION('',(-0.565488626065824,0.824756093515038,0.)); #411797=DIRECTION('',(-0.565488626065824,0.824756093515038,0.)); #411798=DIRECTION('',(0.,0.,1.)); #411799=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #411800=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #411801=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #411802=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #411803=DIRECTION('',(0.,0.,1.)); #411804=DIRECTION('center_axis',(1.,0.,0.)); #411805=DIRECTION('ref_axis',(0.,1.,0.)); #411806=DIRECTION('',(0.,1.,0.)); #411807=DIRECTION('',(0.,1.,0.)); #411808=DIRECTION('',(0.,0.,1.)); #411809=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #411810=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #411811=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #411812=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #411813=DIRECTION('',(0.,0.,1.)); #411814=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #411815=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #411816=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #411817=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #411818=DIRECTION('',(0.,0.,1.)); #411819=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #411820=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #411821=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #411822=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #411823=DIRECTION('',(0.,0.,1.)); #411824=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #411825=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #411826=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #411827=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #411828=DIRECTION('center_axis',(0.,0.,1.)); #411829=DIRECTION('ref_axis',(1.,0.,0.)); #411830=DIRECTION('center_axis',(0.,0.,1.)); #411831=DIRECTION('ref_axis',(1.,0.,0.)); #411832=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #411833=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #411834=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #411835=DIRECTION('',(0.,0.,1.)); #411836=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #411837=DIRECTION('',(0.,0.,1.)); #411838=DIRECTION('center_axis',(0.,-1.,0.)); #411839=DIRECTION('ref_axis',(1.,0.,0.)); #411840=DIRECTION('',(1.,0.,0.)); #411841=DIRECTION('',(1.,0.,0.)); #411842=DIRECTION('',(0.,0.,1.)); #411843=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #411844=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #411845=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #411846=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #411847=DIRECTION('',(0.,0.,1.)); #411848=DIRECTION('center_axis',(-0.525373540973373,-0.85087169564224,0.)); #411849=DIRECTION('ref_axis',(0.85087169564224,-0.525373540973373,0.)); #411850=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #411851=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #411852=DIRECTION('',(0.,0.,1.)); #411853=DIRECTION('center_axis',(-0.815693852178959,-0.578483828224653, 0.)); #411854=DIRECTION('ref_axis',(0.578483828224653,-0.815693852178959,0.)); #411855=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #411856=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #411857=DIRECTION('',(0.,0.,1.)); #411858=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #411859=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #411860=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #411861=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #411862=DIRECTION('',(0.,0.,1.)); #411863=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #411864=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #411865=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #411866=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #411867=DIRECTION('',(0.,0.,1.)); #411868=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #411869=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #411870=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #411871=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #411872=DIRECTION('',(0.,0.,1.)); #411873=DIRECTION('center_axis',(-0.707106781186567,0.707106781186528,0.)); #411874=DIRECTION('ref_axis',(-0.707106781186528,-0.707106781186567,0.)); #411875=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #411876=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #411877=DIRECTION('',(0.,0.,1.)); #411878=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #411879=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #411880=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #411881=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #411882=DIRECTION('',(0.,0.,1.)); #411883=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #411884=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #411885=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #411886=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #411887=DIRECTION('',(0.,0.,1.)); #411888=DIRECTION('center_axis',(0.,1.,0.)); #411889=DIRECTION('ref_axis',(-1.,0.,0.)); #411890=DIRECTION('',(-1.,0.,0.)); #411891=DIRECTION('',(-1.,0.,0.)); #411892=DIRECTION('',(0.,0.,1.)); #411893=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #411894=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #411895=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #411896=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #411897=DIRECTION('',(0.,0.,1.)); #411898=DIRECTION('center_axis',(0.525373540973373,0.85087169564224,0.)); #411899=DIRECTION('ref_axis',(-0.85087169564224,0.525373540973373,0.)); #411900=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #411901=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #411902=DIRECTION('',(0.,0.,1.)); #411903=DIRECTION('center_axis',(0.815693852178959,0.578483828224653,0.)); #411904=DIRECTION('ref_axis',(-0.578483828224653,0.815693852178959,0.)); #411905=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #411906=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #411907=DIRECTION('',(0.,0.,1.)); #411908=DIRECTION('center_axis',(0.97668569083752,0.21467431451675,0.)); #411909=DIRECTION('ref_axis',(-0.21467431451675,0.97668569083752,0.)); #411910=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #411911=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #411912=DIRECTION('',(0.,0.,1.)); #411913=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #411914=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #411915=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #411916=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #411917=DIRECTION('',(0.,0.,1.)); #411918=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #411919=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #411920=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #411921=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #411922=DIRECTION('',(0.,0.,1.)); #411923=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411924=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411925=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411926=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411927=DIRECTION('',(0.,0.,1.)); #411928=DIRECTION('center_axis',(0.55894633194911,-0.829203833807246,0.)); #411929=DIRECTION('ref_axis',(0.829203833807246,0.55894633194911,0.)); #411930=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #411931=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #411932=DIRECTION('center_axis',(0.,0.,1.)); #411933=DIRECTION('ref_axis',(1.,0.,0.)); #411934=DIRECTION('center_axis',(0.,0.,1.)); #411935=DIRECTION('ref_axis',(1.,0.,0.)); #411936=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #411937=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #411938=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #411939=DIRECTION('',(0.,0.,1.)); #411940=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #411941=DIRECTION('',(0.,0.,1.)); #411942=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #411943=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #411944=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #411945=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #411946=DIRECTION('',(0.,0.,1.)); #411947=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #411948=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #411949=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #411950=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #411951=DIRECTION('',(0.,0.,1.)); #411952=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #411953=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #411954=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #411955=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #411956=DIRECTION('',(0.,0.,1.)); #411957=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #411958=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #411959=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #411960=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #411961=DIRECTION('',(0.,0.,1.)); #411962=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411963=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411964=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411965=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411966=DIRECTION('',(0.,0.,1.)); #411967=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #411968=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #411969=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #411970=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #411971=DIRECTION('',(0.,0.,1.)); #411972=DIRECTION('center_axis',(0.,-1.,0.)); #411973=DIRECTION('ref_axis',(1.,0.,0.)); #411974=DIRECTION('',(1.,0.,0.)); #411975=DIRECTION('',(1.,0.,0.)); #411976=DIRECTION('',(0.,0.,1.)); #411977=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #411978=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #411979=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #411980=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #411981=DIRECTION('',(0.,0.,1.)); #411982=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411983=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411984=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411985=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411986=DIRECTION('',(0.,0.,1.)); #411987=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #411988=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #411989=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #411990=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #411991=DIRECTION('',(0.,0.,1.)); #411992=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #411993=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #411994=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #411995=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #411996=DIRECTION('',(0.,0.,1.)); #411997=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #411998=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #411999=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #412000=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #412001=DIRECTION('',(0.,0.,1.)); #412002=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #412003=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #412004=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #412005=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #412006=DIRECTION('',(0.,0.,1.)); #412007=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #412008=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #412009=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #412010=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #412011=DIRECTION('',(0.,0.,1.)); #412012=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #412013=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #412014=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #412015=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #412016=DIRECTION('',(0.,0.,1.)); #412017=DIRECTION('center_axis',(-1.,0.,0.)); #412018=DIRECTION('ref_axis',(0.,-1.,0.)); #412019=DIRECTION('',(0.,-1.,0.)); #412020=DIRECTION('',(0.,-1.,0.)); #412021=DIRECTION('',(0.,0.,1.)); #412022=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #412023=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #412024=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #412025=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #412026=DIRECTION('',(0.,0.,1.)); #412027=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #412028=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #412029=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #412030=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #412031=DIRECTION('',(0.,0.,1.)); #412032=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #412033=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #412034=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #412035=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #412036=DIRECTION('',(0.,0.,1.)); #412037=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #412038=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #412039=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #412040=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #412041=DIRECTION('',(0.,0.,1.)); #412042=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #412043=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #412044=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #412045=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #412046=DIRECTION('',(0.,0.,1.)); #412047=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #412048=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #412049=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #412050=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #412051=DIRECTION('',(0.,0.,1.)); #412052=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412053=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412054=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412055=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412056=DIRECTION('',(0.,0.,1.)); #412057=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #412058=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #412059=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #412060=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #412061=DIRECTION('',(0.,0.,1.)); #412062=DIRECTION('center_axis',(0.,1.,0.)); #412063=DIRECTION('ref_axis',(-1.,0.,0.)); #412064=DIRECTION('',(-1.,0.,0.)); #412065=DIRECTION('',(-1.,0.,0.)); #412066=DIRECTION('',(0.,0.,1.)); #412067=DIRECTION('center_axis',(-0.382688667805833,0.923877363903347,0.)); #412068=DIRECTION('ref_axis',(-0.923877363903347,-0.382688667805833,0.)); #412069=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #412070=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #412071=DIRECTION('',(0.,0.,1.)); #412072=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412073=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412074=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412075=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412076=DIRECTION('',(0.,0.,1.)); #412077=DIRECTION('center_axis',(-0.923877479452207,0.382688388850561,0.)); #412078=DIRECTION('ref_axis',(-0.382688388850561,-0.923877479452207,0.)); #412079=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #412080=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #412081=DIRECTION('',(0.,0.,1.)); #412082=DIRECTION('center_axis',(-0.844534310044551,0.535501446457032,0.)); #412083=DIRECTION('ref_axis',(-0.535501446457032,-0.844534310044551,0.)); #412084=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #412085=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #412086=DIRECTION('',(0.,0.,1.)); #412087=DIRECTION('center_axis',(-0.591488023935674,0.806313783548732,0.)); #412088=DIRECTION('ref_axis',(-0.806313783548732,-0.591488023935674,0.)); #412089=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #412090=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #412091=DIRECTION('',(0.,0.,1.)); #412092=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #412093=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #412094=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #412095=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #412096=DIRECTION('',(0.,0.,1.)); #412097=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #412098=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #412099=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #412100=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #412101=DIRECTION('',(0.,0.,1.)); #412102=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #412103=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #412104=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #412105=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #412106=DIRECTION('',(0.,0.,1.)); #412107=DIRECTION('center_axis',(0.824756093515132,0.565488626065688,0.)); #412108=DIRECTION('ref_axis',(-0.565488626065688,0.824756093515132,0.)); #412109=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #412110=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #412111=DIRECTION('',(0.,0.,1.)); #412112=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #412113=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #412114=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #412115=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #412116=DIRECTION('',(0.,0.,1.)); #412117=DIRECTION('center_axis',(1.,0.,0.)); #412118=DIRECTION('ref_axis',(0.,1.,0.)); #412119=DIRECTION('',(0.,1.,0.)); #412120=DIRECTION('',(0.,1.,0.)); #412121=DIRECTION('',(0.,0.,1.)); #412122=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #412123=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #412124=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #412125=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #412126=DIRECTION('',(0.,0.,1.)); #412127=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #412128=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #412129=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #412130=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #412131=DIRECTION('',(0.,0.,1.)); #412132=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #412133=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #412134=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #412135=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #412136=DIRECTION('center_axis',(0.,0.,1.)); #412137=DIRECTION('ref_axis',(1.,0.,0.)); #412138=DIRECTION('center_axis',(0.,0.,1.)); #412139=DIRECTION('ref_axis',(1.,0.,0.)); #412140=DIRECTION('center_axis',(0.,0.,1.)); #412141=DIRECTION('ref_axis',(1.,0.,0.)); #412142=DIRECTION('center_axis',(0.,0.,1.)); #412143=DIRECTION('ref_axis',(1.,0.,0.)); #412144=DIRECTION('',(0.,0.,1.)); #412145=DIRECTION('center_axis',(0.,0.,-1.)); #412146=DIRECTION('ref_axis',(1.,0.,0.)); #412147=DIRECTION('center_axis',(0.,1.,0.)); #412148=DIRECTION('ref_axis',(-1.,0.,0.)); #412149=DIRECTION('',(1.,0.,0.)); #412150=DIRECTION('',(0.,0.,1.)); #412151=DIRECTION('',(-1.,0.,0.)); #412152=DIRECTION('',(0.,0.,1.)); #412153=DIRECTION('center_axis',(0.,0.,1.)); #412154=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #412155=DIRECTION('center_axis',(0.,0.,-1.)); #412156=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #412157=DIRECTION('center_axis',(0.,0.,1.)); #412158=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #412159=DIRECTION('',(0.,0.,1.)); #412160=DIRECTION('center_axis',(0.,-1.,0.)); #412161=DIRECTION('ref_axis',(1.,0.,0.)); #412162=DIRECTION('',(-1.,0.,0.)); #412163=DIRECTION('',(1.,0.,0.)); #412164=DIRECTION('',(0.,0.,1.)); #412165=DIRECTION('center_axis',(0.,0.,1.)); #412166=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #412167=DIRECTION('center_axis',(0.,0.,-1.)); #412168=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #412169=DIRECTION('center_axis',(0.,0.,1.)); #412170=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #412171=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #412172=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #412173=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #412174=DIRECTION('',(0.,0.,1.)); #412175=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #412176=DIRECTION('',(0.,0.,1.)); #412177=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #412178=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #412179=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #412180=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #412181=DIRECTION('',(0.,0.,1.)); #412182=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #412183=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #412184=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #412185=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #412186=DIRECTION('',(0.,0.,1.)); #412187=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412188=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412189=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412190=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412191=DIRECTION('',(0.,0.,1.)); #412192=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #412193=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #412194=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #412195=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #412196=DIRECTION('',(0.,0.,1.)); #412197=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #412198=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #412199=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #412200=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #412201=DIRECTION('',(0.,0.,1.)); #412202=DIRECTION('center_axis',(-1.,0.,0.)); #412203=DIRECTION('ref_axis',(0.,-1.,0.)); #412204=DIRECTION('',(0.,-1.,0.)); #412205=DIRECTION('',(0.,-1.,0.)); #412206=DIRECTION('',(0.,0.,1.)); #412207=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #412208=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #412209=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #412210=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #412211=DIRECTION('',(0.,0.,1.)); #412212=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #412213=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #412214=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #412215=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #412216=DIRECTION('',(0.,0.,1.)); #412217=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #412218=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #412219=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #412220=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #412221=DIRECTION('',(0.,0.,1.)); #412222=DIRECTION('center_axis',(-1.,0.,0.)); #412223=DIRECTION('ref_axis',(0.,-1.,0.)); #412224=DIRECTION('',(0.,-1.,0.)); #412225=DIRECTION('',(0.,-1.,0.)); #412226=DIRECTION('',(0.,0.,1.)); #412227=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412228=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412229=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412230=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412231=DIRECTION('',(0.,0.,1.)); #412232=DIRECTION('center_axis',(0.,-1.,0.)); #412233=DIRECTION('ref_axis',(1.,0.,0.)); #412234=DIRECTION('',(1.,0.,0.)); #412235=DIRECTION('',(1.,0.,0.)); #412236=DIRECTION('',(0.,0.,1.)); #412237=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #412238=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #412239=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #412240=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #412241=DIRECTION('',(0.,0.,1.)); #412242=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #412243=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #412244=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #412245=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #412246=DIRECTION('',(0.,0.,1.)); #412247=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #412248=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #412249=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #412250=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #412251=DIRECTION('',(0.,0.,1.)); #412252=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #412253=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #412254=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #412255=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #412256=DIRECTION('',(0.,0.,1.)); #412257=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #412258=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #412259=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #412260=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #412261=DIRECTION('',(0.,0.,1.)); #412262=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #412263=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #412264=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #412265=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #412266=DIRECTION('',(0.,0.,1.)); #412267=DIRECTION('center_axis',(0.,-1.,0.)); #412268=DIRECTION('ref_axis',(1.,0.,0.)); #412269=DIRECTION('',(1.,0.,0.)); #412270=DIRECTION('',(1.,0.,0.)); #412271=DIRECTION('',(0.,0.,1.)); #412272=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #412273=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #412274=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #412275=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #412276=DIRECTION('',(0.,0.,1.)); #412277=DIRECTION('center_axis',(1.,0.,0.)); #412278=DIRECTION('ref_axis',(0.,1.,0.)); #412279=DIRECTION('',(0.,1.,0.)); #412280=DIRECTION('',(0.,1.,0.)); #412281=DIRECTION('',(0.,0.,1.)); #412282=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #412283=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #412284=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #412285=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #412286=DIRECTION('',(0.,0.,1.)); #412287=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #412288=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #412289=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #412290=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #412291=DIRECTION('',(0.,0.,1.)); #412292=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #412293=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #412294=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #412295=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #412296=DIRECTION('',(0.,0.,1.)); #412297=DIRECTION('center_axis',(1.,0.,0.)); #412298=DIRECTION('ref_axis',(0.,1.,0.)); #412299=DIRECTION('',(0.,1.,0.)); #412300=DIRECTION('',(0.,1.,0.)); #412301=DIRECTION('',(0.,0.,1.)); #412302=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #412303=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #412304=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #412305=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #412306=DIRECTION('',(0.,0.,1.)); #412307=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #412308=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #412309=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #412310=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #412311=DIRECTION('',(0.,0.,1.)); #412312=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #412313=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #412314=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #412315=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #412316=DIRECTION('',(0.,0.,1.)); #412317=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #412318=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #412319=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #412320=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #412321=DIRECTION('',(0.,0.,1.)); #412322=DIRECTION('center_axis',(0.,-1.,0.)); #412323=DIRECTION('ref_axis',(1.,0.,0.)); #412324=DIRECTION('',(1.,0.,0.)); #412325=DIRECTION('',(1.,0.,0.)); #412326=DIRECTION('',(0.,0.,1.)); #412327=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #412328=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #412329=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412330=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412331=DIRECTION('',(0.,0.,1.)); #412332=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #412333=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #412334=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #412335=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #412336=DIRECTION('',(0.,0.,1.)); #412337=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #412338=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #412339=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #412340=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #412341=DIRECTION('',(0.,0.,1.)); #412342=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #412343=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #412344=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #412345=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #412346=DIRECTION('',(0.,0.,1.)); #412347=DIRECTION('center_axis',(-1.,0.,0.)); #412348=DIRECTION('ref_axis',(0.,-1.,0.)); #412349=DIRECTION('',(0.,-1.,0.)); #412350=DIRECTION('',(0.,-1.,0.)); #412351=DIRECTION('',(0.,0.,1.)); #412352=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #412353=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #412354=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #412355=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #412356=DIRECTION('',(0.,0.,1.)); #412357=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #412358=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #412359=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #412360=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #412361=DIRECTION('',(0.,0.,1.)); #412362=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #412363=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #412364=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #412365=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #412366=DIRECTION('',(0.,0.,1.)); #412367=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #412368=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #412369=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #412370=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #412371=DIRECTION('',(0.,0.,1.)); #412372=DIRECTION('center_axis',(0.,1.,0.)); #412373=DIRECTION('ref_axis',(-1.,0.,0.)); #412374=DIRECTION('',(-1.,0.,0.)); #412375=DIRECTION('',(-1.,0.,0.)); #412376=DIRECTION('',(0.,0.,1.)); #412377=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #412378=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #412379=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #412380=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #412381=DIRECTION('',(0.,0.,1.)); #412382=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #412383=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #412384=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #412385=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #412386=DIRECTION('',(0.,0.,1.)); #412387=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #412388=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #412389=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #412390=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #412391=DIRECTION('',(0.,0.,1.)); #412392=DIRECTION('center_axis',(-0.652508824452284,0.75778112539961,0.)); #412393=DIRECTION('ref_axis',(-0.75778112539961,-0.652508824452284,0.)); #412394=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #412395=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #412396=DIRECTION('',(0.,0.,1.)); #412397=DIRECTION('center_axis',(-0.707107039233478,0.707106523139523,0.)); #412398=DIRECTION('ref_axis',(-0.707106523139523,-0.707107039233478,0.)); #412399=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #412400=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #412401=DIRECTION('',(0.,0.,1.)); #412402=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #412403=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #412404=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #412405=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #412406=DIRECTION('',(0.,0.,1.)); #412407=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #412408=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #412409=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #412410=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #412411=DIRECTION('',(0.,0.,1.)); #412412=DIRECTION('center_axis',(-0.96766227807795,0.252249312357818,0.)); #412413=DIRECTION('ref_axis',(-0.252249312357818,-0.96766227807795,0.)); #412414=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #412415=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #412416=DIRECTION('',(0.,0.,1.)); #412417=DIRECTION('center_axis',(-0.997238118320005,0.074270689841797,0.)); #412418=DIRECTION('ref_axis',(-0.074270689841797,-0.997238118320005,0.)); #412419=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #412420=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #412421=DIRECTION('',(0.,0.,1.)); #412422=DIRECTION('center_axis',(-1.,0.,0.)); #412423=DIRECTION('ref_axis',(0.,-1.,0.)); #412424=DIRECTION('',(0.,-1.,0.)); #412425=DIRECTION('',(0.,-1.,0.)); #412426=DIRECTION('',(0.,0.,1.)); #412427=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #412428=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #412429=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #412430=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #412431=DIRECTION('',(0.,0.,1.)); #412432=DIRECTION('center_axis',(-0.8336598597498,0.552278225391826,0.)); #412433=DIRECTION('ref_axis',(-0.552278225391826,-0.8336598597498,0.)); #412434=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #412435=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #412436=DIRECTION('',(0.,0.,1.)); #412437=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #412438=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #412439=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #412440=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #412441=DIRECTION('',(0.,0.,1.)); #412442=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #412443=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #412444=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #412445=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #412446=DIRECTION('',(0.,0.,1.)); #412447=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #412448=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #412449=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #412450=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #412451=DIRECTION('',(0.,0.,1.)); #412452=DIRECTION('center_axis',(0.,1.,0.)); #412453=DIRECTION('ref_axis',(-1.,0.,0.)); #412454=DIRECTION('',(-1.,0.,0.)); #412455=DIRECTION('',(-1.,0.,0.)); #412456=DIRECTION('',(0.,0.,1.)); #412457=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #412458=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #412459=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #412460=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #412461=DIRECTION('',(0.,0.,1.)); #412462=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #412463=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #412464=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #412465=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #412466=DIRECTION('',(0.,0.,1.)); #412467=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #412468=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #412469=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #412470=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #412471=DIRECTION('',(0.,0.,1.)); #412472=DIRECTION('center_axis',(0.980003828794046,0.198978630885354,0.)); #412473=DIRECTION('ref_axis',(-0.198978630885354,0.980003828794046,0.)); #412474=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #412475=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #412476=DIRECTION('',(0.,0.,1.)); #412477=DIRECTION('center_axis',(0.707105370129509,0.70710819224077,0.)); #412478=DIRECTION('ref_axis',(-0.70710819224077,0.707105370129509,0.)); #412479=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #412480=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #412481=DIRECTION('',(0.,0.,1.)); #412482=DIRECTION('center_axis',(0.,1.,0.)); #412483=DIRECTION('ref_axis',(-1.,0.,0.)); #412484=DIRECTION('',(-1.,0.,0.)); #412485=DIRECTION('',(-1.,0.,0.)); #412486=DIRECTION('',(0.,0.,1.)); #412487=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #412488=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #412489=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #412490=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #412491=DIRECTION('',(0.,0.,1.)); #412492=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #412493=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #412494=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #412495=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #412496=DIRECTION('',(0.,0.,1.)); #412497=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #412498=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #412499=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #412500=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #412501=DIRECTION('',(0.,0.,1.)); #412502=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #412503=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #412504=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #412505=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #412506=DIRECTION('',(0.,0.,1.)); #412507=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #412508=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #412509=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #412510=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #412511=DIRECTION('',(0.,0.,1.)); #412512=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #412513=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #412514=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #412515=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #412516=DIRECTION('',(0.,0.,1.)); #412517=DIRECTION('center_axis',(0.,1.,0.)); #412518=DIRECTION('ref_axis',(-1.,0.,0.)); #412519=DIRECTION('',(-1.,0.,0.)); #412520=DIRECTION('',(-1.,0.,0.)); #412521=DIRECTION('',(0.,0.,1.)); #412522=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412523=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412524=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412525=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412526=DIRECTION('',(0.,0.,1.)); #412527=DIRECTION('center_axis',(-1.,0.,0.)); #412528=DIRECTION('ref_axis',(0.,-1.,0.)); #412529=DIRECTION('',(0.,-1.,0.)); #412530=DIRECTION('',(0.,-1.,0.)); #412531=DIRECTION('',(0.,0.,1.)); #412532=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #412533=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #412534=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #412535=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #412536=DIRECTION('',(0.,0.,1.)); #412537=DIRECTION('center_axis',(-0.850871695642199,0.525373540973438,0.)); #412538=DIRECTION('ref_axis',(-0.525373540973438,-0.850871695642199,0.)); #412539=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #412540=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #412541=DIRECTION('',(0.,0.,1.)); #412542=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #412543=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #412544=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #412545=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #412546=DIRECTION('',(0.,0.,1.)); #412547=DIRECTION('center_axis',(-0.21467431451644,0.976685690837588,0.)); #412548=DIRECTION('ref_axis',(-0.976685690837588,-0.21467431451644,0.)); #412549=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #412550=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #412551=DIRECTION('',(0.,0.,1.)); #412552=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #412553=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #412554=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #412555=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #412556=DIRECTION('',(0.,0.,1.)); #412557=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #412558=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #412559=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #412560=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #412561=DIRECTION('',(0.,0.,1.)); #412562=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #412563=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #412564=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #412565=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #412566=DIRECTION('',(0.,0.,1.)); #412567=DIRECTION('center_axis',(0.,1.,0.)); #412568=DIRECTION('ref_axis',(-1.,0.,0.)); #412569=DIRECTION('',(-1.,0.,0.)); #412570=DIRECTION('',(-1.,0.,0.)); #412571=DIRECTION('',(0.,0.,1.)); #412572=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #412573=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #412574=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #412575=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #412576=DIRECTION('',(0.,0.,1.)); #412577=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #412578=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #412579=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #412580=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #412581=DIRECTION('',(0.,0.,1.)); #412582=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #412583=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #412584=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #412585=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #412586=DIRECTION('',(0.,0.,1.)); #412587=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #412588=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #412589=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #412590=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #412591=DIRECTION('',(0.,0.,1.)); #412592=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #412593=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #412594=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #412595=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #412596=DIRECTION('',(0.,0.,1.)); #412597=DIRECTION('center_axis',(1.,0.,0.)); #412598=DIRECTION('ref_axis',(0.,1.,0.)); #412599=DIRECTION('',(0.,1.,0.)); #412600=DIRECTION('',(0.,1.,0.)); #412601=DIRECTION('',(0.,0.,1.)); #412602=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #412603=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #412604=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #412605=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #412606=DIRECTION('',(0.,0.,1.)); #412607=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #412608=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #412609=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #412610=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #412611=DIRECTION('',(0.,0.,1.)); #412612=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #412613=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #412614=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #412615=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #412616=DIRECTION('',(0.,0.,1.)); #412617=DIRECTION('center_axis',(1.,0.,0.)); #412618=DIRECTION('ref_axis',(0.,1.,0.)); #412619=DIRECTION('',(0.,1.,0.)); #412620=DIRECTION('',(0.,1.,0.)); #412621=DIRECTION('',(0.,0.,1.)); #412622=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #412623=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #412624=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #412625=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #412626=DIRECTION('',(0.,0.,1.)); #412627=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #412628=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #412629=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #412630=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #412631=DIRECTION('',(0.,0.,1.)); #412632=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #412633=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #412634=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #412635=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #412636=DIRECTION('center_axis',(0.,0.,1.)); #412637=DIRECTION('ref_axis',(1.,0.,0.)); #412638=DIRECTION('center_axis',(0.,0.,1.)); #412639=DIRECTION('ref_axis',(1.,0.,0.)); #412640=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #412641=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #412642=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #412643=DIRECTION('',(0.,0.,1.)); #412644=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #412645=DIRECTION('',(0.,0.,1.)); #412646=DIRECTION('center_axis',(0.,-1.,0.)); #412647=DIRECTION('ref_axis',(1.,0.,0.)); #412648=DIRECTION('',(1.,0.,0.)); #412649=DIRECTION('',(1.,0.,0.)); #412650=DIRECTION('',(0.,0.,1.)); #412651=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #412652=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #412653=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412654=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412655=DIRECTION('',(0.,0.,1.)); #412656=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #412657=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #412658=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #412659=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #412660=DIRECTION('',(0.,0.,1.)); #412661=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #412662=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #412663=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #412664=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #412665=DIRECTION('',(0.,0.,1.)); #412666=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #412667=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #412668=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #412669=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #412670=DIRECTION('',(0.,0.,1.)); #412671=DIRECTION('center_axis',(-1.,0.,0.)); #412672=DIRECTION('ref_axis',(0.,-1.,0.)); #412673=DIRECTION('',(0.,-1.,0.)); #412674=DIRECTION('',(0.,-1.,0.)); #412675=DIRECTION('',(0.,0.,1.)); #412676=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #412677=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #412678=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #412679=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #412680=DIRECTION('',(0.,0.,1.)); #412681=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #412682=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #412683=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #412684=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #412685=DIRECTION('',(0.,0.,1.)); #412686=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #412687=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #412688=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #412689=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #412690=DIRECTION('',(0.,0.,1.)); #412691=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #412692=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #412693=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #412694=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #412695=DIRECTION('',(0.,0.,1.)); #412696=DIRECTION('center_axis',(0.,1.,0.)); #412697=DIRECTION('ref_axis',(-1.,0.,0.)); #412698=DIRECTION('',(-1.,0.,0.)); #412699=DIRECTION('',(-1.,0.,0.)); #412700=DIRECTION('',(0.,0.,1.)); #412701=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #412702=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #412703=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #412704=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #412705=DIRECTION('',(0.,0.,1.)); #412706=DIRECTION('center_axis',(0.55226304508323,0.833669916115124,0.)); #412707=DIRECTION('ref_axis',(-0.833669916115124,0.55226304508323,0.)); #412708=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #412709=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #412710=DIRECTION('',(0.,0.,1.)); #412711=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #412712=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #412713=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #412714=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #412715=DIRECTION('',(0.,0.,1.)); #412716=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #412717=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #412718=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #412719=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #412720=DIRECTION('',(0.,0.,1.)); #412721=DIRECTION('center_axis',(0.973151456886398,0.230165683714756,0.)); #412722=DIRECTION('ref_axis',(-0.230165683714756,0.973151456886398,0.)); #412723=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #412724=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #412725=DIRECTION('',(0.,0.,1.)); #412726=DIRECTION('center_axis',(0.985847618607365,-0.167643887112495,0.)); #412727=DIRECTION('ref_axis',(0.167643887112495,0.985847618607365,0.)); #412728=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #412729=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #412730=DIRECTION('',(0.,0.,1.)); #412731=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #412732=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #412733=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #412734=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #412735=DIRECTION('',(0.,0.,1.)); #412736=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #412737=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #412738=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #412739=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #412740=DIRECTION('center_axis',(0.,0.,1.)); #412741=DIRECTION('ref_axis',(1.,0.,0.)); #412742=DIRECTION('center_axis',(0.,0.,1.)); #412743=DIRECTION('ref_axis',(1.,0.,0.)); #412744=DIRECTION('center_axis',(0.,0.,1.)); #412745=DIRECTION('ref_axis',(1.,0.,0.)); #412746=DIRECTION('center_axis',(0.,0.,1.)); #412747=DIRECTION('ref_axis',(1.,0.,0.)); #412748=DIRECTION('',(0.,0.,1.)); #412749=DIRECTION('center_axis',(0.,0.,-1.)); #412750=DIRECTION('ref_axis',(1.,0.,0.)); #412751=DIRECTION('center_axis',(0.,0.,1.)); #412752=DIRECTION('ref_axis',(1.,0.,0.)); #412753=DIRECTION('center_axis',(0.,0.,1.)); #412754=DIRECTION('ref_axis',(1.,0.,0.)); #412755=DIRECTION('',(0.,0.,1.)); #412756=DIRECTION('center_axis',(0.,0.,-1.)); #412757=DIRECTION('ref_axis',(1.,0.,0.)); #412758=DIRECTION('center_axis',(0.,0.,1.)); #412759=DIRECTION('ref_axis',(1.,0.,0.)); #412760=DIRECTION('center_axis',(0.,0.,1.)); #412761=DIRECTION('ref_axis',(1.,0.,0.)); #412762=DIRECTION('',(0.,0.,1.)); #412763=DIRECTION('center_axis',(0.,0.,-1.)); #412764=DIRECTION('ref_axis',(1.,0.,0.)); #412765=DIRECTION('center_axis',(0.,0.,1.)); #412766=DIRECTION('ref_axis',(1.,0.,0.)); #412767=DIRECTION('center_axis',(0.,0.,1.)); #412768=DIRECTION('ref_axis',(1.,0.,0.)); #412769=DIRECTION('',(0.,0.,1.)); #412770=DIRECTION('center_axis',(0.,0.,-1.)); #412771=DIRECTION('ref_axis',(1.,0.,0.)); #412772=DIRECTION('center_axis',(0.,0.,1.)); #412773=DIRECTION('ref_axis',(1.,0.,0.)); #412774=DIRECTION('center_axis',(0.,0.,1.)); #412775=DIRECTION('ref_axis',(1.,0.,0.)); #412776=DIRECTION('',(0.,0.,1.)); #412777=DIRECTION('center_axis',(0.,0.,-1.)); #412778=DIRECTION('ref_axis',(1.,0.,0.)); #412779=DIRECTION('center_axis',(0.,0.,1.)); #412780=DIRECTION('ref_axis',(1.,0.,0.)); #412781=DIRECTION('center_axis',(0.,0.,1.)); #412782=DIRECTION('ref_axis',(1.,0.,0.)); #412783=DIRECTION('',(0.,0.,1.)); #412784=DIRECTION('center_axis',(0.,0.,-1.)); #412785=DIRECTION('ref_axis',(1.,0.,0.)); #412786=DIRECTION('center_axis',(0.,0.,1.)); #412787=DIRECTION('ref_axis',(1.,0.,0.)); #412788=DIRECTION('center_axis',(0.,0.,1.)); #412789=DIRECTION('ref_axis',(1.,0.,0.)); #412790=DIRECTION('',(0.,0.,1.)); #412791=DIRECTION('center_axis',(0.,0.,-1.)); #412792=DIRECTION('ref_axis',(1.,0.,0.)); #412793=DIRECTION('center_axis',(0.,0.,1.)); #412794=DIRECTION('ref_axis',(1.,0.,0.)); #412795=DIRECTION('center_axis',(0.,0.,1.)); #412796=DIRECTION('ref_axis',(1.,0.,0.)); #412797=DIRECTION('',(0.,0.,1.)); #412798=DIRECTION('center_axis',(0.,0.,-1.)); #412799=DIRECTION('ref_axis',(1.,0.,0.)); #412800=DIRECTION('center_axis',(0.,0.,1.)); #412801=DIRECTION('ref_axis',(1.,0.,0.)); #412802=DIRECTION('center_axis',(0.,0.,1.)); #412803=DIRECTION('ref_axis',(1.,0.,0.)); #412804=DIRECTION('',(0.,0.,1.)); #412805=DIRECTION('center_axis',(0.,0.,-1.)); #412806=DIRECTION('ref_axis',(1.,0.,0.)); #412807=DIRECTION('center_axis',(0.,0.,1.)); #412808=DIRECTION('ref_axis',(1.,0.,0.)); #412809=DIRECTION('center_axis',(0.,0.,1.)); #412810=DIRECTION('ref_axis',(1.,0.,0.)); #412811=DIRECTION('',(0.,0.,1.)); #412812=DIRECTION('center_axis',(0.,0.,-1.)); #412813=DIRECTION('ref_axis',(1.,0.,0.)); #412814=DIRECTION('center_axis',(0.,0.,1.)); #412815=DIRECTION('ref_axis',(1.,0.,0.)); #412816=DIRECTION('center_axis',(0.,0.,1.)); #412817=DIRECTION('ref_axis',(1.,0.,0.)); #412818=DIRECTION('',(0.,0.,1.)); #412819=DIRECTION('center_axis',(0.,0.,-1.)); #412820=DIRECTION('ref_axis',(1.,0.,0.)); #412821=DIRECTION('center_axis',(0.,0.,1.)); #412822=DIRECTION('ref_axis',(1.,0.,0.)); #412823=DIRECTION('center_axis',(0.,0.,1.)); #412824=DIRECTION('ref_axis',(1.,0.,0.)); #412825=DIRECTION('',(0.,0.,1.)); #412826=DIRECTION('center_axis',(0.,0.,-1.)); #412827=DIRECTION('ref_axis',(1.,0.,0.)); #412828=DIRECTION('center_axis',(0.,0.,1.)); #412829=DIRECTION('ref_axis',(1.,0.,0.)); #412830=DIRECTION('center_axis',(0.,0.,1.)); #412831=DIRECTION('ref_axis',(1.,0.,0.)); #412832=DIRECTION('',(0.,0.,1.)); #412833=DIRECTION('center_axis',(0.,0.,-1.)); #412834=DIRECTION('ref_axis',(1.,0.,0.)); #412835=DIRECTION('center_axis',(0.,0.,1.)); #412836=DIRECTION('ref_axis',(1.,0.,0.)); #412837=DIRECTION('center_axis',(0.,0.,1.)); #412838=DIRECTION('ref_axis',(1.,0.,0.)); #412839=DIRECTION('',(0.,0.,1.)); #412840=DIRECTION('center_axis',(0.,0.,-1.)); #412841=DIRECTION('ref_axis',(1.,0.,0.)); #412842=DIRECTION('center_axis',(0.,0.,1.)); #412843=DIRECTION('ref_axis',(1.,0.,0.)); #412844=DIRECTION('center_axis',(0.,0.,1.)); #412845=DIRECTION('ref_axis',(1.,0.,0.)); #412846=DIRECTION('',(0.,0.,1.)); #412847=DIRECTION('center_axis',(0.,0.,-1.)); #412848=DIRECTION('ref_axis',(1.,0.,0.)); #412849=DIRECTION('center_axis',(0.23011981702834,-0.973162303940532,0.)); #412850=DIRECTION('ref_axis',(0.973162303940531,0.23011981702834,0.)); #412851=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #412852=DIRECTION('',(0.,0.,1.)); #412853=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #412854=DIRECTION('',(0.,0.,1.)); #412855=DIRECTION('center_axis',(-0.167619338301134,-0.985851792830692, 0.)); #412856=DIRECTION('ref_axis',(0.985851792830692,-0.167619338301134,0.)); #412857=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #412858=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #412859=DIRECTION('',(0.,0.,1.)); #412860=DIRECTION('center_axis',(-0.538928498327708,-0.842351514327743, 0.)); #412861=DIRECTION('ref_axis',(0.842351514327743,-0.538928498327708,0.)); #412862=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #412863=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #412864=DIRECTION('',(0.,0.,1.)); #412865=DIRECTION('center_axis',(-0.824759961060292,-0.565482985271728, 0.)); #412866=DIRECTION('ref_axis',(0.565482985271728,-0.824759961060293,0.)); #412867=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #412868=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #412869=DIRECTION('',(0.,0.,1.)); #412870=DIRECTION('center_axis',(-0.979998112340228,-0.199006783325569, 0.)); #412871=DIRECTION('ref_axis',(0.199006783325569,-0.979998112340228,0.)); #412872=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #412873=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #412874=DIRECTION('',(0.,0.,1.)); #412875=DIRECTION('center_axis',(-1.,0.,0.)); #412876=DIRECTION('ref_axis',(0.,-1.,0.)); #412877=DIRECTION('',(0.,-1.,0.)); #412878=DIRECTION('',(0.,-1.,0.)); #412879=DIRECTION('',(0.,0.,1.)); #412880=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #412881=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #412882=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #412883=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #412884=DIRECTION('',(0.,0.,1.)); #412885=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #412886=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #412887=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #412888=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #412889=DIRECTION('',(0.,0.,1.)); #412890=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #412891=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #412892=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #412893=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #412894=DIRECTION('',(0.,0.,1.)); #412895=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #412896=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #412897=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #412898=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #412899=DIRECTION('',(0.,0.,1.)); #412900=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #412901=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #412902=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #412903=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #412904=DIRECTION('',(0.,0.,1.)); #412905=DIRECTION('center_axis',(0.,1.,0.)); #412906=DIRECTION('ref_axis',(-1.,0.,0.)); #412907=DIRECTION('',(-1.,0.,0.)); #412908=DIRECTION('',(-1.,0.,0.)); #412909=DIRECTION('',(0.,0.,1.)); #412910=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #412911=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #412912=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #412913=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #412914=DIRECTION('',(0.,0.,1.)); #412915=DIRECTION('center_axis',(0.552218701081209,0.833699290017799,0.)); #412916=DIRECTION('ref_axis',(-0.833699290017799,0.552218701081209,0.)); #412917=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #412918=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #412919=DIRECTION('',(0.,0.,1.)); #412920=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412921=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412922=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412923=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412924=DIRECTION('',(0.,0.,1.)); #412925=DIRECTION('center_axis',(0.,1.,0.)); #412926=DIRECTION('ref_axis',(-1.,0.,0.)); #412927=DIRECTION('',(-1.,0.,0.)); #412928=DIRECTION('',(-1.,0.,0.)); #412929=DIRECTION('',(0.,0.,1.)); #412930=DIRECTION('center_axis',(-0.707089434194021,0.707124127753522,0.)); #412931=DIRECTION('ref_axis',(-0.707124127753522,-0.707089434194021,0.)); #412932=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #412933=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #412934=DIRECTION('',(0.,0.,1.)); #412935=DIRECTION('center_axis',(-0.56546033767927,0.824775488549245,0.)); #412936=DIRECTION('ref_axis',(-0.824775488549245,-0.56546033767927,0.)); #412937=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #412938=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #412939=DIRECTION('',(0.,0.,1.)); #412940=DIRECTION('center_axis',(-0.214606632624321,0.976700564775945,0.)); #412941=DIRECTION('ref_axis',(-0.976700564775945,-0.214606632624321,0.)); #412942=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #412943=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #412944=DIRECTION('',(0.,0.,1.)); #412945=DIRECTION('center_axis',(0.183373485867123,0.983043317804938,0.)); #412946=DIRECTION('ref_axis',(-0.983043317804938,0.183373485867123,0.)); #412947=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #412948=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #412949=DIRECTION('',(0.,0.,1.)); #412950=DIRECTION('center_axis',(0.552246026269759,0.83368118994569,0.)); #412951=DIRECTION('ref_axis',(-0.83368118994569,0.552246026269759,0.)); #412952=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #412953=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #412954=DIRECTION('',(0.,0.,1.)); #412955=DIRECTION('center_axis',(0.707107593465437,0.707105968906725,0.)); #412956=DIRECTION('ref_axis',(-0.707105968906725,0.707107593465437,0.)); #412957=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #412958=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #412959=DIRECTION('',(0.,0.,1.)); #412960=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #412961=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #412962=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #412963=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #412964=DIRECTION('',(0.,0.,1.)); #412965=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #412966=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #412967=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #412968=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #412969=DIRECTION('',(0.,0.,1.)); #412970=DIRECTION('center_axis',(1.,0.,0.)); #412971=DIRECTION('ref_axis',(0.,1.,0.)); #412972=DIRECTION('',(0.,1.,0.)); #412973=DIRECTION('',(0.,1.,0.)); #412974=DIRECTION('',(0.,0.,1.)); #412975=DIRECTION('center_axis',(0.969317265597633,-0.245813015551107,0.)); #412976=DIRECTION('ref_axis',(0.245813015551107,0.969317265597633,0.)); #412977=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #412978=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #412979=DIRECTION('',(0.,0.,1.)); #412980=DIRECTION('center_axis',(0.766764693244331,-0.641928271066112,0.)); #412981=DIRECTION('ref_axis',(0.641928271066112,0.766764693244331,0.)); #412982=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #412983=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #412984=DIRECTION('',(0.,0.,1.)); #412985=DIRECTION('center_axis',(0.623671669116095,-0.781686413558496,0.)); #412986=DIRECTION('ref_axis',(0.781686413558496,0.623671669116095,0.)); #412987=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #412988=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #412989=DIRECTION('',(0.,0.,1.)); #412990=DIRECTION('center_axis',(0.707106456213335,-0.707107106159611,0.)); #412991=DIRECTION('ref_axis',(0.707107106159611,0.707106456213335,0.)); #412992=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #412993=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #412994=DIRECTION('',(0.,0.,1.)); #412995=DIRECTION('center_axis',(0.565490380840369,-0.824754890362594,0.)); #412996=DIRECTION('ref_axis',(0.824754890362594,0.565490380840369,0.)); #412997=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #412998=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #412999=DIRECTION('',(0.,0.,1.)); #413000=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #413001=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #413002=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #413003=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #413004=DIRECTION('',(0.,0.,1.)); #413005=DIRECTION('center_axis',(-0.183384185256736,-0.983041321917712, 0.)); #413006=DIRECTION('ref_axis',(0.983041321917712,-0.183384185256736,0.)); #413007=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #413008=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #413009=DIRECTION('',(0.,0.,1.)); #413010=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #413011=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #413012=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #413013=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #413014=DIRECTION('',(0.,0.,1.)); #413015=DIRECTION('center_axis',(-0.707099658048594,-0.707113904252746, 0.)); #413016=DIRECTION('ref_axis',(0.707113904252746,-0.707099658048594,0.)); #413017=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #413018=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #413019=DIRECTION('',(0.,0.,1.)); #413020=DIRECTION('center_axis',(0.,-1.,0.)); #413021=DIRECTION('ref_axis',(1.,0.,0.)); #413022=DIRECTION('',(1.,0.,0.)); #413023=DIRECTION('',(1.,0.,0.)); #413024=DIRECTION('',(0.,0.,1.)); #413025=DIRECTION('center_axis',(0.707106781186528,-0.707106781186567,0.)); #413026=DIRECTION('ref_axis',(0.707106781186567,0.707106781186528,0.)); #413027=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #413028=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #413029=DIRECTION('',(0.,0.,1.)); #413030=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #413031=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #413032=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #413033=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #413034=DIRECTION('',(0.,0.,1.)); #413035=DIRECTION('center_axis',(0.199006783325569,-0.979998112340228,0.)); #413036=DIRECTION('ref_axis',(0.979998112340228,0.199006783325569,0.)); #413037=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #413038=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #413039=DIRECTION('',(0.,0.,1.)); #413040=DIRECTION('center_axis',(0.,-1.,0.)); #413041=DIRECTION('ref_axis',(1.,0.,0.)); #413042=DIRECTION('',(1.,0.,0.)); #413043=DIRECTION('',(1.,0.,0.)); #413044=DIRECTION('',(0.,0.,1.)); #413045=DIRECTION('center_axis',(0.707105725517313,-0.707107836854206,0.)); #413046=DIRECTION('ref_axis',(0.707107836854206,0.707105725517313,0.)); #413047=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #413048=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #413049=DIRECTION('',(0.,0.,1.)); #413050=DIRECTION('center_axis',(0.572023686171414,-0.820237101366957,0.)); #413051=DIRECTION('ref_axis',(0.820237101366957,0.572023686171414,0.)); #413052=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #413053=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #413054=DIRECTION('center_axis',(0.,0.,1.)); #413055=DIRECTION('ref_axis',(1.,0.,0.)); #413056=DIRECTION('center_axis',(0.,0.,1.)); #413057=DIRECTION('ref_axis',(1.,0.,0.)); #413058=DIRECTION('center_axis',(0.,0.,1.)); #413059=DIRECTION('ref_axis',(1.,0.,0.)); #413060=DIRECTION('center_axis',(0.,0.,1.)); #413061=DIRECTION('ref_axis',(1.,0.,0.)); #413062=DIRECTION('',(0.,0.,1.)); #413063=DIRECTION('center_axis',(0.,0.,-1.)); #413064=DIRECTION('ref_axis',(1.,0.,0.)); #413065=DIRECTION('center_axis',(1.,0.,0.)); #413066=DIRECTION('ref_axis',(0.,1.,0.)); #413067=DIRECTION('',(0.,1.,0.)); #413068=DIRECTION('',(0.,0.,1.)); #413069=DIRECTION('',(0.,1.,0.)); #413070=DIRECTION('',(0.,0.,1.)); #413071=DIRECTION('center_axis',(0.,-1.,0.)); #413072=DIRECTION('ref_axis',(1.,0.,0.)); #413073=DIRECTION('',(1.,0.,0.)); #413074=DIRECTION('',(1.,0.,0.)); #413075=DIRECTION('',(0.,0.,1.)); #413076=DIRECTION('center_axis',(-1.,0.,0.)); #413077=DIRECTION('ref_axis',(0.,-1.,0.)); #413078=DIRECTION('',(0.,-1.,0.)); #413079=DIRECTION('',(0.,-1.,0.)); #413080=DIRECTION('',(0.,0.,1.)); #413081=DIRECTION('center_axis',(0.,1.,0.)); #413082=DIRECTION('ref_axis',(-1.,0.,0.)); #413083=DIRECTION('',(-1.,0.,0.)); #413084=DIRECTION('',(-1.,0.,0.)); #413085=DIRECTION('',(0.,0.,1.)); #413086=DIRECTION('center_axis',(-1.,0.,0.)); #413087=DIRECTION('ref_axis',(0.,-1.,0.)); #413088=DIRECTION('',(0.,-1.,0.)); #413089=DIRECTION('',(0.,-1.,0.)); #413090=DIRECTION('',(0.,0.,1.)); #413091=DIRECTION('center_axis',(0.,0.,1.)); #413092=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #413093=DIRECTION('center_axis',(0.,0.,1.)); #413094=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #413095=DIRECTION('center_axis',(0.,0.,1.)); #413096=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #413097=DIRECTION('',(0.,0.,1.)); #413098=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #413099=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #413100=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #413101=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #413102=DIRECTION('',(0.,0.,1.)); #413103=DIRECTION('center_axis',(-1.,0.,0.)); #413104=DIRECTION('ref_axis',(0.,-1.,0.)); #413105=DIRECTION('',(0.,-1.,0.)); #413106=DIRECTION('',(0.,-1.,0.)); #413107=DIRECTION('',(0.,0.,1.)); #413108=DIRECTION('center_axis',(0.,0.,1.)); #413109=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #413110=DIRECTION('center_axis',(0.,0.,1.)); #413111=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #413112=DIRECTION('center_axis',(0.,0.,1.)); #413113=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #413114=DIRECTION('',(0.,0.,1.)); #413115=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #413116=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #413117=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #413118=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #413119=DIRECTION('',(0.,0.,1.)); #413120=DIRECTION('center_axis',(-1.,0.,0.)); #413121=DIRECTION('ref_axis',(0.,-1.,0.)); #413122=DIRECTION('',(0.,-1.,0.)); #413123=DIRECTION('',(0.,-1.,0.)); #413124=DIRECTION('',(0.,0.,1.)); #413125=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #413126=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #413127=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #413128=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #413129=DIRECTION('',(0.,0.,1.)); #413130=DIRECTION('center_axis',(0.,-1.,0.)); #413131=DIRECTION('ref_axis',(1.,0.,0.)); #413132=DIRECTION('',(1.,0.,0.)); #413133=DIRECTION('',(1.,0.,0.)); #413134=DIRECTION('',(0.,0.,1.)); #413135=DIRECTION('center_axis',(-1.,0.,0.)); #413136=DIRECTION('ref_axis',(0.,-1.,0.)); #413137=DIRECTION('',(0.,-1.,0.)); #413138=DIRECTION('',(0.,-1.,0.)); #413139=DIRECTION('',(0.,0.,1.)); #413140=DIRECTION('center_axis',(0.,1.,0.)); #413141=DIRECTION('ref_axis',(-1.,0.,0.)); #413142=DIRECTION('',(-1.,0.,0.)); #413143=DIRECTION('',(-1.,0.,0.)); #413144=DIRECTION('',(0.,0.,1.)); #413145=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413146=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413147=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413148=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413149=DIRECTION('',(0.,0.,1.)); #413150=DIRECTION('center_axis',(-1.,0.,0.)); #413151=DIRECTION('ref_axis',(0.,-1.,0.)); #413152=DIRECTION('',(0.,-1.,0.)); #413153=DIRECTION('',(0.,-1.,0.)); #413154=DIRECTION('',(0.,0.,1.)); #413155=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #413156=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #413157=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413158=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413159=DIRECTION('',(0.,0.,1.)); #413160=DIRECTION('center_axis',(0.,-1.,0.)); #413161=DIRECTION('ref_axis',(1.,0.,0.)); #413162=DIRECTION('',(1.,0.,0.)); #413163=DIRECTION('',(1.,0.,0.)); #413164=DIRECTION('',(0.,0.,1.)); #413165=DIRECTION('center_axis',(1.,0.,0.)); #413166=DIRECTION('ref_axis',(0.,1.,0.)); #413167=DIRECTION('',(0.,1.,0.)); #413168=DIRECTION('',(0.,1.,0.)); #413169=DIRECTION('',(0.,0.,1.)); #413170=DIRECTION('center_axis',(0.,-1.,0.)); #413171=DIRECTION('ref_axis',(1.,0.,0.)); #413172=DIRECTION('',(1.,0.,0.)); #413173=DIRECTION('',(1.,0.,0.)); #413174=DIRECTION('',(0.,0.,1.)); #413175=DIRECTION('center_axis',(-1.,0.,0.)); #413176=DIRECTION('ref_axis',(0.,-1.,0.)); #413177=DIRECTION('',(0.,-1.,0.)); #413178=DIRECTION('',(0.,-1.,0.)); #413179=DIRECTION('',(0.,0.,1.)); #413180=DIRECTION('center_axis',(0.,1.,0.)); #413181=DIRECTION('ref_axis',(-1.,0.,0.)); #413182=DIRECTION('',(-1.,0.,0.)); #413183=DIRECTION('',(-1.,0.,0.)); #413184=DIRECTION('',(0.,0.,1.)); #413185=DIRECTION('center_axis',(1.,0.,0.)); #413186=DIRECTION('ref_axis',(0.,1.,0.)); #413187=DIRECTION('',(0.,1.,0.)); #413188=DIRECTION('',(0.,1.,0.)); #413189=DIRECTION('',(0.,0.,1.)); #413190=DIRECTION('center_axis',(0.,1.,0.)); #413191=DIRECTION('ref_axis',(-1.,0.,0.)); #413192=DIRECTION('',(-1.,0.,0.)); #413193=DIRECTION('',(-1.,0.,0.)); #413194=DIRECTION('',(0.,0.,1.)); #413195=DIRECTION('center_axis',(0.,0.,1.)); #413196=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #413197=DIRECTION('center_axis',(0.,0.,1.)); #413198=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #413199=DIRECTION('center_axis',(0.,0.,1.)); #413200=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #413201=DIRECTION('',(0.,0.,1.)); #413202=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413203=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413204=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413205=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413206=DIRECTION('',(0.,0.,1.)); #413207=DIRECTION('center_axis',(0.,0.,1.)); #413208=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #413209=DIRECTION('center_axis',(0.,0.,1.)); #413210=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #413211=DIRECTION('center_axis',(0.,0.,1.)); #413212=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #413213=DIRECTION('',(0.,0.,1.)); #413214=DIRECTION('center_axis',(1.,0.,0.)); #413215=DIRECTION('ref_axis',(0.,1.,0.)); #413216=DIRECTION('',(0.,1.,0.)); #413217=DIRECTION('',(0.,1.,0.)); #413218=DIRECTION('',(0.,0.,1.)); #413219=DIRECTION('center_axis',(0.,0.,1.)); #413220=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #413221=DIRECTION('center_axis',(0.,0.,1.)); #413222=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #413223=DIRECTION('center_axis',(0.,0.,1.)); #413224=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #413225=DIRECTION('',(0.,0.,1.)); #413226=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #413227=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #413228=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413229=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413230=DIRECTION('',(0.,0.,1.)); #413231=DIRECTION('center_axis',(1.,0.,0.)); #413232=DIRECTION('ref_axis',(0.,1.,0.)); #413233=DIRECTION('',(0.,1.,0.)); #413234=DIRECTION('',(0.,1.,0.)); #413235=DIRECTION('',(0.,0.,1.)); #413236=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #413237=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #413238=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #413239=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #413240=DIRECTION('',(0.,0.,1.)); #413241=DIRECTION('center_axis',(0.,0.,1.)); #413242=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413243=DIRECTION('center_axis',(0.,0.,1.)); #413244=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413245=DIRECTION('center_axis',(0.,0.,1.)); #413246=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413247=DIRECTION('',(0.,0.,1.)); #413248=DIRECTION('center_axis',(1.,0.,0.)); #413249=DIRECTION('ref_axis',(0.,1.,0.)); #413250=DIRECTION('',(0.,1.,0.)); #413251=DIRECTION('',(0.,1.,0.)); #413252=DIRECTION('',(0.,0.,1.)); #413253=DIRECTION('center_axis',(0.,0.,1.)); #413254=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #413255=DIRECTION('center_axis',(0.,0.,1.)); #413256=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #413257=DIRECTION('center_axis',(0.,0.,1.)); #413258=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #413259=DIRECTION('',(0.,0.,1.)); #413260=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #413261=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #413262=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413263=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413264=DIRECTION('',(0.,0.,1.)); #413265=DIRECTION('center_axis',(1.,0.,0.)); #413266=DIRECTION('ref_axis',(0.,1.,0.)); #413267=DIRECTION('',(0.,1.,0.)); #413268=DIRECTION('',(0.,1.,0.)); #413269=DIRECTION('',(0.,0.,1.)); #413270=DIRECTION('center_axis',(0.,0.,1.)); #413271=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #413272=DIRECTION('center_axis',(0.,0.,1.)); #413273=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #413274=DIRECTION('center_axis',(0.,0.,1.)); #413275=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #413276=DIRECTION('',(0.,0.,1.)); #413277=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #413278=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #413279=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413280=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413281=DIRECTION('',(0.,0.,1.)); #413282=DIRECTION('center_axis',(1.,0.,0.)); #413283=DIRECTION('ref_axis',(0.,1.,0.)); #413284=DIRECTION('',(0.,1.,0.)); #413285=DIRECTION('',(0.,1.,0.)); #413286=DIRECTION('',(0.,0.,1.)); #413287=DIRECTION('center_axis',(0.,1.,0.)); #413288=DIRECTION('ref_axis',(-1.,0.,0.)); #413289=DIRECTION('',(-1.,0.,0.)); #413290=DIRECTION('',(-1.,0.,0.)); #413291=DIRECTION('center_axis',(0.,0.,1.)); #413292=DIRECTION('ref_axis',(1.,0.,0.)); #413293=DIRECTION('center_axis',(0.,0.,1.)); #413294=DIRECTION('ref_axis',(1.,0.,0.)); #413295=DIRECTION('center_axis',(0.,0.,1.)); #413296=DIRECTION('ref_axis',(1.,0.,0.)); #413297=DIRECTION('center_axis',(0.,0.,1.)); #413298=DIRECTION('ref_axis',(1.,0.,0.)); #413299=DIRECTION('',(0.,0.,1.)); #413300=DIRECTION('center_axis',(0.,0.,-1.)); #413301=DIRECTION('ref_axis',(1.,0.,0.)); #413302=DIRECTION('center_axis',(0.,0.,1.)); #413303=DIRECTION('ref_axis',(1.,0.,0.)); #413304=DIRECTION('center_axis',(0.,0.,1.)); #413305=DIRECTION('ref_axis',(1.,0.,0.)); #413306=DIRECTION('',(0.,0.,1.)); #413307=DIRECTION('center_axis',(0.,0.,-1.)); #413308=DIRECTION('ref_axis',(1.,0.,0.)); #413309=DIRECTION('center_axis',(0.,0.,1.)); #413310=DIRECTION('ref_axis',(1.,0.,0.)); #413311=DIRECTION('center_axis',(0.,0.,1.)); #413312=DIRECTION('ref_axis',(1.,0.,0.)); #413313=DIRECTION('',(0.,0.,1.)); #413314=DIRECTION('center_axis',(0.,0.,-1.)); #413315=DIRECTION('ref_axis',(1.,0.,0.)); #413316=DIRECTION('center_axis',(0.,0.,1.)); #413317=DIRECTION('ref_axis',(1.,0.,0.)); #413318=DIRECTION('center_axis',(0.,0.,1.)); #413319=DIRECTION('ref_axis',(1.,0.,0.)); #413320=DIRECTION('',(0.,0.,1.)); #413321=DIRECTION('center_axis',(0.,0.,-1.)); #413322=DIRECTION('ref_axis',(1.,0.,0.)); #413323=DIRECTION('center_axis',(0.,0.,1.)); #413324=DIRECTION('ref_axis',(1.,0.,0.)); #413325=DIRECTION('center_axis',(0.,0.,1.)); #413326=DIRECTION('ref_axis',(1.,0.,0.)); #413327=DIRECTION('',(0.,0.,1.)); #413328=DIRECTION('center_axis',(0.,0.,-1.)); #413329=DIRECTION('ref_axis',(1.,0.,0.)); #413330=DIRECTION('center_axis',(0.,0.,1.)); #413331=DIRECTION('ref_axis',(1.,0.,0.)); #413332=DIRECTION('center_axis',(0.,0.,1.)); #413333=DIRECTION('ref_axis',(1.,0.,0.)); #413334=DIRECTION('',(0.,0.,1.)); #413335=DIRECTION('center_axis',(0.,0.,-1.)); #413336=DIRECTION('ref_axis',(1.,0.,0.)); #413337=DIRECTION('center_axis',(0.,0.,1.)); #413338=DIRECTION('ref_axis',(1.,0.,0.)); #413339=DIRECTION('center_axis',(0.,0.,1.)); #413340=DIRECTION('ref_axis',(1.,0.,0.)); #413341=DIRECTION('',(0.,0.,1.)); #413342=DIRECTION('center_axis',(0.,0.,-1.)); #413343=DIRECTION('ref_axis',(1.,0.,0.)); #413344=DIRECTION('center_axis',(0.,0.,1.)); #413345=DIRECTION('ref_axis',(1.,0.,0.)); #413346=DIRECTION('center_axis',(0.,0.,1.)); #413347=DIRECTION('ref_axis',(1.,0.,0.)); #413348=DIRECTION('',(0.,0.,1.)); #413349=DIRECTION('center_axis',(0.,0.,-1.)); #413350=DIRECTION('ref_axis',(1.,0.,0.)); #413351=DIRECTION('center_axis',(0.,0.,1.)); #413352=DIRECTION('ref_axis',(1.,0.,0.)); #413353=DIRECTION('center_axis',(0.,0.,1.)); #413354=DIRECTION('ref_axis',(1.,0.,0.)); #413355=DIRECTION('',(0.,0.,1.)); #413356=DIRECTION('center_axis',(0.,0.,-1.)); #413357=DIRECTION('ref_axis',(1.,0.,0.)); #413358=DIRECTION('center_axis',(0.,0.,1.)); #413359=DIRECTION('ref_axis',(1.,0.,0.)); #413360=DIRECTION('center_axis',(0.,0.,1.)); #413361=DIRECTION('ref_axis',(1.,0.,0.)); #413362=DIRECTION('',(0.,0.,1.)); #413363=DIRECTION('center_axis',(0.,0.,-1.)); #413364=DIRECTION('ref_axis',(1.,0.,0.)); #413365=DIRECTION('center_axis',(0.,0.,1.)); #413366=DIRECTION('ref_axis',(1.,0.,0.)); #413367=DIRECTION('center_axis',(0.,0.,1.)); #413368=DIRECTION('ref_axis',(1.,0.,0.)); #413369=DIRECTION('',(0.,0.,1.)); #413370=DIRECTION('center_axis',(0.,0.,-1.)); #413371=DIRECTION('ref_axis',(1.,0.,0.)); #413372=DIRECTION('center_axis',(0.,0.,1.)); #413373=DIRECTION('ref_axis',(1.,0.,0.)); #413374=DIRECTION('center_axis',(0.,0.,1.)); #413375=DIRECTION('ref_axis',(1.,0.,0.)); #413376=DIRECTION('',(0.,0.,1.)); #413377=DIRECTION('center_axis',(0.,0.,-1.)); #413378=DIRECTION('ref_axis',(1.,0.,0.)); #413379=DIRECTION('center_axis',(0.,0.,1.)); #413380=DIRECTION('ref_axis',(1.,0.,0.)); #413381=DIRECTION('center_axis',(0.,0.,1.)); #413382=DIRECTION('ref_axis',(1.,0.,0.)); #413383=DIRECTION('',(0.,0.,1.)); #413384=DIRECTION('center_axis',(0.,0.,-1.)); #413385=DIRECTION('ref_axis',(1.,0.,0.)); #413386=DIRECTION('center_axis',(0.,0.,1.)); #413387=DIRECTION('ref_axis',(1.,0.,0.)); #413388=DIRECTION('center_axis',(0.,0.,1.)); #413389=DIRECTION('ref_axis',(1.,0.,0.)); #413390=DIRECTION('',(0.,0.,1.)); #413391=DIRECTION('center_axis',(0.,0.,-1.)); #413392=DIRECTION('ref_axis',(1.,0.,0.)); #413393=DIRECTION('center_axis',(0.,0.,1.)); #413394=DIRECTION('ref_axis',(1.,0.,0.)); #413395=DIRECTION('center_axis',(0.,0.,1.)); #413396=DIRECTION('ref_axis',(1.,0.,0.)); #413397=DIRECTION('',(0.,0.,1.)); #413398=DIRECTION('center_axis',(0.,0.,-1.)); #413399=DIRECTION('ref_axis',(1.,0.,0.)); #413400=DIRECTION('center_axis',(0.214594192212086,-0.976703298176495,0.)); #413401=DIRECTION('ref_axis',(0.976703298176494,0.214594192212086,0.)); #413402=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #413403=DIRECTION('',(0.,0.,1.)); #413404=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #413405=DIRECTION('',(0.,0.,1.)); #413406=DIRECTION('center_axis',(-0.183380618657178,-0.983041987252279, 0.)); #413407=DIRECTION('ref_axis',(0.983041987252279,-0.183380618657178,0.)); #413408=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #413409=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #413410=DIRECTION('',(0.,0.,1.)); #413411=DIRECTION('center_axis',(-0.552227809242766,-0.833693256959018, 0.)); #413412=DIRECTION('ref_axis',(0.833693256959018,-0.552227809242766,0.)); #413413=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #413414=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #413415=DIRECTION('',(0.,0.,1.)); #413416=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #413417=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #413418=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #413419=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #413420=DIRECTION('',(0.,0.,1.)); #413421=DIRECTION('center_axis',(0.,-1.,0.)); #413422=DIRECTION('ref_axis',(1.,0.,0.)); #413423=DIRECTION('',(1.,0.,0.)); #413424=DIRECTION('',(1.,0.,0.)); #413425=DIRECTION('',(0.,0.,1.)); #413426=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #413427=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #413428=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #413429=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #413430=DIRECTION('',(0.,0.,1.)); #413431=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #413432=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #413433=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #413434=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #413435=DIRECTION('',(0.,0.,1.)); #413436=DIRECTION('center_axis',(-0.707104593400144,-0.707108968966182, 0.)); #413437=DIRECTION('ref_axis',(0.707108968966182,-0.707104593400144,0.)); #413438=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #413439=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #413440=DIRECTION('',(0.,0.,1.)); #413441=DIRECTION('center_axis',(0.,-1.,0.)); #413442=DIRECTION('ref_axis',(1.,0.,0.)); #413443=DIRECTION('',(1.,0.,0.)); #413444=DIRECTION('',(1.,0.,0.)); #413445=DIRECTION('',(0.,0.,1.)); #413446=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #413447=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #413448=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #413449=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #413450=DIRECTION('',(0.,0.,1.)); #413451=DIRECTION('center_axis',(0.842341965840975,-0.538943422432412,0.)); #413452=DIRECTION('ref_axis',(0.538943422432413,0.842341965840975,0.)); #413453=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #413454=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #413455=DIRECTION('',(0.,0.,1.)); #413456=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #413457=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #413458=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #413459=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #413460=DIRECTION('',(0.,0.,1.)); #413461=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #413462=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #413463=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #413464=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #413465=DIRECTION('',(0.,0.,1.)); #413466=DIRECTION('center_axis',(0.,-1.,0.)); #413467=DIRECTION('ref_axis',(1.,0.,0.)); #413468=DIRECTION('',(1.,0.,0.)); #413469=DIRECTION('',(1.,0.,0.)); #413470=DIRECTION('',(0.,0.,1.)); #413471=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #413472=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #413473=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #413474=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #413475=DIRECTION('',(0.,0.,1.)); #413476=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #413477=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #413478=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #413479=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #413480=DIRECTION('',(0.,0.,1.)); #413481=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #413482=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #413483=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #413484=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #413485=DIRECTION('',(0.,0.,1.)); #413486=DIRECTION('center_axis',(-0.637992511441951,-0.770042567228586, 0.)); #413487=DIRECTION('ref_axis',(0.770042567228586,-0.637992511441951,0.)); #413488=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #413489=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #413490=DIRECTION('',(0.,0.,1.)); #413491=DIRECTION('center_axis',(-0.770213822251693,0.637785754005558,0.)); #413492=DIRECTION('ref_axis',(-0.637785754005558,-0.770213822251693,0.)); #413493=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #413494=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #413495=DIRECTION('',(0.,0.,1.)); #413496=DIRECTION('center_axis',(-0.59483098052112,-0.803850797481897,0.)); #413497=DIRECTION('ref_axis',(0.803850797481897,-0.59483098052112,0.)); #413498=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #413499=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #413500=DIRECTION('',(0.,0.,1.)); #413501=DIRECTION('center_axis',(-0.824759961060277,-0.56548298527175,0.)); #413502=DIRECTION('ref_axis',(0.56548298527175,-0.824759961060277,0.)); #413503=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #413504=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #413505=DIRECTION('',(0.,0.,1.)); #413506=DIRECTION('center_axis',(-0.979998112340244,-0.199006783325492, 0.)); #413507=DIRECTION('ref_axis',(0.199006783325492,-0.979998112340244,0.)); #413508=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #413509=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #413510=DIRECTION('',(0.,0.,1.)); #413511=DIRECTION('center_axis',(-1.,0.,0.)); #413512=DIRECTION('ref_axis',(0.,-1.,0.)); #413513=DIRECTION('',(0.,-1.,0.)); #413514=DIRECTION('',(0.,-1.,0.)); #413515=DIRECTION('',(0.,0.,1.)); #413516=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #413517=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #413518=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #413519=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #413520=DIRECTION('',(0.,0.,1.)); #413521=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #413522=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #413523=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #413524=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #413525=DIRECTION('',(0.,0.,1.)); #413526=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #413527=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #413528=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #413529=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #413530=DIRECTION('',(0.,0.,1.)); #413531=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #413532=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #413533=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #413534=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #413535=DIRECTION('',(0.,0.,1.)); #413536=DIRECTION('center_axis',(-0.199010641789227,0.979997328799747,0.)); #413537=DIRECTION('ref_axis',(-0.979997328799747,-0.199010641789227,0.)); #413538=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #413539=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #413540=DIRECTION('',(0.,0.,1.)); #413541=DIRECTION('center_axis',(0.,1.,0.)); #413542=DIRECTION('ref_axis',(-1.,0.,0.)); #413543=DIRECTION('',(-1.,0.,0.)); #413544=DIRECTION('',(-1.,0.,0.)); #413545=DIRECTION('',(0.,0.,1.)); #413546=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #413547=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #413548=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #413549=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #413550=DIRECTION('',(0.,0.,1.)); #413551=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #413552=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #413553=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #413554=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #413555=DIRECTION('',(0.,0.,1.)); #413556=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #413557=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #413558=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #413559=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #413560=DIRECTION('',(0.,0.,1.)); #413561=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #413562=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #413563=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #413564=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #413565=DIRECTION('',(0.,0.,1.)); #413566=DIRECTION('center_axis',(1.,0.,0.)); #413567=DIRECTION('ref_axis',(0.,1.,0.)); #413568=DIRECTION('',(0.,1.,0.)); #413569=DIRECTION('',(0.,1.,0.)); #413570=DIRECTION('',(0.,0.,1.)); #413571=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413572=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413573=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #413574=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #413575=DIRECTION('',(0.,0.,1.)); #413576=DIRECTION('center_axis',(0.,1.,0.)); #413577=DIRECTION('ref_axis',(-1.,0.,0.)); #413578=DIRECTION('',(-1.,0.,0.)); #413579=DIRECTION('',(-1.,0.,0.)); #413580=DIRECTION('',(0.,0.,1.)); #413581=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #413582=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #413583=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #413584=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #413585=DIRECTION('',(0.,0.,1.)); #413586=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #413587=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #413588=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #413589=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #413590=DIRECTION('',(0.,0.,1.)); #413591=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #413592=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #413593=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #413594=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #413595=DIRECTION('',(0.,0.,1.)); #413596=DIRECTION('center_axis',(0.,1.,0.)); #413597=DIRECTION('ref_axis',(-1.,0.,0.)); #413598=DIRECTION('',(-1.,0.,0.)); #413599=DIRECTION('',(-1.,0.,0.)); #413600=DIRECTION('',(0.,0.,1.)); #413601=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #413602=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #413603=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #413604=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #413605=DIRECTION('',(0.,0.,1.)); #413606=DIRECTION('center_axis',(0.552227809242806,0.833693256958992,0.)); #413607=DIRECTION('ref_axis',(-0.833693256958992,0.552227809242806,0.)); #413608=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #413609=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #413610=DIRECTION('',(0.,0.,1.)); #413611=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413612=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413613=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413614=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413615=DIRECTION('',(0.,0.,1.)); #413616=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #413617=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #413618=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #413619=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #413620=DIRECTION('',(0.,0.,1.)); #413621=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #413622=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #413623=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #413624=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #413625=DIRECTION('',(0.,0.,1.)); #413626=DIRECTION('center_axis',(1.,0.,0.)); #413627=DIRECTION('ref_axis',(0.,1.,0.)); #413628=DIRECTION('',(0.,1.,0.)); #413629=DIRECTION('',(0.,1.,0.)); #413630=DIRECTION('',(0.,0.,1.)); #413631=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #413632=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #413633=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #413634=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #413635=DIRECTION('',(0.,0.,1.)); #413636=DIRECTION('center_axis',(0.850855747839139,-0.525399368451372,0.)); #413637=DIRECTION('ref_axis',(0.525399368451372,0.850855747839139,0.)); #413638=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #413639=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #413640=DIRECTION('',(0.,0.,1.)); #413641=DIRECTION('center_axis',(0.578583157949432,-0.815623399209012,0.)); #413642=DIRECTION('ref_axis',(0.815623399209012,0.578583157949432,0.)); #413643=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #413644=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #413645=DIRECTION('center_axis',(0.,0.,1.)); #413646=DIRECTION('ref_axis',(1.,0.,0.)); #413647=DIRECTION('center_axis',(0.,0.,1.)); #413648=DIRECTION('ref_axis',(1.,0.,0.)); #413649=DIRECTION('center_axis',(0.,0.,1.)); #413650=DIRECTION('ref_axis',(1.,0.,0.)); #413651=DIRECTION('center_axis',(0.,0.,1.)); #413652=DIRECTION('ref_axis',(1.,0.,0.)); #413653=DIRECTION('',(0.,0.,1.)); #413654=DIRECTION('center_axis',(0.,0.,-1.)); #413655=DIRECTION('ref_axis',(1.,0.,0.)); #413656=DIRECTION('center_axis',(1.,0.,0.)); #413657=DIRECTION('ref_axis',(0.,1.,0.)); #413658=DIRECTION('',(0.,1.,0.)); #413659=DIRECTION('',(0.,0.,1.)); #413660=DIRECTION('',(0.,1.,0.)); #413661=DIRECTION('',(0.,0.,1.)); #413662=DIRECTION('center_axis',(0.,-1.,0.)); #413663=DIRECTION('ref_axis',(1.,0.,0.)); #413664=DIRECTION('',(1.,0.,0.)); #413665=DIRECTION('',(1.,0.,0.)); #413666=DIRECTION('',(0.,0.,1.)); #413667=DIRECTION('center_axis',(-1.,0.,0.)); #413668=DIRECTION('ref_axis',(0.,-1.,0.)); #413669=DIRECTION('',(0.,-1.,0.)); #413670=DIRECTION('',(0.,-1.,0.)); #413671=DIRECTION('',(0.,0.,1.)); #413672=DIRECTION('center_axis',(0.,1.,0.)); #413673=DIRECTION('ref_axis',(-1.,0.,0.)); #413674=DIRECTION('',(-1.,0.,0.)); #413675=DIRECTION('',(-1.,0.,0.)); #413676=DIRECTION('',(0.,0.,1.)); #413677=DIRECTION('center_axis',(-1.,0.,0.)); #413678=DIRECTION('ref_axis',(0.,-1.,0.)); #413679=DIRECTION('',(0.,-1.,0.)); #413680=DIRECTION('',(0.,-1.,0.)); #413681=DIRECTION('',(0.,0.,1.)); #413682=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #413683=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #413684=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413685=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413686=DIRECTION('',(0.,0.,1.)); #413687=DIRECTION('center_axis',(0.,0.,1.)); #413688=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #413689=DIRECTION('center_axis',(0.,0.,1.)); #413690=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #413691=DIRECTION('center_axis',(0.,0.,1.)); #413692=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #413693=DIRECTION('',(0.,0.,1.)); #413694=DIRECTION('center_axis',(-1.,0.,0.)); #413695=DIRECTION('ref_axis',(0.,-1.,0.)); #413696=DIRECTION('',(0.,-1.,0.)); #413697=DIRECTION('',(0.,-1.,0.)); #413698=DIRECTION('',(0.,0.,1.)); #413699=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #413700=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #413701=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #413702=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #413703=DIRECTION('',(0.,0.,1.)); #413704=DIRECTION('center_axis',(0.,0.,1.)); #413705=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #413706=DIRECTION('center_axis',(0.,0.,1.)); #413707=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #413708=DIRECTION('center_axis',(0.,0.,1.)); #413709=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #413710=DIRECTION('',(0.,0.,1.)); #413711=DIRECTION('center_axis',(-1.,0.,0.)); #413712=DIRECTION('ref_axis',(0.,-1.,0.)); #413713=DIRECTION('',(0.,-1.,0.)); #413714=DIRECTION('',(0.,-1.,0.)); #413715=DIRECTION('',(0.,0.,1.)); #413716=DIRECTION('center_axis',(0.,0.,1.)); #413717=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #413718=DIRECTION('center_axis',(0.,0.,1.)); #413719=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #413720=DIRECTION('center_axis',(0.,0.,1.)); #413721=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #413722=DIRECTION('',(0.,0.,1.)); #413723=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #413724=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #413725=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #413726=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #413727=DIRECTION('',(0.,0.,1.)); #413728=DIRECTION('center_axis',(-1.,0.,0.)); #413729=DIRECTION('ref_axis',(0.,-1.,0.)); #413730=DIRECTION('',(0.,-1.,0.)); #413731=DIRECTION('',(0.,-1.,0.)); #413732=DIRECTION('',(0.,0.,1.)); #413733=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #413734=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #413735=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #413736=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #413737=DIRECTION('',(0.,0.,1.)); #413738=DIRECTION('center_axis',(0.,0.,1.)); #413739=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #413740=DIRECTION('center_axis',(0.,0.,1.)); #413741=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #413742=DIRECTION('center_axis',(0.,0.,1.)); #413743=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #413744=DIRECTION('',(0.,0.,1.)); #413745=DIRECTION('center_axis',(-1.,0.,0.)); #413746=DIRECTION('ref_axis',(0.,-1.,0.)); #413747=DIRECTION('',(0.,-1.,0.)); #413748=DIRECTION('',(0.,-1.,0.)); #413749=DIRECTION('',(0.,0.,1.)); #413750=DIRECTION('center_axis',(0.,0.,1.)); #413751=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #413752=DIRECTION('center_axis',(0.,0.,1.)); #413753=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #413754=DIRECTION('center_axis',(0.,0.,1.)); #413755=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #413756=DIRECTION('',(0.,0.,1.)); #413757=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413758=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413759=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413760=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413761=DIRECTION('',(0.,0.,1.)); #413762=DIRECTION('center_axis',(0.,0.,1.)); #413763=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #413764=DIRECTION('center_axis',(0.,0.,1.)); #413765=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #413766=DIRECTION('center_axis',(0.,0.,1.)); #413767=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #413768=DIRECTION('',(0.,0.,1.)); #413769=DIRECTION('center_axis',(0.,1.,0.)); #413770=DIRECTION('ref_axis',(-1.,0.,0.)); #413771=DIRECTION('',(-1.,0.,0.)); #413772=DIRECTION('',(-1.,0.,0.)); #413773=DIRECTION('',(0.,0.,1.)); #413774=DIRECTION('center_axis',(-1.,0.,0.)); #413775=DIRECTION('ref_axis',(0.,-1.,0.)); #413776=DIRECTION('',(0.,-1.,0.)); #413777=DIRECTION('',(0.,-1.,0.)); #413778=DIRECTION('',(0.,0.,1.)); #413779=DIRECTION('center_axis',(0.,1.,0.)); #413780=DIRECTION('ref_axis',(-1.,0.,0.)); #413781=DIRECTION('',(-1.,0.,0.)); #413782=DIRECTION('',(-1.,0.,0.)); #413783=DIRECTION('',(0.,0.,1.)); #413784=DIRECTION('center_axis',(1.,0.,0.)); #413785=DIRECTION('ref_axis',(0.,1.,0.)); #413786=DIRECTION('',(0.,1.,0.)); #413787=DIRECTION('',(0.,1.,0.)); #413788=DIRECTION('',(0.,0.,1.)); #413789=DIRECTION('center_axis',(0.,-1.,0.)); #413790=DIRECTION('ref_axis',(1.,0.,0.)); #413791=DIRECTION('',(1.,0.,0.)); #413792=DIRECTION('',(1.,0.,0.)); #413793=DIRECTION('',(0.,0.,1.)); #413794=DIRECTION('center_axis',(-1.,0.,0.)); #413795=DIRECTION('ref_axis',(0.,-1.,0.)); #413796=DIRECTION('',(0.,-1.,0.)); #413797=DIRECTION('',(0.,-1.,0.)); #413798=DIRECTION('',(0.,0.,1.)); #413799=DIRECTION('center_axis',(0.,-1.,0.)); #413800=DIRECTION('ref_axis',(1.,0.,0.)); #413801=DIRECTION('',(1.,0.,0.)); #413802=DIRECTION('',(1.,0.,0.)); #413803=DIRECTION('',(0.,0.,1.)); #413804=DIRECTION('center_axis',(0.707106781186544,-0.707106781186552,0.)); #413805=DIRECTION('ref_axis',(0.707106781186552,0.707106781186544,0.)); #413806=DIRECTION('',(0.707106781186552,0.707106781186544,0.)); #413807=DIRECTION('',(0.707106781186552,0.707106781186544,0.)); #413808=DIRECTION('',(0.,0.,1.)); #413809=DIRECTION('center_axis',(1.,0.,0.)); #413810=DIRECTION('ref_axis',(0.,1.,0.)); #413811=DIRECTION('',(0.,1.,0.)); #413812=DIRECTION('',(0.,1.,0.)); #413813=DIRECTION('',(0.,0.,1.)); #413814=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413815=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413816=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413817=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413818=DIRECTION('',(0.,0.,1.)); #413819=DIRECTION('center_axis',(0.,1.,0.)); #413820=DIRECTION('ref_axis',(-1.,0.,0.)); #413821=DIRECTION('',(-1.,0.,0.)); #413822=DIRECTION('',(-1.,0.,0.)); #413823=DIRECTION('',(0.,0.,1.)); #413824=DIRECTION('center_axis',(1.,0.,0.)); #413825=DIRECTION('ref_axis',(0.,1.,0.)); #413826=DIRECTION('',(0.,1.,0.)); #413827=DIRECTION('',(0.,1.,0.)); #413828=DIRECTION('',(0.,0.,1.)); #413829=DIRECTION('center_axis',(0.,-1.,0.)); #413830=DIRECTION('ref_axis',(1.,0.,0.)); #413831=DIRECTION('',(1.,0.,0.)); #413832=DIRECTION('',(1.,0.,0.)); #413833=DIRECTION('',(0.,0.,1.)); #413834=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #413835=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #413836=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413837=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413838=DIRECTION('',(0.,0.,1.)); #413839=DIRECTION('center_axis',(1.,0.,0.)); #413840=DIRECTION('ref_axis',(0.,1.,0.)); #413841=DIRECTION('',(0.,1.,0.)); #413842=DIRECTION('',(0.,1.,0.)); #413843=DIRECTION('',(0.,0.,1.)); #413844=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #413845=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #413846=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #413847=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #413848=DIRECTION('',(0.,0.,1.)); #413849=DIRECTION('center_axis',(0.,0.,1.)); #413850=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #413851=DIRECTION('center_axis',(0.,0.,1.)); #413852=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #413853=DIRECTION('center_axis',(0.,0.,1.)); #413854=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #413855=DIRECTION('',(0.,0.,1.)); #413856=DIRECTION('center_axis',(1.,0.,0.)); #413857=DIRECTION('ref_axis',(0.,1.,0.)); #413858=DIRECTION('',(0.,1.,0.)); #413859=DIRECTION('',(0.,1.,0.)); #413860=DIRECTION('',(0.,0.,1.)); #413861=DIRECTION('center_axis',(0.707106781186537,0.707106781186558,0.)); #413862=DIRECTION('ref_axis',(-0.707106781186558,0.707106781186537,0.)); #413863=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #413864=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #413865=DIRECTION('',(0.,0.,1.)); #413866=DIRECTION('center_axis',(0.,0.,1.)); #413867=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413868=DIRECTION('center_axis',(0.,0.,1.)); #413869=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413870=DIRECTION('center_axis',(0.,0.,1.)); #413871=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #413872=DIRECTION('',(0.,0.,1.)); #413873=DIRECTION('center_axis',(1.,0.,0.)); #413874=DIRECTION('ref_axis',(0.,1.,0.)); #413875=DIRECTION('',(0.,1.,0.)); #413876=DIRECTION('',(0.,1.,0.)); #413877=DIRECTION('',(0.,0.,1.)); #413878=DIRECTION('center_axis',(0.,1.,0.)); #413879=DIRECTION('ref_axis',(-1.,0.,0.)); #413880=DIRECTION('',(-1.,0.,0.)); #413881=DIRECTION('',(-1.,0.,0.)); #413882=DIRECTION('center_axis',(0.,0.,1.)); #413883=DIRECTION('ref_axis',(1.,0.,0.)); #413884=DIRECTION('center_axis',(0.,0.,1.)); #413885=DIRECTION('ref_axis',(1.,0.,0.)); #413886=DIRECTION('center_axis',(0.,0.,1.)); #413887=DIRECTION('ref_axis',(1.,0.,0.)); #413888=DIRECTION('center_axis',(0.,0.,1.)); #413889=DIRECTION('ref_axis',(1.,0.,0.)); #413890=DIRECTION('',(0.,0.,1.)); #413891=DIRECTION('center_axis',(0.,0.,-1.)); #413892=DIRECTION('ref_axis',(1.,0.,0.)); #413893=DIRECTION('center_axis',(0.,0.,1.)); #413894=DIRECTION('ref_axis',(1.,0.,0.)); #413895=DIRECTION('center_axis',(0.,0.,1.)); #413896=DIRECTION('ref_axis',(1.,0.,0.)); #413897=DIRECTION('',(0.,0.,1.)); #413898=DIRECTION('center_axis',(0.,0.,-1.)); #413899=DIRECTION('ref_axis',(1.,0.,0.)); #413900=DIRECTION('center_axis',(0.,0.,1.)); #413901=DIRECTION('ref_axis',(1.,0.,0.)); #413902=DIRECTION('center_axis',(0.,0.,1.)); #413903=DIRECTION('ref_axis',(1.,0.,0.)); #413904=DIRECTION('',(0.,0.,1.)); #413905=DIRECTION('center_axis',(0.,0.,-1.)); #413906=DIRECTION('ref_axis',(1.,0.,0.)); #413907=DIRECTION('center_axis',(0.,0.,1.)); #413908=DIRECTION('ref_axis',(1.,0.,0.)); #413909=DIRECTION('center_axis',(0.,0.,1.)); #413910=DIRECTION('ref_axis',(1.,0.,0.)); #413911=DIRECTION('',(0.,0.,1.)); #413912=DIRECTION('center_axis',(0.,0.,-1.)); #413913=DIRECTION('ref_axis',(1.,0.,0.)); #413914=DIRECTION('center_axis',(0.,0.,1.)); #413915=DIRECTION('ref_axis',(1.,0.,0.)); #413916=DIRECTION('center_axis',(0.,0.,1.)); #413917=DIRECTION('ref_axis',(1.,0.,0.)); #413918=DIRECTION('',(0.,0.,1.)); #413919=DIRECTION('center_axis',(0.,0.,-1.)); #413920=DIRECTION('ref_axis',(1.,0.,0.)); #413921=DIRECTION('center_axis',(0.,0.,1.)); #413922=DIRECTION('ref_axis',(1.,0.,0.)); #413923=DIRECTION('center_axis',(0.,0.,1.)); #413924=DIRECTION('ref_axis',(1.,0.,0.)); #413925=DIRECTION('',(0.,0.,1.)); #413926=DIRECTION('center_axis',(0.,0.,-1.)); #413927=DIRECTION('ref_axis',(1.,0.,0.)); #413928=DIRECTION('center_axis',(0.,0.,1.)); #413929=DIRECTION('ref_axis',(1.,0.,0.)); #413930=DIRECTION('center_axis',(0.,0.,1.)); #413931=DIRECTION('ref_axis',(1.,0.,0.)); #413932=DIRECTION('',(0.,0.,1.)); #413933=DIRECTION('center_axis',(0.,0.,-1.)); #413934=DIRECTION('ref_axis',(1.,0.,0.)); #413935=DIRECTION('center_axis',(0.,0.,1.)); #413936=DIRECTION('ref_axis',(1.,0.,0.)); #413937=DIRECTION('center_axis',(0.,0.,1.)); #413938=DIRECTION('ref_axis',(1.,0.,0.)); #413939=DIRECTION('',(0.,0.,1.)); #413940=DIRECTION('center_axis',(0.,0.,-1.)); #413941=DIRECTION('ref_axis',(1.,0.,0.)); #413942=DIRECTION('center_axis',(0.,0.,1.)); #413943=DIRECTION('ref_axis',(1.,0.,0.)); #413944=DIRECTION('center_axis',(0.,0.,1.)); #413945=DIRECTION('ref_axis',(1.,0.,0.)); #413946=DIRECTION('',(0.,0.,1.)); #413947=DIRECTION('center_axis',(0.,0.,-1.)); #413948=DIRECTION('ref_axis',(1.,0.,0.)); #413949=DIRECTION('center_axis',(0.,0.,1.)); #413950=DIRECTION('ref_axis',(1.,0.,0.)); #413951=DIRECTION('center_axis',(0.,0.,1.)); #413952=DIRECTION('ref_axis',(1.,0.,0.)); #413953=DIRECTION('',(0.,0.,1.)); #413954=DIRECTION('center_axis',(0.,0.,-1.)); #413955=DIRECTION('ref_axis',(1.,0.,0.)); #413956=DIRECTION('center_axis',(0.,0.,1.)); #413957=DIRECTION('ref_axis',(1.,0.,0.)); #413958=DIRECTION('center_axis',(0.,0.,1.)); #413959=DIRECTION('ref_axis',(1.,0.,0.)); #413960=DIRECTION('',(0.,0.,1.)); #413961=DIRECTION('center_axis',(0.,0.,-1.)); #413962=DIRECTION('ref_axis',(1.,0.,0.)); #413963=DIRECTION('center_axis',(0.,0.,1.)); #413964=DIRECTION('ref_axis',(1.,0.,0.)); #413965=DIRECTION('center_axis',(0.,0.,1.)); #413966=DIRECTION('ref_axis',(1.,0.,0.)); #413967=DIRECTION('',(0.,0.,1.)); #413968=DIRECTION('center_axis',(0.,0.,-1.)); #413969=DIRECTION('ref_axis',(1.,0.,0.)); #413970=DIRECTION('center_axis',(0.,0.,1.)); #413971=DIRECTION('ref_axis',(1.,0.,0.)); #413972=DIRECTION('center_axis',(0.,0.,1.)); #413973=DIRECTION('ref_axis',(1.,0.,0.)); #413974=DIRECTION('',(0.,0.,1.)); #413975=DIRECTION('center_axis',(0.,0.,-1.)); #413976=DIRECTION('ref_axis',(1.,0.,0.)); #413977=DIRECTION('center_axis',(0.,0.,1.)); #413978=DIRECTION('ref_axis',(1.,0.,0.)); #413979=DIRECTION('center_axis',(0.,0.,1.)); #413980=DIRECTION('ref_axis',(1.,0.,0.)); #413981=DIRECTION('',(0.,0.,1.)); #413982=DIRECTION('center_axis',(0.,0.,-1.)); #413983=DIRECTION('ref_axis',(1.,0.,0.)); #413984=DIRECTION('center_axis',(0.,0.,1.)); #413985=DIRECTION('ref_axis',(1.,0.,0.)); #413986=DIRECTION('center_axis',(0.,0.,1.)); #413987=DIRECTION('ref_axis',(1.,0.,0.)); #413988=DIRECTION('',(0.,0.,1.)); #413989=DIRECTION('center_axis',(0.,0.,-1.)); #413990=DIRECTION('ref_axis',(1.,0.,0.)); #413991=DIRECTION('center_axis',(0.,0.,1.)); #413992=DIRECTION('ref_axis',(1.,0.,0.)); #413993=DIRECTION('center_axis',(0.,0.,1.)); #413994=DIRECTION('ref_axis',(1.,0.,0.)); #413995=DIRECTION('',(0.,0.,1.)); #413996=DIRECTION('center_axis',(0.,0.,-1.)); #413997=DIRECTION('ref_axis',(1.,0.,0.)); #413998=DIRECTION('center_axis',(0.,0.,1.)); #413999=DIRECTION('ref_axis',(1.,0.,0.)); #414000=DIRECTION('center_axis',(0.,0.,1.)); #414001=DIRECTION('ref_axis',(1.,0.,0.)); #414002=DIRECTION('',(0.,0.,1.)); #414003=DIRECTION('center_axis',(0.,0.,-1.)); #414004=DIRECTION('ref_axis',(1.,0.,0.)); #414005=DIRECTION('center_axis',(0.,0.,1.)); #414006=DIRECTION('ref_axis',(1.,0.,0.)); #414007=DIRECTION('center_axis',(0.,0.,1.)); #414008=DIRECTION('ref_axis',(1.,0.,0.)); #414009=DIRECTION('',(0.,0.,1.)); #414010=DIRECTION('center_axis',(0.,0.,-1.)); #414011=DIRECTION('ref_axis',(1.,0.,0.)); #414012=DIRECTION('center_axis',(0.,0.,1.)); #414013=DIRECTION('ref_axis',(1.,0.,0.)); #414014=DIRECTION('center_axis',(0.,0.,1.)); #414015=DIRECTION('ref_axis',(1.,0.,0.)); #414016=DIRECTION('',(0.,0.,1.)); #414017=DIRECTION('center_axis',(0.,0.,-1.)); #414018=DIRECTION('ref_axis',(1.,0.,0.)); #414019=DIRECTION('center_axis',(0.,0.,1.)); #414020=DIRECTION('ref_axis',(1.,0.,0.)); #414021=DIRECTION('center_axis',(0.,0.,1.)); #414022=DIRECTION('ref_axis',(1.,0.,0.)); #414023=DIRECTION('',(0.,0.,1.)); #414024=DIRECTION('center_axis',(0.,0.,-1.)); #414025=DIRECTION('ref_axis',(1.,0.,0.)); #414026=DIRECTION('center_axis',(0.,0.,1.)); #414027=DIRECTION('ref_axis',(1.,0.,0.)); #414028=DIRECTION('center_axis',(0.,0.,1.)); #414029=DIRECTION('ref_axis',(1.,0.,0.)); #414030=DIRECTION('',(0.,0.,1.)); #414031=DIRECTION('center_axis',(0.,0.,-1.)); #414032=DIRECTION('ref_axis',(1.,0.,0.)); #414033=DIRECTION('center_axis',(0.,0.,1.)); #414034=DIRECTION('ref_axis',(1.,0.,0.)); #414035=DIRECTION('center_axis',(0.,0.,1.)); #414036=DIRECTION('ref_axis',(1.,0.,0.)); #414037=DIRECTION('',(0.,0.,1.)); #414038=DIRECTION('center_axis',(0.,0.,-1.)); #414039=DIRECTION('ref_axis',(1.,0.,0.)); #414040=DIRECTION('center_axis',(0.,0.,1.)); #414041=DIRECTION('ref_axis',(1.,0.,0.)); #414042=DIRECTION('center_axis',(0.,0.,1.)); #414043=DIRECTION('ref_axis',(1.,0.,0.)); #414044=DIRECTION('',(0.,0.,1.)); #414045=DIRECTION('center_axis',(0.,0.,-1.)); #414046=DIRECTION('ref_axis',(1.,0.,0.)); #414047=DIRECTION('center_axis',(0.,0.,1.)); #414048=DIRECTION('ref_axis',(1.,0.,0.)); #414049=DIRECTION('center_axis',(0.,0.,1.)); #414050=DIRECTION('ref_axis',(1.,0.,0.)); #414051=DIRECTION('',(0.,0.,1.)); #414052=DIRECTION('center_axis',(0.,0.,-1.)); #414053=DIRECTION('ref_axis',(1.,0.,0.)); #414054=DIRECTION('center_axis',(0.,0.,1.)); #414055=DIRECTION('ref_axis',(1.,0.,0.)); #414056=DIRECTION('center_axis',(0.,0.,1.)); #414057=DIRECTION('ref_axis',(1.,0.,0.)); #414058=DIRECTION('',(0.,0.,1.)); #414059=DIRECTION('center_axis',(0.,0.,-1.)); #414060=DIRECTION('ref_axis',(1.,0.,0.)); #414061=DIRECTION('center_axis',(0.,0.,1.)); #414062=DIRECTION('ref_axis',(1.,0.,0.)); #414063=DIRECTION('center_axis',(0.,0.,1.)); #414064=DIRECTION('ref_axis',(1.,0.,0.)); #414065=DIRECTION('',(0.,0.,1.)); #414066=DIRECTION('center_axis',(0.,0.,-1.)); #414067=DIRECTION('ref_axis',(1.,0.,0.)); #414068=DIRECTION('center_axis',(0.,0.,1.)); #414069=DIRECTION('ref_axis',(1.,0.,0.)); #414070=DIRECTION('center_axis',(0.,0.,1.)); #414071=DIRECTION('ref_axis',(1.,0.,0.)); #414072=DIRECTION('',(0.,0.,1.)); #414073=DIRECTION('center_axis',(0.,0.,-1.)); #414074=DIRECTION('ref_axis',(1.,0.,0.)); #414075=DIRECTION('center_axis',(0.,0.,1.)); #414076=DIRECTION('ref_axis',(1.,0.,0.)); #414077=DIRECTION('center_axis',(0.,0.,1.)); #414078=DIRECTION('ref_axis',(1.,0.,0.)); #414079=DIRECTION('',(0.,0.,1.)); #414080=DIRECTION('center_axis',(0.,0.,-1.)); #414081=DIRECTION('ref_axis',(1.,0.,0.)); #414082=DIRECTION('center_axis',(0.,0.,1.)); #414083=DIRECTION('ref_axis',(1.,0.,0.)); #414084=DIRECTION('center_axis',(0.,0.,1.)); #414085=DIRECTION('ref_axis',(1.,0.,0.)); #414086=DIRECTION('',(0.,0.,1.)); #414087=DIRECTION('center_axis',(0.,0.,-1.)); #414088=DIRECTION('ref_axis',(1.,0.,0.)); #414089=DIRECTION('center_axis',(0.,0.,1.)); #414090=DIRECTION('ref_axis',(1.,0.,0.)); #414091=DIRECTION('center_axis',(0.,0.,1.)); #414092=DIRECTION('ref_axis',(1.,0.,0.)); #414093=DIRECTION('',(0.,0.,1.)); #414094=DIRECTION('center_axis',(0.,0.,-1.)); #414095=DIRECTION('ref_axis',(1.,0.,0.)); #414096=DIRECTION('center_axis',(0.,0.,1.)); #414097=DIRECTION('ref_axis',(1.,0.,0.)); #414098=DIRECTION('center_axis',(0.,0.,1.)); #414099=DIRECTION('ref_axis',(1.,0.,0.)); #414100=DIRECTION('',(0.,0.,1.)); #414101=DIRECTION('center_axis',(0.,0.,-1.)); #414102=DIRECTION('ref_axis',(1.,0.,0.)); #414103=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #414104=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #414105=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #414106=DIRECTION('',(0.,0.,1.)); #414107=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #414108=DIRECTION('',(0.,0.,1.)); #414109=DIRECTION('center_axis',(0.,0.,1.)); #414110=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #414111=DIRECTION('center_axis',(0.,0.,1.)); #414112=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #414113=DIRECTION('center_axis',(0.,0.,1.)); #414114=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #414115=DIRECTION('',(0.,0.,1.)); #414116=DIRECTION('center_axis',(-1.,0.,0.)); #414117=DIRECTION('ref_axis',(0.,-1.,0.)); #414118=DIRECTION('',(0.,-1.,0.)); #414119=DIRECTION('',(0.,-1.,0.)); #414120=DIRECTION('',(0.,0.,1.)); #414121=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #414122=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #414123=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414124=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414125=DIRECTION('',(0.,0.,1.)); #414126=DIRECTION('center_axis',(0.,-1.,0.)); #414127=DIRECTION('ref_axis',(1.,0.,0.)); #414128=DIRECTION('',(1.,0.,0.)); #414129=DIRECTION('',(1.,0.,0.)); #414130=DIRECTION('',(0.,0.,1.)); #414131=DIRECTION('center_axis',(0.,0.,1.)); #414132=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #414133=DIRECTION('center_axis',(0.,0.,1.)); #414134=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #414135=DIRECTION('center_axis',(0.,0.,1.)); #414136=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #414137=DIRECTION('',(0.,0.,1.)); #414138=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #414139=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #414140=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414141=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414142=DIRECTION('',(0.,0.,1.)); #414143=DIRECTION('center_axis',(0.,0.,1.)); #414144=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #414145=DIRECTION('center_axis',(0.,0.,1.)); #414146=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #414147=DIRECTION('center_axis',(0.,0.,1.)); #414148=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #414149=DIRECTION('',(0.,0.,1.)); #414150=DIRECTION('center_axis',(-1.,0.,0.)); #414151=DIRECTION('ref_axis',(0.,-1.,0.)); #414152=DIRECTION('',(0.,-1.,0.)); #414153=DIRECTION('',(0.,-1.,0.)); #414154=DIRECTION('',(0.,0.,1.)); #414155=DIRECTION('center_axis',(-0.829232066016633,-0.558904446833076, 0.)); #414156=DIRECTION('ref_axis',(0.558904446833076,-0.829232066016633,0.)); #414157=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #414158=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #414159=DIRECTION('',(0.,0.,1.)); #414160=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789405, 0.)); #414161=DIRECTION('ref_axis',(0.199010641789405,-0.979997328799711,0.)); #414162=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #414163=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #414164=DIRECTION('',(0.,0.,1.)); #414165=DIRECTION('center_axis',(-1.,0.,0.)); #414166=DIRECTION('ref_axis',(0.,-1.,0.)); #414167=DIRECTION('',(0.,-1.,0.)); #414168=DIRECTION('',(0.,-1.,0.)); #414169=DIRECTION('',(0.,0.,1.)); #414170=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #414171=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #414172=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #414173=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #414174=DIRECTION('',(0.,0.,1.)); #414175=DIRECTION('center_axis',(0.,-1.,0.)); #414176=DIRECTION('ref_axis',(1.,0.,0.)); #414177=DIRECTION('',(1.,0.,0.)); #414178=DIRECTION('',(1.,0.,0.)); #414179=DIRECTION('',(0.,0.,1.)); #414180=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #414181=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #414182=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #414183=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #414184=DIRECTION('',(0.,0.,1.)); #414185=DIRECTION('center_axis',(-0.552227809242786,-0.833693256959005, 0.)); #414186=DIRECTION('ref_axis',(0.833693256959005,-0.552227809242786,0.)); #414187=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #414188=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #414189=DIRECTION('',(0.,0.,1.)); #414190=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #414191=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #414192=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #414193=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #414194=DIRECTION('',(0.,0.,1.)); #414195=DIRECTION('center_axis',(-0.829258128536064,-0.558865776601918, 0.)); #414196=DIRECTION('ref_axis',(0.558865776601918,-0.829258128536064,0.)); #414197=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #414198=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #414199=DIRECTION('',(0.,0.,1.)); #414200=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #414201=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #414202=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #414203=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #414204=DIRECTION('',(0.,0.,1.)); #414205=DIRECTION('center_axis',(-1.,0.,0.)); #414206=DIRECTION('ref_axis',(0.,-1.,0.)); #414207=DIRECTION('',(0.,-1.,0.)); #414208=DIRECTION('',(0.,-1.,0.)); #414209=DIRECTION('',(0.,0.,1.)); #414210=DIRECTION('center_axis',(-0.981552851945484,0.191191000932803,0.)); #414211=DIRECTION('ref_axis',(-0.191191000932803,-0.981552851945484,0.)); #414212=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #414213=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #414214=DIRECTION('',(0.,0.,1.)); #414215=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #414216=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #414217=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #414218=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #414219=DIRECTION('',(0.,0.,1.)); #414220=DIRECTION('center_axis',(-0.707106781186566,0.707106781186529,0.)); #414221=DIRECTION('ref_axis',(-0.707106781186529,-0.707106781186566,0.)); #414222=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #414223=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #414224=DIRECTION('',(0.,0.,1.)); #414225=DIRECTION('center_axis',(-0.558865776601961,0.829258128536035,0.)); #414226=DIRECTION('ref_axis',(-0.829258128536035,-0.558865776601961,0.)); #414227=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #414228=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #414229=DIRECTION('',(0.,0.,1.)); #414230=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #414231=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #414232=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #414233=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #414234=DIRECTION('',(0.,0.,1.)); #414235=DIRECTION('center_axis',(0.,1.,0.)); #414236=DIRECTION('ref_axis',(-1.,0.,0.)); #414237=DIRECTION('',(-1.,0.,0.)); #414238=DIRECTION('',(-1.,0.,0.)); #414239=DIRECTION('',(0.,0.,1.)); #414240=DIRECTION('center_axis',(0.183314787014818,0.983054265471501,0.)); #414241=DIRECTION('ref_axis',(-0.983054265471501,0.183314787014818,0.)); #414242=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #414243=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #414244=DIRECTION('',(0.,0.,1.)); #414245=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #414246=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #414247=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #414248=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #414249=DIRECTION('',(0.,0.,1.)); #414250=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #414251=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #414252=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #414253=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #414254=DIRECTION('',(0.,0.,1.)); #414255=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #414256=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #414257=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #414258=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #414259=DIRECTION('',(0.,0.,1.)); #414260=DIRECTION('center_axis',(1.,0.,0.)); #414261=DIRECTION('ref_axis',(0.,1.,0.)); #414262=DIRECTION('',(0.,1.,0.)); #414263=DIRECTION('',(0.,1.,0.)); #414264=DIRECTION('',(0.,0.,1.)); #414265=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #414266=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #414267=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #414268=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #414269=DIRECTION('',(0.,0.,1.)); #414270=DIRECTION('center_axis',(0.,1.,0.)); #414271=DIRECTION('ref_axis',(-1.,0.,0.)); #414272=DIRECTION('',(-1.,0.,0.)); #414273=DIRECTION('',(-1.,0.,0.)); #414274=DIRECTION('',(0.,0.,1.)); #414275=DIRECTION('center_axis',(-0.707106781186521,0.707106781186574,0.)); #414276=DIRECTION('ref_axis',(-0.707106781186574,-0.707106781186521,0.)); #414277=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #414278=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #414279=DIRECTION('',(0.,0.,1.)); #414280=DIRECTION('center_axis',(-1.,0.,0.)); #414281=DIRECTION('ref_axis',(0.,-1.,0.)); #414282=DIRECTION('',(0.,-1.,0.)); #414283=DIRECTION('',(0.,-1.,0.)); #414284=DIRECTION('',(0.,0.,1.)); #414285=DIRECTION('center_axis',(-0.983054265471488,0.183314787014884,0.)); #414286=DIRECTION('ref_axis',(-0.183314787014884,-0.983054265471488,0.)); #414287=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #414288=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #414289=DIRECTION('',(0.,0.,1.)); #414290=DIRECTION('center_axis',(-0.842351514327663,0.538928498327832,0.)); #414291=DIRECTION('ref_axis',(-0.538928498327832,-0.842351514327663,0.)); #414292=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #414293=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #414294=DIRECTION('',(0.,0.,1.)); #414295=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #414296=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #414297=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #414298=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #414299=DIRECTION('',(0.,0.,1.)); #414300=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #414301=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #414302=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414303=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #414304=DIRECTION('',(0.,0.,1.)); #414305=DIRECTION('center_axis',(0.,1.,0.)); #414306=DIRECTION('ref_axis',(-1.,0.,0.)); #414307=DIRECTION('',(-1.,0.,0.)); #414308=DIRECTION('',(-1.,0.,0.)); #414309=DIRECTION('',(0.,0.,1.)); #414310=DIRECTION('center_axis',(0.191194865688728,0.981552099144141,0.)); #414311=DIRECTION('ref_axis',(-0.981552099144141,0.191194865688728,0.)); #414312=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #414313=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #414314=DIRECTION('',(0.,0.,1.)); #414315=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #414316=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #414317=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #414318=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #414319=DIRECTION('',(0.,0.,1.)); #414320=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #414321=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #414322=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #414323=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #414324=DIRECTION('',(0.,0.,1.)); #414325=DIRECTION('center_axis',(0.600315735780842,0.799763100782917,0.)); #414326=DIRECTION('ref_axis',(-0.799763100782917,0.600315735780842,0.)); #414327=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #414328=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #414329=DIRECTION('',(0.,0.,1.)); #414330=DIRECTION('center_axis',(0.125280629073855,0.992121345390199,0.)); #414331=DIRECTION('ref_axis',(-0.992121345390199,0.125280629073855,0.)); #414332=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #414333=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #414334=DIRECTION('',(0.,0.,1.)); #414335=DIRECTION('center_axis',(0.,1.,0.)); #414336=DIRECTION('ref_axis',(-1.,0.,0.)); #414337=DIRECTION('',(-1.,0.,0.)); #414338=DIRECTION('',(-1.,0.,0.)); #414339=DIRECTION('',(0.,0.,1.)); #414340=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #414341=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #414342=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #414343=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #414344=DIRECTION('',(0.,0.,1.)); #414345=DIRECTION('center_axis',(0.538928498327917,0.842351514327609,0.)); #414346=DIRECTION('ref_axis',(-0.842351514327609,0.538928498327917,0.)); #414347=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #414348=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #414349=DIRECTION('',(0.,0.,1.)); #414350=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #414351=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #414352=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #414353=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #414354=DIRECTION('',(0.,0.,1.)); #414355=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #414356=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #414357=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #414358=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #414359=DIRECTION('',(0.,0.,1.)); #414360=DIRECTION('center_axis',(1.,0.,0.)); #414361=DIRECTION('ref_axis',(0.,1.,0.)); #414362=DIRECTION('',(0.,1.,0.)); #414363=DIRECTION('',(0.,1.,0.)); #414364=DIRECTION('',(0.,0.,1.)); #414365=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414366=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414367=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414368=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414369=DIRECTION('',(0.,0.,1.)); #414370=DIRECTION('center_axis',(0.,1.,0.)); #414371=DIRECTION('ref_axis',(-1.,0.,0.)); #414372=DIRECTION('',(-1.,0.,0.)); #414373=DIRECTION('',(-1.,0.,0.)); #414374=DIRECTION('',(0.,0.,1.)); #414375=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #414376=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #414377=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #414378=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #414379=DIRECTION('',(0.,0.,1.)); #414380=DIRECTION('center_axis',(-0.558865776602066,0.829258128535964,0.)); #414381=DIRECTION('ref_axis',(-0.829258128535964,-0.558865776602066,0.)); #414382=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #414383=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #414384=DIRECTION('',(0.,0.,1.)); #414385=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #414386=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #414387=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #414388=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #414389=DIRECTION('',(0.,0.,1.)); #414390=DIRECTION('center_axis',(0.,1.,0.)); #414391=DIRECTION('ref_axis',(-1.,0.,0.)); #414392=DIRECTION('',(-1.,0.,0.)); #414393=DIRECTION('',(-1.,0.,0.)); #414394=DIRECTION('',(0.,0.,1.)); #414395=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #414396=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #414397=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #414398=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #414399=DIRECTION('',(0.,0.,1.)); #414400=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #414401=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #414402=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #414403=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #414404=DIRECTION('',(0.,0.,1.)); #414405=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #414406=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #414407=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #414408=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #414409=DIRECTION('',(0.,0.,1.)); #414410=DIRECTION('center_axis',(0.829258128536064,0.558865776601918,0.)); #414411=DIRECTION('ref_axis',(-0.558865776601918,0.829258128536064,0.)); #414412=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #414413=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #414414=DIRECTION('',(0.,0.,1.)); #414415=DIRECTION('center_axis',(0.979998112340228,0.199006783325569,0.)); #414416=DIRECTION('ref_axis',(-0.199006783325569,0.979998112340228,0.)); #414417=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #414418=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #414419=DIRECTION('',(0.,0.,1.)); #414420=DIRECTION('center_axis',(1.,0.,0.)); #414421=DIRECTION('ref_axis',(0.,1.,0.)); #414422=DIRECTION('',(0.,1.,0.)); #414423=DIRECTION('',(0.,1.,0.)); #414424=DIRECTION('',(0.,0.,1.)); #414425=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #414426=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #414427=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #414428=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #414429=DIRECTION('',(0.,0.,1.)); #414430=DIRECTION('center_axis',(0.833693256958978,-0.552227809242827,0.)); #414431=DIRECTION('ref_axis',(0.552227809242827,0.833693256958978,0.)); #414432=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #414433=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #414434=DIRECTION('',(0.,0.,1.)); #414435=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #414436=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #414437=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #414438=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #414439=DIRECTION('',(0.,0.,1.)); #414440=DIRECTION('center_axis',(0.558865776602066,-0.829258128535964,0.)); #414441=DIRECTION('ref_axis',(0.829258128535964,0.558865776602066,0.)); #414442=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #414443=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #414444=DIRECTION('',(0.,0.,1.)); #414445=DIRECTION('center_axis',(0.199006783325383,-0.979998112340266,0.)); #414446=DIRECTION('ref_axis',(0.979998112340266,0.199006783325383,0.)); #414447=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #414448=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #414449=DIRECTION('',(0.,0.,1.)); #414450=DIRECTION('center_axis',(0.,-1.,0.)); #414451=DIRECTION('ref_axis',(1.,0.,0.)); #414452=DIRECTION('',(1.,0.,0.)); #414453=DIRECTION('',(1.,0.,0.)); #414454=DIRECTION('',(0.,0.,1.)); #414455=DIRECTION('center_axis',(0.707105793340279,-0.707107769031436,0.)); #414456=DIRECTION('ref_axis',(0.707107769031436,0.707105793340279,0.)); #414457=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #414458=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #414459=DIRECTION('',(0.,0.,1.)); #414460=DIRECTION('center_axis',(0.558865776602108,-0.829258128535936,0.)); #414461=DIRECTION('ref_axis',(0.829258128535936,0.558865776602108,0.)); #414462=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #414463=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #414464=DIRECTION('',(0.,0.,1.)); #414465=DIRECTION('center_axis',(0.199006783325366,-0.979998112340269,0.)); #414466=DIRECTION('ref_axis',(0.979998112340269,0.199006783325366,0.)); #414467=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #414468=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #414469=DIRECTION('',(0.,0.,1.)); #414470=DIRECTION('center_axis',(0.,-1.,0.)); #414471=DIRECTION('ref_axis',(1.,0.,0.)); #414472=DIRECTION('',(1.,0.,0.)); #414473=DIRECTION('',(1.,0.,0.)); #414474=DIRECTION('',(0.,0.,1.)); #414475=DIRECTION('center_axis',(0.70710678118667,-0.707106781186425,0.)); #414476=DIRECTION('ref_axis',(0.707106781186425,0.70710678118667,0.)); #414477=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #414478=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #414479=DIRECTION('',(0.,0.,1.)); #414480=DIRECTION('center_axis',(0.57202368617132,-0.820237101367023,0.)); #414481=DIRECTION('ref_axis',(0.820237101367023,0.57202368617132,0.)); #414482=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #414483=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #414484=DIRECTION('',(0.,0.,1.)); #414485=DIRECTION('center_axis',(0.230101081189212,-0.973166734139405,0.)); #414486=DIRECTION('ref_axis',(0.973166734139405,0.230101081189211,0.)); #414487=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #414488=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #414489=DIRECTION('',(0.,0.,1.)); #414490=DIRECTION('center_axis',(-0.167361088023315,-0.985895667003184, 0.)); #414491=DIRECTION('ref_axis',(0.985895667003184,-0.167361088023315,0.)); #414492=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #414493=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #414494=DIRECTION('',(0.,0.,1.)); #414495=DIRECTION('center_axis',(1.,0.,0.)); #414496=DIRECTION('ref_axis',(0.,1.,0.)); #414497=DIRECTION('',(0.,1.,0.)); #414498=DIRECTION('',(0.,1.,0.)); #414499=DIRECTION('',(0.,0.,1.)); #414500=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #414501=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #414502=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #414503=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #414504=DIRECTION('',(0.,0.,1.)); #414505=DIRECTION('center_axis',(0.,1.,0.)); #414506=DIRECTION('ref_axis',(-1.,0.,0.)); #414507=DIRECTION('',(-1.,0.,0.)); #414508=DIRECTION('',(-1.,0.,0.)); #414509=DIRECTION('',(0.,0.,1.)); #414510=DIRECTION('center_axis',(0.,0.,1.)); #414511=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #414512=DIRECTION('center_axis',(0.,0.,1.)); #414513=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #414514=DIRECTION('center_axis',(0.,0.,1.)); #414515=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #414516=DIRECTION('',(0.,0.,1.)); #414517=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #414518=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #414519=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #414520=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #414521=DIRECTION('',(0.,0.,1.)); #414522=DIRECTION('center_axis',(0.,0.,1.)); #414523=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #414524=DIRECTION('center_axis',(0.,0.,1.)); #414525=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #414526=DIRECTION('center_axis',(0.,0.,1.)); #414527=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #414528=DIRECTION('',(0.,0.,1.)); #414529=DIRECTION('center_axis',(1.,0.,0.)); #414530=DIRECTION('ref_axis',(0.,1.,0.)); #414531=DIRECTION('',(0.,1.,0.)); #414532=DIRECTION('',(0.,1.,0.)); #414533=DIRECTION('',(0.,0.,1.)); #414534=DIRECTION('center_axis',(0.,0.,1.)); #414535=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #414536=DIRECTION('center_axis',(0.,0.,1.)); #414537=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #414538=DIRECTION('center_axis',(0.,0.,1.)); #414539=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #414540=DIRECTION('center_axis',(0.,0.,1.)); #414541=DIRECTION('ref_axis',(1.,0.,0.)); #414542=DIRECTION('center_axis',(0.,0.,1.)); #414543=DIRECTION('ref_axis',(1.,0.,0.)); #414544=DIRECTION('center_axis',(0.,0.,1.)); #414545=DIRECTION('ref_axis',(1.,0.,0.)); #414546=DIRECTION('center_axis',(0.,0.,1.)); #414547=DIRECTION('ref_axis',(1.,0.,0.)); #414548=DIRECTION('',(0.,0.,1.)); #414549=DIRECTION('center_axis',(0.,0.,-1.)); #414550=DIRECTION('ref_axis',(1.,0.,0.)); #414551=DIRECTION('center_axis',(1.,0.,0.)); #414552=DIRECTION('ref_axis',(0.,1.,0.)); #414553=DIRECTION('',(0.,1.,0.)); #414554=DIRECTION('',(0.,0.,1.)); #414555=DIRECTION('',(0.,1.,0.)); #414556=DIRECTION('',(0.,0.,1.)); #414557=DIRECTION('center_axis',(0.,-1.,0.)); #414558=DIRECTION('ref_axis',(1.,0.,0.)); #414559=DIRECTION('',(1.,0.,0.)); #414560=DIRECTION('',(1.,0.,0.)); #414561=DIRECTION('',(0.,0.,1.)); #414562=DIRECTION('center_axis',(-1.,0.,0.)); #414563=DIRECTION('ref_axis',(0.,-1.,0.)); #414564=DIRECTION('',(0.,-1.,0.)); #414565=DIRECTION('',(0.,-1.,0.)); #414566=DIRECTION('',(0.,0.,1.)); #414567=DIRECTION('center_axis',(0.,1.,0.)); #414568=DIRECTION('ref_axis',(-1.,0.,0.)); #414569=DIRECTION('',(-1.,0.,0.)); #414570=DIRECTION('',(-1.,0.,0.)); #414571=DIRECTION('',(0.,0.,1.)); #414572=DIRECTION('center_axis',(-1.,0.,0.)); #414573=DIRECTION('ref_axis',(0.,-1.,0.)); #414574=DIRECTION('',(0.,-1.,0.)); #414575=DIRECTION('',(0.,-1.,0.)); #414576=DIRECTION('',(0.,0.,1.)); #414577=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #414578=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #414579=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #414580=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #414581=DIRECTION('',(0.,0.,1.)); #414582=DIRECTION('center_axis',(0.,0.,1.)); #414583=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #414584=DIRECTION('center_axis',(0.,0.,1.)); #414585=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #414586=DIRECTION('center_axis',(0.,0.,1.)); #414587=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #414588=DIRECTION('',(0.,0.,1.)); #414589=DIRECTION('center_axis',(-1.,0.,0.)); #414590=DIRECTION('ref_axis',(0.,-1.,0.)); #414591=DIRECTION('',(0.,-1.,0.)); #414592=DIRECTION('',(0.,-1.,0.)); #414593=DIRECTION('',(0.,0.,1.)); #414594=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #414595=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #414596=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #414597=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #414598=DIRECTION('',(0.,0.,1.)); #414599=DIRECTION('center_axis',(0.,0.,1.)); #414600=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #414601=DIRECTION('center_axis',(0.,0.,1.)); #414602=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #414603=DIRECTION('center_axis',(0.,0.,1.)); #414604=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #414605=DIRECTION('',(0.,0.,1.)); #414606=DIRECTION('center_axis',(-1.,0.,0.)); #414607=DIRECTION('ref_axis',(0.,-1.,0.)); #414608=DIRECTION('',(0.,-1.,0.)); #414609=DIRECTION('',(0.,-1.,0.)); #414610=DIRECTION('',(0.,0.,1.)); #414611=DIRECTION('center_axis',(0.,0.,1.)); #414612=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #414613=DIRECTION('center_axis',(0.,0.,1.)); #414614=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #414615=DIRECTION('center_axis',(0.,0.,1.)); #414616=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #414617=DIRECTION('',(0.,0.,1.)); #414618=DIRECTION('center_axis',(0.,0.,1.)); #414619=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #414620=DIRECTION('center_axis',(0.,0.,1.)); #414621=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #414622=DIRECTION('center_axis',(0.,0.,1.)); #414623=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #414624=DIRECTION('',(0.,0.,1.)); #414625=DIRECTION('center_axis',(1.,0.,0.)); #414626=DIRECTION('ref_axis',(0.,1.,0.)); #414627=DIRECTION('',(0.,1.,0.)); #414628=DIRECTION('',(0.,1.,0.)); #414629=DIRECTION('',(0.,0.,1.)); #414630=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414631=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414632=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414633=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414634=DIRECTION('',(0.,0.,1.)); #414635=DIRECTION('center_axis',(0.,0.,1.)); #414636=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #414637=DIRECTION('center_axis',(0.,0.,1.)); #414638=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #414639=DIRECTION('center_axis',(0.,0.,1.)); #414640=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #414641=DIRECTION('',(0.,0.,1.)); #414642=DIRECTION('center_axis',(1.,0.,0.)); #414643=DIRECTION('ref_axis',(0.,1.,0.)); #414644=DIRECTION('',(0.,1.,0.)); #414645=DIRECTION('',(0.,1.,0.)); #414646=DIRECTION('',(0.,0.,1.)); #414647=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414648=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414649=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414650=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414651=DIRECTION('',(0.,0.,1.)); #414652=DIRECTION('center_axis',(0.,0.,1.)); #414653=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #414654=DIRECTION('center_axis',(0.,0.,1.)); #414655=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #414656=DIRECTION('center_axis',(0.,0.,1.)); #414657=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #414658=DIRECTION('',(0.,0.,1.)); #414659=DIRECTION('center_axis',(1.,0.,0.)); #414660=DIRECTION('ref_axis',(0.,1.,0.)); #414661=DIRECTION('',(0.,1.,0.)); #414662=DIRECTION('',(0.,1.,0.)); #414663=DIRECTION('',(0.,0.,1.)); #414664=DIRECTION('center_axis',(0.,1.,0.)); #414665=DIRECTION('ref_axis',(-1.,0.,0.)); #414666=DIRECTION('',(-1.,0.,0.)); #414667=DIRECTION('',(-1.,0.,0.)); #414668=DIRECTION('center_axis',(0.,0.,1.)); #414669=DIRECTION('ref_axis',(1.,0.,0.)); #414670=DIRECTION('center_axis',(0.,0.,1.)); #414671=DIRECTION('ref_axis',(1.,0.,0.)); #414672=DIRECTION('center_axis',(0.,0.,1.)); #414673=DIRECTION('ref_axis',(1.,0.,0.)); #414674=DIRECTION('center_axis',(0.,0.,1.)); #414675=DIRECTION('ref_axis',(1.,0.,0.)); #414676=DIRECTION('',(0.,0.,1.)); #414677=DIRECTION('center_axis',(0.,0.,-1.)); #414678=DIRECTION('ref_axis',(1.,0.,0.)); #414679=DIRECTION('center_axis',(0.,0.,1.)); #414680=DIRECTION('ref_axis',(1.,0.,0.)); #414681=DIRECTION('center_axis',(0.,0.,1.)); #414682=DIRECTION('ref_axis',(1.,0.,0.)); #414683=DIRECTION('',(0.,0.,1.)); #414684=DIRECTION('center_axis',(0.,0.,-1.)); #414685=DIRECTION('ref_axis',(1.,0.,0.)); #414686=DIRECTION('center_axis',(0.,0.,1.)); #414687=DIRECTION('ref_axis',(1.,0.,0.)); #414688=DIRECTION('center_axis',(0.,0.,1.)); #414689=DIRECTION('ref_axis',(1.,0.,0.)); #414690=DIRECTION('',(0.,0.,1.)); #414691=DIRECTION('center_axis',(0.,0.,-1.)); #414692=DIRECTION('ref_axis',(1.,0.,0.)); #414693=DIRECTION('center_axis',(0.,0.,1.)); #414694=DIRECTION('ref_axis',(1.,0.,0.)); #414695=DIRECTION('center_axis',(0.,0.,1.)); #414696=DIRECTION('ref_axis',(1.,0.,0.)); #414697=DIRECTION('',(0.,0.,1.)); #414698=DIRECTION('center_axis',(0.,0.,-1.)); #414699=DIRECTION('ref_axis',(1.,0.,0.)); #414700=DIRECTION('center_axis',(0.,0.,1.)); #414701=DIRECTION('ref_axis',(1.,0.,0.)); #414702=DIRECTION('center_axis',(0.,0.,1.)); #414703=DIRECTION('ref_axis',(1.,0.,0.)); #414704=DIRECTION('',(0.,0.,1.)); #414705=DIRECTION('center_axis',(0.,0.,-1.)); #414706=DIRECTION('ref_axis',(1.,0.,0.)); #414707=DIRECTION('center_axis',(0.,0.,1.)); #414708=DIRECTION('ref_axis',(1.,0.,0.)); #414709=DIRECTION('center_axis',(0.,0.,1.)); #414710=DIRECTION('ref_axis',(1.,0.,0.)); #414711=DIRECTION('',(0.,0.,1.)); #414712=DIRECTION('center_axis',(0.,0.,-1.)); #414713=DIRECTION('ref_axis',(1.,0.,0.)); #414714=DIRECTION('center_axis',(0.,0.,1.)); #414715=DIRECTION('ref_axis',(1.,0.,0.)); #414716=DIRECTION('center_axis',(0.,0.,1.)); #414717=DIRECTION('ref_axis',(1.,0.,0.)); #414718=DIRECTION('',(0.,0.,1.)); #414719=DIRECTION('center_axis',(0.,0.,-1.)); #414720=DIRECTION('ref_axis',(1.,0.,0.)); #414721=DIRECTION('center_axis',(0.,0.,1.)); #414722=DIRECTION('ref_axis',(1.,0.,0.)); #414723=DIRECTION('center_axis',(0.,0.,1.)); #414724=DIRECTION('ref_axis',(1.,0.,0.)); #414725=DIRECTION('',(0.,0.,1.)); #414726=DIRECTION('center_axis',(0.,0.,-1.)); #414727=DIRECTION('ref_axis',(1.,0.,0.)); #414728=DIRECTION('center_axis',(0.,0.,1.)); #414729=DIRECTION('ref_axis',(1.,0.,0.)); #414730=DIRECTION('center_axis',(0.,0.,1.)); #414731=DIRECTION('ref_axis',(1.,0.,0.)); #414732=DIRECTION('',(0.,0.,1.)); #414733=DIRECTION('center_axis',(0.,0.,-1.)); #414734=DIRECTION('ref_axis',(1.,0.,0.)); #414735=DIRECTION('center_axis',(0.,0.,1.)); #414736=DIRECTION('ref_axis',(1.,0.,0.)); #414737=DIRECTION('center_axis',(0.,0.,1.)); #414738=DIRECTION('ref_axis',(1.,0.,0.)); #414739=DIRECTION('',(0.,0.,1.)); #414740=DIRECTION('center_axis',(0.,0.,-1.)); #414741=DIRECTION('ref_axis',(1.,0.,0.)); #414742=DIRECTION('center_axis',(0.,0.,1.)); #414743=DIRECTION('ref_axis',(1.,0.,0.)); #414744=DIRECTION('center_axis',(0.,0.,1.)); #414745=DIRECTION('ref_axis',(1.,0.,0.)); #414746=DIRECTION('',(0.,0.,1.)); #414747=DIRECTION('center_axis',(0.,0.,-1.)); #414748=DIRECTION('ref_axis',(1.,0.,0.)); #414749=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #414750=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #414751=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #414752=DIRECTION('',(0.,0.,1.)); #414753=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #414754=DIRECTION('',(0.,0.,1.)); #414755=DIRECTION('center_axis',(0.,-1.,0.)); #414756=DIRECTION('ref_axis',(1.,0.,0.)); #414757=DIRECTION('',(1.,0.,0.)); #414758=DIRECTION('',(1.,0.,0.)); #414759=DIRECTION('',(0.,0.,1.)); #414760=DIRECTION('center_axis',(-0.183335538282804,-0.983050395657595, 0.)); #414761=DIRECTION('ref_axis',(0.983050395657595,-0.183335538282804,0.)); #414762=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #414763=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #414764=DIRECTION('',(0.,0.,1.)); #414765=DIRECTION('center_axis',(-0.538914460439928,-0.842360495470165, 0.)); #414766=DIRECTION('ref_axis',(0.842360495470165,-0.538914460439928,0.)); #414767=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #414768=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #414769=DIRECTION('',(0.,0.,1.)); #414770=DIRECTION('center_axis',(-0.824766287441766,-0.565473758099813, 0.)); #414771=DIRECTION('ref_axis',(0.565473758099813,-0.824766287441766,0.)); #414772=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #414773=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #414774=DIRECTION('',(0.,0.,1.)); #414775=DIRECTION('center_axis',(-0.979997328799679,-0.199010641789564, 0.)); #414776=DIRECTION('ref_axis',(0.199010641789564,-0.979997328799679,0.)); #414777=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #414778=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #414779=DIRECTION('',(0.,0.,1.)); #414780=DIRECTION('center_axis',(-1.,0.,0.)); #414781=DIRECTION('ref_axis',(0.,-1.,0.)); #414782=DIRECTION('',(0.,-1.,0.)); #414783=DIRECTION('',(0.,-1.,0.)); #414784=DIRECTION('',(0.,0.,1.)); #414785=DIRECTION('center_axis',(-0.995621238776244,-0.0934791361623409, 0.)); #414786=DIRECTION('ref_axis',(0.0934791361623409,-0.995621238776244,0.)); #414787=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #414788=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #414789=DIRECTION('',(0.,0.,1.)); #414790=DIRECTION('center_axis',(-0.960863719718224,-0.277021501203894, 0.)); #414791=DIRECTION('ref_axis',(0.277021501203894,-0.960863719718224,0.)); #414792=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #414793=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #414794=DIRECTION('',(0.,0.,1.)); #414795=DIRECTION('center_axis',(-0.892532404086875,-0.450983267599702, 0.)); #414796=DIRECTION('ref_axis',(0.450983267599702,-0.892532404086875,0.)); #414797=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #414798=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #414799=DIRECTION('',(0.,0.,1.)); #414800=DIRECTION('center_axis',(-0.793097730527882,-0.609094401412066, 0.)); #414801=DIRECTION('ref_axis',(0.609094401412066,-0.793097730527882,0.)); #414802=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #414803=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #414804=DIRECTION('',(0.,0.,1.)); #414805=DIRECTION('center_axis',(-0.665917848415929,-0.746025079445121, 0.)); #414806=DIRECTION('ref_axis',(0.746025079445121,-0.665917848415929,0.)); #414807=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #414808=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #414809=DIRECTION('',(0.,0.,1.)); #414810=DIRECTION('center_axis',(-0.515344405546105,-0.856983164170879, 0.)); #414811=DIRECTION('ref_axis',(0.856983164170879,-0.515344405546105,0.)); #414812=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #414813=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #414814=DIRECTION('',(0.,0.,1.)); #414815=DIRECTION('center_axis',(-0.347052026072811,-0.937845878169093, 0.)); #414816=DIRECTION('ref_axis',(0.937845878169093,-0.347052026072811,0.)); #414817=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #414818=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #414819=DIRECTION('',(0.,0.,1.)); #414820=DIRECTION('center_axis',(-0.130062439437506,-0.99150580525157,0.)); #414821=DIRECTION('ref_axis',(0.99150580525157,-0.130062439437506,0.)); #414822=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #414823=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #414824=DIRECTION('',(0.,0.,1.)); #414825=DIRECTION('center_axis',(0.,-1.,0.)); #414826=DIRECTION('ref_axis',(1.,0.,0.)); #414827=DIRECTION('',(1.,0.,0.)); #414828=DIRECTION('',(1.,0.,0.)); #414829=DIRECTION('',(0.,0.,1.)); #414830=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #414831=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #414832=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #414833=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #414834=DIRECTION('',(0.,0.,1.)); #414835=DIRECTION('center_axis',(-0.538914460439817,-0.842360495470236, 0.)); #414836=DIRECTION('ref_axis',(0.842360495470236,-0.538914460439817,0.)); #414837=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #414838=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #414839=DIRECTION('',(0.,0.,1.)); #414840=DIRECTION('center_axis',(-0.8246744274587,-0.565607716262487,0.)); #414841=DIRECTION('ref_axis',(0.565607716262487,-0.8246744274587,0.)); #414842=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #414843=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #414844=DIRECTION('',(0.,0.,1.)); #414845=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #414846=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #414847=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #414848=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #414849=DIRECTION('',(0.,0.,1.)); #414850=DIRECTION('center_axis',(0.,-1.,0.)); #414851=DIRECTION('ref_axis',(1.,0.,0.)); #414852=DIRECTION('',(1.,0.,0.)); #414853=DIRECTION('',(1.,0.,0.)); #414854=DIRECTION('',(0.,0.,1.)); #414855=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #414856=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #414857=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #414858=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #414859=DIRECTION('',(0.,0.,1.)); #414860=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #414861=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #414862=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #414863=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #414864=DIRECTION('',(0.,0.,1.)); #414865=DIRECTION('center_axis',(-0.824838804062798,-0.565367975138541, 0.)); #414866=DIRECTION('ref_axis',(0.565367975138541,-0.824838804062798,0.)); #414867=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #414868=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #414869=DIRECTION('',(0.,0.,1.)); #414870=DIRECTION('center_axis',(0.,0.,1.)); #414871=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #414872=DIRECTION('center_axis',(0.,0.,1.)); #414873=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #414874=DIRECTION('center_axis',(0.,0.,1.)); #414875=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #414876=DIRECTION('',(0.,0.,1.)); #414877=DIRECTION('center_axis',(0.,-1.,0.)); #414878=DIRECTION('ref_axis',(1.,0.,0.)); #414879=DIRECTION('',(1.,0.,0.)); #414880=DIRECTION('',(1.,0.,0.)); #414881=DIRECTION('',(0.,0.,1.)); #414882=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #414883=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #414884=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #414885=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #414886=DIRECTION('',(0.,0.,1.)); #414887=DIRECTION('center_axis',(0.,0.,1.)); #414888=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #414889=DIRECTION('center_axis',(0.,0.,1.)); #414890=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #414891=DIRECTION('center_axis',(0.,0.,1.)); #414892=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #414893=DIRECTION('',(0.,0.,1.)); #414894=DIRECTION('center_axis',(0.,-1.,0.)); #414895=DIRECTION('ref_axis',(1.,0.,0.)); #414896=DIRECTION('',(1.,0.,0.)); #414897=DIRECTION('',(1.,0.,0.)); #414898=DIRECTION('',(0.,0.,1.)); #414899=DIRECTION('center_axis',(1.,0.,0.)); #414900=DIRECTION('ref_axis',(0.,1.,0.)); #414901=DIRECTION('',(0.,1.,0.)); #414902=DIRECTION('',(0.,1.,0.)); #414903=DIRECTION('',(0.,0.,1.)); #414904=DIRECTION('center_axis',(0.,-1.,0.)); #414905=DIRECTION('ref_axis',(1.,0.,0.)); #414906=DIRECTION('',(1.,0.,0.)); #414907=DIRECTION('',(1.,0.,0.)); #414908=DIRECTION('',(0.,0.,1.)); #414909=DIRECTION('center_axis',(-1.,0.,0.)); #414910=DIRECTION('ref_axis',(0.,-1.,0.)); #414911=DIRECTION('',(0.,-1.,0.)); #414912=DIRECTION('',(0.,-1.,0.)); #414913=DIRECTION('',(0.,0.,1.)); #414914=DIRECTION('center_axis',(0.,1.,0.)); #414915=DIRECTION('ref_axis',(-1.,0.,0.)); #414916=DIRECTION('',(-1.,0.,0.)); #414917=DIRECTION('',(-1.,0.,0.)); #414918=DIRECTION('',(0.,0.,1.)); #414919=DIRECTION('center_axis',(1.,0.,0.)); #414920=DIRECTION('ref_axis',(0.,1.,0.)); #414921=DIRECTION('',(0.,1.,0.)); #414922=DIRECTION('',(0.,1.,0.)); #414923=DIRECTION('',(0.,0.,1.)); #414924=DIRECTION('center_axis',(0.,1.,0.)); #414925=DIRECTION('ref_axis',(-1.,0.,0.)); #414926=DIRECTION('',(-1.,0.,0.)); #414927=DIRECTION('',(-1.,0.,0.)); #414928=DIRECTION('',(0.,0.,1.)); #414929=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #414930=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #414931=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #414932=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #414933=DIRECTION('',(0.,0.,1.)); #414934=DIRECTION('center_axis',(0.,0.,1.)); #414935=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #414936=DIRECTION('center_axis',(0.,0.,1.)); #414937=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #414938=DIRECTION('center_axis',(0.,0.,1.)); #414939=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #414940=DIRECTION('',(0.,0.,1.)); #414941=DIRECTION('center_axis',(0.,1.,0.)); #414942=DIRECTION('ref_axis',(-1.,0.,0.)); #414943=DIRECTION('',(-1.,0.,0.)); #414944=DIRECTION('',(-1.,0.,0.)); #414945=DIRECTION('',(0.,0.,1.)); #414946=DIRECTION('center_axis',(0.,0.,1.)); #414947=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #414948=DIRECTION('center_axis',(0.,0.,1.)); #414949=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #414950=DIRECTION('center_axis',(0.,0.,1.)); #414951=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #414952=DIRECTION('',(0.,0.,1.)); #414953=DIRECTION('center_axis',(-1.,0.,0.)); #414954=DIRECTION('ref_axis',(0.,-1.,0.)); #414955=DIRECTION('',(0.,-1.,0.)); #414956=DIRECTION('',(0.,-1.,0.)); #414957=DIRECTION('',(0.,0.,1.)); #414958=DIRECTION('center_axis',(0.,-1.,0.)); #414959=DIRECTION('ref_axis',(1.,0.,0.)); #414960=DIRECTION('',(1.,0.,0.)); #414961=DIRECTION('',(1.,0.,0.)); #414962=DIRECTION('',(0.,0.,1.)); #414963=DIRECTION('center_axis',(1.,0.,0.)); #414964=DIRECTION('ref_axis',(0.,1.,0.)); #414965=DIRECTION('',(0.,1.,0.)); #414966=DIRECTION('',(0.,1.,0.)); #414967=DIRECTION('',(0.,0.,1.)); #414968=DIRECTION('center_axis',(0.,-1.,0.)); #414969=DIRECTION('ref_axis',(1.,0.,0.)); #414970=DIRECTION('',(1.,0.,0.)); #414971=DIRECTION('',(1.,0.,0.)); #414972=DIRECTION('',(0.,0.,1.)); #414973=DIRECTION('center_axis',(-1.,0.,0.)); #414974=DIRECTION('ref_axis',(0.,-1.,0.)); #414975=DIRECTION('',(0.,-1.,0.)); #414976=DIRECTION('',(0.,-1.,0.)); #414977=DIRECTION('',(0.,0.,1.)); #414978=DIRECTION('center_axis',(0.,1.,0.)); #414979=DIRECTION('ref_axis',(-1.,0.,0.)); #414980=DIRECTION('',(-1.,0.,0.)); #414981=DIRECTION('',(-1.,0.,0.)); #414982=DIRECTION('',(0.,0.,1.)); #414983=DIRECTION('center_axis',(-1.,0.,0.)); #414984=DIRECTION('ref_axis',(0.,-1.,0.)); #414985=DIRECTION('',(0.,-1.,0.)); #414986=DIRECTION('',(0.,-1.,0.)); #414987=DIRECTION('',(0.,0.,1.)); #414988=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #414989=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #414990=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414991=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #414992=DIRECTION('',(0.,0.,1.)); #414993=DIRECTION('center_axis',(0.,-1.,0.)); #414994=DIRECTION('ref_axis',(1.,0.,0.)); #414995=DIRECTION('',(1.,0.,0.)); #414996=DIRECTION('',(1.,0.,0.)); #414997=DIRECTION('',(0.,0.,1.)); #414998=DIRECTION('center_axis',(1.,0.,0.)); #414999=DIRECTION('ref_axis',(0.,1.,0.)); #415000=DIRECTION('',(0.,1.,0.)); #415001=DIRECTION('',(0.,1.,0.)); #415002=DIRECTION('',(0.,0.,1.)); #415003=DIRECTION('center_axis',(0.,-1.,0.)); #415004=DIRECTION('ref_axis',(1.,0.,0.)); #415005=DIRECTION('',(1.,0.,0.)); #415006=DIRECTION('',(1.,0.,0.)); #415007=DIRECTION('',(0.,0.,1.)); #415008=DIRECTION('center_axis',(-1.,0.,0.)); #415009=DIRECTION('ref_axis',(0.,-1.,0.)); #415010=DIRECTION('',(0.,-1.,0.)); #415011=DIRECTION('',(0.,-1.,0.)); #415012=DIRECTION('',(0.,0.,1.)); #415013=DIRECTION('center_axis',(0.,1.,0.)); #415014=DIRECTION('ref_axis',(-1.,0.,0.)); #415015=DIRECTION('',(-1.,0.,0.)); #415016=DIRECTION('',(-1.,0.,0.)); #415017=DIRECTION('',(0.,0.,1.)); #415018=DIRECTION('center_axis',(1.,0.,0.)); #415019=DIRECTION('ref_axis',(0.,1.,0.)); #415020=DIRECTION('',(0.,1.,0.)); #415021=DIRECTION('',(0.,1.,0.)); #415022=DIRECTION('',(0.,0.,1.)); #415023=DIRECTION('center_axis',(0.,1.,0.)); #415024=DIRECTION('ref_axis',(-1.,0.,0.)); #415025=DIRECTION('',(-1.,0.,0.)); #415026=DIRECTION('',(-1.,0.,0.)); #415027=DIRECTION('',(0.,0.,1.)); #415028=DIRECTION('center_axis',(0.,0.,1.)); #415029=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #415030=DIRECTION('center_axis',(0.,0.,1.)); #415031=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #415032=DIRECTION('center_axis',(0.,0.,1.)); #415033=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #415034=DIRECTION('',(0.,0.,1.)); #415035=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415036=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415037=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #415038=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #415039=DIRECTION('',(0.,0.,1.)); #415040=DIRECTION('center_axis',(0.,0.,1.)); #415041=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #415042=DIRECTION('center_axis',(0.,0.,1.)); #415043=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #415044=DIRECTION('center_axis',(0.,0.,1.)); #415045=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #415046=DIRECTION('',(0.,0.,1.)); #415047=DIRECTION('center_axis',(1.,0.,0.)); #415048=DIRECTION('ref_axis',(0.,1.,0.)); #415049=DIRECTION('',(0.,1.,0.)); #415050=DIRECTION('',(0.,1.,0.)); #415051=DIRECTION('',(0.,0.,1.)); #415052=DIRECTION('center_axis',(0.,1.,0.)); #415053=DIRECTION('ref_axis',(-1.,0.,0.)); #415054=DIRECTION('',(-1.,0.,0.)); #415055=DIRECTION('',(-1.,0.,0.)); #415056=DIRECTION('',(0.,0.,1.)); #415057=DIRECTION('center_axis',(1.,0.,0.)); #415058=DIRECTION('ref_axis',(0.,1.,0.)); #415059=DIRECTION('',(0.,1.,0.)); #415060=DIRECTION('',(0.,1.,0.)); #415061=DIRECTION('',(0.,0.,1.)); #415062=DIRECTION('center_axis',(0.,1.,0.)); #415063=DIRECTION('ref_axis',(-1.,0.,0.)); #415064=DIRECTION('',(-1.,0.,0.)); #415065=DIRECTION('',(-1.,0.,0.)); #415066=DIRECTION('',(0.,0.,1.)); #415067=DIRECTION('center_axis',(-1.,0.,0.)); #415068=DIRECTION('ref_axis',(0.,-1.,0.)); #415069=DIRECTION('',(0.,-1.,0.)); #415070=DIRECTION('',(0.,-1.,0.)); #415071=DIRECTION('',(0.,0.,1.)); #415072=DIRECTION('center_axis',(-0.981552099144156,0.191194865688648,0.)); #415073=DIRECTION('ref_axis',(-0.191194865688648,-0.981552099144156,0.)); #415074=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #415075=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #415076=DIRECTION('',(0.,0.,1.)); #415077=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #415078=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #415079=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #415080=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #415081=DIRECTION('',(0.,0.,1.)); #415082=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415083=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415084=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415085=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415086=DIRECTION('',(0.,0.,1.)); #415087=DIRECTION('center_axis',(-0.558856232804877,0.829264560351605,0.)); #415088=DIRECTION('ref_axis',(-0.829264560351605,-0.558856232804877,0.)); #415089=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #415090=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #415091=DIRECTION('',(0.,0.,1.)); #415092=DIRECTION('center_axis',(-0.199010641789447,0.979997328799703,0.)); #415093=DIRECTION('ref_axis',(-0.979997328799703,-0.199010641789447,0.)); #415094=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #415095=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #415096=DIRECTION('',(0.,0.,1.)); #415097=DIRECTION('center_axis',(0.,1.,0.)); #415098=DIRECTION('ref_axis',(-1.,0.,0.)); #415099=DIRECTION('',(-1.,0.,0.)); #415100=DIRECTION('',(-1.,0.,0.)); #415101=DIRECTION('',(0.,0.,1.)); #415102=DIRECTION('center_axis',(-0.140944937191828,0.990017436553514,0.)); #415103=DIRECTION('ref_axis',(-0.990017436553514,-0.140944937191828,0.)); #415104=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #415105=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #415106=DIRECTION('',(0.,0.,1.)); #415107=DIRECTION('center_axis',(-0.613211584810861,0.7899186997747,0.)); #415108=DIRECTION('ref_axis',(-0.7899186997747,-0.613211584810861,0.)); #415109=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #415110=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #415111=DIRECTION('',(0.,0.,1.)); #415112=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415113=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415114=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415115=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415116=DIRECTION('',(0.,0.,1.)); #415117=DIRECTION('center_axis',(-0.799763100783004,0.600315735780726,0.)); #415118=DIRECTION('ref_axis',(-0.600315735780726,-0.799763100783004,0.)); #415119=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #415120=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #415121=DIRECTION('',(0.,0.,1.)); #415122=DIRECTION('center_axis',(-0.99212134539019,0.125280629073933,0.)); #415123=DIRECTION('ref_axis',(-0.125280629073933,-0.99212134539019,0.)); #415124=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #415125=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #415126=DIRECTION('',(0.,0.,1.)); #415127=DIRECTION('center_axis',(-1.,0.,0.)); #415128=DIRECTION('ref_axis',(0.,-1.,0.)); #415129=DIRECTION('',(0.,-1.,0.)); #415130=DIRECTION('',(0.,-1.,0.)); #415131=DIRECTION('',(0.,0.,1.)); #415132=DIRECTION('center_axis',(-0.98305039565761,0.183335538282724,0.)); #415133=DIRECTION('ref_axis',(-0.183335538282724,-0.98305039565761,0.)); #415134=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #415135=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #415136=DIRECTION('',(0.,0.,1.)); #415137=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #415138=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #415139=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #415140=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #415141=DIRECTION('',(0.,0.,1.)); #415142=DIRECTION('center_axis',(-0.565473758099692,0.82476628744185,0.)); #415143=DIRECTION('ref_axis',(-0.82476628744185,-0.565473758099692,0.)); #415144=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #415145=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #415146=DIRECTION('',(0.,0.,1.)); #415147=DIRECTION('center_axis',(-0.19901492196467,0.979996459603501,0.)); #415148=DIRECTION('ref_axis',(-0.979996459603501,-0.19901492196467,0.)); #415149=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #415150=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #415151=DIRECTION('',(0.,0.,1.)); #415152=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #415153=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #415154=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #415155=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #415156=DIRECTION('',(0.,0.,1.)); #415157=DIRECTION('center_axis',(-1.,0.,0.)); #415158=DIRECTION('ref_axis',(0.,-1.,0.)); #415159=DIRECTION('',(0.,-1.,0.)); #415160=DIRECTION('',(0.,-1.,0.)); #415161=DIRECTION('',(0.,0.,1.)); #415162=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #415163=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #415164=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #415165=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #415166=DIRECTION('',(0.,0.,1.)); #415167=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #415168=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #415169=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #415170=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #415171=DIRECTION('',(0.,0.,1.)); #415172=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #415173=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #415174=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #415175=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #415176=DIRECTION('',(0.,0.,1.)); #415177=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #415178=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #415179=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #415180=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #415181=DIRECTION('',(0.,0.,1.)); #415182=DIRECTION('center_axis',(-0.199006783325569,0.979998112340228,0.)); #415183=DIRECTION('ref_axis',(-0.979998112340228,-0.199006783325569,0.)); #415184=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #415185=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #415186=DIRECTION('',(0.,0.,1.)); #415187=DIRECTION('center_axis',(0.,1.,0.)); #415188=DIRECTION('ref_axis',(-1.,0.,0.)); #415189=DIRECTION('',(-1.,0.,0.)); #415190=DIRECTION('',(-1.,0.,0.)); #415191=DIRECTION('',(0.,0.,1.)); #415192=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415193=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415194=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415195=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415196=DIRECTION('',(0.,0.,1.)); #415197=DIRECTION('center_axis',(-1.,0.,0.)); #415198=DIRECTION('ref_axis',(0.,-1.,0.)); #415199=DIRECTION('',(0.,-1.,0.)); #415200=DIRECTION('',(0.,-1.,0.)); #415201=DIRECTION('',(0.,0.,1.)); #415202=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #415203=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #415204=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #415205=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #415206=DIRECTION('',(0.,0.,1.)); #415207=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #415208=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #415209=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #415210=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #415211=DIRECTION('',(0.,0.,1.)); #415212=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415213=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415214=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415215=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415216=DIRECTION('',(0.,0.,1.)); #415217=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #415218=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #415219=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #415220=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #415221=DIRECTION('',(0.,0.,1.)); #415222=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #415223=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #415224=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #415225=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #415226=DIRECTION('',(0.,0.,1.)); #415227=DIRECTION('center_axis',(0.,1.,0.)); #415228=DIRECTION('ref_axis',(-1.,0.,0.)); #415229=DIRECTION('',(-1.,0.,0.)); #415230=DIRECTION('',(-1.,0.,0.)); #415231=DIRECTION('',(0.,0.,1.)); #415232=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #415233=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #415234=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #415235=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #415236=DIRECTION('',(0.,0.,1.)); #415237=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #415238=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #415239=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #415240=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #415241=DIRECTION('',(0.,0.,1.)); #415242=DIRECTION('center_axis',(0.824766287441809,0.565473758099751,0.)); #415243=DIRECTION('ref_axis',(-0.565473758099751,0.824766287441808,0.)); #415244=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #415245=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #415246=DIRECTION('',(0.,0.,1.)); #415247=DIRECTION('center_axis',(0.979997328799744,0.199010641789244,0.)); #415248=DIRECTION('ref_axis',(-0.199010641789244,0.979997328799744,0.)); #415249=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #415250=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #415251=DIRECTION('',(0.,0.,1.)); #415252=DIRECTION('center_axis',(1.,0.,0.)); #415253=DIRECTION('ref_axis',(0.,1.,0.)); #415254=DIRECTION('',(0.,1.,0.)); #415255=DIRECTION('',(0.,1.,0.)); #415256=DIRECTION('',(0.,0.,1.)); #415257=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #415258=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #415259=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #415260=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #415261=DIRECTION('',(0.,0.,1.)); #415262=DIRECTION('center_axis',(0.,1.,0.)); #415263=DIRECTION('ref_axis',(-1.,0.,0.)); #415264=DIRECTION('',(-1.,0.,0.)); #415265=DIRECTION('',(-1.,0.,0.)); #415266=DIRECTION('',(0.,0.,1.)); #415267=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415268=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415269=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415270=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415271=DIRECTION('',(0.,0.,1.)); #415272=DIRECTION('center_axis',(-1.,0.,0.)); #415273=DIRECTION('ref_axis',(0.,-1.,0.)); #415274=DIRECTION('',(0.,-1.,0.)); #415275=DIRECTION('',(0.,-1.,0.)); #415276=DIRECTION('',(0.,0.,1.)); #415277=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #415278=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #415279=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #415280=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #415281=DIRECTION('',(0.,0.,1.)); #415282=DIRECTION('center_axis',(-0.842360495470205,0.538914460439865,0.)); #415283=DIRECTION('ref_axis',(-0.538914460439865,-0.842360495470205,0.)); #415284=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #415285=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #415286=DIRECTION('',(0.,0.,1.)); #415287=DIRECTION('center_axis',(-0.565473758099751,0.824766287441809,0.)); #415288=DIRECTION('ref_axis',(-0.824766287441808,-0.565473758099751,0.)); #415289=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #415290=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #415291=DIRECTION('',(0.,0.,1.)); #415292=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #415293=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #415294=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415295=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415296=DIRECTION('',(0.,0.,1.)); #415297=DIRECTION('center_axis',(0.,1.,0.)); #415298=DIRECTION('ref_axis',(-1.,0.,0.)); #415299=DIRECTION('',(-1.,0.,0.)); #415300=DIRECTION('',(-1.,0.,0.)); #415301=DIRECTION('',(0.,0.,1.)); #415302=DIRECTION('center_axis',(0.216895865380999,0.976194746749145,0.)); #415303=DIRECTION('ref_axis',(-0.976194746749145,0.216895865380999,0.)); #415304=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #415305=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #415306=DIRECTION('',(0.,0.,1.)); #415307=DIRECTION('center_axis',(0.595320754033768,0.803488145411409,0.)); #415308=DIRECTION('ref_axis',(-0.803488145411409,0.595320754033768,0.)); #415309=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #415310=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #415311=DIRECTION('',(0.,0.,1.)); #415312=DIRECTION('center_axis',(0.743294562183035,0.668964269471196,0.)); #415313=DIRECTION('ref_axis',(-0.668964269471196,0.743294562183034,0.)); #415314=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #415315=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #415316=DIRECTION('',(0.,0.,1.)); #415317=DIRECTION('center_axis',(0.843652661993583,0.536889360959166,0.)); #415318=DIRECTION('ref_axis',(-0.536889360959166,0.843652661993583,0.)); #415319=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #415320=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #415321=DIRECTION('',(0.,0.,1.)); #415322=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #415323=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #415324=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #415325=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #415326=DIRECTION('',(0.,0.,1.)); #415327=DIRECTION('center_axis',(1.,0.,0.)); #415328=DIRECTION('ref_axis',(0.,1.,0.)); #415329=DIRECTION('',(0.,1.,0.)); #415330=DIRECTION('',(0.,1.,0.)); #415331=DIRECTION('',(0.,0.,1.)); #415332=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #415333=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #415334=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #415335=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #415336=DIRECTION('',(0.,0.,1.)); #415337=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #415338=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #415339=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #415340=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #415341=DIRECTION('',(0.,0.,1.)); #415342=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #415343=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #415344=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #415345=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #415346=DIRECTION('',(0.,0.,1.)); #415347=DIRECTION('center_axis',(0.79976310078318,-0.600315735780492,0.)); #415348=DIRECTION('ref_axis',(0.600315735780492,0.79976310078318,0.)); #415349=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #415350=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #415351=DIRECTION('',(0.,0.,1.)); #415352=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #415353=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #415354=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #415355=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #415356=DIRECTION('',(0.,0.,1.)); #415357=DIRECTION('center_axis',(1.,0.,0.)); #415358=DIRECTION('ref_axis',(0.,1.,0.)); #415359=DIRECTION('',(0.,1.,0.)); #415360=DIRECTION('',(0.,1.,0.)); #415361=DIRECTION('',(0.,0.,1.)); #415362=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #415363=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #415364=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #415365=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #415366=DIRECTION('',(0.,0.,1.)); #415367=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #415368=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #415369=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #415370=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #415371=DIRECTION('',(0.,0.,1.)); #415372=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #415373=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #415374=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #415375=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #415376=DIRECTION('',(0.,0.,1.)); #415377=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #415378=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #415379=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #415380=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #415381=DIRECTION('center_axis',(0.,0.,1.)); #415382=DIRECTION('ref_axis',(1.,0.,0.)); #415383=DIRECTION('center_axis',(0.,0.,1.)); #415384=DIRECTION('ref_axis',(1.,0.,0.)); #415385=DIRECTION('center_axis',(0.,0.,1.)); #415386=DIRECTION('ref_axis',(1.,0.,0.)); #415387=DIRECTION('center_axis',(0.,0.,1.)); #415388=DIRECTION('ref_axis',(1.,0.,0.)); #415389=DIRECTION('',(0.,0.,1.)); #415390=DIRECTION('center_axis',(0.,0.,-1.)); #415391=DIRECTION('ref_axis',(1.,0.,0.)); #415392=DIRECTION('center_axis',(0.,0.,1.)); #415393=DIRECTION('ref_axis',(1.,0.,0.)); #415394=DIRECTION('center_axis',(0.,0.,1.)); #415395=DIRECTION('ref_axis',(1.,0.,0.)); #415396=DIRECTION('',(0.,0.,1.)); #415397=DIRECTION('center_axis',(0.,0.,-1.)); #415398=DIRECTION('ref_axis',(1.,0.,0.)); #415399=DIRECTION('center_axis',(0.,0.,1.)); #415400=DIRECTION('ref_axis',(1.,0.,0.)); #415401=DIRECTION('center_axis',(0.,0.,1.)); #415402=DIRECTION('ref_axis',(1.,0.,0.)); #415403=DIRECTION('',(0.,0.,1.)); #415404=DIRECTION('center_axis',(0.,0.,-1.)); #415405=DIRECTION('ref_axis',(1.,0.,0.)); #415406=DIRECTION('center_axis',(0.,0.,1.)); #415407=DIRECTION('ref_axis',(1.,0.,0.)); #415408=DIRECTION('center_axis',(0.,0.,1.)); #415409=DIRECTION('ref_axis',(1.,0.,0.)); #415410=DIRECTION('',(0.,0.,1.)); #415411=DIRECTION('center_axis',(0.,0.,-1.)); #415412=DIRECTION('ref_axis',(1.,0.,0.)); #415413=DIRECTION('center_axis',(0.,0.,1.)); #415414=DIRECTION('ref_axis',(1.,0.,0.)); #415415=DIRECTION('center_axis',(0.,0.,1.)); #415416=DIRECTION('ref_axis',(1.,0.,0.)); #415417=DIRECTION('',(0.,0.,1.)); #415418=DIRECTION('center_axis',(0.,0.,-1.)); #415419=DIRECTION('ref_axis',(1.,0.,0.)); #415420=DIRECTION('center_axis',(0.,0.,1.)); #415421=DIRECTION('ref_axis',(1.,0.,0.)); #415422=DIRECTION('center_axis',(0.,0.,1.)); #415423=DIRECTION('ref_axis',(1.,0.,0.)); #415424=DIRECTION('',(0.,0.,1.)); #415425=DIRECTION('center_axis',(0.,0.,-1.)); #415426=DIRECTION('ref_axis',(1.,0.,0.)); #415427=DIRECTION('center_axis',(0.,0.,1.)); #415428=DIRECTION('ref_axis',(1.,0.,0.)); #415429=DIRECTION('center_axis',(0.,0.,1.)); #415430=DIRECTION('ref_axis',(1.,0.,0.)); #415431=DIRECTION('',(0.,0.,1.)); #415432=DIRECTION('center_axis',(0.,0.,-1.)); #415433=DIRECTION('ref_axis',(1.,0.,0.)); #415434=DIRECTION('center_axis',(0.,0.,1.)); #415435=DIRECTION('ref_axis',(1.,0.,0.)); #415436=DIRECTION('center_axis',(0.,0.,1.)); #415437=DIRECTION('ref_axis',(1.,0.,0.)); #415438=DIRECTION('',(0.,0.,1.)); #415439=DIRECTION('center_axis',(0.,0.,-1.)); #415440=DIRECTION('ref_axis',(1.,0.,0.)); #415441=DIRECTION('center_axis',(0.,0.,1.)); #415442=DIRECTION('ref_axis',(1.,0.,0.)); #415443=DIRECTION('center_axis',(0.,0.,1.)); #415444=DIRECTION('ref_axis',(1.,0.,0.)); #415445=DIRECTION('',(0.,0.,1.)); #415446=DIRECTION('center_axis',(0.,0.,-1.)); #415447=DIRECTION('ref_axis',(1.,0.,0.)); #415448=DIRECTION('center_axis',(0.,0.,1.)); #415449=DIRECTION('ref_axis',(1.,0.,0.)); #415450=DIRECTION('center_axis',(0.,0.,1.)); #415451=DIRECTION('ref_axis',(1.,0.,0.)); #415452=DIRECTION('',(0.,0.,1.)); #415453=DIRECTION('center_axis',(0.,0.,-1.)); #415454=DIRECTION('ref_axis',(1.,0.,0.)); #415455=DIRECTION('center_axis',(0.,0.,1.)); #415456=DIRECTION('ref_axis',(1.,0.,0.)); #415457=DIRECTION('center_axis',(0.,0.,1.)); #415458=DIRECTION('ref_axis',(1.,0.,0.)); #415459=DIRECTION('',(0.,0.,1.)); #415460=DIRECTION('center_axis',(0.,0.,-1.)); #415461=DIRECTION('ref_axis',(1.,0.,0.)); #415462=DIRECTION('center_axis',(0.,0.,1.)); #415463=DIRECTION('ref_axis',(1.,0.,0.)); #415464=DIRECTION('center_axis',(0.,0.,1.)); #415465=DIRECTION('ref_axis',(1.,0.,0.)); #415466=DIRECTION('',(0.,0.,1.)); #415467=DIRECTION('center_axis',(0.,0.,-1.)); #415468=DIRECTION('ref_axis',(1.,0.,0.)); #415469=DIRECTION('center_axis',(0.,0.,1.)); #415470=DIRECTION('ref_axis',(1.,0.,0.)); #415471=DIRECTION('center_axis',(0.,0.,1.)); #415472=DIRECTION('ref_axis',(1.,0.,0.)); #415473=DIRECTION('',(0.,0.,1.)); #415474=DIRECTION('center_axis',(0.,0.,-1.)); #415475=DIRECTION('ref_axis',(1.,0.,0.)); #415476=DIRECTION('center_axis',(0.,0.,1.)); #415477=DIRECTION('ref_axis',(1.,0.,0.)); #415478=DIRECTION('center_axis',(0.,0.,1.)); #415479=DIRECTION('ref_axis',(1.,0.,0.)); #415480=DIRECTION('',(0.,0.,1.)); #415481=DIRECTION('center_axis',(0.,0.,-1.)); #415482=DIRECTION('ref_axis',(1.,0.,0.)); #415483=DIRECTION('center_axis',(0.,0.,1.)); #415484=DIRECTION('ref_axis',(1.,0.,0.)); #415485=DIRECTION('center_axis',(0.,0.,1.)); #415486=DIRECTION('ref_axis',(1.,0.,0.)); #415487=DIRECTION('',(0.,0.,1.)); #415488=DIRECTION('center_axis',(0.,0.,-1.)); #415489=DIRECTION('ref_axis',(1.,0.,0.)); #415490=DIRECTION('center_axis',(0.,0.,1.)); #415491=DIRECTION('ref_axis',(1.,0.,0.)); #415492=DIRECTION('center_axis',(0.,0.,1.)); #415493=DIRECTION('ref_axis',(1.,0.,0.)); #415494=DIRECTION('',(0.,0.,1.)); #415495=DIRECTION('center_axis',(0.,0.,-1.)); #415496=DIRECTION('ref_axis',(1.,0.,0.)); #415497=DIRECTION('center_axis',(0.,0.,1.)); #415498=DIRECTION('ref_axis',(1.,0.,0.)); #415499=DIRECTION('center_axis',(0.,0.,1.)); #415500=DIRECTION('ref_axis',(1.,0.,0.)); #415501=DIRECTION('',(0.,0.,1.)); #415502=DIRECTION('center_axis',(0.,0.,-1.)); #415503=DIRECTION('ref_axis',(1.,0.,0.)); #415504=DIRECTION('center_axis',(0.,0.,1.)); #415505=DIRECTION('ref_axis',(1.,0.,0.)); #415506=DIRECTION('center_axis',(0.,0.,1.)); #415507=DIRECTION('ref_axis',(1.,0.,0.)); #415508=DIRECTION('',(0.,0.,1.)); #415509=DIRECTION('center_axis',(0.,0.,-1.)); #415510=DIRECTION('ref_axis',(1.,0.,0.)); #415511=DIRECTION('center_axis',(0.,0.,1.)); #415512=DIRECTION('ref_axis',(1.,0.,0.)); #415513=DIRECTION('center_axis',(0.,0.,1.)); #415514=DIRECTION('ref_axis',(1.,0.,0.)); #415515=DIRECTION('',(0.,0.,1.)); #415516=DIRECTION('center_axis',(0.,0.,-1.)); #415517=DIRECTION('ref_axis',(1.,0.,0.)); #415518=DIRECTION('center_axis',(0.,0.,1.)); #415519=DIRECTION('ref_axis',(1.,0.,0.)); #415520=DIRECTION('center_axis',(0.,0.,1.)); #415521=DIRECTION('ref_axis',(1.,0.,0.)); #415522=DIRECTION('',(0.,0.,1.)); #415523=DIRECTION('center_axis',(0.,0.,-1.)); #415524=DIRECTION('ref_axis',(1.,0.,0.)); #415525=DIRECTION('center_axis',(0.,0.,1.)); #415526=DIRECTION('ref_axis',(1.,0.,0.)); #415527=DIRECTION('center_axis',(0.,0.,1.)); #415528=DIRECTION('ref_axis',(1.,0.,0.)); #415529=DIRECTION('',(0.,0.,1.)); #415530=DIRECTION('center_axis',(0.,0.,-1.)); #415531=DIRECTION('ref_axis',(1.,0.,0.)); #415532=DIRECTION('center_axis',(0.,0.,1.)); #415533=DIRECTION('ref_axis',(1.,0.,0.)); #415534=DIRECTION('center_axis',(0.,0.,1.)); #415535=DIRECTION('ref_axis',(1.,0.,0.)); #415536=DIRECTION('',(0.,0.,1.)); #415537=DIRECTION('center_axis',(0.,0.,-1.)); #415538=DIRECTION('ref_axis',(1.,0.,0.)); #415539=DIRECTION('center_axis',(0.,0.,1.)); #415540=DIRECTION('ref_axis',(1.,0.,0.)); #415541=DIRECTION('center_axis',(0.,0.,1.)); #415542=DIRECTION('ref_axis',(1.,0.,0.)); #415543=DIRECTION('',(0.,0.,1.)); #415544=DIRECTION('center_axis',(0.,0.,-1.)); #415545=DIRECTION('ref_axis',(1.,0.,0.)); #415546=DIRECTION('center_axis',(0.,0.,1.)); #415547=DIRECTION('ref_axis',(1.,0.,0.)); #415548=DIRECTION('center_axis',(0.,0.,1.)); #415549=DIRECTION('ref_axis',(1.,0.,0.)); #415550=DIRECTION('',(0.,0.,1.)); #415551=DIRECTION('center_axis',(0.,0.,-1.)); #415552=DIRECTION('ref_axis',(1.,0.,0.)); #415553=DIRECTION('center_axis',(0.,0.,1.)); #415554=DIRECTION('ref_axis',(1.,0.,0.)); #415555=DIRECTION('center_axis',(0.,0.,1.)); #415556=DIRECTION('ref_axis',(1.,0.,0.)); #415557=DIRECTION('',(0.,0.,1.)); #415558=DIRECTION('center_axis',(0.,0.,-1.)); #415559=DIRECTION('ref_axis',(1.,0.,0.)); #415560=DIRECTION('center_axis',(0.,0.,1.)); #415561=DIRECTION('ref_axis',(1.,0.,0.)); #415562=DIRECTION('center_axis',(0.,0.,1.)); #415563=DIRECTION('ref_axis',(1.,0.,0.)); #415564=DIRECTION('',(0.,0.,1.)); #415565=DIRECTION('center_axis',(0.,0.,-1.)); #415566=DIRECTION('ref_axis',(1.,0.,0.)); #415567=DIRECTION('center_axis',(0.,0.,1.)); #415568=DIRECTION('ref_axis',(1.,0.,0.)); #415569=DIRECTION('center_axis',(0.,0.,1.)); #415570=DIRECTION('ref_axis',(1.,0.,0.)); #415571=DIRECTION('',(0.,0.,1.)); #415572=DIRECTION('center_axis',(0.,0.,-1.)); #415573=DIRECTION('ref_axis',(1.,0.,0.)); #415574=DIRECTION('center_axis',(0.,0.,1.)); #415575=DIRECTION('ref_axis',(1.,0.,0.)); #415576=DIRECTION('center_axis',(0.,0.,1.)); #415577=DIRECTION('ref_axis',(1.,0.,0.)); #415578=DIRECTION('',(0.,0.,1.)); #415579=DIRECTION('center_axis',(0.,0.,-1.)); #415580=DIRECTION('ref_axis',(1.,0.,0.)); #415581=DIRECTION('center_axis',(0.,0.,1.)); #415582=DIRECTION('ref_axis',(1.,0.,0.)); #415583=DIRECTION('center_axis',(0.,0.,1.)); #415584=DIRECTION('ref_axis',(1.,0.,0.)); #415585=DIRECTION('',(0.,0.,1.)); #415586=DIRECTION('center_axis',(0.,0.,-1.)); #415587=DIRECTION('ref_axis',(1.,0.,0.)); #415588=DIRECTION('center_axis',(0.,0.,1.)); #415589=DIRECTION('ref_axis',(1.,0.,0.)); #415590=DIRECTION('center_axis',(0.,0.,1.)); #415591=DIRECTION('ref_axis',(1.,0.,0.)); #415592=DIRECTION('',(0.,0.,1.)); #415593=DIRECTION('center_axis',(0.,0.,-1.)); #415594=DIRECTION('ref_axis',(1.,0.,0.)); #415595=DIRECTION('center_axis',(0.,0.,1.)); #415596=DIRECTION('ref_axis',(1.,0.,0.)); #415597=DIRECTION('center_axis',(0.,0.,1.)); #415598=DIRECTION('ref_axis',(1.,0.,0.)); #415599=DIRECTION('',(0.,0.,1.)); #415600=DIRECTION('center_axis',(0.,0.,-1.)); #415601=DIRECTION('ref_axis',(1.,0.,0.)); #415602=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #415603=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #415604=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #415605=DIRECTION('',(0.,0.,1.)); #415606=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #415607=DIRECTION('',(0.,0.,1.)); #415608=DIRECTION('center_axis',(0.,0.,1.)); #415609=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #415610=DIRECTION('center_axis',(0.,0.,1.)); #415611=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #415612=DIRECTION('center_axis',(0.,0.,1.)); #415613=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #415614=DIRECTION('',(0.,0.,1.)); #415615=DIRECTION('center_axis',(-1.,0.,0.)); #415616=DIRECTION('ref_axis',(0.,-1.,0.)); #415617=DIRECTION('',(0.,-1.,0.)); #415618=DIRECTION('',(0.,-1.,0.)); #415619=DIRECTION('',(0.,0.,1.)); #415620=DIRECTION('center_axis',(0.,0.,1.)); #415621=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #415622=DIRECTION('center_axis',(0.,0.,1.)); #415623=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #415624=DIRECTION('center_axis',(0.,0.,1.)); #415625=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #415626=DIRECTION('',(0.,0.,1.)); #415627=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415628=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415629=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415630=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415631=DIRECTION('',(0.,0.,1.)); #415632=DIRECTION('center_axis',(0.,0.,1.)); #415633=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #415634=DIRECTION('center_axis',(0.,0.,1.)); #415635=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #415636=DIRECTION('center_axis',(0.,0.,1.)); #415637=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #415638=DIRECTION('',(0.,0.,1.)); #415639=DIRECTION('center_axis',(0.,1.,0.)); #415640=DIRECTION('ref_axis',(-1.,0.,0.)); #415641=DIRECTION('',(-1.,0.,0.)); #415642=DIRECTION('',(-1.,0.,0.)); #415643=DIRECTION('',(0.,0.,1.)); #415644=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415645=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415646=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415647=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415648=DIRECTION('',(0.,0.,1.)); #415649=DIRECTION('center_axis',(-1.,0.,0.)); #415650=DIRECTION('ref_axis',(0.,-1.,0.)); #415651=DIRECTION('',(0.,-1.,0.)); #415652=DIRECTION('',(0.,-1.,0.)); #415653=DIRECTION('',(0.,0.,1.)); #415654=DIRECTION('center_axis',(-0.183379387167296,-0.983042216978573, 0.)); #415655=DIRECTION('ref_axis',(0.983042216978573,-0.183379387167296,0.)); #415656=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #415657=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #415658=DIRECTION('',(0.,0.,1.)); #415659=DIRECTION('center_axis',(-0.552204950198475,-0.833708398048322, 0.)); #415660=DIRECTION('ref_axis',(0.833708398048322,-0.552204950198475,0.)); #415661=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #415662=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #415663=DIRECTION('',(0.,0.,1.)); #415664=DIRECTION('center_axis',(-0.70710678118667,-0.707106781186425,0.)); #415665=DIRECTION('ref_axis',(0.707106781186425,-0.70710678118667,0.)); #415666=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #415667=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #415668=DIRECTION('',(0.,0.,1.)); #415669=DIRECTION('center_axis',(0.,-1.,0.)); #415670=DIRECTION('ref_axis',(1.,0.,0.)); #415671=DIRECTION('',(1.,0.,0.)); #415672=DIRECTION('',(1.,0.,0.)); #415673=DIRECTION('',(0.,0.,1.)); #415674=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #415675=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #415676=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #415677=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #415678=DIRECTION('',(0.,0.,1.)); #415679=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #415680=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #415681=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #415682=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #415683=DIRECTION('',(0.,0.,1.)); #415684=DIRECTION('center_axis',(-0.707105793340283,-0.707107769031432, 0.)); #415685=DIRECTION('ref_axis',(0.707107769031432,-0.707105793340283,0.)); #415686=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #415687=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #415688=DIRECTION('',(0.,0.,1.)); #415689=DIRECTION('center_axis',(0.,-1.,0.)); #415690=DIRECTION('ref_axis',(1.,0.,0.)); #415691=DIRECTION('',(1.,0.,0.)); #415692=DIRECTION('',(1.,0.,0.)); #415693=DIRECTION('',(0.,0.,1.)); #415694=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #415695=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #415696=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #415697=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #415698=DIRECTION('',(0.,0.,1.)); #415699=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #415700=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #415701=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #415702=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #415703=DIRECTION('',(0.,0.,1.)); #415704=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #415705=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #415706=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #415707=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #415708=DIRECTION('',(0.,0.,1.)); #415709=DIRECTION('center_axis',(-0.829258128536049,-0.55886577660194,0.)); #415710=DIRECTION('ref_axis',(0.55886577660194,-0.829258128536049,0.)); #415711=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #415712=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #415713=DIRECTION('',(0.,0.,1.)); #415714=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #415715=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #415716=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #415717=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #415718=DIRECTION('',(0.,0.,1.)); #415719=DIRECTION('center_axis',(-1.,0.,0.)); #415720=DIRECTION('ref_axis',(0.,-1.,0.)); #415721=DIRECTION('',(0.,-1.,0.)); #415722=DIRECTION('',(0.,-1.,0.)); #415723=DIRECTION('',(0.,0.,1.)); #415724=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #415725=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #415726=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #415727=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #415728=DIRECTION('',(0.,0.,1.)); #415729=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #415730=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #415731=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #415732=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #415733=DIRECTION('',(0.,0.,1.)); #415734=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415735=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415736=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415737=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #415738=DIRECTION('',(0.,0.,1.)); #415739=DIRECTION('center_axis',(-0.558865776601981,0.829258128536022,0.)); #415740=DIRECTION('ref_axis',(-0.829258128536021,-0.558865776601981,0.)); #415741=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #415742=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #415743=DIRECTION('',(0.,0.,1.)); #415744=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #415745=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #415746=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #415747=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #415748=DIRECTION('',(0.,0.,1.)); #415749=DIRECTION('center_axis',(0.,1.,0.)); #415750=DIRECTION('ref_axis',(-1.,0.,0.)); #415751=DIRECTION('',(-1.,0.,0.)); #415752=DIRECTION('',(-1.,0.,0.)); #415753=DIRECTION('',(0.,0.,1.)); #415754=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #415755=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #415756=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #415757=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #415758=DIRECTION('',(0.,0.,1.)); #415759=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #415760=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #415761=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #415762=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #415763=DIRECTION('',(0.,0.,1.)); #415764=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415765=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415766=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #415767=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #415768=DIRECTION('',(0.,0.,1.)); #415769=DIRECTION('center_axis',(0.,1.,0.)); #415770=DIRECTION('ref_axis',(-1.,0.,0.)); #415771=DIRECTION('',(-1.,0.,0.)); #415772=DIRECTION('',(-1.,0.,0.)); #415773=DIRECTION('',(0.,0.,1.)); #415774=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415775=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415776=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415777=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415778=DIRECTION('',(0.,0.,1.)); #415779=DIRECTION('center_axis',(-1.,0.,0.)); #415780=DIRECTION('ref_axis',(0.,-1.,0.)); #415781=DIRECTION('',(0.,-1.,0.)); #415782=DIRECTION('',(0.,-1.,0.)); #415783=DIRECTION('',(0.,0.,1.)); #415784=DIRECTION('center_axis',(-0.967598887509711,0.252492362042832,0.)); #415785=DIRECTION('ref_axis',(-0.252492362042832,-0.967598887509711,0.)); #415786=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #415787=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #415788=DIRECTION('',(0.,0.,1.)); #415789=DIRECTION('center_axis',(-0.757857751583445,0.652419825238998,0.)); #415790=DIRECTION('ref_axis',(-0.652419825238998,-0.757857751583445,0.)); #415791=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #415792=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #415793=DIRECTION('',(0.,0.,1.)); #415794=DIRECTION('center_axis',(-0.706504732320894,-0.707708317888226, 0.)); #415795=DIRECTION('ref_axis',(0.707708317888226,-0.706504732320894,0.)); #415796=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #415797=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #415798=DIRECTION('',(0.,0.,1.)); #415799=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #415800=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #415801=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #415802=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #415803=DIRECTION('',(0.,0.,1.)); #415804=DIRECTION('center_axis',(-0.558856232805025,0.829264560351506,0.)); #415805=DIRECTION('ref_axis',(-0.829264560351506,-0.558856232805025,0.)); #415806=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #415807=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #415808=DIRECTION('',(0.,0.,1.)); #415809=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #415810=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #415811=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415812=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415813=DIRECTION('',(0.,0.,1.)); #415814=DIRECTION('center_axis',(0.,1.,0.)); #415815=DIRECTION('ref_axis',(-1.,0.,0.)); #415816=DIRECTION('',(-1.,0.,0.)); #415817=DIRECTION('',(-1.,0.,0.)); #415818=DIRECTION('',(0.,0.,1.)); #415819=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #415820=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #415821=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #415822=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #415823=DIRECTION('',(0.,0.,1.)); #415824=DIRECTION('center_axis',(0.538928498327957,0.842351514327583,0.)); #415825=DIRECTION('ref_axis',(-0.842351514327584,0.538928498327957,0.)); #415826=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #415827=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #415828=DIRECTION('',(0.,0.,1.)); #415829=DIRECTION('center_axis',(0.824766287441752,0.565473758099833,0.)); #415830=DIRECTION('ref_axis',(-0.565473758099833,0.824766287441752,0.)); #415831=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #415832=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #415833=DIRECTION('',(0.,0.,1.)); #415834=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #415835=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #415836=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #415837=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #415838=DIRECTION('',(0.,0.,1.)); #415839=DIRECTION('center_axis',(1.,0.,0.)); #415840=DIRECTION('ref_axis',(0.,1.,0.)); #415841=DIRECTION('',(0.,1.,0.)); #415842=DIRECTION('',(0.,1.,0.)); #415843=DIRECTION('',(0.,0.,1.)); #415844=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415845=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415846=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415847=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415848=DIRECTION('',(0.,0.,1.)); #415849=DIRECTION('center_axis',(0.,1.,0.)); #415850=DIRECTION('ref_axis',(-1.,0.,0.)); #415851=DIRECTION('',(-1.,0.,0.)); #415852=DIRECTION('',(-1.,0.,0.)); #415853=DIRECTION('',(0.,0.,1.)); #415854=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #415855=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #415856=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #415857=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #415858=DIRECTION('',(0.,0.,1.)); #415859=DIRECTION('center_axis',(-1.,0.,0.)); #415860=DIRECTION('ref_axis',(0.,-1.,0.)); #415861=DIRECTION('',(0.,-1.,0.)); #415862=DIRECTION('',(0.,-1.,0.)); #415863=DIRECTION('',(0.,0.,1.)); #415864=DIRECTION('center_axis',(-0.983050395657604,0.183335538282758,0.)); #415865=DIRECTION('ref_axis',(-0.183335538282758,-0.983050395657604,0.)); #415866=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #415867=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #415868=DIRECTION('',(0.,0.,1.)); #415869=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #415870=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #415871=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #415872=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #415873=DIRECTION('',(0.,0.,1.)); #415874=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #415875=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #415876=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #415877=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #415878=DIRECTION('',(0.,0.,1.)); #415879=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #415880=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #415881=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415882=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #415883=DIRECTION('',(0.,0.,1.)); #415884=DIRECTION('center_axis',(0.,1.,0.)); #415885=DIRECTION('ref_axis',(-1.,0.,0.)); #415886=DIRECTION('',(-1.,0.,0.)); #415887=DIRECTION('',(-1.,0.,0.)); #415888=DIRECTION('',(0.,0.,1.)); #415889=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #415890=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #415891=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #415892=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #415893=DIRECTION('',(0.,0.,1.)); #415894=DIRECTION('center_axis',(0.552227809242766,0.833693256959018,0.)); #415895=DIRECTION('ref_axis',(-0.833693256959018,0.552227809242766,0.)); #415896=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #415897=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #415898=DIRECTION('',(0.,0.,1.)); #415899=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415900=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415901=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415902=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415903=DIRECTION('',(0.,0.,1.)); #415904=DIRECTION('center_axis',(0.829258128536049,0.55886577660194,0.)); #415905=DIRECTION('ref_axis',(-0.55886577660194,0.829258128536049,0.)); #415906=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #415907=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #415908=DIRECTION('',(0.,0.,1.)); #415909=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #415910=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #415911=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #415912=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #415913=DIRECTION('',(0.,0.,1.)); #415914=DIRECTION('center_axis',(1.,0.,0.)); #415915=DIRECTION('ref_axis',(0.,1.,0.)); #415916=DIRECTION('',(0.,1.,0.)); #415917=DIRECTION('',(0.,1.,0.)); #415918=DIRECTION('',(0.,0.,1.)); #415919=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #415920=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #415921=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #415922=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #415923=DIRECTION('',(0.,0.,1.)); #415924=DIRECTION('center_axis',(0.833693256959072,-0.552227809242685,0.)); #415925=DIRECTION('ref_axis',(0.552227809242685,0.833693256959072,0.)); #415926=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #415927=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #415928=DIRECTION('',(0.,0.,1.)); #415929=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #415930=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #415931=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #415932=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #415933=DIRECTION('',(0.,0.,1.)); #415934=DIRECTION('center_axis',(0.558865776601855,-0.829258128536106,0.)); #415935=DIRECTION('ref_axis',(0.829258128536106,0.558865776601855,0.)); #415936=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #415937=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #415938=DIRECTION('',(0.,0.,1.)); #415939=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #415940=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #415941=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #415942=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #415943=DIRECTION('',(0.,0.,1.)); #415944=DIRECTION('center_axis',(0.,-1.,0.)); #415945=DIRECTION('ref_axis',(1.,0.,0.)); #415946=DIRECTION('',(1.,0.,0.)); #415947=DIRECTION('',(1.,0.,0.)); #415948=DIRECTION('',(0.,0.,1.)); #415949=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #415950=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #415951=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #415952=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #415953=DIRECTION('',(0.,0.,1.)); #415954=DIRECTION('center_axis',(1.,0.,0.)); #415955=DIRECTION('ref_axis',(0.,1.,0.)); #415956=DIRECTION('',(0.,1.,0.)); #415957=DIRECTION('',(0.,1.,0.)); #415958=DIRECTION('',(0.,0.,1.)); #415959=DIRECTION('center_axis',(0.981552099144164,-0.191194865688612,0.)); #415960=DIRECTION('ref_axis',(0.191194865688612,0.981552099144164,0.)); #415961=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #415962=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #415963=DIRECTION('',(0.,0.,1.)); #415964=DIRECTION('center_axis',(0.833692717809995,-0.552228623190236,0.)); #415965=DIRECTION('ref_axis',(0.552228623190236,0.833692717809995,0.)); #415966=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #415967=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #415968=DIRECTION('',(0.,0.,1.)); #415969=DIRECTION('center_axis',(1.,0.,0.)); #415970=DIRECTION('ref_axis',(0.,1.,0.)); #415971=DIRECTION('',(0.,1.,0.)); #415972=DIRECTION('',(0.,1.,0.)); #415973=DIRECTION('',(0.,0.,1.)); #415974=DIRECTION('center_axis',(0.,0.,1.)); #415975=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #415976=DIRECTION('center_axis',(0.,0.,1.)); #415977=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #415978=DIRECTION('center_axis',(0.,0.,1.)); #415979=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #415980=DIRECTION('',(0.,0.,1.)); #415981=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #415982=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #415983=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #415984=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #415985=DIRECTION('',(0.,0.,1.)); #415986=DIRECTION('center_axis',(0.,0.,1.)); #415987=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #415988=DIRECTION('center_axis',(0.,0.,1.)); #415989=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #415990=DIRECTION('center_axis',(0.,0.,1.)); #415991=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #415992=DIRECTION('',(0.,0.,1.)); #415993=DIRECTION('center_axis',(0.,-1.,0.)); #415994=DIRECTION('ref_axis',(1.,0.,0.)); #415995=DIRECTION('',(1.,0.,0.)); #415996=DIRECTION('',(1.,0.,0.)); #415997=DIRECTION('',(0.,0.,1.)); #415998=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #415999=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #416000=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #416001=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #416002=DIRECTION('',(0.,0.,1.)); #416003=DIRECTION('center_axis',(1.,0.,0.)); #416004=DIRECTION('ref_axis',(0.,1.,0.)); #416005=DIRECTION('',(0.,1.,0.)); #416006=DIRECTION('',(0.,1.,0.)); #416007=DIRECTION('',(0.,0.,1.)); #416008=DIRECTION('center_axis',(0.,0.,1.)); #416009=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #416010=DIRECTION('center_axis',(0.,0.,1.)); #416011=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #416012=DIRECTION('center_axis',(0.,0.,1.)); #416013=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #416014=DIRECTION('center_axis',(0.,0.,1.)); #416015=DIRECTION('ref_axis',(1.,0.,0.)); #416016=DIRECTION('center_axis',(0.,0.,1.)); #416017=DIRECTION('ref_axis',(1.,0.,0.)); #416018=DIRECTION('center_axis',(0.,0.,1.)); #416019=DIRECTION('ref_axis',(1.,0.,0.)); #416020=DIRECTION('center_axis',(0.,0.,1.)); #416021=DIRECTION('ref_axis',(1.,0.,0.)); #416022=DIRECTION('',(0.,0.,1.)); #416023=DIRECTION('center_axis',(0.,0.,-1.)); #416024=DIRECTION('ref_axis',(1.,0.,0.)); #416025=DIRECTION('center_axis',(1.,0.,0.)); #416026=DIRECTION('ref_axis',(0.,1.,0.)); #416027=DIRECTION('',(0.,1.,0.)); #416028=DIRECTION('',(0.,0.,1.)); #416029=DIRECTION('',(0.,1.,0.)); #416030=DIRECTION('',(0.,0.,1.)); #416031=DIRECTION('center_axis',(0.,-1.,0.)); #416032=DIRECTION('ref_axis',(1.,0.,0.)); #416033=DIRECTION('',(1.,0.,0.)); #416034=DIRECTION('',(1.,0.,0.)); #416035=DIRECTION('',(0.,0.,1.)); #416036=DIRECTION('center_axis',(-1.,0.,0.)); #416037=DIRECTION('ref_axis',(0.,-1.,0.)); #416038=DIRECTION('',(0.,-1.,0.)); #416039=DIRECTION('',(0.,-1.,0.)); #416040=DIRECTION('',(0.,0.,1.)); #416041=DIRECTION('center_axis',(0.,1.,0.)); #416042=DIRECTION('ref_axis',(-1.,0.,0.)); #416043=DIRECTION('',(-1.,0.,0.)); #416044=DIRECTION('',(-1.,0.,0.)); #416045=DIRECTION('',(0.,0.,1.)); #416046=DIRECTION('center_axis',(-1.,0.,0.)); #416047=DIRECTION('ref_axis',(0.,-1.,0.)); #416048=DIRECTION('',(0.,-1.,0.)); #416049=DIRECTION('',(0.,-1.,0.)); #416050=DIRECTION('',(0.,0.,1.)); #416051=DIRECTION('center_axis',(0.,0.,1.)); #416052=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #416053=DIRECTION('center_axis',(0.,0.,1.)); #416054=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #416055=DIRECTION('center_axis',(0.,0.,1.)); #416056=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #416057=DIRECTION('',(0.,0.,1.)); #416058=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #416059=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #416060=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #416061=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #416062=DIRECTION('',(0.,0.,1.)); #416063=DIRECTION('center_axis',(-1.,0.,0.)); #416064=DIRECTION('ref_axis',(0.,-1.,0.)); #416065=DIRECTION('',(0.,-1.,0.)); #416066=DIRECTION('',(0.,-1.,0.)); #416067=DIRECTION('',(0.,0.,1.)); #416068=DIRECTION('center_axis',(0.,0.,1.)); #416069=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #416070=DIRECTION('center_axis',(0.,0.,1.)); #416071=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #416072=DIRECTION('center_axis',(0.,0.,1.)); #416073=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #416074=DIRECTION('',(0.,0.,1.)); #416075=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416076=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416077=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #416078=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #416079=DIRECTION('',(0.,0.,1.)); #416080=DIRECTION('center_axis',(-1.,0.,0.)); #416081=DIRECTION('ref_axis',(0.,-1.,0.)); #416082=DIRECTION('',(0.,-1.,0.)); #416083=DIRECTION('',(0.,-1.,0.)); #416084=DIRECTION('',(0.,0.,1.)); #416085=DIRECTION('center_axis',(0.,0.,1.)); #416086=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #416087=DIRECTION('center_axis',(0.,0.,1.)); #416088=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #416089=DIRECTION('center_axis',(0.,0.,1.)); #416090=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #416091=DIRECTION('',(0.,0.,1.)); #416092=DIRECTION('center_axis',(0.,0.,1.)); #416093=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #416094=DIRECTION('center_axis',(0.,0.,1.)); #416095=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #416096=DIRECTION('center_axis',(0.,0.,1.)); #416097=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #416098=DIRECTION('',(0.,0.,1.)); #416099=DIRECTION('center_axis',(1.,0.,0.)); #416100=DIRECTION('ref_axis',(0.,1.,0.)); #416101=DIRECTION('',(0.,1.,0.)); #416102=DIRECTION('',(0.,1.,0.)); #416103=DIRECTION('',(0.,0.,1.)); #416104=DIRECTION('center_axis',(0.,0.,1.)); #416105=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #416106=DIRECTION('center_axis',(0.,0.,1.)); #416107=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #416108=DIRECTION('center_axis',(0.,0.,1.)); #416109=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #416110=DIRECTION('',(0.,0.,1.)); #416111=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #416112=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #416113=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #416114=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #416115=DIRECTION('',(0.,0.,1.)); #416116=DIRECTION('center_axis',(1.,0.,0.)); #416117=DIRECTION('ref_axis',(0.,1.,0.)); #416118=DIRECTION('',(0.,1.,0.)); #416119=DIRECTION('',(0.,1.,0.)); #416120=DIRECTION('',(0.,0.,1.)); #416121=DIRECTION('center_axis',(0.,0.,1.)); #416122=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #416123=DIRECTION('center_axis',(0.,0.,1.)); #416124=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #416125=DIRECTION('center_axis',(0.,0.,1.)); #416126=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #416127=DIRECTION('',(0.,0.,1.)); #416128=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #416129=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #416130=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #416131=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #416132=DIRECTION('',(0.,0.,1.)); #416133=DIRECTION('center_axis',(1.,0.,0.)); #416134=DIRECTION('ref_axis',(0.,1.,0.)); #416135=DIRECTION('',(0.,1.,0.)); #416136=DIRECTION('',(0.,1.,0.)); #416137=DIRECTION('',(0.,0.,1.)); #416138=DIRECTION('center_axis',(0.,1.,0.)); #416139=DIRECTION('ref_axis',(-1.,0.,0.)); #416140=DIRECTION('',(-1.,0.,0.)); #416141=DIRECTION('',(-1.,0.,0.)); #416142=DIRECTION('center_axis',(0.,0.,1.)); #416143=DIRECTION('ref_axis',(1.,0.,0.)); #416144=DIRECTION('center_axis',(0.,0.,1.)); #416145=DIRECTION('ref_axis',(1.,0.,0.)); #416146=DIRECTION('center_axis',(0.,0.,1.)); #416147=DIRECTION('ref_axis',(1.,0.,0.)); #416148=DIRECTION('center_axis',(0.,0.,1.)); #416149=DIRECTION('ref_axis',(1.,0.,0.)); #416150=DIRECTION('',(0.,0.,1.)); #416151=DIRECTION('center_axis',(0.,0.,-1.)); #416152=DIRECTION('ref_axis',(1.,0.,0.)); #416153=DIRECTION('center_axis',(0.,0.,1.)); #416154=DIRECTION('ref_axis',(1.,0.,0.)); #416155=DIRECTION('center_axis',(0.,0.,1.)); #416156=DIRECTION('ref_axis',(1.,0.,0.)); #416157=DIRECTION('',(0.,0.,1.)); #416158=DIRECTION('center_axis',(0.,0.,-1.)); #416159=DIRECTION('ref_axis',(1.,0.,0.)); #416160=DIRECTION('center_axis',(0.,0.,1.)); #416161=DIRECTION('ref_axis',(1.,0.,0.)); #416162=DIRECTION('center_axis',(0.,0.,1.)); #416163=DIRECTION('ref_axis',(1.,0.,0.)); #416164=DIRECTION('',(0.,0.,1.)); #416165=DIRECTION('center_axis',(0.,0.,-1.)); #416166=DIRECTION('ref_axis',(1.,0.,0.)); #416167=DIRECTION('center_axis',(0.,0.,1.)); #416168=DIRECTION('ref_axis',(1.,0.,0.)); #416169=DIRECTION('center_axis',(0.,0.,1.)); #416170=DIRECTION('ref_axis',(1.,0.,0.)); #416171=DIRECTION('',(0.,0.,1.)); #416172=DIRECTION('center_axis',(0.,0.,-1.)); #416173=DIRECTION('ref_axis',(1.,0.,0.)); #416174=DIRECTION('center_axis',(0.,0.,1.)); #416175=DIRECTION('ref_axis',(1.,0.,0.)); #416176=DIRECTION('center_axis',(0.,0.,1.)); #416177=DIRECTION('ref_axis',(1.,0.,0.)); #416178=DIRECTION('',(0.,0.,1.)); #416179=DIRECTION('center_axis',(0.,0.,-1.)); #416180=DIRECTION('ref_axis',(1.,0.,0.)); #416181=DIRECTION('center_axis',(0.,0.,1.)); #416182=DIRECTION('ref_axis',(1.,0.,0.)); #416183=DIRECTION('center_axis',(0.,0.,1.)); #416184=DIRECTION('ref_axis',(1.,0.,0.)); #416185=DIRECTION('',(0.,0.,1.)); #416186=DIRECTION('center_axis',(0.,0.,-1.)); #416187=DIRECTION('ref_axis',(1.,0.,0.)); #416188=DIRECTION('center_axis',(0.,0.,1.)); #416189=DIRECTION('ref_axis',(1.,0.,0.)); #416190=DIRECTION('center_axis',(0.,0.,1.)); #416191=DIRECTION('ref_axis',(1.,0.,0.)); #416192=DIRECTION('',(0.,0.,1.)); #416193=DIRECTION('center_axis',(0.,0.,-1.)); #416194=DIRECTION('ref_axis',(1.,0.,0.)); #416195=DIRECTION('center_axis',(0.,0.,1.)); #416196=DIRECTION('ref_axis',(1.,0.,0.)); #416197=DIRECTION('center_axis',(0.,0.,1.)); #416198=DIRECTION('ref_axis',(1.,0.,0.)); #416199=DIRECTION('',(0.,0.,1.)); #416200=DIRECTION('center_axis',(0.,0.,-1.)); #416201=DIRECTION('ref_axis',(1.,0.,0.)); #416202=DIRECTION('center_axis',(0.,0.,1.)); #416203=DIRECTION('ref_axis',(1.,0.,0.)); #416204=DIRECTION('center_axis',(0.,0.,1.)); #416205=DIRECTION('ref_axis',(1.,0.,0.)); #416206=DIRECTION('',(0.,0.,1.)); #416207=DIRECTION('center_axis',(0.,0.,-1.)); #416208=DIRECTION('ref_axis',(1.,0.,0.)); #416209=DIRECTION('center_axis',(0.,0.,1.)); #416210=DIRECTION('ref_axis',(1.,0.,0.)); #416211=DIRECTION('center_axis',(0.,0.,1.)); #416212=DIRECTION('ref_axis',(1.,0.,0.)); #416213=DIRECTION('',(0.,0.,1.)); #416214=DIRECTION('center_axis',(0.,0.,-1.)); #416215=DIRECTION('ref_axis',(1.,0.,0.)); #416216=DIRECTION('center_axis',(0.,0.,1.)); #416217=DIRECTION('ref_axis',(1.,0.,0.)); #416218=DIRECTION('center_axis',(0.,0.,1.)); #416219=DIRECTION('ref_axis',(1.,0.,0.)); #416220=DIRECTION('',(0.,0.,1.)); #416221=DIRECTION('center_axis',(0.,0.,-1.)); #416222=DIRECTION('ref_axis',(1.,0.,0.)); #416223=DIRECTION('center_axis',(0.,0.,1.)); #416224=DIRECTION('ref_axis',(1.,0.,0.)); #416225=DIRECTION('center_axis',(0.,0.,1.)); #416226=DIRECTION('ref_axis',(1.,0.,0.)); #416227=DIRECTION('',(0.,0.,1.)); #416228=DIRECTION('center_axis',(0.,0.,-1.)); #416229=DIRECTION('ref_axis',(1.,0.,0.)); #416230=DIRECTION('center_axis',(0.,0.,1.)); #416231=DIRECTION('ref_axis',(1.,0.,0.)); #416232=DIRECTION('center_axis',(0.,0.,1.)); #416233=DIRECTION('ref_axis',(1.,0.,0.)); #416234=DIRECTION('',(0.,0.,1.)); #416235=DIRECTION('center_axis',(0.,0.,-1.)); #416236=DIRECTION('ref_axis',(1.,0.,0.)); #416237=DIRECTION('center_axis',(0.,0.,1.)); #416238=DIRECTION('ref_axis',(1.,0.,0.)); #416239=DIRECTION('center_axis',(0.,0.,1.)); #416240=DIRECTION('ref_axis',(1.,0.,0.)); #416241=DIRECTION('',(0.,0.,1.)); #416242=DIRECTION('center_axis',(0.,0.,-1.)); #416243=DIRECTION('ref_axis',(1.,0.,0.)); #416244=DIRECTION('center_axis',(0.,0.,1.)); #416245=DIRECTION('ref_axis',(1.,0.,0.)); #416246=DIRECTION('center_axis',(0.,0.,1.)); #416247=DIRECTION('ref_axis',(1.,0.,0.)); #416248=DIRECTION('',(0.,0.,1.)); #416249=DIRECTION('center_axis',(0.,0.,-1.)); #416250=DIRECTION('ref_axis',(1.,0.,0.)); #416251=DIRECTION('center_axis',(0.,0.,1.)); #416252=DIRECTION('ref_axis',(1.,0.,0.)); #416253=DIRECTION('center_axis',(0.,0.,1.)); #416254=DIRECTION('ref_axis',(1.,0.,0.)); #416255=DIRECTION('',(0.,0.,1.)); #416256=DIRECTION('center_axis',(0.,0.,-1.)); #416257=DIRECTION('ref_axis',(1.,0.,0.)); #416258=DIRECTION('center_axis',(0.,1.,0.)); #416259=DIRECTION('ref_axis',(-1.,0.,0.)); #416260=DIRECTION('',(-1.,0.,0.)); #416261=DIRECTION('',(0.,0.,1.)); #416262=DIRECTION('',(-1.,0.,0.)); #416263=DIRECTION('',(0.,0.,1.)); #416264=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416265=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416266=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416267=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416268=DIRECTION('',(0.,0.,1.)); #416269=DIRECTION('center_axis',(-1.,0.,0.)); #416270=DIRECTION('ref_axis',(0.,-1.,0.)); #416271=DIRECTION('',(0.,-1.,0.)); #416272=DIRECTION('',(0.,-1.,0.)); #416273=DIRECTION('',(0.,0.,1.)); #416274=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #416275=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #416276=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #416277=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #416278=DIRECTION('',(0.,0.,1.)); #416279=DIRECTION('center_axis',(-0.833693256959005,0.552227809242786,0.)); #416280=DIRECTION('ref_axis',(-0.552227809242786,-0.833693256959005,0.)); #416281=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #416282=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #416283=DIRECTION('',(0.,0.,1.)); #416284=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #416285=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #416286=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #416287=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #416288=DIRECTION('',(0.,0.,1.)); #416289=DIRECTION('center_axis',(-0.558865776602088,0.82925812853595,0.)); #416290=DIRECTION('ref_axis',(-0.82925812853595,-0.558865776602088,0.)); #416291=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #416292=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #416293=DIRECTION('',(0.,0.,1.)); #416294=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #416295=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #416296=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416297=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416298=DIRECTION('',(0.,0.,1.)); #416299=DIRECTION('center_axis',(0.,1.,0.)); #416300=DIRECTION('ref_axis',(-1.,0.,0.)); #416301=DIRECTION('',(-1.,0.,0.)); #416302=DIRECTION('',(-1.,0.,0.)); #416303=DIRECTION('',(0.,0.,1.)); #416304=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416305=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416306=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416307=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416308=DIRECTION('',(0.,0.,1.)); #416309=DIRECTION('center_axis',(-1.,0.,0.)); #416310=DIRECTION('ref_axis',(0.,-1.,0.)); #416311=DIRECTION('',(0.,-1.,0.)); #416312=DIRECTION('',(0.,-1.,0.)); #416313=DIRECTION('',(0.,0.,1.)); #416314=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #416315=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #416316=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #416317=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #416318=DIRECTION('',(0.,0.,1.)); #416319=DIRECTION('center_axis',(0.,-1.,0.)); #416320=DIRECTION('ref_axis',(1.,0.,0.)); #416321=DIRECTION('',(1.,0.,0.)); #416322=DIRECTION('',(1.,0.,0.)); #416323=DIRECTION('',(0.,0.,1.)); #416324=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #416325=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #416326=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #416327=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #416328=DIRECTION('',(0.,0.,1.)); #416329=DIRECTION('center_axis',(1.,0.,0.)); #416330=DIRECTION('ref_axis',(0.,1.,0.)); #416331=DIRECTION('',(0.,1.,0.)); #416332=DIRECTION('',(0.,1.,0.)); #416333=DIRECTION('',(0.,0.,1.)); #416334=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #416335=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #416336=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #416337=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #416338=DIRECTION('',(0.,0.,1.)); #416339=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #416340=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #416341=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #416342=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #416343=DIRECTION('',(0.,0.,1.)); #416344=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #416345=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #416346=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #416347=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #416348=DIRECTION('',(0.,0.,1.)); #416349=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #416350=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #416351=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #416352=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #416353=DIRECTION('',(0.,0.,1.)); #416354=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #416355=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #416356=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #416357=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #416358=DIRECTION('',(0.,0.,1.)); #416359=DIRECTION('center_axis',(0.,-1.,0.)); #416360=DIRECTION('ref_axis',(1.,0.,0.)); #416361=DIRECTION('',(1.,0.,0.)); #416362=DIRECTION('',(1.,0.,0.)); #416363=DIRECTION('',(0.,0.,1.)); #416364=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #416365=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #416366=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #416367=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #416368=DIRECTION('',(0.,0.,1.)); #416369=DIRECTION('center_axis',(1.,0.,0.)); #416370=DIRECTION('ref_axis',(0.,1.,0.)); #416371=DIRECTION('',(0.,1.,0.)); #416372=DIRECTION('',(0.,1.,0.)); #416373=DIRECTION('',(0.,0.,1.)); #416374=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #416375=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #416376=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #416377=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #416378=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #416379=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #416380=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #416381=DIRECTION('',(0.,0.,1.)); #416382=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #416383=DIRECTION('',(0.,0.,1.)); #416384=DIRECTION('center_axis',(0.,-1.,0.)); #416385=DIRECTION('ref_axis',(1.,0.,0.)); #416386=DIRECTION('',(1.,0.,0.)); #416387=DIRECTION('',(1.,0.,0.)); #416388=DIRECTION('',(0.,0.,1.)); #416389=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #416390=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #416391=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #416392=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #416393=DIRECTION('',(0.,0.,1.)); #416394=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #416395=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #416396=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #416397=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #416398=DIRECTION('',(0.,0.,1.)); #416399=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #416400=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #416401=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #416402=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #416403=DIRECTION('',(0.,0.,1.)); #416404=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #416405=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #416406=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #416407=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #416408=DIRECTION('',(0.,0.,1.)); #416409=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #416410=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #416411=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #416412=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #416413=DIRECTION('',(0.,0.,1.)); #416414=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #416415=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #416416=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #416417=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #416418=DIRECTION('',(0.,0.,1.)); #416419=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #416420=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #416421=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #416422=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #416423=DIRECTION('',(0.,0.,1.)); #416424=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #416425=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #416426=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #416427=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #416428=DIRECTION('',(0.,0.,1.)); #416429=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #416430=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #416431=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #416432=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #416433=DIRECTION('',(0.,0.,1.)); #416434=DIRECTION('center_axis',(-1.,0.,0.)); #416435=DIRECTION('ref_axis',(0.,-1.,0.)); #416436=DIRECTION('',(0.,-1.,0.)); #416437=DIRECTION('',(0.,-1.,0.)); #416438=DIRECTION('',(0.,0.,1.)); #416439=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #416440=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #416441=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #416442=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #416443=DIRECTION('',(0.,0.,1.)); #416444=DIRECTION('center_axis',(-0.707106177917541,-0.707107384455039, 0.)); #416445=DIRECTION('ref_axis',(0.707107384455039,-0.707106177917541,0.)); #416446=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #416447=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #416448=DIRECTION('',(0.,0.,1.)); #416449=DIRECTION('center_axis',(-0.382689829785808,-0.923876882586911, 0.)); #416450=DIRECTION('ref_axis',(0.923876882586911,-0.382689829785808,0.)); #416451=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #416452=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #416453=DIRECTION('',(0.,0.,1.)); #416454=DIRECTION('center_axis',(-0.548914404741951,-0.835878565502663, 0.)); #416455=DIRECTION('ref_axis',(0.835878565502663,-0.548914404741951,0.)); #416456=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #416457=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #416458=DIRECTION('',(0.,0.,1.)); #416459=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #416460=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #416461=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #416462=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #416463=DIRECTION('',(0.,0.,1.)); #416464=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #416465=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #416466=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #416467=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #416468=DIRECTION('',(0.,0.,1.)); #416469=DIRECTION('center_axis',(-1.,0.,0.)); #416470=DIRECTION('ref_axis',(0.,-1.,0.)); #416471=DIRECTION('',(0.,-1.,0.)); #416472=DIRECTION('',(0.,-1.,0.)); #416473=DIRECTION('',(0.,0.,1.)); #416474=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #416475=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #416476=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #416477=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #416478=DIRECTION('',(0.,0.,1.)); #416479=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #416480=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #416481=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #416482=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #416483=DIRECTION('',(0.,0.,1.)); #416484=DIRECTION('center_axis',(0.,-1.,0.)); #416485=DIRECTION('ref_axis',(1.,0.,0.)); #416486=DIRECTION('',(1.,0.,0.)); #416487=DIRECTION('',(1.,0.,0.)); #416488=DIRECTION('',(0.,0.,1.)); #416489=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #416490=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #416491=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #416492=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #416493=DIRECTION('',(0.,0.,1.)); #416494=DIRECTION('center_axis',(0.,-1.,0.)); #416495=DIRECTION('ref_axis',(1.,0.,0.)); #416496=DIRECTION('',(1.,0.,0.)); #416497=DIRECTION('',(1.,0.,0.)); #416498=DIRECTION('',(0.,0.,1.)); #416499=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #416500=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #416501=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #416502=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #416503=DIRECTION('',(0.,0.,1.)); #416504=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #416505=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #416506=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #416507=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #416508=DIRECTION('',(0.,0.,1.)); #416509=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #416510=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #416511=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #416512=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #416513=DIRECTION('',(0.,0.,1.)); #416514=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #416515=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #416516=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #416517=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #416518=DIRECTION('',(0.,0.,1.)); #416519=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #416520=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #416521=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #416522=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #416523=DIRECTION('',(0.,0.,1.)); #416524=DIRECTION('center_axis',(-1.,0.,0.)); #416525=DIRECTION('ref_axis',(0.,-1.,0.)); #416526=DIRECTION('',(0.,-1.,0.)); #416527=DIRECTION('',(0.,-1.,0.)); #416528=DIRECTION('',(0.,0.,1.)); #416529=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #416530=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #416531=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #416532=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #416533=DIRECTION('',(0.,0.,1.)); #416534=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #416535=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #416536=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #416537=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #416538=DIRECTION('',(0.,0.,1.)); #416539=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #416540=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #416541=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #416542=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #416543=DIRECTION('',(0.,0.,1.)); #416544=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #416545=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #416546=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #416547=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #416548=DIRECTION('',(0.,0.,1.)); #416549=DIRECTION('center_axis',(0.,1.,0.)); #416550=DIRECTION('ref_axis',(-1.,0.,0.)); #416551=DIRECTION('',(-1.,0.,0.)); #416552=DIRECTION('',(-1.,0.,0.)); #416553=DIRECTION('',(0.,0.,1.)); #416554=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416555=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416556=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416557=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416558=DIRECTION('',(0.,0.,1.)); #416559=DIRECTION('center_axis',(-1.,0.,0.)); #416560=DIRECTION('ref_axis',(0.,-1.,0.)); #416561=DIRECTION('',(0.,-1.,0.)); #416562=DIRECTION('',(0.,-1.,0.)); #416563=DIRECTION('',(0.,0.,1.)); #416564=DIRECTION('center_axis',(-0.707106781186555,-0.70710678118654,0.)); #416565=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.)); #416566=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #416567=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #416568=DIRECTION('',(0.,0.,1.)); #416569=DIRECTION('center_axis',(-0.824764905148841,-0.565475774224523, 0.)); #416570=DIRECTION('ref_axis',(0.565475774224523,-0.82476490514884,0.)); #416571=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #416572=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #416573=DIRECTION('',(0.,0.,1.)); #416574=DIRECTION('center_axis',(-0.976703298176492,-0.214594192212095, 0.)); #416575=DIRECTION('ref_axis',(0.214594192212095,-0.976703298176492,0.)); #416576=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #416577=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #416578=DIRECTION('',(0.,0.,1.)); #416579=DIRECTION('center_axis',(-0.983041987252281,0.18338061865717,0.)); #416580=DIRECTION('ref_axis',(-0.18338061865717,-0.983041987252281,0.)); #416581=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #416582=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #416583=DIRECTION('',(0.,0.,1.)); #416584=DIRECTION('center_axis',(-0.8337023652239,0.552214058332524,0.)); #416585=DIRECTION('ref_axis',(-0.552214058332524,-0.8337023652239,0.)); #416586=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #416587=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #416588=DIRECTION('',(0.,0.,1.)); #416589=DIRECTION('center_axis',(-0.707106781186555,0.70710678118654,0.)); #416590=DIRECTION('ref_axis',(-0.70710678118654,-0.707106781186555,0.)); #416591=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #416592=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #416593=DIRECTION('',(0.,0.,1.)); #416594=DIRECTION('center_axis',(-1.,0.,0.)); #416595=DIRECTION('ref_axis',(0.,-1.,0.)); #416596=DIRECTION('',(0.,-1.,0.)); #416597=DIRECTION('',(0.,-1.,0.)); #416598=DIRECTION('',(0.,0.,1.)); #416599=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #416600=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #416601=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #416602=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #416603=DIRECTION('',(0.,0.,1.)); #416604=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #416605=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #416606=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #416607=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #416608=DIRECTION('',(0.,0.,1.)); #416609=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #416610=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #416611=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #416612=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #416613=DIRECTION('',(0.,0.,1.)); #416614=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #416615=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #416616=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #416617=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #416618=DIRECTION('',(0.,0.,1.)); #416619=DIRECTION('center_axis',(0.,1.,0.)); #416620=DIRECTION('ref_axis',(-1.,0.,0.)); #416621=DIRECTION('',(-1.,0.,0.)); #416622=DIRECTION('',(-1.,0.,0.)); #416623=DIRECTION('',(0.,0.,1.)); #416624=DIRECTION('center_axis',(0.191194865688648,0.981552099144156,0.)); #416625=DIRECTION('ref_axis',(-0.981552099144156,0.191194865688648,0.)); #416626=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #416627=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #416628=DIRECTION('',(0.,0.,1.)); #416629=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #416630=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #416631=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #416632=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #416633=DIRECTION('',(0.,0.,1.)); #416634=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #416635=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #416636=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416637=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416638=DIRECTION('',(0.,0.,1.)); #416639=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #416640=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #416641=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #416642=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #416643=DIRECTION('',(0.,0.,1.)); #416644=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #416645=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #416646=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #416647=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #416648=DIRECTION('',(0.,0.,1.)); #416649=DIRECTION('center_axis',(1.,0.,0.)); #416650=DIRECTION('ref_axis',(0.,1.,0.)); #416651=DIRECTION('',(0.,1.,0.)); #416652=DIRECTION('',(0.,1.,0.)); #416653=DIRECTION('',(0.,0.,1.)); #416654=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #416655=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #416656=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #416657=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #416658=DIRECTION('',(0.,0.,1.)); #416659=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #416660=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #416661=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416662=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416663=DIRECTION('',(0.,0.,1.)); #416664=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #416665=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #416666=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #416667=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #416668=DIRECTION('',(0.,0.,1.)); #416669=DIRECTION('center_axis',(1.,0.,0.)); #416670=DIRECTION('ref_axis',(0.,1.,0.)); #416671=DIRECTION('',(0.,1.,0.)); #416672=DIRECTION('',(0.,1.,0.)); #416673=DIRECTION('',(0.,0.,1.)); #416674=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #416675=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #416676=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #416677=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #416678=DIRECTION('',(0.,0.,1.)); #416679=DIRECTION('center_axis',(0.,1.,0.)); #416680=DIRECTION('ref_axis',(-1.,0.,0.)); #416681=DIRECTION('',(-1.,0.,0.)); #416682=DIRECTION('',(-1.,0.,0.)); #416683=DIRECTION('',(0.,0.,1.)); #416684=DIRECTION('center_axis',(-0.707105395383339,0.70710816698704,0.)); #416685=DIRECTION('ref_axis',(-0.70710816698704,-0.707105395383339,0.)); #416686=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #416687=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #416688=DIRECTION('',(0.,0.,1.)); #416689=DIRECTION('center_axis',(-0.572013161193149,0.820244441262372,0.)); #416690=DIRECTION('ref_axis',(-0.820244441262372,-0.572013161193149,0.)); #416691=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #416692=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #416693=DIRECTION('',(0.,0.,1.)); #416694=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #416695=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #416696=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #416697=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #416698=DIRECTION('',(0.,0.,1.)); #416699=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #416700=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #416701=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #416702=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #416703=DIRECTION('',(0.,0.,1.)); #416704=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #416705=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #416706=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #416707=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #416708=DIRECTION('',(0.,0.,1.)); #416709=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #416710=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #416711=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #416712=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #416713=DIRECTION('',(0.,0.,1.)); #416714=DIRECTION('center_axis',(0.979990414878419,0.199044685300622,0.)); #416715=DIRECTION('ref_axis',(-0.199044685300622,0.979990414878419,0.)); #416716=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #416717=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #416718=DIRECTION('',(0.,0.,1.)); #416719=DIRECTION('center_axis',(0.707106622194624,0.707106940178435,0.)); #416720=DIRECTION('ref_axis',(-0.707106940178435,0.707106622194624,0.)); #416721=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #416722=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #416723=DIRECTION('',(0.,0.,1.)); #416724=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #416725=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #416726=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #416727=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #416728=DIRECTION('',(0.,0.,1.)); #416729=DIRECTION('center_axis',(0.979997563866654,0.199009484234856,0.)); #416730=DIRECTION('ref_axis',(-0.199009484234856,0.979997563866654,0.)); #416731=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #416732=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #416733=DIRECTION('',(0.,0.,1.)); #416734=DIRECTION('center_axis',(1.,0.,0.)); #416735=DIRECTION('ref_axis',(0.,1.,0.)); #416736=DIRECTION('',(0.,1.,0.)); #416737=DIRECTION('',(0.,1.,0.)); #416738=DIRECTION('',(0.,0.,1.)); #416739=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #416740=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #416741=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #416742=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #416743=DIRECTION('',(0.,0.,1.)); #416744=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #416745=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #416746=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416747=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416748=DIRECTION('',(0.,0.,1.)); #416749=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #416750=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #416751=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #416752=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #416753=DIRECTION('',(0.,0.,1.)); #416754=DIRECTION('center_axis',(1.,0.,0.)); #416755=DIRECTION('ref_axis',(0.,1.,0.)); #416756=DIRECTION('',(0.,1.,0.)); #416757=DIRECTION('',(0.,1.,0.)); #416758=DIRECTION('',(0.,0.,1.)); #416759=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #416760=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #416761=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #416762=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #416763=DIRECTION('',(0.,0.,1.)); #416764=DIRECTION('center_axis',(0.,1.,0.)); #416765=DIRECTION('ref_axis',(-1.,0.,0.)); #416766=DIRECTION('',(-1.,0.,0.)); #416767=DIRECTION('',(-1.,0.,0.)); #416768=DIRECTION('',(0.,0.,1.)); #416769=DIRECTION('center_axis',(-0.707106216327399,0.707107346045245,0.)); #416770=DIRECTION('ref_axis',(-0.707107346045245,-0.707106216327399,0.)); #416771=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #416772=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #416773=DIRECTION('',(0.,0.,1.)); #416774=DIRECTION('center_axis',(-1.,0.,0.)); #416775=DIRECTION('ref_axis',(0.,-1.,0.)); #416776=DIRECTION('',(0.,-1.,0.)); #416777=DIRECTION('',(0.,-1.,0.)); #416778=DIRECTION('',(0.,0.,1.)); #416779=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #416780=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #416781=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #416782=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #416783=DIRECTION('',(0.,0.,1.)); #416784=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #416785=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #416786=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416787=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416788=DIRECTION('',(0.,0.,1.)); #416789=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #416790=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #416791=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #416792=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #416793=DIRECTION('',(0.,0.,1.)); #416794=DIRECTION('center_axis',(-1.,0.,0.)); #416795=DIRECTION('ref_axis',(0.,-1.,0.)); #416796=DIRECTION('',(0.,-1.,0.)); #416797=DIRECTION('',(0.,-1.,0.)); #416798=DIRECTION('',(0.,0.,1.)); #416799=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #416800=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #416801=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #416802=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #416803=DIRECTION('',(0.,0.,1.)); #416804=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #416805=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #416806=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #416807=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #416808=DIRECTION('',(0.,0.,1.)); #416809=DIRECTION('center_axis',(-0.565462991527455,0.824773669083114,0.)); #416810=DIRECTION('ref_axis',(-0.824773669083114,-0.565462991527455,0.)); #416811=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #416812=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #416813=DIRECTION('',(0.,0.,1.)); #416814=DIRECTION('center_axis',(-0.199018242080767,0.979995785357815,0.)); #416815=DIRECTION('ref_axis',(-0.979995785357815,-0.199018242080767,0.)); #416816=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #416817=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #416818=DIRECTION('',(0.,0.,1.)); #416819=DIRECTION('center_axis',(0.,1.,0.)); #416820=DIRECTION('ref_axis',(-1.,0.,0.)); #416821=DIRECTION('',(-1.,0.,0.)); #416822=DIRECTION('',(-1.,0.,0.)); #416823=DIRECTION('',(0.,0.,1.)); #416824=DIRECTION('center_axis',(-0.707105395383327,0.707108166987052,0.)); #416825=DIRECTION('ref_axis',(-0.707108166987052,-0.707105395383327,0.)); #416826=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #416827=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #416828=DIRECTION('',(0.,0.,1.)); #416829=DIRECTION('center_axis',(-0.572013161193196,0.820244441262339,0.)); #416830=DIRECTION('ref_axis',(-0.820244441262339,-0.572013161193195,0.)); #416831=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #416832=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #416833=DIRECTION('',(0.,0.,1.)); #416834=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #416835=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #416836=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #416837=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #416838=DIRECTION('',(0.,0.,1.)); #416839=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #416840=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #416841=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #416842=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #416843=DIRECTION('',(0.,0.,1.)); #416844=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #416845=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #416846=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #416847=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #416848=DIRECTION('',(0.,0.,1.)); #416849=DIRECTION('center_axis',(0.824762824798868,0.56547880847101,0.)); #416850=DIRECTION('ref_axis',(-0.56547880847101,0.824762824798868,0.)); #416851=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #416852=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #416853=DIRECTION('',(0.,0.,1.)); #416854=DIRECTION('center_axis',(0.707107383468298,0.707106178904284,0.)); #416855=DIRECTION('ref_axis',(-0.707106178904284,0.707107383468298,0.)); #416856=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #416857=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #416858=DIRECTION('',(0.,0.,1.)); #416859=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #416860=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #416861=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #416862=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #416863=DIRECTION('',(0.,0.,1.)); #416864=DIRECTION('center_axis',(0.979997563866653,0.199009484234861,0.)); #416865=DIRECTION('ref_axis',(-0.199009484234861,0.979997563866653,0.)); #416866=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #416867=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #416868=DIRECTION('',(0.,0.,1.)); #416869=DIRECTION('center_axis',(1.,0.,0.)); #416870=DIRECTION('ref_axis',(0.,1.,0.)); #416871=DIRECTION('',(0.,1.,0.)); #416872=DIRECTION('',(0.,1.,0.)); #416873=DIRECTION('',(0.,0.,1.)); #416874=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #416875=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #416876=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #416877=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #416878=DIRECTION('',(0.,0.,1.)); #416879=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #416880=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #416881=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416882=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #416883=DIRECTION('',(0.,0.,1.)); #416884=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #416885=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #416886=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #416887=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #416888=DIRECTION('',(0.,0.,1.)); #416889=DIRECTION('center_axis',(1.,0.,0.)); #416890=DIRECTION('ref_axis',(0.,1.,0.)); #416891=DIRECTION('',(0.,1.,0.)); #416892=DIRECTION('',(0.,1.,0.)); #416893=DIRECTION('',(0.,0.,1.)); #416894=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #416895=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #416896=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #416897=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #416898=DIRECTION('',(0.,0.,1.)); #416899=DIRECTION('center_axis',(0.,1.,0.)); #416900=DIRECTION('ref_axis',(-1.,0.,0.)); #416901=DIRECTION('',(-1.,0.,0.)); #416902=DIRECTION('',(-1.,0.,0.)); #416903=DIRECTION('',(0.,0.,1.)); #416904=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #416905=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #416906=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #416907=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #416908=DIRECTION('',(0.,0.,1.)); #416909=DIRECTION('center_axis',(-1.,0.,0.)); #416910=DIRECTION('ref_axis',(0.,-1.,0.)); #416911=DIRECTION('',(0.,-1.,0.)); #416912=DIRECTION('',(0.,-1.,0.)); #416913=DIRECTION('',(0.,0.,1.)); #416914=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #416915=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #416916=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #416917=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #416918=DIRECTION('',(0.,0.,1.)); #416919=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #416920=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #416921=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416922=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416923=DIRECTION('',(0.,0.,1.)); #416924=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #416925=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #416926=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #416927=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #416928=DIRECTION('',(0.,0.,1.)); #416929=DIRECTION('center_axis',(-1.,0.,0.)); #416930=DIRECTION('ref_axis',(0.,-1.,0.)); #416931=DIRECTION('',(0.,-1.,0.)); #416932=DIRECTION('',(0.,-1.,0.)); #416933=DIRECTION('',(0.,0.,1.)); #416934=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #416935=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #416936=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #416937=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #416938=DIRECTION('',(0.,0.,1.)); #416939=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #416940=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #416941=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416942=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #416943=DIRECTION('',(0.,0.,1.)); #416944=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #416945=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #416946=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #416947=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #416948=DIRECTION('',(0.,0.,1.)); #416949=DIRECTION('center_axis',(-1.,0.,0.)); #416950=DIRECTION('ref_axis',(0.,-1.,0.)); #416951=DIRECTION('',(0.,-1.,0.)); #416952=DIRECTION('',(0.,-1.,0.)); #416953=DIRECTION('',(0.,0.,1.)); #416954=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #416955=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #416956=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #416957=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #416958=DIRECTION('',(0.,0.,1.)); #416959=DIRECTION('center_axis',(-0.820244441262304,-0.572013161193246, 0.)); #416960=DIRECTION('ref_axis',(0.572013161193246,-0.820244441262304,0.)); #416961=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #416962=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #416963=DIRECTION('',(0.,0.,1.)); #416964=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #416965=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #416966=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #416967=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #416968=DIRECTION('',(0.,0.,1.)); #416969=DIRECTION('center_axis',(-0.985851792830733,0.167619338300892,0.)); #416970=DIRECTION('ref_axis',(-0.167619338300892,-0.985851792830733,0.)); #416971=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #416972=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #416973=DIRECTION('',(0.,0.,1.)); #416974=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #416975=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #416976=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #416977=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #416978=DIRECTION('',(0.,0.,1.)); #416979=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #416980=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #416981=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #416982=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #416983=DIRECTION('',(0.,0.,1.)); #416984=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #416985=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #416986=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416987=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #416988=DIRECTION('',(0.,0.,1.)); #416989=DIRECTION('center_axis',(0.,1.,0.)); #416990=DIRECTION('ref_axis',(-1.,0.,0.)); #416991=DIRECTION('',(-1.,0.,0.)); #416992=DIRECTION('',(-1.,0.,0.)); #416993=DIRECTION('',(0.,0.,1.)); #416994=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #416995=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #416996=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #416997=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #416998=DIRECTION('',(0.,0.,1.)); #416999=DIRECTION('center_axis',(-0.565475774224491,0.824764905148863,0.)); #417000=DIRECTION('ref_axis',(-0.824764905148863,-0.565475774224491,0.)); #417001=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #417002=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #417003=DIRECTION('',(0.,0.,1.)); #417004=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #417005=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #417006=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #417007=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #417008=DIRECTION('',(0.,0.,1.)); #417009=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #417010=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #417011=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #417012=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #417013=DIRECTION('',(0.,0.,1.)); #417014=DIRECTION('center_axis',(0.552214058332442,0.833702365223953,0.)); #417015=DIRECTION('ref_axis',(-0.833702365223953,0.552214058332442,0.)); #417016=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #417017=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #417018=DIRECTION('',(0.,0.,1.)); #417019=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #417020=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #417021=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #417022=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #417023=DIRECTION('',(0.,0.,1.)); #417024=DIRECTION('center_axis',(0.,1.,0.)); #417025=DIRECTION('ref_axis',(-1.,0.,0.)); #417026=DIRECTION('',(-1.,0.,0.)); #417027=DIRECTION('',(-1.,0.,0.)); #417028=DIRECTION('',(0.,0.,1.)); #417029=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #417030=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #417031=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #417032=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #417033=DIRECTION('',(0.,0.,1.)); #417034=DIRECTION('center_axis',(-0.572013161193165,0.82024444126236,0.)); #417035=DIRECTION('ref_axis',(-0.82024444126236,-0.572013161193165,0.)); #417036=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #417037=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #417038=DIRECTION('',(0.,0.,1.)); #417039=DIRECTION('center_axis',(-0.230105511310725,0.973165686645614,0.)); #417040=DIRECTION('ref_axis',(-0.973165686645614,-0.230105511310725,0.)); #417041=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #417042=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #417043=DIRECTION('',(0.,0.,1.)); #417044=DIRECTION('center_axis',(0.167619338300963,0.985851792830721,0.)); #417045=DIRECTION('ref_axis',(-0.985851792830721,0.167619338300963,0.)); #417046=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #417047=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #417048=DIRECTION('',(0.,0.,1.)); #417049=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #417050=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #417051=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #417052=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #417053=DIRECTION('',(0.,0.,1.)); #417054=DIRECTION('center_axis',(0.824759961060236,0.56548298527181,0.)); #417055=DIRECTION('ref_axis',(-0.56548298527181,0.824759961060236,0.)); #417056=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #417057=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #417058=DIRECTION('',(0.,0.,1.)); #417059=DIRECTION('center_axis',(0.97999811234025,0.199006783325459,0.)); #417060=DIRECTION('ref_axis',(-0.199006783325459,0.97999811234025,0.)); #417061=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #417062=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #417063=DIRECTION('',(0.,0.,1.)); #417064=DIRECTION('center_axis',(1.,0.,0.)); #417065=DIRECTION('ref_axis',(0.,1.,0.)); #417066=DIRECTION('',(0.,1.,0.)); #417067=DIRECTION('',(0.,1.,0.)); #417068=DIRECTION('',(0.,0.,1.)); #417069=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #417070=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #417071=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #417072=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #417073=DIRECTION('',(0.,0.,1.)); #417074=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #417075=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #417076=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #417077=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #417078=DIRECTION('',(0.,0.,1.)); #417079=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #417080=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #417081=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #417082=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #417083=DIRECTION('',(0.,0.,1.)); #417084=DIRECTION('center_axis',(1.,0.,0.)); #417085=DIRECTION('ref_axis',(0.,1.,0.)); #417086=DIRECTION('',(0.,1.,0.)); #417087=DIRECTION('',(0.,1.,0.)); #417088=DIRECTION('',(0.,0.,1.)); #417089=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417090=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417091=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417092=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417093=DIRECTION('',(0.,0.,1.)); #417094=DIRECTION('center_axis',(0.,1.,0.)); #417095=DIRECTION('ref_axis',(-1.,0.,0.)); #417096=DIRECTION('',(-1.,0.,0.)); #417097=DIRECTION('',(-1.,0.,0.)); #417098=DIRECTION('',(0.,0.,1.)); #417099=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #417100=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #417101=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #417102=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #417103=DIRECTION('',(0.,0.,1.)); #417104=DIRECTION('center_axis',(0.538928498327713,0.84235151432774,0.)); #417105=DIRECTION('ref_axis',(-0.84235151432774,0.538928498327713,0.)); #417106=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #417107=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #417108=DIRECTION('',(0.,0.,1.)); #417109=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #417110=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #417111=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #417112=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #417113=DIRECTION('',(0.,0.,1.)); #417114=DIRECTION('center_axis',(0.979997328799728,0.19901064178932,0.)); #417115=DIRECTION('ref_axis',(-0.19901064178932,0.979997328799728,0.)); #417116=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #417117=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #417118=DIRECTION('',(0.,0.,1.)); #417119=DIRECTION('center_axis',(1.,0.,0.)); #417120=DIRECTION('ref_axis',(0.,1.,0.)); #417121=DIRECTION('',(0.,1.,0.)); #417122=DIRECTION('',(0.,1.,0.)); #417123=DIRECTION('',(0.,0.,1.)); #417124=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417125=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417126=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417127=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417128=DIRECTION('',(0.,0.,1.)); #417129=DIRECTION('center_axis',(0.,1.,0.)); #417130=DIRECTION('ref_axis',(-1.,0.,0.)); #417131=DIRECTION('',(-1.,0.,0.)); #417132=DIRECTION('',(-1.,0.,0.)); #417133=DIRECTION('',(0.,0.,1.)); #417134=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #417135=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #417136=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417137=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417138=DIRECTION('',(0.,0.,1.)); #417139=DIRECTION('center_axis',(-1.,0.,0.)); #417140=DIRECTION('ref_axis',(0.,-1.,0.)); #417141=DIRECTION('',(0.,-1.,0.)); #417142=DIRECTION('',(0.,-1.,0.)); #417143=DIRECTION('',(0.,0.,1.)); #417144=DIRECTION('center_axis',(-0.707108968966163,-0.707104593400163, 0.)); #417145=DIRECTION('ref_axis',(0.707104593400163,-0.707108968966163,0.)); #417146=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #417147=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #417148=DIRECTION('',(0.,0.,1.)); #417149=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #417150=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #417151=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #417152=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #417153=DIRECTION('',(0.,0.,1.)); #417154=DIRECTION('center_axis',(-0.979998112340233,-0.199006783325543, 0.)); #417155=DIRECTION('ref_axis',(0.199006783325543,-0.979998112340233,0.)); #417156=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #417157=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #417158=DIRECTION('',(0.,0.,1.)); #417159=DIRECTION('center_axis',(-1.,0.,0.)); #417160=DIRECTION('ref_axis',(0.,-1.,0.)); #417161=DIRECTION('',(0.,-1.,0.)); #417162=DIRECTION('',(0.,-1.,0.)); #417163=DIRECTION('',(0.,0.,1.)); #417164=DIRECTION('center_axis',(-0.707106781186569,-0.707106781186526, 0.)); #417165=DIRECTION('ref_axis',(0.707106781186526,-0.707106781186569,0.)); #417166=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #417167=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #417168=DIRECTION('',(0.,0.,1.)); #417169=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #417170=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #417171=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #417172=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #417173=DIRECTION('',(0.,0.,1.)); #417174=DIRECTION('center_axis',(-0.97316125628092,-0.230124247470233,0.)); #417175=DIRECTION('ref_axis',(0.230124247470233,-0.97316125628092,0.)); #417176=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #417177=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #417178=DIRECTION('',(0.,0.,1.)); #417179=DIRECTION('center_axis',(-0.985851792830718,0.167619338300985,0.)); #417180=DIRECTION('ref_axis',(-0.167619338300985,-0.985851792830718,0.)); #417181=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #417182=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #417183=DIRECTION('',(0.,0.,1.)); #417184=DIRECTION('center_axis',(-0.842351514327729,0.53892849832773,0.)); #417185=DIRECTION('ref_axis',(-0.53892849832773,-0.842351514327729,0.)); #417186=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #417187=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #417188=DIRECTION('',(0.,0.,1.)); #417189=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #417190=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #417191=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #417192=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #417193=DIRECTION('',(0.,0.,1.)); #417194=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #417195=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #417196=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #417197=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #417198=DIRECTION('',(0.,0.,1.)); #417199=DIRECTION('center_axis',(0.,1.,0.)); #417200=DIRECTION('ref_axis',(-1.,0.,0.)); #417201=DIRECTION('',(-1.,0.,0.)); #417202=DIRECTION('',(-1.,0.,0.)); #417203=DIRECTION('',(0.,0.,1.)); #417204=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #417205=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #417206=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #417207=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #417208=DIRECTION('',(0.,0.,1.)); #417209=DIRECTION('center_axis',(-1.,0.,0.)); #417210=DIRECTION('ref_axis',(0.,-1.,0.)); #417211=DIRECTION('',(0.,-1.,0.)); #417212=DIRECTION('',(0.,-1.,0.)); #417213=DIRECTION('',(0.,0.,1.)); #417214=DIRECTION('center_axis',(-0.983054265471501,0.183314787014818,0.)); #417215=DIRECTION('ref_axis',(-0.183314787014818,-0.983054265471501,0.)); #417216=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #417217=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #417218=DIRECTION('',(0.,0.,1.)); #417219=DIRECTION('center_axis',(-0.842351514327649,0.538928498327855,0.)); #417220=DIRECTION('ref_axis',(-0.538928498327855,-0.842351514327649,0.)); #417221=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #417222=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #417223=DIRECTION('',(0.,0.,1.)); #417224=DIRECTION('center_axis',(-0.565473758099803,0.824766287441773,0.)); #417225=DIRECTION('ref_axis',(-0.824766287441773,-0.565473758099803,0.)); #417226=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #417227=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #417228=DIRECTION('',(0.,0.,1.)); #417229=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #417230=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #417231=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #417232=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #417233=DIRECTION('',(0.,0.,1.)); #417234=DIRECTION('center_axis',(0.,1.,0.)); #417235=DIRECTION('ref_axis',(-1.,0.,0.)); #417236=DIRECTION('',(-1.,0.,0.)); #417237=DIRECTION('',(-1.,0.,0.)); #417238=DIRECTION('',(0.,0.,1.)); #417239=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #417240=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #417241=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #417242=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #417243=DIRECTION('',(0.,0.,1.)); #417244=DIRECTION('center_axis',(-1.,0.,0.)); #417245=DIRECTION('ref_axis',(0.,-1.,0.)); #417246=DIRECTION('',(0.,-1.,0.)); #417247=DIRECTION('',(0.,-1.,0.)); #417248=DIRECTION('',(0.,0.,1.)); #417249=DIRECTION('center_axis',(-0.98305039565759,0.183335538282833,0.)); #417250=DIRECTION('ref_axis',(-0.183335538282833,-0.98305039565759,0.)); #417251=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #417252=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #417253=DIRECTION('',(0.,0.,1.)); #417254=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #417255=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #417256=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #417257=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #417258=DIRECTION('',(0.,0.,1.)); #417259=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #417260=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #417261=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #417262=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #417263=DIRECTION('',(0.,0.,1.)); #417264=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #417265=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #417266=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #417267=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #417268=DIRECTION('',(0.,0.,1.)); #417269=DIRECTION('center_axis',(0.,1.,0.)); #417270=DIRECTION('ref_axis',(-1.,0.,0.)); #417271=DIRECTION('',(-1.,0.,0.)); #417272=DIRECTION('',(-1.,0.,0.)); #417273=DIRECTION('',(0.,0.,1.)); #417274=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #417275=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #417276=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #417277=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #417278=DIRECTION('',(0.,0.,1.)); #417279=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #417280=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #417281=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #417282=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #417283=DIRECTION('',(0.,0.,1.)); #417284=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417285=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417286=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #417287=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #417288=DIRECTION('',(0.,0.,1.)); #417289=DIRECTION('center_axis',(0.,1.,0.)); #417290=DIRECTION('ref_axis',(-1.,0.,0.)); #417291=DIRECTION('',(-1.,0.,0.)); #417292=DIRECTION('',(-1.,0.,0.)); #417293=DIRECTION('',(0.,0.,1.)); #417294=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #417295=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #417296=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417297=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417298=DIRECTION('',(0.,0.,1.)); #417299=DIRECTION('center_axis',(-1.,0.,0.)); #417300=DIRECTION('ref_axis',(0.,-1.,0.)); #417301=DIRECTION('',(0.,-1.,0.)); #417302=DIRECTION('',(0.,-1.,0.)); #417303=DIRECTION('',(0.,0.,1.)); #417304=DIRECTION('center_axis',(-0.707106781186555,-0.70710678118654,0.)); #417305=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.)); #417306=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #417307=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #417308=DIRECTION('',(0.,0.,1.)); #417309=DIRECTION('center_axis',(-0.824764905148841,-0.565475774224523, 0.)); #417310=DIRECTION('ref_axis',(0.565475774224523,-0.82476490514884,0.)); #417311=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #417312=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #417313=DIRECTION('',(0.,0.,1.)); #417314=DIRECTION('center_axis',(-0.976703298176474,-0.214594192212179, 0.)); #417315=DIRECTION('ref_axis',(0.214594192212179,-0.976703298176474,0.)); #417316=DIRECTION('',(0.214594192212179,-0.976703298176474,0.)); #417317=DIRECTION('',(0.214594192212179,-0.976703298176474,0.)); #417318=DIRECTION('',(0.,0.,1.)); #417319=DIRECTION('center_axis',(-0.983041987252264,0.183380618657263,0.)); #417320=DIRECTION('ref_axis',(-0.183380618657263,-0.983041987252264,0.)); #417321=DIRECTION('',(-0.183380618657263,-0.983041987252264,0.)); #417322=DIRECTION('',(-0.183380618657263,-0.983041987252264,0.)); #417323=DIRECTION('',(0.,0.,1.)); #417324=DIRECTION('center_axis',(-0.8337023652239,0.552214058332524,0.)); #417325=DIRECTION('ref_axis',(-0.552214058332524,-0.8337023652239,0.)); #417326=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #417327=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #417328=DIRECTION('',(0.,0.,1.)); #417329=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #417330=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #417331=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #417332=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #417333=DIRECTION('',(0.,0.,1.)); #417334=DIRECTION('center_axis',(-1.,0.,0.)); #417335=DIRECTION('ref_axis',(0.,-1.,0.)); #417336=DIRECTION('',(0.,-1.,0.)); #417337=DIRECTION('',(0.,-1.,0.)); #417338=DIRECTION('',(0.,0.,1.)); #417339=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #417340=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #417341=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #417342=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #417343=DIRECTION('',(0.,0.,1.)); #417344=DIRECTION('center_axis',(0.,-1.,0.)); #417345=DIRECTION('ref_axis',(1.,0.,0.)); #417346=DIRECTION('',(1.,0.,0.)); #417347=DIRECTION('',(1.,0.,0.)); #417348=DIRECTION('',(0.,0.,1.)); #417349=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #417350=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #417351=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #417352=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #417353=DIRECTION('',(0.,0.,1.)); #417354=DIRECTION('center_axis',(-0.538914460439897,-0.842360495470185, 0.)); #417355=DIRECTION('ref_axis',(0.842360495470185,-0.538914460439896,0.)); #417356=DIRECTION('',(0.842360495470185,-0.538914460439896,0.)); #417357=DIRECTION('',(0.842360495470185,-0.538914460439896,0.)); #417358=DIRECTION('',(0.,0.,1.)); #417359=DIRECTION('center_axis',(-0.82476628744178,-0.565473758099792,0.)); #417360=DIRECTION('ref_axis',(0.565473758099792,-0.82476628744178,0.)); #417361=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #417362=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #417363=DIRECTION('',(0.,0.,1.)); #417364=DIRECTION('center_axis',(-0.97999732879973,-0.199010641789311,0.)); #417365=DIRECTION('ref_axis',(0.199010641789311,-0.97999732879973,0.)); #417366=DIRECTION('',(0.199010641789311,-0.97999732879973,0.)); #417367=DIRECTION('',(0.199010641789311,-0.97999732879973,0.)); #417368=DIRECTION('',(0.,0.,1.)); #417369=DIRECTION('center_axis',(-1.,0.,0.)); #417370=DIRECTION('ref_axis',(0.,-1.,0.)); #417371=DIRECTION('',(0.,-1.,0.)); #417372=DIRECTION('',(0.,-1.,0.)); #417373=DIRECTION('',(0.,0.,1.)); #417374=DIRECTION('center_axis',(0.,0.,1.)); #417375=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #417376=DIRECTION('center_axis',(0.,0.,1.)); #417377=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #417378=DIRECTION('center_axis',(0.,0.,1.)); #417379=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #417380=DIRECTION('',(0.,0.,1.)); #417381=DIRECTION('center_axis',(0.,-1.,0.)); #417382=DIRECTION('ref_axis',(1.,0.,0.)); #417383=DIRECTION('',(1.,0.,0.)); #417384=DIRECTION('',(1.,0.,0.)); #417385=DIRECTION('',(0.,0.,1.)); #417386=DIRECTION('center_axis',(0.,0.,1.)); #417387=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #417388=DIRECTION('center_axis',(0.,0.,1.)); #417389=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #417390=DIRECTION('center_axis',(0.,0.,1.)); #417391=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #417392=DIRECTION('',(0.,0.,1.)); #417393=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #417394=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #417395=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #417396=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #417397=DIRECTION('',(0.,0.,1.)); #417398=DIRECTION('center_axis',(0.,-1.,0.)); #417399=DIRECTION('ref_axis',(1.,0.,0.)); #417400=DIRECTION('',(1.,0.,0.)); #417401=DIRECTION('',(1.,0.,0.)); #417402=DIRECTION('',(0.,0.,1.)); #417403=DIRECTION('center_axis',(1.,0.,0.)); #417404=DIRECTION('ref_axis',(0.,1.,0.)); #417405=DIRECTION('',(0.,1.,0.)); #417406=DIRECTION('',(0.,1.,0.)); #417407=DIRECTION('',(0.,0.,1.)); #417408=DIRECTION('center_axis',(0.,-1.,0.)); #417409=DIRECTION('ref_axis',(1.,0.,0.)); #417410=DIRECTION('',(1.,0.,0.)); #417411=DIRECTION('',(1.,0.,0.)); #417412=DIRECTION('',(0.,0.,1.)); #417413=DIRECTION('center_axis',(-1.,0.,0.)); #417414=DIRECTION('ref_axis',(0.,-1.,0.)); #417415=DIRECTION('',(0.,-1.,0.)); #417416=DIRECTION('',(0.,-1.,0.)); #417417=DIRECTION('',(0.,0.,1.)); #417418=DIRECTION('center_axis',(0.,1.,0.)); #417419=DIRECTION('ref_axis',(-1.,0.,0.)); #417420=DIRECTION('',(-1.,0.,0.)); #417421=DIRECTION('',(-1.,0.,0.)); #417422=DIRECTION('',(0.,0.,1.)); #417423=DIRECTION('center_axis',(1.,0.,0.)); #417424=DIRECTION('ref_axis',(0.,1.,0.)); #417425=DIRECTION('',(0.,1.,0.)); #417426=DIRECTION('',(0.,1.,0.)); #417427=DIRECTION('',(0.,0.,1.)); #417428=DIRECTION('center_axis',(0.,1.,0.)); #417429=DIRECTION('ref_axis',(-1.,0.,0.)); #417430=DIRECTION('',(-1.,0.,0.)); #417431=DIRECTION('',(-1.,0.,0.)); #417432=DIRECTION('',(0.,0.,1.)); #417433=DIRECTION('center_axis',(0.,0.,1.)); #417434=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #417435=DIRECTION('center_axis',(0.,0.,1.)); #417436=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #417437=DIRECTION('center_axis',(0.,0.,1.)); #417438=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #417439=DIRECTION('',(0.,0.,1.)); #417440=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #417441=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #417442=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #417443=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #417444=DIRECTION('',(0.,0.,1.)); #417445=DIRECTION('center_axis',(0.,1.,0.)); #417446=DIRECTION('ref_axis',(-1.,0.,0.)); #417447=DIRECTION('',(-1.,0.,0.)); #417448=DIRECTION('',(-1.,0.,0.)); #417449=DIRECTION('',(0.,0.,1.)); #417450=DIRECTION('center_axis',(0.,0.,1.)); #417451=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #417452=DIRECTION('center_axis',(0.,0.,1.)); #417453=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #417454=DIRECTION('center_axis',(0.,0.,1.)); #417455=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #417456=DIRECTION('',(0.,0.,1.)); #417457=DIRECTION('center_axis',(-0.842328566644566,0.538964364141556,0.)); #417458=DIRECTION('ref_axis',(-0.538964364141556,-0.842328566644566,0.)); #417459=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #417460=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #417461=DIRECTION('',(0.,0.,1.)); #417462=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #417463=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #417464=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #417465=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #417466=DIRECTION('',(0.,0.,1.)); #417467=DIRECTION('center_axis',(-0.199010641789438,0.979997328799704,0.)); #417468=DIRECTION('ref_axis',(-0.979997328799704,-0.199010641789438,0.)); #417469=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #417470=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #417471=DIRECTION('',(0.,0.,1.)); #417472=DIRECTION('center_axis',(0.,1.,0.)); #417473=DIRECTION('ref_axis',(-1.,0.,0.)); #417474=DIRECTION('',(-1.,0.,0.)); #417475=DIRECTION('',(-1.,0.,0.)); #417476=DIRECTION('',(0.,0.,1.)); #417477=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #417478=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #417479=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417480=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417481=DIRECTION('',(0.,0.,1.)); #417482=DIRECTION('center_axis',(-1.,0.,0.)); #417483=DIRECTION('ref_axis',(0.,-1.,0.)); #417484=DIRECTION('',(0.,-1.,0.)); #417485=DIRECTION('',(0.,-1.,0.)); #417486=DIRECTION('',(0.,0.,1.)); #417487=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #417488=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #417489=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #417490=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #417491=DIRECTION('',(0.,0.,1.)); #417492=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #417493=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #417494=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #417495=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #417496=DIRECTION('',(0.,0.,1.)); #417497=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #417498=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #417499=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #417500=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #417501=DIRECTION('',(0.,0.,1.)); #417502=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #417503=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #417504=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #417505=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #417506=DIRECTION('',(0.,0.,1.)); #417507=DIRECTION('center_axis',(0.,1.,0.)); #417508=DIRECTION('ref_axis',(-1.,0.,0.)); #417509=DIRECTION('',(-1.,0.,0.)); #417510=DIRECTION('',(-1.,0.,0.)); #417511=DIRECTION('',(0.,0.,1.)); #417512=DIRECTION('center_axis',(0.18331478701493,0.98305426547148,0.)); #417513=DIRECTION('ref_axis',(-0.98305426547148,0.18331478701493,0.)); #417514=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #417515=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #417516=DIRECTION('',(0.,0.,1.)); #417517=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #417518=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #417519=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #417520=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #417521=DIRECTION('',(0.,0.,1.)); #417522=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #417523=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #417524=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #417525=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #417526=DIRECTION('',(0.,0.,1.)); #417527=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #417528=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #417529=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #417530=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #417531=DIRECTION('',(0.,0.,1.)); #417532=DIRECTION('center_axis',(1.,0.,0.)); #417533=DIRECTION('ref_axis',(0.,1.,0.)); #417534=DIRECTION('',(0.,1.,0.)); #417535=DIRECTION('',(0.,1.,0.)); #417536=DIRECTION('',(0.,0.,1.)); #417537=DIRECTION('center_axis',(0.983054265471468,-0.183314787014993,0.)); #417538=DIRECTION('ref_axis',(0.183314787014993,0.983054265471468,0.)); #417539=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #417540=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #417541=DIRECTION('',(0.,0.,1.)); #417542=DIRECTION('center_axis',(0.842351514327676,-0.538928498327812,0.)); #417543=DIRECTION('ref_axis',(0.538928498327812,0.842351514327676,0.)); #417544=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #417545=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #417546=DIRECTION('',(0.,0.,1.)); #417547=DIRECTION('center_axis',(0.565473758099721,-0.824766287441829,0.)); #417548=DIRECTION('ref_axis',(0.824766287441829,0.565473758099721,0.)); #417549=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #417550=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #417551=DIRECTION('',(0.,0.,1.)); #417552=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #417553=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #417554=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #417555=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #417556=DIRECTION('',(0.,0.,1.)); #417557=DIRECTION('center_axis',(0.,-1.,0.)); #417558=DIRECTION('ref_axis',(1.,0.,0.)); #417559=DIRECTION('',(1.,0.,0.)); #417560=DIRECTION('',(1.,0.,0.)); #417561=DIRECTION('',(0.,0.,1.)); #417562=DIRECTION('center_axis',(0.707106781186535,-0.70710678118656,0.)); #417563=DIRECTION('ref_axis',(0.70710678118656,0.707106781186535,0.)); #417564=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #417565=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #417566=DIRECTION('',(0.,0.,1.)); #417567=DIRECTION('center_axis',(0.565475774224491,-0.824764905148863,0.)); #417568=DIRECTION('ref_axis',(0.824764905148863,0.565475774224491,0.)); #417569=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #417570=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #417571=DIRECTION('',(0.,0.,1.)); #417572=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #417573=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #417574=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #417575=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #417576=DIRECTION('',(0.,0.,1.)); #417577=DIRECTION('center_axis',(-0.183384185256821,-0.983041321917696, 0.)); #417578=DIRECTION('ref_axis',(0.983041321917696,-0.183384185256821,0.)); #417579=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #417580=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #417581=DIRECTION('',(0.,0.,1.)); #417582=DIRECTION('center_axis',(-0.552204950198567,-0.833708398048261, 0.)); #417583=DIRECTION('ref_axis',(0.833708398048261,-0.552204950198567,0.)); #417584=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #417585=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #417586=DIRECTION('',(0.,0.,1.)); #417587=DIRECTION('center_axis',(-0.707097567408623,-0.707115994844416, 0.)); #417588=DIRECTION('ref_axis',(0.707115994844416,-0.707097567408623,0.)); #417589=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #417590=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #417591=DIRECTION('',(0.,0.,1.)); #417592=DIRECTION('center_axis',(0.,-1.,0.)); #417593=DIRECTION('ref_axis',(1.,0.,0.)); #417594=DIRECTION('',(1.,0.,0.)); #417595=DIRECTION('',(1.,0.,0.)); #417596=DIRECTION('',(0.,0.,1.)); #417597=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #417598=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #417599=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #417600=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #417601=DIRECTION('',(0.,0.,1.)); #417602=DIRECTION('center_axis',(1.,0.,0.)); #417603=DIRECTION('ref_axis',(0.,1.,0.)); #417604=DIRECTION('',(0.,1.,0.)); #417605=DIRECTION('',(0.,1.,0.)); #417606=DIRECTION('',(0.,0.,1.)); #417607=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417608=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417609=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417610=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417611=DIRECTION('',(0.,0.,1.)); #417612=DIRECTION('center_axis',(0.,1.,0.)); #417613=DIRECTION('ref_axis',(-1.,0.,0.)); #417614=DIRECTION('',(-1.,0.,0.)); #417615=DIRECTION('',(-1.,0.,0.)); #417616=DIRECTION('',(0.,0.,1.)); #417617=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #417618=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #417619=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #417620=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #417621=DIRECTION('',(0.,0.,1.)); #417622=DIRECTION('center_axis',(-0.565435717281154,0.824792367582743,0.)); #417623=DIRECTION('ref_axis',(-0.824792367582743,-0.565435717281154,0.)); #417624=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #417625=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #417626=DIRECTION('',(0.,0.,1.)); #417627=DIRECTION('center_axis',(-0.214598338859784,0.976702387095793,0.)); #417628=DIRECTION('ref_axis',(-0.976702387095793,-0.214598338859784,0.)); #417629=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #417630=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #417631=DIRECTION('',(0.,0.,1.)); #417632=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #417633=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #417634=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #417635=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #417636=DIRECTION('',(0.,0.,1.)); #417637=DIRECTION('center_axis',(0.552282635770302,0.833656937970655,0.)); #417638=DIRECTION('ref_axis',(-0.833656937970655,0.552282635770302,0.)); #417639=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #417640=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #417641=DIRECTION('',(0.,0.,1.)); #417642=DIRECTION('center_axis',(0.707133221509551,0.707080339874844,0.)); #417643=DIRECTION('ref_axis',(-0.707080339874844,0.707133221509551,0.)); #417644=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #417645=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #417646=DIRECTION('',(0.,0.,1.)); #417647=DIRECTION('center_axis',(0.,1.,0.)); #417648=DIRECTION('ref_axis',(-1.,0.,0.)); #417649=DIRECTION('',(-1.,0.,0.)); #417650=DIRECTION('',(-1.,0.,0.)); #417651=DIRECTION('',(0.,0.,1.)); #417652=DIRECTION('center_axis',(0.183314787014884,0.983054265471488,0.)); #417653=DIRECTION('ref_axis',(-0.983054265471488,0.183314787014884,0.)); #417654=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #417655=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #417656=DIRECTION('',(0.,0.,1.)); #417657=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #417658=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #417659=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #417660=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #417661=DIRECTION('',(0.,0.,1.)); #417662=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #417663=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #417664=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #417665=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #417666=DIRECTION('',(0.,0.,1.)); #417667=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #417668=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #417669=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #417670=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #417671=DIRECTION('',(0.,0.,1.)); #417672=DIRECTION('center_axis',(1.,0.,0.)); #417673=DIRECTION('ref_axis',(0.,1.,0.)); #417674=DIRECTION('',(0.,1.,0.)); #417675=DIRECTION('',(0.,1.,0.)); #417676=DIRECTION('',(0.,0.,1.)); #417677=DIRECTION('center_axis',(0.987649512186036,0.156679421363127,0.)); #417678=DIRECTION('ref_axis',(-0.156679421363127,0.987649512186036,0.)); #417679=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #417680=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #417681=DIRECTION('',(0.,0.,1.)); #417682=DIRECTION('center_axis',(0.125438113889326,0.992101446216005,0.)); #417683=DIRECTION('ref_axis',(-0.992101446216005,0.125438113889326,0.)); #417684=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #417685=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #417686=DIRECTION('',(0.,0.,1.)); #417687=DIRECTION('center_axis',(0.,1.,0.)); #417688=DIRECTION('ref_axis',(-1.,0.,0.)); #417689=DIRECTION('',(-1.,0.,0.)); #417690=DIRECTION('',(-1.,0.,0.)); #417691=DIRECTION('',(0.,0.,1.)); #417692=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #417693=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #417694=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #417695=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #417696=DIRECTION('',(0.,0.,1.)); #417697=DIRECTION('center_axis',(0.538928498327753,0.842351514327714,0.)); #417698=DIRECTION('ref_axis',(-0.842351514327714,0.538928498327753,0.)); #417699=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #417700=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #417701=DIRECTION('',(0.,0.,1.)); #417702=DIRECTION('center_axis',(0.824766287441862,0.565473758099673,0.)); #417703=DIRECTION('ref_axis',(-0.565473758099673,0.824766287441862,0.)); #417704=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #417705=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #417706=DIRECTION('',(0.,0.,1.)); #417707=DIRECTION('center_axis',(0.979997328799713,0.199010641789396,0.)); #417708=DIRECTION('ref_axis',(-0.199010641789396,0.979997328799713,0.)); #417709=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #417710=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #417711=DIRECTION('',(0.,0.,1.)); #417712=DIRECTION('center_axis',(1.,0.,0.)); #417713=DIRECTION('ref_axis',(0.,1.,0.)); #417714=DIRECTION('',(0.,1.,0.)); #417715=DIRECTION('',(0.,1.,0.)); #417716=DIRECTION('',(0.,0.,1.)); #417717=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #417718=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #417719=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #417720=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #417721=DIRECTION('',(0.,0.,1.)); #417722=DIRECTION('center_axis',(0.833699290017826,-0.552218701081169,0.)); #417723=DIRECTION('ref_axis',(0.552218701081169,0.833699290017826,0.)); #417724=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #417725=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #417726=DIRECTION('',(0.,0.,1.)); #417727=DIRECTION('center_axis',(0.707106797437347,-0.707106764935748,0.)); #417728=DIRECTION('ref_axis',(0.707106764935748,0.707106797437347,0.)); #417729=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #417730=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #417731=DIRECTION('',(0.,0.,1.)); #417732=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #417733=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #417734=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #417735=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #417736=DIRECTION('',(0.,0.,1.)); #417737=DIRECTION('center_axis',(0.19901824208078,-0.979995785357813,0.)); #417738=DIRECTION('ref_axis',(0.979995785357813,0.19901824208078,0.)); #417739=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #417740=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #417741=DIRECTION('',(0.,0.,1.)); #417742=DIRECTION('center_axis',(0.,-1.,0.)); #417743=DIRECTION('ref_axis',(1.,0.,0.)); #417744=DIRECTION('',(1.,0.,0.)); #417745=DIRECTION('',(1.,0.,0.)); #417746=DIRECTION('',(0.,0.,1.)); #417747=DIRECTION('center_axis',(0.140987972617697,-0.990011308812759,0.)); #417748=DIRECTION('ref_axis',(0.990011308812759,0.140987972617697,0.)); #417749=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #417750=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #417751=DIRECTION('',(0.,0.,1.)); #417752=DIRECTION('center_axis',(0.613185310509894,-0.789939095737693,0.)); #417753=DIRECTION('ref_axis',(0.789939095737693,0.613185310509894,0.)); #417754=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #417755=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #417756=DIRECTION('',(0.,0.,1.)); #417757=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #417758=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #417759=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #417760=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #417761=DIRECTION('',(0.,0.,1.)); #417762=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #417763=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #417764=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #417765=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #417766=DIRECTION('',(0.,0.,1.)); #417767=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #417768=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #417769=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #417770=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #417771=DIRECTION('',(0.,0.,1.)); #417772=DIRECTION('center_axis',(0.,-1.,0.)); #417773=DIRECTION('ref_axis',(1.,0.,0.)); #417774=DIRECTION('',(1.,0.,0.)); #417775=DIRECTION('',(1.,0.,0.)); #417776=DIRECTION('',(0.,0.,1.)); #417777=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #417778=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #417779=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #417780=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #417781=DIRECTION('',(0.,0.,1.)); #417782=DIRECTION('center_axis',(0.,-1.,0.)); #417783=DIRECTION('ref_axis',(1.,0.,0.)); #417784=DIRECTION('',(1.,0.,0.)); #417785=DIRECTION('',(1.,0.,0.)); #417786=DIRECTION('',(0.,0.,1.)); #417787=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #417788=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #417789=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #417790=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #417791=DIRECTION('',(0.,0.,1.)); #417792=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #417793=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #417794=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #417795=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #417796=DIRECTION('',(0.,0.,1.)); #417797=DIRECTION('center_axis',(1.,0.,0.)); #417798=DIRECTION('ref_axis',(0.,1.,0.)); #417799=DIRECTION('',(0.,1.,0.)); #417800=DIRECTION('',(0.,1.,0.)); #417801=DIRECTION('',(0.,0.,1.)); #417802=DIRECTION('center_axis',(0.982315373407559,-0.187233830188798,0.)); #417803=DIRECTION('ref_axis',(0.187233830188798,0.982315373407559,0.)); #417804=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #417805=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #417806=DIRECTION('',(0.,0.,1.)); #417807=DIRECTION('center_axis',(0.838041506433313,-0.545606482269944,0.)); #417808=DIRECTION('ref_axis',(0.545606482269944,0.838041506433313,0.)); #417809=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #417810=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #417811=DIRECTION('',(0.,0.,1.)); #417812=DIRECTION('center_axis',(0.558842045442049,-0.829274121292921,0.)); #417813=DIRECTION('ref_axis',(0.829274121292921,0.558842045442049,0.)); #417814=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #417815=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #417816=DIRECTION('',(0.,0.,1.)); #417817=DIRECTION('center_axis',(0.382689829785819,-0.923876882586907,0.)); #417818=DIRECTION('ref_axis',(0.923876882586907,0.382689829785819,0.)); #417819=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #417820=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #417821=DIRECTION('',(0.,0.,1.)); #417822=DIRECTION('center_axis',(0.707106177917541,-0.707107384455039,0.)); #417823=DIRECTION('ref_axis',(0.707107384455039,0.707106177917541,0.)); #417824=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #417825=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #417826=DIRECTION('',(0.,0.,1.)); #417827=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #417828=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #417829=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #417830=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #417831=DIRECTION('',(0.,0.,1.)); #417832=DIRECTION('center_axis',(1.,0.,0.)); #417833=DIRECTION('ref_axis',(0.,1.,0.)); #417834=DIRECTION('',(0.,1.,0.)); #417835=DIRECTION('',(0.,1.,0.)); #417836=DIRECTION('',(0.,0.,1.)); #417837=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #417838=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #417839=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #417840=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #417841=DIRECTION('',(0.,0.,1.)); #417842=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #417843=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #417844=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #417845=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #417846=DIRECTION('',(0.,0.,1.)); #417847=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #417848=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #417849=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #417850=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #417851=DIRECTION('',(0.,0.,1.)); #417852=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #417853=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #417854=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #417855=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #417856=DIRECTION('',(0.,0.,1.)); #417857=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #417858=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #417859=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #417860=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #417861=DIRECTION('',(0.,0.,1.)); #417862=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #417863=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #417864=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #417865=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #417866=DIRECTION('',(0.,0.,1.)); #417867=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #417868=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #417869=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #417870=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #417871=DIRECTION('',(0.,0.,1.)); #417872=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #417873=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #417874=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #417875=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #417876=DIRECTION('center_axis',(0.,0.,1.)); #417877=DIRECTION('ref_axis',(1.,0.,0.)); #417878=DIRECTION('center_axis',(0.,0.,1.)); #417879=DIRECTION('ref_axis',(1.,0.,0.)); #417880=DIRECTION('center_axis',(0.230104828377436,-0.973165848125278,0.)); #417881=DIRECTION('ref_axis',(0.973165848125278,0.230104828377436,0.)); #417882=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #417883=DIRECTION('',(0.,0.,1.)); #417884=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #417885=DIRECTION('',(0.,0.,1.)); #417886=DIRECTION('center_axis',(-0.167615492455217,-0.985852446712486, 0.)); #417887=DIRECTION('ref_axis',(0.985852446712486,-0.167615492455217,0.)); #417888=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #417889=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #417890=DIRECTION('',(0.,0.,1.)); #417891=DIRECTION('center_axis',(-0.53892288322649,-0.842355106789795,0.)); #417892=DIRECTION('ref_axis',(0.842355106789795,-0.53892288322649,0.)); #417893=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #417894=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #417895=DIRECTION('',(0.,0.,1.)); #417896=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #417897=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #417898=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #417899=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #417900=DIRECTION('',(0.,0.,1.)); #417901=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #417902=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #417903=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #417904=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #417905=DIRECTION('',(0.,0.,1.)); #417906=DIRECTION('center_axis',(-1.,0.,0.)); #417907=DIRECTION('ref_axis',(0.,-1.,0.)); #417908=DIRECTION('',(0.,-1.,0.)); #417909=DIRECTION('',(0.,-1.,0.)); #417910=DIRECTION('',(0.,0.,1.)); #417911=DIRECTION('center_axis',(-0.984473985687406,0.175530542939833,0.)); #417912=DIRECTION('ref_axis',(-0.175530542939833,-0.984473985687406,0.)); #417913=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #417914=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #417915=DIRECTION('',(0.,0.,1.)); #417916=DIRECTION('center_axis',(-0.850855747839111,0.525399368451416,0.)); #417917=DIRECTION('ref_axis',(-0.525399368451416,-0.850855747839111,0.)); #417918=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #417919=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #417920=DIRECTION('',(0.,0.,1.)); #417921=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #417922=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #417923=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #417924=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #417925=DIRECTION('',(0.,0.,1.)); #417926=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #417927=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #417928=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #417929=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #417930=DIRECTION('',(0.,0.,1.)); #417931=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #417932=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #417933=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #417934=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #417935=DIRECTION('',(0.,0.,1.)); #417936=DIRECTION('center_axis',(0.552214058332483,0.833702365223927,0.)); #417937=DIRECTION('ref_axis',(-0.833702365223927,0.552214058332483,0.)); #417938=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #417939=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #417940=DIRECTION('',(0.,0.,1.)); #417941=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #417942=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #417943=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #417944=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #417945=DIRECTION('',(0.,0.,1.)); #417946=DIRECTION('center_axis',(0.829264560351591,0.558856232804898,0.)); #417947=DIRECTION('ref_axis',(-0.558856232804898,0.829264560351591,0.)); #417948=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #417949=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #417950=DIRECTION('',(0.,0.,1.)); #417951=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #417952=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #417953=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #417954=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #417955=DIRECTION('',(0.,0.,1.)); #417956=DIRECTION('center_axis',(1.,0.,0.)); #417957=DIRECTION('ref_axis',(0.,1.,0.)); #417958=DIRECTION('',(0.,1.,0.)); #417959=DIRECTION('',(0.,1.,0.)); #417960=DIRECTION('',(0.,0.,1.)); #417961=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #417962=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #417963=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #417964=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #417965=DIRECTION('',(0.,0.,1.)); #417966=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #417967=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #417968=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #417969=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #417970=DIRECTION('',(0.,0.,1.)); #417971=DIRECTION('center_axis',(0.707106781186537,-0.707106781186558,0.)); #417972=DIRECTION('ref_axis',(0.707106781186558,0.707106781186537,0.)); #417973=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #417974=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #417975=DIRECTION('',(0.,0.,1.)); #417976=DIRECTION('center_axis',(0.572023686171484,-0.820237101366908,0.)); #417977=DIRECTION('ref_axis',(0.820237101366908,0.572023686171484,0.)); #417978=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #417979=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #417980=DIRECTION('center_axis',(0.,0.,1.)); #417981=DIRECTION('ref_axis',(1.,0.,0.)); #417982=DIRECTION('center_axis',(0.,0.,1.)); #417983=DIRECTION('ref_axis',(1.,0.,0.)); #417984=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #417985=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #417986=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #417987=DIRECTION('',(0.,0.,1.)); #417988=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #417989=DIRECTION('',(0.,0.,1.)); #417990=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #417991=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #417992=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #417993=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #417994=DIRECTION('',(0.,0.,1.)); #417995=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #417996=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #417997=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #417998=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #417999=DIRECTION('',(0.,0.,1.)); #418000=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #418001=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #418002=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #418003=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #418004=DIRECTION('',(0.,0.,1.)); #418005=DIRECTION('center_axis',(-0.829258128535964,-0.558865776602066, 0.)); #418006=DIRECTION('ref_axis',(0.558865776602066,-0.829258128535964,0.)); #418007=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #418008=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #418009=DIRECTION('',(0.,0.,1.)); #418010=DIRECTION('center_axis',(-0.97999811234025,-0.199006783325459,0.)); #418011=DIRECTION('ref_axis',(0.199006783325459,-0.97999811234025,0.)); #418012=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #418013=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #418014=DIRECTION('',(0.,0.,1.)); #418015=DIRECTION('center_axis',(-1.,0.,0.)); #418016=DIRECTION('ref_axis',(0.,-1.,0.)); #418017=DIRECTION('',(0.,-1.,0.)); #418018=DIRECTION('',(0.,-1.,0.)); #418019=DIRECTION('',(0.,0.,1.)); #418020=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #418021=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #418022=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #418023=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #418024=DIRECTION('',(0.,0.,1.)); #418025=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #418026=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #418027=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #418028=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #418029=DIRECTION('',(0.,0.,1.)); #418030=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #418031=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #418032=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #418033=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #418034=DIRECTION('',(0.,0.,1.)); #418035=DIRECTION('center_axis',(-0.214594192212104,0.97670329817649,0.)); #418036=DIRECTION('ref_axis',(-0.97670329817649,-0.214594192212104,0.)); #418037=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #418038=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #418039=DIRECTION('',(0.,0.,1.)); #418040=DIRECTION('center_axis',(0.183380618657204,0.983041987252275,0.)); #418041=DIRECTION('ref_axis',(-0.983041987252274,0.183380618657204,0.)); #418042=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #418043=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #418044=DIRECTION('',(0.,0.,1.)); #418045=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #418046=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #418047=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #418048=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #418049=DIRECTION('',(0.,0.,1.)); #418050=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #418051=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #418052=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #418053=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #418054=DIRECTION('',(0.,0.,1.)); #418055=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #418056=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #418057=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #418058=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #418059=DIRECTION('',(0.,0.,1.)); #418060=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #418061=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #418062=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #418063=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #418064=DIRECTION('',(0.,0.,1.)); #418065=DIRECTION('center_axis',(1.,0.,0.)); #418066=DIRECTION('ref_axis',(0.,1.,0.)); #418067=DIRECTION('',(0.,1.,0.)); #418068=DIRECTION('',(0.,1.,0.)); #418069=DIRECTION('',(0.,0.,1.)); #418070=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #418071=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #418072=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #418073=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #418074=DIRECTION('',(0.,0.,1.)); #418075=DIRECTION('center_axis',(0.850855747839111,-0.525399368451416,0.)); #418076=DIRECTION('ref_axis',(0.525399368451416,0.850855747839111,0.)); #418077=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #418078=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #418079=DIRECTION('',(0.,0.,1.)); #418080=DIRECTION('center_axis',(0.57858315794952,-0.815623399208949,0.)); #418081=DIRECTION('ref_axis',(0.81562339920895,0.57858315794952,0.)); #418082=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #418083=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #418084=DIRECTION('center_axis',(0.,0.,1.)); #418085=DIRECTION('ref_axis',(1.,0.,0.)); #418086=DIRECTION('center_axis',(0.,0.,1.)); #418087=DIRECTION('ref_axis',(1.,0.,0.)); #418088=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #418089=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #418090=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #418091=DIRECTION('',(0.,0.,1.)); #418092=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #418093=DIRECTION('',(0.,0.,1.)); #418094=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #418095=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #418096=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #418097=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #418098=DIRECTION('',(0.,0.,1.)); #418099=DIRECTION('center_axis',(-0.552211825696274,-0.833703844036471, 0.)); #418100=DIRECTION('ref_axis',(0.833703844036471,-0.552211825696274,0.)); #418101=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #418102=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #418103=DIRECTION('',(0.,0.,1.)); #418104=DIRECTION('center_axis',(-0.707106781186537,-0.707106781186558, 0.)); #418105=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #418106=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #418107=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #418108=DIRECTION('',(0.,0.,1.)); #418109=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #418110=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #418111=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #418112=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #418113=DIRECTION('',(0.,0.,1.)); #418114=DIRECTION('center_axis',(-0.979997563866653,-0.199009484234861, 0.)); #418115=DIRECTION('ref_axis',(0.199009484234861,-0.979997563866653,0.)); #418116=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #418117=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #418118=DIRECTION('',(0.,0.,1.)); #418119=DIRECTION('center_axis',(-1.,0.,0.)); #418120=DIRECTION('ref_axis',(0.,-1.,0.)); #418121=DIRECTION('',(0.,-1.,0.)); #418122=DIRECTION('',(0.,-1.,0.)); #418123=DIRECTION('',(0.,0.,1.)); #418124=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #418125=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #418126=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #418127=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #418128=DIRECTION('',(0.,0.,1.)); #418129=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #418130=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #418131=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #418132=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #418133=DIRECTION('',(0.,0.,1.)); #418134=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #418135=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #418136=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #418137=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #418138=DIRECTION('',(0.,0.,1.)); #418139=DIRECTION('center_axis',(-0.214594192212128,0.976703298176485,0.)); #418140=DIRECTION('ref_axis',(-0.976703298176485,-0.214594192212128,0.)); #418141=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #418142=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #418143=DIRECTION('',(0.,0.,1.)); #418144=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #418145=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #418146=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #418147=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #418148=DIRECTION('',(0.,0.,1.)); #418149=DIRECTION('center_axis',(0.552227809242938,0.833693256958904,0.)); #418150=DIRECTION('ref_axis',(-0.833693256958904,0.552227809242938,0.)); #418151=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #418152=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #418153=DIRECTION('',(0.,0.,1.)); #418154=DIRECTION('center_axis',(0.707106781186507,0.707106781186588,0.)); #418155=DIRECTION('ref_axis',(-0.707106781186588,0.707106781186507,0.)); #418156=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #418157=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #418158=DIRECTION('',(0.,0.,1.)); #418159=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #418160=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #418161=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #418162=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #418163=DIRECTION('',(0.,0.,1.)); #418164=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #418165=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #418166=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #418167=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #418168=DIRECTION('',(0.,0.,1.)); #418169=DIRECTION('center_axis',(1.,0.,0.)); #418170=DIRECTION('ref_axis',(0.,1.,0.)); #418171=DIRECTION('',(0.,1.,0.)); #418172=DIRECTION('',(0.,1.,0.)); #418173=DIRECTION('',(0.,0.,1.)); #418174=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #418175=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #418176=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #418177=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #418178=DIRECTION('',(0.,0.,1.)); #418179=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #418180=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #418181=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #418182=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #418183=DIRECTION('',(0.,0.,1.)); #418184=DIRECTION('center_axis',(0.578580525622476,-0.815625266510559,0.)); #418185=DIRECTION('ref_axis',(0.815625266510559,0.578580525622476,0.)); #418186=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #418187=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #418188=DIRECTION('center_axis',(0.,0.,1.)); #418189=DIRECTION('ref_axis',(1.,0.,0.)); #418190=DIRECTION('center_axis',(0.,0.,1.)); #418191=DIRECTION('ref_axis',(1.,0.,0.)); #418192=DIRECTION('center_axis',(0.214594192212146,-0.976703298176481,0.)); #418193=DIRECTION('ref_axis',(0.976703298176481,0.214594192212146,0.)); #418194=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #418195=DIRECTION('',(0.,0.,1.)); #418196=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #418197=DIRECTION('',(0.,0.,1.)); #418198=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #418199=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #418200=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #418201=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #418202=DIRECTION('',(0.,0.,1.)); #418203=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #418204=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #418205=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #418206=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #418207=DIRECTION('',(0.,0.,1.)); #418208=DIRECTION('center_axis',(-0.707104920988687,-0.707108641379514, 0.)); #418209=DIRECTION('ref_axis',(0.707108641379514,-0.707104920988687,0.)); #418210=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #418211=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #418212=DIRECTION('',(0.,0.,1.)); #418213=DIRECTION('center_axis',(0.,-1.,0.)); #418214=DIRECTION('ref_axis',(1.,0.,0.)); #418215=DIRECTION('',(1.,0.,0.)); #418216=DIRECTION('',(1.,0.,0.)); #418217=DIRECTION('',(0.,0.,1.)); #418218=DIRECTION('center_axis',(-0.191191000932847,-0.981552851945475, 0.)); #418219=DIRECTION('ref_axis',(0.981552851945475,-0.191191000932847,0.)); #418220=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #418221=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #418222=DIRECTION('',(0.,0.,1.)); #418223=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #418224=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #418225=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #418226=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #418227=DIRECTION('',(0.,0.,1.)); #418228=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #418229=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #418230=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #418231=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #418232=DIRECTION('',(0.,0.,1.)); #418233=DIRECTION('center_axis',(-0.820244441262321,-0.572013161193222, 0.)); #418234=DIRECTION('ref_axis',(0.572013161193222,-0.820244441262321,0.)); #418235=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #418236=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #418237=DIRECTION('',(0.,0.,1.)); #418238=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #418239=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #418240=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #418241=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #418242=DIRECTION('',(0.,0.,1.)); #418243=DIRECTION('center_axis',(-0.985851792830732,0.1676193383009,0.)); #418244=DIRECTION('ref_axis',(-0.1676193383009,-0.985851792830732,0.)); #418245=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #418246=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #418247=DIRECTION('',(0.,0.,1.)); #418248=DIRECTION('center_axis',(-0.842351514327689,0.538928498327793,0.)); #418249=DIRECTION('ref_axis',(-0.538928498327792,-0.842351514327689,0.)); #418250=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #418251=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #418252=DIRECTION('',(0.,0.,1.)); #418253=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #418254=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #418255=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #418256=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #418257=DIRECTION('',(0.,0.,1.)); #418258=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #418259=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #418260=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #418261=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #418262=DIRECTION('',(0.,0.,1.)); #418263=DIRECTION('center_axis',(0.,1.,0.)); #418264=DIRECTION('ref_axis',(-1.,0.,0.)); #418265=DIRECTION('',(-1.,0.,0.)); #418266=DIRECTION('',(-1.,0.,0.)); #418267=DIRECTION('',(0.,0.,1.)); #418268=DIRECTION('center_axis',(0.183314787014838,0.983054265471497,0.)); #418269=DIRECTION('ref_axis',(-0.983054265471497,0.183314787014838,0.)); #418270=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #418271=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #418272=DIRECTION('',(0.,0.,1.)); #418273=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #418274=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #418275=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #418276=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #418277=DIRECTION('',(0.,0.,1.)); #418278=DIRECTION('center_axis',(0.824766287441794,0.565473758099772,0.)); #418279=DIRECTION('ref_axis',(-0.565473758099772,0.824766287441794,0.)); #418280=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #418281=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #418282=DIRECTION('',(0.,0.,1.)); #418283=DIRECTION('center_axis',(0.979997328799727,0.199010641789328,0.)); #418284=DIRECTION('ref_axis',(-0.199010641789328,0.979997328799727,0.)); #418285=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #418286=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #418287=DIRECTION('',(0.,0.,1.)); #418288=DIRECTION('center_axis',(1.,0.,0.)); #418289=DIRECTION('ref_axis',(0.,1.,0.)); #418290=DIRECTION('',(0.,1.,0.)); #418291=DIRECTION('',(0.,1.,0.)); #418292=DIRECTION('',(0.,0.,1.)); #418293=DIRECTION('center_axis',(0.984474676816785,-0.175526666653493,0.)); #418294=DIRECTION('ref_axis',(0.175526666653493,0.984474676816785,0.)); #418295=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #418296=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #418297=DIRECTION('',(0.,0.,1.)); #418298=DIRECTION('center_axis',(0.850855747839124,-0.525399368451396,0.)); #418299=DIRECTION('ref_axis',(0.525399368451396,0.850855747839124,0.)); #418300=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #418301=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #418302=DIRECTION('',(0.,0.,1.)); #418303=DIRECTION('center_axis',(0.57858315794949,-0.81562339920897,0.)); #418304=DIRECTION('ref_axis',(0.81562339920897,0.57858315794949,0.)); #418305=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #418306=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #418307=DIRECTION('center_axis',(0.,0.,1.)); #418308=DIRECTION('ref_axis',(1.,0.,0.)); #418309=DIRECTION('center_axis',(0.,0.,1.)); #418310=DIRECTION('ref_axis',(1.,0.,0.)); #418311=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #418312=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #418313=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #418314=DIRECTION('',(0.,0.,1.)); #418315=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #418316=DIRECTION('',(0.,0.,1.)); #418317=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #418318=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #418319=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #418320=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #418321=DIRECTION('',(0.,0.,1.)); #418322=DIRECTION('center_axis',(-0.552211825696314,-0.833703844036444, 0.)); #418323=DIRECTION('ref_axis',(0.833703844036444,-0.552211825696314,0.)); #418324=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #418325=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #418326=DIRECTION('',(0.,0.,1.)); #418327=DIRECTION('center_axis',(-0.707106781186497,-0.707106781186598, 0.)); #418328=DIRECTION('ref_axis',(0.707106781186598,-0.707106781186497,0.)); #418329=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #418330=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #418331=DIRECTION('',(0.,0.,1.)); #418332=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #418333=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #418334=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #418335=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #418336=DIRECTION('',(0.,0.,1.)); #418337=DIRECTION('center_axis',(-0.97999756386667,-0.199009484234776,0.)); #418338=DIRECTION('ref_axis',(0.199009484234776,-0.97999756386667,0.)); #418339=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #418340=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #418341=DIRECTION('',(0.,0.,1.)); #418342=DIRECTION('center_axis',(-1.,0.,0.)); #418343=DIRECTION('ref_axis',(0.,-1.,0.)); #418344=DIRECTION('',(0.,-1.,0.)); #418345=DIRECTION('',(0.,-1.,0.)); #418346=DIRECTION('',(0.,0.,1.)); #418347=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #418348=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #418349=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #418350=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #418351=DIRECTION('',(0.,0.,1.)); #418352=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #418353=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #418354=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #418355=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #418356=DIRECTION('',(0.,0.,1.)); #418357=DIRECTION('center_axis',(-0.578583157949532,0.815623399208941,0.)); #418358=DIRECTION('ref_axis',(-0.815623399208941,-0.578583157949532,0.)); #418359=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #418360=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #418361=DIRECTION('',(0.,0.,1.)); #418362=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #418363=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #418364=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #418365=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #418366=DIRECTION('',(0.,0.,1.)); #418367=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #418368=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #418369=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #418370=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #418371=DIRECTION('',(0.,0.,1.)); #418372=DIRECTION('center_axis',(0.552227809242898,0.833693256958931,0.)); #418373=DIRECTION('ref_axis',(-0.833693256958931,0.552227809242898,0.)); #418374=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #418375=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #418376=DIRECTION('',(0.,0.,1.)); #418377=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #418378=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #418379=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #418380=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #418381=DIRECTION('',(0.,0.,1.)); #418382=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #418383=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #418384=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #418385=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #418386=DIRECTION('',(0.,0.,1.)); #418387=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #418388=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #418389=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #418390=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #418391=DIRECTION('',(0.,0.,1.)); #418392=DIRECTION('center_axis',(1.,0.,0.)); #418393=DIRECTION('ref_axis',(0.,1.,0.)); #418394=DIRECTION('',(0.,1.,0.)); #418395=DIRECTION('',(0.,1.,0.)); #418396=DIRECTION('',(0.,0.,1.)); #418397=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #418398=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #418399=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #418400=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #418401=DIRECTION('',(0.,0.,1.)); #418402=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #418403=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #418404=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #418405=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #418406=DIRECTION('',(0.,0.,1.)); #418407=DIRECTION('center_axis',(0.578580525622434,-0.815625266510588,0.)); #418408=DIRECTION('ref_axis',(0.815625266510588,0.578580525622434,0.)); #418409=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #418410=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #418411=DIRECTION('center_axis',(0.,0.,1.)); #418412=DIRECTION('ref_axis',(1.,0.,0.)); #418413=DIRECTION('center_axis',(0.,0.,1.)); #418414=DIRECTION('ref_axis',(1.,0.,0.)); #418415=DIRECTION('center_axis',(0.199006783325552,-0.979998112340232,0.)); #418416=DIRECTION('ref_axis',(0.979998112340231,0.199006783325552,0.)); #418417=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #418418=DIRECTION('',(0.,0.,1.)); #418419=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #418420=DIRECTION('',(0.,0.,1.)); #418421=DIRECTION('center_axis',(0.,-1.,0.)); #418422=DIRECTION('ref_axis',(1.,0.,0.)); #418423=DIRECTION('',(1.,0.,0.)); #418424=DIRECTION('',(1.,0.,0.)); #418425=DIRECTION('',(0.,0.,1.)); #418426=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #418427=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #418428=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #418429=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #418430=DIRECTION('',(0.,0.,1.)); #418431=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #418432=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #418433=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #418434=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #418435=DIRECTION('',(0.,0.,1.)); #418436=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #418437=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #418438=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #418439=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #418440=DIRECTION('',(0.,0.,1.)); #418441=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #418442=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #418443=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #418444=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #418445=DIRECTION('',(0.,0.,1.)); #418446=DIRECTION('center_axis',(-0.973161256280918,-0.230124247470243, 0.)); #418447=DIRECTION('ref_axis',(0.230124247470243,-0.973161256280918,0.)); #418448=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #418449=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #418450=DIRECTION('',(0.,0.,1.)); #418451=DIRECTION('center_axis',(-0.98585179283072,0.16761933830097,0.)); #418452=DIRECTION('ref_axis',(-0.16761933830097,-0.98585179283072,0.)); #418453=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #418454=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #418455=DIRECTION('',(0.,0.,1.)); #418456=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #418457=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #418458=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #418459=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #418460=DIRECTION('',(0.,0.,1.)); #418461=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #418462=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #418463=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #418464=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #418465=DIRECTION('',(0.,0.,1.)); #418466=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #418467=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #418468=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #418469=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #418470=DIRECTION('',(0.,0.,1.)); #418471=DIRECTION('center_axis',(0.,1.,0.)); #418472=DIRECTION('ref_axis',(-1.,0.,0.)); #418473=DIRECTION('',(-1.,0.,0.)); #418474=DIRECTION('',(-1.,0.,0.)); #418475=DIRECTION('',(0.,0.,1.)); #418476=DIRECTION('center_axis',(0.191191000932847,0.981552851945475,0.)); #418477=DIRECTION('ref_axis',(-0.981552851945475,0.191191000932847,0.)); #418478=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #418479=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #418480=DIRECTION('',(0.,0.,1.)); #418481=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #418482=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #418483=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #418484=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #418485=DIRECTION('',(0.,0.,1.)); #418486=DIRECTION('center_axis',(0.707106781186588,0.707106781186507,0.)); #418487=DIRECTION('ref_axis',(-0.707106781186507,0.707106781186588,0.)); #418488=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #418489=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #418490=DIRECTION('',(0.,0.,1.)); #418491=DIRECTION('center_axis',(0.824764905148825,0.565475774224546,0.)); #418492=DIRECTION('ref_axis',(-0.565475774224546,0.824764905148825,0.)); #418493=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #418494=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #418495=DIRECTION('',(0.,0.,1.)); #418496=DIRECTION('center_axis',(0.976703298176495,0.214594192212086,0.)); #418497=DIRECTION('ref_axis',(-0.214594192212086,0.976703298176494,0.)); #418498=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #418499=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #418500=DIRECTION('',(0.,0.,1.)); #418501=DIRECTION('center_axis',(0.983041321917696,-0.183384185256821,0.)); #418502=DIRECTION('ref_axis',(0.183384185256821,0.983041321917696,0.)); #418503=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #418504=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #418505=DIRECTION('',(0.,0.,1.)); #418506=DIRECTION('center_axis',(0.833708398048215,-0.552204950198638,0.)); #418507=DIRECTION('ref_axis',(0.552204950198638,0.833708398048215,0.)); #418508=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #418509=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #418510=DIRECTION('',(0.,0.,1.)); #418511=DIRECTION('center_axis',(0.707106781186588,-0.707106781186507,0.)); #418512=DIRECTION('ref_axis',(0.707106781186507,0.707106781186588,0.)); #418513=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #418514=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #418515=DIRECTION('',(0.,0.,1.)); #418516=DIRECTION('center_axis',(0.558856232804846,-0.829264560351626,0.)); #418517=DIRECTION('ref_axis',(0.829264560351626,0.558856232804846,0.)); #418518=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #418519=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #418520=DIRECTION('center_axis',(0.,0.,1.)); #418521=DIRECTION('ref_axis',(1.,0.,0.)); #418522=DIRECTION('center_axis',(0.,0.,1.)); #418523=DIRECTION('ref_axis',(1.,0.,0.)); #418524=DIRECTION('center_axis',(0.,0.,1.)); #418525=DIRECTION('ref_axis',(1.,0.,0.)); #418526=DIRECTION('center_axis',(0.,0.,1.)); #418527=DIRECTION('ref_axis',(1.,0.,0.)); #418528=DIRECTION('',(0.,0.,1.)); #418529=DIRECTION('center_axis',(0.,0.,-1.)); #418530=DIRECTION('ref_axis',(1.,0.,0.)); #418531=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #418532=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #418533=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #418534=DIRECTION('',(0.,0.,1.)); #418535=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #418536=DIRECTION('',(0.,0.,1.)); #418537=DIRECTION('center_axis',(0.,0.,1.)); #418538=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418539=DIRECTION('center_axis',(0.,0.,1.)); #418540=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418541=DIRECTION('center_axis',(0.,0.,1.)); #418542=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418543=DIRECTION('',(0.,0.,1.)); #418544=DIRECTION('center_axis',(0.,0.,1.)); #418545=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418546=DIRECTION('center_axis',(0.,0.,1.)); #418547=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418548=DIRECTION('center_axis',(0.,0.,1.)); #418549=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418550=DIRECTION('center_axis',(0.,0.,1.)); #418551=DIRECTION('ref_axis',(1.,0.,0.)); #418552=DIRECTION('center_axis',(0.,0.,1.)); #418553=DIRECTION('ref_axis',(1.,0.,0.)); #418554=DIRECTION('center_axis',(0.,0.,1.)); #418555=DIRECTION('ref_axis',(1.,0.,0.)); #418556=DIRECTION('center_axis',(0.,0.,1.)); #418557=DIRECTION('ref_axis',(1.,0.,0.)); #418558=DIRECTION('',(0.,0.,1.)); #418559=DIRECTION('center_axis',(0.,0.,-1.)); #418560=DIRECTION('ref_axis',(1.,0.,0.)); #418561=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #418562=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #418563=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #418564=DIRECTION('',(0.,0.,1.)); #418565=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #418566=DIRECTION('',(0.,0.,1.)); #418567=DIRECTION('center_axis',(0.,0.,1.)); #418568=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418569=DIRECTION('center_axis',(0.,0.,1.)); #418570=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418571=DIRECTION('center_axis',(0.,0.,1.)); #418572=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418573=DIRECTION('',(0.,0.,1.)); #418574=DIRECTION('center_axis',(0.,0.,1.)); #418575=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418576=DIRECTION('center_axis',(0.,0.,1.)); #418577=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418578=DIRECTION('center_axis',(0.,0.,1.)); #418579=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418580=DIRECTION('center_axis',(0.,0.,1.)); #418581=DIRECTION('ref_axis',(1.,0.,0.)); #418582=DIRECTION('center_axis',(0.,0.,1.)); #418583=DIRECTION('ref_axis',(1.,0.,0.)); #418584=DIRECTION('center_axis',(0.,0.,1.)); #418585=DIRECTION('ref_axis',(1.,0.,0.)); #418586=DIRECTION('center_axis',(0.,0.,1.)); #418587=DIRECTION('ref_axis',(1.,0.,0.)); #418588=DIRECTION('',(0.,0.,1.)); #418589=DIRECTION('center_axis',(0.,0.,-1.)); #418590=DIRECTION('ref_axis',(1.,0.,0.)); #418591=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #418592=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #418593=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #418594=DIRECTION('',(0.,0.,1.)); #418595=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #418596=DIRECTION('',(0.,0.,1.)); #418597=DIRECTION('center_axis',(0.,0.,1.)); #418598=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418599=DIRECTION('center_axis',(0.,0.,1.)); #418600=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418601=DIRECTION('center_axis',(0.,0.,1.)); #418602=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418603=DIRECTION('',(0.,0.,1.)); #418604=DIRECTION('center_axis',(0.,0.,1.)); #418605=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #418606=DIRECTION('center_axis',(0.,0.,1.)); #418607=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #418608=DIRECTION('center_axis',(0.,0.,1.)); #418609=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #418610=DIRECTION('center_axis',(0.,0.,1.)); #418611=DIRECTION('ref_axis',(1.,0.,0.)); #418612=DIRECTION('center_axis',(0.,0.,1.)); #418613=DIRECTION('ref_axis',(1.,0.,0.)); #418614=DIRECTION('center_axis',(0.,0.,1.)); #418615=DIRECTION('ref_axis',(1.,0.,0.)); #418616=DIRECTION('center_axis',(0.,0.,1.)); #418617=DIRECTION('ref_axis',(1.,0.,0.)); #418618=DIRECTION('',(0.,0.,1.)); #418619=DIRECTION('center_axis',(0.,0.,-1.)); #418620=DIRECTION('ref_axis',(1.,0.,0.)); #418621=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #418622=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #418623=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #418624=DIRECTION('',(0.,0.,1.)); #418625=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #418626=DIRECTION('',(0.,0.,1.)); #418627=DIRECTION('center_axis',(0.,0.,1.)); #418628=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #418629=DIRECTION('center_axis',(0.,0.,1.)); #418630=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #418631=DIRECTION('center_axis',(0.,0.,1.)); #418632=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #418633=DIRECTION('',(0.,0.,1.)); #418634=DIRECTION('center_axis',(0.,0.,1.)); #418635=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #418636=DIRECTION('center_axis',(0.,0.,1.)); #418637=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #418638=DIRECTION('center_axis',(0.,0.,1.)); #418639=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #418640=DIRECTION('center_axis',(0.,0.,1.)); #418641=DIRECTION('ref_axis',(1.,0.,0.)); #418642=DIRECTION('center_axis',(0.,0.,1.)); #418643=DIRECTION('ref_axis',(1.,0.,0.)); #418644=DIRECTION('center_axis',(0.,0.,1.)); #418645=DIRECTION('ref_axis',(1.,0.,0.)); #418646=DIRECTION('center_axis',(0.,0.,1.)); #418647=DIRECTION('ref_axis',(1.,0.,0.)); #418648=DIRECTION('',(0.,0.,1.)); #418649=DIRECTION('center_axis',(0.,0.,-1.)); #418650=DIRECTION('ref_axis',(1.,0.,0.)); #418651=DIRECTION('center_axis',(1.,0.,0.)); #418652=DIRECTION('ref_axis',(0.,1.,0.)); #418653=DIRECTION('',(0.,1.,0.)); #418654=DIRECTION('',(0.,0.,1.)); #418655=DIRECTION('',(0.,1.,0.)); #418656=DIRECTION('',(0.,0.,1.)); #418657=DIRECTION('center_axis',(0.,-1.,0.)); #418658=DIRECTION('ref_axis',(1.,0.,0.)); #418659=DIRECTION('',(1.,0.,0.)); #418660=DIRECTION('',(1.,0.,0.)); #418661=DIRECTION('',(0.,0.,1.)); #418662=DIRECTION('center_axis',(-1.,0.,0.)); #418663=DIRECTION('ref_axis',(0.,-1.,0.)); #418664=DIRECTION('',(0.,-1.,0.)); #418665=DIRECTION('',(0.,-1.,0.)); #418666=DIRECTION('',(0.,0.,1.)); #418667=DIRECTION('center_axis',(0.,1.,0.)); #418668=DIRECTION('ref_axis',(-1.,0.,0.)); #418669=DIRECTION('',(-1.,0.,0.)); #418670=DIRECTION('',(-1.,0.,0.)); #418671=DIRECTION('center_axis',(0.,0.,1.)); #418672=DIRECTION('ref_axis',(1.,0.,0.)); #418673=DIRECTION('center_axis',(0.,0.,1.)); #418674=DIRECTION('ref_axis',(1.,0.,0.)); #418675=DIRECTION('center_axis',(0.,0.,1.)); #418676=DIRECTION('ref_axis',(1.,0.,0.)); #418677=DIRECTION('center_axis',(0.,0.,1.)); #418678=DIRECTION('ref_axis',(1.,0.,0.)); #418679=DIRECTION('',(0.,0.,1.)); #418680=DIRECTION('center_axis',(0.,0.,-1.)); #418681=DIRECTION('ref_axis',(1.,0.,0.)); #418682=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #418683=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #418684=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #418685=DIRECTION('',(0.,0.,1.)); #418686=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #418687=DIRECTION('',(0.,0.,1.)); #418688=DIRECTION('center_axis',(0.,0.,1.)); #418689=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418690=DIRECTION('center_axis',(0.,0.,1.)); #418691=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418692=DIRECTION('center_axis',(0.,0.,1.)); #418693=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418694=DIRECTION('',(0.,0.,1.)); #418695=DIRECTION('center_axis',(0.,0.,1.)); #418696=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #418697=DIRECTION('center_axis',(0.,0.,1.)); #418698=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #418699=DIRECTION('center_axis',(0.,0.,1.)); #418700=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #418701=DIRECTION('center_axis',(0.,0.,1.)); #418702=DIRECTION('ref_axis',(1.,0.,0.)); #418703=DIRECTION('center_axis',(0.,0.,1.)); #418704=DIRECTION('ref_axis',(1.,0.,0.)); #418705=DIRECTION('center_axis',(0.,0.,1.)); #418706=DIRECTION('ref_axis',(1.,0.,0.)); #418707=DIRECTION('center_axis',(0.,0.,1.)); #418708=DIRECTION('ref_axis',(1.,0.,0.)); #418709=DIRECTION('',(0.,0.,1.)); #418710=DIRECTION('center_axis',(0.,0.,-1.)); #418711=DIRECTION('ref_axis',(1.,0.,0.)); #418712=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #418713=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #418714=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #418715=DIRECTION('',(0.,0.,1.)); #418716=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #418717=DIRECTION('',(0.,0.,1.)); #418718=DIRECTION('center_axis',(0.,0.,1.)); #418719=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #418720=DIRECTION('center_axis',(0.,0.,1.)); #418721=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #418722=DIRECTION('center_axis',(0.,0.,1.)); #418723=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #418724=DIRECTION('',(0.,0.,1.)); #418725=DIRECTION('center_axis',(0.,0.,1.)); #418726=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #418727=DIRECTION('center_axis',(0.,0.,1.)); #418728=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #418729=DIRECTION('center_axis',(0.,0.,1.)); #418730=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #418731=DIRECTION('center_axis',(0.,0.,1.)); #418732=DIRECTION('ref_axis',(1.,0.,0.)); #418733=DIRECTION('center_axis',(0.,0.,1.)); #418734=DIRECTION('ref_axis',(1.,0.,0.)); #418735=DIRECTION('center_axis',(0.,0.,1.)); #418736=DIRECTION('ref_axis',(1.,0.,0.)); #418737=DIRECTION('center_axis',(0.,0.,1.)); #418738=DIRECTION('ref_axis',(1.,0.,0.)); #418739=DIRECTION('',(0.,0.,1.)); #418740=DIRECTION('center_axis',(0.,0.,-1.)); #418741=DIRECTION('ref_axis',(1.,0.,0.)); #418742=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #418743=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #418744=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #418745=DIRECTION('',(0.,0.,1.)); #418746=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #418747=DIRECTION('',(0.,0.,1.)); #418748=DIRECTION('center_axis',(0.,0.,1.)); #418749=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418750=DIRECTION('center_axis',(0.,0.,1.)); #418751=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418752=DIRECTION('center_axis',(0.,0.,1.)); #418753=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #418754=DIRECTION('',(0.,0.,1.)); #418755=DIRECTION('center_axis',(0.,0.,1.)); #418756=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418757=DIRECTION('center_axis',(0.,0.,1.)); #418758=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418759=DIRECTION('center_axis',(0.,0.,1.)); #418760=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #418761=DIRECTION('center_axis',(0.,0.,1.)); #418762=DIRECTION('ref_axis',(1.,0.,0.)); #418763=DIRECTION('center_axis',(0.,0.,1.)); #418764=DIRECTION('ref_axis',(1.,0.,0.)); #418765=DIRECTION('center_axis',(0.,0.,1.)); #418766=DIRECTION('ref_axis',(1.,0.,0.)); #418767=DIRECTION('center_axis',(0.,0.,1.)); #418768=DIRECTION('ref_axis',(1.,0.,0.)); #418769=DIRECTION('',(0.,0.,1.)); #418770=DIRECTION('center_axis',(0.,0.,-1.)); #418771=DIRECTION('ref_axis',(1.,0.,0.)); #418772=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #418773=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #418774=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #418775=DIRECTION('',(0.,0.,1.)); #418776=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #418777=DIRECTION('',(0.,0.,1.)); #418778=DIRECTION('center_axis',(0.,0.,1.)); #418779=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418780=DIRECTION('center_axis',(0.,0.,1.)); #418781=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418782=DIRECTION('center_axis',(0.,0.,1.)); #418783=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #418784=DIRECTION('',(0.,0.,1.)); #418785=DIRECTION('center_axis',(0.,0.,1.)); #418786=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #418787=DIRECTION('center_axis',(0.,0.,1.)); #418788=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #418789=DIRECTION('center_axis',(0.,0.,1.)); #418790=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #418791=DIRECTION('center_axis',(0.,0.,1.)); #418792=DIRECTION('ref_axis',(1.,0.,0.)); #418793=DIRECTION('center_axis',(0.,0.,1.)); #418794=DIRECTION('ref_axis',(1.,0.,0.)); #418795=DIRECTION('center_axis',(1.,0.,0.)); #418796=DIRECTION('ref_axis',(0.,1.,0.)); #418797=DIRECTION('',(0.,-1.,0.)); #418798=DIRECTION('',(0.,0.,1.)); #418799=DIRECTION('',(0.,1.,0.)); #418800=DIRECTION('',(0.,0.,1.)); #418801=DIRECTION('center_axis',(0.,0.,1.)); #418802=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #418803=DIRECTION('center_axis',(0.,0.,-1.)); #418804=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #418805=DIRECTION('center_axis',(0.,0.,1.)); #418806=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #418807=DIRECTION('',(0.,0.,1.)); #418808=DIRECTION('center_axis',(-1.,0.,0.)); #418809=DIRECTION('ref_axis',(0.,-1.,0.)); #418810=DIRECTION('',(0.,1.,0.)); #418811=DIRECTION('',(0.,-1.,0.)); #418812=DIRECTION('',(0.,0.,1.)); #418813=DIRECTION('center_axis',(0.,0.,1.)); #418814=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #418815=DIRECTION('center_axis',(0.,0.,-1.)); #418816=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #418817=DIRECTION('center_axis',(0.,0.,1.)); #418818=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #418819=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #418820=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #418821=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #418822=DIRECTION('',(0.,0.,1.)); #418823=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #418824=DIRECTION('',(0.,0.,1.)); #418825=DIRECTION('center_axis',(0.,-1.,0.)); #418826=DIRECTION('ref_axis',(1.,0.,0.)); #418827=DIRECTION('',(1.,0.,0.)); #418828=DIRECTION('',(1.,0.,0.)); #418829=DIRECTION('',(0.,0.,1.)); #418830=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #418831=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #418832=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #418833=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #418834=DIRECTION('',(0.,0.,1.)); #418835=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #418836=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #418837=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #418838=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #418839=DIRECTION('',(0.,0.,1.)); #418840=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #418841=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #418842=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #418843=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #418844=DIRECTION('',(0.,0.,1.)); #418845=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #418846=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #418847=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #418848=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #418849=DIRECTION('',(0.,0.,1.)); #418850=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #418851=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #418852=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #418853=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #418854=DIRECTION('',(0.,0.,1.)); #418855=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #418856=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #418857=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #418858=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #418859=DIRECTION('',(0.,0.,1.)); #418860=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #418861=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #418862=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #418863=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #418864=DIRECTION('',(0.,0.,1.)); #418865=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #418866=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #418867=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #418868=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #418869=DIRECTION('',(0.,0.,1.)); #418870=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #418871=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #418872=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #418873=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #418874=DIRECTION('',(0.,0.,1.)); #418875=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #418876=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #418877=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #418878=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #418879=DIRECTION('',(0.,0.,1.)); #418880=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #418881=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #418882=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #418883=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #418884=DIRECTION('',(0.,0.,1.)); #418885=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #418886=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #418887=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #418888=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #418889=DIRECTION('',(0.,0.,1.)); #418890=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #418891=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #418892=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #418893=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #418894=DIRECTION('',(0.,0.,1.)); #418895=DIRECTION('center_axis',(-1.,0.,0.)); #418896=DIRECTION('ref_axis',(0.,-1.,0.)); #418897=DIRECTION('',(0.,-1.,0.)); #418898=DIRECTION('',(0.,-1.,0.)); #418899=DIRECTION('',(0.,0.,1.)); #418900=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #418901=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #418902=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #418903=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #418904=DIRECTION('',(0.,0.,1.)); #418905=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #418906=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #418907=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #418908=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #418909=DIRECTION('',(0.,0.,1.)); #418910=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #418911=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #418912=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #418913=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #418914=DIRECTION('',(0.,0.,1.)); #418915=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #418916=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #418917=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #418918=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #418919=DIRECTION('',(0.,0.,1.)); #418920=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #418921=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #418922=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #418923=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #418924=DIRECTION('',(0.,0.,1.)); #418925=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #418926=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #418927=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #418928=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #418929=DIRECTION('',(0.,0.,1.)); #418930=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #418931=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #418932=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #418933=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #418934=DIRECTION('',(0.,0.,1.)); #418935=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #418936=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #418937=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #418938=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #418939=DIRECTION('',(0.,0.,1.)); #418940=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #418941=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #418942=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #418943=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #418944=DIRECTION('',(0.,0.,1.)); #418945=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #418946=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #418947=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #418948=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #418949=DIRECTION('',(0.,0.,1.)); #418950=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #418951=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #418952=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #418953=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #418954=DIRECTION('',(0.,0.,1.)); #418955=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #418956=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #418957=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #418958=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #418959=DIRECTION('',(0.,0.,1.)); #418960=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #418961=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #418962=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #418963=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #418964=DIRECTION('',(0.,0.,1.)); #418965=DIRECTION('center_axis',(0.,1.,0.)); #418966=DIRECTION('ref_axis',(-1.,0.,0.)); #418967=DIRECTION('',(-1.,0.,0.)); #418968=DIRECTION('',(-1.,0.,0.)); #418969=DIRECTION('',(0.,0.,1.)); #418970=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #418971=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #418972=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #418973=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #418974=DIRECTION('',(0.,0.,1.)); #418975=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #418976=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #418977=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #418978=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #418979=DIRECTION('',(0.,0.,1.)); #418980=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #418981=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #418982=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #418983=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #418984=DIRECTION('',(0.,0.,1.)); #418985=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #418986=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #418987=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #418988=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #418989=DIRECTION('',(0.,0.,1.)); #418990=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #418991=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #418992=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #418993=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #418994=DIRECTION('',(0.,0.,1.)); #418995=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #418996=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #418997=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #418998=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #418999=DIRECTION('',(0.,0.,1.)); #419000=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #419001=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #419002=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #419003=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #419004=DIRECTION('',(0.,0.,1.)); #419005=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #419006=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #419007=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #419008=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #419009=DIRECTION('',(0.,0.,1.)); #419010=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #419011=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #419012=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #419013=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #419014=DIRECTION('',(0.,0.,1.)); #419015=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #419016=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #419017=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #419018=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #419019=DIRECTION('',(0.,0.,1.)); #419020=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #419021=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #419022=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #419023=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #419024=DIRECTION('',(0.,0.,1.)); #419025=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #419026=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #419027=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #419028=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #419029=DIRECTION('',(0.,0.,1.)); #419030=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #419031=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #419032=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #419033=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #419034=DIRECTION('',(0.,0.,1.)); #419035=DIRECTION('center_axis',(1.,0.,0.)); #419036=DIRECTION('ref_axis',(0.,1.,0.)); #419037=DIRECTION('',(0.,1.,0.)); #419038=DIRECTION('',(0.,1.,0.)); #419039=DIRECTION('',(0.,0.,1.)); #419040=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #419041=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #419042=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #419043=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #419044=DIRECTION('',(0.,0.,1.)); #419045=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #419046=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #419047=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #419048=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #419049=DIRECTION('',(0.,0.,1.)); #419050=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #419051=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #419052=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #419053=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #419054=DIRECTION('',(0.,0.,1.)); #419055=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #419056=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #419057=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #419058=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #419059=DIRECTION('',(0.,0.,1.)); #419060=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #419061=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #419062=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #419063=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #419064=DIRECTION('',(0.,0.,1.)); #419065=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #419066=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #419067=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #419068=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #419069=DIRECTION('',(0.,0.,1.)); #419070=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #419071=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #419072=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #419073=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #419074=DIRECTION('',(0.,0.,1.)); #419075=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #419076=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #419077=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #419078=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #419079=DIRECTION('',(0.,0.,1.)); #419080=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #419081=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #419082=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #419083=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #419084=DIRECTION('',(0.,0.,1.)); #419085=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #419086=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #419087=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #419088=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #419089=DIRECTION('',(0.,0.,1.)); #419090=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #419091=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #419092=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #419093=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #419094=DIRECTION('',(0.,0.,1.)); #419095=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #419096=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #419097=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #419098=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #419099=DIRECTION('center_axis',(0.,0.,1.)); #419100=DIRECTION('ref_axis',(1.,0.,0.)); #419101=DIRECTION('center_axis',(0.,0.,1.)); #419102=DIRECTION('ref_axis',(1.,0.,0.)); #419103=DIRECTION('center_axis',(0.,1.,0.)); #419104=DIRECTION('ref_axis',(-1.,0.,0.)); #419105=DIRECTION('',(1.,0.,0.)); #419106=DIRECTION('',(0.,0.,1.)); #419107=DIRECTION('',(-1.,0.,0.)); #419108=DIRECTION('',(0.,0.,1.)); #419109=DIRECTION('center_axis',(0.,0.,1.)); #419110=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #419111=DIRECTION('center_axis',(0.,0.,-1.)); #419112=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #419113=DIRECTION('center_axis',(0.,0.,1.)); #419114=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #419115=DIRECTION('',(0.,0.,1.)); #419116=DIRECTION('center_axis',(0.,-1.,0.)); #419117=DIRECTION('ref_axis',(1.,0.,0.)); #419118=DIRECTION('',(-1.,0.,0.)); #419119=DIRECTION('',(1.,0.,0.)); #419120=DIRECTION('',(0.,0.,1.)); #419121=DIRECTION('center_axis',(0.,0.,1.)); #419122=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #419123=DIRECTION('center_axis',(0.,0.,-1.)); #419124=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #419125=DIRECTION('center_axis',(0.,0.,1.)); #419126=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #419127=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #419128=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #419129=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #419130=DIRECTION('',(0.,0.,1.)); #419131=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #419132=DIRECTION('',(0.,0.,1.)); #419133=DIRECTION('center_axis',(0.,-1.,0.)); #419134=DIRECTION('ref_axis',(1.,0.,0.)); #419135=DIRECTION('',(1.,0.,0.)); #419136=DIRECTION('',(1.,0.,0.)); #419137=DIRECTION('',(0.,0.,1.)); #419138=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #419139=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #419140=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #419141=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #419142=DIRECTION('',(0.,0.,1.)); #419143=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #419144=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #419145=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #419146=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #419147=DIRECTION('',(0.,0.,1.)); #419148=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #419149=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #419150=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #419151=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #419152=DIRECTION('',(0.,0.,1.)); #419153=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #419154=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #419155=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #419156=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #419157=DIRECTION('',(0.,0.,1.)); #419158=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #419159=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #419160=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #419161=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #419162=DIRECTION('',(0.,0.,1.)); #419163=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #419164=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #419165=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #419166=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #419167=DIRECTION('',(0.,0.,1.)); #419168=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #419169=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #419170=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #419171=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #419172=DIRECTION('',(0.,0.,1.)); #419173=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #419174=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #419175=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #419176=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #419177=DIRECTION('',(0.,0.,1.)); #419178=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #419179=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #419180=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #419181=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #419182=DIRECTION('',(0.,0.,1.)); #419183=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #419184=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #419185=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #419186=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #419187=DIRECTION('',(0.,0.,1.)); #419188=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #419189=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #419190=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #419191=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #419192=DIRECTION('',(0.,0.,1.)); #419193=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #419194=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #419195=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #419196=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #419197=DIRECTION('',(0.,0.,1.)); #419198=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #419199=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #419200=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #419201=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #419202=DIRECTION('',(0.,0.,1.)); #419203=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #419204=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #419205=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #419206=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #419207=DIRECTION('',(0.,0.,1.)); #419208=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #419209=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #419210=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #419211=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #419212=DIRECTION('',(0.,0.,1.)); #419213=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #419214=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #419215=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #419216=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #419217=DIRECTION('',(0.,0.,1.)); #419218=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #419219=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #419220=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #419221=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #419222=DIRECTION('',(0.,0.,1.)); #419223=DIRECTION('center_axis',(-1.,0.,0.)); #419224=DIRECTION('ref_axis',(0.,-1.,0.)); #419225=DIRECTION('',(0.,-1.,0.)); #419226=DIRECTION('',(0.,-1.,0.)); #419227=DIRECTION('',(0.,0.,1.)); #419228=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #419229=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #419230=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #419231=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #419232=DIRECTION('',(0.,0.,1.)); #419233=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #419234=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #419235=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #419236=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #419237=DIRECTION('',(0.,0.,1.)); #419238=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #419239=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #419240=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #419241=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #419242=DIRECTION('',(0.,0.,1.)); #419243=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #419244=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #419245=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #419246=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #419247=DIRECTION('',(0.,0.,1.)); #419248=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #419249=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #419250=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #419251=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #419252=DIRECTION('',(0.,0.,1.)); #419253=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #419254=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #419255=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #419256=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #419257=DIRECTION('',(0.,0.,1.)); #419258=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #419259=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #419260=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #419261=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #419262=DIRECTION('',(0.,0.,1.)); #419263=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #419264=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #419265=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #419266=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #419267=DIRECTION('',(0.,0.,1.)); #419268=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #419269=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #419270=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #419271=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #419272=DIRECTION('',(0.,0.,1.)); #419273=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #419274=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #419275=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #419276=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #419277=DIRECTION('',(0.,0.,1.)); #419278=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #419279=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #419280=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #419281=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #419282=DIRECTION('',(0.,0.,1.)); #419283=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #419284=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #419285=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #419286=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #419287=DIRECTION('',(0.,0.,1.)); #419288=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #419289=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #419290=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #419291=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #419292=DIRECTION('',(0.,0.,1.)); #419293=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #419294=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #419295=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #419296=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #419297=DIRECTION('',(0.,0.,1.)); #419298=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #419299=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #419300=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #419301=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #419302=DIRECTION('',(0.,0.,1.)); #419303=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #419304=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #419305=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #419306=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #419307=DIRECTION('',(0.,0.,1.)); #419308=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #419309=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #419310=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #419311=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #419312=DIRECTION('',(0.,0.,1.)); #419313=DIRECTION('center_axis',(0.,1.,0.)); #419314=DIRECTION('ref_axis',(-1.,0.,0.)); #419315=DIRECTION('',(-1.,0.,0.)); #419316=DIRECTION('',(-1.,0.,0.)); #419317=DIRECTION('',(0.,0.,1.)); #419318=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #419319=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #419320=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #419321=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #419322=DIRECTION('',(0.,0.,1.)); #419323=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #419324=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #419325=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #419326=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #419327=DIRECTION('',(0.,0.,1.)); #419328=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #419329=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #419330=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #419331=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #419332=DIRECTION('',(0.,0.,1.)); #419333=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #419334=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #419335=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #419336=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #419337=DIRECTION('',(0.,0.,1.)); #419338=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #419339=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #419340=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #419341=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #419342=DIRECTION('',(0.,0.,1.)); #419343=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #419344=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #419345=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #419346=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #419347=DIRECTION('',(0.,0.,1.)); #419348=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #419349=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #419350=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #419351=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #419352=DIRECTION('',(0.,0.,1.)); #419353=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #419354=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #419355=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #419356=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #419357=DIRECTION('',(0.,0.,1.)); #419358=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #419359=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #419360=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #419361=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #419362=DIRECTION('',(0.,0.,1.)); #419363=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #419364=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #419365=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #419366=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #419367=DIRECTION('',(0.,0.,1.)); #419368=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #419369=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #419370=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #419371=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #419372=DIRECTION('',(0.,0.,1.)); #419373=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #419374=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #419375=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #419376=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #419377=DIRECTION('',(0.,0.,1.)); #419378=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #419379=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #419380=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #419381=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #419382=DIRECTION('',(0.,0.,1.)); #419383=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #419384=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #419385=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #419386=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #419387=DIRECTION('',(0.,0.,1.)); #419388=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #419389=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #419390=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #419391=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #419392=DIRECTION('',(0.,0.,1.)); #419393=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #419394=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #419395=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #419396=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #419397=DIRECTION('',(0.,0.,1.)); #419398=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #419399=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #419400=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #419401=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #419402=DIRECTION('',(0.,0.,1.)); #419403=DIRECTION('center_axis',(1.,0.,0.)); #419404=DIRECTION('ref_axis',(0.,1.,0.)); #419405=DIRECTION('',(0.,1.,0.)); #419406=DIRECTION('',(0.,1.,0.)); #419407=DIRECTION('',(0.,0.,1.)); #419408=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #419409=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #419410=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #419411=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #419412=DIRECTION('',(0.,0.,1.)); #419413=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #419414=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #419415=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #419416=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #419417=DIRECTION('',(0.,0.,1.)); #419418=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #419419=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #419420=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #419421=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #419422=DIRECTION('',(0.,0.,1.)); #419423=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #419424=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #419425=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #419426=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #419427=DIRECTION('',(0.,0.,1.)); #419428=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #419429=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #419430=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #419431=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #419432=DIRECTION('',(0.,0.,1.)); #419433=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #419434=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #419435=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #419436=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #419437=DIRECTION('',(0.,0.,1.)); #419438=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #419439=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #419440=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #419441=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #419442=DIRECTION('',(0.,0.,1.)); #419443=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #419444=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #419445=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #419446=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #419447=DIRECTION('',(0.,0.,1.)); #419448=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #419449=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #419450=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #419451=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #419452=DIRECTION('',(0.,0.,1.)); #419453=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #419454=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #419455=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #419456=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #419457=DIRECTION('',(0.,0.,1.)); #419458=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #419459=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #419460=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #419461=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #419462=DIRECTION('',(0.,0.,1.)); #419463=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #419464=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #419465=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #419466=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #419467=DIRECTION('',(0.,0.,1.)); #419468=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #419469=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #419470=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #419471=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #419472=DIRECTION('',(0.,0.,1.)); #419473=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #419474=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #419475=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #419476=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #419477=DIRECTION('',(0.,0.,1.)); #419478=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #419479=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #419480=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #419481=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #419482=DIRECTION('',(0.,0.,1.)); #419483=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #419484=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #419485=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #419486=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #419487=DIRECTION('center_axis',(0.,0.,1.)); #419488=DIRECTION('ref_axis',(1.,0.,0.)); #419489=DIRECTION('center_axis',(0.,0.,1.)); #419490=DIRECTION('ref_axis',(1.,0.,0.)); #419491=DIRECTION('center_axis',(1.,0.,0.)); #419492=DIRECTION('ref_axis',(0.,1.,0.)); #419493=DIRECTION('',(0.,-1.,0.)); #419494=DIRECTION('',(0.,0.,1.)); #419495=DIRECTION('',(0.,1.,0.)); #419496=DIRECTION('',(0.,0.,1.)); #419497=DIRECTION('center_axis',(0.,0.,1.)); #419498=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #419499=DIRECTION('center_axis',(0.,0.,-1.)); #419500=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #419501=DIRECTION('center_axis',(0.,0.,1.)); #419502=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #419503=DIRECTION('',(0.,0.,1.)); #419504=DIRECTION('center_axis',(-1.,0.,0.)); #419505=DIRECTION('ref_axis',(0.,-1.,0.)); #419506=DIRECTION('',(0.,1.,0.)); #419507=DIRECTION('',(0.,-1.,0.)); #419508=DIRECTION('',(0.,0.,1.)); #419509=DIRECTION('center_axis',(0.,0.,1.)); #419510=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #419511=DIRECTION('center_axis',(0.,0.,-1.)); #419512=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #419513=DIRECTION('center_axis',(0.,0.,1.)); #419514=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #419515=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #419516=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #419517=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #419518=DIRECTION('',(0.,0.,1.)); #419519=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #419520=DIRECTION('',(0.,0.,1.)); #419521=DIRECTION('center_axis',(0.,-1.,0.)); #419522=DIRECTION('ref_axis',(1.,0.,0.)); #419523=DIRECTION('',(1.,0.,0.)); #419524=DIRECTION('',(1.,0.,0.)); #419525=DIRECTION('',(0.,0.,1.)); #419526=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #419527=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #419528=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #419529=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #419530=DIRECTION('',(0.,0.,1.)); #419531=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #419532=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #419533=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #419534=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #419535=DIRECTION('',(0.,0.,1.)); #419536=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #419537=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #419538=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #419539=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #419540=DIRECTION('',(0.,0.,1.)); #419541=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #419542=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #419543=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #419544=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #419545=DIRECTION('',(0.,0.,1.)); #419546=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #419547=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #419548=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #419549=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #419550=DIRECTION('',(0.,0.,1.)); #419551=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #419552=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #419553=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #419554=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #419555=DIRECTION('',(0.,0.,1.)); #419556=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #419557=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #419558=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #419559=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #419560=DIRECTION('',(0.,0.,1.)); #419561=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #419562=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #419563=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #419564=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #419565=DIRECTION('',(0.,0.,1.)); #419566=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #419567=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #419568=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #419569=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #419570=DIRECTION('',(0.,0.,1.)); #419571=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #419572=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #419573=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #419574=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #419575=DIRECTION('',(0.,0.,1.)); #419576=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #419577=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #419578=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #419579=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #419580=DIRECTION('',(0.,0.,1.)); #419581=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #419582=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #419583=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #419584=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #419585=DIRECTION('',(0.,0.,1.)); #419586=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #419587=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #419588=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #419589=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #419590=DIRECTION('',(0.,0.,1.)); #419591=DIRECTION('center_axis',(-1.,0.,0.)); #419592=DIRECTION('ref_axis',(0.,-1.,0.)); #419593=DIRECTION('',(0.,-1.,0.)); #419594=DIRECTION('',(0.,-1.,0.)); #419595=DIRECTION('',(0.,0.,1.)); #419596=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #419597=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #419598=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #419599=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #419600=DIRECTION('',(0.,0.,1.)); #419601=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #419602=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #419603=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #419604=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #419605=DIRECTION('',(0.,0.,1.)); #419606=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #419607=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #419608=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #419609=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #419610=DIRECTION('',(0.,0.,1.)); #419611=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #419612=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #419613=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #419614=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #419615=DIRECTION('',(0.,0.,1.)); #419616=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #419617=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #419618=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #419619=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #419620=DIRECTION('',(0.,0.,1.)); #419621=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #419622=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #419623=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #419624=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #419625=DIRECTION('',(0.,0.,1.)); #419626=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #419627=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #419628=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #419629=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #419630=DIRECTION('',(0.,0.,1.)); #419631=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #419632=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #419633=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #419634=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #419635=DIRECTION('',(0.,0.,1.)); #419636=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #419637=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #419638=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #419639=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #419640=DIRECTION('',(0.,0.,1.)); #419641=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #419642=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #419643=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #419644=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #419645=DIRECTION('',(0.,0.,1.)); #419646=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #419647=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #419648=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #419649=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #419650=DIRECTION('',(0.,0.,1.)); #419651=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #419652=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #419653=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #419654=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #419655=DIRECTION('',(0.,0.,1.)); #419656=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #419657=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #419658=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #419659=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #419660=DIRECTION('',(0.,0.,1.)); #419661=DIRECTION('center_axis',(0.,1.,0.)); #419662=DIRECTION('ref_axis',(-1.,0.,0.)); #419663=DIRECTION('',(-1.,0.,0.)); #419664=DIRECTION('',(-1.,0.,0.)); #419665=DIRECTION('',(0.,0.,1.)); #419666=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #419667=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #419668=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #419669=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #419670=DIRECTION('',(0.,0.,1.)); #419671=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #419672=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #419673=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #419674=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #419675=DIRECTION('',(0.,0.,1.)); #419676=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #419677=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #419678=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #419679=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #419680=DIRECTION('',(0.,0.,1.)); #419681=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #419682=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #419683=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #419684=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #419685=DIRECTION('',(0.,0.,1.)); #419686=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #419687=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #419688=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #419689=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #419690=DIRECTION('',(0.,0.,1.)); #419691=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #419692=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #419693=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #419694=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #419695=DIRECTION('',(0.,0.,1.)); #419696=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #419697=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #419698=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #419699=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #419700=DIRECTION('',(0.,0.,1.)); #419701=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #419702=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #419703=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #419704=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #419705=DIRECTION('',(0.,0.,1.)); #419706=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #419707=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #419708=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #419709=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #419710=DIRECTION('',(0.,0.,1.)); #419711=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #419712=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #419713=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #419714=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #419715=DIRECTION('',(0.,0.,1.)); #419716=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #419717=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #419718=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #419719=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #419720=DIRECTION('',(0.,0.,1.)); #419721=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #419722=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #419723=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #419724=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #419725=DIRECTION('',(0.,0.,1.)); #419726=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #419727=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #419728=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #419729=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #419730=DIRECTION('',(0.,0.,1.)); #419731=DIRECTION('center_axis',(1.,0.,0.)); #419732=DIRECTION('ref_axis',(0.,1.,0.)); #419733=DIRECTION('',(0.,1.,0.)); #419734=DIRECTION('',(0.,1.,0.)); #419735=DIRECTION('',(0.,0.,1.)); #419736=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #419737=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #419738=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #419739=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #419740=DIRECTION('',(0.,0.,1.)); #419741=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #419742=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #419743=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #419744=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #419745=DIRECTION('',(0.,0.,1.)); #419746=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #419747=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #419748=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #419749=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #419750=DIRECTION('',(0.,0.,1.)); #419751=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #419752=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #419753=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #419754=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #419755=DIRECTION('',(0.,0.,1.)); #419756=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #419757=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #419758=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #419759=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #419760=DIRECTION('',(0.,0.,1.)); #419761=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #419762=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #419763=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #419764=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #419765=DIRECTION('',(0.,0.,1.)); #419766=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #419767=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #419768=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #419769=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #419770=DIRECTION('',(0.,0.,1.)); #419771=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #419772=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #419773=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #419774=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #419775=DIRECTION('',(0.,0.,1.)); #419776=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #419777=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #419778=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #419779=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #419780=DIRECTION('',(0.,0.,1.)); #419781=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #419782=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #419783=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #419784=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #419785=DIRECTION('',(0.,0.,1.)); #419786=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #419787=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #419788=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #419789=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #419790=DIRECTION('',(0.,0.,1.)); #419791=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #419792=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #419793=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #419794=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #419795=DIRECTION('center_axis',(0.,0.,1.)); #419796=DIRECTION('ref_axis',(1.,0.,0.)); #419797=DIRECTION('center_axis',(0.,0.,1.)); #419798=DIRECTION('ref_axis',(1.,0.,0.)); #419799=DIRECTION('center_axis',(0.,0.,1.)); #419800=DIRECTION('ref_axis',(1.,0.,0.)); #419801=DIRECTION('center_axis',(0.,0.,1.)); #419802=DIRECTION('ref_axis',(1.,0.,0.)); #419803=DIRECTION('',(0.,0.,1.)); #419804=DIRECTION('center_axis',(0.,0.,-1.)); #419805=DIRECTION('ref_axis',(1.,0.,0.)); #419806=DIRECTION('center_axis',(1.,0.,0.)); #419807=DIRECTION('ref_axis',(0.,1.,0.)); #419808=DIRECTION('',(0.,1.,0.)); #419809=DIRECTION('',(0.,0.,1.)); #419810=DIRECTION('',(0.,1.,0.)); #419811=DIRECTION('',(0.,0.,1.)); #419812=DIRECTION('center_axis',(0.,-1.,0.)); #419813=DIRECTION('ref_axis',(1.,0.,0.)); #419814=DIRECTION('',(1.,0.,0.)); #419815=DIRECTION('',(1.,0.,0.)); #419816=DIRECTION('',(0.,0.,1.)); #419817=DIRECTION('center_axis',(-1.,0.,0.)); #419818=DIRECTION('ref_axis',(0.,-1.,0.)); #419819=DIRECTION('',(0.,-1.,0.)); #419820=DIRECTION('',(0.,-1.,0.)); #419821=DIRECTION('',(0.,0.,1.)); #419822=DIRECTION('center_axis',(0.,1.,0.)); #419823=DIRECTION('ref_axis',(-1.,0.,0.)); #419824=DIRECTION('',(-1.,0.,0.)); #419825=DIRECTION('',(-1.,0.,0.)); #419826=DIRECTION('center_axis',(0.,0.,1.)); #419827=DIRECTION('ref_axis',(1.,0.,0.)); #419828=DIRECTION('center_axis',(0.,0.,1.)); #419829=DIRECTION('ref_axis',(1.,0.,0.)); #419830=DIRECTION('center_axis',(1.,0.,0.)); #419831=DIRECTION('ref_axis',(0.,1.,0.)); #419832=DIRECTION('',(0.,-1.,0.)); #419833=DIRECTION('',(0.,0.,1.)); #419834=DIRECTION('',(0.,1.,0.)); #419835=DIRECTION('',(0.,0.,1.)); #419836=DIRECTION('center_axis',(0.,0.,1.)); #419837=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #419838=DIRECTION('center_axis',(0.,0.,-1.)); #419839=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #419840=DIRECTION('center_axis',(0.,0.,1.)); #419841=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #419842=DIRECTION('',(0.,0.,1.)); #419843=DIRECTION('center_axis',(-1.,0.,0.)); #419844=DIRECTION('ref_axis',(0.,-1.,0.)); #419845=DIRECTION('',(0.,1.,0.)); #419846=DIRECTION('',(0.,-1.,0.)); #419847=DIRECTION('',(0.,0.,1.)); #419848=DIRECTION('center_axis',(0.,0.,1.)); #419849=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #419850=DIRECTION('center_axis',(0.,0.,-1.)); #419851=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #419852=DIRECTION('center_axis',(0.,0.,1.)); #419853=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #419854=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #419855=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #419856=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #419857=DIRECTION('',(0.,0.,1.)); #419858=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #419859=DIRECTION('',(0.,0.,1.)); #419860=DIRECTION('center_axis',(0.,-1.,0.)); #419861=DIRECTION('ref_axis',(1.,0.,0.)); #419862=DIRECTION('',(1.,0.,0.)); #419863=DIRECTION('',(1.,0.,0.)); #419864=DIRECTION('',(0.,0.,1.)); #419865=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #419866=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #419867=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #419868=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #419869=DIRECTION('',(0.,0.,1.)); #419870=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #419871=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #419872=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #419873=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #419874=DIRECTION('',(0.,0.,1.)); #419875=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #419876=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #419877=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #419878=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #419879=DIRECTION('',(0.,0.,1.)); #419880=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #419881=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #419882=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #419883=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #419884=DIRECTION('',(0.,0.,1.)); #419885=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #419886=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #419887=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #419888=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #419889=DIRECTION('',(0.,0.,1.)); #419890=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #419891=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #419892=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #419893=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #419894=DIRECTION('',(0.,0.,1.)); #419895=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #419896=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #419897=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #419898=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #419899=DIRECTION('',(0.,0.,1.)); #419900=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #419901=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #419902=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #419903=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #419904=DIRECTION('',(0.,0.,1.)); #419905=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #419906=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #419907=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #419908=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #419909=DIRECTION('',(0.,0.,1.)); #419910=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #419911=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #419912=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #419913=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #419914=DIRECTION('',(0.,0.,1.)); #419915=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #419916=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #419917=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #419918=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #419919=DIRECTION('',(0.,0.,1.)); #419920=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #419921=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #419922=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #419923=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #419924=DIRECTION('',(0.,0.,1.)); #419925=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #419926=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #419927=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #419928=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #419929=DIRECTION('',(0.,0.,1.)); #419930=DIRECTION('center_axis',(-1.,0.,0.)); #419931=DIRECTION('ref_axis',(0.,-1.,0.)); #419932=DIRECTION('',(0.,-1.,0.)); #419933=DIRECTION('',(0.,-1.,0.)); #419934=DIRECTION('',(0.,0.,1.)); #419935=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #419936=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #419937=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #419938=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #419939=DIRECTION('',(0.,0.,1.)); #419940=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #419941=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #419942=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #419943=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #419944=DIRECTION('',(0.,0.,1.)); #419945=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #419946=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #419947=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #419948=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #419949=DIRECTION('',(0.,0.,1.)); #419950=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #419951=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #419952=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #419953=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #419954=DIRECTION('',(0.,0.,1.)); #419955=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #419956=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #419957=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #419958=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #419959=DIRECTION('',(0.,0.,1.)); #419960=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #419961=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #419962=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #419963=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #419964=DIRECTION('',(0.,0.,1.)); #419965=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #419966=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #419967=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #419968=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #419969=DIRECTION('',(0.,0.,1.)); #419970=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #419971=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #419972=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #419973=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #419974=DIRECTION('',(0.,0.,1.)); #419975=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #419976=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #419977=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #419978=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #419979=DIRECTION('',(0.,0.,1.)); #419980=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #419981=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #419982=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #419983=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #419984=DIRECTION('',(0.,0.,1.)); #419985=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #419986=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #419987=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #419988=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #419989=DIRECTION('',(0.,0.,1.)); #419990=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #419991=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #419992=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #419993=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #419994=DIRECTION('',(0.,0.,1.)); #419995=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #419996=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #419997=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #419998=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #419999=DIRECTION('',(0.,0.,1.)); #420000=DIRECTION('center_axis',(0.,1.,0.)); #420001=DIRECTION('ref_axis',(-1.,0.,0.)); #420002=DIRECTION('',(-1.,0.,0.)); #420003=DIRECTION('',(-1.,0.,0.)); #420004=DIRECTION('',(0.,0.,1.)); #420005=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #420006=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #420007=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #420008=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #420009=DIRECTION('',(0.,0.,1.)); #420010=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #420011=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #420012=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #420013=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #420014=DIRECTION('',(0.,0.,1.)); #420015=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #420016=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #420017=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #420018=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #420019=DIRECTION('',(0.,0.,1.)); #420020=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #420021=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #420022=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #420023=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #420024=DIRECTION('',(0.,0.,1.)); #420025=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #420026=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #420027=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #420028=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #420029=DIRECTION('',(0.,0.,1.)); #420030=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #420031=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #420032=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #420033=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #420034=DIRECTION('',(0.,0.,1.)); #420035=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #420036=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #420037=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #420038=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #420039=DIRECTION('',(0.,0.,1.)); #420040=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #420041=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #420042=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #420043=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #420044=DIRECTION('',(0.,0.,1.)); #420045=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #420046=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #420047=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #420048=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #420049=DIRECTION('',(0.,0.,1.)); #420050=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #420051=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #420052=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #420053=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #420054=DIRECTION('',(0.,0.,1.)); #420055=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #420056=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #420057=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #420058=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #420059=DIRECTION('',(0.,0.,1.)); #420060=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #420061=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #420062=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #420063=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #420064=DIRECTION('',(0.,0.,1.)); #420065=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #420066=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #420067=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #420068=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #420069=DIRECTION('',(0.,0.,1.)); #420070=DIRECTION('center_axis',(1.,0.,0.)); #420071=DIRECTION('ref_axis',(0.,1.,0.)); #420072=DIRECTION('',(0.,1.,0.)); #420073=DIRECTION('',(0.,1.,0.)); #420074=DIRECTION('',(0.,0.,1.)); #420075=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #420076=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #420077=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #420078=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #420079=DIRECTION('',(0.,0.,1.)); #420080=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #420081=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #420082=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #420083=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #420084=DIRECTION('',(0.,0.,1.)); #420085=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #420086=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #420087=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #420088=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #420089=DIRECTION('',(0.,0.,1.)); #420090=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #420091=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #420092=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #420093=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #420094=DIRECTION('',(0.,0.,1.)); #420095=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #420096=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #420097=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #420098=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #420099=DIRECTION('',(0.,0.,1.)); #420100=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #420101=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #420102=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #420103=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #420104=DIRECTION('',(0.,0.,1.)); #420105=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #420106=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #420107=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #420108=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #420109=DIRECTION('',(0.,0.,1.)); #420110=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #420111=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #420112=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #420113=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #420114=DIRECTION('',(0.,0.,1.)); #420115=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #420116=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #420117=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #420118=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #420119=DIRECTION('',(0.,0.,1.)); #420120=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #420121=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #420122=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #420123=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #420124=DIRECTION('',(0.,0.,1.)); #420125=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #420126=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #420127=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #420128=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #420129=DIRECTION('',(0.,0.,1.)); #420130=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #420131=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #420132=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #420133=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #420134=DIRECTION('center_axis',(0.,0.,1.)); #420135=DIRECTION('ref_axis',(1.,0.,0.)); #420136=DIRECTION('center_axis',(0.,0.,1.)); #420137=DIRECTION('ref_axis',(1.,0.,0.)); #420138=DIRECTION('center_axis',(0.,1.,0.)); #420139=DIRECTION('ref_axis',(-1.,0.,0.)); #420140=DIRECTION('',(1.,0.,0.)); #420141=DIRECTION('',(0.,0.,1.)); #420142=DIRECTION('',(-1.,0.,0.)); #420143=DIRECTION('',(0.,0.,1.)); #420144=DIRECTION('center_axis',(0.,0.,1.)); #420145=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #420146=DIRECTION('center_axis',(0.,0.,-1.)); #420147=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #420148=DIRECTION('center_axis',(0.,0.,1.)); #420149=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #420150=DIRECTION('',(0.,0.,1.)); #420151=DIRECTION('center_axis',(0.,-1.,0.)); #420152=DIRECTION('ref_axis',(1.,0.,0.)); #420153=DIRECTION('',(-1.,0.,0.)); #420154=DIRECTION('',(1.,0.,0.)); #420155=DIRECTION('',(0.,0.,1.)); #420156=DIRECTION('center_axis',(0.,0.,1.)); #420157=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #420158=DIRECTION('center_axis',(0.,0.,-1.)); #420159=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #420160=DIRECTION('center_axis',(0.,0.,1.)); #420161=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #420162=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #420163=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #420164=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #420165=DIRECTION('',(0.,0.,1.)); #420166=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #420167=DIRECTION('',(0.,0.,1.)); #420168=DIRECTION('center_axis',(0.,-1.,0.)); #420169=DIRECTION('ref_axis',(1.,0.,0.)); #420170=DIRECTION('',(1.,0.,0.)); #420171=DIRECTION('',(1.,0.,0.)); #420172=DIRECTION('',(0.,0.,1.)); #420173=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #420174=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #420175=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #420176=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #420177=DIRECTION('',(0.,0.,1.)); #420178=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #420179=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #420180=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #420181=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #420182=DIRECTION('',(0.,0.,1.)); #420183=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #420184=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #420185=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #420186=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #420187=DIRECTION('',(0.,0.,1.)); #420188=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #420189=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #420190=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #420191=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #420192=DIRECTION('',(0.,0.,1.)); #420193=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #420194=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #420195=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #420196=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #420197=DIRECTION('',(0.,0.,1.)); #420198=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #420199=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #420200=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #420201=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #420202=DIRECTION('',(0.,0.,1.)); #420203=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #420204=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #420205=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #420206=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #420207=DIRECTION('',(0.,0.,1.)); #420208=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #420209=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #420210=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #420211=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #420212=DIRECTION('',(0.,0.,1.)); #420213=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #420214=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #420215=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #420216=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #420217=DIRECTION('',(0.,0.,1.)); #420218=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #420219=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #420220=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #420221=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #420222=DIRECTION('',(0.,0.,1.)); #420223=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #420224=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #420225=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #420226=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #420227=DIRECTION('',(0.,0.,1.)); #420228=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #420229=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #420230=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #420231=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #420232=DIRECTION('',(0.,0.,1.)); #420233=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #420234=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #420235=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #420236=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #420237=DIRECTION('',(0.,0.,1.)); #420238=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #420239=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #420240=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #420241=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #420242=DIRECTION('',(0.,0.,1.)); #420243=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #420244=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #420245=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #420246=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #420247=DIRECTION('',(0.,0.,1.)); #420248=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #420249=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #420250=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #420251=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #420252=DIRECTION('',(0.,0.,1.)); #420253=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #420254=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #420255=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #420256=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #420257=DIRECTION('',(0.,0.,1.)); #420258=DIRECTION('center_axis',(-1.,0.,0.)); #420259=DIRECTION('ref_axis',(0.,-1.,0.)); #420260=DIRECTION('',(0.,-1.,0.)); #420261=DIRECTION('',(0.,-1.,0.)); #420262=DIRECTION('',(0.,0.,1.)); #420263=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #420264=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #420265=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #420266=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #420267=DIRECTION('',(0.,0.,1.)); #420268=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #420269=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #420270=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #420271=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #420272=DIRECTION('',(0.,0.,1.)); #420273=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #420274=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #420275=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #420276=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #420277=DIRECTION('',(0.,0.,1.)); #420278=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #420279=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #420280=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #420281=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #420282=DIRECTION('',(0.,0.,1.)); #420283=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #420284=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #420285=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #420286=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #420287=DIRECTION('',(0.,0.,1.)); #420288=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #420289=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #420290=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #420291=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #420292=DIRECTION('',(0.,0.,1.)); #420293=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #420294=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #420295=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #420296=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #420297=DIRECTION('',(0.,0.,1.)); #420298=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #420299=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #420300=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #420301=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #420302=DIRECTION('',(0.,0.,1.)); #420303=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #420304=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #420305=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #420306=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #420307=DIRECTION('',(0.,0.,1.)); #420308=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #420309=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #420310=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #420311=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #420312=DIRECTION('',(0.,0.,1.)); #420313=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #420314=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #420315=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #420316=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #420317=DIRECTION('',(0.,0.,1.)); #420318=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #420319=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #420320=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #420321=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #420322=DIRECTION('',(0.,0.,1.)); #420323=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #420324=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #420325=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #420326=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #420327=DIRECTION('',(0.,0.,1.)); #420328=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #420329=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #420330=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #420331=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #420332=DIRECTION('',(0.,0.,1.)); #420333=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #420334=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #420335=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #420336=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #420337=DIRECTION('',(0.,0.,1.)); #420338=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #420339=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #420340=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #420341=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #420342=DIRECTION('',(0.,0.,1.)); #420343=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #420344=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #420345=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #420346=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #420347=DIRECTION('',(0.,0.,1.)); #420348=DIRECTION('center_axis',(0.,1.,0.)); #420349=DIRECTION('ref_axis',(-1.,0.,0.)); #420350=DIRECTION('',(-1.,0.,0.)); #420351=DIRECTION('',(-1.,0.,0.)); #420352=DIRECTION('',(0.,0.,1.)); #420353=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #420354=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #420355=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #420356=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #420357=DIRECTION('',(0.,0.,1.)); #420358=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #420359=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #420360=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #420361=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #420362=DIRECTION('',(0.,0.,1.)); #420363=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #420364=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #420365=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #420366=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #420367=DIRECTION('',(0.,0.,1.)); #420368=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #420369=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #420370=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #420371=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #420372=DIRECTION('',(0.,0.,1.)); #420373=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #420374=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #420375=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #420376=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #420377=DIRECTION('',(0.,0.,1.)); #420378=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #420379=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #420380=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #420381=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #420382=DIRECTION('',(0.,0.,1.)); #420383=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #420384=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #420385=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #420386=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #420387=DIRECTION('',(0.,0.,1.)); #420388=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #420389=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #420390=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #420391=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #420392=DIRECTION('',(0.,0.,1.)); #420393=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #420394=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #420395=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #420396=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #420397=DIRECTION('',(0.,0.,1.)); #420398=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #420399=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #420400=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #420401=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #420402=DIRECTION('',(0.,0.,1.)); #420403=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #420404=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #420405=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #420406=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #420407=DIRECTION('',(0.,0.,1.)); #420408=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #420409=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #420410=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #420411=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #420412=DIRECTION('',(0.,0.,1.)); #420413=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #420414=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #420415=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #420416=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #420417=DIRECTION('',(0.,0.,1.)); #420418=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #420419=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #420420=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #420421=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #420422=DIRECTION('',(0.,0.,1.)); #420423=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #420424=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #420425=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #420426=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #420427=DIRECTION('',(0.,0.,1.)); #420428=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #420429=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #420430=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #420431=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #420432=DIRECTION('',(0.,0.,1.)); #420433=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #420434=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #420435=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #420436=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #420437=DIRECTION('',(0.,0.,1.)); #420438=DIRECTION('center_axis',(1.,0.,0.)); #420439=DIRECTION('ref_axis',(0.,1.,0.)); #420440=DIRECTION('',(0.,1.,0.)); #420441=DIRECTION('',(0.,1.,0.)); #420442=DIRECTION('',(0.,0.,1.)); #420443=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #420444=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #420445=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #420446=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #420447=DIRECTION('',(0.,0.,1.)); #420448=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #420449=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #420450=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #420451=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #420452=DIRECTION('',(0.,0.,1.)); #420453=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #420454=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #420455=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #420456=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #420457=DIRECTION('',(0.,0.,1.)); #420458=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #420459=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #420460=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #420461=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #420462=DIRECTION('',(0.,0.,1.)); #420463=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #420464=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #420465=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #420466=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #420467=DIRECTION('',(0.,0.,1.)); #420468=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #420469=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #420470=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #420471=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #420472=DIRECTION('',(0.,0.,1.)); #420473=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #420474=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #420475=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #420476=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #420477=DIRECTION('',(0.,0.,1.)); #420478=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #420479=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #420480=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #420481=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #420482=DIRECTION('',(0.,0.,1.)); #420483=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #420484=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #420485=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #420486=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #420487=DIRECTION('',(0.,0.,1.)); #420488=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #420489=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #420490=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #420491=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #420492=DIRECTION('',(0.,0.,1.)); #420493=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #420494=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #420495=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #420496=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #420497=DIRECTION('',(0.,0.,1.)); #420498=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #420499=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #420500=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #420501=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #420502=DIRECTION('',(0.,0.,1.)); #420503=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #420504=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #420505=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #420506=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #420507=DIRECTION('',(0.,0.,1.)); #420508=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #420509=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #420510=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #420511=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #420512=DIRECTION('',(0.,0.,1.)); #420513=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #420514=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #420515=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #420516=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #420517=DIRECTION('',(0.,0.,1.)); #420518=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #420519=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #420520=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #420521=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #420522=DIRECTION('center_axis',(0.,0.,1.)); #420523=DIRECTION('ref_axis',(1.,0.,0.)); #420524=DIRECTION('center_axis',(0.,0.,1.)); #420525=DIRECTION('ref_axis',(1.,0.,0.)); #420526=DIRECTION('center_axis',(0.,0.,1.)); #420527=DIRECTION('ref_axis',(1.,0.,0.)); #420528=DIRECTION('center_axis',(0.,0.,1.)); #420529=DIRECTION('ref_axis',(1.,0.,0.)); #420530=DIRECTION('',(0.,0.,1.)); #420531=DIRECTION('center_axis',(0.,0.,-1.)); #420532=DIRECTION('ref_axis',(1.,0.,0.)); #420533=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #420534=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #420535=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #420536=DIRECTION('',(0.,0.,1.)); #420537=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #420538=DIRECTION('',(0.,0.,1.)); #420539=DIRECTION('center_axis',(0.,0.,1.)); #420540=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #420541=DIRECTION('center_axis',(0.,0.,1.)); #420542=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #420543=DIRECTION('center_axis',(0.,0.,1.)); #420544=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #420545=DIRECTION('',(0.,0.,1.)); #420546=DIRECTION('center_axis',(0.,0.,1.)); #420547=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #420548=DIRECTION('center_axis',(0.,0.,1.)); #420549=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #420550=DIRECTION('center_axis',(0.,0.,1.)); #420551=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #420552=DIRECTION('center_axis',(0.,0.,1.)); #420553=DIRECTION('ref_axis',(1.,0.,0.)); #420554=DIRECTION('center_axis',(0.,0.,1.)); #420555=DIRECTION('ref_axis',(1.,0.,0.)); #420556=DIRECTION('center_axis',(0.,0.,1.)); #420557=DIRECTION('ref_axis',(1.,0.,0.)); #420558=DIRECTION('center_axis',(0.,0.,1.)); #420559=DIRECTION('ref_axis',(1.,0.,0.)); #420560=DIRECTION('',(0.,0.,1.)); #420561=DIRECTION('center_axis',(0.,0.,-1.)); #420562=DIRECTION('ref_axis',(1.,0.,0.)); #420563=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #420564=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #420565=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #420566=DIRECTION('',(0.,0.,1.)); #420567=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #420568=DIRECTION('',(0.,0.,1.)); #420569=DIRECTION('center_axis',(0.,0.,1.)); #420570=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #420571=DIRECTION('center_axis',(0.,0.,1.)); #420572=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #420573=DIRECTION('center_axis',(0.,0.,1.)); #420574=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #420575=DIRECTION('',(0.,0.,1.)); #420576=DIRECTION('center_axis',(0.,0.,1.)); #420577=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #420578=DIRECTION('center_axis',(0.,0.,1.)); #420579=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #420580=DIRECTION('center_axis',(0.,0.,1.)); #420581=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #420582=DIRECTION('center_axis',(0.,0.,1.)); #420583=DIRECTION('ref_axis',(1.,0.,0.)); #420584=DIRECTION('center_axis',(0.,0.,1.)); #420585=DIRECTION('ref_axis',(1.,0.,0.)); #420586=DIRECTION('center_axis',(1.,0.,0.)); #420587=DIRECTION('ref_axis',(0.,1.,0.)); #420588=DIRECTION('',(0.,-1.,0.)); #420589=DIRECTION('',(0.,0.,1.)); #420590=DIRECTION('',(0.,1.,0.)); #420591=DIRECTION('',(0.,0.,1.)); #420592=DIRECTION('center_axis',(0.,0.,1.)); #420593=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #420594=DIRECTION('center_axis',(0.,0.,-1.)); #420595=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #420596=DIRECTION('center_axis',(0.,0.,1.)); #420597=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #420598=DIRECTION('',(0.,0.,1.)); #420599=DIRECTION('center_axis',(-1.,0.,0.)); #420600=DIRECTION('ref_axis',(0.,-1.,0.)); #420601=DIRECTION('',(0.,1.,0.)); #420602=DIRECTION('',(0.,-1.,0.)); #420603=DIRECTION('',(0.,0.,1.)); #420604=DIRECTION('center_axis',(0.,0.,1.)); #420605=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #420606=DIRECTION('center_axis',(0.,0.,-1.)); #420607=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #420608=DIRECTION('center_axis',(0.,0.,1.)); #420609=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #420610=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #420611=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #420612=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #420613=DIRECTION('',(0.,0.,1.)); #420614=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #420615=DIRECTION('',(0.,0.,1.)); #420616=DIRECTION('center_axis',(0.,-1.,0.)); #420617=DIRECTION('ref_axis',(1.,0.,0.)); #420618=DIRECTION('',(1.,0.,0.)); #420619=DIRECTION('',(1.,0.,0.)); #420620=DIRECTION('',(0.,0.,1.)); #420621=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #420622=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #420623=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #420624=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #420625=DIRECTION('',(0.,0.,1.)); #420626=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #420627=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #420628=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #420629=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #420630=DIRECTION('',(0.,0.,1.)); #420631=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #420632=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #420633=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #420634=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #420635=DIRECTION('',(0.,0.,1.)); #420636=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #420637=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #420638=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #420639=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #420640=DIRECTION('',(0.,0.,1.)); #420641=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #420642=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #420643=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #420644=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #420645=DIRECTION('',(0.,0.,1.)); #420646=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #420647=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #420648=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #420649=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #420650=DIRECTION('',(0.,0.,1.)); #420651=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #420652=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #420653=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #420654=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #420655=DIRECTION('',(0.,0.,1.)); #420656=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #420657=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #420658=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #420659=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #420660=DIRECTION('',(0.,0.,1.)); #420661=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #420662=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #420663=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #420664=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #420665=DIRECTION('',(0.,0.,1.)); #420666=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #420667=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #420668=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #420669=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #420670=DIRECTION('',(0.,0.,1.)); #420671=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #420672=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #420673=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #420674=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #420675=DIRECTION('',(0.,0.,1.)); #420676=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #420677=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #420678=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #420679=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #420680=DIRECTION('',(0.,0.,1.)); #420681=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #420682=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #420683=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #420684=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #420685=DIRECTION('',(0.,0.,1.)); #420686=DIRECTION('center_axis',(-1.,0.,0.)); #420687=DIRECTION('ref_axis',(0.,-1.,0.)); #420688=DIRECTION('',(0.,-1.,0.)); #420689=DIRECTION('',(0.,-1.,0.)); #420690=DIRECTION('',(0.,0.,1.)); #420691=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #420692=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #420693=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #420694=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #420695=DIRECTION('',(0.,0.,1.)); #420696=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #420697=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #420698=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #420699=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #420700=DIRECTION('',(0.,0.,1.)); #420701=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #420702=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #420703=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #420704=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #420705=DIRECTION('',(0.,0.,1.)); #420706=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #420707=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #420708=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #420709=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #420710=DIRECTION('',(0.,0.,1.)); #420711=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #420712=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #420713=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #420714=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #420715=DIRECTION('',(0.,0.,1.)); #420716=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #420717=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #420718=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #420719=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #420720=DIRECTION('',(0.,0.,1.)); #420721=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #420722=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #420723=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #420724=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #420725=DIRECTION('',(0.,0.,1.)); #420726=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #420727=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #420728=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #420729=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #420730=DIRECTION('',(0.,0.,1.)); #420731=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #420732=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #420733=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #420734=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #420735=DIRECTION('',(0.,0.,1.)); #420736=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #420737=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #420738=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #420739=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #420740=DIRECTION('',(0.,0.,1.)); #420741=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #420742=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #420743=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #420744=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #420745=DIRECTION('',(0.,0.,1.)); #420746=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #420747=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #420748=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #420749=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #420750=DIRECTION('',(0.,0.,1.)); #420751=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #420752=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #420753=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #420754=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #420755=DIRECTION('',(0.,0.,1.)); #420756=DIRECTION('center_axis',(0.,1.,0.)); #420757=DIRECTION('ref_axis',(-1.,0.,0.)); #420758=DIRECTION('',(-1.,0.,0.)); #420759=DIRECTION('',(-1.,0.,0.)); #420760=DIRECTION('',(0.,0.,1.)); #420761=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #420762=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #420763=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #420764=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #420765=DIRECTION('',(0.,0.,1.)); #420766=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #420767=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #420768=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #420769=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #420770=DIRECTION('',(0.,0.,1.)); #420771=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #420772=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #420773=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #420774=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #420775=DIRECTION('',(0.,0.,1.)); #420776=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #420777=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #420778=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #420779=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #420780=DIRECTION('',(0.,0.,1.)); #420781=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #420782=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #420783=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #420784=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #420785=DIRECTION('',(0.,0.,1.)); #420786=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #420787=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #420788=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #420789=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #420790=DIRECTION('',(0.,0.,1.)); #420791=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #420792=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #420793=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #420794=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #420795=DIRECTION('',(0.,0.,1.)); #420796=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #420797=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #420798=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #420799=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #420800=DIRECTION('',(0.,0.,1.)); #420801=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #420802=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #420803=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #420804=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #420805=DIRECTION('',(0.,0.,1.)); #420806=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #420807=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #420808=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #420809=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #420810=DIRECTION('',(0.,0.,1.)); #420811=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #420812=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #420813=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #420814=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #420815=DIRECTION('',(0.,0.,1.)); #420816=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #420817=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #420818=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #420819=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #420820=DIRECTION('',(0.,0.,1.)); #420821=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #420822=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #420823=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #420824=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #420825=DIRECTION('',(0.,0.,1.)); #420826=DIRECTION('center_axis',(1.,0.,0.)); #420827=DIRECTION('ref_axis',(0.,1.,0.)); #420828=DIRECTION('',(0.,1.,0.)); #420829=DIRECTION('',(0.,1.,0.)); #420830=DIRECTION('',(0.,0.,1.)); #420831=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #420832=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #420833=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #420834=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #420835=DIRECTION('',(0.,0.,1.)); #420836=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #420837=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #420838=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #420839=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #420840=DIRECTION('',(0.,0.,1.)); #420841=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #420842=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #420843=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #420844=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #420845=DIRECTION('',(0.,0.,1.)); #420846=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #420847=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #420848=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #420849=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #420850=DIRECTION('',(0.,0.,1.)); #420851=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #420852=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #420853=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #420854=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #420855=DIRECTION('',(0.,0.,1.)); #420856=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #420857=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #420858=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #420859=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #420860=DIRECTION('',(0.,0.,1.)); #420861=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #420862=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #420863=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #420864=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #420865=DIRECTION('',(0.,0.,1.)); #420866=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #420867=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #420868=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #420869=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #420870=DIRECTION('',(0.,0.,1.)); #420871=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #420872=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #420873=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #420874=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #420875=DIRECTION('',(0.,0.,1.)); #420876=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #420877=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #420878=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #420879=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #420880=DIRECTION('',(0.,0.,1.)); #420881=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #420882=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #420883=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #420884=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #420885=DIRECTION('',(0.,0.,1.)); #420886=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #420887=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #420888=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #420889=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #420890=DIRECTION('center_axis',(0.,0.,1.)); #420891=DIRECTION('ref_axis',(1.,0.,0.)); #420892=DIRECTION('center_axis',(0.,0.,1.)); #420893=DIRECTION('ref_axis',(1.,0.,0.)); #420894=DIRECTION('center_axis',(0.,0.,1.)); #420895=DIRECTION('ref_axis',(1.,0.,0.)); #420896=DIRECTION('center_axis',(0.,0.,1.)); #420897=DIRECTION('ref_axis',(1.,0.,0.)); #420898=DIRECTION('',(0.,0.,1.)); #420899=DIRECTION('center_axis',(0.,0.,-1.)); #420900=DIRECTION('ref_axis',(1.,0.,0.)); #420901=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #420902=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #420903=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #420904=DIRECTION('',(0.,0.,1.)); #420905=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #420906=DIRECTION('',(0.,0.,1.)); #420907=DIRECTION('center_axis',(0.,0.,1.)); #420908=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420909=DIRECTION('center_axis',(0.,0.,1.)); #420910=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420911=DIRECTION('center_axis',(0.,0.,1.)); #420912=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420913=DIRECTION('',(0.,0.,1.)); #420914=DIRECTION('center_axis',(0.,0.,1.)); #420915=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #420916=DIRECTION('center_axis',(0.,0.,1.)); #420917=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #420918=DIRECTION('center_axis',(0.,0.,1.)); #420919=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #420920=DIRECTION('center_axis',(0.,0.,1.)); #420921=DIRECTION('ref_axis',(1.,0.,0.)); #420922=DIRECTION('center_axis',(0.,0.,1.)); #420923=DIRECTION('ref_axis',(1.,0.,0.)); #420924=DIRECTION('center_axis',(0.,0.,1.)); #420925=DIRECTION('ref_axis',(1.,0.,0.)); #420926=DIRECTION('center_axis',(0.,0.,1.)); #420927=DIRECTION('ref_axis',(1.,0.,0.)); #420928=DIRECTION('',(0.,0.,1.)); #420929=DIRECTION('center_axis',(0.,0.,-1.)); #420930=DIRECTION('ref_axis',(1.,0.,0.)); #420931=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #420932=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #420933=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #420934=DIRECTION('',(0.,0.,1.)); #420935=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #420936=DIRECTION('',(0.,0.,1.)); #420937=DIRECTION('center_axis',(0.,0.,1.)); #420938=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420939=DIRECTION('center_axis',(0.,0.,1.)); #420940=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420941=DIRECTION('center_axis',(0.,0.,1.)); #420942=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420943=DIRECTION('',(0.,0.,1.)); #420944=DIRECTION('center_axis',(0.,0.,1.)); #420945=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #420946=DIRECTION('center_axis',(0.,0.,1.)); #420947=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #420948=DIRECTION('center_axis',(0.,0.,1.)); #420949=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #420950=DIRECTION('center_axis',(0.,0.,1.)); #420951=DIRECTION('ref_axis',(1.,0.,0.)); #420952=DIRECTION('center_axis',(0.,0.,1.)); #420953=DIRECTION('ref_axis',(1.,0.,0.)); #420954=DIRECTION('center_axis',(0.,0.,1.)); #420955=DIRECTION('ref_axis',(1.,0.,0.)); #420956=DIRECTION('center_axis',(0.,0.,1.)); #420957=DIRECTION('ref_axis',(1.,0.,0.)); #420958=DIRECTION('',(0.,0.,1.)); #420959=DIRECTION('center_axis',(0.,0.,-1.)); #420960=DIRECTION('ref_axis',(1.,0.,0.)); #420961=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #420962=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #420963=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #420964=DIRECTION('',(0.,0.,1.)); #420965=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #420966=DIRECTION('',(0.,0.,1.)); #420967=DIRECTION('center_axis',(0.,0.,1.)); #420968=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420969=DIRECTION('center_axis',(0.,0.,1.)); #420970=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420971=DIRECTION('center_axis',(0.,0.,1.)); #420972=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #420973=DIRECTION('',(0.,0.,1.)); #420974=DIRECTION('center_axis',(0.,0.,1.)); #420975=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #420976=DIRECTION('center_axis',(0.,0.,1.)); #420977=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #420978=DIRECTION('center_axis',(0.,0.,1.)); #420979=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #420980=DIRECTION('center_axis',(0.,0.,1.)); #420981=DIRECTION('ref_axis',(1.,0.,0.)); #420982=DIRECTION('center_axis',(0.,0.,1.)); #420983=DIRECTION('ref_axis',(1.,0.,0.)); #420984=DIRECTION('center_axis',(0.,0.,1.)); #420985=DIRECTION('ref_axis',(1.,0.,0.)); #420986=DIRECTION('center_axis',(0.,0.,1.)); #420987=DIRECTION('ref_axis',(1.,0.,0.)); #420988=DIRECTION('',(0.,0.,1.)); #420989=DIRECTION('center_axis',(0.,0.,-1.)); #420990=DIRECTION('ref_axis',(1.,0.,0.)); #420991=DIRECTION('center_axis',(1.,0.,0.)); #420992=DIRECTION('ref_axis',(0.,1.,0.)); #420993=DIRECTION('',(0.,1.,0.)); #420994=DIRECTION('',(0.,0.,1.)); #420995=DIRECTION('',(0.,1.,0.)); #420996=DIRECTION('',(0.,0.,1.)); #420997=DIRECTION('center_axis',(0.,-1.,0.)); #420998=DIRECTION('ref_axis',(1.,0.,0.)); #420999=DIRECTION('',(1.,0.,0.)); #421000=DIRECTION('',(1.,0.,0.)); #421001=DIRECTION('',(0.,0.,1.)); #421002=DIRECTION('center_axis',(-1.,0.,0.)); #421003=DIRECTION('ref_axis',(0.,-1.,0.)); #421004=DIRECTION('',(0.,-1.,0.)); #421005=DIRECTION('',(0.,-1.,0.)); #421006=DIRECTION('',(0.,0.,1.)); #421007=DIRECTION('center_axis',(0.,1.,0.)); #421008=DIRECTION('ref_axis',(-1.,0.,0.)); #421009=DIRECTION('',(-1.,0.,0.)); #421010=DIRECTION('',(-1.,0.,0.)); #421011=DIRECTION('center_axis',(0.,0.,1.)); #421012=DIRECTION('ref_axis',(1.,0.,0.)); #421013=DIRECTION('center_axis',(0.,0.,1.)); #421014=DIRECTION('ref_axis',(1.,0.,0.)); #421015=DIRECTION('center_axis',(0.,0.,1.)); #421016=DIRECTION('ref_axis',(1.,0.,0.)); #421017=DIRECTION('center_axis',(0.,0.,1.)); #421018=DIRECTION('ref_axis',(1.,0.,0.)); #421019=DIRECTION('',(0.,0.,1.)); #421020=DIRECTION('center_axis',(0.,0.,-1.)); #421021=DIRECTION('ref_axis',(1.,0.,0.)); #421022=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #421023=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #421024=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #421025=DIRECTION('',(0.,0.,1.)); #421026=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #421027=DIRECTION('',(0.,0.,1.)); #421028=DIRECTION('center_axis',(0.,0.,1.)); #421029=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #421030=DIRECTION('center_axis',(0.,0.,1.)); #421031=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #421032=DIRECTION('center_axis',(0.,0.,1.)); #421033=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #421034=DIRECTION('',(0.,0.,1.)); #421035=DIRECTION('center_axis',(0.,0.,1.)); #421036=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #421037=DIRECTION('center_axis',(0.,0.,1.)); #421038=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #421039=DIRECTION('center_axis',(0.,0.,1.)); #421040=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #421041=DIRECTION('center_axis',(0.,0.,1.)); #421042=DIRECTION('ref_axis',(1.,0.,0.)); #421043=DIRECTION('center_axis',(0.,0.,1.)); #421044=DIRECTION('ref_axis',(1.,0.,0.)); #421045=DIRECTION('center_axis',(1.,0.,0.)); #421046=DIRECTION('ref_axis',(0.,1.,0.)); #421047=DIRECTION('',(0.,1.,0.)); #421048=DIRECTION('',(0.,0.,1.)); #421049=DIRECTION('',(0.,1.,0.)); #421050=DIRECTION('',(0.,0.,1.)); #421051=DIRECTION('center_axis',(0.,-1.,0.)); #421052=DIRECTION('ref_axis',(1.,0.,0.)); #421053=DIRECTION('',(1.,0.,0.)); #421054=DIRECTION('',(1.,0.,0.)); #421055=DIRECTION('',(0.,0.,1.)); #421056=DIRECTION('center_axis',(-1.,0.,0.)); #421057=DIRECTION('ref_axis',(0.,-1.,0.)); #421058=DIRECTION('',(0.,-1.,0.)); #421059=DIRECTION('',(0.,-1.,0.)); #421060=DIRECTION('',(0.,0.,1.)); #421061=DIRECTION('center_axis',(0.,1.,0.)); #421062=DIRECTION('ref_axis',(-1.,0.,0.)); #421063=DIRECTION('',(-1.,0.,0.)); #421064=DIRECTION('',(-1.,0.,0.)); #421065=DIRECTION('center_axis',(0.,0.,1.)); #421066=DIRECTION('ref_axis',(1.,0.,0.)); #421067=DIRECTION('center_axis',(0.,0.,1.)); #421068=DIRECTION('ref_axis',(1.,0.,0.)); #421069=DIRECTION('center_axis',(1.,0.,0.)); #421070=DIRECTION('ref_axis',(0.,1.,0.)); #421071=DIRECTION('',(0.,1.,0.)); #421072=DIRECTION('',(0.,0.,1.)); #421073=DIRECTION('',(0.,1.,0.)); #421074=DIRECTION('',(0.,0.,1.)); #421075=DIRECTION('center_axis',(0.,-1.,0.)); #421076=DIRECTION('ref_axis',(1.,0.,0.)); #421077=DIRECTION('',(1.,0.,0.)); #421078=DIRECTION('',(1.,0.,0.)); #421079=DIRECTION('',(0.,0.,1.)); #421080=DIRECTION('center_axis',(-1.,0.,0.)); #421081=DIRECTION('ref_axis',(0.,-1.,0.)); #421082=DIRECTION('',(0.,-1.,0.)); #421083=DIRECTION('',(0.,-1.,0.)); #421084=DIRECTION('',(0.,0.,1.)); #421085=DIRECTION('center_axis',(0.,1.,0.)); #421086=DIRECTION('ref_axis',(-1.,0.,0.)); #421087=DIRECTION('',(-1.,0.,0.)); #421088=DIRECTION('',(-1.,0.,0.)); #421089=DIRECTION('center_axis',(0.,0.,1.)); #421090=DIRECTION('ref_axis',(1.,0.,0.)); #421091=DIRECTION('center_axis',(0.,0.,1.)); #421092=DIRECTION('ref_axis',(1.,0.,0.)); #421093=DIRECTION('center_axis',(1.,0.,0.)); #421094=DIRECTION('ref_axis',(0.,1.,0.)); #421095=DIRECTION('',(0.,1.,0.)); #421096=DIRECTION('',(0.,0.,1.)); #421097=DIRECTION('',(0.,1.,0.)); #421098=DIRECTION('',(0.,0.,1.)); #421099=DIRECTION('center_axis',(0.,-1.,0.)); #421100=DIRECTION('ref_axis',(1.,0.,0.)); #421101=DIRECTION('',(1.,0.,0.)); #421102=DIRECTION('',(1.,0.,0.)); #421103=DIRECTION('',(0.,0.,1.)); #421104=DIRECTION('center_axis',(-1.,0.,0.)); #421105=DIRECTION('ref_axis',(0.,-1.,0.)); #421106=DIRECTION('',(0.,-1.,0.)); #421107=DIRECTION('',(0.,-1.,0.)); #421108=DIRECTION('',(0.,0.,1.)); #421109=DIRECTION('center_axis',(0.,1.,0.)); #421110=DIRECTION('ref_axis',(-1.,0.,0.)); #421111=DIRECTION('',(-1.,0.,0.)); #421112=DIRECTION('',(-1.,0.,0.)); #421113=DIRECTION('center_axis',(0.,0.,1.)); #421114=DIRECTION('ref_axis',(1.,0.,0.)); #421115=DIRECTION('center_axis',(0.,0.,1.)); #421116=DIRECTION('ref_axis',(1.,0.,0.)); #421117=DIRECTION('center_axis',(1.,0.,0.)); #421118=DIRECTION('ref_axis',(0.,1.,0.)); #421119=DIRECTION('',(0.,1.,0.)); #421120=DIRECTION('',(0.,0.,1.)); #421121=DIRECTION('',(0.,1.,0.)); #421122=DIRECTION('',(0.,0.,1.)); #421123=DIRECTION('center_axis',(0.,-1.,0.)); #421124=DIRECTION('ref_axis',(1.,0.,0.)); #421125=DIRECTION('',(1.,0.,0.)); #421126=DIRECTION('',(1.,0.,0.)); #421127=DIRECTION('',(0.,0.,1.)); #421128=DIRECTION('center_axis',(-1.,0.,0.)); #421129=DIRECTION('ref_axis',(0.,-1.,0.)); #421130=DIRECTION('',(0.,-1.,0.)); #421131=DIRECTION('',(0.,-1.,0.)); #421132=DIRECTION('',(0.,0.,1.)); #421133=DIRECTION('center_axis',(0.,1.,0.)); #421134=DIRECTION('ref_axis',(-1.,0.,0.)); #421135=DIRECTION('',(-1.,0.,0.)); #421136=DIRECTION('',(-1.,0.,0.)); #421137=DIRECTION('center_axis',(0.,0.,1.)); #421138=DIRECTION('ref_axis',(1.,0.,0.)); #421139=DIRECTION('center_axis',(0.,0.,1.)); #421140=DIRECTION('ref_axis',(1.,0.,0.)); #421141=DIRECTION('center_axis',(1.,0.,0.)); #421142=DIRECTION('ref_axis',(0.,1.,0.)); #421143=DIRECTION('',(0.,1.,0.)); #421144=DIRECTION('',(0.,0.,1.)); #421145=DIRECTION('',(0.,1.,0.)); #421146=DIRECTION('',(0.,0.,1.)); #421147=DIRECTION('center_axis',(0.,-1.,0.)); #421148=DIRECTION('ref_axis',(1.,0.,0.)); #421149=DIRECTION('',(1.,0.,0.)); #421150=DIRECTION('',(1.,0.,0.)); #421151=DIRECTION('',(0.,0.,1.)); #421152=DIRECTION('center_axis',(-1.,0.,0.)); #421153=DIRECTION('ref_axis',(0.,-1.,0.)); #421154=DIRECTION('',(0.,-1.,0.)); #421155=DIRECTION('',(0.,-1.,0.)); #421156=DIRECTION('',(0.,0.,1.)); #421157=DIRECTION('center_axis',(0.,1.,0.)); #421158=DIRECTION('ref_axis',(-1.,0.,0.)); #421159=DIRECTION('',(-1.,0.,0.)); #421160=DIRECTION('',(-1.,0.,0.)); #421161=DIRECTION('center_axis',(0.,0.,1.)); #421162=DIRECTION('ref_axis',(1.,0.,0.)); #421163=DIRECTION('center_axis',(0.,0.,1.)); #421164=DIRECTION('ref_axis',(1.,0.,0.)); #421165=DIRECTION('center_axis',(1.,0.,0.)); #421166=DIRECTION('ref_axis',(0.,1.,0.)); #421167=DIRECTION('',(0.,1.,0.)); #421168=DIRECTION('',(0.,0.,1.)); #421169=DIRECTION('',(0.,1.,0.)); #421170=DIRECTION('',(0.,0.,1.)); #421171=DIRECTION('center_axis',(0.,-1.,0.)); #421172=DIRECTION('ref_axis',(1.,0.,0.)); #421173=DIRECTION('',(1.,0.,0.)); #421174=DIRECTION('',(1.,0.,0.)); #421175=DIRECTION('',(0.,0.,1.)); #421176=DIRECTION('center_axis',(-1.,0.,0.)); #421177=DIRECTION('ref_axis',(0.,-1.,0.)); #421178=DIRECTION('',(0.,-1.,0.)); #421179=DIRECTION('',(0.,-1.,0.)); #421180=DIRECTION('',(0.,0.,1.)); #421181=DIRECTION('center_axis',(0.,1.,0.)); #421182=DIRECTION('ref_axis',(-1.,0.,0.)); #421183=DIRECTION('',(-1.,0.,0.)); #421184=DIRECTION('',(-1.,0.,0.)); #421185=DIRECTION('center_axis',(0.,0.,1.)); #421186=DIRECTION('ref_axis',(1.,0.,0.)); #421187=DIRECTION('center_axis',(0.,0.,1.)); #421188=DIRECTION('ref_axis',(1.,0.,0.)); #421189=DIRECTION('center_axis',(1.,0.,0.)); #421190=DIRECTION('ref_axis',(0.,1.,0.)); #421191=DIRECTION('',(0.,1.,0.)); #421192=DIRECTION('',(0.,0.,1.)); #421193=DIRECTION('',(0.,1.,0.)); #421194=DIRECTION('',(0.,0.,1.)); #421195=DIRECTION('center_axis',(0.,-1.,0.)); #421196=DIRECTION('ref_axis',(1.,0.,0.)); #421197=DIRECTION('',(1.,0.,0.)); #421198=DIRECTION('',(1.,0.,0.)); #421199=DIRECTION('',(0.,0.,1.)); #421200=DIRECTION('center_axis',(-1.,0.,0.)); #421201=DIRECTION('ref_axis',(0.,-1.,0.)); #421202=DIRECTION('',(0.,-1.,0.)); #421203=DIRECTION('',(0.,-1.,0.)); #421204=DIRECTION('',(0.,0.,1.)); #421205=DIRECTION('center_axis',(0.,1.,0.)); #421206=DIRECTION('ref_axis',(-1.,0.,0.)); #421207=DIRECTION('',(-1.,0.,0.)); #421208=DIRECTION('',(-1.,0.,0.)); #421209=DIRECTION('center_axis',(0.,0.,1.)); #421210=DIRECTION('ref_axis',(1.,0.,0.)); #421211=DIRECTION('center_axis',(0.,0.,1.)); #421212=DIRECTION('ref_axis',(1.,0.,0.)); #421213=DIRECTION('center_axis',(1.,0.,0.)); #421214=DIRECTION('ref_axis',(0.,1.,0.)); #421215=DIRECTION('',(0.,1.,0.)); #421216=DIRECTION('',(0.,0.,1.)); #421217=DIRECTION('',(0.,1.,0.)); #421218=DIRECTION('',(0.,0.,1.)); #421219=DIRECTION('center_axis',(0.,-1.,0.)); #421220=DIRECTION('ref_axis',(1.,0.,0.)); #421221=DIRECTION('',(1.,0.,0.)); #421222=DIRECTION('',(1.,0.,0.)); #421223=DIRECTION('',(0.,0.,1.)); #421224=DIRECTION('center_axis',(-1.,0.,0.)); #421225=DIRECTION('ref_axis',(0.,-1.,0.)); #421226=DIRECTION('',(0.,-1.,0.)); #421227=DIRECTION('',(0.,-1.,0.)); #421228=DIRECTION('',(0.,0.,1.)); #421229=DIRECTION('center_axis',(0.,1.,0.)); #421230=DIRECTION('ref_axis',(-1.,0.,0.)); #421231=DIRECTION('',(-1.,0.,0.)); #421232=DIRECTION('',(-1.,0.,0.)); #421233=DIRECTION('center_axis',(0.,0.,1.)); #421234=DIRECTION('ref_axis',(1.,0.,0.)); #421235=DIRECTION('center_axis',(0.,0.,1.)); #421236=DIRECTION('ref_axis',(1.,0.,0.)); #421237=DIRECTION('center_axis',(1.,0.,0.)); #421238=DIRECTION('ref_axis',(0.,1.,0.)); #421239=DIRECTION('',(0.,1.,0.)); #421240=DIRECTION('',(0.,0.,1.)); #421241=DIRECTION('',(0.,1.,0.)); #421242=DIRECTION('',(0.,0.,1.)); #421243=DIRECTION('center_axis',(0.,-1.,0.)); #421244=DIRECTION('ref_axis',(1.,0.,0.)); #421245=DIRECTION('',(1.,0.,0.)); #421246=DIRECTION('',(1.,0.,0.)); #421247=DIRECTION('',(0.,0.,1.)); #421248=DIRECTION('center_axis',(-1.,0.,0.)); #421249=DIRECTION('ref_axis',(0.,-1.,0.)); #421250=DIRECTION('',(0.,-1.,0.)); #421251=DIRECTION('',(0.,-1.,0.)); #421252=DIRECTION('',(0.,0.,1.)); #421253=DIRECTION('center_axis',(0.,1.,0.)); #421254=DIRECTION('ref_axis',(-1.,0.,0.)); #421255=DIRECTION('',(-1.,0.,0.)); #421256=DIRECTION('',(-1.,0.,0.)); #421257=DIRECTION('center_axis',(0.,0.,1.)); #421258=DIRECTION('ref_axis',(1.,0.,0.)); #421259=DIRECTION('center_axis',(0.,0.,1.)); #421260=DIRECTION('ref_axis',(1.,0.,0.)); #421261=DIRECTION('center_axis',(1.,0.,0.)); #421262=DIRECTION('ref_axis',(0.,1.,0.)); #421263=DIRECTION('',(0.,1.,0.)); #421264=DIRECTION('',(0.,0.,1.)); #421265=DIRECTION('',(0.,1.,0.)); #421266=DIRECTION('',(0.,0.,1.)); #421267=DIRECTION('center_axis',(0.,-1.,0.)); #421268=DIRECTION('ref_axis',(1.,0.,0.)); #421269=DIRECTION('',(1.,0.,0.)); #421270=DIRECTION('',(1.,0.,0.)); #421271=DIRECTION('',(0.,0.,1.)); #421272=DIRECTION('center_axis',(-1.,0.,0.)); #421273=DIRECTION('ref_axis',(0.,-1.,0.)); #421274=DIRECTION('',(0.,-1.,0.)); #421275=DIRECTION('',(0.,-1.,0.)); #421276=DIRECTION('',(0.,0.,1.)); #421277=DIRECTION('center_axis',(0.,1.,0.)); #421278=DIRECTION('ref_axis',(-1.,0.,0.)); #421279=DIRECTION('',(-1.,0.,0.)); #421280=DIRECTION('',(-1.,0.,0.)); #421281=DIRECTION('center_axis',(0.,0.,1.)); #421282=DIRECTION('ref_axis',(1.,0.,0.)); #421283=DIRECTION('center_axis',(0.,0.,1.)); #421284=DIRECTION('ref_axis',(1.,0.,0.)); #421285=DIRECTION('center_axis',(1.,0.,0.)); #421286=DIRECTION('ref_axis',(0.,1.,0.)); #421287=DIRECTION('',(0.,1.,0.)); #421288=DIRECTION('',(0.,0.,1.)); #421289=DIRECTION('',(0.,1.,0.)); #421290=DIRECTION('',(0.,0.,1.)); #421291=DIRECTION('center_axis',(0.,-1.,0.)); #421292=DIRECTION('ref_axis',(1.,0.,0.)); #421293=DIRECTION('',(1.,0.,0.)); #421294=DIRECTION('',(1.,0.,0.)); #421295=DIRECTION('',(0.,0.,1.)); #421296=DIRECTION('center_axis',(-1.,0.,0.)); #421297=DIRECTION('ref_axis',(0.,-1.,0.)); #421298=DIRECTION('',(0.,-1.,0.)); #421299=DIRECTION('',(0.,-1.,0.)); #421300=DIRECTION('',(0.,0.,1.)); #421301=DIRECTION('center_axis',(0.,1.,0.)); #421302=DIRECTION('ref_axis',(-1.,0.,0.)); #421303=DIRECTION('',(-1.,0.,0.)); #421304=DIRECTION('',(-1.,0.,0.)); #421305=DIRECTION('center_axis',(0.,0.,1.)); #421306=DIRECTION('ref_axis',(1.,0.,0.)); #421307=DIRECTION('center_axis',(0.,0.,1.)); #421308=DIRECTION('ref_axis',(1.,0.,0.)); #421309=DIRECTION('center_axis',(1.,0.,0.)); #421310=DIRECTION('ref_axis',(0.,1.,0.)); #421311=DIRECTION('',(0.,1.,0.)); #421312=DIRECTION('',(0.,0.,1.)); #421313=DIRECTION('',(0.,1.,0.)); #421314=DIRECTION('',(0.,0.,1.)); #421315=DIRECTION('center_axis',(0.,-1.,0.)); #421316=DIRECTION('ref_axis',(1.,0.,0.)); #421317=DIRECTION('',(1.,0.,0.)); #421318=DIRECTION('',(1.,0.,0.)); #421319=DIRECTION('',(0.,0.,1.)); #421320=DIRECTION('center_axis',(-1.,0.,0.)); #421321=DIRECTION('ref_axis',(0.,-1.,0.)); #421322=DIRECTION('',(0.,-1.,0.)); #421323=DIRECTION('',(0.,-1.,0.)); #421324=DIRECTION('',(0.,0.,1.)); #421325=DIRECTION('center_axis',(0.,1.,0.)); #421326=DIRECTION('ref_axis',(-1.,0.,0.)); #421327=DIRECTION('',(-1.,0.,0.)); #421328=DIRECTION('',(-1.,0.,0.)); #421329=DIRECTION('center_axis',(0.,0.,1.)); #421330=DIRECTION('ref_axis',(1.,0.,0.)); #421331=DIRECTION('center_axis',(0.,0.,1.)); #421332=DIRECTION('ref_axis',(1.,0.,0.)); #421333=DIRECTION('center_axis',(1.,0.,0.)); #421334=DIRECTION('ref_axis',(0.,1.,0.)); #421335=DIRECTION('',(0.,1.,0.)); #421336=DIRECTION('',(0.,0.,1.)); #421337=DIRECTION('',(0.,1.,0.)); #421338=DIRECTION('',(0.,0.,1.)); #421339=DIRECTION('center_axis',(0.,-1.,0.)); #421340=DIRECTION('ref_axis',(1.,0.,0.)); #421341=DIRECTION('',(1.,0.,0.)); #421342=DIRECTION('',(1.,0.,0.)); #421343=DIRECTION('',(0.,0.,1.)); #421344=DIRECTION('center_axis',(-1.,0.,0.)); #421345=DIRECTION('ref_axis',(0.,-1.,0.)); #421346=DIRECTION('',(0.,-1.,0.)); #421347=DIRECTION('',(0.,-1.,0.)); #421348=DIRECTION('',(0.,0.,1.)); #421349=DIRECTION('center_axis',(0.,1.,0.)); #421350=DIRECTION('ref_axis',(-1.,0.,0.)); #421351=DIRECTION('',(-1.,0.,0.)); #421352=DIRECTION('',(-1.,0.,0.)); #421353=DIRECTION('center_axis',(0.,0.,1.)); #421354=DIRECTION('ref_axis',(1.,0.,0.)); #421355=DIRECTION('center_axis',(0.,0.,1.)); #421356=DIRECTION('ref_axis',(1.,0.,0.)); #421357=DIRECTION('center_axis',(0.,0.,1.)); #421358=DIRECTION('ref_axis',(1.,0.,0.)); #421359=DIRECTION('center_axis',(0.,0.,1.)); #421360=DIRECTION('ref_axis',(1.,0.,0.)); #421361=DIRECTION('',(0.,0.,1.)); #421362=DIRECTION('center_axis',(0.,0.,-1.)); #421363=DIRECTION('ref_axis',(1.,0.,0.)); #421364=DIRECTION('center_axis',(0.,0.,1.)); #421365=DIRECTION('ref_axis',(1.,0.,0.)); #421366=DIRECTION('center_axis',(0.,0.,1.)); #421367=DIRECTION('ref_axis',(1.,0.,0.)); #421368=DIRECTION('',(0.,0.,1.)); #421369=DIRECTION('center_axis',(0.,0.,-1.)); #421370=DIRECTION('ref_axis',(1.,0.,0.)); #421371=DIRECTION('center_axis',(0.,0.,1.)); #421372=DIRECTION('ref_axis',(1.,0.,0.)); #421373=DIRECTION('center_axis',(0.,0.,1.)); #421374=DIRECTION('ref_axis',(1.,0.,0.)); #421375=DIRECTION('',(0.,0.,1.)); #421376=DIRECTION('center_axis',(0.,0.,-1.)); #421377=DIRECTION('ref_axis',(1.,0.,0.)); #421378=DIRECTION('center_axis',(0.,0.,1.)); #421379=DIRECTION('ref_axis',(1.,0.,0.)); #421380=DIRECTION('center_axis',(0.,0.,1.)); #421381=DIRECTION('ref_axis',(1.,0.,0.)); #421382=DIRECTION('',(0.,0.,1.)); #421383=DIRECTION('center_axis',(0.,0.,-1.)); #421384=DIRECTION('ref_axis',(1.,0.,0.)); #421385=DIRECTION('center_axis',(0.,0.,1.)); #421386=DIRECTION('ref_axis',(1.,0.,0.)); #421387=DIRECTION('center_axis',(0.,0.,1.)); #421388=DIRECTION('ref_axis',(1.,0.,0.)); #421389=DIRECTION('',(0.,0.,1.)); #421390=DIRECTION('center_axis',(0.,0.,-1.)); #421391=DIRECTION('ref_axis',(1.,0.,0.)); #421392=DIRECTION('center_axis',(0.,0.,1.)); #421393=DIRECTION('ref_axis',(1.,0.,0.)); #421394=DIRECTION('center_axis',(0.,0.,1.)); #421395=DIRECTION('ref_axis',(1.,0.,0.)); #421396=DIRECTION('',(0.,0.,1.)); #421397=DIRECTION('center_axis',(0.,0.,-1.)); #421398=DIRECTION('ref_axis',(1.,0.,0.)); #421399=DIRECTION('center_axis',(1.,0.,0.)); #421400=DIRECTION('ref_axis',(0.,1.,0.)); #421401=DIRECTION('',(0.,1.,0.)); #421402=DIRECTION('',(0.,0.,1.)); #421403=DIRECTION('',(0.,1.,0.)); #421404=DIRECTION('',(0.,0.,1.)); #421405=DIRECTION('center_axis',(0.,-1.,0.)); #421406=DIRECTION('ref_axis',(1.,0.,0.)); #421407=DIRECTION('',(1.,0.,0.)); #421408=DIRECTION('',(1.,0.,0.)); #421409=DIRECTION('',(0.,0.,1.)); #421410=DIRECTION('center_axis',(-1.,0.,0.)); #421411=DIRECTION('ref_axis',(0.,-1.,0.)); #421412=DIRECTION('',(0.,-1.,0.)); #421413=DIRECTION('',(0.,-1.,0.)); #421414=DIRECTION('',(0.,0.,1.)); #421415=DIRECTION('center_axis',(0.,1.,0.)); #421416=DIRECTION('ref_axis',(-1.,0.,0.)); #421417=DIRECTION('',(-1.,0.,0.)); #421418=DIRECTION('',(-1.,0.,0.)); #421419=DIRECTION('center_axis',(0.,0.,1.)); #421420=DIRECTION('ref_axis',(1.,0.,0.)); #421421=DIRECTION('center_axis',(0.,0.,1.)); #421422=DIRECTION('ref_axis',(1.,0.,0.)); #421423=DIRECTION('center_axis',(1.,0.,0.)); #421424=DIRECTION('ref_axis',(0.,1.,0.)); #421425=DIRECTION('',(0.,1.,0.)); #421426=DIRECTION('',(0.,0.,1.)); #421427=DIRECTION('',(0.,1.,0.)); #421428=DIRECTION('',(0.,0.,1.)); #421429=DIRECTION('center_axis',(0.,-1.,0.)); #421430=DIRECTION('ref_axis',(1.,0.,0.)); #421431=DIRECTION('',(1.,0.,0.)); #421432=DIRECTION('',(1.,0.,0.)); #421433=DIRECTION('',(0.,0.,1.)); #421434=DIRECTION('center_axis',(-1.,0.,0.)); #421435=DIRECTION('ref_axis',(0.,-1.,0.)); #421436=DIRECTION('',(0.,-1.,0.)); #421437=DIRECTION('',(0.,-1.,0.)); #421438=DIRECTION('',(0.,0.,1.)); #421439=DIRECTION('center_axis',(0.,1.,0.)); #421440=DIRECTION('ref_axis',(-1.,0.,0.)); #421441=DIRECTION('',(-1.,0.,0.)); #421442=DIRECTION('',(-1.,0.,0.)); #421443=DIRECTION('center_axis',(0.,0.,1.)); #421444=DIRECTION('ref_axis',(1.,0.,0.)); #421445=DIRECTION('center_axis',(0.,0.,1.)); #421446=DIRECTION('ref_axis',(1.,0.,0.)); #421447=DIRECTION('center_axis',(1.,0.,0.)); #421448=DIRECTION('ref_axis',(0.,1.,0.)); #421449=DIRECTION('',(0.,1.,0.)); #421450=DIRECTION('',(0.,0.,1.)); #421451=DIRECTION('',(0.,1.,0.)); #421452=DIRECTION('',(0.,0.,1.)); #421453=DIRECTION('center_axis',(0.,-1.,0.)); #421454=DIRECTION('ref_axis',(1.,0.,0.)); #421455=DIRECTION('',(1.,0.,0.)); #421456=DIRECTION('',(1.,0.,0.)); #421457=DIRECTION('',(0.,0.,1.)); #421458=DIRECTION('center_axis',(-1.,0.,0.)); #421459=DIRECTION('ref_axis',(0.,-1.,0.)); #421460=DIRECTION('',(0.,-1.,0.)); #421461=DIRECTION('',(0.,-1.,0.)); #421462=DIRECTION('',(0.,0.,1.)); #421463=DIRECTION('center_axis',(0.,1.,0.)); #421464=DIRECTION('ref_axis',(-1.,0.,0.)); #421465=DIRECTION('',(-1.,0.,0.)); #421466=DIRECTION('',(-1.,0.,0.)); #421467=DIRECTION('center_axis',(0.,0.,1.)); #421468=DIRECTION('ref_axis',(1.,0.,0.)); #421469=DIRECTION('center_axis',(0.,0.,1.)); #421470=DIRECTION('ref_axis',(1.,0.,0.)); #421471=DIRECTION('center_axis',(1.,0.,0.)); #421472=DIRECTION('ref_axis',(0.,1.,0.)); #421473=DIRECTION('',(0.,1.,0.)); #421474=DIRECTION('',(0.,0.,1.)); #421475=DIRECTION('',(0.,1.,0.)); #421476=DIRECTION('',(0.,0.,1.)); #421477=DIRECTION('center_axis',(0.,-1.,0.)); #421478=DIRECTION('ref_axis',(1.,0.,0.)); #421479=DIRECTION('',(1.,0.,0.)); #421480=DIRECTION('',(1.,0.,0.)); #421481=DIRECTION('',(0.,0.,1.)); #421482=DIRECTION('center_axis',(-1.,0.,0.)); #421483=DIRECTION('ref_axis',(0.,-1.,0.)); #421484=DIRECTION('',(0.,-1.,0.)); #421485=DIRECTION('',(0.,-1.,0.)); #421486=DIRECTION('',(0.,0.,1.)); #421487=DIRECTION('center_axis',(0.,1.,0.)); #421488=DIRECTION('ref_axis',(-1.,0.,0.)); #421489=DIRECTION('',(-1.,0.,0.)); #421490=DIRECTION('',(-1.,0.,0.)); #421491=DIRECTION('center_axis',(0.,0.,1.)); #421492=DIRECTION('ref_axis',(1.,0.,0.)); #421493=DIRECTION('center_axis',(0.,0.,1.)); #421494=DIRECTION('ref_axis',(1.,0.,0.)); #421495=DIRECTION('center_axis',(1.,0.,0.)); #421496=DIRECTION('ref_axis',(0.,1.,0.)); #421497=DIRECTION('',(0.,1.,0.)); #421498=DIRECTION('',(0.,0.,1.)); #421499=DIRECTION('',(0.,1.,0.)); #421500=DIRECTION('',(0.,0.,1.)); #421501=DIRECTION('center_axis',(0.,-1.,0.)); #421502=DIRECTION('ref_axis',(1.,0.,0.)); #421503=DIRECTION('',(1.,0.,0.)); #421504=DIRECTION('',(1.,0.,0.)); #421505=DIRECTION('',(0.,0.,1.)); #421506=DIRECTION('center_axis',(-1.,0.,0.)); #421507=DIRECTION('ref_axis',(0.,-1.,0.)); #421508=DIRECTION('',(0.,-1.,0.)); #421509=DIRECTION('',(0.,-1.,0.)); #421510=DIRECTION('',(0.,0.,1.)); #421511=DIRECTION('center_axis',(0.,1.,0.)); #421512=DIRECTION('ref_axis',(-1.,0.,0.)); #421513=DIRECTION('',(-1.,0.,0.)); #421514=DIRECTION('',(-1.,0.,0.)); #421515=DIRECTION('center_axis',(0.,0.,1.)); #421516=DIRECTION('ref_axis',(1.,0.,0.)); #421517=DIRECTION('center_axis',(0.,0.,1.)); #421518=DIRECTION('ref_axis',(1.,0.,0.)); #421519=DIRECTION('center_axis',(1.,0.,0.)); #421520=DIRECTION('ref_axis',(0.,1.,0.)); #421521=DIRECTION('',(0.,1.,0.)); #421522=DIRECTION('',(0.,0.,1.)); #421523=DIRECTION('',(0.,1.,0.)); #421524=DIRECTION('',(0.,0.,1.)); #421525=DIRECTION('center_axis',(0.,-1.,0.)); #421526=DIRECTION('ref_axis',(1.,0.,0.)); #421527=DIRECTION('',(1.,0.,0.)); #421528=DIRECTION('',(1.,0.,0.)); #421529=DIRECTION('',(0.,0.,1.)); #421530=DIRECTION('center_axis',(-1.,0.,0.)); #421531=DIRECTION('ref_axis',(0.,-1.,0.)); #421532=DIRECTION('',(0.,-1.,0.)); #421533=DIRECTION('',(0.,-1.,0.)); #421534=DIRECTION('',(0.,0.,1.)); #421535=DIRECTION('center_axis',(0.,1.,0.)); #421536=DIRECTION('ref_axis',(-1.,0.,0.)); #421537=DIRECTION('',(-1.,0.,0.)); #421538=DIRECTION('',(-1.,0.,0.)); #421539=DIRECTION('center_axis',(0.,0.,1.)); #421540=DIRECTION('ref_axis',(1.,0.,0.)); #421541=DIRECTION('center_axis',(0.,0.,1.)); #421542=DIRECTION('ref_axis',(1.,0.,0.)); #421543=DIRECTION('center_axis',(1.,0.,0.)); #421544=DIRECTION('ref_axis',(0.,1.,0.)); #421545=DIRECTION('',(0.,1.,0.)); #421546=DIRECTION('',(0.,0.,1.)); #421547=DIRECTION('',(0.,1.,0.)); #421548=DIRECTION('',(0.,0.,1.)); #421549=DIRECTION('center_axis',(0.,-1.,0.)); #421550=DIRECTION('ref_axis',(1.,0.,0.)); #421551=DIRECTION('',(1.,0.,0.)); #421552=DIRECTION('',(1.,0.,0.)); #421553=DIRECTION('',(0.,0.,1.)); #421554=DIRECTION('center_axis',(-1.,0.,0.)); #421555=DIRECTION('ref_axis',(0.,-1.,0.)); #421556=DIRECTION('',(0.,-1.,0.)); #421557=DIRECTION('',(0.,-1.,0.)); #421558=DIRECTION('',(0.,0.,1.)); #421559=DIRECTION('center_axis',(0.,1.,0.)); #421560=DIRECTION('ref_axis',(-1.,0.,0.)); #421561=DIRECTION('',(-1.,0.,0.)); #421562=DIRECTION('',(-1.,0.,0.)); #421563=DIRECTION('center_axis',(0.,0.,1.)); #421564=DIRECTION('ref_axis',(1.,0.,0.)); #421565=DIRECTION('center_axis',(0.,0.,1.)); #421566=DIRECTION('ref_axis',(1.,0.,0.)); #421567=DIRECTION('center_axis',(1.,0.,0.)); #421568=DIRECTION('ref_axis',(0.,1.,0.)); #421569=DIRECTION('',(0.,1.,0.)); #421570=DIRECTION('',(0.,0.,1.)); #421571=DIRECTION('',(0.,1.,0.)); #421572=DIRECTION('',(0.,0.,1.)); #421573=DIRECTION('center_axis',(0.,-1.,0.)); #421574=DIRECTION('ref_axis',(1.,0.,0.)); #421575=DIRECTION('',(1.,0.,0.)); #421576=DIRECTION('',(1.,0.,0.)); #421577=DIRECTION('',(0.,0.,1.)); #421578=DIRECTION('center_axis',(-1.,0.,0.)); #421579=DIRECTION('ref_axis',(0.,-1.,0.)); #421580=DIRECTION('',(0.,-1.,0.)); #421581=DIRECTION('',(0.,-1.,0.)); #421582=DIRECTION('',(0.,0.,1.)); #421583=DIRECTION('center_axis',(0.,1.,0.)); #421584=DIRECTION('ref_axis',(-1.,0.,0.)); #421585=DIRECTION('',(-1.,0.,0.)); #421586=DIRECTION('',(-1.,0.,0.)); #421587=DIRECTION('center_axis',(0.,0.,1.)); #421588=DIRECTION('ref_axis',(1.,0.,0.)); #421589=DIRECTION('center_axis',(0.,0.,1.)); #421590=DIRECTION('ref_axis',(1.,0.,0.)); #421591=DIRECTION('center_axis',(1.,0.,0.)); #421592=DIRECTION('ref_axis',(0.,1.,0.)); #421593=DIRECTION('',(0.,1.,0.)); #421594=DIRECTION('',(0.,0.,1.)); #421595=DIRECTION('',(0.,1.,0.)); #421596=DIRECTION('',(0.,0.,1.)); #421597=DIRECTION('center_axis',(0.,-1.,0.)); #421598=DIRECTION('ref_axis',(1.,0.,0.)); #421599=DIRECTION('',(1.,0.,0.)); #421600=DIRECTION('',(1.,0.,0.)); #421601=DIRECTION('',(0.,0.,1.)); #421602=DIRECTION('center_axis',(-1.,0.,0.)); #421603=DIRECTION('ref_axis',(0.,-1.,0.)); #421604=DIRECTION('',(0.,-1.,0.)); #421605=DIRECTION('',(0.,-1.,0.)); #421606=DIRECTION('',(0.,0.,1.)); #421607=DIRECTION('center_axis',(0.,1.,0.)); #421608=DIRECTION('ref_axis',(-1.,0.,0.)); #421609=DIRECTION('',(-1.,0.,0.)); #421610=DIRECTION('',(-1.,0.,0.)); #421611=DIRECTION('center_axis',(0.,0.,1.)); #421612=DIRECTION('ref_axis',(1.,0.,0.)); #421613=DIRECTION('center_axis',(0.,0.,1.)); #421614=DIRECTION('ref_axis',(1.,0.,0.)); #421615=DIRECTION('center_axis',(1.,0.,0.)); #421616=DIRECTION('ref_axis',(0.,1.,0.)); #421617=DIRECTION('',(0.,1.,0.)); #421618=DIRECTION('',(0.,0.,1.)); #421619=DIRECTION('',(0.,1.,0.)); #421620=DIRECTION('',(0.,0.,1.)); #421621=DIRECTION('center_axis',(0.,-1.,0.)); #421622=DIRECTION('ref_axis',(1.,0.,0.)); #421623=DIRECTION('',(1.,0.,0.)); #421624=DIRECTION('',(1.,0.,0.)); #421625=DIRECTION('',(0.,0.,1.)); #421626=DIRECTION('center_axis',(-1.,0.,0.)); #421627=DIRECTION('ref_axis',(0.,-1.,0.)); #421628=DIRECTION('',(0.,-1.,0.)); #421629=DIRECTION('',(0.,-1.,0.)); #421630=DIRECTION('',(0.,0.,1.)); #421631=DIRECTION('center_axis',(0.,1.,0.)); #421632=DIRECTION('ref_axis',(-1.,0.,0.)); #421633=DIRECTION('',(-1.,0.,0.)); #421634=DIRECTION('',(-1.,0.,0.)); #421635=DIRECTION('center_axis',(0.,0.,1.)); #421636=DIRECTION('ref_axis',(1.,0.,0.)); #421637=DIRECTION('center_axis',(0.,0.,1.)); #421638=DIRECTION('ref_axis',(1.,0.,0.)); #421639=DIRECTION('center_axis',(1.,0.,0.)); #421640=DIRECTION('ref_axis',(0.,1.,0.)); #421641=DIRECTION('',(0.,1.,0.)); #421642=DIRECTION('',(0.,0.,1.)); #421643=DIRECTION('',(0.,1.,0.)); #421644=DIRECTION('',(0.,0.,1.)); #421645=DIRECTION('center_axis',(0.,-1.,0.)); #421646=DIRECTION('ref_axis',(1.,0.,0.)); #421647=DIRECTION('',(1.,0.,0.)); #421648=DIRECTION('',(1.,0.,0.)); #421649=DIRECTION('',(0.,0.,1.)); #421650=DIRECTION('center_axis',(-1.,0.,0.)); #421651=DIRECTION('ref_axis',(0.,-1.,0.)); #421652=DIRECTION('',(0.,-1.,0.)); #421653=DIRECTION('',(0.,-1.,0.)); #421654=DIRECTION('',(0.,0.,1.)); #421655=DIRECTION('center_axis',(0.,1.,0.)); #421656=DIRECTION('ref_axis',(-1.,0.,0.)); #421657=DIRECTION('',(-1.,0.,0.)); #421658=DIRECTION('',(-1.,0.,0.)); #421659=DIRECTION('center_axis',(0.,0.,1.)); #421660=DIRECTION('ref_axis',(1.,0.,0.)); #421661=DIRECTION('center_axis',(0.,0.,1.)); #421662=DIRECTION('ref_axis',(1.,0.,0.)); #421663=DIRECTION('center_axis',(1.,0.,0.)); #421664=DIRECTION('ref_axis',(0.,1.,0.)); #421665=DIRECTION('',(0.,1.,0.)); #421666=DIRECTION('',(0.,0.,1.)); #421667=DIRECTION('',(0.,1.,0.)); #421668=DIRECTION('',(0.,0.,1.)); #421669=DIRECTION('center_axis',(0.,-1.,0.)); #421670=DIRECTION('ref_axis',(1.,0.,0.)); #421671=DIRECTION('',(1.,0.,0.)); #421672=DIRECTION('',(1.,0.,0.)); #421673=DIRECTION('',(0.,0.,1.)); #421674=DIRECTION('center_axis',(-1.,0.,0.)); #421675=DIRECTION('ref_axis',(0.,-1.,0.)); #421676=DIRECTION('',(0.,-1.,0.)); #421677=DIRECTION('',(0.,-1.,0.)); #421678=DIRECTION('',(0.,0.,1.)); #421679=DIRECTION('center_axis',(0.,1.,0.)); #421680=DIRECTION('ref_axis',(-1.,0.,0.)); #421681=DIRECTION('',(-1.,0.,0.)); #421682=DIRECTION('',(-1.,0.,0.)); #421683=DIRECTION('center_axis',(0.,0.,1.)); #421684=DIRECTION('ref_axis',(1.,0.,0.)); #421685=DIRECTION('center_axis',(0.,0.,1.)); #421686=DIRECTION('ref_axis',(1.,0.,0.)); #421687=DIRECTION('center_axis',(1.,0.,0.)); #421688=DIRECTION('ref_axis',(0.,1.,0.)); #421689=DIRECTION('',(0.,1.,0.)); #421690=DIRECTION('',(0.,0.,1.)); #421691=DIRECTION('',(0.,1.,0.)); #421692=DIRECTION('',(0.,0.,1.)); #421693=DIRECTION('center_axis',(0.,-1.,0.)); #421694=DIRECTION('ref_axis',(1.,0.,0.)); #421695=DIRECTION('',(1.,0.,0.)); #421696=DIRECTION('',(1.,0.,0.)); #421697=DIRECTION('',(0.,0.,1.)); #421698=DIRECTION('center_axis',(-1.,0.,0.)); #421699=DIRECTION('ref_axis',(0.,-1.,0.)); #421700=DIRECTION('',(0.,-1.,0.)); #421701=DIRECTION('',(0.,-1.,0.)); #421702=DIRECTION('',(0.,0.,1.)); #421703=DIRECTION('center_axis',(0.,1.,0.)); #421704=DIRECTION('ref_axis',(-1.,0.,0.)); #421705=DIRECTION('',(-1.,0.,0.)); #421706=DIRECTION('',(-1.,0.,0.)); #421707=DIRECTION('center_axis',(0.,0.,1.)); #421708=DIRECTION('ref_axis',(1.,0.,0.)); #421709=DIRECTION('center_axis',(0.,0.,1.)); #421710=DIRECTION('ref_axis',(1.,0.,0.)); #421711=DIRECTION('center_axis',(1.,0.,0.)); #421712=DIRECTION('ref_axis',(0.,1.,0.)); #421713=DIRECTION('',(0.,1.,0.)); #421714=DIRECTION('',(0.,0.,1.)); #421715=DIRECTION('',(0.,1.,0.)); #421716=DIRECTION('',(0.,0.,1.)); #421717=DIRECTION('center_axis',(0.,-1.,0.)); #421718=DIRECTION('ref_axis',(1.,0.,0.)); #421719=DIRECTION('',(1.,0.,0.)); #421720=DIRECTION('',(1.,0.,0.)); #421721=DIRECTION('',(0.,0.,1.)); #421722=DIRECTION('center_axis',(-1.,0.,0.)); #421723=DIRECTION('ref_axis',(0.,-1.,0.)); #421724=DIRECTION('',(0.,-1.,0.)); #421725=DIRECTION('',(0.,-1.,0.)); #421726=DIRECTION('',(0.,0.,1.)); #421727=DIRECTION('center_axis',(0.,1.,0.)); #421728=DIRECTION('ref_axis',(-1.,0.,0.)); #421729=DIRECTION('',(-1.,0.,0.)); #421730=DIRECTION('',(-1.,0.,0.)); #421731=DIRECTION('center_axis',(0.,0.,1.)); #421732=DIRECTION('ref_axis',(1.,0.,0.)); #421733=DIRECTION('center_axis',(0.,0.,1.)); #421734=DIRECTION('ref_axis',(1.,0.,0.)); #421735=DIRECTION('center_axis',(1.,0.,0.)); #421736=DIRECTION('ref_axis',(0.,1.,0.)); #421737=DIRECTION('',(0.,1.,0.)); #421738=DIRECTION('',(0.,0.,1.)); #421739=DIRECTION('',(0.,1.,0.)); #421740=DIRECTION('',(0.,0.,1.)); #421741=DIRECTION('center_axis',(0.,-1.,0.)); #421742=DIRECTION('ref_axis',(1.,0.,0.)); #421743=DIRECTION('',(1.,0.,0.)); #421744=DIRECTION('',(1.,0.,0.)); #421745=DIRECTION('',(0.,0.,1.)); #421746=DIRECTION('center_axis',(-1.,0.,0.)); #421747=DIRECTION('ref_axis',(0.,-1.,0.)); #421748=DIRECTION('',(0.,-1.,0.)); #421749=DIRECTION('',(0.,-1.,0.)); #421750=DIRECTION('',(0.,0.,1.)); #421751=DIRECTION('center_axis',(0.,1.,0.)); #421752=DIRECTION('ref_axis',(-1.,0.,0.)); #421753=DIRECTION('',(-1.,0.,0.)); #421754=DIRECTION('',(-1.,0.,0.)); #421755=DIRECTION('center_axis',(0.,0.,1.)); #421756=DIRECTION('ref_axis',(1.,0.,0.)); #421757=DIRECTION('center_axis',(0.,0.,1.)); #421758=DIRECTION('ref_axis',(1.,0.,0.)); #421759=DIRECTION('center_axis',(1.,0.,0.)); #421760=DIRECTION('ref_axis',(0.,1.,0.)); #421761=DIRECTION('',(0.,1.,0.)); #421762=DIRECTION('',(0.,0.,1.)); #421763=DIRECTION('',(0.,1.,0.)); #421764=DIRECTION('',(0.,0.,1.)); #421765=DIRECTION('center_axis',(0.,-1.,0.)); #421766=DIRECTION('ref_axis',(1.,0.,0.)); #421767=DIRECTION('',(1.,0.,0.)); #421768=DIRECTION('',(1.,0.,0.)); #421769=DIRECTION('',(0.,0.,1.)); #421770=DIRECTION('center_axis',(-1.,0.,0.)); #421771=DIRECTION('ref_axis',(0.,-1.,0.)); #421772=DIRECTION('',(0.,-1.,0.)); #421773=DIRECTION('',(0.,-1.,0.)); #421774=DIRECTION('',(0.,0.,1.)); #421775=DIRECTION('center_axis',(0.,1.,0.)); #421776=DIRECTION('ref_axis',(-1.,0.,0.)); #421777=DIRECTION('',(-1.,0.,0.)); #421778=DIRECTION('',(-1.,0.,0.)); #421779=DIRECTION('center_axis',(0.,0.,1.)); #421780=DIRECTION('ref_axis',(1.,0.,0.)); #421781=DIRECTION('center_axis',(0.,0.,1.)); #421782=DIRECTION('ref_axis',(1.,0.,0.)); #421783=DIRECTION('center_axis',(1.,0.,0.)); #421784=DIRECTION('ref_axis',(0.,1.,0.)); #421785=DIRECTION('',(0.,1.,0.)); #421786=DIRECTION('',(0.,0.,1.)); #421787=DIRECTION('',(0.,1.,0.)); #421788=DIRECTION('',(0.,0.,1.)); #421789=DIRECTION('center_axis',(0.,-1.,0.)); #421790=DIRECTION('ref_axis',(1.,0.,0.)); #421791=DIRECTION('',(1.,0.,0.)); #421792=DIRECTION('',(1.,0.,0.)); #421793=DIRECTION('',(0.,0.,1.)); #421794=DIRECTION('center_axis',(-1.,0.,0.)); #421795=DIRECTION('ref_axis',(0.,-1.,0.)); #421796=DIRECTION('',(0.,-1.,0.)); #421797=DIRECTION('',(0.,-1.,0.)); #421798=DIRECTION('',(0.,0.,1.)); #421799=DIRECTION('center_axis',(0.,1.,0.)); #421800=DIRECTION('ref_axis',(-1.,0.,0.)); #421801=DIRECTION('',(-1.,0.,0.)); #421802=DIRECTION('',(-1.,0.,0.)); #421803=DIRECTION('center_axis',(0.,0.,1.)); #421804=DIRECTION('ref_axis',(1.,0.,0.)); #421805=DIRECTION('center_axis',(0.,0.,1.)); #421806=DIRECTION('ref_axis',(1.,0.,0.)); #421807=DIRECTION('center_axis',(1.,0.,0.)); #421808=DIRECTION('ref_axis',(0.,1.,0.)); #421809=DIRECTION('',(0.,1.,0.)); #421810=DIRECTION('',(0.,0.,1.)); #421811=DIRECTION('',(0.,1.,0.)); #421812=DIRECTION('',(0.,0.,1.)); #421813=DIRECTION('center_axis',(0.,-1.,0.)); #421814=DIRECTION('ref_axis',(1.,0.,0.)); #421815=DIRECTION('',(1.,0.,0.)); #421816=DIRECTION('',(1.,0.,0.)); #421817=DIRECTION('',(0.,0.,1.)); #421818=DIRECTION('center_axis',(-1.,0.,0.)); #421819=DIRECTION('ref_axis',(0.,-1.,0.)); #421820=DIRECTION('',(0.,-1.,0.)); #421821=DIRECTION('',(0.,-1.,0.)); #421822=DIRECTION('',(0.,0.,1.)); #421823=DIRECTION('center_axis',(0.,1.,0.)); #421824=DIRECTION('ref_axis',(-1.,0.,0.)); #421825=DIRECTION('',(-1.,0.,0.)); #421826=DIRECTION('',(-1.,0.,0.)); #421827=DIRECTION('center_axis',(0.,0.,1.)); #421828=DIRECTION('ref_axis',(1.,0.,0.)); #421829=DIRECTION('center_axis',(0.,0.,1.)); #421830=DIRECTION('ref_axis',(1.,0.,0.)); #421831=DIRECTION('center_axis',(1.,0.,0.)); #421832=DIRECTION('ref_axis',(0.,1.,0.)); #421833=DIRECTION('',(0.,1.,0.)); #421834=DIRECTION('',(0.,0.,1.)); #421835=DIRECTION('',(0.,1.,0.)); #421836=DIRECTION('',(0.,0.,1.)); #421837=DIRECTION('center_axis',(0.,-1.,0.)); #421838=DIRECTION('ref_axis',(1.,0.,0.)); #421839=DIRECTION('',(1.,0.,0.)); #421840=DIRECTION('',(1.,0.,0.)); #421841=DIRECTION('',(0.,0.,1.)); #421842=DIRECTION('center_axis',(-1.,0.,0.)); #421843=DIRECTION('ref_axis',(0.,-1.,0.)); #421844=DIRECTION('',(0.,-1.,0.)); #421845=DIRECTION('',(0.,-1.,0.)); #421846=DIRECTION('',(0.,0.,1.)); #421847=DIRECTION('center_axis',(0.,1.,0.)); #421848=DIRECTION('ref_axis',(-1.,0.,0.)); #421849=DIRECTION('',(-1.,0.,0.)); #421850=DIRECTION('',(-1.,0.,0.)); #421851=DIRECTION('center_axis',(0.,0.,1.)); #421852=DIRECTION('ref_axis',(1.,0.,0.)); #421853=DIRECTION('center_axis',(0.,0.,1.)); #421854=DIRECTION('ref_axis',(1.,0.,0.)); #421855=DIRECTION('center_axis',(1.,0.,0.)); #421856=DIRECTION('ref_axis',(0.,1.,0.)); #421857=DIRECTION('',(0.,1.,0.)); #421858=DIRECTION('',(0.,0.,1.)); #421859=DIRECTION('',(0.,1.,0.)); #421860=DIRECTION('',(0.,0.,1.)); #421861=DIRECTION('center_axis',(0.,-1.,0.)); #421862=DIRECTION('ref_axis',(1.,0.,0.)); #421863=DIRECTION('',(1.,0.,0.)); #421864=DIRECTION('',(1.,0.,0.)); #421865=DIRECTION('',(0.,0.,1.)); #421866=DIRECTION('center_axis',(-1.,0.,0.)); #421867=DIRECTION('ref_axis',(0.,-1.,0.)); #421868=DIRECTION('',(0.,-1.,0.)); #421869=DIRECTION('',(0.,-1.,0.)); #421870=DIRECTION('',(0.,0.,1.)); #421871=DIRECTION('center_axis',(0.,1.,0.)); #421872=DIRECTION('ref_axis',(-1.,0.,0.)); #421873=DIRECTION('',(-1.,0.,0.)); #421874=DIRECTION('',(-1.,0.,0.)); #421875=DIRECTION('center_axis',(0.,0.,1.)); #421876=DIRECTION('ref_axis',(1.,0.,0.)); #421877=DIRECTION('center_axis',(0.,0.,1.)); #421878=DIRECTION('ref_axis',(1.,0.,0.)); #421879=DIRECTION('center_axis',(1.,0.,0.)); #421880=DIRECTION('ref_axis',(0.,1.,0.)); #421881=DIRECTION('',(0.,1.,0.)); #421882=DIRECTION('',(0.,0.,1.)); #421883=DIRECTION('',(0.,1.,0.)); #421884=DIRECTION('',(0.,0.,1.)); #421885=DIRECTION('center_axis',(0.,-1.,0.)); #421886=DIRECTION('ref_axis',(1.,0.,0.)); #421887=DIRECTION('',(1.,0.,0.)); #421888=DIRECTION('',(1.,0.,0.)); #421889=DIRECTION('',(0.,0.,1.)); #421890=DIRECTION('center_axis',(-1.,0.,0.)); #421891=DIRECTION('ref_axis',(0.,-1.,0.)); #421892=DIRECTION('',(0.,-1.,0.)); #421893=DIRECTION('',(0.,-1.,0.)); #421894=DIRECTION('',(0.,0.,1.)); #421895=DIRECTION('center_axis',(0.,1.,0.)); #421896=DIRECTION('ref_axis',(-1.,0.,0.)); #421897=DIRECTION('',(-1.,0.,0.)); #421898=DIRECTION('',(-1.,0.,0.)); #421899=DIRECTION('center_axis',(0.,0.,1.)); #421900=DIRECTION('ref_axis',(1.,0.,0.)); #421901=DIRECTION('center_axis',(0.,0.,1.)); #421902=DIRECTION('ref_axis',(1.,0.,0.)); #421903=DIRECTION('center_axis',(1.,0.,0.)); #421904=DIRECTION('ref_axis',(0.,1.,0.)); #421905=DIRECTION('',(0.,1.,0.)); #421906=DIRECTION('',(0.,0.,1.)); #421907=DIRECTION('',(0.,1.,0.)); #421908=DIRECTION('',(0.,0.,1.)); #421909=DIRECTION('center_axis',(0.,-1.,0.)); #421910=DIRECTION('ref_axis',(1.,0.,0.)); #421911=DIRECTION('',(1.,0.,0.)); #421912=DIRECTION('',(1.,0.,0.)); #421913=DIRECTION('',(0.,0.,1.)); #421914=DIRECTION('center_axis',(-1.,0.,0.)); #421915=DIRECTION('ref_axis',(0.,-1.,0.)); #421916=DIRECTION('',(0.,-1.,0.)); #421917=DIRECTION('',(0.,-1.,0.)); #421918=DIRECTION('',(0.,0.,1.)); #421919=DIRECTION('center_axis',(0.,1.,0.)); #421920=DIRECTION('ref_axis',(-1.,0.,0.)); #421921=DIRECTION('',(-1.,0.,0.)); #421922=DIRECTION('',(-1.,0.,0.)); #421923=DIRECTION('center_axis',(0.,0.,1.)); #421924=DIRECTION('ref_axis',(1.,0.,0.)); #421925=DIRECTION('center_axis',(0.,0.,1.)); #421926=DIRECTION('ref_axis',(1.,0.,0.)); #421927=DIRECTION('center_axis',(1.,0.,0.)); #421928=DIRECTION('ref_axis',(0.,1.,0.)); #421929=DIRECTION('',(0.,1.,0.)); #421930=DIRECTION('',(0.,0.,1.)); #421931=DIRECTION('',(0.,1.,0.)); #421932=DIRECTION('',(0.,0.,1.)); #421933=DIRECTION('center_axis',(0.,-1.,0.)); #421934=DIRECTION('ref_axis',(1.,0.,0.)); #421935=DIRECTION('',(1.,0.,0.)); #421936=DIRECTION('',(1.,0.,0.)); #421937=DIRECTION('',(0.,0.,1.)); #421938=DIRECTION('center_axis',(-1.,0.,0.)); #421939=DIRECTION('ref_axis',(0.,-1.,0.)); #421940=DIRECTION('',(0.,-1.,0.)); #421941=DIRECTION('',(0.,-1.,0.)); #421942=DIRECTION('',(0.,0.,1.)); #421943=DIRECTION('center_axis',(0.,1.,0.)); #421944=DIRECTION('ref_axis',(-1.,0.,0.)); #421945=DIRECTION('',(-1.,0.,0.)); #421946=DIRECTION('',(-1.,0.,0.)); #421947=DIRECTION('center_axis',(0.,0.,1.)); #421948=DIRECTION('ref_axis',(1.,0.,0.)); #421949=DIRECTION('center_axis',(0.,0.,1.)); #421950=DIRECTION('ref_axis',(1.,0.,0.)); #421951=DIRECTION('center_axis',(1.,0.,0.)); #421952=DIRECTION('ref_axis',(0.,1.,0.)); #421953=DIRECTION('',(0.,1.,0.)); #421954=DIRECTION('',(0.,0.,1.)); #421955=DIRECTION('',(0.,1.,0.)); #421956=DIRECTION('',(0.,0.,1.)); #421957=DIRECTION('center_axis',(0.,-1.,0.)); #421958=DIRECTION('ref_axis',(1.,0.,0.)); #421959=DIRECTION('',(1.,0.,0.)); #421960=DIRECTION('',(1.,0.,0.)); #421961=DIRECTION('',(0.,0.,1.)); #421962=DIRECTION('center_axis',(-1.,0.,0.)); #421963=DIRECTION('ref_axis',(0.,-1.,0.)); #421964=DIRECTION('',(0.,-1.,0.)); #421965=DIRECTION('',(0.,-1.,0.)); #421966=DIRECTION('',(0.,0.,1.)); #421967=DIRECTION('center_axis',(0.,1.,0.)); #421968=DIRECTION('ref_axis',(-1.,0.,0.)); #421969=DIRECTION('',(-1.,0.,0.)); #421970=DIRECTION('',(-1.,0.,0.)); #421971=DIRECTION('center_axis',(0.,0.,1.)); #421972=DIRECTION('ref_axis',(1.,0.,0.)); #421973=DIRECTION('center_axis',(0.,0.,1.)); #421974=DIRECTION('ref_axis',(1.,0.,0.)); #421975=DIRECTION('center_axis',(1.,0.,0.)); #421976=DIRECTION('ref_axis',(0.,1.,0.)); #421977=DIRECTION('',(0.,1.,0.)); #421978=DIRECTION('',(0.,0.,1.)); #421979=DIRECTION('',(0.,1.,0.)); #421980=DIRECTION('',(0.,0.,1.)); #421981=DIRECTION('center_axis',(0.,-1.,0.)); #421982=DIRECTION('ref_axis',(1.,0.,0.)); #421983=DIRECTION('',(1.,0.,0.)); #421984=DIRECTION('',(1.,0.,0.)); #421985=DIRECTION('',(0.,0.,1.)); #421986=DIRECTION('center_axis',(-1.,0.,0.)); #421987=DIRECTION('ref_axis',(0.,-1.,0.)); #421988=DIRECTION('',(0.,-1.,0.)); #421989=DIRECTION('',(0.,-1.,0.)); #421990=DIRECTION('',(0.,0.,1.)); #421991=DIRECTION('center_axis',(0.,1.,0.)); #421992=DIRECTION('ref_axis',(-1.,0.,0.)); #421993=DIRECTION('',(-1.,0.,0.)); #421994=DIRECTION('',(-1.,0.,0.)); #421995=DIRECTION('center_axis',(0.,0.,1.)); #421996=DIRECTION('ref_axis',(1.,0.,0.)); #421997=DIRECTION('center_axis',(0.,0.,1.)); #421998=DIRECTION('ref_axis',(1.,0.,0.)); #421999=DIRECTION('center_axis',(1.,0.,0.)); #422000=DIRECTION('ref_axis',(0.,1.,0.)); #422001=DIRECTION('',(0.,1.,0.)); #422002=DIRECTION('',(0.,0.,1.)); #422003=DIRECTION('',(0.,1.,0.)); #422004=DIRECTION('',(0.,0.,1.)); #422005=DIRECTION('center_axis',(0.,-1.,0.)); #422006=DIRECTION('ref_axis',(1.,0.,0.)); #422007=DIRECTION('',(1.,0.,0.)); #422008=DIRECTION('',(1.,0.,0.)); #422009=DIRECTION('',(0.,0.,1.)); #422010=DIRECTION('center_axis',(-1.,0.,0.)); #422011=DIRECTION('ref_axis',(0.,-1.,0.)); #422012=DIRECTION('',(0.,-1.,0.)); #422013=DIRECTION('',(0.,-1.,0.)); #422014=DIRECTION('',(0.,0.,1.)); #422015=DIRECTION('center_axis',(0.,1.,0.)); #422016=DIRECTION('ref_axis',(-1.,0.,0.)); #422017=DIRECTION('',(-1.,0.,0.)); #422018=DIRECTION('',(-1.,0.,0.)); #422019=DIRECTION('center_axis',(0.,0.,1.)); #422020=DIRECTION('ref_axis',(1.,0.,0.)); #422021=DIRECTION('center_axis',(0.,0.,1.)); #422022=DIRECTION('ref_axis',(1.,0.,0.)); #422023=DIRECTION('center_axis',(1.,0.,0.)); #422024=DIRECTION('ref_axis',(0.,1.,0.)); #422025=DIRECTION('',(0.,1.,0.)); #422026=DIRECTION('',(0.,0.,1.)); #422027=DIRECTION('',(0.,1.,0.)); #422028=DIRECTION('',(0.,0.,1.)); #422029=DIRECTION('center_axis',(0.,-1.,0.)); #422030=DIRECTION('ref_axis',(1.,0.,0.)); #422031=DIRECTION('',(1.,0.,0.)); #422032=DIRECTION('',(1.,0.,0.)); #422033=DIRECTION('',(0.,0.,1.)); #422034=DIRECTION('center_axis',(-1.,0.,0.)); #422035=DIRECTION('ref_axis',(0.,-1.,0.)); #422036=DIRECTION('',(0.,-1.,0.)); #422037=DIRECTION('',(0.,-1.,0.)); #422038=DIRECTION('',(0.,0.,1.)); #422039=DIRECTION('center_axis',(0.,1.,0.)); #422040=DIRECTION('ref_axis',(-1.,0.,0.)); #422041=DIRECTION('',(-1.,0.,0.)); #422042=DIRECTION('',(-1.,0.,0.)); #422043=DIRECTION('center_axis',(0.,0.,1.)); #422044=DIRECTION('ref_axis',(1.,0.,0.)); #422045=DIRECTION('center_axis',(0.,0.,1.)); #422046=DIRECTION('ref_axis',(1.,0.,0.)); #422047=DIRECTION('center_axis',(0.,0.,1.)); #422048=DIRECTION('ref_axis',(1.,0.,0.)); #422049=DIRECTION('center_axis',(0.,0.,1.)); #422050=DIRECTION('ref_axis',(1.,0.,0.)); #422051=DIRECTION('',(0.,0.,1.)); #422052=DIRECTION('center_axis',(0.,0.,-1.)); #422053=DIRECTION('ref_axis',(1.,0.,0.)); #422054=DIRECTION('center_axis',(0.,0.,1.)); #422055=DIRECTION('ref_axis',(1.,0.,0.)); #422056=DIRECTION('center_axis',(0.,0.,1.)); #422057=DIRECTION('ref_axis',(1.,0.,0.)); #422058=DIRECTION('',(0.,0.,1.)); #422059=DIRECTION('center_axis',(0.,0.,-1.)); #422060=DIRECTION('ref_axis',(1.,0.,0.)); #422061=DIRECTION('center_axis',(0.,0.,1.)); #422062=DIRECTION('ref_axis',(1.,0.,0.)); #422063=DIRECTION('center_axis',(0.,0.,1.)); #422064=DIRECTION('ref_axis',(1.,0.,0.)); #422065=DIRECTION('',(0.,0.,1.)); #422066=DIRECTION('center_axis',(0.,0.,-1.)); #422067=DIRECTION('ref_axis',(1.,0.,0.)); #422068=DIRECTION('center_axis',(0.,0.,1.)); #422069=DIRECTION('ref_axis',(1.,0.,0.)); #422070=DIRECTION('center_axis',(0.,0.,1.)); #422071=DIRECTION('ref_axis',(1.,0.,0.)); #422072=DIRECTION('',(0.,0.,1.)); #422073=DIRECTION('center_axis',(0.,0.,-1.)); #422074=DIRECTION('ref_axis',(1.,0.,0.)); #422075=DIRECTION('center_axis',(0.,0.,1.)); #422076=DIRECTION('ref_axis',(1.,0.,0.)); #422077=DIRECTION('center_axis',(0.,0.,1.)); #422078=DIRECTION('ref_axis',(1.,0.,0.)); #422079=DIRECTION('',(0.,0.,1.)); #422080=DIRECTION('center_axis',(0.,0.,-1.)); #422081=DIRECTION('ref_axis',(1.,0.,0.)); #422082=DIRECTION('center_axis',(0.,0.,1.)); #422083=DIRECTION('ref_axis',(1.,0.,0.)); #422084=DIRECTION('center_axis',(0.,0.,1.)); #422085=DIRECTION('ref_axis',(1.,0.,0.)); #422086=DIRECTION('',(0.,0.,1.)); #422087=DIRECTION('center_axis',(0.,0.,-1.)); #422088=DIRECTION('ref_axis',(1.,0.,0.)); #422089=DIRECTION('center_axis',(1.,0.,0.)); #422090=DIRECTION('ref_axis',(0.,1.,0.)); #422091=DIRECTION('',(0.,1.,0.)); #422092=DIRECTION('',(0.,0.,1.)); #422093=DIRECTION('',(0.,1.,0.)); #422094=DIRECTION('',(0.,0.,1.)); #422095=DIRECTION('center_axis',(0.,-1.,0.)); #422096=DIRECTION('ref_axis',(1.,0.,0.)); #422097=DIRECTION('',(1.,0.,0.)); #422098=DIRECTION('',(1.,0.,0.)); #422099=DIRECTION('',(0.,0.,1.)); #422100=DIRECTION('center_axis',(-1.,0.,0.)); #422101=DIRECTION('ref_axis',(0.,-1.,0.)); #422102=DIRECTION('',(0.,-1.,0.)); #422103=DIRECTION('',(0.,-1.,0.)); #422104=DIRECTION('',(0.,0.,1.)); #422105=DIRECTION('center_axis',(0.,1.,0.)); #422106=DIRECTION('ref_axis',(-1.,0.,0.)); #422107=DIRECTION('',(-1.,0.,0.)); #422108=DIRECTION('',(-1.,0.,0.)); #422109=DIRECTION('center_axis',(0.,0.,1.)); #422110=DIRECTION('ref_axis',(1.,0.,0.)); #422111=DIRECTION('center_axis',(0.,0.,1.)); #422112=DIRECTION('ref_axis',(1.,0.,0.)); #422113=DIRECTION('center_axis',(1.,0.,0.)); #422114=DIRECTION('ref_axis',(0.,1.,0.)); #422115=DIRECTION('',(0.,1.,0.)); #422116=DIRECTION('',(0.,0.,1.)); #422117=DIRECTION('',(0.,1.,0.)); #422118=DIRECTION('',(0.,0.,1.)); #422119=DIRECTION('center_axis',(0.,-1.,0.)); #422120=DIRECTION('ref_axis',(1.,0.,0.)); #422121=DIRECTION('',(1.,0.,0.)); #422122=DIRECTION('',(1.,0.,0.)); #422123=DIRECTION('',(0.,0.,1.)); #422124=DIRECTION('center_axis',(-1.,0.,0.)); #422125=DIRECTION('ref_axis',(0.,-1.,0.)); #422126=DIRECTION('',(0.,-1.,0.)); #422127=DIRECTION('',(0.,-1.,0.)); #422128=DIRECTION('',(0.,0.,1.)); #422129=DIRECTION('center_axis',(0.,1.,0.)); #422130=DIRECTION('ref_axis',(-1.,0.,0.)); #422131=DIRECTION('',(-1.,0.,0.)); #422132=DIRECTION('',(-1.,0.,0.)); #422133=DIRECTION('center_axis',(0.,0.,1.)); #422134=DIRECTION('ref_axis',(1.,0.,0.)); #422135=DIRECTION('center_axis',(0.,0.,1.)); #422136=DIRECTION('ref_axis',(1.,0.,0.)); #422137=DIRECTION('center_axis',(1.,0.,0.)); #422138=DIRECTION('ref_axis',(0.,1.,0.)); #422139=DIRECTION('',(0.,1.,0.)); #422140=DIRECTION('',(0.,0.,1.)); #422141=DIRECTION('',(0.,1.,0.)); #422142=DIRECTION('',(0.,0.,1.)); #422143=DIRECTION('center_axis',(0.,-1.,0.)); #422144=DIRECTION('ref_axis',(1.,0.,0.)); #422145=DIRECTION('',(1.,0.,0.)); #422146=DIRECTION('',(1.,0.,0.)); #422147=DIRECTION('',(0.,0.,1.)); #422148=DIRECTION('center_axis',(-1.,0.,0.)); #422149=DIRECTION('ref_axis',(0.,-1.,0.)); #422150=DIRECTION('',(0.,-1.,0.)); #422151=DIRECTION('',(0.,-1.,0.)); #422152=DIRECTION('',(0.,0.,1.)); #422153=DIRECTION('center_axis',(0.,1.,0.)); #422154=DIRECTION('ref_axis',(-1.,0.,0.)); #422155=DIRECTION('',(-1.,0.,0.)); #422156=DIRECTION('',(-1.,0.,0.)); #422157=DIRECTION('center_axis',(0.,0.,1.)); #422158=DIRECTION('ref_axis',(1.,0.,0.)); #422159=DIRECTION('center_axis',(0.,0.,1.)); #422160=DIRECTION('ref_axis',(1.,0.,0.)); #422161=DIRECTION('center_axis',(1.,0.,0.)); #422162=DIRECTION('ref_axis',(0.,1.,0.)); #422163=DIRECTION('',(0.,1.,0.)); #422164=DIRECTION('',(0.,0.,1.)); #422165=DIRECTION('',(0.,1.,0.)); #422166=DIRECTION('',(0.,0.,1.)); #422167=DIRECTION('center_axis',(0.,-1.,0.)); #422168=DIRECTION('ref_axis',(1.,0.,0.)); #422169=DIRECTION('',(1.,0.,0.)); #422170=DIRECTION('',(1.,0.,0.)); #422171=DIRECTION('',(0.,0.,1.)); #422172=DIRECTION('center_axis',(-1.,0.,0.)); #422173=DIRECTION('ref_axis',(0.,-1.,0.)); #422174=DIRECTION('',(0.,-1.,0.)); #422175=DIRECTION('',(0.,-1.,0.)); #422176=DIRECTION('',(0.,0.,1.)); #422177=DIRECTION('center_axis',(0.,1.,0.)); #422178=DIRECTION('ref_axis',(-1.,0.,0.)); #422179=DIRECTION('',(-1.,0.,0.)); #422180=DIRECTION('',(-1.,0.,0.)); #422181=DIRECTION('center_axis',(0.,0.,1.)); #422182=DIRECTION('ref_axis',(1.,0.,0.)); #422183=DIRECTION('center_axis',(0.,0.,1.)); #422184=DIRECTION('ref_axis',(1.,0.,0.)); #422185=DIRECTION('center_axis',(1.,0.,0.)); #422186=DIRECTION('ref_axis',(0.,1.,0.)); #422187=DIRECTION('',(0.,1.,0.)); #422188=DIRECTION('',(0.,0.,1.)); #422189=DIRECTION('',(0.,1.,0.)); #422190=DIRECTION('',(0.,0.,1.)); #422191=DIRECTION('center_axis',(0.,-1.,0.)); #422192=DIRECTION('ref_axis',(1.,0.,0.)); #422193=DIRECTION('',(1.,0.,0.)); #422194=DIRECTION('',(1.,0.,0.)); #422195=DIRECTION('',(0.,0.,1.)); #422196=DIRECTION('center_axis',(-1.,0.,0.)); #422197=DIRECTION('ref_axis',(0.,-1.,0.)); #422198=DIRECTION('',(0.,-1.,0.)); #422199=DIRECTION('',(0.,-1.,0.)); #422200=DIRECTION('',(0.,0.,1.)); #422201=DIRECTION('center_axis',(0.,1.,0.)); #422202=DIRECTION('ref_axis',(-1.,0.,0.)); #422203=DIRECTION('',(-1.,0.,0.)); #422204=DIRECTION('',(-1.,0.,0.)); #422205=DIRECTION('center_axis',(0.,0.,1.)); #422206=DIRECTION('ref_axis',(1.,0.,0.)); #422207=DIRECTION('center_axis',(0.,0.,1.)); #422208=DIRECTION('ref_axis',(1.,0.,0.)); #422209=DIRECTION('center_axis',(1.,0.,0.)); #422210=DIRECTION('ref_axis',(0.,1.,0.)); #422211=DIRECTION('',(0.,1.,0.)); #422212=DIRECTION('',(0.,0.,1.)); #422213=DIRECTION('',(0.,1.,0.)); #422214=DIRECTION('',(0.,0.,1.)); #422215=DIRECTION('center_axis',(0.,-1.,0.)); #422216=DIRECTION('ref_axis',(1.,0.,0.)); #422217=DIRECTION('',(1.,0.,0.)); #422218=DIRECTION('',(1.,0.,0.)); #422219=DIRECTION('',(0.,0.,1.)); #422220=DIRECTION('center_axis',(-1.,0.,0.)); #422221=DIRECTION('ref_axis',(0.,-1.,0.)); #422222=DIRECTION('',(0.,-1.,0.)); #422223=DIRECTION('',(0.,-1.,0.)); #422224=DIRECTION('',(0.,0.,1.)); #422225=DIRECTION('center_axis',(0.,1.,0.)); #422226=DIRECTION('ref_axis',(-1.,0.,0.)); #422227=DIRECTION('',(-1.,0.,0.)); #422228=DIRECTION('',(-1.,0.,0.)); #422229=DIRECTION('center_axis',(0.,0.,1.)); #422230=DIRECTION('ref_axis',(1.,0.,0.)); #422231=DIRECTION('center_axis',(0.,0.,1.)); #422232=DIRECTION('ref_axis',(1.,0.,0.)); #422233=DIRECTION('center_axis',(1.,0.,0.)); #422234=DIRECTION('ref_axis',(0.,1.,0.)); #422235=DIRECTION('',(0.,1.,0.)); #422236=DIRECTION('',(0.,0.,1.)); #422237=DIRECTION('',(0.,1.,0.)); #422238=DIRECTION('',(0.,0.,1.)); #422239=DIRECTION('center_axis',(0.,-1.,0.)); #422240=DIRECTION('ref_axis',(1.,0.,0.)); #422241=DIRECTION('',(1.,0.,0.)); #422242=DIRECTION('',(1.,0.,0.)); #422243=DIRECTION('',(0.,0.,1.)); #422244=DIRECTION('center_axis',(-1.,0.,0.)); #422245=DIRECTION('ref_axis',(0.,-1.,0.)); #422246=DIRECTION('',(0.,-1.,0.)); #422247=DIRECTION('',(0.,-1.,0.)); #422248=DIRECTION('',(0.,0.,1.)); #422249=DIRECTION('center_axis',(0.,1.,0.)); #422250=DIRECTION('ref_axis',(-1.,0.,0.)); #422251=DIRECTION('',(-1.,0.,0.)); #422252=DIRECTION('',(-1.,0.,0.)); #422253=DIRECTION('center_axis',(0.,0.,1.)); #422254=DIRECTION('ref_axis',(1.,0.,0.)); #422255=DIRECTION('center_axis',(0.,0.,1.)); #422256=DIRECTION('ref_axis',(1.,0.,0.)); #422257=DIRECTION('center_axis',(0.,0.,1.)); #422258=DIRECTION('ref_axis',(1.,0.,0.)); #422259=DIRECTION('center_axis',(0.,0.,1.)); #422260=DIRECTION('ref_axis',(1.,0.,0.)); #422261=DIRECTION('',(0.,0.,1.)); #422262=DIRECTION('center_axis',(0.,0.,-1.)); #422263=DIRECTION('ref_axis',(1.,0.,0.)); #422264=DIRECTION('center_axis',(0.,0.,1.)); #422265=DIRECTION('ref_axis',(1.,0.,0.)); #422266=DIRECTION('center_axis',(0.,0.,1.)); #422267=DIRECTION('ref_axis',(1.,0.,0.)); #422268=DIRECTION('',(0.,0.,1.)); #422269=DIRECTION('center_axis',(0.,0.,-1.)); #422270=DIRECTION('ref_axis',(1.,0.,0.)); #422271=DIRECTION('center_axis',(0.,0.,1.)); #422272=DIRECTION('ref_axis',(1.,0.,0.)); #422273=DIRECTION('center_axis',(0.,0.,1.)); #422274=DIRECTION('ref_axis',(1.,0.,0.)); #422275=DIRECTION('',(0.,0.,1.)); #422276=DIRECTION('center_axis',(0.,0.,-1.)); #422277=DIRECTION('ref_axis',(1.,0.,0.)); #422278=DIRECTION('center_axis',(0.,0.,1.)); #422279=DIRECTION('ref_axis',(1.,0.,0.)); #422280=DIRECTION('center_axis',(0.,0.,1.)); #422281=DIRECTION('ref_axis',(1.,0.,0.)); #422282=DIRECTION('',(0.,0.,1.)); #422283=DIRECTION('center_axis',(0.,0.,-1.)); #422284=DIRECTION('ref_axis',(1.,0.,0.)); #422285=DIRECTION('center_axis',(0.,0.,1.)); #422286=DIRECTION('ref_axis',(1.,0.,0.)); #422287=DIRECTION('center_axis',(0.,0.,1.)); #422288=DIRECTION('ref_axis',(1.,0.,0.)); #422289=DIRECTION('',(0.,0.,1.)); #422290=DIRECTION('center_axis',(0.,0.,-1.)); #422291=DIRECTION('ref_axis',(1.,0.,0.)); #422292=DIRECTION('center_axis',(0.,0.,1.)); #422293=DIRECTION('ref_axis',(1.,0.,0.)); #422294=DIRECTION('center_axis',(0.,0.,1.)); #422295=DIRECTION('ref_axis',(1.,0.,0.)); #422296=DIRECTION('',(0.,0.,1.)); #422297=DIRECTION('center_axis',(0.,0.,-1.)); #422298=DIRECTION('ref_axis',(1.,0.,0.)); #422299=DIRECTION('center_axis',(1.,0.,0.)); #422300=DIRECTION('ref_axis',(0.,1.,0.)); #422301=DIRECTION('',(0.,1.,0.)); #422302=DIRECTION('',(0.,0.,1.)); #422303=DIRECTION('',(0.,1.,0.)); #422304=DIRECTION('',(0.,0.,1.)); #422305=DIRECTION('center_axis',(0.,-1.,0.)); #422306=DIRECTION('ref_axis',(1.,0.,0.)); #422307=DIRECTION('',(1.,0.,0.)); #422308=DIRECTION('',(1.,0.,0.)); #422309=DIRECTION('',(0.,0.,1.)); #422310=DIRECTION('center_axis',(-1.,0.,0.)); #422311=DIRECTION('ref_axis',(0.,-1.,0.)); #422312=DIRECTION('',(0.,-1.,0.)); #422313=DIRECTION('',(0.,-1.,0.)); #422314=DIRECTION('',(0.,0.,1.)); #422315=DIRECTION('center_axis',(0.,1.,0.)); #422316=DIRECTION('ref_axis',(-1.,0.,0.)); #422317=DIRECTION('',(-1.,0.,0.)); #422318=DIRECTION('',(-1.,0.,0.)); #422319=DIRECTION('center_axis',(0.,0.,1.)); #422320=DIRECTION('ref_axis',(1.,0.,0.)); #422321=DIRECTION('center_axis',(0.,0.,1.)); #422322=DIRECTION('ref_axis',(1.,0.,0.)); #422323=DIRECTION('center_axis',(1.,0.,0.)); #422324=DIRECTION('ref_axis',(0.,1.,0.)); #422325=DIRECTION('',(0.,1.,0.)); #422326=DIRECTION('',(0.,0.,1.)); #422327=DIRECTION('',(0.,1.,0.)); #422328=DIRECTION('',(0.,0.,1.)); #422329=DIRECTION('center_axis',(0.,-1.,0.)); #422330=DIRECTION('ref_axis',(1.,0.,0.)); #422331=DIRECTION('',(1.,0.,0.)); #422332=DIRECTION('',(1.,0.,0.)); #422333=DIRECTION('',(0.,0.,1.)); #422334=DIRECTION('center_axis',(-1.,0.,0.)); #422335=DIRECTION('ref_axis',(0.,-1.,0.)); #422336=DIRECTION('',(0.,-1.,0.)); #422337=DIRECTION('',(0.,-1.,0.)); #422338=DIRECTION('',(0.,0.,1.)); #422339=DIRECTION('center_axis',(0.,1.,0.)); #422340=DIRECTION('ref_axis',(-1.,0.,0.)); #422341=DIRECTION('',(-1.,0.,0.)); #422342=DIRECTION('',(-1.,0.,0.)); #422343=DIRECTION('center_axis',(0.,0.,1.)); #422344=DIRECTION('ref_axis',(1.,0.,0.)); #422345=DIRECTION('center_axis',(0.,0.,1.)); #422346=DIRECTION('ref_axis',(1.,0.,0.)); #422347=DIRECTION('center_axis',(1.,0.,0.)); #422348=DIRECTION('ref_axis',(0.,1.,0.)); #422349=DIRECTION('',(0.,1.,0.)); #422350=DIRECTION('',(0.,0.,1.)); #422351=DIRECTION('',(0.,1.,0.)); #422352=DIRECTION('',(0.,0.,1.)); #422353=DIRECTION('center_axis',(0.,-1.,0.)); #422354=DIRECTION('ref_axis',(1.,0.,0.)); #422355=DIRECTION('',(1.,0.,0.)); #422356=DIRECTION('',(1.,0.,0.)); #422357=DIRECTION('',(0.,0.,1.)); #422358=DIRECTION('center_axis',(-1.,0.,0.)); #422359=DIRECTION('ref_axis',(0.,-1.,0.)); #422360=DIRECTION('',(0.,-1.,0.)); #422361=DIRECTION('',(0.,-1.,0.)); #422362=DIRECTION('',(0.,0.,1.)); #422363=DIRECTION('center_axis',(0.,1.,0.)); #422364=DIRECTION('ref_axis',(-1.,0.,0.)); #422365=DIRECTION('',(-1.,0.,0.)); #422366=DIRECTION('',(-1.,0.,0.)); #422367=DIRECTION('center_axis',(0.,0.,1.)); #422368=DIRECTION('ref_axis',(1.,0.,0.)); #422369=DIRECTION('center_axis',(0.,0.,1.)); #422370=DIRECTION('ref_axis',(1.,0.,0.)); #422371=DIRECTION('center_axis',(0.,0.,1.)); #422372=DIRECTION('ref_axis',(1.,0.,0.)); #422373=DIRECTION('center_axis',(0.,0.,1.)); #422374=DIRECTION('ref_axis',(1.,0.,0.)); #422375=DIRECTION('',(0.,0.,1.)); #422376=DIRECTION('center_axis',(0.,0.,-1.)); #422377=DIRECTION('ref_axis',(1.,0.,0.)); #422378=DIRECTION('center_axis',(0.,0.,1.)); #422379=DIRECTION('ref_axis',(1.,0.,0.)); #422380=DIRECTION('center_axis',(0.,0.,1.)); #422381=DIRECTION('ref_axis',(1.,0.,0.)); #422382=DIRECTION('',(0.,0.,1.)); #422383=DIRECTION('center_axis',(0.,0.,-1.)); #422384=DIRECTION('ref_axis',(1.,0.,0.)); #422385=DIRECTION('center_axis',(0.,0.,1.)); #422386=DIRECTION('ref_axis',(1.,0.,0.)); #422387=DIRECTION('center_axis',(0.,0.,1.)); #422388=DIRECTION('ref_axis',(1.,0.,0.)); #422389=DIRECTION('',(0.,0.,1.)); #422390=DIRECTION('center_axis',(0.,0.,-1.)); #422391=DIRECTION('ref_axis',(1.,0.,0.)); #422392=DIRECTION('center_axis',(0.,0.,1.)); #422393=DIRECTION('ref_axis',(1.,0.,0.)); #422394=DIRECTION('center_axis',(0.,0.,1.)); #422395=DIRECTION('ref_axis',(1.,0.,0.)); #422396=DIRECTION('',(0.,0.,1.)); #422397=DIRECTION('center_axis',(0.,0.,-1.)); #422398=DIRECTION('ref_axis',(1.,0.,0.)); #422399=DIRECTION('center_axis',(0.,0.,1.)); #422400=DIRECTION('ref_axis',(1.,0.,0.)); #422401=DIRECTION('center_axis',(0.,0.,1.)); #422402=DIRECTION('ref_axis',(1.,0.,0.)); #422403=DIRECTION('',(0.,0.,1.)); #422404=DIRECTION('center_axis',(0.,0.,-1.)); #422405=DIRECTION('ref_axis',(1.,0.,0.)); #422406=DIRECTION('center_axis',(0.,0.,1.)); #422407=DIRECTION('ref_axis',(1.,0.,0.)); #422408=DIRECTION('center_axis',(0.,0.,1.)); #422409=DIRECTION('ref_axis',(1.,0.,0.)); #422410=DIRECTION('',(0.,0.,1.)); #422411=DIRECTION('center_axis',(0.,0.,-1.)); #422412=DIRECTION('ref_axis',(1.,0.,0.)); #422413=DIRECTION('center_axis',(0.,0.,1.)); #422414=DIRECTION('ref_axis',(1.,0.,0.)); #422415=DIRECTION('center_axis',(0.,0.,1.)); #422416=DIRECTION('ref_axis',(1.,0.,0.)); #422417=DIRECTION('',(0.,0.,1.)); #422418=DIRECTION('center_axis',(0.,0.,-1.)); #422419=DIRECTION('ref_axis',(1.,0.,0.)); #422420=DIRECTION('center_axis',(0.,0.,1.)); #422421=DIRECTION('ref_axis',(1.,0.,0.)); #422422=DIRECTION('center_axis',(0.,0.,1.)); #422423=DIRECTION('ref_axis',(1.,0.,0.)); #422424=DIRECTION('',(0.,0.,1.)); #422425=DIRECTION('center_axis',(0.,0.,-1.)); #422426=DIRECTION('ref_axis',(1.,0.,0.)); #422427=DIRECTION('center_axis',(0.,0.,1.)); #422428=DIRECTION('ref_axis',(1.,0.,0.)); #422429=DIRECTION('center_axis',(0.,0.,1.)); #422430=DIRECTION('ref_axis',(1.,0.,0.)); #422431=DIRECTION('',(0.,0.,1.)); #422432=DIRECTION('center_axis',(0.,0.,-1.)); #422433=DIRECTION('ref_axis',(1.,0.,0.)); #422434=DIRECTION('center_axis',(0.,0.,1.)); #422435=DIRECTION('ref_axis',(1.,0.,0.)); #422436=DIRECTION('center_axis',(0.,0.,1.)); #422437=DIRECTION('ref_axis',(1.,0.,0.)); #422438=DIRECTION('',(0.,0.,1.)); #422439=DIRECTION('center_axis',(0.,0.,-1.)); #422440=DIRECTION('ref_axis',(1.,0.,0.)); #422441=DIRECTION('center_axis',(0.,0.,1.)); #422442=DIRECTION('ref_axis',(1.,0.,0.)); #422443=DIRECTION('center_axis',(0.,0.,1.)); #422444=DIRECTION('ref_axis',(1.,0.,0.)); #422445=DIRECTION('',(0.,0.,1.)); #422446=DIRECTION('center_axis',(0.,0.,-1.)); #422447=DIRECTION('ref_axis',(1.,0.,0.)); #422448=DIRECTION('center_axis',(0.,0.,1.)); #422449=DIRECTION('ref_axis',(1.,0.,0.)); #422450=DIRECTION('center_axis',(0.,0.,1.)); #422451=DIRECTION('ref_axis',(1.,0.,0.)); #422452=DIRECTION('',(0.,0.,1.)); #422453=DIRECTION('center_axis',(0.,0.,-1.)); #422454=DIRECTION('ref_axis',(1.,0.,0.)); #422455=DIRECTION('center_axis',(0.,0.,1.)); #422456=DIRECTION('ref_axis',(1.,0.,0.)); #422457=DIRECTION('center_axis',(0.,0.,1.)); #422458=DIRECTION('ref_axis',(1.,0.,0.)); #422459=DIRECTION('',(0.,0.,1.)); #422460=DIRECTION('center_axis',(0.,0.,-1.)); #422461=DIRECTION('ref_axis',(1.,0.,0.)); #422462=DIRECTION('center_axis',(0.,0.,1.)); #422463=DIRECTION('ref_axis',(1.,0.,0.)); #422464=DIRECTION('center_axis',(0.,0.,1.)); #422465=DIRECTION('ref_axis',(1.,0.,0.)); #422466=DIRECTION('',(0.,0.,1.)); #422467=DIRECTION('center_axis',(0.,0.,-1.)); #422468=DIRECTION('ref_axis',(1.,0.,0.)); #422469=DIRECTION('center_axis',(0.,0.,1.)); #422470=DIRECTION('ref_axis',(1.,0.,0.)); #422471=DIRECTION('center_axis',(0.,0.,1.)); #422472=DIRECTION('ref_axis',(1.,0.,0.)); #422473=DIRECTION('',(0.,0.,1.)); #422474=DIRECTION('center_axis',(0.,0.,-1.)); #422475=DIRECTION('ref_axis',(1.,0.,0.)); #422476=DIRECTION('center_axis',(0.,0.,1.)); #422477=DIRECTION('ref_axis',(1.,0.,0.)); #422478=DIRECTION('center_axis',(0.,0.,1.)); #422479=DIRECTION('ref_axis',(1.,0.,0.)); #422480=DIRECTION('',(0.,0.,1.)); #422481=DIRECTION('center_axis',(0.,0.,-1.)); #422482=DIRECTION('ref_axis',(1.,0.,0.)); #422483=DIRECTION('center_axis',(0.,0.,1.)); #422484=DIRECTION('ref_axis',(1.,0.,0.)); #422485=DIRECTION('center_axis',(0.,0.,1.)); #422486=DIRECTION('ref_axis',(1.,0.,0.)); #422487=DIRECTION('',(0.,0.,1.)); #422488=DIRECTION('center_axis',(0.,0.,-1.)); #422489=DIRECTION('ref_axis',(1.,0.,0.)); #422490=DIRECTION('center_axis',(0.,0.,1.)); #422491=DIRECTION('ref_axis',(1.,0.,0.)); #422492=DIRECTION('center_axis',(0.,0.,1.)); #422493=DIRECTION('ref_axis',(1.,0.,0.)); #422494=DIRECTION('',(0.,0.,1.)); #422495=DIRECTION('center_axis',(0.,0.,-1.)); #422496=DIRECTION('ref_axis',(1.,0.,0.)); #422497=DIRECTION('center_axis',(0.,0.,1.)); #422498=DIRECTION('ref_axis',(1.,0.,0.)); #422499=DIRECTION('center_axis',(0.,0.,1.)); #422500=DIRECTION('ref_axis',(1.,0.,0.)); #422501=DIRECTION('',(0.,0.,1.)); #422502=DIRECTION('center_axis',(0.,0.,-1.)); #422503=DIRECTION('ref_axis',(1.,0.,0.)); #422504=DIRECTION('center_axis',(0.,0.,1.)); #422505=DIRECTION('ref_axis',(1.,0.,0.)); #422506=DIRECTION('center_axis',(0.,0.,1.)); #422507=DIRECTION('ref_axis',(1.,0.,0.)); #422508=DIRECTION('',(0.,0.,1.)); #422509=DIRECTION('center_axis',(0.,0.,-1.)); #422510=DIRECTION('ref_axis',(1.,0.,0.)); #422511=DIRECTION('center_axis',(0.,0.,1.)); #422512=DIRECTION('ref_axis',(1.,0.,0.)); #422513=DIRECTION('center_axis',(0.,0.,1.)); #422514=DIRECTION('ref_axis',(1.,0.,0.)); #422515=DIRECTION('',(0.,0.,1.)); #422516=DIRECTION('center_axis',(0.,0.,-1.)); #422517=DIRECTION('ref_axis',(1.,0.,0.)); #422518=DIRECTION('center_axis',(0.,0.,1.)); #422519=DIRECTION('ref_axis',(1.,0.,0.)); #422520=DIRECTION('center_axis',(0.,0.,1.)); #422521=DIRECTION('ref_axis',(1.,0.,0.)); #422522=DIRECTION('',(0.,0.,1.)); #422523=DIRECTION('center_axis',(0.,0.,-1.)); #422524=DIRECTION('ref_axis',(1.,0.,0.)); #422525=DIRECTION('center_axis',(0.,0.,1.)); #422526=DIRECTION('ref_axis',(1.,0.,0.)); #422527=DIRECTION('center_axis',(0.,0.,1.)); #422528=DIRECTION('ref_axis',(1.,0.,0.)); #422529=DIRECTION('',(0.,0.,1.)); #422530=DIRECTION('center_axis',(0.,0.,-1.)); #422531=DIRECTION('ref_axis',(1.,0.,0.)); #422532=DIRECTION('center_axis',(0.,0.,1.)); #422533=DIRECTION('ref_axis',(1.,0.,0.)); #422534=DIRECTION('center_axis',(0.,0.,1.)); #422535=DIRECTION('ref_axis',(1.,0.,0.)); #422536=DIRECTION('',(0.,0.,1.)); #422537=DIRECTION('center_axis',(0.,0.,-1.)); #422538=DIRECTION('ref_axis',(1.,0.,0.)); #422539=DIRECTION('center_axis',(0.,0.,1.)); #422540=DIRECTION('ref_axis',(1.,0.,0.)); #422541=DIRECTION('center_axis',(0.,0.,1.)); #422542=DIRECTION('ref_axis',(1.,0.,0.)); #422543=DIRECTION('',(0.,0.,1.)); #422544=DIRECTION('center_axis',(0.,0.,-1.)); #422545=DIRECTION('ref_axis',(1.,0.,0.)); #422546=DIRECTION('center_axis',(0.,0.,1.)); #422547=DIRECTION('ref_axis',(1.,0.,0.)); #422548=DIRECTION('center_axis',(0.,0.,1.)); #422549=DIRECTION('ref_axis',(1.,0.,0.)); #422550=DIRECTION('',(0.,0.,1.)); #422551=DIRECTION('center_axis',(0.,0.,-1.)); #422552=DIRECTION('ref_axis',(1.,0.,0.)); #422553=DIRECTION('center_axis',(0.,0.,1.)); #422554=DIRECTION('ref_axis',(1.,0.,0.)); #422555=DIRECTION('center_axis',(0.,0.,1.)); #422556=DIRECTION('ref_axis',(1.,0.,0.)); #422557=DIRECTION('',(0.,0.,1.)); #422558=DIRECTION('center_axis',(0.,0.,-1.)); #422559=DIRECTION('ref_axis',(1.,0.,0.)); #422560=DIRECTION('center_axis',(0.,0.,1.)); #422561=DIRECTION('ref_axis',(1.,0.,0.)); #422562=DIRECTION('center_axis',(0.,0.,1.)); #422563=DIRECTION('ref_axis',(1.,0.,0.)); #422564=DIRECTION('',(0.,0.,1.)); #422565=DIRECTION('center_axis',(0.,0.,-1.)); #422566=DIRECTION('ref_axis',(1.,0.,0.)); #422567=DIRECTION('center_axis',(0.,0.,1.)); #422568=DIRECTION('ref_axis',(1.,0.,0.)); #422569=DIRECTION('center_axis',(0.,0.,1.)); #422570=DIRECTION('ref_axis',(1.,0.,0.)); #422571=DIRECTION('',(0.,0.,1.)); #422572=DIRECTION('center_axis',(0.,0.,-1.)); #422573=DIRECTION('ref_axis',(1.,0.,0.)); #422574=DIRECTION('center_axis',(0.,0.,1.)); #422575=DIRECTION('ref_axis',(1.,0.,0.)); #422576=DIRECTION('center_axis',(0.,0.,1.)); #422577=DIRECTION('ref_axis',(1.,0.,0.)); #422578=DIRECTION('',(0.,0.,1.)); #422579=DIRECTION('center_axis',(0.,0.,-1.)); #422580=DIRECTION('ref_axis',(1.,0.,0.)); #422581=DIRECTION('center_axis',(0.,0.,1.)); #422582=DIRECTION('ref_axis',(1.,0.,0.)); #422583=DIRECTION('center_axis',(0.,0.,1.)); #422584=DIRECTION('ref_axis',(1.,0.,0.)); #422585=DIRECTION('',(0.,0.,1.)); #422586=DIRECTION('center_axis',(0.,0.,-1.)); #422587=DIRECTION('ref_axis',(1.,0.,0.)); #422588=DIRECTION('center_axis',(0.,0.,1.)); #422589=DIRECTION('ref_axis',(1.,0.,0.)); #422590=DIRECTION('center_axis',(0.,0.,1.)); #422591=DIRECTION('ref_axis',(1.,0.,0.)); #422592=DIRECTION('',(0.,0.,1.)); #422593=DIRECTION('center_axis',(0.,0.,-1.)); #422594=DIRECTION('ref_axis',(1.,0.,0.)); #422595=DIRECTION('center_axis',(0.,0.,1.)); #422596=DIRECTION('ref_axis',(1.,0.,0.)); #422597=DIRECTION('center_axis',(0.,0.,1.)); #422598=DIRECTION('ref_axis',(1.,0.,0.)); #422599=DIRECTION('',(0.,0.,1.)); #422600=DIRECTION('center_axis',(0.,0.,-1.)); #422601=DIRECTION('ref_axis',(1.,0.,0.)); #422602=DIRECTION('center_axis',(0.,0.,1.)); #422603=DIRECTION('ref_axis',(1.,0.,0.)); #422604=DIRECTION('center_axis',(0.,0.,1.)); #422605=DIRECTION('ref_axis',(1.,0.,0.)); #422606=DIRECTION('',(0.,0.,1.)); #422607=DIRECTION('center_axis',(0.,0.,-1.)); #422608=DIRECTION('ref_axis',(1.,0.,0.)); #422609=DIRECTION('center_axis',(0.,0.,1.)); #422610=DIRECTION('ref_axis',(1.,0.,0.)); #422611=DIRECTION('center_axis',(0.,0.,1.)); #422612=DIRECTION('ref_axis',(1.,0.,0.)); #422613=DIRECTION('',(0.,0.,1.)); #422614=DIRECTION('center_axis',(0.,0.,-1.)); #422615=DIRECTION('ref_axis',(1.,0.,0.)); #422616=DIRECTION('center_axis',(0.,0.,1.)); #422617=DIRECTION('ref_axis',(1.,0.,0.)); #422618=DIRECTION('center_axis',(0.,0.,1.)); #422619=DIRECTION('ref_axis',(1.,0.,0.)); #422620=DIRECTION('',(0.,0.,1.)); #422621=DIRECTION('center_axis',(0.,0.,-1.)); #422622=DIRECTION('ref_axis',(1.,0.,0.)); #422623=DIRECTION('center_axis',(0.,0.,1.)); #422624=DIRECTION('ref_axis',(1.,0.,0.)); #422625=DIRECTION('center_axis',(0.,0.,1.)); #422626=DIRECTION('ref_axis',(1.,0.,0.)); #422627=DIRECTION('',(0.,0.,1.)); #422628=DIRECTION('center_axis',(0.,0.,-1.)); #422629=DIRECTION('ref_axis',(1.,0.,0.)); #422630=DIRECTION('center_axis',(0.,0.,1.)); #422631=DIRECTION('ref_axis',(1.,0.,0.)); #422632=DIRECTION('center_axis',(0.,0.,1.)); #422633=DIRECTION('ref_axis',(1.,0.,0.)); #422634=DIRECTION('',(0.,0.,1.)); #422635=DIRECTION('center_axis',(0.,0.,-1.)); #422636=DIRECTION('ref_axis',(1.,0.,0.)); #422637=DIRECTION('center_axis',(0.,0.,1.)); #422638=DIRECTION('ref_axis',(1.,0.,0.)); #422639=DIRECTION('center_axis',(0.,0.,1.)); #422640=DIRECTION('ref_axis',(1.,0.,0.)); #422641=DIRECTION('',(0.,0.,1.)); #422642=DIRECTION('center_axis',(0.,0.,-1.)); #422643=DIRECTION('ref_axis',(1.,0.,0.)); #422644=DIRECTION('center_axis',(0.,0.,1.)); #422645=DIRECTION('ref_axis',(1.,0.,0.)); #422646=DIRECTION('center_axis',(0.,0.,1.)); #422647=DIRECTION('ref_axis',(1.,0.,0.)); #422648=DIRECTION('',(0.,0.,1.)); #422649=DIRECTION('center_axis',(0.,0.,-1.)); #422650=DIRECTION('ref_axis',(1.,0.,0.)); #422651=DIRECTION('center_axis',(0.,0.,1.)); #422652=DIRECTION('ref_axis',(1.,0.,0.)); #422653=DIRECTION('center_axis',(0.,0.,1.)); #422654=DIRECTION('ref_axis',(1.,0.,0.)); #422655=DIRECTION('',(0.,0.,1.)); #422656=DIRECTION('center_axis',(0.,0.,-1.)); #422657=DIRECTION('ref_axis',(1.,0.,0.)); #422658=DIRECTION('center_axis',(0.,0.,1.)); #422659=DIRECTION('ref_axis',(1.,0.,0.)); #422660=DIRECTION('center_axis',(0.,0.,1.)); #422661=DIRECTION('ref_axis',(1.,0.,0.)); #422662=DIRECTION('',(0.,0.,1.)); #422663=DIRECTION('center_axis',(0.,0.,-1.)); #422664=DIRECTION('ref_axis',(1.,0.,0.)); #422665=DIRECTION('center_axis',(0.,0.,1.)); #422666=DIRECTION('ref_axis',(1.,0.,0.)); #422667=DIRECTION('center_axis',(0.,0.,1.)); #422668=DIRECTION('ref_axis',(1.,0.,0.)); #422669=DIRECTION('',(0.,0.,1.)); #422670=DIRECTION('center_axis',(0.,0.,-1.)); #422671=DIRECTION('ref_axis',(1.,0.,0.)); #422672=DIRECTION('center_axis',(0.,0.,1.)); #422673=DIRECTION('ref_axis',(1.,0.,0.)); #422674=DIRECTION('center_axis',(0.,0.,1.)); #422675=DIRECTION('ref_axis',(1.,0.,0.)); #422676=DIRECTION('',(0.,0.,1.)); #422677=DIRECTION('center_axis',(0.,0.,-1.)); #422678=DIRECTION('ref_axis',(1.,0.,0.)); #422679=DIRECTION('center_axis',(0.,0.,1.)); #422680=DIRECTION('ref_axis',(1.,0.,0.)); #422681=DIRECTION('center_axis',(0.,0.,1.)); #422682=DIRECTION('ref_axis',(1.,0.,0.)); #422683=DIRECTION('',(0.,0.,1.)); #422684=DIRECTION('center_axis',(0.,0.,-1.)); #422685=DIRECTION('ref_axis',(1.,0.,0.)); #422686=DIRECTION('center_axis',(0.,0.,1.)); #422687=DIRECTION('ref_axis',(1.,0.,0.)); #422688=DIRECTION('center_axis',(0.,0.,1.)); #422689=DIRECTION('ref_axis',(1.,0.,0.)); #422690=DIRECTION('',(0.,0.,1.)); #422691=DIRECTION('center_axis',(0.,0.,-1.)); #422692=DIRECTION('ref_axis',(1.,0.,0.)); #422693=DIRECTION('center_axis',(0.,0.,1.)); #422694=DIRECTION('ref_axis',(1.,0.,0.)); #422695=DIRECTION('center_axis',(0.,0.,1.)); #422696=DIRECTION('ref_axis',(1.,0.,0.)); #422697=DIRECTION('',(0.,0.,1.)); #422698=DIRECTION('center_axis',(0.,0.,-1.)); #422699=DIRECTION('ref_axis',(1.,0.,0.)); #422700=DIRECTION('center_axis',(0.,0.,1.)); #422701=DIRECTION('ref_axis',(1.,0.,0.)); #422702=DIRECTION('center_axis',(0.,0.,1.)); #422703=DIRECTION('ref_axis',(1.,0.,0.)); #422704=DIRECTION('',(0.,0.,1.)); #422705=DIRECTION('center_axis',(0.,0.,-1.)); #422706=DIRECTION('ref_axis',(1.,0.,0.)); #422707=DIRECTION('center_axis',(0.,0.,1.)); #422708=DIRECTION('ref_axis',(1.,0.,0.)); #422709=DIRECTION('center_axis',(0.,0.,1.)); #422710=DIRECTION('ref_axis',(1.,0.,0.)); #422711=DIRECTION('',(0.,0.,1.)); #422712=DIRECTION('center_axis',(0.,0.,-1.)); #422713=DIRECTION('ref_axis',(1.,0.,0.)); #422714=DIRECTION('center_axis',(1.,0.,0.)); #422715=DIRECTION('ref_axis',(0.,1.,0.)); #422716=DIRECTION('',(0.,1.,0.)); #422717=DIRECTION('',(0.,0.,1.)); #422718=DIRECTION('',(0.,1.,0.)); #422719=DIRECTION('',(0.,0.,1.)); #422720=DIRECTION('center_axis',(0.,-1.,0.)); #422721=DIRECTION('ref_axis',(1.,0.,0.)); #422722=DIRECTION('',(1.,0.,0.)); #422723=DIRECTION('',(1.,0.,0.)); #422724=DIRECTION('',(0.,0.,1.)); #422725=DIRECTION('center_axis',(-1.,0.,0.)); #422726=DIRECTION('ref_axis',(0.,-1.,0.)); #422727=DIRECTION('',(0.,-1.,0.)); #422728=DIRECTION('',(0.,-1.,0.)); #422729=DIRECTION('',(0.,0.,1.)); #422730=DIRECTION('center_axis',(0.,1.,0.)); #422731=DIRECTION('ref_axis',(-1.,0.,0.)); #422732=DIRECTION('',(-1.,0.,0.)); #422733=DIRECTION('',(-1.,0.,0.)); #422734=DIRECTION('center_axis',(0.,0.,1.)); #422735=DIRECTION('ref_axis',(1.,0.,0.)); #422736=DIRECTION('center_axis',(0.,0.,1.)); #422737=DIRECTION('ref_axis',(1.,0.,0.)); #422738=DIRECTION('center_axis',(1.,0.,0.)); #422739=DIRECTION('ref_axis',(0.,1.,0.)); #422740=DIRECTION('',(0.,1.,0.)); #422741=DIRECTION('',(0.,0.,1.)); #422742=DIRECTION('',(0.,1.,0.)); #422743=DIRECTION('',(0.,0.,1.)); #422744=DIRECTION('center_axis',(0.,-1.,0.)); #422745=DIRECTION('ref_axis',(1.,0.,0.)); #422746=DIRECTION('',(1.,0.,0.)); #422747=DIRECTION('',(1.,0.,0.)); #422748=DIRECTION('',(0.,0.,1.)); #422749=DIRECTION('center_axis',(-1.,0.,0.)); #422750=DIRECTION('ref_axis',(0.,-1.,0.)); #422751=DIRECTION('',(0.,-1.,0.)); #422752=DIRECTION('',(0.,-1.,0.)); #422753=DIRECTION('',(0.,0.,1.)); #422754=DIRECTION('center_axis',(0.,1.,0.)); #422755=DIRECTION('ref_axis',(-1.,0.,0.)); #422756=DIRECTION('',(-1.,0.,0.)); #422757=DIRECTION('',(-1.,0.,0.)); #422758=DIRECTION('center_axis',(0.,0.,1.)); #422759=DIRECTION('ref_axis',(1.,0.,0.)); #422760=DIRECTION('center_axis',(0.,0.,1.)); #422761=DIRECTION('ref_axis',(1.,0.,0.)); #422762=DIRECTION('center_axis',(1.,0.,0.)); #422763=DIRECTION('ref_axis',(0.,1.,0.)); #422764=DIRECTION('',(0.,1.,0.)); #422765=DIRECTION('',(0.,0.,1.)); #422766=DIRECTION('',(0.,1.,0.)); #422767=DIRECTION('',(0.,0.,1.)); #422768=DIRECTION('center_axis',(0.,-1.,0.)); #422769=DIRECTION('ref_axis',(1.,0.,0.)); #422770=DIRECTION('',(1.,0.,0.)); #422771=DIRECTION('',(1.,0.,0.)); #422772=DIRECTION('',(0.,0.,1.)); #422773=DIRECTION('center_axis',(-1.,0.,0.)); #422774=DIRECTION('ref_axis',(0.,-1.,0.)); #422775=DIRECTION('',(0.,-1.,0.)); #422776=DIRECTION('',(0.,-1.,0.)); #422777=DIRECTION('',(0.,0.,1.)); #422778=DIRECTION('center_axis',(0.,1.,0.)); #422779=DIRECTION('ref_axis',(-1.,0.,0.)); #422780=DIRECTION('',(-1.,0.,0.)); #422781=DIRECTION('',(-1.,0.,0.)); #422782=DIRECTION('center_axis',(0.,0.,1.)); #422783=DIRECTION('ref_axis',(1.,0.,0.)); #422784=DIRECTION('center_axis',(0.,0.,1.)); #422785=DIRECTION('ref_axis',(1.,0.,0.)); #422786=DIRECTION('center_axis',(1.,0.,0.)); #422787=DIRECTION('ref_axis',(0.,1.,0.)); #422788=DIRECTION('',(0.,1.,0.)); #422789=DIRECTION('',(0.,0.,1.)); #422790=DIRECTION('',(0.,1.,0.)); #422791=DIRECTION('',(0.,0.,1.)); #422792=DIRECTION('center_axis',(0.,-1.,0.)); #422793=DIRECTION('ref_axis',(1.,0.,0.)); #422794=DIRECTION('',(1.,0.,0.)); #422795=DIRECTION('',(1.,0.,0.)); #422796=DIRECTION('',(0.,0.,1.)); #422797=DIRECTION('center_axis',(-1.,0.,0.)); #422798=DIRECTION('ref_axis',(0.,-1.,0.)); #422799=DIRECTION('',(0.,-1.,0.)); #422800=DIRECTION('',(0.,-1.,0.)); #422801=DIRECTION('',(0.,0.,1.)); #422802=DIRECTION('center_axis',(0.,1.,0.)); #422803=DIRECTION('ref_axis',(-1.,0.,0.)); #422804=DIRECTION('',(-1.,0.,0.)); #422805=DIRECTION('',(-1.,0.,0.)); #422806=DIRECTION('center_axis',(0.,0.,1.)); #422807=DIRECTION('ref_axis',(1.,0.,0.)); #422808=DIRECTION('center_axis',(0.,0.,1.)); #422809=DIRECTION('ref_axis',(1.,0.,0.)); #422810=DIRECTION('center_axis',(1.,0.,0.)); #422811=DIRECTION('ref_axis',(0.,1.,0.)); #422812=DIRECTION('',(0.,1.,0.)); #422813=DIRECTION('',(0.,0.,1.)); #422814=DIRECTION('',(0.,1.,0.)); #422815=DIRECTION('',(0.,0.,1.)); #422816=DIRECTION('center_axis',(0.,-1.,0.)); #422817=DIRECTION('ref_axis',(1.,0.,0.)); #422818=DIRECTION('',(1.,0.,0.)); #422819=DIRECTION('',(1.,0.,0.)); #422820=DIRECTION('',(0.,0.,1.)); #422821=DIRECTION('center_axis',(-1.,0.,0.)); #422822=DIRECTION('ref_axis',(0.,-1.,0.)); #422823=DIRECTION('',(0.,-1.,0.)); #422824=DIRECTION('',(0.,-1.,0.)); #422825=DIRECTION('',(0.,0.,1.)); #422826=DIRECTION('center_axis',(0.,1.,0.)); #422827=DIRECTION('ref_axis',(-1.,0.,0.)); #422828=DIRECTION('',(-1.,0.,0.)); #422829=DIRECTION('',(-1.,0.,0.)); #422830=DIRECTION('center_axis',(0.,0.,1.)); #422831=DIRECTION('ref_axis',(1.,0.,0.)); #422832=DIRECTION('center_axis',(0.,0.,1.)); #422833=DIRECTION('ref_axis',(1.,0.,0.)); #422834=DIRECTION('center_axis',(1.,0.,0.)); #422835=DIRECTION('ref_axis',(0.,1.,0.)); #422836=DIRECTION('',(0.,1.,0.)); #422837=DIRECTION('',(0.,0.,1.)); #422838=DIRECTION('',(0.,1.,0.)); #422839=DIRECTION('',(0.,0.,1.)); #422840=DIRECTION('center_axis',(0.,-1.,0.)); #422841=DIRECTION('ref_axis',(1.,0.,0.)); #422842=DIRECTION('',(1.,0.,0.)); #422843=DIRECTION('',(1.,0.,0.)); #422844=DIRECTION('',(0.,0.,1.)); #422845=DIRECTION('center_axis',(-1.,0.,0.)); #422846=DIRECTION('ref_axis',(0.,-1.,0.)); #422847=DIRECTION('',(0.,-1.,0.)); #422848=DIRECTION('',(0.,-1.,0.)); #422849=DIRECTION('',(0.,0.,1.)); #422850=DIRECTION('center_axis',(0.,1.,0.)); #422851=DIRECTION('ref_axis',(-1.,0.,0.)); #422852=DIRECTION('',(-1.,0.,0.)); #422853=DIRECTION('',(-1.,0.,0.)); #422854=DIRECTION('center_axis',(0.,0.,1.)); #422855=DIRECTION('ref_axis',(1.,0.,0.)); #422856=DIRECTION('center_axis',(0.,0.,1.)); #422857=DIRECTION('ref_axis',(1.,0.,0.)); #422858=DIRECTION('center_axis',(1.,0.,0.)); #422859=DIRECTION('ref_axis',(0.,1.,0.)); #422860=DIRECTION('',(0.,1.,0.)); #422861=DIRECTION('',(0.,0.,1.)); #422862=DIRECTION('',(0.,1.,0.)); #422863=DIRECTION('',(0.,0.,1.)); #422864=DIRECTION('center_axis',(0.,-1.,0.)); #422865=DIRECTION('ref_axis',(1.,0.,0.)); #422866=DIRECTION('',(1.,0.,0.)); #422867=DIRECTION('',(1.,0.,0.)); #422868=DIRECTION('',(0.,0.,1.)); #422869=DIRECTION('center_axis',(-1.,0.,0.)); #422870=DIRECTION('ref_axis',(0.,-1.,0.)); #422871=DIRECTION('',(0.,-1.,0.)); #422872=DIRECTION('',(0.,-1.,0.)); #422873=DIRECTION('',(0.,0.,1.)); #422874=DIRECTION('center_axis',(0.,1.,0.)); #422875=DIRECTION('ref_axis',(-1.,0.,0.)); #422876=DIRECTION('',(-1.,0.,0.)); #422877=DIRECTION('',(-1.,0.,0.)); #422878=DIRECTION('center_axis',(0.,0.,1.)); #422879=DIRECTION('ref_axis',(1.,0.,0.)); #422880=DIRECTION('center_axis',(0.,0.,1.)); #422881=DIRECTION('ref_axis',(1.,0.,0.)); #422882=DIRECTION('center_axis',(1.,0.,0.)); #422883=DIRECTION('ref_axis',(0.,1.,0.)); #422884=DIRECTION('',(0.,1.,0.)); #422885=DIRECTION('',(0.,0.,1.)); #422886=DIRECTION('',(0.,1.,0.)); #422887=DIRECTION('',(0.,0.,1.)); #422888=DIRECTION('center_axis',(0.,-1.,0.)); #422889=DIRECTION('ref_axis',(1.,0.,0.)); #422890=DIRECTION('',(1.,0.,0.)); #422891=DIRECTION('',(1.,0.,0.)); #422892=DIRECTION('',(0.,0.,1.)); #422893=DIRECTION('center_axis',(-1.,0.,0.)); #422894=DIRECTION('ref_axis',(0.,-1.,0.)); #422895=DIRECTION('',(0.,-1.,0.)); #422896=DIRECTION('',(0.,-1.,0.)); #422897=DIRECTION('',(0.,0.,1.)); #422898=DIRECTION('center_axis',(0.,1.,0.)); #422899=DIRECTION('ref_axis',(-1.,0.,0.)); #422900=DIRECTION('',(-1.,0.,0.)); #422901=DIRECTION('',(-1.,0.,0.)); #422902=DIRECTION('center_axis',(0.,0.,1.)); #422903=DIRECTION('ref_axis',(1.,0.,0.)); #422904=DIRECTION('center_axis',(0.,0.,1.)); #422905=DIRECTION('ref_axis',(1.,0.,0.)); #422906=DIRECTION('center_axis',(1.,0.,0.)); #422907=DIRECTION('ref_axis',(0.,1.,0.)); #422908=DIRECTION('',(0.,1.,0.)); #422909=DIRECTION('',(0.,0.,1.)); #422910=DIRECTION('',(0.,1.,0.)); #422911=DIRECTION('',(0.,0.,1.)); #422912=DIRECTION('center_axis',(0.,-1.,0.)); #422913=DIRECTION('ref_axis',(1.,0.,0.)); #422914=DIRECTION('',(1.,0.,0.)); #422915=DIRECTION('',(1.,0.,0.)); #422916=DIRECTION('',(0.,0.,1.)); #422917=DIRECTION('center_axis',(-1.,0.,0.)); #422918=DIRECTION('ref_axis',(0.,-1.,0.)); #422919=DIRECTION('',(0.,-1.,0.)); #422920=DIRECTION('',(0.,-1.,0.)); #422921=DIRECTION('',(0.,0.,1.)); #422922=DIRECTION('center_axis',(0.,1.,0.)); #422923=DIRECTION('ref_axis',(-1.,0.,0.)); #422924=DIRECTION('',(-1.,0.,0.)); #422925=DIRECTION('',(-1.,0.,0.)); #422926=DIRECTION('center_axis',(0.,0.,1.)); #422927=DIRECTION('ref_axis',(1.,0.,0.)); #422928=DIRECTION('center_axis',(0.,0.,1.)); #422929=DIRECTION('ref_axis',(1.,0.,0.)); #422930=DIRECTION('center_axis',(1.,0.,0.)); #422931=DIRECTION('ref_axis',(0.,1.,0.)); #422932=DIRECTION('',(0.,1.,0.)); #422933=DIRECTION('',(0.,0.,1.)); #422934=DIRECTION('',(0.,1.,0.)); #422935=DIRECTION('',(0.,0.,1.)); #422936=DIRECTION('center_axis',(0.,-1.,0.)); #422937=DIRECTION('ref_axis',(1.,0.,0.)); #422938=DIRECTION('',(1.,0.,0.)); #422939=DIRECTION('',(1.,0.,0.)); #422940=DIRECTION('',(0.,0.,1.)); #422941=DIRECTION('center_axis',(-1.,0.,0.)); #422942=DIRECTION('ref_axis',(0.,-1.,0.)); #422943=DIRECTION('',(0.,-1.,0.)); #422944=DIRECTION('',(0.,-1.,0.)); #422945=DIRECTION('',(0.,0.,1.)); #422946=DIRECTION('center_axis',(0.,1.,0.)); #422947=DIRECTION('ref_axis',(-1.,0.,0.)); #422948=DIRECTION('',(-1.,0.,0.)); #422949=DIRECTION('',(-1.,0.,0.)); #422950=DIRECTION('center_axis',(0.,0.,1.)); #422951=DIRECTION('ref_axis',(1.,0.,0.)); #422952=DIRECTION('center_axis',(0.,0.,1.)); #422953=DIRECTION('ref_axis',(1.,0.,0.)); #422954=DIRECTION('center_axis',(1.,0.,0.)); #422955=DIRECTION('ref_axis',(0.,1.,0.)); #422956=DIRECTION('',(0.,1.,0.)); #422957=DIRECTION('',(0.,0.,1.)); #422958=DIRECTION('',(0.,1.,0.)); #422959=DIRECTION('',(0.,0.,1.)); #422960=DIRECTION('center_axis',(0.,-1.,0.)); #422961=DIRECTION('ref_axis',(1.,0.,0.)); #422962=DIRECTION('',(1.,0.,0.)); #422963=DIRECTION('',(1.,0.,0.)); #422964=DIRECTION('',(0.,0.,1.)); #422965=DIRECTION('center_axis',(-1.,0.,0.)); #422966=DIRECTION('ref_axis',(0.,-1.,0.)); #422967=DIRECTION('',(0.,-1.,0.)); #422968=DIRECTION('',(0.,-1.,0.)); #422969=DIRECTION('',(0.,0.,1.)); #422970=DIRECTION('center_axis',(0.,1.,0.)); #422971=DIRECTION('ref_axis',(-1.,0.,0.)); #422972=DIRECTION('',(-1.,0.,0.)); #422973=DIRECTION('',(-1.,0.,0.)); #422974=DIRECTION('center_axis',(0.,0.,1.)); #422975=DIRECTION('ref_axis',(1.,0.,0.)); #422976=DIRECTION('center_axis',(0.,0.,1.)); #422977=DIRECTION('ref_axis',(1.,0.,0.)); #422978=DIRECTION('center_axis',(1.,0.,0.)); #422979=DIRECTION('ref_axis',(0.,1.,0.)); #422980=DIRECTION('',(0.,1.,0.)); #422981=DIRECTION('',(0.,0.,1.)); #422982=DIRECTION('',(0.,1.,0.)); #422983=DIRECTION('',(0.,0.,1.)); #422984=DIRECTION('center_axis',(0.,-1.,0.)); #422985=DIRECTION('ref_axis',(1.,0.,0.)); #422986=DIRECTION('',(1.,0.,0.)); #422987=DIRECTION('',(1.,0.,0.)); #422988=DIRECTION('',(0.,0.,1.)); #422989=DIRECTION('center_axis',(-1.,0.,0.)); #422990=DIRECTION('ref_axis',(0.,-1.,0.)); #422991=DIRECTION('',(0.,-1.,0.)); #422992=DIRECTION('',(0.,-1.,0.)); #422993=DIRECTION('',(0.,0.,1.)); #422994=DIRECTION('center_axis',(0.,1.,0.)); #422995=DIRECTION('ref_axis',(-1.,0.,0.)); #422996=DIRECTION('',(-1.,0.,0.)); #422997=DIRECTION('',(-1.,0.,0.)); #422998=DIRECTION('center_axis',(0.,0.,1.)); #422999=DIRECTION('ref_axis',(1.,0.,0.)); #423000=DIRECTION('center_axis',(0.,0.,1.)); #423001=DIRECTION('ref_axis',(1.,0.,0.)); #423002=DIRECTION('center_axis',(1.,0.,0.)); #423003=DIRECTION('ref_axis',(0.,1.,0.)); #423004=DIRECTION('',(0.,1.,0.)); #423005=DIRECTION('',(0.,0.,1.)); #423006=DIRECTION('',(0.,1.,0.)); #423007=DIRECTION('',(0.,0.,1.)); #423008=DIRECTION('center_axis',(0.,-1.,0.)); #423009=DIRECTION('ref_axis',(1.,0.,0.)); #423010=DIRECTION('',(1.,0.,0.)); #423011=DIRECTION('',(1.,0.,0.)); #423012=DIRECTION('',(0.,0.,1.)); #423013=DIRECTION('center_axis',(-1.,0.,0.)); #423014=DIRECTION('ref_axis',(0.,-1.,0.)); #423015=DIRECTION('',(0.,-1.,0.)); #423016=DIRECTION('',(0.,-1.,0.)); #423017=DIRECTION('',(0.,0.,1.)); #423018=DIRECTION('center_axis',(0.,1.,0.)); #423019=DIRECTION('ref_axis',(-1.,0.,0.)); #423020=DIRECTION('',(-1.,0.,0.)); #423021=DIRECTION('',(-1.,0.,0.)); #423022=DIRECTION('center_axis',(0.,0.,1.)); #423023=DIRECTION('ref_axis',(1.,0.,0.)); #423024=DIRECTION('center_axis',(0.,0.,1.)); #423025=DIRECTION('ref_axis',(1.,0.,0.)); #423026=DIRECTION('center_axis',(1.,0.,0.)); #423027=DIRECTION('ref_axis',(0.,1.,0.)); #423028=DIRECTION('',(0.,1.,0.)); #423029=DIRECTION('',(0.,0.,1.)); #423030=DIRECTION('',(0.,1.,0.)); #423031=DIRECTION('',(0.,0.,1.)); #423032=DIRECTION('center_axis',(0.,-1.,0.)); #423033=DIRECTION('ref_axis',(1.,0.,0.)); #423034=DIRECTION('',(1.,0.,0.)); #423035=DIRECTION('',(1.,0.,0.)); #423036=DIRECTION('',(0.,0.,1.)); #423037=DIRECTION('center_axis',(-1.,0.,0.)); #423038=DIRECTION('ref_axis',(0.,-1.,0.)); #423039=DIRECTION('',(0.,-1.,0.)); #423040=DIRECTION('',(0.,-1.,0.)); #423041=DIRECTION('',(0.,0.,1.)); #423042=DIRECTION('center_axis',(0.,1.,0.)); #423043=DIRECTION('ref_axis',(-1.,0.,0.)); #423044=DIRECTION('',(-1.,0.,0.)); #423045=DIRECTION('',(-1.,0.,0.)); #423046=DIRECTION('center_axis',(0.,0.,1.)); #423047=DIRECTION('ref_axis',(1.,0.,0.)); #423048=DIRECTION('center_axis',(0.,0.,1.)); #423049=DIRECTION('ref_axis',(1.,0.,0.)); #423050=DIRECTION('center_axis',(1.,0.,0.)); #423051=DIRECTION('ref_axis',(0.,1.,0.)); #423052=DIRECTION('',(0.,1.,0.)); #423053=DIRECTION('',(0.,0.,1.)); #423054=DIRECTION('',(0.,1.,0.)); #423055=DIRECTION('',(0.,0.,1.)); #423056=DIRECTION('center_axis',(0.,-1.,0.)); #423057=DIRECTION('ref_axis',(1.,0.,0.)); #423058=DIRECTION('',(1.,0.,0.)); #423059=DIRECTION('',(1.,0.,0.)); #423060=DIRECTION('',(0.,0.,1.)); #423061=DIRECTION('center_axis',(-1.,0.,0.)); #423062=DIRECTION('ref_axis',(0.,-1.,0.)); #423063=DIRECTION('',(0.,-1.,0.)); #423064=DIRECTION('',(0.,-1.,0.)); #423065=DIRECTION('',(0.,0.,1.)); #423066=DIRECTION('center_axis',(0.,1.,0.)); #423067=DIRECTION('ref_axis',(-1.,0.,0.)); #423068=DIRECTION('',(-1.,0.,0.)); #423069=DIRECTION('',(-1.,0.,0.)); #423070=DIRECTION('center_axis',(0.,0.,1.)); #423071=DIRECTION('ref_axis',(1.,0.,0.)); #423072=DIRECTION('center_axis',(0.,0.,1.)); #423073=DIRECTION('ref_axis',(1.,0.,0.)); #423074=DIRECTION('center_axis',(1.,0.,0.)); #423075=DIRECTION('ref_axis',(0.,1.,0.)); #423076=DIRECTION('',(0.,1.,0.)); #423077=DIRECTION('',(0.,0.,1.)); #423078=DIRECTION('',(0.,1.,0.)); #423079=DIRECTION('',(0.,0.,1.)); #423080=DIRECTION('center_axis',(0.,-1.,0.)); #423081=DIRECTION('ref_axis',(1.,0.,0.)); #423082=DIRECTION('',(1.,0.,0.)); #423083=DIRECTION('',(1.,0.,0.)); #423084=DIRECTION('',(0.,0.,1.)); #423085=DIRECTION('center_axis',(-1.,0.,0.)); #423086=DIRECTION('ref_axis',(0.,-1.,0.)); #423087=DIRECTION('',(0.,-1.,0.)); #423088=DIRECTION('',(0.,-1.,0.)); #423089=DIRECTION('',(0.,0.,1.)); #423090=DIRECTION('center_axis',(0.,1.,0.)); #423091=DIRECTION('ref_axis',(-1.,0.,0.)); #423092=DIRECTION('',(-1.,0.,0.)); #423093=DIRECTION('',(-1.,0.,0.)); #423094=DIRECTION('center_axis',(0.,0.,1.)); #423095=DIRECTION('ref_axis',(1.,0.,0.)); #423096=DIRECTION('center_axis',(0.,0.,1.)); #423097=DIRECTION('ref_axis',(1.,0.,0.)); #423098=DIRECTION('center_axis',(1.,0.,0.)); #423099=DIRECTION('ref_axis',(0.,1.,0.)); #423100=DIRECTION('',(0.,1.,0.)); #423101=DIRECTION('',(0.,0.,1.)); #423102=DIRECTION('',(0.,1.,0.)); #423103=DIRECTION('',(0.,0.,1.)); #423104=DIRECTION('center_axis',(0.,-1.,0.)); #423105=DIRECTION('ref_axis',(1.,0.,0.)); #423106=DIRECTION('',(1.,0.,0.)); #423107=DIRECTION('',(1.,0.,0.)); #423108=DIRECTION('',(0.,0.,1.)); #423109=DIRECTION('center_axis',(-1.,0.,0.)); #423110=DIRECTION('ref_axis',(0.,-1.,0.)); #423111=DIRECTION('',(0.,-1.,0.)); #423112=DIRECTION('',(0.,-1.,0.)); #423113=DIRECTION('',(0.,0.,1.)); #423114=DIRECTION('center_axis',(0.,1.,0.)); #423115=DIRECTION('ref_axis',(-1.,0.,0.)); #423116=DIRECTION('',(-1.,0.,0.)); #423117=DIRECTION('',(-1.,0.,0.)); #423118=DIRECTION('center_axis',(0.,0.,1.)); #423119=DIRECTION('ref_axis',(1.,0.,0.)); #423120=DIRECTION('center_axis',(0.,0.,1.)); #423121=DIRECTION('ref_axis',(1.,0.,0.)); #423122=DIRECTION('center_axis',(1.,0.,0.)); #423123=DIRECTION('ref_axis',(0.,1.,0.)); #423124=DIRECTION('',(0.,1.,0.)); #423125=DIRECTION('',(0.,0.,1.)); #423126=DIRECTION('',(0.,1.,0.)); #423127=DIRECTION('',(0.,0.,1.)); #423128=DIRECTION('center_axis',(0.,-1.,0.)); #423129=DIRECTION('ref_axis',(1.,0.,0.)); #423130=DIRECTION('',(1.,0.,0.)); #423131=DIRECTION('',(1.,0.,0.)); #423132=DIRECTION('',(0.,0.,1.)); #423133=DIRECTION('center_axis',(-1.,0.,0.)); #423134=DIRECTION('ref_axis',(0.,-1.,0.)); #423135=DIRECTION('',(0.,-1.,0.)); #423136=DIRECTION('',(0.,-1.,0.)); #423137=DIRECTION('',(0.,0.,1.)); #423138=DIRECTION('center_axis',(0.,1.,0.)); #423139=DIRECTION('ref_axis',(-1.,0.,0.)); #423140=DIRECTION('',(-1.,0.,0.)); #423141=DIRECTION('',(-1.,0.,0.)); #423142=DIRECTION('center_axis',(0.,0.,1.)); #423143=DIRECTION('ref_axis',(1.,0.,0.)); #423144=DIRECTION('center_axis',(0.,0.,1.)); #423145=DIRECTION('ref_axis',(1.,0.,0.)); #423146=DIRECTION('center_axis',(1.,0.,0.)); #423147=DIRECTION('ref_axis',(0.,1.,0.)); #423148=DIRECTION('',(0.,1.,0.)); #423149=DIRECTION('',(0.,0.,1.)); #423150=DIRECTION('',(0.,1.,0.)); #423151=DIRECTION('',(0.,0.,1.)); #423152=DIRECTION('center_axis',(0.,-1.,0.)); #423153=DIRECTION('ref_axis',(1.,0.,0.)); #423154=DIRECTION('',(1.,0.,0.)); #423155=DIRECTION('',(1.,0.,0.)); #423156=DIRECTION('',(0.,0.,1.)); #423157=DIRECTION('center_axis',(-1.,0.,0.)); #423158=DIRECTION('ref_axis',(0.,-1.,0.)); #423159=DIRECTION('',(0.,-1.,0.)); #423160=DIRECTION('',(0.,-1.,0.)); #423161=DIRECTION('',(0.,0.,1.)); #423162=DIRECTION('center_axis',(0.,1.,0.)); #423163=DIRECTION('ref_axis',(-1.,0.,0.)); #423164=DIRECTION('',(-1.,0.,0.)); #423165=DIRECTION('',(-1.,0.,0.)); #423166=DIRECTION('center_axis',(0.,0.,1.)); #423167=DIRECTION('ref_axis',(1.,0.,0.)); #423168=DIRECTION('center_axis',(0.,0.,1.)); #423169=DIRECTION('ref_axis',(1.,0.,0.)); #423170=DIRECTION('center_axis',(0.,0.,1.)); #423171=DIRECTION('ref_axis',(1.,0.,0.)); #423172=DIRECTION('center_axis',(0.,0.,1.)); #423173=DIRECTION('ref_axis',(1.,0.,0.)); #423174=DIRECTION('',(0.,0.,1.)); #423175=DIRECTION('center_axis',(0.,0.,-1.)); #423176=DIRECTION('ref_axis',(1.,0.,0.)); #423177=DIRECTION('center_axis',(1.,0.,0.)); #423178=DIRECTION('ref_axis',(0.,1.,0.)); #423179=DIRECTION('',(0.,1.,0.)); #423180=DIRECTION('',(0.,0.,1.)); #423181=DIRECTION('',(0.,1.,0.)); #423182=DIRECTION('',(0.,0.,1.)); #423183=DIRECTION('center_axis',(0.,-1.,0.)); #423184=DIRECTION('ref_axis',(1.,0.,0.)); #423185=DIRECTION('',(1.,0.,0.)); #423186=DIRECTION('',(1.,0.,0.)); #423187=DIRECTION('',(0.,0.,1.)); #423188=DIRECTION('center_axis',(-1.,0.,0.)); #423189=DIRECTION('ref_axis',(0.,-1.,0.)); #423190=DIRECTION('',(0.,-1.,0.)); #423191=DIRECTION('',(0.,-1.,0.)); #423192=DIRECTION('',(0.,0.,1.)); #423193=DIRECTION('center_axis',(0.,1.,0.)); #423194=DIRECTION('ref_axis',(-1.,0.,0.)); #423195=DIRECTION('',(-1.,0.,0.)); #423196=DIRECTION('',(-1.,0.,0.)); #423197=DIRECTION('center_axis',(0.,0.,1.)); #423198=DIRECTION('ref_axis',(1.,0.,0.)); #423199=DIRECTION('center_axis',(0.,0.,1.)); #423200=DIRECTION('ref_axis',(1.,0.,0.)); #423201=DIRECTION('center_axis',(1.,0.,0.)); #423202=DIRECTION('ref_axis',(0.,1.,0.)); #423203=DIRECTION('',(0.,1.,0.)); #423204=DIRECTION('',(0.,0.,1.)); #423205=DIRECTION('',(0.,1.,0.)); #423206=DIRECTION('',(0.,0.,1.)); #423207=DIRECTION('center_axis',(0.,-1.,0.)); #423208=DIRECTION('ref_axis',(1.,0.,0.)); #423209=DIRECTION('',(1.,0.,0.)); #423210=DIRECTION('',(1.,0.,0.)); #423211=DIRECTION('',(0.,0.,1.)); #423212=DIRECTION('center_axis',(-1.,0.,0.)); #423213=DIRECTION('ref_axis',(0.,-1.,0.)); #423214=DIRECTION('',(0.,-1.,0.)); #423215=DIRECTION('',(0.,-1.,0.)); #423216=DIRECTION('',(0.,0.,1.)); #423217=DIRECTION('center_axis',(0.,1.,0.)); #423218=DIRECTION('ref_axis',(-1.,0.,0.)); #423219=DIRECTION('',(-1.,0.,0.)); #423220=DIRECTION('',(-1.,0.,0.)); #423221=DIRECTION('center_axis',(0.,0.,1.)); #423222=DIRECTION('ref_axis',(1.,0.,0.)); #423223=DIRECTION('center_axis',(0.,0.,1.)); #423224=DIRECTION('ref_axis',(1.,0.,0.)); #423225=DIRECTION('center_axis',(1.,0.,0.)); #423226=DIRECTION('ref_axis',(0.,1.,0.)); #423227=DIRECTION('',(0.,1.,0.)); #423228=DIRECTION('',(0.,0.,1.)); #423229=DIRECTION('',(0.,1.,0.)); #423230=DIRECTION('',(0.,0.,1.)); #423231=DIRECTION('center_axis',(0.,-1.,0.)); #423232=DIRECTION('ref_axis',(1.,0.,0.)); #423233=DIRECTION('',(1.,0.,0.)); #423234=DIRECTION('',(1.,0.,0.)); #423235=DIRECTION('',(0.,0.,1.)); #423236=DIRECTION('center_axis',(-1.,0.,0.)); #423237=DIRECTION('ref_axis',(0.,-1.,0.)); #423238=DIRECTION('',(0.,-1.,0.)); #423239=DIRECTION('',(0.,-1.,0.)); #423240=DIRECTION('',(0.,0.,1.)); #423241=DIRECTION('center_axis',(0.,1.,0.)); #423242=DIRECTION('ref_axis',(-1.,0.,0.)); #423243=DIRECTION('',(-1.,0.,0.)); #423244=DIRECTION('',(-1.,0.,0.)); #423245=DIRECTION('center_axis',(0.,0.,1.)); #423246=DIRECTION('ref_axis',(1.,0.,0.)); #423247=DIRECTION('center_axis',(0.,0.,1.)); #423248=DIRECTION('ref_axis',(1.,0.,0.)); #423249=DIRECTION('center_axis',(0.,0.,1.)); #423250=DIRECTION('ref_axis',(1.,0.,0.)); #423251=DIRECTION('center_axis',(0.,0.,1.)); #423252=DIRECTION('ref_axis',(1.,0.,0.)); #423253=DIRECTION('',(0.,0.,1.)); #423254=DIRECTION('center_axis',(0.,0.,-1.)); #423255=DIRECTION('ref_axis',(1.,0.,0.)); #423256=DIRECTION('center_axis',(1.,0.,0.)); #423257=DIRECTION('ref_axis',(0.,1.,0.)); #423258=DIRECTION('',(0.,1.,0.)); #423259=DIRECTION('',(0.,0.,1.)); #423260=DIRECTION('',(0.,1.,0.)); #423261=DIRECTION('',(0.,0.,1.)); #423262=DIRECTION('center_axis',(0.,-1.,0.)); #423263=DIRECTION('ref_axis',(1.,0.,0.)); #423264=DIRECTION('',(1.,0.,0.)); #423265=DIRECTION('',(1.,0.,0.)); #423266=DIRECTION('',(0.,0.,1.)); #423267=DIRECTION('center_axis',(-1.,0.,0.)); #423268=DIRECTION('ref_axis',(0.,-1.,0.)); #423269=DIRECTION('',(0.,-1.,0.)); #423270=DIRECTION('',(0.,-1.,0.)); #423271=DIRECTION('',(0.,0.,1.)); #423272=DIRECTION('center_axis',(0.,1.,0.)); #423273=DIRECTION('ref_axis',(-1.,0.,0.)); #423274=DIRECTION('',(-1.,0.,0.)); #423275=DIRECTION('',(-1.,0.,0.)); #423276=DIRECTION('center_axis',(0.,0.,1.)); #423277=DIRECTION('ref_axis',(1.,0.,0.)); #423278=DIRECTION('center_axis',(0.,0.,1.)); #423279=DIRECTION('ref_axis',(1.,0.,0.)); #423280=DIRECTION('center_axis',(1.,0.,0.)); #423281=DIRECTION('ref_axis',(0.,1.,0.)); #423282=DIRECTION('',(0.,1.,0.)); #423283=DIRECTION('',(0.,0.,1.)); #423284=DIRECTION('',(0.,1.,0.)); #423285=DIRECTION('',(0.,0.,1.)); #423286=DIRECTION('center_axis',(0.,-1.,0.)); #423287=DIRECTION('ref_axis',(1.,0.,0.)); #423288=DIRECTION('',(1.,0.,0.)); #423289=DIRECTION('',(1.,0.,0.)); #423290=DIRECTION('',(0.,0.,1.)); #423291=DIRECTION('center_axis',(-1.,0.,0.)); #423292=DIRECTION('ref_axis',(0.,-1.,0.)); #423293=DIRECTION('',(0.,-1.,0.)); #423294=DIRECTION('',(0.,-1.,0.)); #423295=DIRECTION('',(0.,0.,1.)); #423296=DIRECTION('center_axis',(0.,1.,0.)); #423297=DIRECTION('ref_axis',(-1.,0.,0.)); #423298=DIRECTION('',(-1.,0.,0.)); #423299=DIRECTION('',(-1.,0.,0.)); #423300=DIRECTION('center_axis',(0.,0.,1.)); #423301=DIRECTION('ref_axis',(1.,0.,0.)); #423302=DIRECTION('center_axis',(0.,0.,1.)); #423303=DIRECTION('ref_axis',(1.,0.,0.)); #423304=DIRECTION('center_axis',(1.,0.,0.)); #423305=DIRECTION('ref_axis',(0.,1.,0.)); #423306=DIRECTION('',(0.,1.,0.)); #423307=DIRECTION('',(0.,0.,1.)); #423308=DIRECTION('',(0.,1.,0.)); #423309=DIRECTION('',(0.,0.,1.)); #423310=DIRECTION('center_axis',(0.,-1.,0.)); #423311=DIRECTION('ref_axis',(1.,0.,0.)); #423312=DIRECTION('',(1.,0.,0.)); #423313=DIRECTION('',(1.,0.,0.)); #423314=DIRECTION('',(0.,0.,1.)); #423315=DIRECTION('center_axis',(-1.,0.,0.)); #423316=DIRECTION('ref_axis',(0.,-1.,0.)); #423317=DIRECTION('',(0.,-1.,0.)); #423318=DIRECTION('',(0.,-1.,0.)); #423319=DIRECTION('',(0.,0.,1.)); #423320=DIRECTION('center_axis',(0.,1.,0.)); #423321=DIRECTION('ref_axis',(-1.,0.,0.)); #423322=DIRECTION('',(-1.,0.,0.)); #423323=DIRECTION('',(-1.,0.,0.)); #423324=DIRECTION('center_axis',(0.,0.,1.)); #423325=DIRECTION('ref_axis',(1.,0.,0.)); #423326=DIRECTION('center_axis',(0.,0.,1.)); #423327=DIRECTION('ref_axis',(1.,0.,0.)); #423328=DIRECTION('center_axis',(1.,0.,0.)); #423329=DIRECTION('ref_axis',(0.,1.,0.)); #423330=DIRECTION('',(0.,1.,0.)); #423331=DIRECTION('',(0.,0.,1.)); #423332=DIRECTION('',(0.,1.,0.)); #423333=DIRECTION('',(0.,0.,1.)); #423334=DIRECTION('center_axis',(0.,-1.,0.)); #423335=DIRECTION('ref_axis',(1.,0.,0.)); #423336=DIRECTION('',(1.,0.,0.)); #423337=DIRECTION('',(1.,0.,0.)); #423338=DIRECTION('',(0.,0.,1.)); #423339=DIRECTION('center_axis',(-1.,0.,0.)); #423340=DIRECTION('ref_axis',(0.,-1.,0.)); #423341=DIRECTION('',(0.,-1.,0.)); #423342=DIRECTION('',(0.,-1.,0.)); #423343=DIRECTION('',(0.,0.,1.)); #423344=DIRECTION('center_axis',(0.,1.,0.)); #423345=DIRECTION('ref_axis',(-1.,0.,0.)); #423346=DIRECTION('',(-1.,0.,0.)); #423347=DIRECTION('',(-1.,0.,0.)); #423348=DIRECTION('center_axis',(0.,0.,1.)); #423349=DIRECTION('ref_axis',(1.,0.,0.)); #423350=DIRECTION('center_axis',(0.,0.,1.)); #423351=DIRECTION('ref_axis',(1.,0.,0.)); #423352=DIRECTION('center_axis',(1.,0.,0.)); #423353=DIRECTION('ref_axis',(0.,1.,0.)); #423354=DIRECTION('',(0.,1.,0.)); #423355=DIRECTION('',(0.,0.,1.)); #423356=DIRECTION('',(0.,1.,0.)); #423357=DIRECTION('',(0.,0.,1.)); #423358=DIRECTION('center_axis',(0.,-1.,0.)); #423359=DIRECTION('ref_axis',(1.,0.,0.)); #423360=DIRECTION('',(1.,0.,0.)); #423361=DIRECTION('',(1.,0.,0.)); #423362=DIRECTION('',(0.,0.,1.)); #423363=DIRECTION('center_axis',(-1.,0.,0.)); #423364=DIRECTION('ref_axis',(0.,-1.,0.)); #423365=DIRECTION('',(0.,-1.,0.)); #423366=DIRECTION('',(0.,-1.,0.)); #423367=DIRECTION('',(0.,0.,1.)); #423368=DIRECTION('center_axis',(0.,1.,0.)); #423369=DIRECTION('ref_axis',(-1.,0.,0.)); #423370=DIRECTION('',(-1.,0.,0.)); #423371=DIRECTION('',(-1.,0.,0.)); #423372=DIRECTION('center_axis',(0.,0.,1.)); #423373=DIRECTION('ref_axis',(1.,0.,0.)); #423374=DIRECTION('center_axis',(0.,0.,1.)); #423375=DIRECTION('ref_axis',(1.,0.,0.)); #423376=DIRECTION('center_axis',(1.,0.,0.)); #423377=DIRECTION('ref_axis',(0.,1.,0.)); #423378=DIRECTION('',(0.,1.,0.)); #423379=DIRECTION('',(0.,0.,1.)); #423380=DIRECTION('',(0.,1.,0.)); #423381=DIRECTION('',(0.,0.,1.)); #423382=DIRECTION('center_axis',(0.,-1.,0.)); #423383=DIRECTION('ref_axis',(1.,0.,0.)); #423384=DIRECTION('',(1.,0.,0.)); #423385=DIRECTION('',(1.,0.,0.)); #423386=DIRECTION('',(0.,0.,1.)); #423387=DIRECTION('center_axis',(-1.,0.,0.)); #423388=DIRECTION('ref_axis',(0.,-1.,0.)); #423389=DIRECTION('',(0.,-1.,0.)); #423390=DIRECTION('',(0.,-1.,0.)); #423391=DIRECTION('',(0.,0.,1.)); #423392=DIRECTION('center_axis',(0.,1.,0.)); #423393=DIRECTION('ref_axis',(-1.,0.,0.)); #423394=DIRECTION('',(-1.,0.,0.)); #423395=DIRECTION('',(-1.,0.,0.)); #423396=DIRECTION('center_axis',(0.,0.,1.)); #423397=DIRECTION('ref_axis',(1.,0.,0.)); #423398=DIRECTION('center_axis',(0.,0.,1.)); #423399=DIRECTION('ref_axis',(1.,0.,0.)); #423400=DIRECTION('center_axis',(1.,0.,0.)); #423401=DIRECTION('ref_axis',(0.,1.,0.)); #423402=DIRECTION('',(0.,1.,0.)); #423403=DIRECTION('',(0.,0.,1.)); #423404=DIRECTION('',(0.,1.,0.)); #423405=DIRECTION('',(0.,0.,1.)); #423406=DIRECTION('center_axis',(0.,-1.,0.)); #423407=DIRECTION('ref_axis',(1.,0.,0.)); #423408=DIRECTION('',(1.,0.,0.)); #423409=DIRECTION('',(1.,0.,0.)); #423410=DIRECTION('',(0.,0.,1.)); #423411=DIRECTION('center_axis',(-1.,0.,0.)); #423412=DIRECTION('ref_axis',(0.,-1.,0.)); #423413=DIRECTION('',(0.,-1.,0.)); #423414=DIRECTION('',(0.,-1.,0.)); #423415=DIRECTION('',(0.,0.,1.)); #423416=DIRECTION('center_axis',(0.,1.,0.)); #423417=DIRECTION('ref_axis',(-1.,0.,0.)); #423418=DIRECTION('',(-1.,0.,0.)); #423419=DIRECTION('',(-1.,0.,0.)); #423420=DIRECTION('center_axis',(0.,0.,1.)); #423421=DIRECTION('ref_axis',(1.,0.,0.)); #423422=DIRECTION('center_axis',(0.,0.,1.)); #423423=DIRECTION('ref_axis',(1.,0.,0.)); #423424=DIRECTION('center_axis',(1.,0.,0.)); #423425=DIRECTION('ref_axis',(0.,1.,0.)); #423426=DIRECTION('',(0.,1.,0.)); #423427=DIRECTION('',(0.,0.,1.)); #423428=DIRECTION('',(0.,1.,0.)); #423429=DIRECTION('',(0.,0.,1.)); #423430=DIRECTION('center_axis',(0.,-1.,0.)); #423431=DIRECTION('ref_axis',(1.,0.,0.)); #423432=DIRECTION('',(1.,0.,0.)); #423433=DIRECTION('',(1.,0.,0.)); #423434=DIRECTION('',(0.,0.,1.)); #423435=DIRECTION('center_axis',(-1.,0.,0.)); #423436=DIRECTION('ref_axis',(0.,-1.,0.)); #423437=DIRECTION('',(0.,-1.,0.)); #423438=DIRECTION('',(0.,-1.,0.)); #423439=DIRECTION('',(0.,0.,1.)); #423440=DIRECTION('center_axis',(0.,1.,0.)); #423441=DIRECTION('ref_axis',(-1.,0.,0.)); #423442=DIRECTION('',(-1.,0.,0.)); #423443=DIRECTION('',(-1.,0.,0.)); #423444=DIRECTION('center_axis',(0.,0.,1.)); #423445=DIRECTION('ref_axis',(1.,0.,0.)); #423446=DIRECTION('center_axis',(0.,0.,1.)); #423447=DIRECTION('ref_axis',(1.,0.,0.)); #423448=DIRECTION('center_axis',(1.,0.,0.)); #423449=DIRECTION('ref_axis',(0.,1.,0.)); #423450=DIRECTION('',(0.,1.,0.)); #423451=DIRECTION('',(0.,0.,1.)); #423452=DIRECTION('',(0.,1.,0.)); #423453=DIRECTION('',(0.,0.,1.)); #423454=DIRECTION('center_axis',(0.,-1.,0.)); #423455=DIRECTION('ref_axis',(1.,0.,0.)); #423456=DIRECTION('',(1.,0.,0.)); #423457=DIRECTION('',(1.,0.,0.)); #423458=DIRECTION('',(0.,0.,1.)); #423459=DIRECTION('center_axis',(-1.,0.,0.)); #423460=DIRECTION('ref_axis',(0.,-1.,0.)); #423461=DIRECTION('',(0.,-1.,0.)); #423462=DIRECTION('',(0.,-1.,0.)); #423463=DIRECTION('',(0.,0.,1.)); #423464=DIRECTION('center_axis',(0.,1.,0.)); #423465=DIRECTION('ref_axis',(-1.,0.,0.)); #423466=DIRECTION('',(-1.,0.,0.)); #423467=DIRECTION('',(-1.,0.,0.)); #423468=DIRECTION('center_axis',(0.,0.,1.)); #423469=DIRECTION('ref_axis',(1.,0.,0.)); #423470=DIRECTION('center_axis',(0.,0.,1.)); #423471=DIRECTION('ref_axis',(1.,0.,0.)); #423472=DIRECTION('center_axis',(1.,0.,0.)); #423473=DIRECTION('ref_axis',(0.,1.,0.)); #423474=DIRECTION('',(0.,1.,0.)); #423475=DIRECTION('',(0.,0.,1.)); #423476=DIRECTION('',(0.,1.,0.)); #423477=DIRECTION('',(0.,0.,1.)); #423478=DIRECTION('center_axis',(0.,-1.,0.)); #423479=DIRECTION('ref_axis',(1.,0.,0.)); #423480=DIRECTION('',(1.,0.,0.)); #423481=DIRECTION('',(1.,0.,0.)); #423482=DIRECTION('',(0.,0.,1.)); #423483=DIRECTION('center_axis',(-1.,0.,0.)); #423484=DIRECTION('ref_axis',(0.,-1.,0.)); #423485=DIRECTION('',(0.,-1.,0.)); #423486=DIRECTION('',(0.,-1.,0.)); #423487=DIRECTION('',(0.,0.,1.)); #423488=DIRECTION('center_axis',(0.,1.,0.)); #423489=DIRECTION('ref_axis',(-1.,0.,0.)); #423490=DIRECTION('',(-1.,0.,0.)); #423491=DIRECTION('',(-1.,0.,0.)); #423492=DIRECTION('center_axis',(0.,0.,1.)); #423493=DIRECTION('ref_axis',(1.,0.,0.)); #423494=DIRECTION('center_axis',(0.,0.,1.)); #423495=DIRECTION('ref_axis',(1.,0.,0.)); #423496=DIRECTION('center_axis',(1.,0.,0.)); #423497=DIRECTION('ref_axis',(0.,1.,0.)); #423498=DIRECTION('',(0.,1.,0.)); #423499=DIRECTION('',(0.,0.,1.)); #423500=DIRECTION('',(0.,1.,0.)); #423501=DIRECTION('',(0.,0.,1.)); #423502=DIRECTION('center_axis',(0.,-1.,0.)); #423503=DIRECTION('ref_axis',(1.,0.,0.)); #423504=DIRECTION('',(1.,0.,0.)); #423505=DIRECTION('',(1.,0.,0.)); #423506=DIRECTION('',(0.,0.,1.)); #423507=DIRECTION('center_axis',(-1.,0.,0.)); #423508=DIRECTION('ref_axis',(0.,-1.,0.)); #423509=DIRECTION('',(0.,-1.,0.)); #423510=DIRECTION('',(0.,-1.,0.)); #423511=DIRECTION('',(0.,0.,1.)); #423512=DIRECTION('center_axis',(0.,1.,0.)); #423513=DIRECTION('ref_axis',(-1.,0.,0.)); #423514=DIRECTION('',(-1.,0.,0.)); #423515=DIRECTION('',(-1.,0.,0.)); #423516=DIRECTION('center_axis',(0.,0.,1.)); #423517=DIRECTION('ref_axis',(1.,0.,0.)); #423518=DIRECTION('center_axis',(0.,0.,1.)); #423519=DIRECTION('ref_axis',(1.,0.,0.)); #423520=DIRECTION('center_axis',(1.,0.,0.)); #423521=DIRECTION('ref_axis',(0.,1.,0.)); #423522=DIRECTION('',(0.,1.,0.)); #423523=DIRECTION('',(0.,0.,1.)); #423524=DIRECTION('',(0.,1.,0.)); #423525=DIRECTION('',(0.,0.,1.)); #423526=DIRECTION('center_axis',(0.,-1.,0.)); #423527=DIRECTION('ref_axis',(1.,0.,0.)); #423528=DIRECTION('',(1.,0.,0.)); #423529=DIRECTION('',(1.,0.,0.)); #423530=DIRECTION('',(0.,0.,1.)); #423531=DIRECTION('center_axis',(-1.,0.,0.)); #423532=DIRECTION('ref_axis',(0.,-1.,0.)); #423533=DIRECTION('',(0.,-1.,0.)); #423534=DIRECTION('',(0.,-1.,0.)); #423535=DIRECTION('',(0.,0.,1.)); #423536=DIRECTION('center_axis',(0.,1.,0.)); #423537=DIRECTION('ref_axis',(-1.,0.,0.)); #423538=DIRECTION('',(-1.,0.,0.)); #423539=DIRECTION('',(-1.,0.,0.)); #423540=DIRECTION('center_axis',(0.,0.,1.)); #423541=DIRECTION('ref_axis',(1.,0.,0.)); #423542=DIRECTION('center_axis',(0.,0.,1.)); #423543=DIRECTION('ref_axis',(1.,0.,0.)); #423544=DIRECTION('center_axis',(0.,0.,1.)); #423545=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #423546=DIRECTION('',(0.,0.,1.)); #423547=DIRECTION('center_axis',(0.,0.,1.)); #423548=DIRECTION('ref_axis',(1.,0.,0.)); #423549=DIRECTION('',(0.,0.,-1.)); #423550=DIRECTION('center_axis',(0.,0.,-1.)); #423551=DIRECTION('ref_axis',(1.,0.,0.)); #423552=DIRECTION('center_axis',(0.,0.,1.)); #423553=DIRECTION('ref_axis',(1.,0.,0.)); #423554=DIRECTION('',(0.,0.,1.)); #423555=DIRECTION('center_axis',(0.,0.,1.)); #423556=DIRECTION('ref_axis',(1.,0.,0.)); #423557=DIRECTION('',(0.,0.,-1.)); #423558=DIRECTION('center_axis',(0.,0.,-1.)); #423559=DIRECTION('ref_axis',(1.,0.,0.)); #423560=DIRECTION('center_axis',(0.,0.,1.)); #423561=DIRECTION('ref_axis',(1.,0.,0.)); #423562=DIRECTION('center_axis',(0.,0.,1.)); #423563=DIRECTION('ref_axis',(1.,0.,0.)); #423564=DIRECTION('',(0.,0.,1.)); #423565=DIRECTION('center_axis',(0.,0.,-1.)); #423566=DIRECTION('ref_axis',(1.,0.,0.)); #423567=DIRECTION('center_axis',(0.,0.,1.)); #423568=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #423569=DIRECTION('',(0.,0.,1.)); #423570=DIRECTION('center_axis',(0.,0.,1.)); #423571=DIRECTION('ref_axis',(1.,0.,0.)); #423572=DIRECTION('',(0.,0.,-1.)); #423573=DIRECTION('center_axis',(0.,0.,-1.)); #423574=DIRECTION('ref_axis',(1.,0.,0.)); #423575=DIRECTION('center_axis',(0.,0.,1.)); #423576=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #423577=DIRECTION('',(0.,0.,1.)); #423578=DIRECTION('center_axis',(0.,0.,1.)); #423579=DIRECTION('ref_axis',(1.,0.,0.)); #423580=DIRECTION('',(0.,0.,-1.)); #423581=DIRECTION('center_axis',(0.,0.,-1.)); #423582=DIRECTION('ref_axis',(1.,0.,0.)); #423583=DIRECTION('center_axis',(0.,0.,1.)); #423584=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #423585=DIRECTION('',(0.,0.,1.)); #423586=DIRECTION('center_axis',(0.,0.,1.)); #423587=DIRECTION('ref_axis',(1.,0.,0.)); #423588=DIRECTION('',(0.,0.,-1.)); #423589=DIRECTION('center_axis',(0.,0.,-1.)); #423590=DIRECTION('ref_axis',(1.,0.,0.)); #423591=DIRECTION('center_axis',(0.,0.,1.)); #423592=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #423593=DIRECTION('',(0.,0.,1.)); #423594=DIRECTION('center_axis',(0.,0.,1.)); #423595=DIRECTION('ref_axis',(1.,0.,0.)); #423596=DIRECTION('',(0.,0.,-1.)); #423597=DIRECTION('center_axis',(0.,0.,-1.)); #423598=DIRECTION('ref_axis',(1.,0.,0.)); #423599=DIRECTION('center_axis',(0.,0.,1.)); #423600=DIRECTION('ref_axis',(1.,0.,0.)); #423601=DIRECTION('',(0.,0.,1.)); #423602=DIRECTION('center_axis',(0.,0.,1.)); #423603=DIRECTION('ref_axis',(1.,0.,0.)); #423604=DIRECTION('',(0.,0.,-1.)); #423605=DIRECTION('center_axis',(0.,0.,-1.)); #423606=DIRECTION('ref_axis',(1.,0.,0.)); #423607=DIRECTION('center_axis',(0.,0.,1.)); #423608=DIRECTION('ref_axis',(1.,0.,0.)); #423609=DIRECTION('',(0.,0.,-1.)); #423610=DIRECTION('center_axis',(0.,0.,-1.)); #423611=DIRECTION('ref_axis',(1.,0.,0.)); #423612=DIRECTION('',(0.,0.,1.)); #423613=DIRECTION('center_axis',(0.,0.,1.)); #423614=DIRECTION('ref_axis',(1.,0.,0.)); #423615=DIRECTION('center_axis',(0.,1.,0.)); #423616=DIRECTION('ref_axis',(-1.,0.,0.)); #423617=DIRECTION('',(-1.,0.,0.)); #423618=DIRECTION('',(0.,0.,1.)); #423619=DIRECTION('',(-1.,0.,0.)); #423620=DIRECTION('center_axis',(0.,1.,0.)); #423621=DIRECTION('ref_axis',(-1.,0.,0.)); #423622=DIRECTION('',(-1.,0.,0.)); #423623=DIRECTION('',(-1.,0.,0.)); #423624=DIRECTION('center_axis',(1.,0.,0.)); #423625=DIRECTION('ref_axis',(0.,1.,0.)); #423626=DIRECTION('',(0.,1.,0.)); #423627=DIRECTION('',(0.,0.,1.)); #423628=DIRECTION('',(0.,1.,0.)); #423629=DIRECTION('center_axis',(1.,0.,0.)); #423630=DIRECTION('ref_axis',(0.,1.,0.)); #423631=DIRECTION('',(0.,1.,0.)); #423632=DIRECTION('',(0.,1.,0.)); #423633=DIRECTION('center_axis',(1.,0.,0.)); #423634=DIRECTION('ref_axis',(0.,1.,0.)); #423635=DIRECTION('',(0.,1.,0.)); #423636=DIRECTION('',(0.,1.,0.)); #423637=DIRECTION('center_axis',(1.,0.,0.)); #423638=DIRECTION('ref_axis',(0.,1.,0.)); #423639=DIRECTION('',(0.,1.,0.)); #423640=DIRECTION('',(0.,1.,0.)); #423641=DIRECTION('center_axis',(0.,-1.,0.)); #423642=DIRECTION('ref_axis',(1.,0.,0.)); #423643=DIRECTION('',(1.,0.,0.)); #423644=DIRECTION('',(1.,0.,0.)); #423645=DIRECTION('center_axis',(0.,-1.,0.)); #423646=DIRECTION('ref_axis',(1.,0.,0.)); #423647=DIRECTION('',(1.,0.,0.)); #423648=DIRECTION('',(1.,0.,0.)); #423649=DIRECTION('center_axis',(-1.,0.,0.)); #423650=DIRECTION('ref_axis',(0.,-1.,0.)); #423651=DIRECTION('',(0.,-1.,0.)); #423652=DIRECTION('',(0.,-1.,0.)); #423653=DIRECTION('center_axis',(-1.,0.,0.)); #423654=DIRECTION('ref_axis',(0.,-1.,0.)); #423655=DIRECTION('',(0.,-1.,0.)); #423656=DIRECTION('',(0.,-1.,0.)); #423657=DIRECTION('center_axis',(0.,0.,1.)); #423658=DIRECTION('ref_axis',(1.,0.,0.)); #423659=DIRECTION('center_axis',(0.,0.,1.)); #423660=DIRECTION('ref_axis',(1.,0.,0.)); #423661=DIRECTION('center_axis',(0.,0.,1.)); #423662=DIRECTION('ref_axis',(1.,0.,0.)); #423663=DIRECTION('center_axis',(0.,0.,1.)); #423664=DIRECTION('ref_axis',(1.,0.,0.)); #423665=DIRECTION('',(0.,0.,1.)); #423666=DIRECTION('center_axis',(0.,0.,-1.)); #423667=DIRECTION('ref_axis',(1.,0.,0.)); #423668=DIRECTION('center_axis',(1.,0.,0.)); #423669=DIRECTION('ref_axis',(0.,1.,0.)); #423670=DIRECTION('',(0.,1.,0.)); #423671=DIRECTION('',(0.,0.,1.)); #423672=DIRECTION('',(0.,1.,0.)); #423673=DIRECTION('',(0.,0.,1.)); #423674=DIRECTION('center_axis',(0.,-1.,0.)); #423675=DIRECTION('ref_axis',(1.,0.,0.)); #423676=DIRECTION('',(1.,0.,0.)); #423677=DIRECTION('',(1.,0.,0.)); #423678=DIRECTION('',(0.,0.,1.)); #423679=DIRECTION('center_axis',(-1.,0.,0.)); #423680=DIRECTION('ref_axis',(0.,-1.,0.)); #423681=DIRECTION('',(0.,-1.,0.)); #423682=DIRECTION('',(0.,-1.,0.)); #423683=DIRECTION('',(0.,0.,1.)); #423684=DIRECTION('center_axis',(0.,1.,0.)); #423685=DIRECTION('ref_axis',(-1.,0.,0.)); #423686=DIRECTION('',(-1.,0.,0.)); #423687=DIRECTION('',(-1.,0.,0.)); #423688=DIRECTION('center_axis',(0.,0.,1.)); #423689=DIRECTION('ref_axis',(1.,0.,0.)); #423690=DIRECTION('center_axis',(0.,0.,1.)); #423691=DIRECTION('ref_axis',(1.,0.,0.)); #423692=DIRECTION('center_axis',(1.,0.,0.)); #423693=DIRECTION('ref_axis',(0.,1.,0.)); #423694=DIRECTION('',(0.,1.,0.)); #423695=DIRECTION('',(0.,0.,1.)); #423696=DIRECTION('',(0.,1.,0.)); #423697=DIRECTION('',(0.,0.,1.)); #423698=DIRECTION('center_axis',(0.,-1.,0.)); #423699=DIRECTION('ref_axis',(1.,0.,0.)); #423700=DIRECTION('',(1.,0.,0.)); #423701=DIRECTION('',(1.,0.,0.)); #423702=DIRECTION('',(0.,0.,1.)); #423703=DIRECTION('center_axis',(-1.,0.,0.)); #423704=DIRECTION('ref_axis',(0.,-1.,0.)); #423705=DIRECTION('',(0.,-1.,0.)); #423706=DIRECTION('',(0.,-1.,0.)); #423707=DIRECTION('',(0.,0.,1.)); #423708=DIRECTION('center_axis',(0.,1.,0.)); #423709=DIRECTION('ref_axis',(-1.,0.,0.)); #423710=DIRECTION('',(-1.,0.,0.)); #423711=DIRECTION('',(-1.,0.,0.)); #423712=DIRECTION('center_axis',(0.,0.,1.)); #423713=DIRECTION('ref_axis',(1.,0.,0.)); #423714=DIRECTION('center_axis',(0.,0.,1.)); #423715=DIRECTION('ref_axis',(1.,0.,0.)); #423716=DIRECTION('center_axis',(1.,0.,0.)); #423717=DIRECTION('ref_axis',(0.,1.,0.)); #423718=DIRECTION('',(0.,1.,0.)); #423719=DIRECTION('',(0.,0.,1.)); #423720=DIRECTION('',(0.,1.,0.)); #423721=DIRECTION('',(0.,0.,1.)); #423722=DIRECTION('center_axis',(0.,-1.,0.)); #423723=DIRECTION('ref_axis',(1.,0.,0.)); #423724=DIRECTION('',(1.,0.,0.)); #423725=DIRECTION('',(1.,0.,0.)); #423726=DIRECTION('',(0.,0.,1.)); #423727=DIRECTION('center_axis',(-1.,0.,0.)); #423728=DIRECTION('ref_axis',(0.,-1.,0.)); #423729=DIRECTION('',(0.,-1.,0.)); #423730=DIRECTION('',(0.,-1.,0.)); #423731=DIRECTION('',(0.,0.,1.)); #423732=DIRECTION('center_axis',(0.,1.,0.)); #423733=DIRECTION('ref_axis',(-1.,0.,0.)); #423734=DIRECTION('',(-1.,0.,0.)); #423735=DIRECTION('',(-1.,0.,0.)); #423736=DIRECTION('center_axis',(0.,0.,1.)); #423737=DIRECTION('ref_axis',(1.,0.,0.)); #423738=DIRECTION('center_axis',(0.,0.,1.)); #423739=DIRECTION('ref_axis',(1.,0.,0.)); #423740=DIRECTION('center_axis',(1.,0.,0.)); #423741=DIRECTION('ref_axis',(0.,1.,0.)); #423742=DIRECTION('',(0.,1.,0.)); #423743=DIRECTION('',(0.,0.,1.)); #423744=DIRECTION('',(0.,1.,0.)); #423745=DIRECTION('',(0.,0.,1.)); #423746=DIRECTION('center_axis',(0.,-1.,0.)); #423747=DIRECTION('ref_axis',(1.,0.,0.)); #423748=DIRECTION('',(1.,0.,0.)); #423749=DIRECTION('',(1.,0.,0.)); #423750=DIRECTION('',(0.,0.,1.)); #423751=DIRECTION('center_axis',(-1.,0.,0.)); #423752=DIRECTION('ref_axis',(0.,-1.,0.)); #423753=DIRECTION('',(0.,-1.,0.)); #423754=DIRECTION('',(0.,-1.,0.)); #423755=DIRECTION('',(0.,0.,1.)); #423756=DIRECTION('center_axis',(0.,1.,0.)); #423757=DIRECTION('ref_axis',(-1.,0.,0.)); #423758=DIRECTION('',(-1.,0.,0.)); #423759=DIRECTION('',(-1.,0.,0.)); #423760=DIRECTION('center_axis',(0.,0.,1.)); #423761=DIRECTION('ref_axis',(1.,0.,0.)); #423762=DIRECTION('center_axis',(0.,0.,1.)); #423763=DIRECTION('ref_axis',(1.,0.,0.)); #423764=DIRECTION('center_axis',(1.,0.,0.)); #423765=DIRECTION('ref_axis',(0.,1.,0.)); #423766=DIRECTION('',(0.,1.,0.)); #423767=DIRECTION('',(0.,0.,1.)); #423768=DIRECTION('',(0.,1.,0.)); #423769=DIRECTION('',(0.,0.,1.)); #423770=DIRECTION('center_axis',(0.,-1.,0.)); #423771=DIRECTION('ref_axis',(1.,0.,0.)); #423772=DIRECTION('',(1.,0.,0.)); #423773=DIRECTION('',(1.,0.,0.)); #423774=DIRECTION('',(0.,0.,1.)); #423775=DIRECTION('center_axis',(-1.,0.,0.)); #423776=DIRECTION('ref_axis',(0.,-1.,0.)); #423777=DIRECTION('',(0.,-1.,0.)); #423778=DIRECTION('',(0.,-1.,0.)); #423779=DIRECTION('',(0.,0.,1.)); #423780=DIRECTION('center_axis',(0.,1.,0.)); #423781=DIRECTION('ref_axis',(-1.,0.,0.)); #423782=DIRECTION('',(-1.,0.,0.)); #423783=DIRECTION('',(-1.,0.,0.)); #423784=DIRECTION('center_axis',(0.,0.,1.)); #423785=DIRECTION('ref_axis',(1.,0.,0.)); #423786=DIRECTION('center_axis',(0.,0.,1.)); #423787=DIRECTION('ref_axis',(1.,0.,0.)); #423788=DIRECTION('center_axis',(1.,0.,0.)); #423789=DIRECTION('ref_axis',(0.,1.,0.)); #423790=DIRECTION('',(0.,1.,0.)); #423791=DIRECTION('',(0.,0.,1.)); #423792=DIRECTION('',(0.,1.,0.)); #423793=DIRECTION('',(0.,0.,1.)); #423794=DIRECTION('center_axis',(0.,-1.,0.)); #423795=DIRECTION('ref_axis',(1.,0.,0.)); #423796=DIRECTION('',(1.,0.,0.)); #423797=DIRECTION('',(1.,0.,0.)); #423798=DIRECTION('',(0.,0.,1.)); #423799=DIRECTION('center_axis',(-1.,0.,0.)); #423800=DIRECTION('ref_axis',(0.,-1.,0.)); #423801=DIRECTION('',(0.,-1.,0.)); #423802=DIRECTION('',(0.,-1.,0.)); #423803=DIRECTION('',(0.,0.,1.)); #423804=DIRECTION('center_axis',(0.,1.,0.)); #423805=DIRECTION('ref_axis',(-1.,0.,0.)); #423806=DIRECTION('',(-1.,0.,0.)); #423807=DIRECTION('',(-1.,0.,0.)); #423808=DIRECTION('center_axis',(0.,0.,1.)); #423809=DIRECTION('ref_axis',(1.,0.,0.)); #423810=DIRECTION('center_axis',(0.,0.,1.)); #423811=DIRECTION('ref_axis',(1.,0.,0.)); #423812=DIRECTION('center_axis',(0.,0.,1.)); #423813=DIRECTION('ref_axis',(1.,0.,0.)); #423814=DIRECTION('center_axis',(0.,0.,1.)); #423815=DIRECTION('ref_axis',(1.,0.,0.)); #423816=DIRECTION('',(0.,0.,1.)); #423817=DIRECTION('center_axis',(0.,0.,-1.)); #423818=DIRECTION('ref_axis',(1.,0.,0.)); #423819=DIRECTION('center_axis',(1.,0.,0.)); #423820=DIRECTION('ref_axis',(0.,1.,0.)); #423821=DIRECTION('',(0.,1.,0.)); #423822=DIRECTION('',(0.,0.,1.)); #423823=DIRECTION('',(0.,1.,0.)); #423824=DIRECTION('',(0.,0.,1.)); #423825=DIRECTION('center_axis',(0.,-1.,0.)); #423826=DIRECTION('ref_axis',(1.,0.,0.)); #423827=DIRECTION('',(1.,0.,0.)); #423828=DIRECTION('',(1.,0.,0.)); #423829=DIRECTION('',(0.,0.,1.)); #423830=DIRECTION('center_axis',(-1.,0.,0.)); #423831=DIRECTION('ref_axis',(0.,-1.,0.)); #423832=DIRECTION('',(0.,-1.,0.)); #423833=DIRECTION('',(0.,-1.,0.)); #423834=DIRECTION('',(0.,0.,1.)); #423835=DIRECTION('center_axis',(0.,1.,0.)); #423836=DIRECTION('ref_axis',(-1.,0.,0.)); #423837=DIRECTION('',(-1.,0.,0.)); #423838=DIRECTION('',(-1.,0.,0.)); #423839=DIRECTION('center_axis',(0.,0.,1.)); #423840=DIRECTION('ref_axis',(1.,0.,0.)); #423841=DIRECTION('center_axis',(0.,0.,1.)); #423842=DIRECTION('ref_axis',(1.,0.,0.)); #423843=DIRECTION('center_axis',(0.,0.,1.)); #423844=DIRECTION('ref_axis',(1.,0.,0.)); #423845=DIRECTION('center_axis',(0.,0.,1.)); #423846=DIRECTION('ref_axis',(1.,0.,0.)); #423847=DIRECTION('',(0.,0.,1.)); #423848=DIRECTION('center_axis',(0.,0.,-1.)); #423849=DIRECTION('ref_axis',(1.,0.,0.)); #423850=DIRECTION('center_axis',(1.,0.,0.)); #423851=DIRECTION('ref_axis',(0.,1.,0.)); #423852=DIRECTION('',(0.,1.,0.)); #423853=DIRECTION('',(0.,0.,1.)); #423854=DIRECTION('',(0.,1.,0.)); #423855=DIRECTION('',(0.,0.,1.)); #423856=DIRECTION('center_axis',(0.,-1.,0.)); #423857=DIRECTION('ref_axis',(1.,0.,0.)); #423858=DIRECTION('',(1.,0.,0.)); #423859=DIRECTION('',(1.,0.,0.)); #423860=DIRECTION('',(0.,0.,1.)); #423861=DIRECTION('center_axis',(-1.,0.,0.)); #423862=DIRECTION('ref_axis',(0.,-1.,0.)); #423863=DIRECTION('',(0.,-1.,0.)); #423864=DIRECTION('',(0.,-1.,0.)); #423865=DIRECTION('',(0.,0.,1.)); #423866=DIRECTION('center_axis',(0.,1.,0.)); #423867=DIRECTION('ref_axis',(-1.,0.,0.)); #423868=DIRECTION('',(-1.,0.,0.)); #423869=DIRECTION('',(-1.,0.,0.)); #423870=DIRECTION('center_axis',(0.,0.,1.)); #423871=DIRECTION('ref_axis',(1.,0.,0.)); #423872=DIRECTION('center_axis',(0.,0.,1.)); #423873=DIRECTION('ref_axis',(1.,0.,0.)); #423874=DIRECTION('center_axis',(1.,0.,0.)); #423875=DIRECTION('ref_axis',(0.,1.,0.)); #423876=DIRECTION('',(0.,1.,0.)); #423877=DIRECTION('',(0.,0.,1.)); #423878=DIRECTION('',(0.,1.,0.)); #423879=DIRECTION('',(0.,0.,1.)); #423880=DIRECTION('center_axis',(0.,-1.,0.)); #423881=DIRECTION('ref_axis',(1.,0.,0.)); #423882=DIRECTION('',(1.,0.,0.)); #423883=DIRECTION('',(1.,0.,0.)); #423884=DIRECTION('',(0.,0.,1.)); #423885=DIRECTION('center_axis',(-1.,0.,0.)); #423886=DIRECTION('ref_axis',(0.,-1.,0.)); #423887=DIRECTION('',(0.,-1.,0.)); #423888=DIRECTION('',(0.,-1.,0.)); #423889=DIRECTION('',(0.,0.,1.)); #423890=DIRECTION('center_axis',(0.,1.,0.)); #423891=DIRECTION('ref_axis',(-1.,0.,0.)); #423892=DIRECTION('',(-1.,0.,0.)); #423893=DIRECTION('',(-1.,0.,0.)); #423894=DIRECTION('center_axis',(0.,0.,1.)); #423895=DIRECTION('ref_axis',(1.,0.,0.)); #423896=DIRECTION('center_axis',(0.,0.,1.)); #423897=DIRECTION('ref_axis',(1.,0.,0.)); #423898=DIRECTION('center_axis',(1.,0.,0.)); #423899=DIRECTION('ref_axis',(0.,1.,0.)); #423900=DIRECTION('',(0.,1.,0.)); #423901=DIRECTION('',(0.,0.,1.)); #423902=DIRECTION('',(0.,1.,0.)); #423903=DIRECTION('',(0.,0.,1.)); #423904=DIRECTION('center_axis',(0.,-1.,0.)); #423905=DIRECTION('ref_axis',(1.,0.,0.)); #423906=DIRECTION('',(1.,0.,0.)); #423907=DIRECTION('',(1.,0.,0.)); #423908=DIRECTION('',(0.,0.,1.)); #423909=DIRECTION('center_axis',(-1.,0.,0.)); #423910=DIRECTION('ref_axis',(0.,-1.,0.)); #423911=DIRECTION('',(0.,-1.,0.)); #423912=DIRECTION('',(0.,-1.,0.)); #423913=DIRECTION('',(0.,0.,1.)); #423914=DIRECTION('center_axis',(0.,1.,0.)); #423915=DIRECTION('ref_axis',(-1.,0.,0.)); #423916=DIRECTION('',(-1.,0.,0.)); #423917=DIRECTION('',(-1.,0.,0.)); #423918=DIRECTION('center_axis',(0.,0.,1.)); #423919=DIRECTION('ref_axis',(1.,0.,0.)); #423920=DIRECTION('center_axis',(0.,0.,1.)); #423921=DIRECTION('ref_axis',(1.,0.,0.)); #423922=DIRECTION('center_axis',(1.,0.,0.)); #423923=DIRECTION('ref_axis',(0.,1.,0.)); #423924=DIRECTION('',(0.,1.,0.)); #423925=DIRECTION('',(0.,0.,1.)); #423926=DIRECTION('',(0.,1.,0.)); #423927=DIRECTION('',(0.,0.,1.)); #423928=DIRECTION('center_axis',(0.,-1.,0.)); #423929=DIRECTION('ref_axis',(1.,0.,0.)); #423930=DIRECTION('',(1.,0.,0.)); #423931=DIRECTION('',(1.,0.,0.)); #423932=DIRECTION('',(0.,0.,1.)); #423933=DIRECTION('center_axis',(-1.,0.,0.)); #423934=DIRECTION('ref_axis',(0.,-1.,0.)); #423935=DIRECTION('',(0.,-1.,0.)); #423936=DIRECTION('',(0.,-1.,0.)); #423937=DIRECTION('',(0.,0.,1.)); #423938=DIRECTION('center_axis',(0.,1.,0.)); #423939=DIRECTION('ref_axis',(-1.,0.,0.)); #423940=DIRECTION('',(-1.,0.,0.)); #423941=DIRECTION('',(-1.,0.,0.)); #423942=DIRECTION('center_axis',(0.,0.,1.)); #423943=DIRECTION('ref_axis',(1.,0.,0.)); #423944=DIRECTION('center_axis',(0.,0.,1.)); #423945=DIRECTION('ref_axis',(1.,0.,0.)); #423946=DIRECTION('center_axis',(1.,0.,0.)); #423947=DIRECTION('ref_axis',(0.,1.,0.)); #423948=DIRECTION('',(0.,1.,0.)); #423949=DIRECTION('',(0.,0.,1.)); #423950=DIRECTION('',(0.,1.,0.)); #423951=DIRECTION('',(0.,0.,1.)); #423952=DIRECTION('center_axis',(0.,-1.,0.)); #423953=DIRECTION('ref_axis',(1.,0.,0.)); #423954=DIRECTION('',(1.,0.,0.)); #423955=DIRECTION('',(1.,0.,0.)); #423956=DIRECTION('',(0.,0.,1.)); #423957=DIRECTION('center_axis',(-1.,0.,0.)); #423958=DIRECTION('ref_axis',(0.,-1.,0.)); #423959=DIRECTION('',(0.,-1.,0.)); #423960=DIRECTION('',(0.,-1.,0.)); #423961=DIRECTION('',(0.,0.,1.)); #423962=DIRECTION('center_axis',(0.,1.,0.)); #423963=DIRECTION('ref_axis',(-1.,0.,0.)); #423964=DIRECTION('',(-1.,0.,0.)); #423965=DIRECTION('',(-1.,0.,0.)); #423966=DIRECTION('center_axis',(0.,0.,1.)); #423967=DIRECTION('ref_axis',(1.,0.,0.)); #423968=DIRECTION('center_axis',(0.,0.,1.)); #423969=DIRECTION('ref_axis',(1.,0.,0.)); #423970=DIRECTION('center_axis',(1.,0.,0.)); #423971=DIRECTION('ref_axis',(0.,1.,0.)); #423972=DIRECTION('',(0.,1.,0.)); #423973=DIRECTION('',(0.,0.,1.)); #423974=DIRECTION('',(0.,1.,0.)); #423975=DIRECTION('',(0.,0.,1.)); #423976=DIRECTION('center_axis',(0.,-1.,0.)); #423977=DIRECTION('ref_axis',(1.,0.,0.)); #423978=DIRECTION('',(1.,0.,0.)); #423979=DIRECTION('',(1.,0.,0.)); #423980=DIRECTION('',(0.,0.,1.)); #423981=DIRECTION('center_axis',(-1.,0.,0.)); #423982=DIRECTION('ref_axis',(0.,-1.,0.)); #423983=DIRECTION('',(0.,-1.,0.)); #423984=DIRECTION('',(0.,-1.,0.)); #423985=DIRECTION('',(0.,0.,1.)); #423986=DIRECTION('center_axis',(0.,1.,0.)); #423987=DIRECTION('ref_axis',(-1.,0.,0.)); #423988=DIRECTION('',(-1.,0.,0.)); #423989=DIRECTION('',(-1.,0.,0.)); #423990=DIRECTION('center_axis',(0.,0.,1.)); #423991=DIRECTION('ref_axis',(1.,0.,0.)); #423992=DIRECTION('center_axis',(0.,0.,1.)); #423993=DIRECTION('ref_axis',(1.,0.,0.)); #423994=DIRECTION('center_axis',(1.,0.,0.)); #423995=DIRECTION('ref_axis',(0.,1.,0.)); #423996=DIRECTION('',(0.,1.,0.)); #423997=DIRECTION('',(0.,0.,1.)); #423998=DIRECTION('',(0.,1.,0.)); #423999=DIRECTION('',(0.,0.,1.)); #424000=DIRECTION('center_axis',(0.,-1.,0.)); #424001=DIRECTION('ref_axis',(1.,0.,0.)); #424002=DIRECTION('',(1.,0.,0.)); #424003=DIRECTION('',(1.,0.,0.)); #424004=DIRECTION('',(0.,0.,1.)); #424005=DIRECTION('center_axis',(-1.,0.,0.)); #424006=DIRECTION('ref_axis',(0.,-1.,0.)); #424007=DIRECTION('',(0.,-1.,0.)); #424008=DIRECTION('',(0.,-1.,0.)); #424009=DIRECTION('',(0.,0.,1.)); #424010=DIRECTION('center_axis',(0.,1.,0.)); #424011=DIRECTION('ref_axis',(-1.,0.,0.)); #424012=DIRECTION('',(-1.,0.,0.)); #424013=DIRECTION('',(-1.,0.,0.)); #424014=DIRECTION('center_axis',(0.,0.,1.)); #424015=DIRECTION('ref_axis',(1.,0.,0.)); #424016=DIRECTION('center_axis',(0.,0.,1.)); #424017=DIRECTION('ref_axis',(1.,0.,0.)); #424018=DIRECTION('center_axis',(1.,0.,0.)); #424019=DIRECTION('ref_axis',(0.,1.,0.)); #424020=DIRECTION('',(0.,1.,0.)); #424021=DIRECTION('',(0.,0.,1.)); #424022=DIRECTION('',(0.,1.,0.)); #424023=DIRECTION('',(0.,0.,1.)); #424024=DIRECTION('center_axis',(0.,-1.,0.)); #424025=DIRECTION('ref_axis',(1.,0.,0.)); #424026=DIRECTION('',(1.,0.,0.)); #424027=DIRECTION('',(1.,0.,0.)); #424028=DIRECTION('',(0.,0.,1.)); #424029=DIRECTION('center_axis',(-1.,0.,0.)); #424030=DIRECTION('ref_axis',(0.,-1.,0.)); #424031=DIRECTION('',(0.,-1.,0.)); #424032=DIRECTION('',(0.,-1.,0.)); #424033=DIRECTION('',(0.,0.,1.)); #424034=DIRECTION('center_axis',(0.,1.,0.)); #424035=DIRECTION('ref_axis',(-1.,0.,0.)); #424036=DIRECTION('',(-1.,0.,0.)); #424037=DIRECTION('',(-1.,0.,0.)); #424038=DIRECTION('center_axis',(0.,0.,1.)); #424039=DIRECTION('ref_axis',(1.,0.,0.)); #424040=DIRECTION('center_axis',(0.,0.,1.)); #424041=DIRECTION('ref_axis',(1.,0.,0.)); #424042=DIRECTION('center_axis',(1.,0.,0.)); #424043=DIRECTION('ref_axis',(0.,1.,0.)); #424044=DIRECTION('',(0.,1.,0.)); #424045=DIRECTION('',(0.,0.,1.)); #424046=DIRECTION('',(0.,1.,0.)); #424047=DIRECTION('',(0.,0.,1.)); #424048=DIRECTION('center_axis',(0.,-1.,0.)); #424049=DIRECTION('ref_axis',(1.,0.,0.)); #424050=DIRECTION('',(1.,0.,0.)); #424051=DIRECTION('',(1.,0.,0.)); #424052=DIRECTION('',(0.,0.,1.)); #424053=DIRECTION('center_axis',(-1.,0.,0.)); #424054=DIRECTION('ref_axis',(0.,-1.,0.)); #424055=DIRECTION('',(0.,-1.,0.)); #424056=DIRECTION('',(0.,-1.,0.)); #424057=DIRECTION('',(0.,0.,1.)); #424058=DIRECTION('center_axis',(0.,1.,0.)); #424059=DIRECTION('ref_axis',(-1.,0.,0.)); #424060=DIRECTION('',(-1.,0.,0.)); #424061=DIRECTION('',(-1.,0.,0.)); #424062=DIRECTION('center_axis',(0.,0.,1.)); #424063=DIRECTION('ref_axis',(1.,0.,0.)); #424064=DIRECTION('center_axis',(0.,0.,1.)); #424065=DIRECTION('ref_axis',(1.,0.,0.)); #424066=DIRECTION('center_axis',(1.,0.,0.)); #424067=DIRECTION('ref_axis',(0.,1.,0.)); #424068=DIRECTION('',(0.,1.,0.)); #424069=DIRECTION('',(0.,0.,1.)); #424070=DIRECTION('',(0.,1.,0.)); #424071=DIRECTION('',(0.,0.,1.)); #424072=DIRECTION('center_axis',(0.,-1.,0.)); #424073=DIRECTION('ref_axis',(1.,0.,0.)); #424074=DIRECTION('',(1.,0.,0.)); #424075=DIRECTION('',(1.,0.,0.)); #424076=DIRECTION('',(0.,0.,1.)); #424077=DIRECTION('center_axis',(-1.,0.,0.)); #424078=DIRECTION('ref_axis',(0.,-1.,0.)); #424079=DIRECTION('',(0.,-1.,0.)); #424080=DIRECTION('',(0.,-1.,0.)); #424081=DIRECTION('',(0.,0.,1.)); #424082=DIRECTION('center_axis',(0.,1.,0.)); #424083=DIRECTION('ref_axis',(-1.,0.,0.)); #424084=DIRECTION('',(-1.,0.,0.)); #424085=DIRECTION('',(-1.,0.,0.)); #424086=DIRECTION('center_axis',(0.,0.,1.)); #424087=DIRECTION('ref_axis',(1.,0.,0.)); #424088=DIRECTION('center_axis',(0.,0.,1.)); #424089=DIRECTION('ref_axis',(1.,0.,0.)); #424090=DIRECTION('center_axis',(1.,0.,0.)); #424091=DIRECTION('ref_axis',(0.,1.,0.)); #424092=DIRECTION('',(0.,1.,0.)); #424093=DIRECTION('',(0.,0.,1.)); #424094=DIRECTION('',(0.,1.,0.)); #424095=DIRECTION('',(0.,0.,1.)); #424096=DIRECTION('center_axis',(0.,-1.,0.)); #424097=DIRECTION('ref_axis',(1.,0.,0.)); #424098=DIRECTION('',(1.,0.,0.)); #424099=DIRECTION('',(1.,0.,0.)); #424100=DIRECTION('',(0.,0.,1.)); #424101=DIRECTION('center_axis',(-1.,0.,0.)); #424102=DIRECTION('ref_axis',(0.,-1.,0.)); #424103=DIRECTION('',(0.,-1.,0.)); #424104=DIRECTION('',(0.,-1.,0.)); #424105=DIRECTION('',(0.,0.,1.)); #424106=DIRECTION('center_axis',(0.,1.,0.)); #424107=DIRECTION('ref_axis',(-1.,0.,0.)); #424108=DIRECTION('',(-1.,0.,0.)); #424109=DIRECTION('',(-1.,0.,0.)); #424110=DIRECTION('center_axis',(0.,0.,1.)); #424111=DIRECTION('ref_axis',(1.,0.,0.)); #424112=DIRECTION('center_axis',(0.,0.,1.)); #424113=DIRECTION('ref_axis',(1.,0.,0.)); #424114=DIRECTION('center_axis',(1.,0.,0.)); #424115=DIRECTION('ref_axis',(0.,1.,0.)); #424116=DIRECTION('',(0.,1.,0.)); #424117=DIRECTION('',(0.,0.,1.)); #424118=DIRECTION('',(0.,1.,0.)); #424119=DIRECTION('',(0.,0.,1.)); #424120=DIRECTION('center_axis',(0.,-1.,0.)); #424121=DIRECTION('ref_axis',(1.,0.,0.)); #424122=DIRECTION('',(1.,0.,0.)); #424123=DIRECTION('',(1.,0.,0.)); #424124=DIRECTION('',(0.,0.,1.)); #424125=DIRECTION('center_axis',(-1.,0.,0.)); #424126=DIRECTION('ref_axis',(0.,-1.,0.)); #424127=DIRECTION('',(0.,-1.,0.)); #424128=DIRECTION('',(0.,-1.,0.)); #424129=DIRECTION('',(0.,0.,1.)); #424130=DIRECTION('center_axis',(0.,1.,0.)); #424131=DIRECTION('ref_axis',(-1.,0.,0.)); #424132=DIRECTION('',(-1.,0.,0.)); #424133=DIRECTION('',(-1.,0.,0.)); #424134=DIRECTION('center_axis',(0.,0.,1.)); #424135=DIRECTION('ref_axis',(1.,0.,0.)); #424136=DIRECTION('center_axis',(0.,0.,1.)); #424137=DIRECTION('ref_axis',(1.,0.,0.)); #424138=DIRECTION('center_axis',(0.,0.,1.)); #424139=DIRECTION('ref_axis',(1.,0.,0.)); #424140=DIRECTION('center_axis',(0.,0.,1.)); #424141=DIRECTION('ref_axis',(1.,0.,0.)); #424142=DIRECTION('',(0.,0.,1.)); #424143=DIRECTION('center_axis',(0.,0.,-1.)); #424144=DIRECTION('ref_axis',(1.,0.,0.)); #424145=DIRECTION('center_axis',(0.,0.,1.)); #424146=DIRECTION('ref_axis',(1.,0.,0.)); #424147=DIRECTION('center_axis',(0.,0.,1.)); #424148=DIRECTION('ref_axis',(1.,0.,0.)); #424149=DIRECTION('',(0.,0.,1.)); #424150=DIRECTION('center_axis',(0.,0.,-1.)); #424151=DIRECTION('ref_axis',(1.,0.,0.)); #424152=DIRECTION('center_axis',(0.,0.,1.)); #424153=DIRECTION('ref_axis',(1.,0.,0.)); #424154=DIRECTION('center_axis',(0.,0.,1.)); #424155=DIRECTION('ref_axis',(1.,0.,0.)); #424156=DIRECTION('',(0.,0.,1.)); #424157=DIRECTION('center_axis',(0.,0.,-1.)); #424158=DIRECTION('ref_axis',(1.,0.,0.)); #424159=DIRECTION('center_axis',(0.,0.,1.)); #424160=DIRECTION('ref_axis',(1.,0.,0.)); #424161=DIRECTION('center_axis',(0.,0.,1.)); #424162=DIRECTION('ref_axis',(1.,0.,0.)); #424163=DIRECTION('',(0.,0.,1.)); #424164=DIRECTION('center_axis',(0.,0.,-1.)); #424165=DIRECTION('ref_axis',(1.,0.,0.)); #424166=DIRECTION('center_axis',(0.,0.,1.)); #424167=DIRECTION('ref_axis',(1.,0.,0.)); #424168=DIRECTION('center_axis',(0.,0.,1.)); #424169=DIRECTION('ref_axis',(1.,0.,0.)); #424170=DIRECTION('',(0.,0.,1.)); #424171=DIRECTION('center_axis',(0.,0.,-1.)); #424172=DIRECTION('ref_axis',(1.,0.,0.)); #424173=DIRECTION('center_axis',(0.,0.,1.)); #424174=DIRECTION('ref_axis',(1.,0.,0.)); #424175=DIRECTION('center_axis',(0.,0.,1.)); #424176=DIRECTION('ref_axis',(1.,0.,0.)); #424177=DIRECTION('',(0.,0.,1.)); #424178=DIRECTION('center_axis',(0.,0.,-1.)); #424179=DIRECTION('ref_axis',(1.,0.,0.)); #424180=DIRECTION('center_axis',(1.,0.,0.)); #424181=DIRECTION('ref_axis',(0.,1.,0.)); #424182=DIRECTION('',(0.,1.,0.)); #424183=DIRECTION('',(0.,0.,1.)); #424184=DIRECTION('',(0.,1.,0.)); #424185=DIRECTION('',(0.,0.,1.)); #424186=DIRECTION('center_axis',(0.,-1.,0.)); #424187=DIRECTION('ref_axis',(1.,0.,0.)); #424188=DIRECTION('',(1.,0.,0.)); #424189=DIRECTION('',(1.,0.,0.)); #424190=DIRECTION('',(0.,0.,1.)); #424191=DIRECTION('center_axis',(-1.,0.,0.)); #424192=DIRECTION('ref_axis',(0.,-1.,0.)); #424193=DIRECTION('',(0.,-1.,0.)); #424194=DIRECTION('',(0.,-1.,0.)); #424195=DIRECTION('',(0.,0.,1.)); #424196=DIRECTION('center_axis',(0.,1.,0.)); #424197=DIRECTION('ref_axis',(-1.,0.,0.)); #424198=DIRECTION('',(-1.,0.,0.)); #424199=DIRECTION('',(-1.,0.,0.)); #424200=DIRECTION('center_axis',(0.,0.,1.)); #424201=DIRECTION('ref_axis',(1.,0.,0.)); #424202=DIRECTION('center_axis',(0.,0.,1.)); #424203=DIRECTION('ref_axis',(1.,0.,0.)); #424204=DIRECTION('center_axis',(1.,0.,0.)); #424205=DIRECTION('ref_axis',(0.,1.,0.)); #424206=DIRECTION('',(0.,1.,0.)); #424207=DIRECTION('',(0.,0.,1.)); #424208=DIRECTION('',(0.,1.,0.)); #424209=DIRECTION('',(0.,0.,1.)); #424210=DIRECTION('center_axis',(0.,-1.,0.)); #424211=DIRECTION('ref_axis',(1.,0.,0.)); #424212=DIRECTION('',(1.,0.,0.)); #424213=DIRECTION('',(1.,0.,0.)); #424214=DIRECTION('',(0.,0.,1.)); #424215=DIRECTION('center_axis',(-1.,0.,0.)); #424216=DIRECTION('ref_axis',(0.,-1.,0.)); #424217=DIRECTION('',(0.,-1.,0.)); #424218=DIRECTION('',(0.,-1.,0.)); #424219=DIRECTION('',(0.,0.,1.)); #424220=DIRECTION('center_axis',(0.,1.,0.)); #424221=DIRECTION('ref_axis',(-1.,0.,0.)); #424222=DIRECTION('',(-1.,0.,0.)); #424223=DIRECTION('',(-1.,0.,0.)); #424224=DIRECTION('center_axis',(0.,0.,1.)); #424225=DIRECTION('ref_axis',(1.,0.,0.)); #424226=DIRECTION('center_axis',(0.,0.,1.)); #424227=DIRECTION('ref_axis',(1.,0.,0.)); #424228=DIRECTION('center_axis',(1.,0.,0.)); #424229=DIRECTION('ref_axis',(0.,1.,0.)); #424230=DIRECTION('',(0.,1.,0.)); #424231=DIRECTION('',(0.,0.,1.)); #424232=DIRECTION('',(0.,1.,0.)); #424233=DIRECTION('',(0.,0.,1.)); #424234=DIRECTION('center_axis',(0.,-1.,0.)); #424235=DIRECTION('ref_axis',(1.,0.,0.)); #424236=DIRECTION('',(1.,0.,0.)); #424237=DIRECTION('',(1.,0.,0.)); #424238=DIRECTION('',(0.,0.,1.)); #424239=DIRECTION('center_axis',(-1.,0.,0.)); #424240=DIRECTION('ref_axis',(0.,-1.,0.)); #424241=DIRECTION('',(0.,-1.,0.)); #424242=DIRECTION('',(0.,-1.,0.)); #424243=DIRECTION('',(0.,0.,1.)); #424244=DIRECTION('center_axis',(0.,1.,0.)); #424245=DIRECTION('ref_axis',(-1.,0.,0.)); #424246=DIRECTION('',(-1.,0.,0.)); #424247=DIRECTION('',(-1.,0.,0.)); #424248=DIRECTION('center_axis',(0.,0.,1.)); #424249=DIRECTION('ref_axis',(1.,0.,0.)); #424250=DIRECTION('center_axis',(0.,0.,1.)); #424251=DIRECTION('ref_axis',(1.,0.,0.)); #424252=DIRECTION('center_axis',(1.,0.,0.)); #424253=DIRECTION('ref_axis',(0.,1.,0.)); #424254=DIRECTION('',(0.,1.,0.)); #424255=DIRECTION('',(0.,0.,1.)); #424256=DIRECTION('',(0.,1.,0.)); #424257=DIRECTION('',(0.,0.,1.)); #424258=DIRECTION('center_axis',(0.,-1.,0.)); #424259=DIRECTION('ref_axis',(1.,0.,0.)); #424260=DIRECTION('',(1.,0.,0.)); #424261=DIRECTION('',(1.,0.,0.)); #424262=DIRECTION('',(0.,0.,1.)); #424263=DIRECTION('center_axis',(-1.,0.,0.)); #424264=DIRECTION('ref_axis',(0.,-1.,0.)); #424265=DIRECTION('',(0.,-1.,0.)); #424266=DIRECTION('',(0.,-1.,0.)); #424267=DIRECTION('',(0.,0.,1.)); #424268=DIRECTION('center_axis',(0.,1.,0.)); #424269=DIRECTION('ref_axis',(-1.,0.,0.)); #424270=DIRECTION('',(-1.,0.,0.)); #424271=DIRECTION('',(-1.,0.,0.)); #424272=DIRECTION('center_axis',(0.,0.,1.)); #424273=DIRECTION('ref_axis',(1.,0.,0.)); #424274=DIRECTION('center_axis',(0.,0.,1.)); #424275=DIRECTION('ref_axis',(1.,0.,0.)); #424276=DIRECTION('center_axis',(0.,0.,1.)); #424277=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #424278=DIRECTION('',(0.,0.,1.)); #424279=DIRECTION('center_axis',(0.,0.,1.)); #424280=DIRECTION('ref_axis',(1.,0.,0.)); #424281=DIRECTION('',(0.,0.,-1.)); #424282=DIRECTION('center_axis',(0.,0.,-1.)); #424283=DIRECTION('ref_axis',(1.,0.,0.)); #424284=DIRECTION('center_axis',(0.,0.,1.)); #424285=DIRECTION('ref_axis',(1.,0.,0.)); #424286=DIRECTION('center_axis',(0.,0.,1.)); #424287=DIRECTION('ref_axis',(1.,0.,0.)); #424288=DIRECTION('',(0.,0.,1.)); #424289=DIRECTION('center_axis',(0.,0.,-1.)); #424290=DIRECTION('ref_axis',(1.,0.,0.)); #424291=DIRECTION('center_axis',(0.,0.,1.)); #424292=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #424293=DIRECTION('',(0.,0.,1.)); #424294=DIRECTION('center_axis',(0.,0.,1.)); #424295=DIRECTION('ref_axis',(1.,0.,0.)); #424296=DIRECTION('',(0.,0.,-1.)); #424297=DIRECTION('center_axis',(0.,0.,-1.)); #424298=DIRECTION('ref_axis',(1.,0.,0.)); #424299=DIRECTION('center_axis',(0.,0.,1.)); #424300=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #424301=DIRECTION('',(0.,0.,1.)); #424302=DIRECTION('center_axis',(0.,0.,1.)); #424303=DIRECTION('ref_axis',(1.,0.,0.)); #424304=DIRECTION('',(0.,0.,-1.)); #424305=DIRECTION('center_axis',(0.,0.,-1.)); #424306=DIRECTION('ref_axis',(1.,0.,0.)); #424307=DIRECTION('center_axis',(0.,0.,1.)); #424308=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #424309=DIRECTION('',(0.,0.,1.)); #424310=DIRECTION('center_axis',(0.,0.,1.)); #424311=DIRECTION('ref_axis',(1.,0.,0.)); #424312=DIRECTION('',(0.,0.,-1.)); #424313=DIRECTION('center_axis',(0.,0.,-1.)); #424314=DIRECTION('ref_axis',(1.,0.,0.)); #424315=DIRECTION('center_axis',(0.,0.,1.)); #424316=DIRECTION('ref_axis',(1.,0.,0.)); #424317=DIRECTION('center_axis',(0.,0.,1.)); #424318=DIRECTION('ref_axis',(1.,0.,0.)); #424319=DIRECTION('',(0.,0.,1.)); #424320=DIRECTION('center_axis',(0.,0.,-1.)); #424321=DIRECTION('ref_axis',(1.,0.,0.)); #424322=DIRECTION('center_axis',(0.,-1.,0.)); #424323=DIRECTION('ref_axis',(1.,0.,0.)); #424324=DIRECTION('',(1.,0.,0.)); #424325=DIRECTION('',(0.,0.,1.)); #424326=DIRECTION('',(1.,0.,0.)); #424327=DIRECTION('center_axis',(0.,-1.,0.)); #424328=DIRECTION('ref_axis',(1.,0.,0.)); #424329=DIRECTION('',(1.,0.,0.)); #424330=DIRECTION('',(0.,0.,1.)); #424331=DIRECTION('',(1.,0.,0.)); #424332=DIRECTION('center_axis',(0.,-1.,0.)); #424333=DIRECTION('ref_axis',(1.,0.,0.)); #424334=DIRECTION('',(1.,0.,0.)); #424335=DIRECTION('',(1.,0.,0.)); #424336=DIRECTION('center_axis',(0.,1.,0.)); #424337=DIRECTION('ref_axis',(-1.,0.,0.)); #424338=DIRECTION('',(-1.,0.,0.)); #424339=DIRECTION('',(0.,0.,1.)); #424340=DIRECTION('',(-1.,0.,0.)); #424341=DIRECTION('center_axis',(0.,1.,0.)); #424342=DIRECTION('ref_axis',(-1.,0.,0.)); #424343=DIRECTION('',(-1.,0.,0.)); #424344=DIRECTION('',(0.,0.,1.)); #424345=DIRECTION('',(-1.,0.,0.)); #424346=DIRECTION('center_axis',(0.,1.,0.)); #424347=DIRECTION('ref_axis',(-1.,0.,0.)); #424348=DIRECTION('',(-1.,0.,0.)); #424349=DIRECTION('',(-1.,0.,0.)); #424350=DIRECTION('center_axis',(0.,0.,1.)); #424351=DIRECTION('ref_axis',(1.,0.,0.)); #424352=DIRECTION('',(0.,1.,0.)); #424353=DIRECTION('',(0.,-1.,0.)); #424354=DIRECTION('center_axis',(1.,0.,0.)); #424355=DIRECTION('ref_axis',(0.,1.,0.)); #424356=DIRECTION('',(0.,1.,0.)); #424357=DIRECTION('center_axis',(-1.,0.,0.)); #424358=DIRECTION('ref_axis',(0.,-1.,0.)); #424359=DIRECTION('',(0.,-1.,0.)); #424360=DIRECTION('center_axis',(0.,0.,1.)); #424361=DIRECTION('ref_axis',(1.,0.,0.)); #424362=DIRECTION('center_axis',(1.,0.,0.)); #424363=DIRECTION('ref_axis',(0.,1.,0.)); #424364=DIRECTION('',(0.,1.,0.)); #424365=DIRECTION('',(0.,0.,1.)); #424366=DIRECTION('',(0.,1.,0.)); #424367=DIRECTION('',(0.,0.,1.)); #424368=DIRECTION('center_axis',(0.,-1.,0.)); #424369=DIRECTION('ref_axis',(1.,0.,0.)); #424370=DIRECTION('',(1.,0.,0.)); #424371=DIRECTION('',(1.,0.,0.)); #424372=DIRECTION('',(0.,0.,1.)); #424373=DIRECTION('center_axis',(-1.,0.,0.)); #424374=DIRECTION('ref_axis',(0.,-1.,0.)); #424375=DIRECTION('',(0.,-1.,0.)); #424376=DIRECTION('',(0.,-1.,0.)); #424377=DIRECTION('',(0.,0.,1.)); #424378=DIRECTION('center_axis',(0.,1.,0.)); #424379=DIRECTION('ref_axis',(-1.,0.,0.)); #424380=DIRECTION('',(-1.,0.,0.)); #424381=DIRECTION('',(-1.,0.,0.)); #424382=DIRECTION('center_axis',(0.,0.,1.)); #424383=DIRECTION('ref_axis',(1.,0.,0.)); #424384=DIRECTION('center_axis',(0.,0.,1.)); #424385=DIRECTION('ref_axis',(1.,0.,0.)); #424386=DIRECTION('center_axis',(1.,0.,0.)); #424387=DIRECTION('ref_axis',(0.,1.,0.)); #424388=DIRECTION('',(0.,1.,0.)); #424389=DIRECTION('',(0.,0.,1.)); #424390=DIRECTION('',(0.,1.,0.)); #424391=DIRECTION('',(0.,0.,1.)); #424392=DIRECTION('center_axis',(0.,-1.,0.)); #424393=DIRECTION('ref_axis',(1.,0.,0.)); #424394=DIRECTION('',(1.,0.,0.)); #424395=DIRECTION('',(1.,0.,0.)); #424396=DIRECTION('',(0.,0.,1.)); #424397=DIRECTION('center_axis',(-1.,0.,0.)); #424398=DIRECTION('ref_axis',(0.,-1.,0.)); #424399=DIRECTION('',(0.,-1.,0.)); #424400=DIRECTION('',(0.,-1.,0.)); #424401=DIRECTION('',(0.,0.,1.)); #424402=DIRECTION('center_axis',(0.,1.,0.)); #424403=DIRECTION('ref_axis',(-1.,0.,0.)); #424404=DIRECTION('',(-1.,0.,0.)); #424405=DIRECTION('',(-1.,0.,0.)); #424406=DIRECTION('center_axis',(0.,0.,1.)); #424407=DIRECTION('ref_axis',(1.,0.,0.)); #424408=DIRECTION('center_axis',(0.,0.,1.)); #424409=DIRECTION('ref_axis',(1.,0.,0.)); #424410=DIRECTION('center_axis',(1.,0.,0.)); #424411=DIRECTION('ref_axis',(0.,1.,0.)); #424412=DIRECTION('',(0.,1.,0.)); #424413=DIRECTION('',(0.,0.,1.)); #424414=DIRECTION('',(0.,1.,0.)); #424415=DIRECTION('',(0.,0.,1.)); #424416=DIRECTION('center_axis',(0.,-1.,0.)); #424417=DIRECTION('ref_axis',(1.,0.,0.)); #424418=DIRECTION('',(1.,0.,0.)); #424419=DIRECTION('',(1.,0.,0.)); #424420=DIRECTION('',(0.,0.,1.)); #424421=DIRECTION('center_axis',(-1.,0.,0.)); #424422=DIRECTION('ref_axis',(0.,-1.,0.)); #424423=DIRECTION('',(0.,-1.,0.)); #424424=DIRECTION('',(0.,-1.,0.)); #424425=DIRECTION('',(0.,0.,1.)); #424426=DIRECTION('center_axis',(0.,1.,0.)); #424427=DIRECTION('ref_axis',(-1.,0.,0.)); #424428=DIRECTION('',(-1.,0.,0.)); #424429=DIRECTION('',(-1.,0.,0.)); #424430=DIRECTION('center_axis',(0.,0.,1.)); #424431=DIRECTION('ref_axis',(1.,0.,0.)); #424432=DIRECTION('center_axis',(0.,0.,1.)); #424433=DIRECTION('ref_axis',(1.,0.,0.)); #424434=DIRECTION('center_axis',(1.,0.,0.)); #424435=DIRECTION('ref_axis',(0.,1.,0.)); #424436=DIRECTION('',(0.,1.,0.)); #424437=DIRECTION('',(0.,0.,1.)); #424438=DIRECTION('',(0.,1.,0.)); #424439=DIRECTION('',(0.,0.,1.)); #424440=DIRECTION('center_axis',(0.,-1.,0.)); #424441=DIRECTION('ref_axis',(1.,0.,0.)); #424442=DIRECTION('',(1.,0.,0.)); #424443=DIRECTION('',(1.,0.,0.)); #424444=DIRECTION('',(0.,0.,1.)); #424445=DIRECTION('center_axis',(-1.,0.,0.)); #424446=DIRECTION('ref_axis',(0.,-1.,0.)); #424447=DIRECTION('',(0.,-1.,0.)); #424448=DIRECTION('',(0.,-1.,0.)); #424449=DIRECTION('',(0.,0.,1.)); #424450=DIRECTION('center_axis',(0.,1.,0.)); #424451=DIRECTION('ref_axis',(-1.,0.,0.)); #424452=DIRECTION('',(-1.,0.,0.)); #424453=DIRECTION('',(-1.,0.,0.)); #424454=DIRECTION('center_axis',(0.,0.,1.)); #424455=DIRECTION('ref_axis',(1.,0.,0.)); #424456=DIRECTION('center_axis',(0.,0.,1.)); #424457=DIRECTION('ref_axis',(1.,0.,0.)); #424458=DIRECTION('center_axis',(1.,0.,0.)); #424459=DIRECTION('ref_axis',(0.,1.,0.)); #424460=DIRECTION('',(0.,1.,0.)); #424461=DIRECTION('',(0.,0.,1.)); #424462=DIRECTION('',(0.,1.,0.)); #424463=DIRECTION('',(0.,0.,1.)); #424464=DIRECTION('center_axis',(0.,-1.,0.)); #424465=DIRECTION('ref_axis',(1.,0.,0.)); #424466=DIRECTION('',(1.,0.,0.)); #424467=DIRECTION('',(1.,0.,0.)); #424468=DIRECTION('',(0.,0.,1.)); #424469=DIRECTION('center_axis',(-1.,0.,0.)); #424470=DIRECTION('ref_axis',(0.,-1.,0.)); #424471=DIRECTION('',(0.,-1.,0.)); #424472=DIRECTION('',(0.,-1.,0.)); #424473=DIRECTION('',(0.,0.,1.)); #424474=DIRECTION('center_axis',(0.,1.,0.)); #424475=DIRECTION('ref_axis',(-1.,0.,0.)); #424476=DIRECTION('',(-1.,0.,0.)); #424477=DIRECTION('',(-1.,0.,0.)); #424478=DIRECTION('center_axis',(0.,0.,1.)); #424479=DIRECTION('ref_axis',(1.,0.,0.)); #424480=DIRECTION('center_axis',(0.,0.,1.)); #424481=DIRECTION('ref_axis',(1.,0.,0.)); #424482=DIRECTION('center_axis',(1.,0.,0.)); #424483=DIRECTION('ref_axis',(0.,1.,0.)); #424484=DIRECTION('',(0.,1.,0.)); #424485=DIRECTION('',(0.,0.,1.)); #424486=DIRECTION('',(0.,1.,0.)); #424487=DIRECTION('',(0.,0.,1.)); #424488=DIRECTION('center_axis',(0.,-1.,0.)); #424489=DIRECTION('ref_axis',(1.,0.,0.)); #424490=DIRECTION('',(1.,0.,0.)); #424491=DIRECTION('',(1.,0.,0.)); #424492=DIRECTION('',(0.,0.,1.)); #424493=DIRECTION('center_axis',(-1.,0.,0.)); #424494=DIRECTION('ref_axis',(0.,-1.,0.)); #424495=DIRECTION('',(0.,-1.,0.)); #424496=DIRECTION('',(0.,-1.,0.)); #424497=DIRECTION('',(0.,0.,1.)); #424498=DIRECTION('center_axis',(0.,1.,0.)); #424499=DIRECTION('ref_axis',(-1.,0.,0.)); #424500=DIRECTION('',(-1.,0.,0.)); #424501=DIRECTION('',(-1.,0.,0.)); #424502=DIRECTION('center_axis',(0.,0.,1.)); #424503=DIRECTION('ref_axis',(1.,0.,0.)); #424504=DIRECTION('center_axis',(0.,0.,1.)); #424505=DIRECTION('ref_axis',(1.,0.,0.)); #424506=DIRECTION('center_axis',(1.,0.,0.)); #424507=DIRECTION('ref_axis',(0.,1.,0.)); #424508=DIRECTION('',(0.,1.,0.)); #424509=DIRECTION('',(0.,0.,1.)); #424510=DIRECTION('',(0.,1.,0.)); #424511=DIRECTION('',(0.,0.,1.)); #424512=DIRECTION('center_axis',(0.,-1.,0.)); #424513=DIRECTION('ref_axis',(1.,0.,0.)); #424514=DIRECTION('',(1.,0.,0.)); #424515=DIRECTION('',(1.,0.,0.)); #424516=DIRECTION('',(0.,0.,1.)); #424517=DIRECTION('center_axis',(-1.,0.,0.)); #424518=DIRECTION('ref_axis',(0.,-1.,0.)); #424519=DIRECTION('',(0.,-1.,0.)); #424520=DIRECTION('',(0.,-1.,0.)); #424521=DIRECTION('',(0.,0.,1.)); #424522=DIRECTION('center_axis',(0.,1.,0.)); #424523=DIRECTION('ref_axis',(-1.,0.,0.)); #424524=DIRECTION('',(-1.,0.,0.)); #424525=DIRECTION('',(-1.,0.,0.)); #424526=DIRECTION('center_axis',(0.,0.,1.)); #424527=DIRECTION('ref_axis',(1.,0.,0.)); #424528=DIRECTION('center_axis',(0.,0.,1.)); #424529=DIRECTION('ref_axis',(1.,0.,0.)); #424530=DIRECTION('center_axis',(1.,0.,0.)); #424531=DIRECTION('ref_axis',(0.,1.,0.)); #424532=DIRECTION('',(0.,1.,0.)); #424533=DIRECTION('',(0.,0.,1.)); #424534=DIRECTION('',(0.,1.,0.)); #424535=DIRECTION('',(0.,0.,1.)); #424536=DIRECTION('center_axis',(0.,-1.,0.)); #424537=DIRECTION('ref_axis',(1.,0.,0.)); #424538=DIRECTION('',(1.,0.,0.)); #424539=DIRECTION('',(1.,0.,0.)); #424540=DIRECTION('',(0.,0.,1.)); #424541=DIRECTION('center_axis',(-1.,0.,0.)); #424542=DIRECTION('ref_axis',(0.,-1.,0.)); #424543=DIRECTION('',(0.,-1.,0.)); #424544=DIRECTION('',(0.,-1.,0.)); #424545=DIRECTION('',(0.,0.,1.)); #424546=DIRECTION('center_axis',(0.,1.,0.)); #424547=DIRECTION('ref_axis',(-1.,0.,0.)); #424548=DIRECTION('',(-1.,0.,0.)); #424549=DIRECTION('',(-1.,0.,0.)); #424550=DIRECTION('center_axis',(0.,0.,1.)); #424551=DIRECTION('ref_axis',(1.,0.,0.)); #424552=DIRECTION('center_axis',(0.,0.,1.)); #424553=DIRECTION('ref_axis',(1.,0.,0.)); #424554=DIRECTION('center_axis',(1.,0.,0.)); #424555=DIRECTION('ref_axis',(0.,1.,0.)); #424556=DIRECTION('',(0.,1.,0.)); #424557=DIRECTION('',(0.,0.,1.)); #424558=DIRECTION('',(0.,1.,0.)); #424559=DIRECTION('',(0.,0.,1.)); #424560=DIRECTION('center_axis',(0.,-1.,0.)); #424561=DIRECTION('ref_axis',(1.,0.,0.)); #424562=DIRECTION('',(1.,0.,0.)); #424563=DIRECTION('',(1.,0.,0.)); #424564=DIRECTION('',(0.,0.,1.)); #424565=DIRECTION('center_axis',(-1.,0.,0.)); #424566=DIRECTION('ref_axis',(0.,-1.,0.)); #424567=DIRECTION('',(0.,-1.,0.)); #424568=DIRECTION('',(0.,-1.,0.)); #424569=DIRECTION('',(0.,0.,1.)); #424570=DIRECTION('center_axis',(0.,1.,0.)); #424571=DIRECTION('ref_axis',(-1.,0.,0.)); #424572=DIRECTION('',(-1.,0.,0.)); #424573=DIRECTION('',(-1.,0.,0.)); #424574=DIRECTION('center_axis',(0.,0.,1.)); #424575=DIRECTION('ref_axis',(1.,0.,0.)); #424576=DIRECTION('center_axis',(0.,0.,1.)); #424577=DIRECTION('ref_axis',(1.,0.,0.)); #424578=DIRECTION('center_axis',(1.,0.,0.)); #424579=DIRECTION('ref_axis',(0.,1.,0.)); #424580=DIRECTION('',(0.,1.,0.)); #424581=DIRECTION('',(0.,0.,1.)); #424582=DIRECTION('',(0.,1.,0.)); #424583=DIRECTION('',(0.,0.,1.)); #424584=DIRECTION('center_axis',(0.,-1.,0.)); #424585=DIRECTION('ref_axis',(1.,0.,0.)); #424586=DIRECTION('',(1.,0.,0.)); #424587=DIRECTION('',(1.,0.,0.)); #424588=DIRECTION('',(0.,0.,1.)); #424589=DIRECTION('center_axis',(-1.,0.,0.)); #424590=DIRECTION('ref_axis',(0.,-1.,0.)); #424591=DIRECTION('',(0.,-1.,0.)); #424592=DIRECTION('',(0.,-1.,0.)); #424593=DIRECTION('',(0.,0.,1.)); #424594=DIRECTION('center_axis',(0.,1.,0.)); #424595=DIRECTION('ref_axis',(-1.,0.,0.)); #424596=DIRECTION('',(-1.,0.,0.)); #424597=DIRECTION('',(-1.,0.,0.)); #424598=DIRECTION('center_axis',(0.,0.,1.)); #424599=DIRECTION('ref_axis',(1.,0.,0.)); #424600=DIRECTION('center_axis',(0.,0.,1.)); #424601=DIRECTION('ref_axis',(1.,0.,0.)); #424602=DIRECTION('center_axis',(1.,0.,0.)); #424603=DIRECTION('ref_axis',(0.,1.,0.)); #424604=DIRECTION('',(0.,1.,0.)); #424605=DIRECTION('',(0.,0.,1.)); #424606=DIRECTION('',(0.,1.,0.)); #424607=DIRECTION('',(0.,0.,1.)); #424608=DIRECTION('center_axis',(0.,-1.,0.)); #424609=DIRECTION('ref_axis',(1.,0.,0.)); #424610=DIRECTION('',(1.,0.,0.)); #424611=DIRECTION('',(1.,0.,0.)); #424612=DIRECTION('',(0.,0.,1.)); #424613=DIRECTION('center_axis',(-1.,0.,0.)); #424614=DIRECTION('ref_axis',(0.,-1.,0.)); #424615=DIRECTION('',(0.,-1.,0.)); #424616=DIRECTION('',(0.,-1.,0.)); #424617=DIRECTION('',(0.,0.,1.)); #424618=DIRECTION('center_axis',(0.,1.,0.)); #424619=DIRECTION('ref_axis',(-1.,0.,0.)); #424620=DIRECTION('',(-1.,0.,0.)); #424621=DIRECTION('',(-1.,0.,0.)); #424622=DIRECTION('center_axis',(0.,0.,1.)); #424623=DIRECTION('ref_axis',(1.,0.,0.)); #424624=DIRECTION('center_axis',(0.,0.,1.)); #424625=DIRECTION('ref_axis',(1.,0.,0.)); #424626=DIRECTION('center_axis',(1.,0.,0.)); #424627=DIRECTION('ref_axis',(0.,1.,0.)); #424628=DIRECTION('',(0.,1.,0.)); #424629=DIRECTION('',(0.,0.,1.)); #424630=DIRECTION('',(0.,1.,0.)); #424631=DIRECTION('',(0.,0.,1.)); #424632=DIRECTION('center_axis',(0.,-1.,0.)); #424633=DIRECTION('ref_axis',(1.,0.,0.)); #424634=DIRECTION('',(1.,0.,0.)); #424635=DIRECTION('',(1.,0.,0.)); #424636=DIRECTION('',(0.,0.,1.)); #424637=DIRECTION('center_axis',(-1.,0.,0.)); #424638=DIRECTION('ref_axis',(0.,-1.,0.)); #424639=DIRECTION('',(0.,-1.,0.)); #424640=DIRECTION('',(0.,-1.,0.)); #424641=DIRECTION('',(0.,0.,1.)); #424642=DIRECTION('center_axis',(0.,1.,0.)); #424643=DIRECTION('ref_axis',(-1.,0.,0.)); #424644=DIRECTION('',(-1.,0.,0.)); #424645=DIRECTION('',(-1.,0.,0.)); #424646=DIRECTION('center_axis',(0.,0.,1.)); #424647=DIRECTION('ref_axis',(1.,0.,0.)); #424648=DIRECTION('center_axis',(0.,0.,1.)); #424649=DIRECTION('ref_axis',(1.,0.,0.)); #424650=DIRECTION('center_axis',(1.,0.,0.)); #424651=DIRECTION('ref_axis',(0.,1.,0.)); #424652=DIRECTION('',(0.,1.,0.)); #424653=DIRECTION('',(0.,0.,1.)); #424654=DIRECTION('',(0.,1.,0.)); #424655=DIRECTION('',(0.,0.,1.)); #424656=DIRECTION('center_axis',(0.,-1.,0.)); #424657=DIRECTION('ref_axis',(1.,0.,0.)); #424658=DIRECTION('',(1.,0.,0.)); #424659=DIRECTION('',(1.,0.,0.)); #424660=DIRECTION('',(0.,0.,1.)); #424661=DIRECTION('center_axis',(-1.,0.,0.)); #424662=DIRECTION('ref_axis',(0.,-1.,0.)); #424663=DIRECTION('',(0.,-1.,0.)); #424664=DIRECTION('',(0.,-1.,0.)); #424665=DIRECTION('',(0.,0.,1.)); #424666=DIRECTION('center_axis',(0.,1.,0.)); #424667=DIRECTION('ref_axis',(-1.,0.,0.)); #424668=DIRECTION('',(-1.,0.,0.)); #424669=DIRECTION('',(-1.,0.,0.)); #424670=DIRECTION('center_axis',(0.,0.,1.)); #424671=DIRECTION('ref_axis',(1.,0.,0.)); #424672=DIRECTION('center_axis',(0.,0.,1.)); #424673=DIRECTION('ref_axis',(1.,0.,0.)); #424674=DIRECTION('center_axis',(1.,0.,0.)); #424675=DIRECTION('ref_axis',(0.,1.,0.)); #424676=DIRECTION('',(0.,1.,0.)); #424677=DIRECTION('',(0.,0.,1.)); #424678=DIRECTION('',(0.,1.,0.)); #424679=DIRECTION('',(0.,0.,1.)); #424680=DIRECTION('center_axis',(0.,-1.,0.)); #424681=DIRECTION('ref_axis',(1.,0.,0.)); #424682=DIRECTION('',(1.,0.,0.)); #424683=DIRECTION('',(1.,0.,0.)); #424684=DIRECTION('',(0.,0.,1.)); #424685=DIRECTION('center_axis',(-1.,0.,0.)); #424686=DIRECTION('ref_axis',(0.,-1.,0.)); #424687=DIRECTION('',(0.,-1.,0.)); #424688=DIRECTION('',(0.,-1.,0.)); #424689=DIRECTION('',(0.,0.,1.)); #424690=DIRECTION('center_axis',(0.,1.,0.)); #424691=DIRECTION('ref_axis',(-1.,0.,0.)); #424692=DIRECTION('',(-1.,0.,0.)); #424693=DIRECTION('',(-1.,0.,0.)); #424694=DIRECTION('center_axis',(0.,0.,1.)); #424695=DIRECTION('ref_axis',(1.,0.,0.)); #424696=DIRECTION('center_axis',(0.,0.,1.)); #424697=DIRECTION('ref_axis',(1.,0.,0.)); #424698=DIRECTION('center_axis',(1.,0.,0.)); #424699=DIRECTION('ref_axis',(0.,1.,0.)); #424700=DIRECTION('',(0.,1.,0.)); #424701=DIRECTION('',(0.,0.,1.)); #424702=DIRECTION('',(0.,1.,0.)); #424703=DIRECTION('',(0.,0.,1.)); #424704=DIRECTION('center_axis',(0.,-1.,0.)); #424705=DIRECTION('ref_axis',(1.,0.,0.)); #424706=DIRECTION('',(1.,0.,0.)); #424707=DIRECTION('',(1.,0.,0.)); #424708=DIRECTION('',(0.,0.,1.)); #424709=DIRECTION('center_axis',(-1.,0.,0.)); #424710=DIRECTION('ref_axis',(0.,-1.,0.)); #424711=DIRECTION('',(0.,-1.,0.)); #424712=DIRECTION('',(0.,-1.,0.)); #424713=DIRECTION('',(0.,0.,1.)); #424714=DIRECTION('center_axis',(0.,1.,0.)); #424715=DIRECTION('ref_axis',(-1.,0.,0.)); #424716=DIRECTION('',(-1.,0.,0.)); #424717=DIRECTION('',(-1.,0.,0.)); #424718=DIRECTION('center_axis',(0.,0.,1.)); #424719=DIRECTION('ref_axis',(1.,0.,0.)); #424720=DIRECTION('center_axis',(0.,0.,1.)); #424721=DIRECTION('ref_axis',(1.,0.,0.)); #424722=DIRECTION('center_axis',(1.,0.,0.)); #424723=DIRECTION('ref_axis',(0.,1.,0.)); #424724=DIRECTION('',(0.,1.,0.)); #424725=DIRECTION('',(0.,0.,1.)); #424726=DIRECTION('',(0.,1.,0.)); #424727=DIRECTION('',(0.,0.,1.)); #424728=DIRECTION('center_axis',(0.,-1.,0.)); #424729=DIRECTION('ref_axis',(1.,0.,0.)); #424730=DIRECTION('',(1.,0.,0.)); #424731=DIRECTION('',(1.,0.,0.)); #424732=DIRECTION('',(0.,0.,1.)); #424733=DIRECTION('center_axis',(-1.,0.,0.)); #424734=DIRECTION('ref_axis',(0.,-1.,0.)); #424735=DIRECTION('',(0.,-1.,0.)); #424736=DIRECTION('',(0.,-1.,0.)); #424737=DIRECTION('',(0.,0.,1.)); #424738=DIRECTION('center_axis',(0.,1.,0.)); #424739=DIRECTION('ref_axis',(-1.,0.,0.)); #424740=DIRECTION('',(-1.,0.,0.)); #424741=DIRECTION('',(-1.,0.,0.)); #424742=DIRECTION('center_axis',(0.,0.,1.)); #424743=DIRECTION('ref_axis',(1.,0.,0.)); #424744=DIRECTION('center_axis',(0.,0.,1.)); #424745=DIRECTION('ref_axis',(1.,0.,0.)); #424746=DIRECTION('center_axis',(1.,0.,0.)); #424747=DIRECTION('ref_axis',(0.,1.,0.)); #424748=DIRECTION('',(0.,1.,0.)); #424749=DIRECTION('',(0.,0.,1.)); #424750=DIRECTION('',(0.,1.,0.)); #424751=DIRECTION('',(0.,0.,1.)); #424752=DIRECTION('center_axis',(0.,-1.,0.)); #424753=DIRECTION('ref_axis',(1.,0.,0.)); #424754=DIRECTION('',(1.,0.,0.)); #424755=DIRECTION('',(1.,0.,0.)); #424756=DIRECTION('',(0.,0.,1.)); #424757=DIRECTION('center_axis',(-1.,0.,0.)); #424758=DIRECTION('ref_axis',(0.,-1.,0.)); #424759=DIRECTION('',(0.,-1.,0.)); #424760=DIRECTION('',(0.,-1.,0.)); #424761=DIRECTION('',(0.,0.,1.)); #424762=DIRECTION('center_axis',(0.,1.,0.)); #424763=DIRECTION('ref_axis',(-1.,0.,0.)); #424764=DIRECTION('',(-1.,0.,0.)); #424765=DIRECTION('',(-1.,0.,0.)); #424766=DIRECTION('center_axis',(0.,0.,1.)); #424767=DIRECTION('ref_axis',(1.,0.,0.)); #424768=DIRECTION('center_axis',(0.,0.,1.)); #424769=DIRECTION('ref_axis',(1.,0.,0.)); #424770=DIRECTION('center_axis',(1.,0.,0.)); #424771=DIRECTION('ref_axis',(0.,1.,0.)); #424772=DIRECTION('',(0.,1.,0.)); #424773=DIRECTION('',(0.,0.,1.)); #424774=DIRECTION('',(0.,1.,0.)); #424775=DIRECTION('',(0.,0.,1.)); #424776=DIRECTION('center_axis',(0.,-1.,0.)); #424777=DIRECTION('ref_axis',(1.,0.,0.)); #424778=DIRECTION('',(1.,0.,0.)); #424779=DIRECTION('',(1.,0.,0.)); #424780=DIRECTION('',(0.,0.,1.)); #424781=DIRECTION('center_axis',(-1.,0.,0.)); #424782=DIRECTION('ref_axis',(0.,-1.,0.)); #424783=DIRECTION('',(0.,-1.,0.)); #424784=DIRECTION('',(0.,-1.,0.)); #424785=DIRECTION('',(0.,0.,1.)); #424786=DIRECTION('center_axis',(0.,1.,0.)); #424787=DIRECTION('ref_axis',(-1.,0.,0.)); #424788=DIRECTION('',(-1.,0.,0.)); #424789=DIRECTION('',(-1.,0.,0.)); #424790=DIRECTION('center_axis',(0.,0.,1.)); #424791=DIRECTION('ref_axis',(1.,0.,0.)); #424792=DIRECTION('center_axis',(0.,0.,1.)); #424793=DIRECTION('ref_axis',(1.,0.,0.)); #424794=DIRECTION('center_axis',(1.,0.,0.)); #424795=DIRECTION('ref_axis',(0.,1.,0.)); #424796=DIRECTION('',(0.,1.,0.)); #424797=DIRECTION('',(0.,0.,1.)); #424798=DIRECTION('',(0.,1.,0.)); #424799=DIRECTION('',(0.,0.,1.)); #424800=DIRECTION('center_axis',(0.,-1.,0.)); #424801=DIRECTION('ref_axis',(1.,0.,0.)); #424802=DIRECTION('',(1.,0.,0.)); #424803=DIRECTION('',(1.,0.,0.)); #424804=DIRECTION('',(0.,0.,1.)); #424805=DIRECTION('center_axis',(-1.,0.,0.)); #424806=DIRECTION('ref_axis',(0.,-1.,0.)); #424807=DIRECTION('',(0.,-1.,0.)); #424808=DIRECTION('',(0.,-1.,0.)); #424809=DIRECTION('',(0.,0.,1.)); #424810=DIRECTION('center_axis',(0.,1.,0.)); #424811=DIRECTION('ref_axis',(-1.,0.,0.)); #424812=DIRECTION('',(-1.,0.,0.)); #424813=DIRECTION('',(-1.,0.,0.)); #424814=DIRECTION('center_axis',(0.,0.,1.)); #424815=DIRECTION('ref_axis',(1.,0.,0.)); #424816=DIRECTION('center_axis',(0.,0.,1.)); #424817=DIRECTION('ref_axis',(1.,0.,0.)); #424818=DIRECTION('center_axis',(1.,0.,0.)); #424819=DIRECTION('ref_axis',(0.,1.,0.)); #424820=DIRECTION('',(0.,1.,0.)); #424821=DIRECTION('',(0.,0.,1.)); #424822=DIRECTION('',(0.,1.,0.)); #424823=DIRECTION('',(0.,0.,1.)); #424824=DIRECTION('center_axis',(0.,-1.,0.)); #424825=DIRECTION('ref_axis',(1.,0.,0.)); #424826=DIRECTION('',(1.,0.,0.)); #424827=DIRECTION('',(1.,0.,0.)); #424828=DIRECTION('',(0.,0.,1.)); #424829=DIRECTION('center_axis',(-1.,0.,0.)); #424830=DIRECTION('ref_axis',(0.,-1.,0.)); #424831=DIRECTION('',(0.,-1.,0.)); #424832=DIRECTION('',(0.,-1.,0.)); #424833=DIRECTION('',(0.,0.,1.)); #424834=DIRECTION('center_axis',(0.,1.,0.)); #424835=DIRECTION('ref_axis',(-1.,0.,0.)); #424836=DIRECTION('',(-1.,0.,0.)); #424837=DIRECTION('',(-1.,0.,0.)); #424838=DIRECTION('center_axis',(0.,0.,1.)); #424839=DIRECTION('ref_axis',(1.,0.,0.)); #424840=DIRECTION('center_axis',(0.,0.,1.)); #424841=DIRECTION('ref_axis',(1.,0.,0.)); #424842=DIRECTION('center_axis',(1.,0.,0.)); #424843=DIRECTION('ref_axis',(0.,1.,0.)); #424844=DIRECTION('',(0.,1.,0.)); #424845=DIRECTION('',(0.,0.,1.)); #424846=DIRECTION('',(0.,1.,0.)); #424847=DIRECTION('',(0.,0.,1.)); #424848=DIRECTION('center_axis',(0.,-1.,0.)); #424849=DIRECTION('ref_axis',(1.,0.,0.)); #424850=DIRECTION('',(1.,0.,0.)); #424851=DIRECTION('',(1.,0.,0.)); #424852=DIRECTION('',(0.,0.,1.)); #424853=DIRECTION('center_axis',(-1.,0.,0.)); #424854=DIRECTION('ref_axis',(0.,-1.,0.)); #424855=DIRECTION('',(0.,-1.,0.)); #424856=DIRECTION('',(0.,-1.,0.)); #424857=DIRECTION('',(0.,0.,1.)); #424858=DIRECTION('center_axis',(0.,1.,0.)); #424859=DIRECTION('ref_axis',(-1.,0.,0.)); #424860=DIRECTION('',(-1.,0.,0.)); #424861=DIRECTION('',(-1.,0.,0.)); #424862=DIRECTION('center_axis',(0.,0.,1.)); #424863=DIRECTION('ref_axis',(1.,0.,0.)); #424864=DIRECTION('center_axis',(0.,0.,1.)); #424865=DIRECTION('ref_axis',(1.,0.,0.)); #424866=DIRECTION('axis',(0.,0.,1.)); #424867=DIRECTION('refdir',(1.,0.,0.)); #424868=DIRECTION('center_axis',(0.,0.,1.)); #424869=DIRECTION('ref_axis',(1.,0.,0.)); #424870=DIRECTION('center_axis',(0.,0.,1.)); #424871=DIRECTION('ref_axis',(1.,0.,0.)); #424872=DIRECTION('',(0.,0.,1.)); #424873=DIRECTION('center_axis',(0.,0.,-1.)); #424874=DIRECTION('ref_axis',(1.,0.,0.)); #424875=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #424876=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #424877=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #424878=DIRECTION('',(0.,0.,1.)); #424879=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #424880=DIRECTION('',(0.,0.,1.)); #424881=DIRECTION('center_axis',(0.,0.,1.)); #424882=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #424883=DIRECTION('center_axis',(0.,0.,1.)); #424884=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #424885=DIRECTION('center_axis',(0.,0.,1.)); #424886=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #424887=DIRECTION('',(0.,0.,1.)); #424888=DIRECTION('center_axis',(0.,0.,1.)); #424889=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #424890=DIRECTION('center_axis',(0.,0.,1.)); #424891=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #424892=DIRECTION('center_axis',(0.,0.,1.)); #424893=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #424894=DIRECTION('center_axis',(0.,0.,1.)); #424895=DIRECTION('ref_axis',(1.,0.,0.)); #424896=DIRECTION('center_axis',(0.,0.,1.)); #424897=DIRECTION('ref_axis',(1.,0.,0.)); #424898=DIRECTION('center_axis',(1.,0.,0.)); #424899=DIRECTION('ref_axis',(0.,1.,0.)); #424900=DIRECTION('',(0.,1.,0.)); #424901=DIRECTION('',(0.,0.,1.)); #424902=DIRECTION('',(0.,1.,0.)); #424903=DIRECTION('',(0.,0.,1.)); #424904=DIRECTION('center_axis',(0.,-1.,0.)); #424905=DIRECTION('ref_axis',(1.,0.,0.)); #424906=DIRECTION('',(1.,0.,0.)); #424907=DIRECTION('',(1.,0.,0.)); #424908=DIRECTION('',(0.,0.,1.)); #424909=DIRECTION('center_axis',(-1.,0.,0.)); #424910=DIRECTION('ref_axis',(0.,-1.,0.)); #424911=DIRECTION('',(0.,-1.,0.)); #424912=DIRECTION('',(0.,-1.,0.)); #424913=DIRECTION('',(0.,0.,1.)); #424914=DIRECTION('center_axis',(0.,1.,0.)); #424915=DIRECTION('ref_axis',(-1.,0.,0.)); #424916=DIRECTION('',(-1.,0.,0.)); #424917=DIRECTION('',(-1.,0.,0.)); #424918=DIRECTION('center_axis',(0.,0.,1.)); #424919=DIRECTION('ref_axis',(1.,0.,0.)); #424920=DIRECTION('center_axis',(0.,0.,1.)); #424921=DIRECTION('ref_axis',(1.,0.,0.)); #424922=DIRECTION('center_axis',(1.,0.,0.)); #424923=DIRECTION('ref_axis',(0.,1.,0.)); #424924=DIRECTION('',(0.,1.,0.)); #424925=DIRECTION('',(0.,0.,1.)); #424926=DIRECTION('',(0.,1.,0.)); #424927=DIRECTION('',(0.,0.,1.)); #424928=DIRECTION('center_axis',(0.,-1.,0.)); #424929=DIRECTION('ref_axis',(1.,0.,0.)); #424930=DIRECTION('',(1.,0.,0.)); #424931=DIRECTION('',(1.,0.,0.)); #424932=DIRECTION('',(0.,0.,1.)); #424933=DIRECTION('center_axis',(-1.,0.,0.)); #424934=DIRECTION('ref_axis',(0.,-1.,0.)); #424935=DIRECTION('',(0.,-1.,0.)); #424936=DIRECTION('',(0.,-1.,0.)); #424937=DIRECTION('',(0.,0.,1.)); #424938=DIRECTION('center_axis',(0.,1.,0.)); #424939=DIRECTION('ref_axis',(-1.,0.,0.)); #424940=DIRECTION('',(-1.,0.,0.)); #424941=DIRECTION('',(-1.,0.,0.)); #424942=DIRECTION('center_axis',(0.,0.,1.)); #424943=DIRECTION('ref_axis',(1.,0.,0.)); #424944=DIRECTION('center_axis',(0.,0.,1.)); #424945=DIRECTION('ref_axis',(1.,0.,0.)); #424946=DIRECTION('center_axis',(0.,0.,1.)); #424947=DIRECTION('ref_axis',(1.,0.,0.)); #424948=DIRECTION('center_axis',(0.,0.,1.)); #424949=DIRECTION('ref_axis',(1.,0.,0.)); #424950=DIRECTION('',(0.,0.,1.)); #424951=DIRECTION('center_axis',(0.,0.,-1.)); #424952=DIRECTION('ref_axis',(1.,0.,0.)); #424953=DIRECTION('center_axis',(0.,0.,1.)); #424954=DIRECTION('ref_axis',(1.,0.,0.)); #424955=DIRECTION('center_axis',(0.,0.,1.)); #424956=DIRECTION('ref_axis',(1.,0.,0.)); #424957=DIRECTION('',(0.,0.,1.)); #424958=DIRECTION('center_axis',(0.,0.,-1.)); #424959=DIRECTION('ref_axis',(1.,0.,0.)); #424960=DIRECTION('center_axis',(1.,0.,0.)); #424961=DIRECTION('ref_axis',(0.,1.,0.)); #424962=DIRECTION('',(0.,1.,0.)); #424963=DIRECTION('',(0.,0.,1.)); #424964=DIRECTION('',(0.,1.,0.)); #424965=DIRECTION('',(0.,0.,1.)); #424966=DIRECTION('center_axis',(0.,-1.,0.)); #424967=DIRECTION('ref_axis',(1.,0.,0.)); #424968=DIRECTION('',(1.,0.,0.)); #424969=DIRECTION('',(1.,0.,0.)); #424970=DIRECTION('',(0.,0.,1.)); #424971=DIRECTION('center_axis',(-1.,0.,0.)); #424972=DIRECTION('ref_axis',(0.,-1.,0.)); #424973=DIRECTION('',(0.,-1.,0.)); #424974=DIRECTION('',(0.,-1.,0.)); #424975=DIRECTION('',(0.,0.,1.)); #424976=DIRECTION('center_axis',(0.,1.,0.)); #424977=DIRECTION('ref_axis',(-1.,0.,0.)); #424978=DIRECTION('',(-1.,0.,0.)); #424979=DIRECTION('',(-1.,0.,0.)); #424980=DIRECTION('center_axis',(0.,0.,1.)); #424981=DIRECTION('ref_axis',(1.,0.,0.)); #424982=DIRECTION('center_axis',(0.,0.,1.)); #424983=DIRECTION('ref_axis',(1.,0.,0.)); #424984=DIRECTION('center_axis',(1.,0.,0.)); #424985=DIRECTION('ref_axis',(0.,1.,0.)); #424986=DIRECTION('',(0.,1.,0.)); #424987=DIRECTION('',(0.,0.,1.)); #424988=DIRECTION('',(0.,1.,0.)); #424989=DIRECTION('',(0.,0.,1.)); #424990=DIRECTION('center_axis',(0.,-1.,0.)); #424991=DIRECTION('ref_axis',(1.,0.,0.)); #424992=DIRECTION('',(1.,0.,0.)); #424993=DIRECTION('',(1.,0.,0.)); #424994=DIRECTION('',(0.,0.,1.)); #424995=DIRECTION('center_axis',(-1.,0.,0.)); #424996=DIRECTION('ref_axis',(0.,-1.,0.)); #424997=DIRECTION('',(0.,-1.,0.)); #424998=DIRECTION('',(0.,-1.,0.)); #424999=DIRECTION('',(0.,0.,1.)); #425000=DIRECTION('center_axis',(0.,1.,0.)); #425001=DIRECTION('ref_axis',(-1.,0.,0.)); #425002=DIRECTION('',(-1.,0.,0.)); #425003=DIRECTION('',(-1.,0.,0.)); #425004=DIRECTION('center_axis',(0.,0.,1.)); #425005=DIRECTION('ref_axis',(1.,0.,0.)); #425006=DIRECTION('center_axis',(0.,0.,1.)); #425007=DIRECTION('ref_axis',(1.,0.,0.)); #425008=DIRECTION('center_axis',(0.,0.,1.)); #425009=DIRECTION('ref_axis',(1.,0.,0.)); #425010=DIRECTION('center_axis',(0.,0.,1.)); #425011=DIRECTION('ref_axis',(1.,0.,0.)); #425012=DIRECTION('',(0.,0.,1.)); #425013=DIRECTION('center_axis',(0.,0.,-1.)); #425014=DIRECTION('ref_axis',(1.,0.,0.)); #425015=DIRECTION('center_axis',(0.,0.,1.)); #425016=DIRECTION('ref_axis',(1.,0.,0.)); #425017=DIRECTION('center_axis',(0.,0.,1.)); #425018=DIRECTION('ref_axis',(1.,0.,0.)); #425019=DIRECTION('',(0.,0.,1.)); #425020=DIRECTION('center_axis',(0.,0.,-1.)); #425021=DIRECTION('ref_axis',(1.,0.,0.)); #425022=DIRECTION('center_axis',(1.,0.,0.)); #425023=DIRECTION('ref_axis',(0.,1.,0.)); #425024=DIRECTION('',(0.,1.,0.)); #425025=DIRECTION('',(0.,0.,1.)); #425026=DIRECTION('',(0.,1.,0.)); #425027=DIRECTION('',(0.,0.,1.)); #425028=DIRECTION('center_axis',(0.,-1.,0.)); #425029=DIRECTION('ref_axis',(1.,0.,0.)); #425030=DIRECTION('',(1.,0.,0.)); #425031=DIRECTION('',(1.,0.,0.)); #425032=DIRECTION('',(0.,0.,1.)); #425033=DIRECTION('center_axis',(-1.,0.,0.)); #425034=DIRECTION('ref_axis',(0.,-1.,0.)); #425035=DIRECTION('',(0.,-1.,0.)); #425036=DIRECTION('',(0.,-1.,0.)); #425037=DIRECTION('',(0.,0.,1.)); #425038=DIRECTION('center_axis',(0.,1.,0.)); #425039=DIRECTION('ref_axis',(-1.,0.,0.)); #425040=DIRECTION('',(-1.,0.,0.)); #425041=DIRECTION('',(-1.,0.,0.)); #425042=DIRECTION('center_axis',(0.,0.,1.)); #425043=DIRECTION('ref_axis',(1.,0.,0.)); #425044=DIRECTION('center_axis',(0.,0.,1.)); #425045=DIRECTION('ref_axis',(1.,0.,0.)); #425046=DIRECTION('center_axis',(1.,0.,0.)); #425047=DIRECTION('ref_axis',(0.,1.,0.)); #425048=DIRECTION('',(0.,1.,0.)); #425049=DIRECTION('',(0.,0.,1.)); #425050=DIRECTION('',(0.,1.,0.)); #425051=DIRECTION('',(0.,0.,1.)); #425052=DIRECTION('center_axis',(0.,-1.,0.)); #425053=DIRECTION('ref_axis',(1.,0.,0.)); #425054=DIRECTION('',(1.,0.,0.)); #425055=DIRECTION('',(1.,0.,0.)); #425056=DIRECTION('',(0.,0.,1.)); #425057=DIRECTION('center_axis',(-1.,0.,0.)); #425058=DIRECTION('ref_axis',(0.,-1.,0.)); #425059=DIRECTION('',(0.,-1.,0.)); #425060=DIRECTION('',(0.,-1.,0.)); #425061=DIRECTION('',(0.,0.,1.)); #425062=DIRECTION('center_axis',(0.,1.,0.)); #425063=DIRECTION('ref_axis',(-1.,0.,0.)); #425064=DIRECTION('',(-1.,0.,0.)); #425065=DIRECTION('',(-1.,0.,0.)); #425066=DIRECTION('center_axis',(0.,0.,1.)); #425067=DIRECTION('ref_axis',(1.,0.,0.)); #425068=DIRECTION('center_axis',(0.,0.,1.)); #425069=DIRECTION('ref_axis',(1.,0.,0.)); #425070=DIRECTION('center_axis',(0.,0.,1.)); #425071=DIRECTION('ref_axis',(1.,0.,0.)); #425072=DIRECTION('center_axis',(0.,0.,1.)); #425073=DIRECTION('ref_axis',(1.,0.,0.)); #425074=DIRECTION('',(0.,0.,1.)); #425075=DIRECTION('center_axis',(0.,0.,-1.)); #425076=DIRECTION('ref_axis',(1.,0.,0.)); #425077=DIRECTION('center_axis',(0.,0.,1.)); #425078=DIRECTION('ref_axis',(1.,0.,0.)); #425079=DIRECTION('center_axis',(0.,0.,1.)); #425080=DIRECTION('ref_axis',(1.,0.,0.)); #425081=DIRECTION('',(0.,0.,1.)); #425082=DIRECTION('center_axis',(0.,0.,-1.)); #425083=DIRECTION('ref_axis',(1.,0.,0.)); #425084=DIRECTION('center_axis',(1.,0.,0.)); #425085=DIRECTION('ref_axis',(0.,1.,0.)); #425086=DIRECTION('',(0.,1.,0.)); #425087=DIRECTION('',(0.,0.,1.)); #425088=DIRECTION('',(0.,1.,0.)); #425089=DIRECTION('',(0.,0.,1.)); #425090=DIRECTION('center_axis',(0.,-1.,0.)); #425091=DIRECTION('ref_axis',(1.,0.,0.)); #425092=DIRECTION('',(1.,0.,0.)); #425093=DIRECTION('',(1.,0.,0.)); #425094=DIRECTION('',(0.,0.,1.)); #425095=DIRECTION('center_axis',(-1.,0.,0.)); #425096=DIRECTION('ref_axis',(0.,-1.,0.)); #425097=DIRECTION('',(0.,-1.,0.)); #425098=DIRECTION('',(0.,-1.,0.)); #425099=DIRECTION('',(0.,0.,1.)); #425100=DIRECTION('center_axis',(0.,1.,0.)); #425101=DIRECTION('ref_axis',(-1.,0.,0.)); #425102=DIRECTION('',(-1.,0.,0.)); #425103=DIRECTION('',(-1.,0.,0.)); #425104=DIRECTION('center_axis',(0.,0.,1.)); #425105=DIRECTION('ref_axis',(1.,0.,0.)); #425106=DIRECTION('center_axis',(0.,0.,1.)); #425107=DIRECTION('ref_axis',(1.,0.,0.)); #425108=DIRECTION('center_axis',(1.,0.,0.)); #425109=DIRECTION('ref_axis',(0.,1.,0.)); #425110=DIRECTION('',(0.,1.,0.)); #425111=DIRECTION('',(0.,0.,1.)); #425112=DIRECTION('',(0.,1.,0.)); #425113=DIRECTION('',(0.,0.,1.)); #425114=DIRECTION('center_axis',(0.,-1.,0.)); #425115=DIRECTION('ref_axis',(1.,0.,0.)); #425116=DIRECTION('',(1.,0.,0.)); #425117=DIRECTION('',(1.,0.,0.)); #425118=DIRECTION('',(0.,0.,1.)); #425119=DIRECTION('center_axis',(-1.,0.,0.)); #425120=DIRECTION('ref_axis',(0.,-1.,0.)); #425121=DIRECTION('',(0.,-1.,0.)); #425122=DIRECTION('',(0.,-1.,0.)); #425123=DIRECTION('',(0.,0.,1.)); #425124=DIRECTION('center_axis',(0.,1.,0.)); #425125=DIRECTION('ref_axis',(-1.,0.,0.)); #425126=DIRECTION('',(-1.,0.,0.)); #425127=DIRECTION('',(-1.,0.,0.)); #425128=DIRECTION('center_axis',(0.,0.,1.)); #425129=DIRECTION('ref_axis',(1.,0.,0.)); #425130=DIRECTION('center_axis',(0.,0.,1.)); #425131=DIRECTION('ref_axis',(1.,0.,0.)); #425132=DIRECTION('center_axis',(0.,0.,1.)); #425133=DIRECTION('ref_axis',(1.,0.,0.)); #425134=DIRECTION('center_axis',(0.,0.,1.)); #425135=DIRECTION('ref_axis',(1.,0.,0.)); #425136=DIRECTION('',(0.,0.,1.)); #425137=DIRECTION('center_axis',(0.,0.,-1.)); #425138=DIRECTION('ref_axis',(1.,0.,0.)); #425139=DIRECTION('center_axis',(0.,0.,1.)); #425140=DIRECTION('ref_axis',(1.,0.,0.)); #425141=DIRECTION('center_axis',(0.,0.,1.)); #425142=DIRECTION('ref_axis',(1.,0.,0.)); #425143=DIRECTION('',(0.,0.,1.)); #425144=DIRECTION('center_axis',(0.,0.,-1.)); #425145=DIRECTION('ref_axis',(1.,0.,0.)); #425146=DIRECTION('center_axis',(1.,0.,0.)); #425147=DIRECTION('ref_axis',(0.,1.,0.)); #425148=DIRECTION('',(0.,1.,0.)); #425149=DIRECTION('',(0.,0.,1.)); #425150=DIRECTION('',(0.,1.,0.)); #425151=DIRECTION('',(0.,0.,1.)); #425152=DIRECTION('center_axis',(0.,-1.,0.)); #425153=DIRECTION('ref_axis',(1.,0.,0.)); #425154=DIRECTION('',(1.,0.,0.)); #425155=DIRECTION('',(1.,0.,0.)); #425156=DIRECTION('',(0.,0.,1.)); #425157=DIRECTION('center_axis',(-1.,0.,0.)); #425158=DIRECTION('ref_axis',(0.,-1.,0.)); #425159=DIRECTION('',(0.,-1.,0.)); #425160=DIRECTION('',(0.,-1.,0.)); #425161=DIRECTION('',(0.,0.,1.)); #425162=DIRECTION('center_axis',(0.,1.,0.)); #425163=DIRECTION('ref_axis',(-1.,0.,0.)); #425164=DIRECTION('',(-1.,0.,0.)); #425165=DIRECTION('',(-1.,0.,0.)); #425166=DIRECTION('center_axis',(0.,0.,1.)); #425167=DIRECTION('ref_axis',(1.,0.,0.)); #425168=DIRECTION('center_axis',(0.,0.,1.)); #425169=DIRECTION('ref_axis',(1.,0.,0.)); #425170=DIRECTION('center_axis',(1.,0.,0.)); #425171=DIRECTION('ref_axis',(0.,1.,0.)); #425172=DIRECTION('',(0.,1.,0.)); #425173=DIRECTION('',(0.,0.,1.)); #425174=DIRECTION('',(0.,1.,0.)); #425175=DIRECTION('',(0.,0.,1.)); #425176=DIRECTION('center_axis',(0.,-1.,0.)); #425177=DIRECTION('ref_axis',(1.,0.,0.)); #425178=DIRECTION('',(1.,0.,0.)); #425179=DIRECTION('',(1.,0.,0.)); #425180=DIRECTION('',(0.,0.,1.)); #425181=DIRECTION('center_axis',(-1.,0.,0.)); #425182=DIRECTION('ref_axis',(0.,-1.,0.)); #425183=DIRECTION('',(0.,-1.,0.)); #425184=DIRECTION('',(0.,-1.,0.)); #425185=DIRECTION('',(0.,0.,1.)); #425186=DIRECTION('center_axis',(0.,1.,0.)); #425187=DIRECTION('ref_axis',(-1.,0.,0.)); #425188=DIRECTION('',(-1.,0.,0.)); #425189=DIRECTION('',(-1.,0.,0.)); #425190=DIRECTION('center_axis',(0.,0.,1.)); #425191=DIRECTION('ref_axis',(1.,0.,0.)); #425192=DIRECTION('center_axis',(0.,0.,1.)); #425193=DIRECTION('ref_axis',(1.,0.,0.)); #425194=DIRECTION('center_axis',(1.,0.,0.)); #425195=DIRECTION('ref_axis',(0.,1.,0.)); #425196=DIRECTION('',(0.,1.,0.)); #425197=DIRECTION('',(0.,0.,1.)); #425198=DIRECTION('',(0.,1.,0.)); #425199=DIRECTION('',(0.,0.,1.)); #425200=DIRECTION('center_axis',(0.,-1.,0.)); #425201=DIRECTION('ref_axis',(1.,0.,0.)); #425202=DIRECTION('',(1.,0.,0.)); #425203=DIRECTION('',(1.,0.,0.)); #425204=DIRECTION('',(0.,0.,1.)); #425205=DIRECTION('center_axis',(-1.,0.,0.)); #425206=DIRECTION('ref_axis',(0.,-1.,0.)); #425207=DIRECTION('',(0.,-1.,0.)); #425208=DIRECTION('',(0.,-1.,0.)); #425209=DIRECTION('',(0.,0.,1.)); #425210=DIRECTION('center_axis',(0.,1.,0.)); #425211=DIRECTION('ref_axis',(-1.,0.,0.)); #425212=DIRECTION('',(-1.,0.,0.)); #425213=DIRECTION('',(-1.,0.,0.)); #425214=DIRECTION('',(0.,0.,1.)); #425215=DIRECTION('center_axis',(-1.,0.,0.)); #425216=DIRECTION('ref_axis',(0.,-1.,0.)); #425217=DIRECTION('',(0.,-1.,0.)); #425218=DIRECTION('',(0.,-1.,0.)); #425219=DIRECTION('',(0.,0.,1.)); #425220=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425221=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425222=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425223=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425224=DIRECTION('',(0.,0.,1.)); #425225=DIRECTION('center_axis',(0.,0.,1.)); #425226=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #425227=DIRECTION('center_axis',(0.,0.,1.)); #425228=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #425229=DIRECTION('center_axis',(0.,0.,1.)); #425230=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #425231=DIRECTION('',(0.,0.,1.)); #425232=DIRECTION('center_axis',(-1.,0.,0.)); #425233=DIRECTION('ref_axis',(0.,-1.,0.)); #425234=DIRECTION('',(0.,-1.,0.)); #425235=DIRECTION('',(0.,-1.,0.)); #425236=DIRECTION('',(0.,0.,1.)); #425237=DIRECTION('center_axis',(0.,-1.,0.)); #425238=DIRECTION('ref_axis',(1.,0.,0.)); #425239=DIRECTION('',(1.,0.,0.)); #425240=DIRECTION('',(1.,0.,0.)); #425241=DIRECTION('',(0.,0.,1.)); #425242=DIRECTION('center_axis',(-1.,0.,0.)); #425243=DIRECTION('ref_axis',(0.,-1.,0.)); #425244=DIRECTION('',(0.,-1.,0.)); #425245=DIRECTION('',(0.,-1.,0.)); #425246=DIRECTION('',(0.,0.,1.)); #425247=DIRECTION('center_axis',(0.,1.,0.)); #425248=DIRECTION('ref_axis',(-1.,0.,0.)); #425249=DIRECTION('',(-1.,0.,0.)); #425250=DIRECTION('',(-1.,0.,0.)); #425251=DIRECTION('',(0.,0.,1.)); #425252=DIRECTION('center_axis',(-0.675122035826655,0.737706063917921,0.)); #425253=DIRECTION('ref_axis',(-0.737706063917921,-0.675122035826655,0.)); #425254=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #425255=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #425256=DIRECTION('',(0.,0.,1.)); #425257=DIRECTION('center_axis',(0.,0.,1.)); #425258=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #425259=DIRECTION('center_axis',(0.,0.,1.)); #425260=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #425261=DIRECTION('center_axis',(0.,0.,1.)); #425262=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #425263=DIRECTION('',(0.,0.,1.)); #425264=DIRECTION('center_axis',(0.,1.,0.)); #425265=DIRECTION('ref_axis',(-1.,0.,0.)); #425266=DIRECTION('',(-1.,0.,0.)); #425267=DIRECTION('',(-1.,0.,0.)); #425268=DIRECTION('',(0.,0.,1.)); #425269=DIRECTION('center_axis',(-0.690650507348324,0.723188686788934,0.)); #425270=DIRECTION('ref_axis',(-0.723188686788934,-0.690650507348324,0.)); #425271=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #425272=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #425273=DIRECTION('',(0.,0.,1.)); #425274=DIRECTION('center_axis',(0.,0.,1.)); #425275=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #425276=DIRECTION('center_axis',(0.,0.,1.)); #425277=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #425278=DIRECTION('center_axis',(0.,0.,1.)); #425279=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #425280=DIRECTION('',(0.,0.,1.)); #425281=DIRECTION('center_axis',(0.,1.,0.)); #425282=DIRECTION('ref_axis',(-1.,0.,0.)); #425283=DIRECTION('',(-1.,0.,0.)); #425284=DIRECTION('',(-1.,0.,0.)); #425285=DIRECTION('',(0.,0.,1.)); #425286=DIRECTION('center_axis',(-1.,0.,0.)); #425287=DIRECTION('ref_axis',(0.,-1.,0.)); #425288=DIRECTION('',(0.,-1.,0.)); #425289=DIRECTION('',(0.,-1.,0.)); #425290=DIRECTION('',(0.,0.,1.)); #425291=DIRECTION('center_axis',(0.,1.,0.)); #425292=DIRECTION('ref_axis',(-1.,0.,0.)); #425293=DIRECTION('',(-1.,0.,0.)); #425294=DIRECTION('',(-1.,0.,0.)); #425295=DIRECTION('',(0.,0.,1.)); #425296=DIRECTION('center_axis',(1.,0.,0.)); #425297=DIRECTION('ref_axis',(0.,1.,0.)); #425298=DIRECTION('',(0.,1.,0.)); #425299=DIRECTION('',(0.,1.,0.)); #425300=DIRECTION('',(0.,0.,1.)); #425301=DIRECTION('center_axis',(0.719516601527449,0.694475240830363,0.)); #425302=DIRECTION('ref_axis',(-0.694475240830363,0.719516601527449,0.)); #425303=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #425304=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #425305=DIRECTION('',(0.,0.,1.)); #425306=DIRECTION('center_axis',(0.,1.,0.)); #425307=DIRECTION('ref_axis',(-1.,0.,0.)); #425308=DIRECTION('',(-1.,0.,0.)); #425309=DIRECTION('',(-1.,0.,0.)); #425310=DIRECTION('',(0.,0.,1.)); #425311=DIRECTION('center_axis',(-0.713284880872908,0.700874224606756,0.)); #425312=DIRECTION('ref_axis',(-0.700874224606756,-0.713284880872908,0.)); #425313=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #425314=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #425315=DIRECTION('',(0.,0.,1.)); #425316=DIRECTION('center_axis',(0.,0.,1.)); #425317=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #425318=DIRECTION('center_axis',(0.,0.,1.)); #425319=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #425320=DIRECTION('center_axis',(0.,0.,1.)); #425321=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #425322=DIRECTION('',(0.,0.,1.)); #425323=DIRECTION('center_axis',(0.,1.,0.)); #425324=DIRECTION('ref_axis',(-1.,0.,0.)); #425325=DIRECTION('',(-1.,0.,0.)); #425326=DIRECTION('',(-1.,0.,0.)); #425327=DIRECTION('',(0.,0.,1.)); #425328=DIRECTION('center_axis',(0.,0.,1.)); #425329=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #425330=DIRECTION('center_axis',(0.,0.,1.)); #425331=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #425332=DIRECTION('center_axis',(0.,0.,1.)); #425333=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #425334=DIRECTION('',(0.,0.,1.)); #425335=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #425336=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #425337=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425338=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425339=DIRECTION('',(0.,0.,1.)); #425340=DIRECTION('center_axis',(0.,1.,0.)); #425341=DIRECTION('ref_axis',(-1.,0.,0.)); #425342=DIRECTION('',(-1.,0.,0.)); #425343=DIRECTION('',(-1.,0.,0.)); #425344=DIRECTION('',(0.,0.,1.)); #425345=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #425346=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #425347=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #425348=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #425349=DIRECTION('',(0.,0.,1.)); #425350=DIRECTION('center_axis',(-1.,0.,0.)); #425351=DIRECTION('ref_axis',(0.,-1.,0.)); #425352=DIRECTION('',(0.,-1.,0.)); #425353=DIRECTION('',(0.,-1.,0.)); #425354=DIRECTION('',(0.,0.,1.)); #425355=DIRECTION('center_axis',(0.,1.,0.)); #425356=DIRECTION('ref_axis',(-1.,0.,0.)); #425357=DIRECTION('',(-1.,0.,0.)); #425358=DIRECTION('',(-1.,0.,0.)); #425359=DIRECTION('',(0.,0.,1.)); #425360=DIRECTION('center_axis',(1.,0.,0.)); #425361=DIRECTION('ref_axis',(0.,1.,0.)); #425362=DIRECTION('',(0.,1.,0.)); #425363=DIRECTION('',(0.,1.,0.)); #425364=DIRECTION('',(0.,0.,1.)); #425365=DIRECTION('center_axis',(0.,-1.,0.)); #425366=DIRECTION('ref_axis',(1.,0.,0.)); #425367=DIRECTION('',(1.,0.,0.)); #425368=DIRECTION('',(1.,0.,0.)); #425369=DIRECTION('',(0.,0.,1.)); #425370=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #425371=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #425372=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #425373=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #425374=DIRECTION('',(0.,0.,1.)); #425375=DIRECTION('center_axis',(0.,0.,1.)); #425376=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #425377=DIRECTION('center_axis',(0.,0.,1.)); #425378=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #425379=DIRECTION('center_axis',(0.,0.,1.)); #425380=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #425381=DIRECTION('',(0.,0.,1.)); #425382=DIRECTION('center_axis',(0.,-1.,0.)); #425383=DIRECTION('ref_axis',(1.,0.,0.)); #425384=DIRECTION('',(1.,0.,0.)); #425385=DIRECTION('',(1.,0.,0.)); #425386=DIRECTION('',(0.,0.,1.)); #425387=DIRECTION('center_axis',(0.,0.,1.)); #425388=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #425389=DIRECTION('center_axis',(0.,0.,1.)); #425390=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #425391=DIRECTION('center_axis',(0.,0.,1.)); #425392=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #425393=DIRECTION('',(0.,0.,1.)); #425394=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #425395=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #425396=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #425397=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #425398=DIRECTION('',(0.,0.,1.)); #425399=DIRECTION('center_axis',(0.,-1.,0.)); #425400=DIRECTION('ref_axis',(1.,0.,0.)); #425401=DIRECTION('',(1.,0.,0.)); #425402=DIRECTION('',(1.,0.,0.)); #425403=DIRECTION('',(0.,0.,1.)); #425404=DIRECTION('center_axis',(0.713284641214766,-0.700874468508536,0.)); #425405=DIRECTION('ref_axis',(0.700874468508536,0.713284641214765,0.)); #425406=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #425407=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #425408=DIRECTION('',(0.,0.,1.)); #425409=DIRECTION('center_axis',(0.,0.,1.)); #425410=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #425411=DIRECTION('center_axis',(0.,0.,1.)); #425412=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #425413=DIRECTION('center_axis',(0.,0.,1.)); #425414=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #425415=DIRECTION('',(0.,0.,1.)); #425416=DIRECTION('center_axis',(0.,-1.,0.)); #425417=DIRECTION('ref_axis',(1.,0.,0.)); #425418=DIRECTION('',(1.,0.,0.)); #425419=DIRECTION('',(1.,0.,0.)); #425420=DIRECTION('',(0.,0.,1.)); #425421=DIRECTION('center_axis',(0.,0.,1.)); #425422=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #425423=DIRECTION('center_axis',(0.,0.,1.)); #425424=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #425425=DIRECTION('center_axis',(0.,0.,1.)); #425426=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #425427=DIRECTION('',(0.,0.,1.)); #425428=DIRECTION('center_axis',(-0.719514816688641,-0.694477090022062, 0.)); #425429=DIRECTION('ref_axis',(0.694477090022062,-0.719514816688641,0.)); #425430=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #425431=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #425432=DIRECTION('',(0.,0.,1.)); #425433=DIRECTION('center_axis',(0.,-1.,0.)); #425434=DIRECTION('ref_axis',(1.,0.,0.)); #425435=DIRECTION('',(1.,0.,0.)); #425436=DIRECTION('',(1.,0.,0.)); #425437=DIRECTION('',(0.,0.,1.)); #425438=DIRECTION('center_axis',(-1.,0.,0.)); #425439=DIRECTION('ref_axis',(0.,-1.,0.)); #425440=DIRECTION('',(0.,-1.,0.)); #425441=DIRECTION('',(0.,-1.,0.)); #425442=DIRECTION('',(0.,0.,1.)); #425443=DIRECTION('center_axis',(0.,-1.,0.)); #425444=DIRECTION('ref_axis',(1.,0.,0.)); #425445=DIRECTION('',(1.,0.,0.)); #425446=DIRECTION('',(1.,0.,0.)); #425447=DIRECTION('',(0.,0.,1.)); #425448=DIRECTION('center_axis',(0.690652324419917,-0.723186951467852,0.)); #425449=DIRECTION('ref_axis',(0.723186951467852,0.690652324419917,0.)); #425450=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #425451=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #425452=DIRECTION('',(0.,0.,1.)); #425453=DIRECTION('center_axis',(0.,0.,1.)); #425454=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #425455=DIRECTION('center_axis',(0.,0.,1.)); #425456=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #425457=DIRECTION('center_axis',(0.,0.,1.)); #425458=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #425459=DIRECTION('',(0.,0.,1.)); #425460=DIRECTION('center_axis',(0.,-1.,0.)); #425461=DIRECTION('ref_axis',(1.,0.,0.)); #425462=DIRECTION('',(1.,0.,0.)); #425463=DIRECTION('',(1.,0.,0.)); #425464=DIRECTION('',(0.,0.,1.)); #425465=DIRECTION('center_axis',(0.675118559056202,-0.737709245718039,0.)); #425466=DIRECTION('ref_axis',(0.737709245718039,0.675118559056202,0.)); #425467=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #425468=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #425469=DIRECTION('',(0.,0.,1.)); #425470=DIRECTION('center_axis',(1.,0.,0.)); #425471=DIRECTION('ref_axis',(0.,1.,0.)); #425472=DIRECTION('',(0.,1.,0.)); #425473=DIRECTION('',(0.,1.,0.)); #425474=DIRECTION('',(0.,0.,1.)); #425475=DIRECTION('center_axis',(0.,-1.,0.)); #425476=DIRECTION('ref_axis',(1.,0.,0.)); #425477=DIRECTION('',(1.,0.,0.)); #425478=DIRECTION('',(1.,0.,0.)); #425479=DIRECTION('',(0.,0.,1.)); #425480=DIRECTION('center_axis',(1.,0.,0.)); #425481=DIRECTION('ref_axis',(0.,1.,0.)); #425482=DIRECTION('',(0.,1.,0.)); #425483=DIRECTION('',(0.,1.,0.)); #425484=DIRECTION('',(0.,0.,1.)); #425485=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425486=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425487=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425488=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425489=DIRECTION('',(0.,0.,1.)); #425490=DIRECTION('center_axis',(0.,0.,1.)); #425491=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #425492=DIRECTION('center_axis',(0.,0.,1.)); #425493=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #425494=DIRECTION('center_axis',(0.,0.,1.)); #425495=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #425496=DIRECTION('',(0.,0.,1.)); #425497=DIRECTION('center_axis',(1.,0.,0.)); #425498=DIRECTION('ref_axis',(0.,1.,0.)); #425499=DIRECTION('',(0.,1.,0.)); #425500=DIRECTION('',(0.,1.,0.)); #425501=DIRECTION('',(0.,0.,1.)); #425502=DIRECTION('center_axis',(0.,1.,0.)); #425503=DIRECTION('ref_axis',(-1.,0.,0.)); #425504=DIRECTION('',(-1.,0.,0.)); #425505=DIRECTION('',(-1.,0.,0.)); #425506=DIRECTION('center_axis',(0.,0.,1.)); #425507=DIRECTION('ref_axis',(1.,0.,0.)); #425508=DIRECTION('center_axis',(0.,0.,1.)); #425509=DIRECTION('ref_axis',(1.,0.,0.)); #425510=DIRECTION('center_axis',(0.,0.,1.)); #425511=DIRECTION('ref_axis',(1.,0.,0.)); #425512=DIRECTION('center_axis',(0.,0.,1.)); #425513=DIRECTION('ref_axis',(1.,0.,0.)); #425514=DIRECTION('',(0.,0.,1.)); #425515=DIRECTION('center_axis',(0.,0.,-1.)); #425516=DIRECTION('ref_axis',(1.,0.,0.)); #425517=DIRECTION('center_axis',(0.,0.,1.)); #425518=DIRECTION('ref_axis',(1.,0.,0.)); #425519=DIRECTION('center_axis',(0.,0.,1.)); #425520=DIRECTION('ref_axis',(1.,0.,0.)); #425521=DIRECTION('',(0.,0.,1.)); #425522=DIRECTION('center_axis',(0.,0.,-1.)); #425523=DIRECTION('ref_axis',(1.,0.,0.)); #425524=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #425525=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #425526=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #425527=DIRECTION('',(0.,0.,1.)); #425528=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #425529=DIRECTION('',(0.,0.,1.)); #425530=DIRECTION('center_axis',(0.,0.,1.)); #425531=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425532=DIRECTION('center_axis',(0.,0.,1.)); #425533=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425534=DIRECTION('center_axis',(0.,0.,1.)); #425535=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #425536=DIRECTION('',(0.,0.,1.)); #425537=DIRECTION('center_axis',(0.,0.,1.)); #425538=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #425539=DIRECTION('center_axis',(0.,0.,1.)); #425540=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #425541=DIRECTION('center_axis',(0.,0.,1.)); #425542=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #425543=DIRECTION('',(0.,0.,1.)); #425544=DIRECTION('center_axis',(0.,1.,0.)); #425545=DIRECTION('ref_axis',(-1.,0.,0.)); #425546=DIRECTION('',(-1.,0.,0.)); #425547=DIRECTION('',(-1.,0.,0.)); #425548=DIRECTION('',(0.,0.,1.)); #425549=DIRECTION('center_axis',(0.,0.,1.)); #425550=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #425551=DIRECTION('center_axis',(0.,0.,1.)); #425552=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #425553=DIRECTION('center_axis',(0.,0.,1.)); #425554=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #425555=DIRECTION('',(0.,0.,1.)); #425556=DIRECTION('center_axis',(0.,0.,1.)); #425557=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #425558=DIRECTION('center_axis',(0.,0.,1.)); #425559=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #425560=DIRECTION('center_axis',(0.,0.,1.)); #425561=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #425562=DIRECTION('',(0.,0.,1.)); #425563=DIRECTION('center_axis',(0.,-1.,0.)); #425564=DIRECTION('ref_axis',(1.,0.,0.)); #425565=DIRECTION('',(1.,0.,0.)); #425566=DIRECTION('',(1.,0.,0.)); #425567=DIRECTION('',(0.,0.,1.)); #425568=DIRECTION('center_axis',(0.,0.,1.)); #425569=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #425570=DIRECTION('center_axis',(0.,0.,1.)); #425571=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #425572=DIRECTION('center_axis',(0.,0.,1.)); #425573=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #425574=DIRECTION('center_axis',(0.,0.,1.)); #425575=DIRECTION('ref_axis',(1.,0.,0.)); #425576=DIRECTION('center_axis',(0.,0.,1.)); #425577=DIRECTION('ref_axis',(1.,0.,0.)); #425578=DIRECTION('center_axis',(0.,0.,1.)); #425579=DIRECTION('ref_axis',(1.,0.,0.)); #425580=DIRECTION('center_axis',(0.,0.,1.)); #425581=DIRECTION('ref_axis',(1.,0.,0.)); #425582=DIRECTION('',(0.,0.,1.)); #425583=DIRECTION('center_axis',(0.,0.,-1.)); #425584=DIRECTION('ref_axis',(1.,0.,0.)); #425585=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #425586=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #425587=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #425588=DIRECTION('',(0.,0.,1.)); #425589=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #425590=DIRECTION('',(0.,0.,1.)); #425591=DIRECTION('center_axis',(0.,0.,1.)); #425592=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #425593=DIRECTION('center_axis',(0.,0.,1.)); #425594=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #425595=DIRECTION('center_axis',(0.,0.,1.)); #425596=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #425597=DIRECTION('',(0.,0.,1.)); #425598=DIRECTION('center_axis',(-1.,0.,0.)); #425599=DIRECTION('ref_axis',(0.,-1.,0.)); #425600=DIRECTION('',(0.,-1.,0.)); #425601=DIRECTION('',(0.,-1.,0.)); #425602=DIRECTION('',(0.,0.,1.)); #425603=DIRECTION('center_axis',(0.,-1.,0.)); #425604=DIRECTION('ref_axis',(1.,0.,0.)); #425605=DIRECTION('',(1.,0.,0.)); #425606=DIRECTION('',(1.,0.,0.)); #425607=DIRECTION('',(0.,0.,1.)); #425608=DIRECTION('center_axis',(-1.,0.,0.)); #425609=DIRECTION('ref_axis',(0.,-1.,0.)); #425610=DIRECTION('',(0.,-1.,0.)); #425611=DIRECTION('',(0.,-1.,0.)); #425612=DIRECTION('',(0.,0.,1.)); #425613=DIRECTION('center_axis',(0.,1.,0.)); #425614=DIRECTION('ref_axis',(-1.,0.,0.)); #425615=DIRECTION('',(-1.,0.,0.)); #425616=DIRECTION('',(-1.,0.,0.)); #425617=DIRECTION('',(0.,0.,1.)); #425618=DIRECTION('center_axis',(1.,0.,0.)); #425619=DIRECTION('ref_axis',(0.,1.,0.)); #425620=DIRECTION('',(0.,1.,0.)); #425621=DIRECTION('',(0.,1.,0.)); #425622=DIRECTION('',(0.,0.,1.)); #425623=DIRECTION('center_axis',(0.,-1.,0.)); #425624=DIRECTION('ref_axis',(1.,0.,0.)); #425625=DIRECTION('',(1.,0.,0.)); #425626=DIRECTION('',(1.,0.,0.)); #425627=DIRECTION('',(0.,0.,1.)); #425628=DIRECTION('center_axis',(1.,0.,0.)); #425629=DIRECTION('ref_axis',(0.,1.,0.)); #425630=DIRECTION('',(0.,1.,0.)); #425631=DIRECTION('',(0.,1.,0.)); #425632=DIRECTION('',(0.,0.,1.)); #425633=DIRECTION('center_axis',(0.,0.,1.)); #425634=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #425635=DIRECTION('center_axis',(0.,0.,1.)); #425636=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #425637=DIRECTION('center_axis',(0.,0.,1.)); #425638=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #425639=DIRECTION('center_axis',(0.,0.,1.)); #425640=DIRECTION('ref_axis',(1.,0.,0.)); #425641=DIRECTION('center_axis',(0.,0.,1.)); #425642=DIRECTION('ref_axis',(1.,0.,0.)); #425643=DIRECTION('center_axis',(0.,0.,1.)); #425644=DIRECTION('ref_axis',(1.,0.,0.)); #425645=DIRECTION('center_axis',(0.,0.,1.)); #425646=DIRECTION('ref_axis',(1.,0.,0.)); #425647=DIRECTION('',(0.,0.,1.)); #425648=DIRECTION('center_axis',(0.,0.,-1.)); #425649=DIRECTION('ref_axis',(1.,0.,0.)); #425650=DIRECTION('center_axis',(1.,0.,0.)); #425651=DIRECTION('ref_axis',(0.,1.,0.)); #425652=DIRECTION('',(0.,1.,0.)); #425653=DIRECTION('',(0.,0.,1.)); #425654=DIRECTION('',(0.,1.,0.)); #425655=DIRECTION('',(0.,0.,1.)); #425656=DIRECTION('center_axis',(0.,-1.,0.)); #425657=DIRECTION('ref_axis',(1.,0.,0.)); #425658=DIRECTION('',(1.,0.,0.)); #425659=DIRECTION('',(1.,0.,0.)); #425660=DIRECTION('',(0.,0.,1.)); #425661=DIRECTION('center_axis',(-1.,0.,0.)); #425662=DIRECTION('ref_axis',(0.,-1.,0.)); #425663=DIRECTION('',(0.,-1.,0.)); #425664=DIRECTION('',(0.,-1.,0.)); #425665=DIRECTION('',(0.,0.,1.)); #425666=DIRECTION('center_axis',(0.,1.,0.)); #425667=DIRECTION('ref_axis',(-1.,0.,0.)); #425668=DIRECTION('',(-1.,0.,0.)); #425669=DIRECTION('',(-1.,0.,0.)); #425670=DIRECTION('',(0.,0.,1.)); #425671=DIRECTION('center_axis',(-1.,0.,0.)); #425672=DIRECTION('ref_axis',(0.,-1.,0.)); #425673=DIRECTION('',(0.,-1.,0.)); #425674=DIRECTION('',(0.,-1.,0.)); #425675=DIRECTION('',(0.,0.,1.)); #425676=DIRECTION('center_axis',(0.,0.,1.)); #425677=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #425678=DIRECTION('center_axis',(0.,0.,1.)); #425679=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #425680=DIRECTION('center_axis',(0.,0.,1.)); #425681=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #425682=DIRECTION('',(0.,0.,1.)); #425683=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #425684=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #425685=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #425686=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #425687=DIRECTION('',(0.,0.,1.)); #425688=DIRECTION('center_axis',(0.,0.,1.)); #425689=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #425690=DIRECTION('center_axis',(0.,0.,1.)); #425691=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #425692=DIRECTION('center_axis',(0.,0.,1.)); #425693=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #425694=DIRECTION('',(0.,0.,1.)); #425695=DIRECTION('center_axis',(0.,0.,1.)); #425696=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #425697=DIRECTION('center_axis',(0.,0.,1.)); #425698=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #425699=DIRECTION('center_axis',(0.,0.,1.)); #425700=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #425701=DIRECTION('',(0.,0.,1.)); #425702=DIRECTION('center_axis',(0.,0.,1.)); #425703=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #425704=DIRECTION('center_axis',(0.,0.,1.)); #425705=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #425706=DIRECTION('center_axis',(0.,0.,1.)); #425707=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #425708=DIRECTION('',(0.,0.,1.)); #425709=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #425710=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #425711=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #425712=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #425713=DIRECTION('',(0.,0.,1.)); #425714=DIRECTION('center_axis',(1.,0.,0.)); #425715=DIRECTION('ref_axis',(0.,1.,0.)); #425716=DIRECTION('',(0.,1.,0.)); #425717=DIRECTION('',(0.,1.,0.)); #425718=DIRECTION('',(0.,0.,1.)); #425719=DIRECTION('center_axis',(0.,1.,0.)); #425720=DIRECTION('ref_axis',(-1.,0.,0.)); #425721=DIRECTION('',(-1.,0.,0.)); #425722=DIRECTION('',(-1.,0.,0.)); #425723=DIRECTION('center_axis',(0.,0.,1.)); #425724=DIRECTION('ref_axis',(1.,0.,0.)); #425725=DIRECTION('center_axis',(0.,0.,1.)); #425726=DIRECTION('ref_axis',(1.,0.,0.)); #425727=DIRECTION('center_axis',(0.,0.,1.)); #425728=DIRECTION('ref_axis',(1.,0.,0.)); #425729=DIRECTION('center_axis',(0.,0.,1.)); #425730=DIRECTION('ref_axis',(1.,0.,0.)); #425731=DIRECTION('',(0.,0.,1.)); #425732=DIRECTION('center_axis',(0.,0.,-1.)); #425733=DIRECTION('ref_axis',(1.,0.,0.)); #425734=DIRECTION('center_axis',(0.,0.,1.)); #425735=DIRECTION('ref_axis',(1.,0.,0.)); #425736=DIRECTION('center_axis',(0.,0.,1.)); #425737=DIRECTION('ref_axis',(1.,0.,0.)); #425738=DIRECTION('',(0.,0.,1.)); #425739=DIRECTION('center_axis',(0.,0.,-1.)); #425740=DIRECTION('ref_axis',(1.,0.,0.)); #425741=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #425742=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #425743=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #425744=DIRECTION('',(0.,0.,1.)); #425745=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #425746=DIRECTION('',(0.,0.,1.)); #425747=DIRECTION('center_axis',(0.,0.,1.)); #425748=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #425749=DIRECTION('center_axis',(0.,0.,1.)); #425750=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #425751=DIRECTION('center_axis',(0.,0.,1.)); #425752=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #425753=DIRECTION('',(0.,0.,1.)); #425754=DIRECTION('center_axis',(0.,0.,1.)); #425755=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425756=DIRECTION('center_axis',(0.,0.,1.)); #425757=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425758=DIRECTION('center_axis',(0.,0.,1.)); #425759=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #425760=DIRECTION('',(0.,0.,1.)); #425761=DIRECTION('center_axis',(0.,1.,0.)); #425762=DIRECTION('ref_axis',(-1.,0.,0.)); #425763=DIRECTION('',(-1.,0.,0.)); #425764=DIRECTION('',(-1.,0.,0.)); #425765=DIRECTION('',(0.,0.,1.)); #425766=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #425767=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #425768=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #425769=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #425770=DIRECTION('',(0.,0.,1.)); #425771=DIRECTION('center_axis',(-1.,0.,0.)); #425772=DIRECTION('ref_axis',(0.,-1.,0.)); #425773=DIRECTION('',(0.,-1.,0.)); #425774=DIRECTION('',(0.,-1.,0.)); #425775=DIRECTION('',(0.,0.,1.)); #425776=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425777=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425778=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425779=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #425780=DIRECTION('',(0.,0.,1.)); #425781=DIRECTION('center_axis',(0.,-1.,0.)); #425782=DIRECTION('ref_axis',(1.,0.,0.)); #425783=DIRECTION('',(1.,0.,0.)); #425784=DIRECTION('',(1.,0.,0.)); #425785=DIRECTION('',(0.,0.,1.)); #425786=DIRECTION('center_axis',(0.,0.,1.)); #425787=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425788=DIRECTION('center_axis',(0.,0.,1.)); #425789=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425790=DIRECTION('center_axis',(0.,0.,1.)); #425791=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425792=DIRECTION('',(0.,0.,1.)); #425793=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #425794=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #425795=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #425796=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #425797=DIRECTION('',(0.,0.,1.)); #425798=DIRECTION('center_axis',(0.,-1.,0.)); #425799=DIRECTION('ref_axis',(1.,0.,0.)); #425800=DIRECTION('',(1.,0.,0.)); #425801=DIRECTION('',(1.,0.,0.)); #425802=DIRECTION('',(0.,0.,1.)); #425803=DIRECTION('center_axis',(0.,0.,1.)); #425804=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425805=DIRECTION('center_axis',(0.,0.,1.)); #425806=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425807=DIRECTION('center_axis',(0.,0.,1.)); #425808=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #425809=DIRECTION('',(0.,0.,1.)); #425810=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425811=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425812=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425813=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425814=DIRECTION('',(0.,0.,1.)); #425815=DIRECTION('center_axis',(0.,0.,1.)); #425816=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #425817=DIRECTION('center_axis',(0.,0.,1.)); #425818=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #425819=DIRECTION('center_axis',(0.,0.,1.)); #425820=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #425821=DIRECTION('',(0.,0.,1.)); #425822=DIRECTION('center_axis',(-1.,0.,0.)); #425823=DIRECTION('ref_axis',(0.,-1.,0.)); #425824=DIRECTION('',(0.,-1.,0.)); #425825=DIRECTION('',(0.,-1.,0.)); #425826=DIRECTION('',(0.,0.,1.)); #425827=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425828=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425829=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425830=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425831=DIRECTION('',(0.,0.,1.)); #425832=DIRECTION('center_axis',(0.,-1.,0.)); #425833=DIRECTION('ref_axis',(1.,0.,0.)); #425834=DIRECTION('',(1.,0.,0.)); #425835=DIRECTION('',(1.,0.,0.)); #425836=DIRECTION('',(0.,0.,1.)); #425837=DIRECTION('center_axis',(0.,0.,1.)); #425838=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #425839=DIRECTION('center_axis',(0.,0.,1.)); #425840=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #425841=DIRECTION('center_axis',(0.,0.,1.)); #425842=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #425843=DIRECTION('',(0.,0.,1.)); #425844=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #425845=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #425846=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425847=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #425848=DIRECTION('',(0.,0.,1.)); #425849=DIRECTION('center_axis',(0.,0.,1.)); #425850=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425851=DIRECTION('center_axis',(0.,0.,1.)); #425852=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425853=DIRECTION('center_axis',(0.,0.,1.)); #425854=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #425855=DIRECTION('',(0.,0.,1.)); #425856=DIRECTION('center_axis',(-1.,0.,0.)); #425857=DIRECTION('ref_axis',(0.,-1.,0.)); #425858=DIRECTION('',(0.,-1.,0.)); #425859=DIRECTION('',(0.,-1.,0.)); #425860=DIRECTION('',(0.,0.,1.)); #425861=DIRECTION('center_axis',(0.,0.,1.)); #425862=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #425863=DIRECTION('center_axis',(0.,0.,1.)); #425864=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #425865=DIRECTION('center_axis',(0.,0.,1.)); #425866=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #425867=DIRECTION('',(0.,0.,1.)); #425868=DIRECTION('center_axis',(0.,0.,1.)); #425869=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #425870=DIRECTION('center_axis',(0.,0.,1.)); #425871=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #425872=DIRECTION('center_axis',(0.,0.,1.)); #425873=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #425874=DIRECTION('',(0.,0.,1.)); #425875=DIRECTION('center_axis',(1.,0.,0.)); #425876=DIRECTION('ref_axis',(0.,1.,0.)); #425877=DIRECTION('',(0.,1.,0.)); #425878=DIRECTION('',(0.,1.,0.)); #425879=DIRECTION('',(0.,0.,1.)); #425880=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #425881=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #425882=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425883=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425884=DIRECTION('',(0.,0.,1.)); #425885=DIRECTION('center_axis',(0.,1.,0.)); #425886=DIRECTION('ref_axis',(-1.,0.,0.)); #425887=DIRECTION('',(-1.,0.,0.)); #425888=DIRECTION('',(-1.,0.,0.)); #425889=DIRECTION('',(0.,0.,1.)); #425890=DIRECTION('center_axis',(0.,0.,1.)); #425891=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425892=DIRECTION('center_axis',(0.,0.,1.)); #425893=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425894=DIRECTION('center_axis',(0.,0.,1.)); #425895=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425896=DIRECTION('',(0.,0.,1.)); #425897=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425898=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425899=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #425900=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #425901=DIRECTION('',(0.,0.,1.)); #425902=DIRECTION('center_axis',(0.,0.,1.)); #425903=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #425904=DIRECTION('center_axis',(0.,0.,1.)); #425905=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #425906=DIRECTION('center_axis',(0.,0.,1.)); #425907=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #425908=DIRECTION('',(0.,0.,1.)); #425909=DIRECTION('center_axis',(1.,0.,0.)); #425910=DIRECTION('ref_axis',(0.,1.,0.)); #425911=DIRECTION('',(0.,1.,0.)); #425912=DIRECTION('',(0.,1.,0.)); #425913=DIRECTION('',(0.,0.,1.)); #425914=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425915=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425916=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #425917=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #425918=DIRECTION('',(0.,0.,1.)); #425919=DIRECTION('center_axis',(0.,1.,0.)); #425920=DIRECTION('ref_axis',(-1.,0.,0.)); #425921=DIRECTION('',(-1.,0.,0.)); #425922=DIRECTION('',(-1.,0.,0.)); #425923=DIRECTION('',(0.,0.,1.)); #425924=DIRECTION('center_axis',(0.,0.,1.)); #425925=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425926=DIRECTION('center_axis',(0.,0.,1.)); #425927=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425928=DIRECTION('center_axis',(0.,0.,1.)); #425929=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #425930=DIRECTION('',(0.,0.,1.)); #425931=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #425932=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #425933=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425934=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #425935=DIRECTION('',(0.,0.,1.)); #425936=DIRECTION('center_axis',(0.,1.,0.)); #425937=DIRECTION('ref_axis',(-1.,0.,0.)); #425938=DIRECTION('',(-1.,0.,0.)); #425939=DIRECTION('',(-1.,0.,0.)); #425940=DIRECTION('',(0.,0.,1.)); #425941=DIRECTION('center_axis',(0.,0.,1.)); #425942=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425943=DIRECTION('center_axis',(0.,0.,1.)); #425944=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425945=DIRECTION('center_axis',(0.,0.,1.)); #425946=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #425947=DIRECTION('',(0.,0.,1.)); #425948=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #425949=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #425950=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425951=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #425952=DIRECTION('',(0.,0.,1.)); #425953=DIRECTION('center_axis',(0.,0.,1.)); #425954=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #425955=DIRECTION('center_axis',(0.,0.,1.)); #425956=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #425957=DIRECTION('center_axis',(0.,0.,1.)); #425958=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #425959=DIRECTION('',(0.,0.,1.)); #425960=DIRECTION('center_axis',(1.,0.,0.)); #425961=DIRECTION('ref_axis',(0.,1.,0.)); #425962=DIRECTION('',(0.,1.,0.)); #425963=DIRECTION('',(0.,1.,0.)); #425964=DIRECTION('',(0.,0.,1.)); #425965=DIRECTION('center_axis',(0.,0.,1.)); #425966=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #425967=DIRECTION('center_axis',(0.,0.,1.)); #425968=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #425969=DIRECTION('center_axis',(0.,0.,1.)); #425970=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #425971=DIRECTION('',(0.,0.,1.)); #425972=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #425973=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #425974=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #425975=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #425976=DIRECTION('',(0.,0.,1.)); #425977=DIRECTION('center_axis',(0.,0.,1.)); #425978=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #425979=DIRECTION('center_axis',(0.,0.,1.)); #425980=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #425981=DIRECTION('center_axis',(0.,0.,1.)); #425982=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #425983=DIRECTION('',(0.,0.,1.)); #425984=DIRECTION('center_axis',(0.,-1.,0.)); #425985=DIRECTION('ref_axis',(1.,0.,0.)); #425986=DIRECTION('',(1.,0.,0.)); #425987=DIRECTION('',(1.,0.,0.)); #425988=DIRECTION('',(0.,0.,1.)); #425989=DIRECTION('center_axis',(0.,0.,1.)); #425990=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #425991=DIRECTION('center_axis',(0.,0.,1.)); #425992=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #425993=DIRECTION('center_axis',(0.,0.,1.)); #425994=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #425995=DIRECTION('center_axis',(0.,0.,1.)); #425996=DIRECTION('ref_axis',(1.,0.,0.)); #425997=DIRECTION('center_axis',(0.,0.,1.)); #425998=DIRECTION('ref_axis',(1.,0.,0.)); #425999=DIRECTION('center_axis',(0.,0.,1.)); #426000=DIRECTION('ref_axis',(1.,0.,0.)); #426001=DIRECTION('center_axis',(0.,0.,1.)); #426002=DIRECTION('ref_axis',(1.,0.,0.)); #426003=DIRECTION('',(0.,0.,1.)); #426004=DIRECTION('center_axis',(0.,0.,-1.)); #426005=DIRECTION('ref_axis',(1.,0.,0.)); #426006=DIRECTION('center_axis',(0.,0.,1.)); #426007=DIRECTION('ref_axis',(1.,0.,0.)); #426008=DIRECTION('center_axis',(0.,0.,1.)); #426009=DIRECTION('ref_axis',(1.,0.,0.)); #426010=DIRECTION('',(0.,0.,1.)); #426011=DIRECTION('center_axis',(0.,0.,-1.)); #426012=DIRECTION('ref_axis',(1.,0.,0.)); #426013=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #426014=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #426015=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #426016=DIRECTION('',(0.,0.,1.)); #426017=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #426018=DIRECTION('',(0.,0.,1.)); #426019=DIRECTION('center_axis',(0.,0.,1.)); #426020=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #426021=DIRECTION('center_axis',(0.,0.,1.)); #426022=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #426023=DIRECTION('center_axis',(0.,0.,1.)); #426024=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #426025=DIRECTION('',(0.,0.,1.)); #426026=DIRECTION('center_axis',(0.,0.,1.)); #426027=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #426028=DIRECTION('center_axis',(0.,0.,1.)); #426029=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #426030=DIRECTION('center_axis',(0.,0.,1.)); #426031=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #426032=DIRECTION('',(0.,0.,1.)); #426033=DIRECTION('center_axis',(0.,1.,0.)); #426034=DIRECTION('ref_axis',(-1.,0.,0.)); #426035=DIRECTION('',(-1.,0.,0.)); #426036=DIRECTION('',(-1.,0.,0.)); #426037=DIRECTION('',(0.,0.,1.)); #426038=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #426039=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #426040=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #426041=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #426042=DIRECTION('',(0.,0.,1.)); #426043=DIRECTION('center_axis',(0.,0.,1.)); #426044=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #426045=DIRECTION('center_axis',(0.,0.,1.)); #426046=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #426047=DIRECTION('center_axis',(0.,0.,1.)); #426048=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #426049=DIRECTION('',(0.,0.,1.)); #426050=DIRECTION('center_axis',(0.,0.,1.)); #426051=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #426052=DIRECTION('center_axis',(0.,0.,1.)); #426053=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #426054=DIRECTION('center_axis',(0.,0.,1.)); #426055=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #426056=DIRECTION('',(0.,0.,1.)); #426057=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #426058=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #426059=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #426060=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #426061=DIRECTION('',(0.,0.,1.)); #426062=DIRECTION('center_axis',(0.,0.,1.)); #426063=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #426064=DIRECTION('center_axis',(0.,0.,1.)); #426065=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #426066=DIRECTION('center_axis',(0.,0.,1.)); #426067=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #426068=DIRECTION('',(0.,0.,1.)); #426069=DIRECTION('center_axis',(0.,-1.,0.)); #426070=DIRECTION('ref_axis',(1.,0.,0.)); #426071=DIRECTION('',(1.,0.,0.)); #426072=DIRECTION('',(1.,0.,0.)); #426073=DIRECTION('',(0.,0.,1.)); #426074=DIRECTION('center_axis',(0.,0.,1.)); #426075=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #426076=DIRECTION('center_axis',(0.,0.,1.)); #426077=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #426078=DIRECTION('center_axis',(0.,0.,1.)); #426079=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #426080=DIRECTION('center_axis',(0.,0.,1.)); #426081=DIRECTION('ref_axis',(1.,0.,0.)); #426082=DIRECTION('center_axis',(0.,0.,1.)); #426083=DIRECTION('ref_axis',(1.,0.,0.)); #426084=DIRECTION('center_axis',(0.,0.,1.)); #426085=DIRECTION('ref_axis',(1.,0.,0.)); #426086=DIRECTION('center_axis',(0.,0.,1.)); #426087=DIRECTION('ref_axis',(1.,0.,0.)); #426088=DIRECTION('',(0.,0.,1.)); #426089=DIRECTION('center_axis',(0.,0.,-1.)); #426090=DIRECTION('ref_axis',(1.,0.,0.)); #426091=DIRECTION('center_axis',(1.,0.,0.)); #426092=DIRECTION('ref_axis',(0.,1.,0.)); #426093=DIRECTION('',(0.,1.,0.)); #426094=DIRECTION('',(0.,0.,1.)); #426095=DIRECTION('',(0.,1.,0.)); #426096=DIRECTION('',(0.,0.,1.)); #426097=DIRECTION('center_axis',(0.,-1.,0.)); #426098=DIRECTION('ref_axis',(1.,0.,0.)); #426099=DIRECTION('',(1.,0.,0.)); #426100=DIRECTION('',(1.,0.,0.)); #426101=DIRECTION('',(0.,0.,1.)); #426102=DIRECTION('center_axis',(-1.,0.,0.)); #426103=DIRECTION('ref_axis',(0.,-1.,0.)); #426104=DIRECTION('',(0.,-1.,0.)); #426105=DIRECTION('',(0.,-1.,0.)); #426106=DIRECTION('',(0.,0.,1.)); #426107=DIRECTION('center_axis',(0.,1.,0.)); #426108=DIRECTION('ref_axis',(-1.,0.,0.)); #426109=DIRECTION('',(-1.,0.,0.)); #426110=DIRECTION('',(-1.,0.,0.)); #426111=DIRECTION('',(0.,0.,1.)); #426112=DIRECTION('center_axis',(-1.,0.,0.)); #426113=DIRECTION('ref_axis',(0.,-1.,0.)); #426114=DIRECTION('',(0.,-1.,0.)); #426115=DIRECTION('',(0.,-1.,0.)); #426116=DIRECTION('',(0.,0.,1.)); #426117=DIRECTION('center_axis',(0.,0.,1.)); #426118=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #426119=DIRECTION('center_axis',(0.,0.,1.)); #426120=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #426121=DIRECTION('center_axis',(0.,0.,1.)); #426122=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #426123=DIRECTION('',(0.,0.,1.)); #426124=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #426125=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #426126=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #426127=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #426128=DIRECTION('',(0.,0.,1.)); #426129=DIRECTION('center_axis',(0.,0.,1.)); #426130=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #426131=DIRECTION('center_axis',(0.,0.,1.)); #426132=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #426133=DIRECTION('center_axis',(0.,0.,1.)); #426134=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #426135=DIRECTION('',(0.,0.,1.)); #426136=DIRECTION('center_axis',(0.,0.,1.)); #426137=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #426138=DIRECTION('center_axis',(0.,0.,1.)); #426139=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #426140=DIRECTION('center_axis',(0.,0.,1.)); #426141=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #426142=DIRECTION('',(0.,0.,1.)); #426143=DIRECTION('center_axis',(0.,0.,1.)); #426144=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #426145=DIRECTION('center_axis',(0.,0.,1.)); #426146=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #426147=DIRECTION('center_axis',(0.,0.,1.)); #426148=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #426149=DIRECTION('',(0.,0.,1.)); #426150=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #426151=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #426152=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #426153=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #426154=DIRECTION('',(0.,0.,1.)); #426155=DIRECTION('center_axis',(0.,0.,1.)); #426156=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #426157=DIRECTION('center_axis',(0.,0.,1.)); #426158=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #426159=DIRECTION('center_axis',(0.,0.,1.)); #426160=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #426161=DIRECTION('',(0.,0.,1.)); #426162=DIRECTION('center_axis',(1.,0.,0.)); #426163=DIRECTION('ref_axis',(0.,1.,0.)); #426164=DIRECTION('',(0.,1.,0.)); #426165=DIRECTION('',(0.,1.,0.)); #426166=DIRECTION('',(0.,0.,1.)); #426167=DIRECTION('center_axis',(0.,1.,0.)); #426168=DIRECTION('ref_axis',(-1.,0.,0.)); #426169=DIRECTION('',(-1.,0.,0.)); #426170=DIRECTION('',(-1.,0.,0.)); #426171=DIRECTION('',(0.,0.,1.)); #426172=DIRECTION('center_axis',(1.,0.,0.)); #426173=DIRECTION('ref_axis',(0.,1.,0.)); #426174=DIRECTION('',(0.,1.,0.)); #426175=DIRECTION('',(0.,1.,0.)); #426176=DIRECTION('',(0.,0.,1.)); #426177=DIRECTION('center_axis',(0.,-1.,0.)); #426178=DIRECTION('ref_axis',(1.,0.,0.)); #426179=DIRECTION('',(1.,0.,0.)); #426180=DIRECTION('',(1.,0.,0.)); #426181=DIRECTION('',(0.,0.,1.)); #426182=DIRECTION('center_axis',(-1.,0.,0.)); #426183=DIRECTION('ref_axis',(0.,-1.,0.)); #426184=DIRECTION('',(0.,-1.,0.)); #426185=DIRECTION('',(0.,-1.,0.)); #426186=DIRECTION('',(0.,0.,1.)); #426187=DIRECTION('center_axis',(0.,1.,0.)); #426188=DIRECTION('ref_axis',(-1.,0.,0.)); #426189=DIRECTION('',(-1.,0.,0.)); #426190=DIRECTION('',(-1.,0.,0.)); #426191=DIRECTION('',(0.,0.,1.)); #426192=DIRECTION('center_axis',(-1.,0.,0.)); #426193=DIRECTION('ref_axis',(0.,-1.,0.)); #426194=DIRECTION('',(0.,-1.,0.)); #426195=DIRECTION('',(0.,-1.,0.)); #426196=DIRECTION('',(0.,0.,1.)); #426197=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #426198=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #426199=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #426200=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #426201=DIRECTION('',(0.,0.,1.)); #426202=DIRECTION('center_axis',(0.,0.,1.)); #426203=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #426204=DIRECTION('center_axis',(0.,0.,1.)); #426205=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #426206=DIRECTION('center_axis',(0.,0.,1.)); #426207=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #426208=DIRECTION('',(0.,0.,1.)); #426209=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #426210=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #426211=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #426212=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #426213=DIRECTION('',(0.,0.,1.)); #426214=DIRECTION('center_axis',(1.,0.,0.)); #426215=DIRECTION('ref_axis',(0.,1.,0.)); #426216=DIRECTION('',(0.,1.,0.)); #426217=DIRECTION('',(0.,1.,0.)); #426218=DIRECTION('',(0.,0.,1.)); #426219=DIRECTION('center_axis',(0.,1.,0.)); #426220=DIRECTION('ref_axis',(-1.,0.,0.)); #426221=DIRECTION('',(-1.,0.,0.)); #426222=DIRECTION('',(-1.,0.,0.)); #426223=DIRECTION('center_axis',(0.,0.,1.)); #426224=DIRECTION('ref_axis',(1.,0.,0.)); #426225=DIRECTION('center_axis',(0.,0.,1.)); #426226=DIRECTION('ref_axis',(1.,0.,0.)); #426227=DIRECTION('center_axis',(0.,0.,1.)); #426228=DIRECTION('ref_axis',(1.,0.,0.)); #426229=DIRECTION('center_axis',(0.,0.,1.)); #426230=DIRECTION('ref_axis',(1.,0.,0.)); #426231=DIRECTION('',(0.,0.,1.)); #426232=DIRECTION('center_axis',(0.,0.,-1.)); #426233=DIRECTION('ref_axis',(1.,0.,0.)); #426234=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #426235=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #426236=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #426237=DIRECTION('',(0.,0.,1.)); #426238=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #426239=DIRECTION('',(0.,0.,1.)); #426240=DIRECTION('center_axis',(0.,0.,1.)); #426241=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #426242=DIRECTION('center_axis',(0.,0.,1.)); #426243=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #426244=DIRECTION('center_axis',(0.,0.,1.)); #426245=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #426246=DIRECTION('',(0.,0.,1.)); #426247=DIRECTION('center_axis',(0.,0.,1.)); #426248=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #426249=DIRECTION('center_axis',(0.,0.,1.)); #426250=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #426251=DIRECTION('center_axis',(0.,0.,1.)); #426252=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #426253=DIRECTION('',(0.,0.,1.)); #426254=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #426255=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #426256=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #426257=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #426258=DIRECTION('',(0.,0.,1.)); #426259=DIRECTION('center_axis',(0.,0.,1.)); #426260=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #426261=DIRECTION('center_axis',(0.,0.,1.)); #426262=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #426263=DIRECTION('center_axis',(0.,0.,1.)); #426264=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #426265=DIRECTION('',(0.,0.,1.)); #426266=DIRECTION('center_axis',(-1.,0.,0.)); #426267=DIRECTION('ref_axis',(0.,-1.,0.)); #426268=DIRECTION('',(0.,-1.,0.)); #426269=DIRECTION('',(0.,-1.,0.)); #426270=DIRECTION('',(0.,0.,1.)); #426271=DIRECTION('center_axis',(0.,-1.,0.)); #426272=DIRECTION('ref_axis',(1.,0.,0.)); #426273=DIRECTION('',(1.,0.,0.)); #426274=DIRECTION('',(1.,0.,0.)); #426275=DIRECTION('',(0.,0.,1.)); #426276=DIRECTION('center_axis',(-1.,0.,0.)); #426277=DIRECTION('ref_axis',(0.,-1.,0.)); #426278=DIRECTION('',(0.,-1.,0.)); #426279=DIRECTION('',(0.,-1.,0.)); #426280=DIRECTION('',(0.,0.,1.)); #426281=DIRECTION('center_axis',(0.,1.,0.)); #426282=DIRECTION('ref_axis',(-1.,0.,0.)); #426283=DIRECTION('',(-1.,0.,0.)); #426284=DIRECTION('',(-1.,0.,0.)); #426285=DIRECTION('',(0.,0.,1.)); #426286=DIRECTION('center_axis',(1.,0.,0.)); #426287=DIRECTION('ref_axis',(0.,1.,0.)); #426288=DIRECTION('',(0.,1.,0.)); #426289=DIRECTION('',(0.,1.,0.)); #426290=DIRECTION('',(0.,0.,1.)); #426291=DIRECTION('center_axis',(0.,-1.,0.)); #426292=DIRECTION('ref_axis',(1.,0.,0.)); #426293=DIRECTION('',(1.,0.,0.)); #426294=DIRECTION('',(1.,0.,0.)); #426295=DIRECTION('',(0.,0.,1.)); #426296=DIRECTION('center_axis',(1.,0.,0.)); #426297=DIRECTION('ref_axis',(0.,1.,0.)); #426298=DIRECTION('',(0.,1.,0.)); #426299=DIRECTION('',(0.,1.,0.)); #426300=DIRECTION('',(0.,0.,1.)); #426301=DIRECTION('center_axis',(0.707107962751215,0.707105599619905,0.)); #426302=DIRECTION('ref_axis',(-0.707105599619905,0.707107962751215,0.)); #426303=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #426304=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #426305=DIRECTION('',(0.,0.,1.)); #426306=DIRECTION('center_axis',(0.,0.,1.)); #426307=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #426308=DIRECTION('center_axis',(0.,0.,1.)); #426309=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #426310=DIRECTION('center_axis',(0.,0.,1.)); #426311=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #426312=DIRECTION('',(0.,0.,1.)); #426313=DIRECTION('center_axis',(-0.707107962751205,0.707105599619916,0.)); #426314=DIRECTION('ref_axis',(-0.707105599619916,-0.707107962751205,0.)); #426315=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #426316=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #426317=DIRECTION('',(0.,0.,1.)); #426318=DIRECTION('center_axis',(-1.,0.,0.)); #426319=DIRECTION('ref_axis',(0.,-1.,0.)); #426320=DIRECTION('',(0.,-1.,0.)); #426321=DIRECTION('',(0.,-1.,0.)); #426322=DIRECTION('',(0.,0.,1.)); #426323=DIRECTION('center_axis',(0.,-1.,0.)); #426324=DIRECTION('ref_axis',(1.,0.,0.)); #426325=DIRECTION('',(1.,0.,0.)); #426326=DIRECTION('',(1.,0.,0.)); #426327=DIRECTION('',(0.,0.,1.)); #426328=DIRECTION('center_axis',(-1.,0.,0.)); #426329=DIRECTION('ref_axis',(0.,-1.,0.)); #426330=DIRECTION('',(0.,-1.,0.)); #426331=DIRECTION('',(0.,-1.,0.)); #426332=DIRECTION('',(0.,0.,1.)); #426333=DIRECTION('center_axis',(0.,1.,0.)); #426334=DIRECTION('ref_axis',(-1.,0.,0.)); #426335=DIRECTION('',(-1.,0.,0.)); #426336=DIRECTION('',(-1.,0.,0.)); #426337=DIRECTION('',(0.,0.,1.)); #426338=DIRECTION('center_axis',(1.,0.,0.)); #426339=DIRECTION('ref_axis',(0.,1.,0.)); #426340=DIRECTION('',(0.,1.,0.)); #426341=DIRECTION('',(0.,1.,0.)); #426342=DIRECTION('',(0.,0.,1.)); #426343=DIRECTION('center_axis',(0.,-1.,0.)); #426344=DIRECTION('ref_axis',(1.,0.,0.)); #426345=DIRECTION('',(1.,0.,0.)); #426346=DIRECTION('',(1.,0.,0.)); #426347=DIRECTION('',(0.,0.,1.)); #426348=DIRECTION('center_axis',(1.,0.,0.)); #426349=DIRECTION('ref_axis',(0.,1.,0.)); #426350=DIRECTION('',(0.,1.,0.)); #426351=DIRECTION('',(0.,1.,0.)); #426352=DIRECTION('',(0.,0.,1.)); #426353=DIRECTION('center_axis',(0.,0.,1.)); #426354=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #426355=DIRECTION('center_axis',(0.,0.,1.)); #426356=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #426357=DIRECTION('center_axis',(0.,0.,1.)); #426358=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #426359=DIRECTION('',(0.,0.,1.)); #426360=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #426361=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #426362=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #426363=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #426364=DIRECTION('',(0.,0.,1.)); #426365=DIRECTION('center_axis',(0.,0.,1.)); #426366=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #426367=DIRECTION('center_axis',(0.,0.,1.)); #426368=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #426369=DIRECTION('center_axis',(0.,0.,1.)); #426370=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #426371=DIRECTION('',(0.,0.,1.)); #426372=DIRECTION('center_axis',(0.,0.,1.)); #426373=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #426374=DIRECTION('center_axis',(0.,0.,1.)); #426375=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #426376=DIRECTION('center_axis',(0.,0.,1.)); #426377=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #426378=DIRECTION('center_axis',(0.,0.,1.)); #426379=DIRECTION('ref_axis',(1.,0.,0.)); #426380=DIRECTION('center_axis',(0.,0.,1.)); #426381=DIRECTION('ref_axis',(1.,0.,0.)); #426382=DIRECTION('center_axis',(0.,0.,1.)); #426383=DIRECTION('ref_axis',(1.,0.,0.)); #426384=DIRECTION('center_axis',(0.,0.,1.)); #426385=DIRECTION('ref_axis',(1.,0.,0.)); #426386=DIRECTION('',(0.,0.,1.)); #426387=DIRECTION('center_axis',(0.,0.,-1.)); #426388=DIRECTION('ref_axis',(1.,0.,0.)); #426389=DIRECTION('center_axis',(0.,0.,1.)); #426390=DIRECTION('ref_axis',(1.,0.,0.)); #426391=DIRECTION('center_axis',(0.,0.,1.)); #426392=DIRECTION('ref_axis',(1.,0.,0.)); #426393=DIRECTION('',(0.,0.,1.)); #426394=DIRECTION('center_axis',(0.,0.,-1.)); #426395=DIRECTION('ref_axis',(1.,0.,0.)); #426396=DIRECTION('center_axis',(0.11097690011101,-0.993822986070332,0.)); #426397=DIRECTION('ref_axis',(0.993822986070332,0.11097690011101,0.)); #426398=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #426399=DIRECTION('',(0.,0.,1.)); #426400=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #426401=DIRECTION('',(0.,0.,1.)); #426402=DIRECTION('center_axis',(0.,-1.,0.)); #426403=DIRECTION('ref_axis',(1.,0.,0.)); #426404=DIRECTION('',(1.,0.,0.)); #426405=DIRECTION('',(1.,0.,0.)); #426406=DIRECTION('',(0.,0.,1.)); #426407=DIRECTION('center_axis',(0.,0.,1.)); #426408=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #426409=DIRECTION('center_axis',(0.,0.,1.)); #426410=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #426411=DIRECTION('center_axis',(0.,0.,1.)); #426412=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #426413=DIRECTION('',(0.,0.,1.)); #426414=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #426415=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #426416=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #426417=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #426418=DIRECTION('',(0.,0.,1.)); #426419=DIRECTION('center_axis',(0.,-1.,0.)); #426420=DIRECTION('ref_axis',(1.,0.,0.)); #426421=DIRECTION('',(1.,0.,0.)); #426422=DIRECTION('',(1.,0.,0.)); #426423=DIRECTION('',(0.,0.,1.)); #426424=DIRECTION('center_axis',(0.,0.,1.)); #426425=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426426=DIRECTION('center_axis',(0.,0.,1.)); #426427=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426428=DIRECTION('center_axis',(0.,0.,1.)); #426429=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426430=DIRECTION('',(0.,0.,1.)); #426431=DIRECTION('center_axis',(0.,0.,1.)); #426432=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426433=DIRECTION('center_axis',(0.,0.,1.)); #426434=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426435=DIRECTION('center_axis',(0.,0.,1.)); #426436=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426437=DIRECTION('',(0.,0.,1.)); #426438=DIRECTION('center_axis',(0.,1.,0.)); #426439=DIRECTION('ref_axis',(-1.,0.,0.)); #426440=DIRECTION('',(-1.,0.,0.)); #426441=DIRECTION('',(-1.,0.,0.)); #426442=DIRECTION('',(0.,0.,1.)); #426443=DIRECTION('center_axis',(0.,0.,1.)); #426444=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #426445=DIRECTION('center_axis',(0.,0.,1.)); #426446=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #426447=DIRECTION('center_axis',(0.,0.,1.)); #426448=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #426449=DIRECTION('',(0.,0.,1.)); #426450=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #426451=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #426452=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426453=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426454=DIRECTION('',(0.,0.,1.)); #426455=DIRECTION('center_axis',(0.,1.,0.)); #426456=DIRECTION('ref_axis',(-1.,0.,0.)); #426457=DIRECTION('',(-1.,0.,0.)); #426458=DIRECTION('',(-1.,0.,0.)); #426459=DIRECTION('',(0.,0.,1.)); #426460=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #426461=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #426462=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #426463=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #426464=DIRECTION('',(0.,0.,1.)); #426465=DIRECTION('center_axis',(0.,0.,1.)); #426466=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #426467=DIRECTION('center_axis',(0.,0.,1.)); #426468=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #426469=DIRECTION('center_axis',(0.,0.,1.)); #426470=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #426471=DIRECTION('',(0.,0.,1.)); #426472=DIRECTION('center_axis',(0.,1.,0.)); #426473=DIRECTION('ref_axis',(-1.,0.,0.)); #426474=DIRECTION('',(-1.,0.,0.)); #426475=DIRECTION('',(-1.,0.,0.)); #426476=DIRECTION('',(0.,0.,1.)); #426477=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #426478=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #426479=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #426480=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #426481=DIRECTION('',(0.,0.,1.)); #426482=DIRECTION('center_axis',(-1.,0.,0.)); #426483=DIRECTION('ref_axis',(0.,-1.,0.)); #426484=DIRECTION('',(0.,-1.,0.)); #426485=DIRECTION('',(0.,-1.,0.)); #426486=DIRECTION('',(0.,0.,1.)); #426487=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #426488=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #426489=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #426490=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #426491=DIRECTION('',(0.,0.,1.)); #426492=DIRECTION('center_axis',(0.,-1.,0.)); #426493=DIRECTION('ref_axis',(1.,0.,0.)); #426494=DIRECTION('',(1.,0.,0.)); #426495=DIRECTION('',(1.,0.,0.)); #426496=DIRECTION('',(0.,0.,1.)); #426497=DIRECTION('center_axis',(0.,0.,1.)); #426498=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #426499=DIRECTION('center_axis',(0.,0.,1.)); #426500=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #426501=DIRECTION('center_axis',(0.,0.,1.)); #426502=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #426503=DIRECTION('',(0.,0.,1.)); #426504=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #426505=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #426506=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #426507=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #426508=DIRECTION('',(0.,0.,1.)); #426509=DIRECTION('center_axis',(0.,-1.,0.)); #426510=DIRECTION('ref_axis',(1.,0.,0.)); #426511=DIRECTION('',(1.,0.,0.)); #426512=DIRECTION('',(1.,0.,0.)); #426513=DIRECTION('',(0.,0.,1.)); #426514=DIRECTION('center_axis',(0.,0.,1.)); #426515=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #426516=DIRECTION('center_axis',(0.,0.,1.)); #426517=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #426518=DIRECTION('center_axis',(0.,0.,1.)); #426519=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #426520=DIRECTION('',(0.,0.,1.)); #426521=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #426522=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #426523=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #426524=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #426525=DIRECTION('',(0.,0.,1.)); #426526=DIRECTION('center_axis',(0.,0.,1.)); #426527=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #426528=DIRECTION('center_axis',(0.,0.,1.)); #426529=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #426530=DIRECTION('center_axis',(0.,0.,1.)); #426531=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #426532=DIRECTION('',(0.,0.,1.)); #426533=DIRECTION('center_axis',(-1.,0.,0.)); #426534=DIRECTION('ref_axis',(0.,-1.,0.)); #426535=DIRECTION('',(0.,-1.,0.)); #426536=DIRECTION('',(0.,-1.,0.)); #426537=DIRECTION('',(0.,0.,1.)); #426538=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #426539=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #426540=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #426541=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #426542=DIRECTION('',(0.,0.,1.)); #426543=DIRECTION('center_axis',(0.,-1.,0.)); #426544=DIRECTION('ref_axis',(1.,0.,0.)); #426545=DIRECTION('',(1.,0.,0.)); #426546=DIRECTION('',(1.,0.,0.)); #426547=DIRECTION('',(0.,0.,1.)); #426548=DIRECTION('center_axis',(0.,0.,1.)); #426549=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #426550=DIRECTION('center_axis',(0.,0.,1.)); #426551=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #426552=DIRECTION('center_axis',(0.,0.,1.)); #426553=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #426554=DIRECTION('',(0.,0.,1.)); #426555=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #426556=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #426557=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #426558=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #426559=DIRECTION('',(0.,0.,1.)); #426560=DIRECTION('center_axis',(0.,0.,1.)); #426561=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #426562=DIRECTION('center_axis',(0.,0.,1.)); #426563=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #426564=DIRECTION('center_axis',(0.,0.,1.)); #426565=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #426566=DIRECTION('',(0.,0.,1.)); #426567=DIRECTION('center_axis',(-1.,0.,0.)); #426568=DIRECTION('ref_axis',(0.,-1.,0.)); #426569=DIRECTION('',(0.,-1.,0.)); #426570=DIRECTION('',(0.,-1.,0.)); #426571=DIRECTION('',(0.,0.,1.)); #426572=DIRECTION('center_axis',(0.,0.,1.)); #426573=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #426574=DIRECTION('center_axis',(0.,0.,1.)); #426575=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #426576=DIRECTION('center_axis',(0.,0.,1.)); #426577=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #426578=DIRECTION('',(0.,0.,1.)); #426579=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #426580=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #426581=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #426582=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #426583=DIRECTION('',(0.,0.,1.)); #426584=DIRECTION('center_axis',(-1.,0.,0.)); #426585=DIRECTION('ref_axis',(0.,-1.,0.)); #426586=DIRECTION('',(0.,-1.,0.)); #426587=DIRECTION('',(0.,-1.,0.)); #426588=DIRECTION('',(0.,0.,1.)); #426589=DIRECTION('center_axis',(0.,0.,1.)); #426590=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #426591=DIRECTION('center_axis',(0.,0.,1.)); #426592=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #426593=DIRECTION('center_axis',(0.,0.,1.)); #426594=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #426595=DIRECTION('',(0.,0.,1.)); #426596=DIRECTION('center_axis',(0.,0.,1.)); #426597=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #426598=DIRECTION('center_axis',(0.,0.,1.)); #426599=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #426600=DIRECTION('center_axis',(0.,0.,1.)); #426601=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #426602=DIRECTION('',(0.,0.,1.)); #426603=DIRECTION('center_axis',(1.,0.,0.)); #426604=DIRECTION('ref_axis',(0.,1.,0.)); #426605=DIRECTION('',(0.,1.,0.)); #426606=DIRECTION('',(0.,1.,0.)); #426607=DIRECTION('',(0.,0.,1.)); #426608=DIRECTION('center_axis',(0.,0.,1.)); #426609=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #426610=DIRECTION('center_axis',(0.,0.,1.)); #426611=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #426612=DIRECTION('center_axis',(0.,0.,1.)); #426613=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #426614=DIRECTION('',(0.,0.,1.)); #426615=DIRECTION('center_axis',(0.707106781186538,-0.707106781186557,0.)); #426616=DIRECTION('ref_axis',(0.707106781186557,0.707106781186538,0.)); #426617=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #426618=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #426619=DIRECTION('',(0.,0.,1.)); #426620=DIRECTION('center_axis',(1.,0.,0.)); #426621=DIRECTION('ref_axis',(0.,1.,0.)); #426622=DIRECTION('',(0.,1.,0.)); #426623=DIRECTION('',(0.,1.,0.)); #426624=DIRECTION('',(0.,0.,1.)); #426625=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #426626=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #426627=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #426628=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #426629=DIRECTION('',(0.,0.,1.)); #426630=DIRECTION('center_axis',(0.,1.,0.)); #426631=DIRECTION('ref_axis',(-1.,0.,0.)); #426632=DIRECTION('',(-1.,0.,0.)); #426633=DIRECTION('',(-1.,0.,0.)); #426634=DIRECTION('',(0.,0.,1.)); #426635=DIRECTION('center_axis',(0.,0.,1.)); #426636=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #426637=DIRECTION('center_axis',(0.,0.,1.)); #426638=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #426639=DIRECTION('center_axis',(0.,0.,1.)); #426640=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #426641=DIRECTION('',(0.,0.,1.)); #426642=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #426643=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #426644=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #426645=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #426646=DIRECTION('',(0.,0.,1.)); #426647=DIRECTION('center_axis',(0.,0.,1.)); #426648=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #426649=DIRECTION('center_axis',(0.,0.,1.)); #426650=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #426651=DIRECTION('center_axis',(0.,0.,1.)); #426652=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #426653=DIRECTION('',(0.,0.,1.)); #426654=DIRECTION('center_axis',(1.,0.,0.)); #426655=DIRECTION('ref_axis',(0.,1.,0.)); #426656=DIRECTION('',(0.,1.,0.)); #426657=DIRECTION('',(0.,1.,0.)); #426658=DIRECTION('',(0.,0.,1.)); #426659=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #426660=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #426661=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426662=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426663=DIRECTION('',(0.,0.,1.)); #426664=DIRECTION('center_axis',(0.,1.,0.)); #426665=DIRECTION('ref_axis',(-1.,0.,0.)); #426666=DIRECTION('',(-1.,0.,0.)); #426667=DIRECTION('',(-1.,0.,0.)); #426668=DIRECTION('',(0.,0.,1.)); #426669=DIRECTION('center_axis',(0.,0.,1.)); #426670=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426671=DIRECTION('center_axis',(0.,0.,1.)); #426672=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426673=DIRECTION('center_axis',(0.,0.,1.)); #426674=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #426675=DIRECTION('',(0.,0.,1.)); #426676=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #426677=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #426678=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426679=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426680=DIRECTION('',(0.,0.,1.)); #426681=DIRECTION('center_axis',(0.,1.,0.)); #426682=DIRECTION('ref_axis',(-1.,0.,0.)); #426683=DIRECTION('',(-1.,0.,0.)); #426684=DIRECTION('',(-1.,0.,0.)); #426685=DIRECTION('',(0.,0.,1.)); #426686=DIRECTION('center_axis',(0.,0.,1.)); #426687=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #426688=DIRECTION('center_axis',(0.,0.,1.)); #426689=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #426690=DIRECTION('center_axis',(0.,0.,1.)); #426691=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #426692=DIRECTION('',(0.,0.,1.)); #426693=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #426694=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #426695=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #426696=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #426697=DIRECTION('',(0.,0.,1.)); #426698=DIRECTION('center_axis',(0.,0.,1.)); #426699=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #426700=DIRECTION('center_axis',(0.,0.,1.)); #426701=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #426702=DIRECTION('center_axis',(0.,0.,1.)); #426703=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #426704=DIRECTION('',(0.,0.,1.)); #426705=DIRECTION('center_axis',(1.,0.,0.)); #426706=DIRECTION('ref_axis',(0.,1.,0.)); #426707=DIRECTION('',(0.,1.,0.)); #426708=DIRECTION('',(0.,1.,0.)); #426709=DIRECTION('',(0.,0.,1.)); #426710=DIRECTION('center_axis',(0.,0.,1.)); #426711=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #426712=DIRECTION('center_axis',(0.,0.,1.)); #426713=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #426714=DIRECTION('center_axis',(0.,0.,1.)); #426715=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #426716=DIRECTION('',(0.,0.,1.)); #426717=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #426718=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #426719=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #426720=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #426721=DIRECTION('',(0.,0.,1.)); #426722=DIRECTION('center_axis',(0.,0.,1.)); #426723=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #426724=DIRECTION('center_axis',(0.,0.,1.)); #426725=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #426726=DIRECTION('center_axis',(0.,0.,1.)); #426727=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #426728=DIRECTION('',(0.,0.,1.)); #426729=DIRECTION('center_axis',(0.,-1.,0.)); #426730=DIRECTION('ref_axis',(1.,0.,0.)); #426731=DIRECTION('',(1.,0.,0.)); #426732=DIRECTION('',(1.,0.,0.)); #426733=DIRECTION('',(0.,0.,1.)); #426734=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #426735=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #426736=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #426737=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #426738=DIRECTION('',(0.,0.,1.)); #426739=DIRECTION('center_axis',(0.,0.,1.)); #426740=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #426741=DIRECTION('center_axis',(0.,0.,1.)); #426742=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #426743=DIRECTION('center_axis',(0.,0.,1.)); #426744=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #426745=DIRECTION('center_axis',(0.,0.,1.)); #426746=DIRECTION('ref_axis',(1.,0.,0.)); #426747=DIRECTION('center_axis',(0.,0.,1.)); #426748=DIRECTION('ref_axis',(1.,0.,0.)); #426749=DIRECTION('center_axis',(0.,0.,1.)); #426750=DIRECTION('ref_axis',(1.,0.,0.)); #426751=DIRECTION('center_axis',(0.,0.,1.)); #426752=DIRECTION('ref_axis',(1.,0.,0.)); #426753=DIRECTION('',(0.,0.,1.)); #426754=DIRECTION('center_axis',(0.,0.,-1.)); #426755=DIRECTION('ref_axis',(1.,0.,0.)); #426756=DIRECTION('center_axis',(0.,0.,1.)); #426757=DIRECTION('ref_axis',(1.,0.,0.)); #426758=DIRECTION('center_axis',(0.,0.,1.)); #426759=DIRECTION('ref_axis',(1.,0.,0.)); #426760=DIRECTION('',(0.,0.,1.)); #426761=DIRECTION('center_axis',(0.,0.,-1.)); #426762=DIRECTION('ref_axis',(1.,0.,0.)); #426763=DIRECTION('center_axis',(0.156447762252282,-0.987686234431894,0.)); #426764=DIRECTION('ref_axis',(0.987686234431894,0.156447762252282,0.)); #426765=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #426766=DIRECTION('',(0.,0.,1.)); #426767=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #426768=DIRECTION('',(0.,0.,1.)); #426769=DIRECTION('center_axis',(0.,0.,1.)); #426770=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426771=DIRECTION('center_axis',(0.,0.,1.)); #426772=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426773=DIRECTION('center_axis',(0.,0.,1.)); #426774=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426775=DIRECTION('',(0.,0.,1.)); #426776=DIRECTION('center_axis',(0.,0.,1.)); #426777=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #426778=DIRECTION('center_axis',(0.,0.,1.)); #426779=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #426780=DIRECTION('center_axis',(0.,0.,1.)); #426781=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #426782=DIRECTION('',(0.,0.,1.)); #426783=DIRECTION('center_axis',(0.,1.,0.)); #426784=DIRECTION('ref_axis',(-1.,0.,0.)); #426785=DIRECTION('',(-1.,0.,0.)); #426786=DIRECTION('',(-1.,0.,0.)); #426787=DIRECTION('',(0.,0.,1.)); #426788=DIRECTION('center_axis',(0.,0.,1.)); #426789=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #426790=DIRECTION('center_axis',(0.,0.,1.)); #426791=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #426792=DIRECTION('center_axis',(0.,0.,1.)); #426793=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #426794=DIRECTION('',(0.,0.,1.)); #426795=DIRECTION('center_axis',(0.,0.,1.)); #426796=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #426797=DIRECTION('center_axis',(0.,0.,1.)); #426798=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #426799=DIRECTION('center_axis',(0.,0.,1.)); #426800=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #426801=DIRECTION('',(0.,0.,1.)); #426802=DIRECTION('center_axis',(0.,-1.,0.)); #426803=DIRECTION('ref_axis',(1.,0.,0.)); #426804=DIRECTION('',(1.,0.,0.)); #426805=DIRECTION('',(1.,0.,0.)); #426806=DIRECTION('',(0.,0.,1.)); #426807=DIRECTION('center_axis',(0.,0.,1.)); #426808=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #426809=DIRECTION('center_axis',(0.,0.,1.)); #426810=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #426811=DIRECTION('center_axis',(0.,0.,1.)); #426812=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #426813=DIRECTION('center_axis',(0.,0.,1.)); #426814=DIRECTION('ref_axis',(1.,0.,0.)); #426815=DIRECTION('center_axis',(0.,0.,1.)); #426816=DIRECTION('ref_axis',(1.,0.,0.)); #426817=DIRECTION('center_axis',(0.,0.,1.)); #426818=DIRECTION('ref_axis',(1.,0.,0.)); #426819=DIRECTION('center_axis',(0.,0.,1.)); #426820=DIRECTION('ref_axis',(1.,0.,0.)); #426821=DIRECTION('',(0.,0.,1.)); #426822=DIRECTION('center_axis',(0.,0.,-1.)); #426823=DIRECTION('ref_axis',(1.,0.,0.)); #426824=DIRECTION('center_axis',(0.,0.,1.)); #426825=DIRECTION('ref_axis',(1.,0.,0.)); #426826=DIRECTION('center_axis',(0.,0.,1.)); #426827=DIRECTION('ref_axis',(1.,0.,0.)); #426828=DIRECTION('',(0.,0.,1.)); #426829=DIRECTION('center_axis',(0.,0.,-1.)); #426830=DIRECTION('ref_axis',(1.,0.,0.)); #426831=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #426832=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #426833=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #426834=DIRECTION('',(0.,0.,1.)); #426835=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #426836=DIRECTION('',(0.,0.,1.)); #426837=DIRECTION('center_axis',(0.,0.,1.)); #426838=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426839=DIRECTION('center_axis',(0.,0.,1.)); #426840=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426841=DIRECTION('center_axis',(0.,0.,1.)); #426842=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426843=DIRECTION('',(0.,0.,1.)); #426844=DIRECTION('center_axis',(0.,0.,1.)); #426845=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426846=DIRECTION('center_axis',(0.,0.,1.)); #426847=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426848=DIRECTION('center_axis',(0.,0.,1.)); #426849=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426850=DIRECTION('',(0.,0.,1.)); #426851=DIRECTION('center_axis',(0.,1.,0.)); #426852=DIRECTION('ref_axis',(-1.,0.,0.)); #426853=DIRECTION('',(-1.,0.,0.)); #426854=DIRECTION('',(-1.,0.,0.)); #426855=DIRECTION('',(0.,0.,1.)); #426856=DIRECTION('center_axis',(0.,0.,1.)); #426857=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #426858=DIRECTION('center_axis',(0.,0.,1.)); #426859=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #426860=DIRECTION('center_axis',(0.,0.,1.)); #426861=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #426862=DIRECTION('',(0.,0.,1.)); #426863=DIRECTION('center_axis',(0.,0.,1.)); #426864=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #426865=DIRECTION('center_axis',(0.,0.,1.)); #426866=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #426867=DIRECTION('center_axis',(0.,0.,1.)); #426868=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #426869=DIRECTION('',(0.,0.,1.)); #426870=DIRECTION('center_axis',(0.,-1.,0.)); #426871=DIRECTION('ref_axis',(1.,0.,0.)); #426872=DIRECTION('',(1.,0.,0.)); #426873=DIRECTION('',(1.,0.,0.)); #426874=DIRECTION('',(0.,0.,1.)); #426875=DIRECTION('center_axis',(0.,0.,1.)); #426876=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #426877=DIRECTION('center_axis',(0.,0.,1.)); #426878=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #426879=DIRECTION('center_axis',(0.,0.,1.)); #426880=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #426881=DIRECTION('center_axis',(0.,0.,1.)); #426882=DIRECTION('ref_axis',(1.,0.,0.)); #426883=DIRECTION('center_axis',(0.,0.,1.)); #426884=DIRECTION('ref_axis',(1.,0.,0.)); #426885=DIRECTION('center_axis',(0.,0.,1.)); #426886=DIRECTION('ref_axis',(1.,0.,0.)); #426887=DIRECTION('center_axis',(0.,0.,1.)); #426888=DIRECTION('ref_axis',(1.,0.,0.)); #426889=DIRECTION('',(0.,0.,1.)); #426890=DIRECTION('center_axis',(0.,0.,-1.)); #426891=DIRECTION('ref_axis',(1.,0.,0.)); #426892=DIRECTION('center_axis',(0.,0.,1.)); #426893=DIRECTION('ref_axis',(1.,0.,0.)); #426894=DIRECTION('center_axis',(0.,0.,1.)); #426895=DIRECTION('ref_axis',(1.,0.,0.)); #426896=DIRECTION('',(0.,0.,1.)); #426897=DIRECTION('center_axis',(0.,0.,-1.)); #426898=DIRECTION('ref_axis',(1.,0.,0.)); #426899=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #426900=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #426901=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #426902=DIRECTION('',(0.,0.,1.)); #426903=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #426904=DIRECTION('',(0.,0.,1.)); #426905=DIRECTION('center_axis',(0.,0.,1.)); #426906=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426907=DIRECTION('center_axis',(0.,0.,1.)); #426908=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426909=DIRECTION('center_axis',(0.,0.,1.)); #426910=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #426911=DIRECTION('',(0.,0.,1.)); #426912=DIRECTION('center_axis',(0.,0.,1.)); #426913=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426914=DIRECTION('center_axis',(0.,0.,1.)); #426915=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426916=DIRECTION('center_axis',(0.,0.,1.)); #426917=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #426918=DIRECTION('',(0.,0.,1.)); #426919=DIRECTION('center_axis',(0.,1.,0.)); #426920=DIRECTION('ref_axis',(-1.,0.,0.)); #426921=DIRECTION('',(-1.,0.,0.)); #426922=DIRECTION('',(-1.,0.,0.)); #426923=DIRECTION('',(0.,0.,1.)); #426924=DIRECTION('center_axis',(0.,0.,1.)); #426925=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #426926=DIRECTION('center_axis',(0.,0.,1.)); #426927=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #426928=DIRECTION('center_axis',(0.,0.,1.)); #426929=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #426930=DIRECTION('',(0.,0.,1.)); #426931=DIRECTION('center_axis',(0.,0.,1.)); #426932=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #426933=DIRECTION('center_axis',(0.,0.,1.)); #426934=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #426935=DIRECTION('center_axis',(0.,0.,1.)); #426936=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #426937=DIRECTION('',(0.,0.,1.)); #426938=DIRECTION('center_axis',(0.,-1.,0.)); #426939=DIRECTION('ref_axis',(1.,0.,0.)); #426940=DIRECTION('',(1.,0.,0.)); #426941=DIRECTION('',(1.,0.,0.)); #426942=DIRECTION('',(0.,0.,1.)); #426943=DIRECTION('center_axis',(0.,0.,1.)); #426944=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #426945=DIRECTION('center_axis',(0.,0.,1.)); #426946=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #426947=DIRECTION('center_axis',(0.,0.,1.)); #426948=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #426949=DIRECTION('center_axis',(0.,0.,1.)); #426950=DIRECTION('ref_axis',(1.,0.,0.)); #426951=DIRECTION('center_axis',(0.,0.,1.)); #426952=DIRECTION('ref_axis',(1.,0.,0.)); #426953=DIRECTION('center_axis',(0.,0.,1.)); #426954=DIRECTION('ref_axis',(1.,0.,0.)); #426955=DIRECTION('center_axis',(0.,0.,1.)); #426956=DIRECTION('ref_axis',(1.,0.,0.)); #426957=DIRECTION('',(0.,0.,1.)); #426958=DIRECTION('center_axis',(0.,0.,-1.)); #426959=DIRECTION('ref_axis',(1.,0.,0.)); #426960=DIRECTION('center_axis',(0.,0.,1.)); #426961=DIRECTION('ref_axis',(1.,0.,0.)); #426962=DIRECTION('center_axis',(0.,0.,1.)); #426963=DIRECTION('ref_axis',(1.,0.,0.)); #426964=DIRECTION('',(0.,0.,1.)); #426965=DIRECTION('center_axis',(0.,0.,-1.)); #426966=DIRECTION('ref_axis',(1.,0.,0.)); #426967=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #426968=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #426969=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #426970=DIRECTION('',(0.,0.,1.)); #426971=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #426972=DIRECTION('',(0.,0.,1.)); #426973=DIRECTION('center_axis',(0.,0.,1.)); #426974=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #426975=DIRECTION('center_axis',(0.,0.,1.)); #426976=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #426977=DIRECTION('center_axis',(0.,0.,1.)); #426978=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #426979=DIRECTION('',(0.,0.,1.)); #426980=DIRECTION('center_axis',(-1.,0.,0.)); #426981=DIRECTION('ref_axis',(0.,-1.,0.)); #426982=DIRECTION('',(0.,-1.,0.)); #426983=DIRECTION('',(0.,-1.,0.)); #426984=DIRECTION('',(0.,0.,1.)); #426985=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #426986=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #426987=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #426988=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #426989=DIRECTION('',(0.,0.,1.)); #426990=DIRECTION('center_axis',(0.,-1.,0.)); #426991=DIRECTION('ref_axis',(1.,0.,0.)); #426992=DIRECTION('',(1.,0.,0.)); #426993=DIRECTION('',(1.,0.,0.)); #426994=DIRECTION('',(0.,0.,1.)); #426995=DIRECTION('center_axis',(0.,0.,1.)); #426996=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426997=DIRECTION('center_axis',(0.,0.,1.)); #426998=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #426999=DIRECTION('center_axis',(0.,0.,1.)); #427000=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #427001=DIRECTION('',(0.,0.,1.)); #427002=DIRECTION('center_axis',(0.,0.,1.)); #427003=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #427004=DIRECTION('center_axis',(0.,0.,1.)); #427005=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #427006=DIRECTION('center_axis',(0.,0.,1.)); #427007=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #427008=DIRECTION('',(0.,0.,1.)); #427009=DIRECTION('center_axis',(0.,1.,0.)); #427010=DIRECTION('ref_axis',(-1.,0.,0.)); #427011=DIRECTION('',(-1.,0.,0.)); #427012=DIRECTION('',(-1.,0.,0.)); #427013=DIRECTION('',(0.,0.,1.)); #427014=DIRECTION('center_axis',(0.,0.,1.)); #427015=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #427016=DIRECTION('center_axis',(0.,0.,1.)); #427017=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #427018=DIRECTION('center_axis',(0.,0.,1.)); #427019=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #427020=DIRECTION('',(0.,0.,1.)); #427021=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #427022=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #427023=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #427024=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #427025=DIRECTION('',(0.,0.,1.)); #427026=DIRECTION('center_axis',(0.,0.,1.)); #427027=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427028=DIRECTION('center_axis',(0.,0.,1.)); #427029=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427030=DIRECTION('center_axis',(0.,0.,1.)); #427031=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427032=DIRECTION('',(0.,0.,1.)); #427033=DIRECTION('center_axis',(1.,0.,0.)); #427034=DIRECTION('ref_axis',(0.,1.,0.)); #427035=DIRECTION('',(0.,1.,0.)); #427036=DIRECTION('',(0.,1.,0.)); #427037=DIRECTION('',(0.,0.,1.)); #427038=DIRECTION('center_axis',(0.,0.,1.)); #427039=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #427040=DIRECTION('center_axis',(0.,0.,1.)); #427041=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #427042=DIRECTION('center_axis',(0.,0.,1.)); #427043=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #427044=DIRECTION('center_axis',(0.,0.,1.)); #427045=DIRECTION('ref_axis',(1.,0.,0.)); #427046=DIRECTION('center_axis',(0.,0.,1.)); #427047=DIRECTION('ref_axis',(1.,0.,0.)); #427048=DIRECTION('center_axis',(0.,0.,1.)); #427049=DIRECTION('ref_axis',(1.,0.,0.)); #427050=DIRECTION('center_axis',(0.,0.,1.)); #427051=DIRECTION('ref_axis',(1.,0.,0.)); #427052=DIRECTION('',(0.,0.,1.)); #427053=DIRECTION('center_axis',(0.,0.,-1.)); #427054=DIRECTION('ref_axis',(1.,0.,0.)); #427055=DIRECTION('center_axis',(1.,0.,0.)); #427056=DIRECTION('ref_axis',(0.,1.,0.)); #427057=DIRECTION('',(0.,1.,0.)); #427058=DIRECTION('',(0.,0.,1.)); #427059=DIRECTION('',(0.,1.,0.)); #427060=DIRECTION('',(0.,0.,1.)); #427061=DIRECTION('center_axis',(0.,-1.,0.)); #427062=DIRECTION('ref_axis',(1.,0.,0.)); #427063=DIRECTION('',(1.,0.,0.)); #427064=DIRECTION('',(1.,0.,0.)); #427065=DIRECTION('',(0.,0.,1.)); #427066=DIRECTION('center_axis',(-1.,0.,0.)); #427067=DIRECTION('ref_axis',(0.,-1.,0.)); #427068=DIRECTION('',(0.,-1.,0.)); #427069=DIRECTION('',(0.,-1.,0.)); #427070=DIRECTION('',(0.,0.,1.)); #427071=DIRECTION('center_axis',(0.,1.,0.)); #427072=DIRECTION('ref_axis',(-1.,0.,0.)); #427073=DIRECTION('',(-1.,0.,0.)); #427074=DIRECTION('',(-1.,0.,0.)); #427075=DIRECTION('center_axis',(0.,0.,1.)); #427076=DIRECTION('ref_axis',(1.,0.,0.)); #427077=DIRECTION('center_axis',(0.,0.,1.)); #427078=DIRECTION('ref_axis',(1.,0.,0.)); #427079=DIRECTION('center_axis',(0.,0.,1.)); #427080=DIRECTION('ref_axis',(1.,0.,0.)); #427081=DIRECTION('center_axis',(0.,0.,1.)); #427082=DIRECTION('ref_axis',(1.,0.,0.)); #427083=DIRECTION('',(0.,0.,1.)); #427084=DIRECTION('center_axis',(0.,0.,-1.)); #427085=DIRECTION('ref_axis',(1.,0.,0.)); #427086=DIRECTION('center_axis',(1.,0.,0.)); #427087=DIRECTION('ref_axis',(0.,1.,0.)); #427088=DIRECTION('',(0.,1.,0.)); #427089=DIRECTION('',(0.,0.,1.)); #427090=DIRECTION('',(0.,1.,0.)); #427091=DIRECTION('',(0.,0.,1.)); #427092=DIRECTION('center_axis',(0.,-1.,0.)); #427093=DIRECTION('ref_axis',(1.,0.,0.)); #427094=DIRECTION('',(1.,0.,0.)); #427095=DIRECTION('',(1.,0.,0.)); #427096=DIRECTION('',(0.,0.,1.)); #427097=DIRECTION('center_axis',(-1.,0.,0.)); #427098=DIRECTION('ref_axis',(0.,-1.,0.)); #427099=DIRECTION('',(0.,-1.,0.)); #427100=DIRECTION('',(0.,-1.,0.)); #427101=DIRECTION('',(0.,0.,1.)); #427102=DIRECTION('center_axis',(0.,1.,0.)); #427103=DIRECTION('ref_axis',(-1.,0.,0.)); #427104=DIRECTION('',(-1.,0.,0.)); #427105=DIRECTION('',(-1.,0.,0.)); #427106=DIRECTION('center_axis',(0.,0.,1.)); #427107=DIRECTION('ref_axis',(1.,0.,0.)); #427108=DIRECTION('center_axis',(0.,0.,1.)); #427109=DIRECTION('ref_axis',(1.,0.,0.)); #427110=DIRECTION('center_axis',(0.,0.,1.)); #427111=DIRECTION('ref_axis',(1.,0.,0.)); #427112=DIRECTION('center_axis',(0.,0.,1.)); #427113=DIRECTION('ref_axis',(1.,0.,0.)); #427114=DIRECTION('',(0.,0.,1.)); #427115=DIRECTION('center_axis',(0.,0.,-1.)); #427116=DIRECTION('ref_axis',(1.,0.,0.)); #427117=DIRECTION('center_axis',(1.,0.,0.)); #427118=DIRECTION('ref_axis',(0.,1.,0.)); #427119=DIRECTION('',(0.,1.,0.)); #427120=DIRECTION('',(0.,0.,1.)); #427121=DIRECTION('',(0.,1.,0.)); #427122=DIRECTION('',(0.,0.,1.)); #427123=DIRECTION('center_axis',(0.,-1.,0.)); #427124=DIRECTION('ref_axis',(1.,0.,0.)); #427125=DIRECTION('',(1.,0.,0.)); #427126=DIRECTION('',(1.,0.,0.)); #427127=DIRECTION('',(0.,0.,1.)); #427128=DIRECTION('center_axis',(-1.,0.,0.)); #427129=DIRECTION('ref_axis',(0.,-1.,0.)); #427130=DIRECTION('',(0.,-1.,0.)); #427131=DIRECTION('',(0.,-1.,0.)); #427132=DIRECTION('',(0.,0.,1.)); #427133=DIRECTION('center_axis',(0.,1.,0.)); #427134=DIRECTION('ref_axis',(-1.,0.,0.)); #427135=DIRECTION('',(-1.,0.,0.)); #427136=DIRECTION('',(-1.,0.,0.)); #427137=DIRECTION('center_axis',(0.,0.,1.)); #427138=DIRECTION('ref_axis',(1.,0.,0.)); #427139=DIRECTION('center_axis',(0.,0.,1.)); #427140=DIRECTION('ref_axis',(1.,0.,0.)); #427141=DIRECTION('center_axis',(0.,0.,1.)); #427142=DIRECTION('ref_axis',(1.,0.,0.)); #427143=DIRECTION('center_axis',(0.,0.,1.)); #427144=DIRECTION('ref_axis',(1.,0.,0.)); #427145=DIRECTION('',(0.,0.,1.)); #427146=DIRECTION('center_axis',(0.,0.,-1.)); #427147=DIRECTION('ref_axis',(1.,0.,0.)); #427148=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #427149=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #427150=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #427151=DIRECTION('',(0.,0.,1.)); #427152=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #427153=DIRECTION('',(0.,0.,1.)); #427154=DIRECTION('center_axis',(0.,0.,1.)); #427155=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #427156=DIRECTION('center_axis',(0.,0.,1.)); #427157=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #427158=DIRECTION('center_axis',(0.,0.,1.)); #427159=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #427160=DIRECTION('',(0.,0.,1.)); #427161=DIRECTION('center_axis',(-1.,0.,0.)); #427162=DIRECTION('ref_axis',(0.,-1.,0.)); #427163=DIRECTION('',(0.,-1.,0.)); #427164=DIRECTION('',(0.,-1.,0.)); #427165=DIRECTION('',(0.,0.,1.)); #427166=DIRECTION('center_axis',(0.,-1.,0.)); #427167=DIRECTION('ref_axis',(1.,0.,0.)); #427168=DIRECTION('',(1.,0.,0.)); #427169=DIRECTION('',(1.,0.,0.)); #427170=DIRECTION('',(0.,0.,1.)); #427171=DIRECTION('center_axis',(-1.,0.,0.)); #427172=DIRECTION('ref_axis',(0.,-1.,0.)); #427173=DIRECTION('',(0.,-1.,0.)); #427174=DIRECTION('',(0.,-1.,0.)); #427175=DIRECTION('',(0.,0.,1.)); #427176=DIRECTION('center_axis',(0.,1.,0.)); #427177=DIRECTION('ref_axis',(-1.,0.,0.)); #427178=DIRECTION('',(-1.,0.,0.)); #427179=DIRECTION('',(-1.,0.,0.)); #427180=DIRECTION('',(0.,0.,1.)); #427181=DIRECTION('center_axis',(1.,0.,0.)); #427182=DIRECTION('ref_axis',(0.,1.,0.)); #427183=DIRECTION('',(0.,1.,0.)); #427184=DIRECTION('',(0.,1.,0.)); #427185=DIRECTION('',(0.,0.,1.)); #427186=DIRECTION('center_axis',(0.,-1.,0.)); #427187=DIRECTION('ref_axis',(1.,0.,0.)); #427188=DIRECTION('',(1.,0.,0.)); #427189=DIRECTION('',(1.,0.,0.)); #427190=DIRECTION('',(0.,0.,1.)); #427191=DIRECTION('center_axis',(1.,0.,0.)); #427192=DIRECTION('ref_axis',(0.,1.,0.)); #427193=DIRECTION('',(0.,1.,0.)); #427194=DIRECTION('',(0.,1.,0.)); #427195=DIRECTION('',(0.,0.,1.)); #427196=DIRECTION('center_axis',(0.,0.,1.)); #427197=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427198=DIRECTION('center_axis',(0.,0.,1.)); #427199=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427200=DIRECTION('center_axis',(0.,0.,1.)); #427201=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427202=DIRECTION('center_axis',(0.,0.,1.)); #427203=DIRECTION('ref_axis',(1.,0.,0.)); #427204=DIRECTION('center_axis',(0.,0.,1.)); #427205=DIRECTION('ref_axis',(1.,0.,0.)); #427206=DIRECTION('center_axis',(0.,0.,1.)); #427207=DIRECTION('ref_axis',(1.,0.,0.)); #427208=DIRECTION('center_axis',(0.,0.,1.)); #427209=DIRECTION('ref_axis',(1.,0.,0.)); #427210=DIRECTION('',(0.,0.,1.)); #427211=DIRECTION('center_axis',(0.,0.,-1.)); #427212=DIRECTION('ref_axis',(1.,0.,0.)); #427213=DIRECTION('center_axis',(0.,0.,1.)); #427214=DIRECTION('ref_axis',(1.,0.,0.)); #427215=DIRECTION('center_axis',(0.,0.,1.)); #427216=DIRECTION('ref_axis',(1.,0.,0.)); #427217=DIRECTION('',(0.,0.,1.)); #427218=DIRECTION('center_axis',(0.,0.,-1.)); #427219=DIRECTION('ref_axis',(1.,0.,0.)); #427220=DIRECTION('center_axis',(0.110976900111233,-0.993822986070307,0.)); #427221=DIRECTION('ref_axis',(0.993822986070307,0.110976900111233,0.)); #427222=DIRECTION('',(0.993822986070307,0.110976900111233,0.)); #427223=DIRECTION('',(0.,0.,1.)); #427224=DIRECTION('',(0.993822986070307,0.110976900111233,0.)); #427225=DIRECTION('',(0.,0.,1.)); #427226=DIRECTION('center_axis',(0.,-1.,0.)); #427227=DIRECTION('ref_axis',(1.,0.,0.)); #427228=DIRECTION('',(1.,0.,0.)); #427229=DIRECTION('',(1.,0.,0.)); #427230=DIRECTION('',(0.,0.,1.)); #427231=DIRECTION('center_axis',(0.,0.,1.)); #427232=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #427233=DIRECTION('center_axis',(0.,0.,1.)); #427234=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #427235=DIRECTION('center_axis',(0.,0.,1.)); #427236=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #427237=DIRECTION('',(0.,0.,1.)); #427238=DIRECTION('center_axis',(-0.707106781186562,-0.707106781186533, 0.)); #427239=DIRECTION('ref_axis',(0.707106781186533,-0.707106781186562,0.)); #427240=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #427241=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #427242=DIRECTION('',(0.,0.,1.)); #427243=DIRECTION('center_axis',(0.,-1.,0.)); #427244=DIRECTION('ref_axis',(1.,0.,0.)); #427245=DIRECTION('',(1.,0.,0.)); #427246=DIRECTION('',(1.,0.,0.)); #427247=DIRECTION('',(0.,0.,1.)); #427248=DIRECTION('center_axis',(0.,0.,1.)); #427249=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #427250=DIRECTION('center_axis',(0.,0.,1.)); #427251=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #427252=DIRECTION('center_axis',(0.,0.,1.)); #427253=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #427254=DIRECTION('',(0.,0.,1.)); #427255=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186546,0.)); #427256=DIRECTION('ref_axis',(0.707106781186545,-0.707106781186549,0.)); #427257=DIRECTION('',(0.707106781186545,-0.707106781186549,0.)); #427258=DIRECTION('',(0.707106781186545,-0.707106781186549,0.)); #427259=DIRECTION('',(0.,0.,1.)); #427260=DIRECTION('center_axis',(0.,-1.,0.)); #427261=DIRECTION('ref_axis',(1.,0.,0.)); #427262=DIRECTION('',(1.,0.,0.)); #427263=DIRECTION('',(1.,0.,0.)); #427264=DIRECTION('',(0.,0.,1.)); #427265=DIRECTION('center_axis',(0.,0.,1.)); #427266=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #427267=DIRECTION('center_axis',(0.,0.,1.)); #427268=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #427269=DIRECTION('center_axis',(0.,0.,1.)); #427270=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #427271=DIRECTION('',(0.,0.,1.)); #427272=DIRECTION('center_axis',(0.,0.,1.)); #427273=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #427274=DIRECTION('center_axis',(0.,0.,1.)); #427275=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #427276=DIRECTION('center_axis',(0.,0.,1.)); #427277=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #427278=DIRECTION('',(0.,0.,1.)); #427279=DIRECTION('center_axis',(0.,1.,0.)); #427280=DIRECTION('ref_axis',(-1.,0.,0.)); #427281=DIRECTION('',(-1.,0.,0.)); #427282=DIRECTION('',(-1.,0.,0.)); #427283=DIRECTION('',(0.,0.,1.)); #427284=DIRECTION('center_axis',(0.,0.,1.)); #427285=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #427286=DIRECTION('center_axis',(0.,0.,1.)); #427287=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #427288=DIRECTION('center_axis',(0.,0.,1.)); #427289=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #427290=DIRECTION('',(0.,0.,1.)); #427291=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #427292=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #427293=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427294=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427295=DIRECTION('',(0.,0.,1.)); #427296=DIRECTION('center_axis',(0.,1.,0.)); #427297=DIRECTION('ref_axis',(-1.,0.,0.)); #427298=DIRECTION('',(-1.,0.,0.)); #427299=DIRECTION('',(-1.,0.,0.)); #427300=DIRECTION('',(0.,0.,1.)); #427301=DIRECTION('center_axis',(0.,0.,1.)); #427302=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #427303=DIRECTION('center_axis',(0.,0.,1.)); #427304=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #427305=DIRECTION('center_axis',(0.,0.,1.)); #427306=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #427307=DIRECTION('',(0.,0.,1.)); #427308=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #427309=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #427310=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427311=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427312=DIRECTION('',(0.,0.,1.)); #427313=DIRECTION('center_axis',(0.,1.,0.)); #427314=DIRECTION('ref_axis',(-1.,0.,0.)); #427315=DIRECTION('',(-1.,0.,0.)); #427316=DIRECTION('',(-1.,0.,0.)); #427317=DIRECTION('',(0.,0.,1.)); #427318=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #427319=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427320=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427321=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #427322=DIRECTION('',(0.,0.,1.)); #427323=DIRECTION('center_axis',(-1.,0.,0.)); #427324=DIRECTION('ref_axis',(0.,-1.,0.)); #427325=DIRECTION('',(0.,-1.,0.)); #427326=DIRECTION('',(0.,-1.,0.)); #427327=DIRECTION('',(0.,0.,1.)); #427328=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #427329=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #427330=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #427331=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #427332=DIRECTION('',(0.,0.,1.)); #427333=DIRECTION('center_axis',(0.,-1.,0.)); #427334=DIRECTION('ref_axis',(1.,0.,0.)); #427335=DIRECTION('',(1.,0.,0.)); #427336=DIRECTION('',(1.,0.,0.)); #427337=DIRECTION('',(0.,0.,1.)); #427338=DIRECTION('center_axis',(0.,0.,1.)); #427339=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #427340=DIRECTION('center_axis',(0.,0.,1.)); #427341=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #427342=DIRECTION('center_axis',(0.,0.,1.)); #427343=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #427344=DIRECTION('',(0.,0.,1.)); #427345=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427346=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427347=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427348=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427349=DIRECTION('',(0.,0.,1.)); #427350=DIRECTION('center_axis',(0.,-1.,0.)); #427351=DIRECTION('ref_axis',(1.,0.,0.)); #427352=DIRECTION('',(1.,0.,0.)); #427353=DIRECTION('',(1.,0.,0.)); #427354=DIRECTION('',(0.,0.,1.)); #427355=DIRECTION('center_axis',(0.,0.,1.)); #427356=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #427357=DIRECTION('center_axis',(0.,0.,1.)); #427358=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #427359=DIRECTION('center_axis',(0.,0.,1.)); #427360=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #427361=DIRECTION('',(0.,0.,1.)); #427362=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427363=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427364=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #427365=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #427366=DIRECTION('',(0.,0.,1.)); #427367=DIRECTION('center_axis',(0.,0.,1.)); #427368=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #427369=DIRECTION('center_axis',(0.,0.,1.)); #427370=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #427371=DIRECTION('center_axis',(0.,0.,1.)); #427372=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #427373=DIRECTION('',(0.,0.,1.)); #427374=DIRECTION('center_axis',(-1.,0.,0.)); #427375=DIRECTION('ref_axis',(0.,-1.,0.)); #427376=DIRECTION('',(0.,-1.,0.)); #427377=DIRECTION('',(0.,-1.,0.)); #427378=DIRECTION('',(0.,0.,1.)); #427379=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427380=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427381=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427382=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427383=DIRECTION('',(0.,0.,1.)); #427384=DIRECTION('center_axis',(0.,-1.,0.)); #427385=DIRECTION('ref_axis',(1.,0.,0.)); #427386=DIRECTION('',(1.,0.,0.)); #427387=DIRECTION('',(1.,0.,0.)); #427388=DIRECTION('',(0.,0.,1.)); #427389=DIRECTION('center_axis',(0.,0.,1.)); #427390=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #427391=DIRECTION('center_axis',(0.,0.,1.)); #427392=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #427393=DIRECTION('center_axis',(0.,0.,1.)); #427394=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #427395=DIRECTION('',(0.,0.,1.)); #427396=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #427397=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #427398=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #427399=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #427400=DIRECTION('',(0.,0.,1.)); #427401=DIRECTION('center_axis',(0.,0.,1.)); #427402=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #427403=DIRECTION('center_axis',(0.,0.,1.)); #427404=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #427405=DIRECTION('center_axis',(0.,0.,1.)); #427406=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #427407=DIRECTION('',(0.,0.,1.)); #427408=DIRECTION('center_axis',(-1.,0.,0.)); #427409=DIRECTION('ref_axis',(0.,-1.,0.)); #427410=DIRECTION('',(0.,-1.,0.)); #427411=DIRECTION('',(0.,-1.,0.)); #427412=DIRECTION('',(0.,0.,1.)); #427413=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #427414=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #427415=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #427416=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #427417=DIRECTION('',(0.,0.,1.)); #427418=DIRECTION('center_axis',(0.,0.,1.)); #427419=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #427420=DIRECTION('center_axis',(0.,0.,1.)); #427421=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #427422=DIRECTION('center_axis',(0.,0.,1.)); #427423=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #427424=DIRECTION('',(0.,0.,1.)); #427425=DIRECTION('center_axis',(-1.,0.,0.)); #427426=DIRECTION('ref_axis',(0.,-1.,0.)); #427427=DIRECTION('',(0.,-1.,0.)); #427428=DIRECTION('',(0.,-1.,0.)); #427429=DIRECTION('',(0.,0.,1.)); #427430=DIRECTION('center_axis',(0.,0.,1.)); #427431=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #427432=DIRECTION('center_axis',(0.,0.,1.)); #427433=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #427434=DIRECTION('center_axis',(0.,0.,1.)); #427435=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #427436=DIRECTION('',(0.,0.,1.)); #427437=DIRECTION('center_axis',(0.,0.,1.)); #427438=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #427439=DIRECTION('center_axis',(0.,0.,1.)); #427440=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #427441=DIRECTION('center_axis',(0.,0.,1.)); #427442=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #427443=DIRECTION('',(0.,0.,1.)); #427444=DIRECTION('center_axis',(1.,0.,0.)); #427445=DIRECTION('ref_axis',(0.,1.,0.)); #427446=DIRECTION('',(0.,1.,0.)); #427447=DIRECTION('',(0.,1.,0.)); #427448=DIRECTION('',(0.,0.,1.)); #427449=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #427450=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #427451=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #427452=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #427453=DIRECTION('',(0.,0.,1.)); #427454=DIRECTION('center_axis',(0.,0.,1.)); #427455=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #427456=DIRECTION('center_axis',(0.,0.,1.)); #427457=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #427458=DIRECTION('center_axis',(0.,0.,1.)); #427459=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #427460=DIRECTION('',(0.,0.,1.)); #427461=DIRECTION('center_axis',(1.,0.,0.)); #427462=DIRECTION('ref_axis',(0.,1.,0.)); #427463=DIRECTION('',(0.,1.,0.)); #427464=DIRECTION('',(0.,1.,0.)); #427465=DIRECTION('',(0.,0.,1.)); #427466=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #427467=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #427468=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427469=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427470=DIRECTION('',(0.,0.,1.)); #427471=DIRECTION('center_axis',(0.,1.,0.)); #427472=DIRECTION('ref_axis',(-1.,0.,0.)); #427473=DIRECTION('',(-1.,0.,0.)); #427474=DIRECTION('',(-1.,0.,0.)); #427475=DIRECTION('',(0.,0.,1.)); #427476=DIRECTION('center_axis',(0.,0.,1.)); #427477=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #427478=DIRECTION('center_axis',(0.,0.,1.)); #427479=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #427480=DIRECTION('center_axis',(0.,0.,1.)); #427481=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #427482=DIRECTION('',(0.,0.,1.)); #427483=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #427484=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #427485=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427486=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #427487=DIRECTION('',(0.,0.,1.)); #427488=DIRECTION('center_axis',(0.,0.,1.)); #427489=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427490=DIRECTION('center_axis',(0.,0.,1.)); #427491=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427492=DIRECTION('center_axis',(0.,0.,1.)); #427493=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427494=DIRECTION('',(0.,0.,1.)); #427495=DIRECTION('center_axis',(1.,0.,0.)); #427496=DIRECTION('ref_axis',(0.,1.,0.)); #427497=DIRECTION('',(0.,1.,0.)); #427498=DIRECTION('',(0.,1.,0.)); #427499=DIRECTION('',(0.,0.,1.)); #427500=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #427501=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #427502=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #427503=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #427504=DIRECTION('',(0.,0.,1.)); #427505=DIRECTION('center_axis',(0.,1.,0.)); #427506=DIRECTION('ref_axis',(-1.,0.,0.)); #427507=DIRECTION('',(-1.,0.,0.)); #427508=DIRECTION('',(-1.,0.,0.)); #427509=DIRECTION('',(0.,0.,1.)); #427510=DIRECTION('center_axis',(0.,0.,1.)); #427511=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #427512=DIRECTION('center_axis',(0.,0.,1.)); #427513=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #427514=DIRECTION('center_axis',(0.,0.,1.)); #427515=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #427516=DIRECTION('',(0.,0.,1.)); #427517=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #427518=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #427519=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #427520=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #427521=DIRECTION('',(0.,0.,1.)); #427522=DIRECTION('center_axis',(0.,1.,0.)); #427523=DIRECTION('ref_axis',(-1.,0.,0.)); #427524=DIRECTION('',(-1.,0.,0.)); #427525=DIRECTION('',(-1.,0.,0.)); #427526=DIRECTION('',(0.,0.,1.)); #427527=DIRECTION('center_axis',(0.,0.,1.)); #427528=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #427529=DIRECTION('center_axis',(0.,0.,1.)); #427530=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #427531=DIRECTION('center_axis',(0.,0.,1.)); #427532=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #427533=DIRECTION('',(0.,0.,1.)); #427534=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #427535=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #427536=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #427537=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #427538=DIRECTION('',(0.,0.,1.)); #427539=DIRECTION('center_axis',(0.,0.,1.)); #427540=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427541=DIRECTION('center_axis',(0.,0.,1.)); #427542=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427543=DIRECTION('center_axis',(0.,0.,1.)); #427544=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #427545=DIRECTION('',(0.,0.,1.)); #427546=DIRECTION('center_axis',(1.,0.,0.)); #427547=DIRECTION('ref_axis',(0.,1.,0.)); #427548=DIRECTION('',(0.,1.,0.)); #427549=DIRECTION('',(0.,1.,0.)); #427550=DIRECTION('',(0.,0.,1.)); #427551=DIRECTION('center_axis',(0.,0.,1.)); #427552=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #427553=DIRECTION('center_axis',(0.,0.,1.)); #427554=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #427555=DIRECTION('center_axis',(0.,0.,1.)); #427556=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #427557=DIRECTION('',(0.,0.,1.)); #427558=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #427559=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #427560=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #427561=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #427562=DIRECTION('',(0.,0.,1.)); #427563=DIRECTION('center_axis',(0.,0.,1.)); #427564=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #427565=DIRECTION('center_axis',(0.,0.,1.)); #427566=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #427567=DIRECTION('center_axis',(0.,0.,1.)); #427568=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #427569=DIRECTION('center_axis',(0.,0.,1.)); #427570=DIRECTION('ref_axis',(1.,0.,0.)); #427571=DIRECTION('center_axis',(0.,0.,1.)); #427572=DIRECTION('ref_axis',(1.,0.,0.)); #427573=DIRECTION('center_axis',(0.,0.,1.)); #427574=DIRECTION('ref_axis',(1.,0.,0.)); #427575=DIRECTION('center_axis',(0.,0.,1.)); #427576=DIRECTION('ref_axis',(1.,0.,0.)); #427577=DIRECTION('',(0.,0.,1.)); #427578=DIRECTION('center_axis',(0.,0.,-1.)); #427579=DIRECTION('ref_axis',(1.,0.,0.)); #427580=DIRECTION('center_axis',(0.,0.,1.)); #427581=DIRECTION('ref_axis',(1.,0.,0.)); #427582=DIRECTION('center_axis',(0.,0.,1.)); #427583=DIRECTION('ref_axis',(1.,0.,0.)); #427584=DIRECTION('',(0.,0.,1.)); #427585=DIRECTION('center_axis',(0.,0.,-1.)); #427586=DIRECTION('ref_axis',(1.,0.,0.)); #427587=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #427588=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #427589=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #427590=DIRECTION('',(0.,0.,1.)); #427591=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #427592=DIRECTION('',(0.,0.,1.)); #427593=DIRECTION('center_axis',(0.,0.,1.)); #427594=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #427595=DIRECTION('center_axis',(0.,0.,1.)); #427596=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #427597=DIRECTION('center_axis',(0.,0.,1.)); #427598=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #427599=DIRECTION('',(0.,0.,1.)); #427600=DIRECTION('center_axis',(-1.,0.,0.)); #427601=DIRECTION('ref_axis',(0.,-1.,0.)); #427602=DIRECTION('',(0.,-1.,0.)); #427603=DIRECTION('',(0.,-1.,0.)); #427604=DIRECTION('',(0.,0.,1.)); #427605=DIRECTION('center_axis',(0.,0.,1.)); #427606=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #427607=DIRECTION('center_axis',(0.,0.,1.)); #427608=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #427609=DIRECTION('center_axis',(0.,0.,1.)); #427610=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #427611=DIRECTION('',(0.,0.,1.)); #427612=DIRECTION('center_axis',(0.,0.,1.)); #427613=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #427614=DIRECTION('center_axis',(0.,0.,1.)); #427615=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #427616=DIRECTION('center_axis',(0.,0.,1.)); #427617=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #427618=DIRECTION('',(0.,0.,1.)); #427619=DIRECTION('center_axis',(1.,0.,0.)); #427620=DIRECTION('ref_axis',(0.,1.,0.)); #427621=DIRECTION('',(0.,1.,0.)); #427622=DIRECTION('',(0.,1.,0.)); #427623=DIRECTION('',(0.,0.,1.)); #427624=DIRECTION('center_axis',(0.,0.,1.)); #427625=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #427626=DIRECTION('center_axis',(0.,0.,1.)); #427627=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #427628=DIRECTION('center_axis',(0.,0.,1.)); #427629=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #427630=DIRECTION('center_axis',(0.,0.,1.)); #427631=DIRECTION('ref_axis',(1.,0.,0.)); #427632=DIRECTION('center_axis',(0.,0.,1.)); #427633=DIRECTION('ref_axis',(1.,0.,0.)); #427634=DIRECTION('center_axis',(0.,0.,1.)); #427635=DIRECTION('ref_axis',(1.,0.,0.)); #427636=DIRECTION('center_axis',(0.,0.,1.)); #427637=DIRECTION('ref_axis',(1.,0.,0.)); #427638=DIRECTION('',(0.,0.,1.)); #427639=DIRECTION('center_axis',(0.,0.,-1.)); #427640=DIRECTION('ref_axis',(1.,0.,0.)); #427641=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #427642=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #427643=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #427644=DIRECTION('',(0.,0.,1.)); #427645=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #427646=DIRECTION('',(0.,0.,1.)); #427647=DIRECTION('center_axis',(0.,0.,1.)); #427648=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #427649=DIRECTION('center_axis',(0.,0.,1.)); #427650=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #427651=DIRECTION('center_axis',(0.,0.,1.)); #427652=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #427653=DIRECTION('',(0.,0.,1.)); #427654=DIRECTION('center_axis',(-1.,0.,0.)); #427655=DIRECTION('ref_axis',(0.,-1.,0.)); #427656=DIRECTION('',(0.,-1.,0.)); #427657=DIRECTION('',(0.,-1.,0.)); #427658=DIRECTION('',(0.,0.,1.)); #427659=DIRECTION('center_axis',(0.,-1.,0.)); #427660=DIRECTION('ref_axis',(1.,0.,0.)); #427661=DIRECTION('',(1.,0.,0.)); #427662=DIRECTION('',(1.,0.,0.)); #427663=DIRECTION('',(0.,0.,1.)); #427664=DIRECTION('center_axis',(-1.,0.,0.)); #427665=DIRECTION('ref_axis',(0.,-1.,0.)); #427666=DIRECTION('',(0.,-1.,0.)); #427667=DIRECTION('',(0.,-1.,0.)); #427668=DIRECTION('',(0.,0.,1.)); #427669=DIRECTION('center_axis',(0.,1.,0.)); #427670=DIRECTION('ref_axis',(-1.,0.,0.)); #427671=DIRECTION('',(-1.,0.,0.)); #427672=DIRECTION('',(-1.,0.,0.)); #427673=DIRECTION('',(0.,0.,1.)); #427674=DIRECTION('center_axis',(1.,0.,0.)); #427675=DIRECTION('ref_axis',(0.,1.,0.)); #427676=DIRECTION('',(0.,1.,0.)); #427677=DIRECTION('',(0.,1.,0.)); #427678=DIRECTION('',(0.,0.,1.)); #427679=DIRECTION('center_axis',(0.,-1.,0.)); #427680=DIRECTION('ref_axis',(1.,0.,0.)); #427681=DIRECTION('',(1.,0.,0.)); #427682=DIRECTION('',(1.,0.,0.)); #427683=DIRECTION('',(0.,0.,1.)); #427684=DIRECTION('center_axis',(1.,0.,0.)); #427685=DIRECTION('ref_axis',(0.,1.,0.)); #427686=DIRECTION('',(0.,1.,0.)); #427687=DIRECTION('',(0.,1.,0.)); #427688=DIRECTION('',(0.,0.,1.)); #427689=DIRECTION('center_axis',(0.,0.,1.)); #427690=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427691=DIRECTION('center_axis',(0.,0.,1.)); #427692=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427693=DIRECTION('center_axis',(0.,0.,1.)); #427694=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427695=DIRECTION('center_axis',(0.,0.,1.)); #427696=DIRECTION('ref_axis',(1.,0.,0.)); #427697=DIRECTION('center_axis',(0.,0.,1.)); #427698=DIRECTION('ref_axis',(1.,0.,0.)); #427699=DIRECTION('center_axis',(0.,0.,1.)); #427700=DIRECTION('ref_axis',(1.,0.,0.)); #427701=DIRECTION('center_axis',(0.,0.,1.)); #427702=DIRECTION('ref_axis',(1.,0.,0.)); #427703=DIRECTION('',(0.,0.,1.)); #427704=DIRECTION('center_axis',(0.,0.,-1.)); #427705=DIRECTION('ref_axis',(1.,0.,0.)); #427706=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #427707=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #427708=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #427709=DIRECTION('',(0.,0.,1.)); #427710=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #427711=DIRECTION('',(0.,0.,1.)); #427712=DIRECTION('center_axis',(0.,0.,1.)); #427713=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #427714=DIRECTION('center_axis',(0.,0.,1.)); #427715=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #427716=DIRECTION('center_axis',(0.,0.,1.)); #427717=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #427718=DIRECTION('',(0.,0.,1.)); #427719=DIRECTION('center_axis',(-1.,0.,0.)); #427720=DIRECTION('ref_axis',(0.,-1.,0.)); #427721=DIRECTION('',(0.,-1.,0.)); #427722=DIRECTION('',(0.,-1.,0.)); #427723=DIRECTION('',(0.,0.,1.)); #427724=DIRECTION('center_axis',(0.,-1.,0.)); #427725=DIRECTION('ref_axis',(1.,0.,0.)); #427726=DIRECTION('',(1.,0.,0.)); #427727=DIRECTION('',(1.,0.,0.)); #427728=DIRECTION('',(0.,0.,1.)); #427729=DIRECTION('center_axis',(-1.,0.,0.)); #427730=DIRECTION('ref_axis',(0.,-1.,0.)); #427731=DIRECTION('',(0.,-1.,0.)); #427732=DIRECTION('',(0.,-1.,0.)); #427733=DIRECTION('',(0.,0.,1.)); #427734=DIRECTION('center_axis',(0.,1.,0.)); #427735=DIRECTION('ref_axis',(-1.,0.,0.)); #427736=DIRECTION('',(-1.,0.,0.)); #427737=DIRECTION('',(-1.,0.,0.)); #427738=DIRECTION('',(0.,0.,1.)); #427739=DIRECTION('center_axis',(1.,0.,0.)); #427740=DIRECTION('ref_axis',(0.,1.,0.)); #427741=DIRECTION('',(0.,1.,0.)); #427742=DIRECTION('',(0.,1.,0.)); #427743=DIRECTION('',(0.,0.,1.)); #427744=DIRECTION('center_axis',(0.,-1.,0.)); #427745=DIRECTION('ref_axis',(1.,0.,0.)); #427746=DIRECTION('',(1.,0.,0.)); #427747=DIRECTION('',(1.,0.,0.)); #427748=DIRECTION('',(0.,0.,1.)); #427749=DIRECTION('center_axis',(1.,0.,0.)); #427750=DIRECTION('ref_axis',(0.,1.,0.)); #427751=DIRECTION('',(0.,1.,0.)); #427752=DIRECTION('',(0.,1.,0.)); #427753=DIRECTION('',(0.,0.,1.)); #427754=DIRECTION('center_axis',(0.,0.,1.)); #427755=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427756=DIRECTION('center_axis',(0.,0.,1.)); #427757=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427758=DIRECTION('center_axis',(0.,0.,1.)); #427759=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #427760=DIRECTION('center_axis',(0.,0.,1.)); #427761=DIRECTION('ref_axis',(1.,0.,0.)); #427762=DIRECTION('center_axis',(0.,0.,1.)); #427763=DIRECTION('ref_axis',(1.,0.,0.)); #427764=DIRECTION('center_axis',(0.,0.,1.)); #427765=DIRECTION('ref_axis',(1.,0.,0.)); #427766=DIRECTION('center_axis',(0.,0.,1.)); #427767=DIRECTION('ref_axis',(1.,0.,0.)); #427768=DIRECTION('',(0.,0.,1.)); #427769=DIRECTION('center_axis',(0.,0.,-1.)); #427770=DIRECTION('ref_axis',(1.,0.,0.)); #427771=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #427772=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #427773=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #427774=DIRECTION('',(0.,0.,1.)); #427775=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #427776=DIRECTION('',(0.,0.,1.)); #427777=DIRECTION('center_axis',(0.,0.,1.)); #427778=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #427779=DIRECTION('center_axis',(0.,0.,1.)); #427780=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #427781=DIRECTION('center_axis',(0.,0.,1.)); #427782=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #427783=DIRECTION('',(0.,0.,1.)); #427784=DIRECTION('center_axis',(0.,-1.,0.)); #427785=DIRECTION('ref_axis',(1.,0.,0.)); #427786=DIRECTION('',(1.,0.,0.)); #427787=DIRECTION('',(1.,0.,0.)); #427788=DIRECTION('',(0.,0.,1.)); #427789=DIRECTION('center_axis',(0.,0.,1.)); #427790=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #427791=DIRECTION('center_axis',(0.,0.,1.)); #427792=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #427793=DIRECTION('center_axis',(0.,0.,1.)); #427794=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #427795=DIRECTION('',(0.,0.,1.)); #427796=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #427797=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #427798=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #427799=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #427800=DIRECTION('',(0.,0.,1.)); #427801=DIRECTION('center_axis',(0.,0.,1.)); #427802=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #427803=DIRECTION('center_axis',(0.,0.,1.)); #427804=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #427805=DIRECTION('center_axis',(0.,0.,1.)); #427806=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #427807=DIRECTION('',(0.,0.,1.)); #427808=DIRECTION('center_axis',(-1.,0.,0.)); #427809=DIRECTION('ref_axis',(0.,-1.,0.)); #427810=DIRECTION('',(0.,-1.,0.)); #427811=DIRECTION('',(0.,-1.,0.)); #427812=DIRECTION('',(0.,0.,1.)); #427813=DIRECTION('center_axis',(0.,-1.,0.)); #427814=DIRECTION('ref_axis',(1.,0.,0.)); #427815=DIRECTION('',(1.,0.,0.)); #427816=DIRECTION('',(1.,0.,0.)); #427817=DIRECTION('',(0.,0.,1.)); #427818=DIRECTION('center_axis',(-1.,0.,0.)); #427819=DIRECTION('ref_axis',(0.,-1.,0.)); #427820=DIRECTION('',(0.,-1.,0.)); #427821=DIRECTION('',(0.,-1.,0.)); #427822=DIRECTION('',(0.,0.,1.)); #427823=DIRECTION('center_axis',(0.,1.,0.)); #427824=DIRECTION('ref_axis',(-1.,0.,0.)); #427825=DIRECTION('',(-1.,0.,0.)); #427826=DIRECTION('',(-1.,0.,0.)); #427827=DIRECTION('',(0.,0.,1.)); #427828=DIRECTION('center_axis',(1.,0.,0.)); #427829=DIRECTION('ref_axis',(0.,1.,0.)); #427830=DIRECTION('',(0.,1.,0.)); #427831=DIRECTION('',(0.,1.,0.)); #427832=DIRECTION('',(0.,0.,1.)); #427833=DIRECTION('center_axis',(0.,-1.,0.)); #427834=DIRECTION('ref_axis',(1.,0.,0.)); #427835=DIRECTION('',(1.,0.,0.)); #427836=DIRECTION('',(1.,0.,0.)); #427837=DIRECTION('',(0.,0.,1.)); #427838=DIRECTION('center_axis',(1.,0.,0.)); #427839=DIRECTION('ref_axis',(0.,1.,0.)); #427840=DIRECTION('',(0.,1.,0.)); #427841=DIRECTION('',(0.,1.,0.)); #427842=DIRECTION('',(0.,0.,1.)); #427843=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #427844=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #427845=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427846=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #427847=DIRECTION('',(0.,0.,1.)); #427848=DIRECTION('center_axis',(0.,1.,0.)); #427849=DIRECTION('ref_axis',(-1.,0.,0.)); #427850=DIRECTION('',(-1.,0.,0.)); #427851=DIRECTION('',(-1.,0.,0.)); #427852=DIRECTION('',(0.,0.,1.)); #427853=DIRECTION('center_axis',(0.,0.,1.)); #427854=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #427855=DIRECTION('center_axis',(0.,0.,1.)); #427856=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #427857=DIRECTION('center_axis',(0.,0.,1.)); #427858=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #427859=DIRECTION('',(0.,0.,1.)); #427860=DIRECTION('center_axis',(0.,0.,1.)); #427861=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #427862=DIRECTION('center_axis',(0.,0.,1.)); #427863=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #427864=DIRECTION('center_axis',(0.,0.,1.)); #427865=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #427866=DIRECTION('center_axis',(0.,0.,1.)); #427867=DIRECTION('ref_axis',(1.,0.,0.)); #427868=DIRECTION('center_axis',(0.,0.,1.)); #427869=DIRECTION('ref_axis',(1.,0.,0.)); #427870=DIRECTION('center_axis',(0.,0.,1.)); #427871=DIRECTION('ref_axis',(1.,0.,0.)); #427872=DIRECTION('center_axis',(0.,0.,1.)); #427873=DIRECTION('ref_axis',(1.,0.,0.)); #427874=DIRECTION('',(0.,0.,1.)); #427875=DIRECTION('center_axis',(0.,0.,-1.)); #427876=DIRECTION('ref_axis',(1.,0.,0.)); #427877=DIRECTION('center_axis',(1.,0.,0.)); #427878=DIRECTION('ref_axis',(0.,1.,0.)); #427879=DIRECTION('',(0.,1.,0.)); #427880=DIRECTION('',(0.,0.,1.)); #427881=DIRECTION('',(0.,1.,0.)); #427882=DIRECTION('',(0.,0.,1.)); #427883=DIRECTION('center_axis',(0.,-1.,0.)); #427884=DIRECTION('ref_axis',(1.,0.,0.)); #427885=DIRECTION('',(1.,0.,0.)); #427886=DIRECTION('',(1.,0.,0.)); #427887=DIRECTION('',(0.,0.,1.)); #427888=DIRECTION('center_axis',(-1.,0.,0.)); #427889=DIRECTION('ref_axis',(0.,-1.,0.)); #427890=DIRECTION('',(0.,-1.,0.)); #427891=DIRECTION('',(0.,-1.,0.)); #427892=DIRECTION('',(0.,0.,1.)); #427893=DIRECTION('center_axis',(0.,1.,0.)); #427894=DIRECTION('ref_axis',(-1.,0.,0.)); #427895=DIRECTION('',(-1.,0.,0.)); #427896=DIRECTION('',(-1.,0.,0.)); #427897=DIRECTION('',(0.,0.,1.)); #427898=DIRECTION('center_axis',(1.,0.,0.)); #427899=DIRECTION('ref_axis',(0.,1.,0.)); #427900=DIRECTION('',(0.,1.,0.)); #427901=DIRECTION('',(0.,1.,0.)); #427902=DIRECTION('',(0.,0.,1.)); #427903=DIRECTION('center_axis',(0.,1.,0.)); #427904=DIRECTION('ref_axis',(-1.,0.,0.)); #427905=DIRECTION('',(-1.,0.,0.)); #427906=DIRECTION('',(-1.,0.,0.)); #427907=DIRECTION('',(0.,0.,1.)); #427908=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #427909=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427910=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #427911=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #427912=DIRECTION('',(0.,0.,1.)); #427913=DIRECTION('center_axis',(-1.,0.,0.)); #427914=DIRECTION('ref_axis',(0.,-1.,0.)); #427915=DIRECTION('',(0.,-1.,0.)); #427916=DIRECTION('',(0.,-1.,0.)); #427917=DIRECTION('',(0.,0.,1.)); #427918=DIRECTION('center_axis',(0.,0.,1.)); #427919=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #427920=DIRECTION('center_axis',(0.,0.,1.)); #427921=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #427922=DIRECTION('center_axis',(0.,0.,1.)); #427923=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #427924=DIRECTION('',(0.,0.,1.)); #427925=DIRECTION('center_axis',(0.,0.,1.)); #427926=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #427927=DIRECTION('center_axis',(0.,0.,1.)); #427928=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #427929=DIRECTION('center_axis',(0.,0.,1.)); #427930=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #427931=DIRECTION('',(0.,0.,1.)); #427932=DIRECTION('center_axis',(1.,0.,0.)); #427933=DIRECTION('ref_axis',(0.,1.,0.)); #427934=DIRECTION('',(0.,1.,0.)); #427935=DIRECTION('',(0.,1.,0.)); #427936=DIRECTION('',(0.,0.,1.)); #427937=DIRECTION('center_axis',(0.,0.,1.)); #427938=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #427939=DIRECTION('center_axis',(0.,0.,1.)); #427940=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #427941=DIRECTION('center_axis',(0.,0.,1.)); #427942=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #427943=DIRECTION('',(0.,0.,1.)); #427944=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427945=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #427946=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #427947=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #427948=DIRECTION('',(0.,0.,1.)); #427949=DIRECTION('center_axis',(0.,0.,1.)); #427950=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #427951=DIRECTION('center_axis',(0.,0.,1.)); #427952=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #427953=DIRECTION('center_axis',(0.,0.,1.)); #427954=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #427955=DIRECTION('',(0.,0.,1.)); #427956=DIRECTION('center_axis',(0.,-1.,0.)); #427957=DIRECTION('ref_axis',(1.,0.,0.)); #427958=DIRECTION('',(1.,0.,0.)); #427959=DIRECTION('',(1.,0.,0.)); #427960=DIRECTION('center_axis',(0.,0.,1.)); #427961=DIRECTION('ref_axis',(1.,0.,0.)); #427962=DIRECTION('center_axis',(0.,0.,1.)); #427963=DIRECTION('ref_axis',(1.,0.,0.)); #427964=DIRECTION('center_axis',(0.,0.,1.)); #427965=DIRECTION('ref_axis',(1.,0.,0.)); #427966=DIRECTION('center_axis',(0.,0.,1.)); #427967=DIRECTION('ref_axis',(1.,0.,0.)); #427968=DIRECTION('',(0.,0.,1.)); #427969=DIRECTION('center_axis',(0.,0.,-1.)); #427970=DIRECTION('ref_axis',(1.,0.,0.)); #427971=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #427972=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #427973=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #427974=DIRECTION('',(0.,0.,1.)); #427975=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #427976=DIRECTION('',(0.,0.,1.)); #427977=DIRECTION('center_axis',(0.,0.,1.)); #427978=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #427979=DIRECTION('center_axis',(0.,0.,1.)); #427980=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #427981=DIRECTION('center_axis',(0.,0.,1.)); #427982=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #427983=DIRECTION('',(0.,0.,1.)); #427984=DIRECTION('center_axis',(0.,0.,1.)); #427985=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #427986=DIRECTION('center_axis',(0.,0.,1.)); #427987=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #427988=DIRECTION('center_axis',(0.,0.,1.)); #427989=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #427990=DIRECTION('center_axis',(0.,0.,1.)); #427991=DIRECTION('ref_axis',(1.,0.,0.)); #427992=DIRECTION('center_axis',(0.,0.,1.)); #427993=DIRECTION('ref_axis',(1.,0.,0.)); #427994=DIRECTION('center_axis',(0.,0.,1.)); #427995=DIRECTION('ref_axis',(1.,0.,0.)); #427996=DIRECTION('center_axis',(0.,0.,1.)); #427997=DIRECTION('ref_axis',(1.,0.,0.)); #427998=DIRECTION('',(0.,0.,1.)); #427999=DIRECTION('center_axis',(0.,0.,-1.)); #428000=DIRECTION('ref_axis',(1.,0.,0.)); #428001=DIRECTION('center_axis',(1.,0.,0.)); #428002=DIRECTION('ref_axis',(0.,1.,0.)); #428003=DIRECTION('',(0.,1.,0.)); #428004=DIRECTION('',(0.,0.,1.)); #428005=DIRECTION('',(0.,1.,0.)); #428006=DIRECTION('',(0.,0.,1.)); #428007=DIRECTION('center_axis',(0.,-1.,0.)); #428008=DIRECTION('ref_axis',(1.,0.,0.)); #428009=DIRECTION('',(1.,0.,0.)); #428010=DIRECTION('',(1.,0.,0.)); #428011=DIRECTION('',(0.,0.,1.)); #428012=DIRECTION('center_axis',(-1.,0.,0.)); #428013=DIRECTION('ref_axis',(0.,-1.,0.)); #428014=DIRECTION('',(0.,-1.,0.)); #428015=DIRECTION('',(0.,-1.,0.)); #428016=DIRECTION('',(0.,0.,1.)); #428017=DIRECTION('center_axis',(0.,1.,0.)); #428018=DIRECTION('ref_axis',(-1.,0.,0.)); #428019=DIRECTION('',(-1.,0.,0.)); #428020=DIRECTION('',(-1.,0.,0.)); #428021=DIRECTION('',(0.,0.,1.)); #428022=DIRECTION('center_axis',(-1.,0.,0.)); #428023=DIRECTION('ref_axis',(0.,-1.,0.)); #428024=DIRECTION('',(0.,-1.,0.)); #428025=DIRECTION('',(0.,-1.,0.)); #428026=DIRECTION('',(0.,0.,1.)); #428027=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #428028=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #428029=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #428030=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #428031=DIRECTION('',(0.,0.,1.)); #428032=DIRECTION('center_axis',(0.,-1.,0.)); #428033=DIRECTION('ref_axis',(1.,0.,0.)); #428034=DIRECTION('',(1.,0.,0.)); #428035=DIRECTION('',(1.,0.,0.)); #428036=DIRECTION('',(0.,0.,1.)); #428037=DIRECTION('center_axis',(0.,0.,1.)); #428038=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428039=DIRECTION('center_axis',(0.,0.,1.)); #428040=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428041=DIRECTION('center_axis',(0.,0.,1.)); #428042=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428043=DIRECTION('',(0.,0.,1.)); #428044=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #428045=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #428046=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #428047=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #428048=DIRECTION('',(0.,0.,1.)); #428049=DIRECTION('center_axis',(0.,-1.,0.)); #428050=DIRECTION('ref_axis',(1.,0.,0.)); #428051=DIRECTION('',(1.,0.,0.)); #428052=DIRECTION('',(1.,0.,0.)); #428053=DIRECTION('',(0.,0.,1.)); #428054=DIRECTION('center_axis',(0.,0.,1.)); #428055=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #428056=DIRECTION('center_axis',(0.,0.,1.)); #428057=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #428058=DIRECTION('center_axis',(0.,0.,1.)); #428059=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #428060=DIRECTION('',(0.,0.,1.)); #428061=DIRECTION('center_axis',(0.,0.,1.)); #428062=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #428063=DIRECTION('center_axis',(0.,0.,1.)); #428064=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #428065=DIRECTION('center_axis',(0.,0.,1.)); #428066=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #428067=DIRECTION('',(0.,0.,1.)); #428068=DIRECTION('center_axis',(0.,1.,0.)); #428069=DIRECTION('ref_axis',(-1.,0.,0.)); #428070=DIRECTION('',(-1.,0.,0.)); #428071=DIRECTION('',(-1.,0.,0.)); #428072=DIRECTION('',(0.,0.,1.)); #428073=DIRECTION('center_axis',(0.,0.,1.)); #428074=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428075=DIRECTION('center_axis',(0.,0.,1.)); #428076=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428077=DIRECTION('center_axis',(0.,0.,1.)); #428078=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428079=DIRECTION('',(0.,0.,1.)); #428080=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #428081=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #428082=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #428083=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #428084=DIRECTION('',(0.,0.,1.)); #428085=DIRECTION('center_axis',(0.,1.,0.)); #428086=DIRECTION('ref_axis',(-1.,0.,0.)); #428087=DIRECTION('',(-1.,0.,0.)); #428088=DIRECTION('',(-1.,0.,0.)); #428089=DIRECTION('',(0.,0.,1.)); #428090=DIRECTION('center_axis',(0.,0.,1.)); #428091=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428092=DIRECTION('center_axis',(0.,0.,1.)); #428093=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428094=DIRECTION('center_axis',(0.,0.,1.)); #428095=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428096=DIRECTION('',(0.,0.,1.)); #428097=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #428098=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #428099=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428100=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428101=DIRECTION('',(0.,0.,1.)); #428102=DIRECTION('center_axis',(0.,0.,1.)); #428103=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #428104=DIRECTION('center_axis',(0.,0.,1.)); #428105=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #428106=DIRECTION('center_axis',(0.,0.,1.)); #428107=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #428108=DIRECTION('',(0.,0.,1.)); #428109=DIRECTION('center_axis',(1.,0.,0.)); #428110=DIRECTION('ref_axis',(0.,1.,0.)); #428111=DIRECTION('',(0.,1.,0.)); #428112=DIRECTION('',(0.,1.,0.)); #428113=DIRECTION('',(0.,0.,1.)); #428114=DIRECTION('center_axis',(0.,1.,0.)); #428115=DIRECTION('ref_axis',(-1.,0.,0.)); #428116=DIRECTION('',(-1.,0.,0.)); #428117=DIRECTION('',(-1.,0.,0.)); #428118=DIRECTION('center_axis',(0.,0.,1.)); #428119=DIRECTION('ref_axis',(1.,0.,0.)); #428120=DIRECTION('center_axis',(0.,0.,1.)); #428121=DIRECTION('ref_axis',(1.,0.,0.)); #428122=DIRECTION('center_axis',(0.,0.,1.)); #428123=DIRECTION('ref_axis',(1.,0.,0.)); #428124=DIRECTION('center_axis',(0.,0.,1.)); #428125=DIRECTION('ref_axis',(1.,0.,0.)); #428126=DIRECTION('',(0.,0.,1.)); #428127=DIRECTION('center_axis',(0.,0.,-1.)); #428128=DIRECTION('ref_axis',(1.,0.,0.)); #428129=DIRECTION('center_axis',(1.,0.,0.)); #428130=DIRECTION('ref_axis',(0.,1.,0.)); #428131=DIRECTION('',(0.,1.,0.)); #428132=DIRECTION('',(0.,0.,1.)); #428133=DIRECTION('',(0.,1.,0.)); #428134=DIRECTION('',(0.,0.,1.)); #428135=DIRECTION('center_axis',(0.,-1.,0.)); #428136=DIRECTION('ref_axis',(1.,0.,0.)); #428137=DIRECTION('',(1.,0.,0.)); #428138=DIRECTION('',(1.,0.,0.)); #428139=DIRECTION('',(0.,0.,1.)); #428140=DIRECTION('center_axis',(-1.,0.,0.)); #428141=DIRECTION('ref_axis',(0.,-1.,0.)); #428142=DIRECTION('',(0.,-1.,0.)); #428143=DIRECTION('',(0.,-1.,0.)); #428144=DIRECTION('',(0.,0.,1.)); #428145=DIRECTION('center_axis',(0.,-1.,0.)); #428146=DIRECTION('ref_axis',(1.,0.,0.)); #428147=DIRECTION('',(1.,0.,0.)); #428148=DIRECTION('',(1.,0.,0.)); #428149=DIRECTION('',(0.,0.,1.)); #428150=DIRECTION('center_axis',(0.,0.,1.)); #428151=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428152=DIRECTION('center_axis',(0.,0.,1.)); #428153=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428154=DIRECTION('center_axis',(0.,0.,1.)); #428155=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428156=DIRECTION('',(0.,0.,1.)); #428157=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428158=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428159=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428160=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428161=DIRECTION('',(0.,0.,1.)); #428162=DIRECTION('center_axis',(0.,0.,1.)); #428163=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #428164=DIRECTION('center_axis',(0.,0.,1.)); #428165=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #428166=DIRECTION('center_axis',(0.,0.,1.)); #428167=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #428168=DIRECTION('',(0.,0.,1.)); #428169=DIRECTION('center_axis',(-1.,0.,0.)); #428170=DIRECTION('ref_axis',(0.,-1.,0.)); #428171=DIRECTION('',(0.,-1.,0.)); #428172=DIRECTION('',(0.,-1.,0.)); #428173=DIRECTION('',(0.,0.,1.)); #428174=DIRECTION('center_axis',(0.,0.,1.)); #428175=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #428176=DIRECTION('center_axis',(0.,0.,1.)); #428177=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #428178=DIRECTION('center_axis',(0.,0.,1.)); #428179=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #428180=DIRECTION('',(0.,0.,1.)); #428181=DIRECTION('center_axis',(0.,0.,1.)); #428182=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #428183=DIRECTION('center_axis',(0.,0.,1.)); #428184=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #428185=DIRECTION('center_axis',(0.,0.,1.)); #428186=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #428187=DIRECTION('',(0.,0.,1.)); #428188=DIRECTION('center_axis',(1.,0.,0.)); #428189=DIRECTION('ref_axis',(0.,1.,0.)); #428190=DIRECTION('',(0.,1.,0.)); #428191=DIRECTION('',(0.,1.,0.)); #428192=DIRECTION('',(0.,0.,1.)); #428193=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #428194=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #428195=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428196=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428197=DIRECTION('',(0.,0.,1.)); #428198=DIRECTION('center_axis',(0.,1.,0.)); #428199=DIRECTION('ref_axis',(-1.,0.,0.)); #428200=DIRECTION('',(-1.,0.,0.)); #428201=DIRECTION('',(-1.,0.,0.)); #428202=DIRECTION('',(0.,0.,1.)); #428203=DIRECTION('center_axis',(-1.,0.,0.)); #428204=DIRECTION('ref_axis',(0.,-1.,0.)); #428205=DIRECTION('',(0.,-1.,0.)); #428206=DIRECTION('',(0.,-1.,0.)); #428207=DIRECTION('',(0.,0.,1.)); #428208=DIRECTION('center_axis',(0.,1.,0.)); #428209=DIRECTION('ref_axis',(-1.,0.,0.)); #428210=DIRECTION('',(-1.,0.,0.)); #428211=DIRECTION('',(-1.,0.,0.)); #428212=DIRECTION('center_axis',(0.,0.,1.)); #428213=DIRECTION('ref_axis',(1.,0.,0.)); #428214=DIRECTION('center_axis',(0.,0.,1.)); #428215=DIRECTION('ref_axis',(1.,0.,0.)); #428216=DIRECTION('center_axis',(1.,0.,0.)); #428217=DIRECTION('ref_axis',(0.,1.,0.)); #428218=DIRECTION('',(0.,1.,0.)); #428219=DIRECTION('',(0.,0.,1.)); #428220=DIRECTION('',(0.,1.,0.)); #428221=DIRECTION('',(0.,0.,1.)); #428222=DIRECTION('center_axis',(0.,-1.,0.)); #428223=DIRECTION('ref_axis',(1.,0.,0.)); #428224=DIRECTION('',(1.,0.,0.)); #428225=DIRECTION('',(1.,0.,0.)); #428226=DIRECTION('',(0.,0.,1.)); #428227=DIRECTION('center_axis',(-1.,0.,0.)); #428228=DIRECTION('ref_axis',(0.,-1.,0.)); #428229=DIRECTION('',(0.,-1.,0.)); #428230=DIRECTION('',(0.,-1.,0.)); #428231=DIRECTION('',(0.,0.,1.)); #428232=DIRECTION('center_axis',(0.,1.,0.)); #428233=DIRECTION('ref_axis',(-1.,0.,0.)); #428234=DIRECTION('',(-1.,0.,0.)); #428235=DIRECTION('',(-1.,0.,0.)); #428236=DIRECTION('',(0.,0.,1.)); #428237=DIRECTION('center_axis',(-1.,0.,0.)); #428238=DIRECTION('ref_axis',(0.,-1.,0.)); #428239=DIRECTION('',(0.,-1.,0.)); #428240=DIRECTION('',(0.,-1.,0.)); #428241=DIRECTION('',(0.,0.,1.)); #428242=DIRECTION('center_axis',(0.,0.,1.)); #428243=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #428244=DIRECTION('center_axis',(0.,0.,1.)); #428245=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #428246=DIRECTION('center_axis',(0.,0.,1.)); #428247=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #428248=DIRECTION('',(0.,0.,1.)); #428249=DIRECTION('center_axis',(-0.707106781186554,0.707106781186542,0.)); #428250=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186553,0.)); #428251=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #428252=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #428253=DIRECTION('',(0.,0.,1.)); #428254=DIRECTION('center_axis',(-1.,0.,0.)); #428255=DIRECTION('ref_axis',(0.,-1.,0.)); #428256=DIRECTION('',(0.,-1.,0.)); #428257=DIRECTION('',(0.,-1.,0.)); #428258=DIRECTION('',(0.,0.,1.)); #428259=DIRECTION('center_axis',(0.,1.,0.)); #428260=DIRECTION('ref_axis',(-1.,0.,0.)); #428261=DIRECTION('',(-1.,0.,0.)); #428262=DIRECTION('',(-1.,0.,0.)); #428263=DIRECTION('',(0.,0.,1.)); #428264=DIRECTION('center_axis',(1.,0.,0.)); #428265=DIRECTION('ref_axis',(0.,1.,0.)); #428266=DIRECTION('',(0.,1.,0.)); #428267=DIRECTION('',(0.,1.,0.)); #428268=DIRECTION('',(0.,0.,1.)); #428269=DIRECTION('center_axis',(0.,-1.,0.)); #428270=DIRECTION('ref_axis',(1.,0.,0.)); #428271=DIRECTION('',(1.,0.,0.)); #428272=DIRECTION('',(1.,0.,0.)); #428273=DIRECTION('',(0.,0.,1.)); #428274=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #428275=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #428276=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #428277=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #428278=DIRECTION('',(0.,0.,1.)); #428279=DIRECTION('center_axis',(1.,0.,0.)); #428280=DIRECTION('ref_axis',(0.,1.,0.)); #428281=DIRECTION('',(0.,1.,0.)); #428282=DIRECTION('',(0.,1.,0.)); #428283=DIRECTION('',(0.,0.,1.)); #428284=DIRECTION('center_axis',(0.,1.,0.)); #428285=DIRECTION('ref_axis',(-1.,0.,0.)); #428286=DIRECTION('',(-1.,0.,0.)); #428287=DIRECTION('',(-1.,0.,0.)); #428288=DIRECTION('center_axis',(0.,0.,1.)); #428289=DIRECTION('ref_axis',(1.,0.,0.)); #428290=DIRECTION('center_axis',(0.,0.,1.)); #428291=DIRECTION('ref_axis',(1.,0.,0.)); #428292=DIRECTION('center_axis',(1.,0.,0.)); #428293=DIRECTION('ref_axis',(0.,1.,0.)); #428294=DIRECTION('',(0.,1.,0.)); #428295=DIRECTION('',(0.,0.,1.)); #428296=DIRECTION('',(0.,1.,0.)); #428297=DIRECTION('',(0.,0.,1.)); #428298=DIRECTION('center_axis',(0.,-1.,0.)); #428299=DIRECTION('ref_axis',(1.,0.,0.)); #428300=DIRECTION('',(1.,0.,0.)); #428301=DIRECTION('',(1.,0.,0.)); #428302=DIRECTION('',(0.,0.,1.)); #428303=DIRECTION('center_axis',(-1.,0.,0.)); #428304=DIRECTION('ref_axis',(0.,-1.,0.)); #428305=DIRECTION('',(0.,-1.,0.)); #428306=DIRECTION('',(0.,-1.,0.)); #428307=DIRECTION('',(0.,0.,1.)); #428308=DIRECTION('center_axis',(0.,1.,0.)); #428309=DIRECTION('ref_axis',(-1.,0.,0.)); #428310=DIRECTION('',(-1.,0.,0.)); #428311=DIRECTION('',(-1.,0.,0.)); #428312=DIRECTION('',(0.,0.,1.)); #428313=DIRECTION('center_axis',(-1.,0.,0.)); #428314=DIRECTION('ref_axis',(0.,-1.,0.)); #428315=DIRECTION('',(0.,-1.,0.)); #428316=DIRECTION('',(0.,-1.,0.)); #428317=DIRECTION('',(0.,0.,1.)); #428318=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428319=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428320=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428321=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428322=DIRECTION('',(0.,0.,1.)); #428323=DIRECTION('center_axis',(0.,-1.,0.)); #428324=DIRECTION('ref_axis',(1.,0.,0.)); #428325=DIRECTION('',(1.,0.,0.)); #428326=DIRECTION('',(1.,0.,0.)); #428327=DIRECTION('',(0.,0.,1.)); #428328=DIRECTION('center_axis',(-1.,0.,0.)); #428329=DIRECTION('ref_axis',(0.,-1.,0.)); #428330=DIRECTION('',(0.,-1.,0.)); #428331=DIRECTION('',(0.,-1.,0.)); #428332=DIRECTION('',(0.,0.,1.)); #428333=DIRECTION('center_axis',(0.,1.,0.)); #428334=DIRECTION('ref_axis',(-1.,0.,0.)); #428335=DIRECTION('',(-1.,0.,0.)); #428336=DIRECTION('',(-1.,0.,0.)); #428337=DIRECTION('',(0.,0.,1.)); #428338=DIRECTION('center_axis',(1.,0.,0.)); #428339=DIRECTION('ref_axis',(0.,1.,0.)); #428340=DIRECTION('',(0.,1.,0.)); #428341=DIRECTION('',(0.,1.,0.)); #428342=DIRECTION('',(0.,0.,1.)); #428343=DIRECTION('center_axis',(0.707106781186554,0.707106781186542,0.)); #428344=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186553,0.)); #428345=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #428346=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #428347=DIRECTION('',(0.,0.,1.)); #428348=DIRECTION('center_axis',(0.,0.,1.)); #428349=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #428350=DIRECTION('center_axis',(0.,0.,1.)); #428351=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #428352=DIRECTION('center_axis',(0.,0.,1.)); #428353=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #428354=DIRECTION('',(0.,0.,1.)); #428355=DIRECTION('center_axis',(1.,0.,0.)); #428356=DIRECTION('ref_axis',(0.,1.,0.)); #428357=DIRECTION('',(0.,1.,0.)); #428358=DIRECTION('',(0.,1.,0.)); #428359=DIRECTION('',(0.,0.,1.)); #428360=DIRECTION('center_axis',(0.,1.,0.)); #428361=DIRECTION('ref_axis',(-1.,0.,0.)); #428362=DIRECTION('',(-1.,0.,0.)); #428363=DIRECTION('',(-1.,0.,0.)); #428364=DIRECTION('center_axis',(0.,0.,1.)); #428365=DIRECTION('ref_axis',(1.,0.,0.)); #428366=DIRECTION('center_axis',(0.,0.,1.)); #428367=DIRECTION('ref_axis',(1.,0.,0.)); #428368=DIRECTION('center_axis',(0.,0.,1.)); #428369=DIRECTION('ref_axis',(1.,0.,0.)); #428370=DIRECTION('center_axis',(0.,0.,1.)); #428371=DIRECTION('ref_axis',(1.,0.,0.)); #428372=DIRECTION('',(0.,0.,1.)); #428373=DIRECTION('center_axis',(0.,0.,-1.)); #428374=DIRECTION('ref_axis',(1.,0.,0.)); #428375=DIRECTION('center_axis',(0.110976900110203,-0.993822986070422,0.)); #428376=DIRECTION('ref_axis',(0.993822986070422,0.110976900110203,0.)); #428377=DIRECTION('',(0.993822986070422,0.110976900110203,0.)); #428378=DIRECTION('',(0.,0.,1.)); #428379=DIRECTION('',(0.993822986070422,0.110976900110203,0.)); #428380=DIRECTION('',(0.,0.,1.)); #428381=DIRECTION('center_axis',(0.,-1.,0.)); #428382=DIRECTION('ref_axis',(1.,0.,0.)); #428383=DIRECTION('',(1.,0.,0.)); #428384=DIRECTION('',(1.,0.,0.)); #428385=DIRECTION('',(0.,0.,1.)); #428386=DIRECTION('center_axis',(0.,0.,1.)); #428387=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #428388=DIRECTION('center_axis',(0.,0.,1.)); #428389=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #428390=DIRECTION('center_axis',(0.,0.,1.)); #428391=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #428392=DIRECTION('',(0.,0.,1.)); #428393=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428394=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428395=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428396=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428397=DIRECTION('',(0.,0.,1.)); #428398=DIRECTION('center_axis',(0.,0.,1.)); #428399=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #428400=DIRECTION('center_axis',(0.,0.,1.)); #428401=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #428402=DIRECTION('center_axis',(0.,0.,1.)); #428403=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #428404=DIRECTION('',(0.,0.,1.)); #428405=DIRECTION('center_axis',(-1.,0.,0.)); #428406=DIRECTION('ref_axis',(0.,-1.,0.)); #428407=DIRECTION('',(0.,-1.,0.)); #428408=DIRECTION('',(0.,-1.,0.)); #428409=DIRECTION('',(0.,0.,1.)); #428410=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #428411=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #428412=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #428413=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #428414=DIRECTION('',(0.,0.,1.)); #428415=DIRECTION('center_axis',(0.,0.,1.)); #428416=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #428417=DIRECTION('center_axis',(0.,0.,1.)); #428418=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #428419=DIRECTION('center_axis',(0.,0.,1.)); #428420=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #428421=DIRECTION('',(0.,0.,1.)); #428422=DIRECTION('center_axis',(-1.,0.,0.)); #428423=DIRECTION('ref_axis',(0.,-1.,0.)); #428424=DIRECTION('',(0.,-1.,0.)); #428425=DIRECTION('',(0.,-1.,0.)); #428426=DIRECTION('',(0.,0.,1.)); #428427=DIRECTION('center_axis',(0.,-1.,0.)); #428428=DIRECTION('ref_axis',(1.,0.,0.)); #428429=DIRECTION('',(1.,0.,0.)); #428430=DIRECTION('',(1.,0.,0.)); #428431=DIRECTION('',(0.,0.,1.)); #428432=DIRECTION('center_axis',(-1.,0.,0.)); #428433=DIRECTION('ref_axis',(0.,-1.,0.)); #428434=DIRECTION('',(0.,-1.,0.)); #428435=DIRECTION('',(0.,-1.,0.)); #428436=DIRECTION('',(0.,0.,1.)); #428437=DIRECTION('center_axis',(0.,1.,0.)); #428438=DIRECTION('ref_axis',(-1.,0.,0.)); #428439=DIRECTION('',(-1.,0.,0.)); #428440=DIRECTION('',(-1.,0.,0.)); #428441=DIRECTION('',(0.,0.,1.)); #428442=DIRECTION('center_axis',(1.,0.,0.)); #428443=DIRECTION('ref_axis',(0.,1.,0.)); #428444=DIRECTION('',(0.,1.,0.)); #428445=DIRECTION('',(0.,1.,0.)); #428446=DIRECTION('',(0.,0.,1.)); #428447=DIRECTION('center_axis',(0.,-1.,0.)); #428448=DIRECTION('ref_axis',(1.,0.,0.)); #428449=DIRECTION('',(1.,0.,0.)); #428450=DIRECTION('',(1.,0.,0.)); #428451=DIRECTION('',(0.,0.,1.)); #428452=DIRECTION('center_axis',(1.,0.,0.)); #428453=DIRECTION('ref_axis',(0.,1.,0.)); #428454=DIRECTION('',(0.,1.,0.)); #428455=DIRECTION('',(0.,1.,0.)); #428456=DIRECTION('',(0.,0.,1.)); #428457=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #428458=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #428459=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428460=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428461=DIRECTION('',(0.,0.,1.)); #428462=DIRECTION('center_axis',(0.,0.,1.)); #428463=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #428464=DIRECTION('center_axis',(0.,0.,1.)); #428465=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #428466=DIRECTION('center_axis',(0.,0.,1.)); #428467=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #428468=DIRECTION('',(0.,0.,1.)); #428469=DIRECTION('center_axis',(1.,0.,0.)); #428470=DIRECTION('ref_axis',(0.,1.,0.)); #428471=DIRECTION('',(0.,1.,0.)); #428472=DIRECTION('',(0.,1.,0.)); #428473=DIRECTION('',(0.,0.,1.)); #428474=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #428475=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #428476=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428477=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428478=DIRECTION('',(0.,0.,1.)); #428479=DIRECTION('center_axis',(0.,1.,0.)); #428480=DIRECTION('ref_axis',(-1.,0.,0.)); #428481=DIRECTION('',(-1.,0.,0.)); #428482=DIRECTION('',(-1.,0.,0.)); #428483=DIRECTION('',(0.,0.,1.)); #428484=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #428485=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #428486=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #428487=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #428488=DIRECTION('',(0.,0.,1.)); #428489=DIRECTION('center_axis',(0.,0.,1.)); #428490=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #428491=DIRECTION('center_axis',(0.,0.,1.)); #428492=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #428493=DIRECTION('center_axis',(0.,0.,1.)); #428494=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #428495=DIRECTION('',(0.,0.,1.)); #428496=DIRECTION('center_axis',(0.,1.,0.)); #428497=DIRECTION('ref_axis',(-1.,0.,0.)); #428498=DIRECTION('',(-1.,0.,0.)); #428499=DIRECTION('',(-1.,0.,0.)); #428500=DIRECTION('',(0.,0.,1.)); #428501=DIRECTION('center_axis',(-1.,0.,0.)); #428502=DIRECTION('ref_axis',(0.,-1.,0.)); #428503=DIRECTION('',(0.,-1.,0.)); #428504=DIRECTION('',(0.,-1.,0.)); #428505=DIRECTION('',(0.,0.,1.)); #428506=DIRECTION('center_axis',(0.,1.,0.)); #428507=DIRECTION('ref_axis',(-1.,0.,0.)); #428508=DIRECTION('',(-1.,0.,0.)); #428509=DIRECTION('',(-1.,0.,0.)); #428510=DIRECTION('',(0.,0.,1.)); #428511=DIRECTION('center_axis',(1.,0.,0.)); #428512=DIRECTION('ref_axis',(0.,1.,0.)); #428513=DIRECTION('',(0.,1.,0.)); #428514=DIRECTION('',(0.,1.,0.)); #428515=DIRECTION('',(0.,0.,1.)); #428516=DIRECTION('center_axis',(0.,1.,0.)); #428517=DIRECTION('ref_axis',(-1.,0.,0.)); #428518=DIRECTION('',(-1.,0.,0.)); #428519=DIRECTION('',(-1.,0.,0.)); #428520=DIRECTION('',(0.,0.,1.)); #428521=DIRECTION('center_axis',(-1.,0.,0.)); #428522=DIRECTION('ref_axis',(0.,-1.,0.)); #428523=DIRECTION('',(0.,-1.,0.)); #428524=DIRECTION('',(0.,-1.,0.)); #428525=DIRECTION('',(0.,0.,1.)); #428526=DIRECTION('center_axis',(0.,1.,0.)); #428527=DIRECTION('ref_axis',(-1.,0.,0.)); #428528=DIRECTION('',(-1.,0.,0.)); #428529=DIRECTION('',(-1.,0.,0.)); #428530=DIRECTION('',(0.,0.,1.)); #428531=DIRECTION('center_axis',(1.,0.,0.)); #428532=DIRECTION('ref_axis',(0.,1.,0.)); #428533=DIRECTION('',(0.,1.,0.)); #428534=DIRECTION('',(0.,1.,0.)); #428535=DIRECTION('',(0.,0.,1.)); #428536=DIRECTION('center_axis',(0.,-1.,0.)); #428537=DIRECTION('ref_axis',(1.,0.,0.)); #428538=DIRECTION('',(1.,0.,0.)); #428539=DIRECTION('',(1.,0.,0.)); #428540=DIRECTION('',(0.,0.,1.)); #428541=DIRECTION('center_axis',(-1.,0.,0.)); #428542=DIRECTION('ref_axis',(0.,-1.,0.)); #428543=DIRECTION('',(0.,-1.,0.)); #428544=DIRECTION('',(0.,-1.,0.)); #428545=DIRECTION('',(0.,0.,1.)); #428546=DIRECTION('center_axis',(0.,-1.,0.)); #428547=DIRECTION('ref_axis',(1.,0.,0.)); #428548=DIRECTION('',(1.,0.,0.)); #428549=DIRECTION('',(1.,0.,0.)); #428550=DIRECTION('',(0.,0.,1.)); #428551=DIRECTION('center_axis',(1.,0.,0.)); #428552=DIRECTION('ref_axis',(0.,1.,0.)); #428553=DIRECTION('',(0.,1.,0.)); #428554=DIRECTION('',(0.,1.,0.)); #428555=DIRECTION('',(0.,0.,1.)); #428556=DIRECTION('center_axis',(0.,-1.,0.)); #428557=DIRECTION('ref_axis',(1.,0.,0.)); #428558=DIRECTION('',(1.,0.,0.)); #428559=DIRECTION('',(1.,0.,0.)); #428560=DIRECTION('',(0.,0.,1.)); #428561=DIRECTION('center_axis',(-1.,0.,0.)); #428562=DIRECTION('ref_axis',(0.,-1.,0.)); #428563=DIRECTION('',(0.,-1.,0.)); #428564=DIRECTION('',(0.,-1.,0.)); #428565=DIRECTION('',(0.,0.,1.)); #428566=DIRECTION('center_axis',(0.,-1.,0.)); #428567=DIRECTION('ref_axis',(1.,0.,0.)); #428568=DIRECTION('',(1.,0.,0.)); #428569=DIRECTION('',(1.,0.,0.)); #428570=DIRECTION('',(0.,0.,1.)); #428571=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #428572=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #428573=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #428574=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #428575=DIRECTION('',(0.,0.,1.)); #428576=DIRECTION('center_axis',(0.,0.,1.)); #428577=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #428578=DIRECTION('center_axis',(0.,0.,1.)); #428579=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #428580=DIRECTION('center_axis',(0.,0.,1.)); #428581=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #428582=DIRECTION('center_axis',(0.,0.,1.)); #428583=DIRECTION('ref_axis',(1.,0.,0.)); #428584=DIRECTION('center_axis',(0.,0.,1.)); #428585=DIRECTION('ref_axis',(1.,0.,0.)); #428586=DIRECTION('center_axis',(0.,0.,1.)); #428587=DIRECTION('ref_axis',(1.,0.,0.)); #428588=DIRECTION('center_axis',(0.,0.,1.)); #428589=DIRECTION('ref_axis',(1.,0.,0.)); #428590=DIRECTION('',(0.,0.,1.)); #428591=DIRECTION('center_axis',(0.,0.,-1.)); #428592=DIRECTION('ref_axis',(1.,0.,0.)); #428593=DIRECTION('center_axis',(0.,0.,1.)); #428594=DIRECTION('ref_axis',(1.,0.,0.)); #428595=DIRECTION('center_axis',(0.,0.,1.)); #428596=DIRECTION('ref_axis',(1.,0.,0.)); #428597=DIRECTION('',(0.,0.,1.)); #428598=DIRECTION('center_axis',(0.,0.,-1.)); #428599=DIRECTION('ref_axis',(1.,0.,0.)); #428600=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #428601=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #428602=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #428603=DIRECTION('',(0.,0.,1.)); #428604=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #428605=DIRECTION('',(0.,0.,1.)); #428606=DIRECTION('center_axis',(0.,0.,1.)); #428607=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #428608=DIRECTION('center_axis',(0.,0.,1.)); #428609=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #428610=DIRECTION('center_axis',(0.,0.,1.)); #428611=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #428612=DIRECTION('',(0.,0.,1.)); #428613=DIRECTION('center_axis',(-1.,0.,0.)); #428614=DIRECTION('ref_axis',(0.,-1.,0.)); #428615=DIRECTION('',(0.,-1.,0.)); #428616=DIRECTION('',(0.,-1.,0.)); #428617=DIRECTION('',(0.,0.,1.)); #428618=DIRECTION('center_axis',(0.,0.,1.)); #428619=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #428620=DIRECTION('center_axis',(0.,0.,1.)); #428621=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #428622=DIRECTION('center_axis',(0.,0.,1.)); #428623=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #428624=DIRECTION('',(0.,0.,1.)); #428625=DIRECTION('center_axis',(0.,0.,1.)); #428626=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #428627=DIRECTION('center_axis',(0.,0.,1.)); #428628=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #428629=DIRECTION('center_axis',(0.,0.,1.)); #428630=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #428631=DIRECTION('',(0.,0.,1.)); #428632=DIRECTION('center_axis',(1.,0.,0.)); #428633=DIRECTION('ref_axis',(0.,1.,0.)); #428634=DIRECTION('',(0.,1.,0.)); #428635=DIRECTION('',(0.,1.,0.)); #428636=DIRECTION('',(0.,0.,1.)); #428637=DIRECTION('center_axis',(0.,0.,1.)); #428638=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #428639=DIRECTION('center_axis',(0.,0.,1.)); #428640=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #428641=DIRECTION('center_axis',(0.,0.,1.)); #428642=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #428643=DIRECTION('center_axis',(0.,0.,1.)); #428644=DIRECTION('ref_axis',(1.,0.,0.)); #428645=DIRECTION('center_axis',(0.,0.,1.)); #428646=DIRECTION('ref_axis',(1.,0.,0.)); #428647=DIRECTION('center_axis',(0.,0.,1.)); #428648=DIRECTION('ref_axis',(1.,0.,0.)); #428649=DIRECTION('center_axis',(0.,0.,1.)); #428650=DIRECTION('ref_axis',(1.,0.,0.)); #428651=DIRECTION('',(0.,0.,1.)); #428652=DIRECTION('center_axis',(0.,0.,-1.)); #428653=DIRECTION('ref_axis',(1.,0.,0.)); #428654=DIRECTION('center_axis',(1.,0.,0.)); #428655=DIRECTION('ref_axis',(0.,1.,0.)); #428656=DIRECTION('',(0.,1.,0.)); #428657=DIRECTION('',(0.,0.,1.)); #428658=DIRECTION('',(0.,1.,0.)); #428659=DIRECTION('',(0.,0.,1.)); #428660=DIRECTION('center_axis',(0.,-1.,0.)); #428661=DIRECTION('ref_axis',(1.,0.,0.)); #428662=DIRECTION('',(1.,0.,0.)); #428663=DIRECTION('',(1.,0.,0.)); #428664=DIRECTION('',(0.,0.,1.)); #428665=DIRECTION('center_axis',(-1.,0.,0.)); #428666=DIRECTION('ref_axis',(0.,-1.,0.)); #428667=DIRECTION('',(0.,-1.,0.)); #428668=DIRECTION('',(0.,-1.,0.)); #428669=DIRECTION('',(0.,0.,1.)); #428670=DIRECTION('center_axis',(0.,1.,0.)); #428671=DIRECTION('ref_axis',(-1.,0.,0.)); #428672=DIRECTION('',(-1.,0.,0.)); #428673=DIRECTION('',(-1.,0.,0.)); #428674=DIRECTION('center_axis',(0.,0.,1.)); #428675=DIRECTION('ref_axis',(1.,0.,0.)); #428676=DIRECTION('center_axis',(0.,0.,1.)); #428677=DIRECTION('ref_axis',(1.,0.,0.)); #428678=DIRECTION('center_axis',(0.,0.,1.)); #428679=DIRECTION('ref_axis',(1.,0.,0.)); #428680=DIRECTION('center_axis',(0.,0.,1.)); #428681=DIRECTION('ref_axis',(1.,0.,0.)); #428682=DIRECTION('',(0.,0.,1.)); #428683=DIRECTION('center_axis',(0.,0.,-1.)); #428684=DIRECTION('ref_axis',(1.,0.,0.)); #428685=DIRECTION('center_axis',(0.,0.,1.)); #428686=DIRECTION('ref_axis',(1.,0.,0.)); #428687=DIRECTION('center_axis',(0.,0.,1.)); #428688=DIRECTION('ref_axis',(1.,0.,0.)); #428689=DIRECTION('',(0.,0.,1.)); #428690=DIRECTION('center_axis',(0.,0.,-1.)); #428691=DIRECTION('ref_axis',(1.,0.,0.)); #428692=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #428693=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #428694=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #428695=DIRECTION('',(0.,0.,1.)); #428696=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #428697=DIRECTION('',(0.,0.,1.)); #428698=DIRECTION('center_axis',(0.,0.,1.)); #428699=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #428700=DIRECTION('center_axis',(0.,0.,1.)); #428701=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #428702=DIRECTION('center_axis',(0.,0.,1.)); #428703=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #428704=DIRECTION('',(0.,0.,1.)); #428705=DIRECTION('center_axis',(0.,-1.,0.)); #428706=DIRECTION('ref_axis',(1.,0.,0.)); #428707=DIRECTION('',(1.,0.,0.)); #428708=DIRECTION('',(1.,0.,0.)); #428709=DIRECTION('',(0.,0.,1.)); #428710=DIRECTION('center_axis',(0.,0.,1.)); #428711=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428712=DIRECTION('center_axis',(0.,0.,1.)); #428713=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428714=DIRECTION('center_axis',(0.,0.,1.)); #428715=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #428716=DIRECTION('',(0.,0.,1.)); #428717=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #428718=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #428719=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #428720=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #428721=DIRECTION('',(0.,0.,1.)); #428722=DIRECTION('center_axis',(0.,0.,1.)); #428723=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #428724=DIRECTION('center_axis',(0.,0.,1.)); #428725=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #428726=DIRECTION('center_axis',(0.,0.,1.)); #428727=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #428728=DIRECTION('',(0.,0.,1.)); #428729=DIRECTION('center_axis',(-1.,0.,0.)); #428730=DIRECTION('ref_axis',(0.,-1.,0.)); #428731=DIRECTION('',(0.,-1.,0.)); #428732=DIRECTION('',(0.,-1.,0.)); #428733=DIRECTION('',(0.,0.,1.)); #428734=DIRECTION('center_axis',(0.,0.,1.)); #428735=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #428736=DIRECTION('center_axis',(0.,0.,1.)); #428737=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #428738=DIRECTION('center_axis',(0.,0.,1.)); #428739=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #428740=DIRECTION('',(0.,0.,1.)); #428741=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #428742=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #428743=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #428744=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #428745=DIRECTION('',(0.,0.,1.)); #428746=DIRECTION('center_axis',(0.,0.,1.)); #428747=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #428748=DIRECTION('center_axis',(0.,0.,1.)); #428749=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #428750=DIRECTION('center_axis',(0.,0.,1.)); #428751=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #428752=DIRECTION('',(0.,0.,1.)); #428753=DIRECTION('center_axis',(0.,1.,0.)); #428754=DIRECTION('ref_axis',(-1.,0.,0.)); #428755=DIRECTION('',(-1.,0.,0.)); #428756=DIRECTION('',(-1.,0.,0.)); #428757=DIRECTION('',(0.,0.,1.)); #428758=DIRECTION('center_axis',(0.,0.,1.)); #428759=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428760=DIRECTION('center_axis',(0.,0.,1.)); #428761=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428762=DIRECTION('center_axis',(0.,0.,1.)); #428763=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #428764=DIRECTION('',(0.,0.,1.)); #428765=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #428766=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #428767=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428768=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #428769=DIRECTION('',(0.,0.,1.)); #428770=DIRECTION('center_axis',(0.,0.,1.)); #428771=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #428772=DIRECTION('center_axis',(0.,0.,1.)); #428773=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #428774=DIRECTION('center_axis',(0.,0.,1.)); #428775=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #428776=DIRECTION('',(0.,0.,1.)); #428777=DIRECTION('center_axis',(0.,0.,1.)); #428778=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #428779=DIRECTION('center_axis',(0.,0.,1.)); #428780=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #428781=DIRECTION('center_axis',(0.,0.,1.)); #428782=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #428783=DIRECTION('',(0.,0.,1.)); #428784=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #428785=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #428786=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #428787=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #428788=DIRECTION('',(0.,0.,1.)); #428789=DIRECTION('center_axis',(0.,-1.,0.)); #428790=DIRECTION('ref_axis',(1.,0.,0.)); #428791=DIRECTION('',(1.,0.,0.)); #428792=DIRECTION('',(1.,0.,0.)); #428793=DIRECTION('',(0.,0.,1.)); #428794=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #428795=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #428796=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #428797=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #428798=DIRECTION('',(0.,0.,1.)); #428799=DIRECTION('center_axis',(1.,0.,0.)); #428800=DIRECTION('ref_axis',(0.,1.,0.)); #428801=DIRECTION('',(0.,1.,0.)); #428802=DIRECTION('',(0.,1.,0.)); #428803=DIRECTION('',(0.,0.,1.)); #428804=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #428805=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #428806=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428807=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #428808=DIRECTION('',(0.,0.,1.)); #428809=DIRECTION('center_axis',(0.,1.,0.)); #428810=DIRECTION('ref_axis',(-1.,0.,0.)); #428811=DIRECTION('',(-1.,0.,0.)); #428812=DIRECTION('',(-1.,0.,0.)); #428813=DIRECTION('',(0.,0.,1.)); #428814=DIRECTION('center_axis',(0.,0.,1.)); #428815=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #428816=DIRECTION('center_axis',(0.,0.,1.)); #428817=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #428818=DIRECTION('center_axis',(0.,0.,1.)); #428819=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #428820=DIRECTION('',(0.,0.,1.)); #428821=DIRECTION('center_axis',(0.,0.,1.)); #428822=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #428823=DIRECTION('center_axis',(0.,0.,1.)); #428824=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #428825=DIRECTION('center_axis',(0.,0.,1.)); #428826=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #428827=DIRECTION('center_axis',(0.,0.,1.)); #428828=DIRECTION('ref_axis',(1.,0.,0.)); #428829=DIRECTION('center_axis',(0.,0.,1.)); #428830=DIRECTION('ref_axis',(1.,0.,0.)); #428831=DIRECTION('center_axis',(0.,0.,1.)); #428832=DIRECTION('ref_axis',(1.,0.,0.)); #428833=DIRECTION('center_axis',(0.,0.,1.)); #428834=DIRECTION('ref_axis',(1.,0.,0.)); #428835=DIRECTION('',(0.,0.,1.)); #428836=DIRECTION('center_axis',(0.,0.,-1.)); #428837=DIRECTION('ref_axis',(1.,0.,0.)); #428838=DIRECTION('center_axis',(0.,0.,1.)); #428839=DIRECTION('ref_axis',(1.,0.,0.)); #428840=DIRECTION('center_axis',(0.,0.,1.)); #428841=DIRECTION('ref_axis',(1.,0.,0.)); #428842=DIRECTION('',(0.,0.,1.)); #428843=DIRECTION('center_axis',(0.,0.,-1.)); #428844=DIRECTION('ref_axis',(1.,0.,0.)); #428845=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #428846=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #428847=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #428848=DIRECTION('',(0.,0.,1.)); #428849=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #428850=DIRECTION('',(0.,0.,1.)); #428851=DIRECTION('center_axis',(0.,0.,1.)); #428852=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #428853=DIRECTION('center_axis',(0.,0.,1.)); #428854=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #428855=DIRECTION('center_axis',(0.,0.,1.)); #428856=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #428857=DIRECTION('',(0.,0.,1.)); #428858=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428859=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428860=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428861=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #428862=DIRECTION('',(0.,0.,1.)); #428863=DIRECTION('center_axis',(0.,0.,1.)); #428864=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #428865=DIRECTION('center_axis',(0.,0.,1.)); #428866=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #428867=DIRECTION('center_axis',(0.,0.,1.)); #428868=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #428869=DIRECTION('',(0.,0.,1.)); #428870=DIRECTION('center_axis',(0.,0.,1.)); #428871=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #428872=DIRECTION('center_axis',(0.,0.,1.)); #428873=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #428874=DIRECTION('center_axis',(0.,0.,1.)); #428875=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #428876=DIRECTION('',(0.,0.,1.)); #428877=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #428878=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #428879=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #428880=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #428881=DIRECTION('',(0.,0.,1.)); #428882=DIRECTION('center_axis',(-0.308977861954575,0.951069230299235,0.)); #428883=DIRECTION('ref_axis',(-0.951069230299235,-0.308977861954575,0.)); #428884=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #428885=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #428886=DIRECTION('',(0.,0.,1.)); #428887=DIRECTION('center_axis',(0.,0.,1.)); #428888=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #428889=DIRECTION('center_axis',(0.,0.,1.)); #428890=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #428891=DIRECTION('center_axis',(0.,0.,1.)); #428892=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #428893=DIRECTION('center_axis',(0.,0.,1.)); #428894=DIRECTION('ref_axis',(1.,0.,0.)); #428895=DIRECTION('center_axis',(0.,0.,1.)); #428896=DIRECTION('ref_axis',(1.,0.,0.)); #428897=DIRECTION('center_axis',(0.,0.,1.)); #428898=DIRECTION('ref_axis',(1.,0.,0.)); #428899=DIRECTION('center_axis',(0.,0.,1.)); #428900=DIRECTION('ref_axis',(1.,0.,0.)); #428901=DIRECTION('',(0.,0.,1.)); #428902=DIRECTION('center_axis',(0.,0.,-1.)); #428903=DIRECTION('ref_axis',(1.,0.,0.)); #428904=DIRECTION('center_axis',(1.,0.,0.)); #428905=DIRECTION('ref_axis',(0.,1.,0.)); #428906=DIRECTION('',(0.,1.,0.)); #428907=DIRECTION('',(0.,0.,1.)); #428908=DIRECTION('',(0.,1.,0.)); #428909=DIRECTION('',(0.,0.,1.)); #428910=DIRECTION('center_axis',(0.,-1.,0.)); #428911=DIRECTION('ref_axis',(1.,0.,0.)); #428912=DIRECTION('',(1.,0.,0.)); #428913=DIRECTION('',(1.,0.,0.)); #428914=DIRECTION('',(0.,0.,1.)); #428915=DIRECTION('center_axis',(-1.,0.,0.)); #428916=DIRECTION('ref_axis',(0.,-1.,0.)); #428917=DIRECTION('',(0.,-1.,0.)); #428918=DIRECTION('',(0.,-1.,0.)); #428919=DIRECTION('',(0.,0.,1.)); #428920=DIRECTION('center_axis',(0.,1.,0.)); #428921=DIRECTION('ref_axis',(-1.,0.,0.)); #428922=DIRECTION('',(-1.,0.,0.)); #428923=DIRECTION('',(-1.,0.,0.)); #428924=DIRECTION('center_axis',(0.,0.,1.)); #428925=DIRECTION('ref_axis',(1.,0.,0.)); #428926=DIRECTION('center_axis',(0.,0.,1.)); #428927=DIRECTION('ref_axis',(1.,0.,0.)); #428928=DIRECTION('center_axis',(0.,0.,1.)); #428929=DIRECTION('ref_axis',(1.,0.,0.)); #428930=DIRECTION('center_axis',(0.,0.,1.)); #428931=DIRECTION('ref_axis',(1.,0.,0.)); #428932=DIRECTION('',(0.,0.,1.)); #428933=DIRECTION('center_axis',(0.,0.,-1.)); #428934=DIRECTION('ref_axis',(1.,0.,0.)); #428935=DIRECTION('center_axis',(0.,0.,1.)); #428936=DIRECTION('ref_axis',(1.,0.,0.)); #428937=DIRECTION('center_axis',(0.,0.,1.)); #428938=DIRECTION('ref_axis',(1.,0.,0.)); #428939=DIRECTION('',(0.,0.,1.)); #428940=DIRECTION('center_axis',(0.,0.,-1.)); #428941=DIRECTION('ref_axis',(1.,0.,0.)); #428942=DIRECTION('center_axis',(0.,0.,1.)); #428943=DIRECTION('ref_axis',(1.,0.,0.)); #428944=DIRECTION('center_axis',(0.,0.,1.)); #428945=DIRECTION('ref_axis',(1.,0.,0.)); #428946=DIRECTION('',(0.,0.,1.)); #428947=DIRECTION('center_axis',(0.,0.,-1.)); #428948=DIRECTION('ref_axis',(1.,0.,0.)); #428949=DIRECTION('center_axis',(0.,0.,1.)); #428950=DIRECTION('ref_axis',(1.,0.,0.)); #428951=DIRECTION('center_axis',(0.,0.,1.)); #428952=DIRECTION('ref_axis',(1.,0.,0.)); #428953=DIRECTION('',(0.,0.,1.)); #428954=DIRECTION('center_axis',(0.,0.,-1.)); #428955=DIRECTION('ref_axis',(1.,0.,0.)); #428956=DIRECTION('center_axis',(0.,1.,0.)); #428957=DIRECTION('ref_axis',(-1.,0.,0.)); #428958=DIRECTION('',(-1.,0.,0.)); #428959=DIRECTION('',(0.,0.,1.)); #428960=DIRECTION('',(-1.,0.,0.)); #428961=DIRECTION('',(0.,0.,1.)); #428962=DIRECTION('center_axis',(-0.382688195372234,0.923877559594746,0.)); #428963=DIRECTION('ref_axis',(-0.923877559594745,-0.382688195372234,0.)); #428964=DIRECTION('',(-0.923877559594745,-0.382688195372234,0.)); #428965=DIRECTION('',(-0.923877559594745,-0.382688195372234,0.)); #428966=DIRECTION('',(0.,0.,1.)); #428967=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #428968=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #428969=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #428970=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #428971=DIRECTION('',(0.,0.,1.)); #428972=DIRECTION('center_axis',(-0.923877559594736,0.382688195372258,0.)); #428973=DIRECTION('ref_axis',(-0.382688195372258,-0.923877559594736,0.)); #428974=DIRECTION('',(-0.382688195372258,-0.923877559594736,0.)); #428975=DIRECTION('',(-0.382688195372258,-0.923877559594736,0.)); #428976=DIRECTION('',(0.,0.,1.)); #428977=DIRECTION('center_axis',(-1.,0.,0.)); #428978=DIRECTION('ref_axis',(0.,-1.,0.)); #428979=DIRECTION('',(0.,-1.,0.)); #428980=DIRECTION('',(0.,-1.,0.)); #428981=DIRECTION('',(0.,0.,1.)); #428982=DIRECTION('center_axis',(-0.923877559594733,-0.382688195372265, 0.)); #428983=DIRECTION('ref_axis',(0.382688195372265,-0.923877559594733,0.)); #428984=DIRECTION('',(0.382688195372265,-0.923877559594733,0.)); #428985=DIRECTION('',(0.382688195372265,-0.923877559594733,0.)); #428986=DIRECTION('',(0.,0.,1.)); #428987=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #428988=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #428989=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428990=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #428991=DIRECTION('',(0.,0.,1.)); #428992=DIRECTION('center_axis',(-0.382688195372252,-0.923877559594738, 0.)); #428993=DIRECTION('ref_axis',(0.923877559594738,-0.382688195372252,0.)); #428994=DIRECTION('',(0.923877559594738,-0.382688195372252,0.)); #428995=DIRECTION('',(0.923877559594738,-0.382688195372252,0.)); #428996=DIRECTION('',(0.,0.,1.)); #428997=DIRECTION('center_axis',(0.,-1.,0.)); #428998=DIRECTION('ref_axis',(1.,0.,0.)); #428999=DIRECTION('',(1.,0.,0.)); #429000=DIRECTION('',(1.,0.,0.)); #429001=DIRECTION('',(0.,0.,1.)); #429002=DIRECTION('center_axis',(0.382688195372245,-0.923877559594741,0.)); #429003=DIRECTION('ref_axis',(0.923877559594741,0.382688195372245,0.)); #429004=DIRECTION('',(0.923877559594741,0.382688195372245,0.)); #429005=DIRECTION('',(0.923877559594741,0.382688195372245,0.)); #429006=DIRECTION('',(0.,0.,1.)); #429007=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #429008=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #429009=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #429010=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #429011=DIRECTION('',(0.,0.,1.)); #429012=DIRECTION('center_axis',(0.92387755959474,-0.382688195372247,0.)); #429013=DIRECTION('ref_axis',(0.382688195372247,0.92387755959474,0.)); #429014=DIRECTION('',(0.382688195372247,0.92387755959474,0.)); #429015=DIRECTION('',(0.382688195372247,0.92387755959474,0.)); #429016=DIRECTION('',(0.,0.,1.)); #429017=DIRECTION('center_axis',(1.,0.,0.)); #429018=DIRECTION('ref_axis',(0.,1.,0.)); #429019=DIRECTION('',(0.,1.,0.)); #429020=DIRECTION('',(0.,1.,0.)); #429021=DIRECTION('',(0.,0.,1.)); #429022=DIRECTION('center_axis',(0.923877559594743,0.38268819537224,0.)); #429023=DIRECTION('ref_axis',(-0.38268819537224,0.923877559594743,0.)); #429024=DIRECTION('',(-0.38268819537224,0.923877559594743,0.)); #429025=DIRECTION('',(-0.38268819537224,0.923877559594743,0.)); #429026=DIRECTION('',(0.,0.,1.)); #429027=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #429028=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #429029=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #429030=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #429031=DIRECTION('',(0.,0.,1.)); #429032=DIRECTION('center_axis',(0.382688195372227,0.923877559594749,0.)); #429033=DIRECTION('ref_axis',(-0.923877559594749,0.382688195372227,0.)); #429034=DIRECTION('',(-0.923877559594749,0.382688195372227,0.)); #429035=DIRECTION('',(-0.923877559594749,0.382688195372227,0.)); #429036=DIRECTION('center_axis',(0.199006783325433,-0.979998112340256,0.)); #429037=DIRECTION('ref_axis',(0.979998112340256,0.199006783325433,0.)); #429038=DIRECTION('',(0.979998112340256,0.199006783325433,0.)); #429039=DIRECTION('',(0.,0.,1.)); #429040=DIRECTION('',(0.979998112340256,0.199006783325433,0.)); #429041=DIRECTION('',(0.,0.,1.)); #429042=DIRECTION('center_axis',(0.,-1.,0.)); #429043=DIRECTION('ref_axis',(1.,0.,0.)); #429044=DIRECTION('',(1.,0.,0.)); #429045=DIRECTION('',(1.,0.,0.)); #429046=DIRECTION('',(0.,0.,1.)); #429047=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #429048=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #429049=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #429050=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #429051=DIRECTION('',(0.,0.,1.)); #429052=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #429053=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #429054=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #429055=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #429056=DIRECTION('',(0.,0.,1.)); #429057=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #429058=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #429059=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #429060=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #429061=DIRECTION('',(0.,0.,1.)); #429062=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #429063=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #429064=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #429065=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #429066=DIRECTION('',(0.,0.,1.)); #429067=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #429068=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #429069=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #429070=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #429071=DIRECTION('',(0.,0.,1.)); #429072=DIRECTION('center_axis',(-1.,0.,0.)); #429073=DIRECTION('ref_axis',(0.,-1.,0.)); #429074=DIRECTION('',(0.,-1.,0.)); #429075=DIRECTION('',(0.,-1.,0.)); #429076=DIRECTION('',(0.,0.,1.)); #429077=DIRECTION('center_axis',(-0.707106874897595,-0.707106687475488, 0.)); #429078=DIRECTION('ref_axis',(0.707106687475488,-0.707106874897595,0.)); #429079=DIRECTION('',(0.707106687475488,-0.707106874897595,0.)); #429080=DIRECTION('',(0.707106687475488,-0.707106874897595,0.)); #429081=DIRECTION('',(0.,0.,1.)); #429082=DIRECTION('center_axis',(-0.829258128535978,-0.558865776602045, 0.)); #429083=DIRECTION('ref_axis',(0.558865776602045,-0.829258128535978,0.)); #429084=DIRECTION('',(0.558865776602045,-0.829258128535978,0.)); #429085=DIRECTION('',(0.558865776602045,-0.829258128535978,0.)); #429086=DIRECTION('',(0.,0.,1.)); #429087=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #429088=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #429089=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #429090=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #429091=DIRECTION('',(0.,0.,1.)); #429092=DIRECTION('center_axis',(-1.,0.,0.)); #429093=DIRECTION('ref_axis',(0.,-1.,0.)); #429094=DIRECTION('',(0.,-1.,0.)); #429095=DIRECTION('',(0.,-1.,0.)); #429096=DIRECTION('',(0.,0.,1.)); #429097=DIRECTION('center_axis',(-0.983050395657604,0.183335538282758,0.)); #429098=DIRECTION('ref_axis',(-0.183335538282758,-0.983050395657604,0.)); #429099=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #429100=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #429101=DIRECTION('',(0.,0.,1.)); #429102=DIRECTION('center_axis',(-0.842360495470216,0.538914460439848,0.)); #429103=DIRECTION('ref_axis',(-0.538914460439848,-0.842360495470216,0.)); #429104=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #429105=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #429106=DIRECTION('',(0.,0.,1.)); #429107=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #429108=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #429109=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #429110=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #429111=DIRECTION('',(0.,0.,1.)); #429112=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #429113=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #429114=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #429115=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #429116=DIRECTION('',(0.,0.,1.)); #429117=DIRECTION('center_axis',(0.,1.,0.)); #429118=DIRECTION('ref_axis',(-1.,0.,0.)); #429119=DIRECTION('',(-1.,0.,0.)); #429120=DIRECTION('',(-1.,0.,0.)); #429121=DIRECTION('',(0.,0.,1.)); #429122=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #429123=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #429124=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #429125=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #429126=DIRECTION('',(0.,0.,1.)); #429127=DIRECTION('center_axis',(-1.,0.,0.)); #429128=DIRECTION('ref_axis',(0.,-1.,0.)); #429129=DIRECTION('',(0.,-1.,0.)); #429130=DIRECTION('',(0.,-1.,0.)); #429131=DIRECTION('',(0.,0.,1.)); #429132=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #429133=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #429134=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #429135=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #429136=DIRECTION('',(0.,0.,1.)); #429137=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #429138=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #429139=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #429140=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #429141=DIRECTION('',(0.,0.,1.)); #429142=DIRECTION('center_axis',(-0.70710678118654,0.707106781186555,0.)); #429143=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #429144=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #429145=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #429146=DIRECTION('',(0.,0.,1.)); #429147=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #429148=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #429149=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #429150=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #429151=DIRECTION('',(0.,0.,1.)); #429152=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #429153=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #429154=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #429155=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #429156=DIRECTION('',(0.,0.,1.)); #429157=DIRECTION('center_axis',(0.,1.,0.)); #429158=DIRECTION('ref_axis',(-1.,0.,0.)); #429159=DIRECTION('',(-1.,0.,0.)); #429160=DIRECTION('',(-1.,0.,0.)); #429161=DIRECTION('',(0.,0.,1.)); #429162=DIRECTION('center_axis',(0.191194865688771,0.981552099144133,0.)); #429163=DIRECTION('ref_axis',(-0.981552099144132,0.191194865688771,0.)); #429164=DIRECTION('',(-0.981552099144132,0.191194865688771,0.)); #429165=DIRECTION('',(-0.981552099144132,0.191194865688771,0.)); #429166=DIRECTION('',(0.,0.,1.)); #429167=DIRECTION('center_axis',(0.55221870108125,0.833699290017772,0.)); #429168=DIRECTION('ref_axis',(-0.833699290017772,0.55221870108125,0.)); #429169=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #429170=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #429171=DIRECTION('',(0.,0.,1.)); #429172=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429173=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429174=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429175=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429176=DIRECTION('',(0.,0.,1.)); #429177=DIRECTION('center_axis',(0.829264560351563,0.55885623280494,0.)); #429178=DIRECTION('ref_axis',(-0.55885623280494,0.829264560351563,0.)); #429179=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #429180=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #429181=DIRECTION('',(0.,0.,1.)); #429182=DIRECTION('center_axis',(0.97999732879972,0.199010641789363,0.)); #429183=DIRECTION('ref_axis',(-0.199010641789363,0.97999732879972,0.)); #429184=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #429185=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #429186=DIRECTION('',(0.,0.,1.)); #429187=DIRECTION('center_axis',(1.,0.,0.)); #429188=DIRECTION('ref_axis',(0.,1.,0.)); #429189=DIRECTION('',(0.,1.,0.)); #429190=DIRECTION('',(0.,1.,0.)); #429191=DIRECTION('',(0.,0.,1.)); #429192=DIRECTION('center_axis',(0.990017436553505,0.140944937191896,0.)); #429193=DIRECTION('ref_axis',(-0.140944937191896,0.990017436553505,0.)); #429194=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #429195=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #429196=DIRECTION('',(0.,0.,1.)); #429197=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #429198=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #429199=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #429200=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #429201=DIRECTION('',(0.,0.,1.)); #429202=DIRECTION('center_axis',(0.707100346147292,0.707113216167241,0.)); #429203=DIRECTION('ref_axis',(-0.707113216167241,0.707100346147292,0.)); #429204=DIRECTION('',(-0.707113216167241,0.707100346147292,0.)); #429205=DIRECTION('',(-0.707113216167241,0.707100346147292,0.)); #429206=DIRECTION('',(0.,0.,1.)); #429207=DIRECTION('center_axis',(0.824754890362578,0.565490380840393,0.)); #429208=DIRECTION('ref_axis',(-0.565490380840393,0.824754890362578,0.)); #429209=DIRECTION('',(-0.565490380840393,0.824754890362578,0.)); #429210=DIRECTION('',(-0.565490380840393,0.824754890362578,0.)); #429211=DIRECTION('',(0.,0.,1.)); #429212=DIRECTION('center_axis',(0.976703298176499,0.214594192212067,0.)); #429213=DIRECTION('ref_axis',(-0.214594192212067,0.976703298176499,0.)); #429214=DIRECTION('',(-0.214594192212067,0.976703298176499,0.)); #429215=DIRECTION('',(-0.214594192212067,0.976703298176499,0.)); #429216=DIRECTION('',(0.,0.,1.)); #429217=DIRECTION('center_axis',(0.983041987252279,-0.183380618657178,0.)); #429218=DIRECTION('ref_axis',(0.183380618657178,0.983041987252279,0.)); #429219=DIRECTION('',(0.183380618657178,0.983041987252279,0.)); #429220=DIRECTION('',(0.183380618657178,0.983041987252279,0.)); #429221=DIRECTION('',(0.,0.,1.)); #429222=DIRECTION('center_axis',(0.833693256958978,-0.552227809242827,0.)); #429223=DIRECTION('ref_axis',(0.552227809242827,0.833693256958978,0.)); #429224=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #429225=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #429226=DIRECTION('',(0.,0.,1.)); #429227=DIRECTION('center_axis',(0.707116773721964,-0.707096788509919,0.)); #429228=DIRECTION('ref_axis',(0.707096788509919,0.707116773721964,0.)); #429229=DIRECTION('',(0.707096788509919,0.707116773721964,0.)); #429230=DIRECTION('',(0.707096788509919,0.707116773721964,0.)); #429231=DIRECTION('',(0.,0.,1.)); #429232=DIRECTION('center_axis',(1.,0.,0.)); #429233=DIRECTION('ref_axis',(0.,1.,0.)); #429234=DIRECTION('',(0.,1.,0.)); #429235=DIRECTION('',(0.,1.,0.)); #429236=DIRECTION('',(0.,0.,1.)); #429237=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429238=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429239=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429240=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429241=DIRECTION('',(0.,0.,1.)); #429242=DIRECTION('center_axis',(0.,1.,0.)); #429243=DIRECTION('ref_axis',(-1.,0.,0.)); #429244=DIRECTION('',(-1.,0.,0.)); #429245=DIRECTION('',(-1.,0.,0.)); #429246=DIRECTION('',(0.,0.,1.)); #429247=DIRECTION('center_axis',(0.183335538282816,0.983050395657593,0.)); #429248=DIRECTION('ref_axis',(-0.983050395657593,0.183335538282816,0.)); #429249=DIRECTION('',(-0.983050395657593,0.183335538282816,0.)); #429250=DIRECTION('',(-0.983050395657593,0.183335538282816,0.)); #429251=DIRECTION('',(0.,0.,1.)); #429252=DIRECTION('center_axis',(0.538914460439888,0.84236049547019,0.)); #429253=DIRECTION('ref_axis',(-0.84236049547019,0.538914460439888,0.)); #429254=DIRECTION('',(-0.84236049547019,0.538914460439888,0.)); #429255=DIRECTION('',(-0.84236049547019,0.538914460439888,0.)); #429256=DIRECTION('',(0.,0.,1.)); #429257=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #429258=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #429259=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #429260=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #429261=DIRECTION('',(0.,0.,1.)); #429262=DIRECTION('center_axis',(0.97999732879973,0.199010641789311,0.)); #429263=DIRECTION('ref_axis',(-0.199010641789311,0.97999732879973,0.)); #429264=DIRECTION('',(-0.199010641789311,0.97999732879973,0.)); #429265=DIRECTION('',(-0.199010641789311,0.97999732879973,0.)); #429266=DIRECTION('',(0.,0.,1.)); #429267=DIRECTION('center_axis',(1.,0.,0.)); #429268=DIRECTION('ref_axis',(0.,1.,0.)); #429269=DIRECTION('',(0.,1.,0.)); #429270=DIRECTION('',(0.,1.,0.)); #429271=DIRECTION('',(0.,0.,1.)); #429272=DIRECTION('center_axis',(0.990017436553505,0.140944937191896,0.)); #429273=DIRECTION('ref_axis',(-0.140944937191896,0.990017436553505,0.)); #429274=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #429275=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #429276=DIRECTION('',(0.,0.,1.)); #429277=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #429278=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #429279=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #429280=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #429281=DIRECTION('',(0.,0.,1.)); #429282=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429283=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429284=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #429285=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #429286=DIRECTION('',(0.,0.,1.)); #429287=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #429288=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #429289=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #429290=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #429291=DIRECTION('',(0.,0.,1.)); #429292=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #429293=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #429294=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #429295=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #429296=DIRECTION('',(0.,0.,1.)); #429297=DIRECTION('center_axis',(1.,0.,0.)); #429298=DIRECTION('ref_axis',(0.,1.,0.)); #429299=DIRECTION('',(0.,1.,0.)); #429300=DIRECTION('',(0.,1.,0.)); #429301=DIRECTION('',(0.,0.,1.)); #429302=DIRECTION('center_axis',(0.,1.,0.)); #429303=DIRECTION('ref_axis',(-1.,0.,0.)); #429304=DIRECTION('',(-1.,0.,0.)); #429305=DIRECTION('',(-1.,0.,0.)); #429306=DIRECTION('',(0.,0.,1.)); #429307=DIRECTION('center_axis',(0.,0.,1.)); #429308=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #429309=DIRECTION('center_axis',(0.,0.,1.)); #429310=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #429311=DIRECTION('center_axis',(0.,0.,1.)); #429312=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #429313=DIRECTION('',(0.,0.,1.)); #429314=DIRECTION('center_axis',(0.,1.,0.)); #429315=DIRECTION('ref_axis',(-1.,0.,0.)); #429316=DIRECTION('',(-1.,0.,0.)); #429317=DIRECTION('',(-1.,0.,0.)); #429318=DIRECTION('',(0.,0.,1.)); #429319=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #429320=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #429321=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #429322=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #429323=DIRECTION('',(0.,0.,1.)); #429324=DIRECTION('center_axis',(-1.,0.,0.)); #429325=DIRECTION('ref_axis',(0.,-1.,0.)); #429326=DIRECTION('',(0.,-1.,0.)); #429327=DIRECTION('',(0.,-1.,0.)); #429328=DIRECTION('',(0.,0.,1.)); #429329=DIRECTION('center_axis',(0.,0.,1.)); #429330=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #429331=DIRECTION('center_axis',(0.,0.,1.)); #429332=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #429333=DIRECTION('center_axis',(0.,0.,1.)); #429334=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #429335=DIRECTION('',(0.,0.,1.)); #429336=DIRECTION('center_axis',(0.,0.,1.)); #429337=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #429338=DIRECTION('center_axis',(0.,0.,1.)); #429339=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #429340=DIRECTION('center_axis',(0.,0.,1.)); #429341=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #429342=DIRECTION('',(0.,0.,1.)); #429343=DIRECTION('center_axis',(1.,0.,0.)); #429344=DIRECTION('ref_axis',(0.,1.,0.)); #429345=DIRECTION('',(0.,1.,0.)); #429346=DIRECTION('',(0.,1.,0.)); #429347=DIRECTION('',(0.,0.,1.)); #429348=DIRECTION('center_axis',(0.,0.,1.)); #429349=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #429350=DIRECTION('center_axis',(0.,0.,1.)); #429351=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #429352=DIRECTION('center_axis',(0.,0.,1.)); #429353=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #429354=DIRECTION('',(0.,0.,1.)); #429355=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #429356=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #429357=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #429358=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #429359=DIRECTION('',(0.,0.,1.)); #429360=DIRECTION('center_axis',(0.,0.,1.)); #429361=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #429362=DIRECTION('center_axis',(0.,0.,1.)); #429363=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #429364=DIRECTION('center_axis',(0.,0.,1.)); #429365=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #429366=DIRECTION('',(0.,0.,1.)); #429367=DIRECTION('center_axis',(0.,-1.,0.)); #429368=DIRECTION('ref_axis',(1.,0.,0.)); #429369=DIRECTION('',(1.,0.,0.)); #429370=DIRECTION('',(1.,0.,0.)); #429371=DIRECTION('',(0.,0.,1.)); #429372=DIRECTION('center_axis',(0.,0.,1.)); #429373=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #429374=DIRECTION('center_axis',(0.,0.,1.)); #429375=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #429376=DIRECTION('center_axis',(0.,0.,1.)); #429377=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #429378=DIRECTION('',(0.,0.,1.)); #429379=DIRECTION('center_axis',(0.,-1.,0.)); #429380=DIRECTION('ref_axis',(1.,0.,0.)); #429381=DIRECTION('',(1.,0.,0.)); #429382=DIRECTION('',(1.,0.,0.)); #429383=DIRECTION('',(0.,0.,1.)); #429384=DIRECTION('center_axis',(1.,0.,0.)); #429385=DIRECTION('ref_axis',(0.,1.,0.)); #429386=DIRECTION('',(0.,1.,0.)); #429387=DIRECTION('',(0.,1.,0.)); #429388=DIRECTION('',(0.,0.,1.)); #429389=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #429390=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #429391=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #429392=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #429393=DIRECTION('',(0.,0.,1.)); #429394=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #429395=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #429396=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #429397=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #429398=DIRECTION('',(0.,0.,1.)); #429399=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #429400=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #429401=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #429402=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #429403=DIRECTION('',(0.,0.,1.)); #429404=DIRECTION('center_axis',(0.199009197755185,-0.97999762204244,0.)); #429405=DIRECTION('ref_axis',(0.97999762204244,0.199009197755185,0.)); #429406=DIRECTION('',(0.97999762204244,0.199009197755185,0.)); #429407=DIRECTION('',(0.97999762204244,0.199009197755185,0.)); #429408=DIRECTION('',(0.,0.,1.)); #429409=DIRECTION('center_axis',(0.707106443031793,-0.70710711934114,0.)); #429410=DIRECTION('ref_axis',(0.70710711934114,0.707106443031793,0.)); #429411=DIRECTION('',(0.70710711934114,0.707106443031793,0.)); #429412=DIRECTION('',(0.70710711934114,0.707106443031793,0.)); #429413=DIRECTION('',(0.,0.,1.)); #429414=DIRECTION('center_axis',(0.558865776602108,-0.829258128535936,0.)); #429415=DIRECTION('ref_axis',(0.829258128535936,0.558865776602108,0.)); #429416=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #429417=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #429418=DIRECTION('center_axis',(0.,0.,1.)); #429419=DIRECTION('ref_axis',(1.,0.,0.)); #429420=DIRECTION('center_axis',(0.,0.,1.)); #429421=DIRECTION('ref_axis',(1.,0.,0.)); #429422=DIRECTION('center_axis',(0.,0.,1.)); #429423=DIRECTION('ref_axis',(1.,0.,0.)); #429424=DIRECTION('center_axis',(0.,0.,1.)); #429425=DIRECTION('ref_axis',(1.,0.,0.)); #429426=DIRECTION('',(0.,0.,1.)); #429427=DIRECTION('center_axis',(0.,0.,-1.)); #429428=DIRECTION('ref_axis',(1.,0.,0.)); #429429=DIRECTION('center_axis',(0.110520982498919,-0.993873790995353,0.)); #429430=DIRECTION('ref_axis',(0.993873790995353,0.110520982498919,0.)); #429431=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #429432=DIRECTION('',(0.,0.,1.)); #429433=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #429434=DIRECTION('',(0.,0.,1.)); #429435=DIRECTION('center_axis',(0.,-1.,0.)); #429436=DIRECTION('ref_axis',(1.,0.,0.)); #429437=DIRECTION('',(1.,0.,0.)); #429438=DIRECTION('',(1.,0.,0.)); #429439=DIRECTION('',(0.,0.,1.)); #429440=DIRECTION('center_axis',(0.,0.,1.)); #429441=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #429442=DIRECTION('center_axis',(0.,0.,1.)); #429443=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #429444=DIRECTION('center_axis',(0.,0.,1.)); #429445=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #429446=DIRECTION('',(0.,0.,1.)); #429447=DIRECTION('center_axis',(-0.715039296629332,-0.699084261213074, 0.)); #429448=DIRECTION('ref_axis',(0.699084261213074,-0.715039296629332,0.)); #429449=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #429450=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #429451=DIRECTION('',(0.,0.,1.)); #429452=DIRECTION('center_axis',(0.,-1.,0.)); #429453=DIRECTION('ref_axis',(1.,0.,0.)); #429454=DIRECTION('',(1.,0.,0.)); #429455=DIRECTION('',(1.,0.,0.)); #429456=DIRECTION('',(0.,0.,1.)); #429457=DIRECTION('center_axis',(-1.,0.,0.)); #429458=DIRECTION('ref_axis',(0.,-1.,0.)); #429459=DIRECTION('',(0.,-1.,0.)); #429460=DIRECTION('',(0.,-1.,0.)); #429461=DIRECTION('',(0.,0.,1.)); #429462=DIRECTION('center_axis',(0.,1.,0.)); #429463=DIRECTION('ref_axis',(-1.,0.,0.)); #429464=DIRECTION('',(-1.,0.,0.)); #429465=DIRECTION('',(-1.,0.,0.)); #429466=DIRECTION('',(0.,0.,1.)); #429467=DIRECTION('center_axis',(1.,0.,0.)); #429468=DIRECTION('ref_axis',(0.,1.,0.)); #429469=DIRECTION('',(0.,1.,0.)); #429470=DIRECTION('',(0.,1.,0.)); #429471=DIRECTION('',(0.,0.,1.)); #429472=DIRECTION('center_axis',(0.7150384910573,0.699085085169538,0.)); #429473=DIRECTION('ref_axis',(-0.699085085169538,0.7150384910573,0.)); #429474=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #429475=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #429476=DIRECTION('',(0.,0.,1.)); #429477=DIRECTION('center_axis',(0.,1.,0.)); #429478=DIRECTION('ref_axis',(-1.,0.,0.)); #429479=DIRECTION('',(-1.,0.,0.)); #429480=DIRECTION('',(-1.,0.,0.)); #429481=DIRECTION('',(0.,0.,1.)); #429482=DIRECTION('center_axis',(-0.7150384910573,0.699085085169538,0.)); #429483=DIRECTION('ref_axis',(-0.699085085169538,-0.7150384910573,0.)); #429484=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #429485=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #429486=DIRECTION('',(0.,0.,1.)); #429487=DIRECTION('center_axis',(-1.,0.,0.)); #429488=DIRECTION('ref_axis',(0.,-1.,0.)); #429489=DIRECTION('',(0.,-1.,0.)); #429490=DIRECTION('',(0.,-1.,0.)); #429491=DIRECTION('',(0.,0.,1.)); #429492=DIRECTION('center_axis',(0.,1.,0.)); #429493=DIRECTION('ref_axis',(-1.,0.,0.)); #429494=DIRECTION('',(-1.,0.,0.)); #429495=DIRECTION('',(-1.,0.,0.)); #429496=DIRECTION('',(0.,0.,1.)); #429497=DIRECTION('center_axis',(-1.,0.,0.)); #429498=DIRECTION('ref_axis',(0.,-1.,0.)); #429499=DIRECTION('',(0.,-1.,0.)); #429500=DIRECTION('',(0.,-1.,0.)); #429501=DIRECTION('',(0.,0.,1.)); #429502=DIRECTION('center_axis',(0.,-1.,0.)); #429503=DIRECTION('ref_axis',(1.,0.,0.)); #429504=DIRECTION('',(1.,0.,0.)); #429505=DIRECTION('',(1.,0.,0.)); #429506=DIRECTION('',(0.,0.,1.)); #429507=DIRECTION('center_axis',(-1.,0.,0.)); #429508=DIRECTION('ref_axis',(0.,-1.,0.)); #429509=DIRECTION('',(0.,-1.,0.)); #429510=DIRECTION('',(0.,-1.,0.)); #429511=DIRECTION('',(0.,0.,1.)); #429512=DIRECTION('center_axis',(0.,1.,0.)); #429513=DIRECTION('ref_axis',(-1.,0.,0.)); #429514=DIRECTION('',(-1.,0.,0.)); #429515=DIRECTION('',(-1.,0.,0.)); #429516=DIRECTION('',(0.,0.,1.)); #429517=DIRECTION('center_axis',(1.,0.,0.)); #429518=DIRECTION('ref_axis',(0.,1.,0.)); #429519=DIRECTION('',(0.,1.,0.)); #429520=DIRECTION('',(0.,1.,0.)); #429521=DIRECTION('',(0.,0.,1.)); #429522=DIRECTION('center_axis',(0.,-1.,0.)); #429523=DIRECTION('ref_axis',(1.,0.,0.)); #429524=DIRECTION('',(1.,0.,0.)); #429525=DIRECTION('',(1.,0.,0.)); #429526=DIRECTION('',(0.,0.,1.)); #429527=DIRECTION('center_axis',(1.,0.,0.)); #429528=DIRECTION('ref_axis',(0.,1.,0.)); #429529=DIRECTION('',(0.,1.,0.)); #429530=DIRECTION('',(0.,1.,0.)); #429531=DIRECTION('',(0.,0.,1.)); #429532=DIRECTION('center_axis',(0.,1.,0.)); #429533=DIRECTION('ref_axis',(-1.,0.,0.)); #429534=DIRECTION('',(-1.,0.,0.)); #429535=DIRECTION('',(-1.,0.,0.)); #429536=DIRECTION('',(0.,0.,1.)); #429537=DIRECTION('center_axis',(1.,0.,0.)); #429538=DIRECTION('ref_axis',(0.,1.,0.)); #429539=DIRECTION('',(0.,1.,0.)); #429540=DIRECTION('',(0.,1.,0.)); #429541=DIRECTION('',(0.,0.,1.)); #429542=DIRECTION('center_axis',(0.,1.,0.)); #429543=DIRECTION('ref_axis',(-1.,0.,0.)); #429544=DIRECTION('',(-1.,0.,0.)); #429545=DIRECTION('',(-1.,0.,0.)); #429546=DIRECTION('',(0.,0.,1.)); #429547=DIRECTION('center_axis',(0.,0.,1.)); #429548=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #429549=DIRECTION('center_axis',(0.,0.,1.)); #429550=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #429551=DIRECTION('center_axis',(0.,0.,1.)); #429552=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #429553=DIRECTION('',(0.,0.,1.)); #429554=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429555=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429556=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429557=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429558=DIRECTION('',(0.,0.,1.)); #429559=DIRECTION('center_axis',(0.,1.,0.)); #429560=DIRECTION('ref_axis',(-1.,0.,0.)); #429561=DIRECTION('',(-1.,0.,0.)); #429562=DIRECTION('',(-1.,0.,0.)); #429563=DIRECTION('',(0.,0.,1.)); #429564=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #429565=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #429566=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #429567=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #429568=DIRECTION('',(0.,0.,1.)); #429569=DIRECTION('center_axis',(0.,0.,1.)); #429570=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #429571=DIRECTION('center_axis',(0.,0.,1.)); #429572=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #429573=DIRECTION('center_axis',(0.,0.,1.)); #429574=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #429575=DIRECTION('',(0.,0.,1.)); #429576=DIRECTION('center_axis',(0.,1.,0.)); #429577=DIRECTION('ref_axis',(-1.,0.,0.)); #429578=DIRECTION('',(-1.,0.,0.)); #429579=DIRECTION('',(-1.,0.,0.)); #429580=DIRECTION('',(0.,0.,1.)); #429581=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #429582=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #429583=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #429584=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #429585=DIRECTION('',(0.,0.,1.)); #429586=DIRECTION('center_axis',(0.,0.,1.)); #429587=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #429588=DIRECTION('center_axis',(0.,0.,1.)); #429589=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #429590=DIRECTION('center_axis',(0.,0.,1.)); #429591=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #429592=DIRECTION('',(0.,0.,1.)); #429593=DIRECTION('center_axis',(0.,1.,0.)); #429594=DIRECTION('ref_axis',(-1.,0.,0.)); #429595=DIRECTION('',(-1.,0.,0.)); #429596=DIRECTION('',(-1.,0.,0.)); #429597=DIRECTION('',(0.,0.,1.)); #429598=DIRECTION('center_axis',(0.,0.,1.)); #429599=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #429600=DIRECTION('center_axis',(0.,0.,1.)); #429601=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #429602=DIRECTION('center_axis',(0.,0.,1.)); #429603=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #429604=DIRECTION('',(0.,0.,1.)); #429605=DIRECTION('center_axis',(0.,0.,1.)); #429606=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #429607=DIRECTION('center_axis',(0.,0.,1.)); #429608=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #429609=DIRECTION('center_axis',(0.,0.,1.)); #429610=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #429611=DIRECTION('',(0.,0.,1.)); #429612=DIRECTION('center_axis',(0.,-1.,0.)); #429613=DIRECTION('ref_axis',(1.,0.,0.)); #429614=DIRECTION('',(1.,0.,0.)); #429615=DIRECTION('',(1.,0.,0.)); #429616=DIRECTION('',(0.,0.,1.)); #429617=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #429618=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #429619=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #429620=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #429621=DIRECTION('',(0.,0.,1.)); #429622=DIRECTION('center_axis',(0.,0.,1.)); #429623=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #429624=DIRECTION('center_axis',(0.,0.,1.)); #429625=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #429626=DIRECTION('center_axis',(0.,0.,1.)); #429627=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #429628=DIRECTION('',(0.,0.,1.)); #429629=DIRECTION('center_axis',(0.,-1.,0.)); #429630=DIRECTION('ref_axis',(1.,0.,0.)); #429631=DIRECTION('',(1.,0.,0.)); #429632=DIRECTION('',(1.,0.,0.)); #429633=DIRECTION('',(0.,0.,1.)); #429634=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #429635=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #429636=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #429637=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #429638=DIRECTION('',(0.,0.,1.)); #429639=DIRECTION('center_axis',(0.,0.,1.)); #429640=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #429641=DIRECTION('center_axis',(0.,0.,1.)); #429642=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #429643=DIRECTION('center_axis',(0.,0.,1.)); #429644=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #429645=DIRECTION('',(0.,0.,1.)); #429646=DIRECTION('center_axis',(0.,-1.,0.)); #429647=DIRECTION('ref_axis',(1.,0.,0.)); #429648=DIRECTION('',(1.,0.,0.)); #429649=DIRECTION('',(1.,0.,0.)); #429650=DIRECTION('',(0.,0.,1.)); #429651=DIRECTION('center_axis',(0.,0.,1.)); #429652=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #429653=DIRECTION('center_axis',(0.,0.,1.)); #429654=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #429655=DIRECTION('center_axis',(0.,0.,1.)); #429656=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #429657=DIRECTION('',(0.,0.,1.)); #429658=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #429659=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #429660=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #429661=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #429662=DIRECTION('',(0.,0.,1.)); #429663=DIRECTION('center_axis',(0.,-1.,0.)); #429664=DIRECTION('ref_axis',(1.,0.,0.)); #429665=DIRECTION('',(1.,0.,0.)); #429666=DIRECTION('',(1.,0.,0.)); #429667=DIRECTION('',(0.,0.,1.)); #429668=DIRECTION('center_axis',(1.,0.,0.)); #429669=DIRECTION('ref_axis',(0.,1.,0.)); #429670=DIRECTION('',(0.,1.,0.)); #429671=DIRECTION('',(0.,1.,0.)); #429672=DIRECTION('',(0.,0.,1.)); #429673=DIRECTION('center_axis',(0.,-1.,0.)); #429674=DIRECTION('ref_axis',(1.,0.,0.)); #429675=DIRECTION('',(1.,0.,0.)); #429676=DIRECTION('',(1.,0.,0.)); #429677=DIRECTION('',(0.,0.,1.)); #429678=DIRECTION('center_axis',(0.715039296629332,-0.699084261213074,0.)); #429679=DIRECTION('ref_axis',(0.699084261213074,0.715039296629332,0.)); #429680=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #429681=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #429682=DIRECTION('',(0.,0.,1.)); #429683=DIRECTION('center_axis',(0.,0.,1.)); #429684=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #429685=DIRECTION('center_axis',(0.,0.,1.)); #429686=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #429687=DIRECTION('center_axis',(0.,0.,1.)); #429688=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #429689=DIRECTION('center_axis',(0.,0.,1.)); #429690=DIRECTION('ref_axis',(1.,0.,0.)); #429691=DIRECTION('center_axis',(0.,0.,1.)); #429692=DIRECTION('ref_axis',(1.,0.,0.)); #429693=DIRECTION('center_axis',(0.,0.,1.)); #429694=DIRECTION('ref_axis',(1.,0.,0.)); #429695=DIRECTION('center_axis',(0.,0.,1.)); #429696=DIRECTION('ref_axis',(1.,0.,0.)); #429697=DIRECTION('',(0.,0.,1.)); #429698=DIRECTION('center_axis',(0.,0.,-1.)); #429699=DIRECTION('ref_axis',(1.,0.,0.)); #429700=DIRECTION('center_axis',(0.,0.,1.)); #429701=DIRECTION('ref_axis',(1.,0.,0.)); #429702=DIRECTION('center_axis',(0.,0.,1.)); #429703=DIRECTION('ref_axis',(1.,0.,0.)); #429704=DIRECTION('',(0.,0.,1.)); #429705=DIRECTION('center_axis',(0.,0.,-1.)); #429706=DIRECTION('ref_axis',(1.,0.,0.)); #429707=DIRECTION('center_axis',(0.,0.,1.)); #429708=DIRECTION('ref_axis',(1.,0.,0.)); #429709=DIRECTION('center_axis',(0.,0.,1.)); #429710=DIRECTION('ref_axis',(1.,0.,0.)); #429711=DIRECTION('',(0.,0.,1.)); #429712=DIRECTION('center_axis',(0.,0.,-1.)); #429713=DIRECTION('ref_axis',(1.,0.,0.)); #429714=DIRECTION('center_axis',(0.,0.,1.)); #429715=DIRECTION('ref_axis',(1.,0.,0.)); #429716=DIRECTION('center_axis',(0.,0.,1.)); #429717=DIRECTION('ref_axis',(1.,0.,0.)); #429718=DIRECTION('',(0.,0.,1.)); #429719=DIRECTION('center_axis',(0.,0.,-1.)); #429720=DIRECTION('ref_axis',(1.,0.,0.)); #429721=DIRECTION('center_axis',(0.,0.,1.)); #429722=DIRECTION('ref_axis',(1.,0.,0.)); #429723=DIRECTION('center_axis',(0.,0.,1.)); #429724=DIRECTION('ref_axis',(1.,0.,0.)); #429725=DIRECTION('',(0.,0.,1.)); #429726=DIRECTION('center_axis',(0.,0.,-1.)); #429727=DIRECTION('ref_axis',(1.,0.,0.)); #429728=DIRECTION('center_axis',(0.,0.,1.)); #429729=DIRECTION('ref_axis',(1.,0.,0.)); #429730=DIRECTION('center_axis',(0.,0.,1.)); #429731=DIRECTION('ref_axis',(1.,0.,0.)); #429732=DIRECTION('',(0.,0.,1.)); #429733=DIRECTION('center_axis',(0.,0.,-1.)); #429734=DIRECTION('ref_axis',(1.,0.,0.)); #429735=DIRECTION('center_axis',(0.,0.,1.)); #429736=DIRECTION('ref_axis',(1.,0.,0.)); #429737=DIRECTION('center_axis',(0.,0.,1.)); #429738=DIRECTION('ref_axis',(1.,0.,0.)); #429739=DIRECTION('',(0.,0.,1.)); #429740=DIRECTION('center_axis',(0.,0.,-1.)); #429741=DIRECTION('ref_axis',(1.,0.,0.)); #429742=DIRECTION('center_axis',(0.,0.,1.)); #429743=DIRECTION('ref_axis',(1.,0.,0.)); #429744=DIRECTION('center_axis',(0.,0.,1.)); #429745=DIRECTION('ref_axis',(1.,0.,0.)); #429746=DIRECTION('',(0.,0.,1.)); #429747=DIRECTION('center_axis',(0.,0.,-1.)); #429748=DIRECTION('ref_axis',(1.,0.,0.)); #429749=DIRECTION('center_axis',(0.,0.,1.)); #429750=DIRECTION('ref_axis',(1.,0.,0.)); #429751=DIRECTION('center_axis',(0.,0.,1.)); #429752=DIRECTION('ref_axis',(1.,0.,0.)); #429753=DIRECTION('',(0.,0.,1.)); #429754=DIRECTION('center_axis',(0.,0.,-1.)); #429755=DIRECTION('ref_axis',(1.,0.,0.)); #429756=DIRECTION('center_axis',(0.,0.,1.)); #429757=DIRECTION('ref_axis',(1.,0.,0.)); #429758=DIRECTION('center_axis',(0.,0.,1.)); #429759=DIRECTION('ref_axis',(1.,0.,0.)); #429760=DIRECTION('',(0.,0.,1.)); #429761=DIRECTION('center_axis',(0.,0.,-1.)); #429762=DIRECTION('ref_axis',(1.,0.,0.)); #429763=DIRECTION('center_axis',(0.,0.,1.)); #429764=DIRECTION('ref_axis',(1.,0.,0.)); #429765=DIRECTION('center_axis',(0.,0.,1.)); #429766=DIRECTION('ref_axis',(1.,0.,0.)); #429767=DIRECTION('',(0.,0.,1.)); #429768=DIRECTION('center_axis',(0.,0.,-1.)); #429769=DIRECTION('ref_axis',(1.,0.,0.)); #429770=DIRECTION('center_axis',(0.,0.,1.)); #429771=DIRECTION('ref_axis',(1.,0.,0.)); #429772=DIRECTION('center_axis',(0.,0.,1.)); #429773=DIRECTION('ref_axis',(1.,0.,0.)); #429774=DIRECTION('',(0.,0.,1.)); #429775=DIRECTION('center_axis',(0.,0.,-1.)); #429776=DIRECTION('ref_axis',(1.,0.,0.)); #429777=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #429778=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #429779=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #429780=DIRECTION('',(0.,0.,1.)); #429781=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #429782=DIRECTION('',(0.,0.,1.)); #429783=DIRECTION('center_axis',(0.,-1.,0.)); #429784=DIRECTION('ref_axis',(1.,0.,0.)); #429785=DIRECTION('',(1.,0.,0.)); #429786=DIRECTION('',(1.,0.,0.)); #429787=DIRECTION('',(0.,0.,1.)); #429788=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #429789=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #429790=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #429791=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #429792=DIRECTION('',(0.,0.,1.)); #429793=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #429794=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #429795=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #429796=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #429797=DIRECTION('',(0.,0.,1.)); #429798=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #429799=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #429800=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #429801=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #429802=DIRECTION('',(0.,0.,1.)); #429803=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #429804=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #429805=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #429806=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #429807=DIRECTION('',(0.,0.,1.)); #429808=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #429809=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #429810=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #429811=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #429812=DIRECTION('',(0.,0.,1.)); #429813=DIRECTION('center_axis',(-1.,0.,0.)); #429814=DIRECTION('ref_axis',(0.,-1.,0.)); #429815=DIRECTION('',(0.,-1.,0.)); #429816=DIRECTION('',(0.,-1.,0.)); #429817=DIRECTION('',(0.,0.,1.)); #429818=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #429819=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #429820=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #429821=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #429822=DIRECTION('',(0.,0.,1.)); #429823=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #429824=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #429825=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #429826=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #429827=DIRECTION('',(0.,0.,1.)); #429828=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #429829=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #429830=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #429831=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #429832=DIRECTION('',(0.,0.,1.)); #429833=DIRECTION('center_axis',(-0.558856232804919,0.829264560351577,0.)); #429834=DIRECTION('ref_axis',(-0.829264560351577,-0.558856232804919,0.)); #429835=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #429836=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #429837=DIRECTION('',(0.,0.,1.)); #429838=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #429839=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #429840=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #429841=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #429842=DIRECTION('',(0.,0.,1.)); #429843=DIRECTION('center_axis',(0.,1.,0.)); #429844=DIRECTION('ref_axis',(-1.,0.,0.)); #429845=DIRECTION('',(-1.,0.,0.)); #429846=DIRECTION('',(-1.,0.,0.)); #429847=DIRECTION('',(0.,0.,1.)); #429848=DIRECTION('center_axis',(0.191194865688736,0.981552099144139,0.)); #429849=DIRECTION('ref_axis',(-0.981552099144139,0.191194865688736,0.)); #429850=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #429851=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #429852=DIRECTION('',(0.,0.,1.)); #429853=DIRECTION('center_axis',(0.55221870108123,0.833699290017785,0.)); #429854=DIRECTION('ref_axis',(-0.833699290017785,0.55221870108123,0.)); #429855=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #429856=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #429857=DIRECTION('',(0.,0.,1.)); #429858=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429859=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429860=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429861=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429862=DIRECTION('',(0.,0.,1.)); #429863=DIRECTION('center_axis',(0.829264560351605,0.558856232804877,0.)); #429864=DIRECTION('ref_axis',(-0.558856232804877,0.829264560351605,0.)); #429865=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #429866=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #429867=DIRECTION('',(0.,0.,1.)); #429868=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #429869=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #429870=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #429871=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #429872=DIRECTION('',(0.,0.,1.)); #429873=DIRECTION('center_axis',(1.,0.,0.)); #429874=DIRECTION('ref_axis',(0.,1.,0.)); #429875=DIRECTION('',(0.,1.,0.)); #429876=DIRECTION('',(0.,1.,0.)); #429877=DIRECTION('',(0.,0.,1.)); #429878=DIRECTION('center_axis',(0.990017436553573,0.140944937191418,0.)); #429879=DIRECTION('ref_axis',(-0.140944937191418,0.990017436553573,0.)); #429880=DIRECTION('',(-0.140944937191418,0.990017436553573,0.)); #429881=DIRECTION('',(-0.140944937191418,0.990017436553573,0.)); #429882=DIRECTION('',(0.,0.,1.)); #429883=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #429884=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #429885=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #429886=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #429887=DIRECTION('',(0.,0.,1.)); #429888=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #429889=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #429890=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #429891=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #429892=DIRECTION('',(0.,0.,1.)); #429893=DIRECTION('center_axis',(0.600315735781271,0.799763100782595,0.)); #429894=DIRECTION('ref_axis',(-0.799763100782595,0.600315735781271,0.)); #429895=DIRECTION('',(-0.799763100782595,0.600315735781271,0.)); #429896=DIRECTION('',(-0.799763100782595,0.600315735781271,0.)); #429897=DIRECTION('',(0.,0.,1.)); #429898=DIRECTION('center_axis',(0.125280629073241,0.992121345390277,0.)); #429899=DIRECTION('ref_axis',(-0.992121345390277,0.125280629073241,0.)); #429900=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #429901=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #429902=DIRECTION('',(0.,0.,1.)); #429903=DIRECTION('center_axis',(0.,1.,0.)); #429904=DIRECTION('ref_axis',(-1.,0.,0.)); #429905=DIRECTION('',(-1.,0.,0.)); #429906=DIRECTION('',(-1.,0.,0.)); #429907=DIRECTION('',(0.,0.,1.)); #429908=DIRECTION('center_axis',(0.183314787014901,0.983054265471485,0.)); #429909=DIRECTION('ref_axis',(-0.983054265471485,0.183314787014901,0.)); #429910=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #429911=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #429912=DIRECTION('',(0.,0.,1.)); #429913=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #429914=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #429915=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #429916=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #429917=DIRECTION('',(0.,0.,1.)); #429918=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #429919=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #429920=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #429921=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #429922=DIRECTION('',(0.,0.,1.)); #429923=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #429924=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #429925=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #429926=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #429927=DIRECTION('',(0.,0.,1.)); #429928=DIRECTION('center_axis',(1.,0.,0.)); #429929=DIRECTION('ref_axis',(0.,1.,0.)); #429930=DIRECTION('',(0.,1.,0.)); #429931=DIRECTION('',(0.,1.,0.)); #429932=DIRECTION('',(0.,0.,1.)); #429933=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #429934=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429935=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429936=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #429937=DIRECTION('',(0.,0.,1.)); #429938=DIRECTION('center_axis',(0.,1.,0.)); #429939=DIRECTION('ref_axis',(-1.,0.,0.)); #429940=DIRECTION('',(-1.,0.,0.)); #429941=DIRECTION('',(-1.,0.,0.)); #429942=DIRECTION('',(0.,0.,1.)); #429943=DIRECTION('center_axis',(-0.707106781186533,0.707106781186562,0.)); #429944=DIRECTION('ref_axis',(-0.707106781186562,-0.707106781186533,0.)); #429945=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #429946=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #429947=DIRECTION('',(0.,0.,1.)); #429948=DIRECTION('center_axis',(-0.565475774224523,0.824764905148841,0.)); #429949=DIRECTION('ref_axis',(-0.82476490514884,-0.565475774224523,0.)); #429950=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #429951=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #429952=DIRECTION('',(0.,0.,1.)); #429953=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #429954=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #429955=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #429956=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #429957=DIRECTION('',(0.,0.,1.)); #429958=DIRECTION('center_axis',(0.183380618657178,0.983041987252279,0.)); #429959=DIRECTION('ref_axis',(-0.983041987252279,0.183380618657178,0.)); #429960=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #429961=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #429962=DIRECTION('',(0.,0.,1.)); #429963=DIRECTION('center_axis',(0.552214058332503,0.833702365223913,0.)); #429964=DIRECTION('ref_axis',(-0.833702365223913,0.552214058332503,0.)); #429965=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #429966=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #429967=DIRECTION('',(0.,0.,1.)); #429968=DIRECTION('center_axis',(0.707106781186533,0.707106781186562,0.)); #429969=DIRECTION('ref_axis',(-0.707106781186562,0.707106781186533,0.)); #429970=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #429971=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #429972=DIRECTION('',(0.,0.,1.)); #429973=DIRECTION('center_axis',(0.,1.,0.)); #429974=DIRECTION('ref_axis',(-1.,0.,0.)); #429975=DIRECTION('',(-1.,0.,0.)); #429976=DIRECTION('',(-1.,0.,0.)); #429977=DIRECTION('',(0.,0.,1.)); #429978=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #429979=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #429980=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #429981=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #429982=DIRECTION('',(0.,0.,1.)); #429983=DIRECTION('center_axis',(-1.,0.,0.)); #429984=DIRECTION('ref_axis',(0.,-1.,0.)); #429985=DIRECTION('',(0.,-1.,0.)); #429986=DIRECTION('',(0.,-1.,0.)); #429987=DIRECTION('',(0.,0.,1.)); #429988=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #429989=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #429990=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #429991=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #429992=DIRECTION('',(0.,0.,1.)); #429993=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #429994=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #429995=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #429996=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #429997=DIRECTION('',(0.,0.,1.)); #429998=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #429999=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #430000=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #430001=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #430002=DIRECTION('',(0.,0.,1.)); #430003=DIRECTION('center_axis',(-0.199010641789311,0.97999732879973,0.)); #430004=DIRECTION('ref_axis',(-0.97999732879973,-0.199010641789311,0.)); #430005=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #430006=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #430007=DIRECTION('',(0.,0.,1.)); #430008=DIRECTION('center_axis',(0.,1.,0.)); #430009=DIRECTION('ref_axis',(-1.,0.,0.)); #430010=DIRECTION('',(-1.,0.,0.)); #430011=DIRECTION('',(-1.,0.,0.)); #430012=DIRECTION('',(0.,0.,1.)); #430013=DIRECTION('center_axis',(0.191194865688648,0.981552099144156,0.)); #430014=DIRECTION('ref_axis',(-0.981552099144156,0.191194865688648,0.)); #430015=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #430016=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #430017=DIRECTION('',(0.,0.,1.)); #430018=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #430019=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #430020=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #430021=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #430022=DIRECTION('',(0.,0.,1.)); #430023=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #430024=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #430025=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #430026=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #430027=DIRECTION('',(0.,0.,1.)); #430028=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #430029=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #430030=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #430031=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #430032=DIRECTION('',(0.,0.,1.)); #430033=DIRECTION('center_axis',(0.979997328799727,0.199010641789328,0.)); #430034=DIRECTION('ref_axis',(-0.199010641789328,0.979997328799727,0.)); #430035=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #430036=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #430037=DIRECTION('',(0.,0.,1.)); #430038=DIRECTION('center_axis',(1.,0.,0.)); #430039=DIRECTION('ref_axis',(0.,1.,0.)); #430040=DIRECTION('',(0.,1.,0.)); #430041=DIRECTION('',(0.,1.,0.)); #430042=DIRECTION('',(0.,0.,1.)); #430043=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #430044=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #430045=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #430046=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #430047=DIRECTION('',(0.,0.,1.)); #430048=DIRECTION('center_axis',(0.842351514327649,-0.538928498327855,0.)); #430049=DIRECTION('ref_axis',(0.538928498327855,0.842351514327649,0.)); #430050=DIRECTION('',(0.538928498327855,0.842351514327649,0.)); #430051=DIRECTION('',(0.538928498327855,0.842351514327649,0.)); #430052=DIRECTION('',(0.,0.,1.)); #430053=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #430054=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #430055=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #430056=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #430057=DIRECTION('',(0.,0.,1.)); #430058=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #430059=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #430060=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #430061=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #430062=DIRECTION('',(0.,0.,1.)); #430063=DIRECTION('center_axis',(0.,-1.,0.)); #430064=DIRECTION('ref_axis',(1.,0.,0.)); #430065=DIRECTION('',(1.,0.,0.)); #430066=DIRECTION('',(1.,0.,0.)); #430067=DIRECTION('',(0.,0.,1.)); #430068=DIRECTION('center_axis',(0.707106641182218,-0.707106921190849,0.)); #430069=DIRECTION('ref_axis',(0.707106921190849,0.707106641182218,0.)); #430070=DIRECTION('',(0.707106921190849,0.707106641182218,0.)); #430071=DIRECTION('',(0.707106921190849,0.707106641182218,0.)); #430072=DIRECTION('',(0.,0.,1.)); #430073=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #430074=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #430075=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #430076=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #430077=DIRECTION('',(0.,0.,1.)); #430078=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #430079=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #430080=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #430081=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #430082=DIRECTION('',(0.,0.,1.)); #430083=DIRECTION('center_axis',(0.,-1.,0.)); #430084=DIRECTION('ref_axis',(1.,0.,0.)); #430085=DIRECTION('',(1.,0.,0.)); #430086=DIRECTION('',(1.,0.,0.)); #430087=DIRECTION('',(0.,0.,1.)); #430088=DIRECTION('center_axis',(-0.175526666653581,-0.98447467681677,0.)); #430089=DIRECTION('ref_axis',(0.984474676816769,-0.175526666653581,0.)); #430090=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #430091=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #430092=DIRECTION('',(0.,0.,1.)); #430093=DIRECTION('center_axis',(-0.525399368451308,-0.850855747839178, 0.)); #430094=DIRECTION('ref_axis',(0.850855747839178,-0.525399368451308,0.)); #430095=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #430096=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #430097=DIRECTION('',(0.,0.,1.)); #430098=DIRECTION('center_axis',(-0.815623399209,-0.578583157949449,0.)); #430099=DIRECTION('ref_axis',(0.578583157949449,-0.815623399209,0.)); #430100=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #430101=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #430102=DIRECTION('',(0.,0.,1.)); #430103=DIRECTION('center_axis',(-0.976703298176472,-0.214594192212188, 0.)); #430104=DIRECTION('ref_axis',(0.214594192212188,-0.976703298176472,0.)); #430105=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #430106=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #430107=DIRECTION('',(0.,0.,1.)); #430108=DIRECTION('center_axis',(-0.983041987252267,0.183380618657247,0.)); #430109=DIRECTION('ref_axis',(-0.183380618657247,-0.983041987252266,0.)); #430110=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #430111=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #430112=DIRECTION('',(0.,0.,1.)); #430113=DIRECTION('center_axis',(-0.833693256958911,0.552227809242928,0.)); #430114=DIRECTION('ref_axis',(-0.552227809242928,-0.833693256958911,0.)); #430115=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #430116=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #430117=DIRECTION('',(0.,0.,1.)); #430118=DIRECTION('center_axis',(-0.707244739779098,0.70696879567262,0.)); #430119=DIRECTION('ref_axis',(-0.70696879567262,-0.707244739779098,0.)); #430120=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #430121=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #430122=DIRECTION('',(0.,0.,1.)); #430123=DIRECTION('center_axis',(-1.,0.,0.)); #430124=DIRECTION('ref_axis',(0.,-1.,0.)); #430125=DIRECTION('',(0.,-1.,0.)); #430126=DIRECTION('',(0.,-1.,0.)); #430127=DIRECTION('',(0.,0.,1.)); #430128=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #430129=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430130=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #430131=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #430132=DIRECTION('',(0.,0.,1.)); #430133=DIRECTION('center_axis',(0.,-1.,0.)); #430134=DIRECTION('ref_axis',(1.,0.,0.)); #430135=DIRECTION('',(1.,0.,0.)); #430136=DIRECTION('',(1.,0.,0.)); #430137=DIRECTION('',(0.,0.,1.)); #430138=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #430139=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #430140=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #430141=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #430142=DIRECTION('',(0.,0.,1.)); #430143=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #430144=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #430145=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #430146=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #430147=DIRECTION('',(0.,0.,1.)); #430148=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #430149=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430150=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430151=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430152=DIRECTION('',(0.,0.,1.)); #430153=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #430154=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #430155=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #430156=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #430157=DIRECTION('',(0.,0.,1.)); #430158=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #430159=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #430160=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #430161=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #430162=DIRECTION('',(0.,0.,1.)); #430163=DIRECTION('center_axis',(-1.,0.,0.)); #430164=DIRECTION('ref_axis',(0.,-1.,0.)); #430165=DIRECTION('',(0.,-1.,0.)); #430166=DIRECTION('',(0.,-1.,0.)); #430167=DIRECTION('',(0.,0.,1.)); #430168=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #430169=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430170=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #430171=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #430172=DIRECTION('',(0.,0.,1.)); #430173=DIRECTION('center_axis',(0.,-1.,0.)); #430174=DIRECTION('ref_axis',(1.,0.,0.)); #430175=DIRECTION('',(1.,0.,0.)); #430176=DIRECTION('',(1.,0.,0.)); #430177=DIRECTION('',(0.,0.,1.)); #430178=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #430179=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #430180=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #430181=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #430182=DIRECTION('',(0.,0.,1.)); #430183=DIRECTION('center_axis',(1.,0.,0.)); #430184=DIRECTION('ref_axis',(0.,1.,0.)); #430185=DIRECTION('',(0.,1.,0.)); #430186=DIRECTION('',(0.,1.,0.)); #430187=DIRECTION('',(0.,0.,1.)); #430188=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #430189=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #430190=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #430191=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #430192=DIRECTION('',(0.,0.,1.)); #430193=DIRECTION('center_axis',(0.842351514327714,-0.538928498327753,0.)); #430194=DIRECTION('ref_axis',(0.538928498327753,0.842351514327714,0.)); #430195=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #430196=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #430197=DIRECTION('',(0.,0.,1.)); #430198=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #430199=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #430200=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #430201=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #430202=DIRECTION('',(0.,0.,1.)); #430203=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #430204=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #430205=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #430206=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #430207=DIRECTION('',(0.,0.,1.)); #430208=DIRECTION('center_axis',(0.,-1.,0.)); #430209=DIRECTION('ref_axis',(1.,0.,0.)); #430210=DIRECTION('',(1.,0.,0.)); #430211=DIRECTION('',(1.,0.,0.)); #430212=DIRECTION('',(0.,0.,1.)); #430213=DIRECTION('center_axis',(0.140944937191862,-0.990017436553509,0.)); #430214=DIRECTION('ref_axis',(0.990017436553509,0.140944937191862,0.)); #430215=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #430216=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #430217=DIRECTION('',(0.,0.,1.)); #430218=DIRECTION('center_axis',(0.613211584811012,-0.789918699774583,0.)); #430219=DIRECTION('ref_axis',(0.789918699774583,0.613211584811012,0.)); #430220=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #430221=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #430222=DIRECTION('',(0.,0.,1.)); #430223=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #430224=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #430225=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #430226=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #430227=DIRECTION('',(0.,0.,1.)); #430228=DIRECTION('center_axis',(0.799763100782712,-0.600315735781115,0.)); #430229=DIRECTION('ref_axis',(0.600315735781115,0.799763100782712,0.)); #430230=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #430231=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #430232=DIRECTION('',(0.,0.,1.)); #430233=DIRECTION('center_axis',(0.992121345390238,-0.125280629073548,0.)); #430234=DIRECTION('ref_axis',(0.125280629073548,0.992121345390238,0.)); #430235=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #430236=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #430237=DIRECTION('',(0.,0.,1.)); #430238=DIRECTION('center_axis',(1.,0.,0.)); #430239=DIRECTION('ref_axis',(0.,1.,0.)); #430240=DIRECTION('',(0.,1.,0.)); #430241=DIRECTION('',(0.,1.,0.)); #430242=DIRECTION('',(0.,0.,1.)); #430243=DIRECTION('center_axis',(0.981552099144148,-0.191194865688692,0.)); #430244=DIRECTION('ref_axis',(0.191194865688692,0.981552099144148,0.)); #430245=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #430246=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #430247=DIRECTION('',(0.,0.,1.)); #430248=DIRECTION('center_axis',(0.833699290017772,-0.55221870108125,0.)); #430249=DIRECTION('ref_axis',(0.55221870108125,0.833699290017772,0.)); #430250=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #430251=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #430252=DIRECTION('',(0.,0.,1.)); #430253=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #430254=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #430255=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #430256=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #430257=DIRECTION('',(0.,0.,1.)); #430258=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #430259=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #430260=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #430261=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #430262=DIRECTION('center_axis',(0.,0.,1.)); #430263=DIRECTION('ref_axis',(1.,0.,0.)); #430264=DIRECTION('center_axis',(0.,0.,1.)); #430265=DIRECTION('ref_axis',(1.,0.,0.)); #430266=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #430267=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #430268=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #430269=DIRECTION('',(0.,0.,1.)); #430270=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #430271=DIRECTION('',(0.,0.,1.)); #430272=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #430273=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #430274=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #430275=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #430276=DIRECTION('',(0.,0.,1.)); #430277=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #430278=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #430279=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #430280=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #430281=DIRECTION('',(0.,0.,1.)); #430282=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #430283=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430284=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430285=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430286=DIRECTION('',(0.,0.,1.)); #430287=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #430288=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #430289=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #430290=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #430291=DIRECTION('',(0.,0.,1.)); #430292=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #430293=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #430294=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #430295=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #430296=DIRECTION('',(0.,0.,1.)); #430297=DIRECTION('center_axis',(-1.,0.,0.)); #430298=DIRECTION('ref_axis',(0.,-1.,0.)); #430299=DIRECTION('',(0.,-1.,0.)); #430300=DIRECTION('',(0.,-1.,0.)); #430301=DIRECTION('',(0.,0.,1.)); #430302=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #430303=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #430304=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #430305=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #430306=DIRECTION('',(0.,0.,1.)); #430307=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #430308=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #430309=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #430310=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #430311=DIRECTION('',(0.,0.,1.)); #430312=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #430313=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #430314=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #430315=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #430316=DIRECTION('',(0.,0.,1.)); #430317=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #430318=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #430319=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #430320=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #430321=DIRECTION('',(0.,0.,1.)); #430322=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #430323=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #430324=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #430325=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #430326=DIRECTION('',(0.,0.,1.)); #430327=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #430328=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #430329=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #430330=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #430331=DIRECTION('',(0.,0.,1.)); #430332=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #430333=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #430334=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #430335=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #430336=DIRECTION('',(0.,0.,1.)); #430337=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #430338=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #430339=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #430340=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #430341=DIRECTION('',(0.,0.,1.)); #430342=DIRECTION('center_axis',(0.829203833807437,0.558946331948828,0.)); #430343=DIRECTION('ref_axis',(-0.558946331948828,0.829203833807437,0.)); #430344=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #430345=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #430346=DIRECTION('',(0.,0.,1.)); #430347=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #430348=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #430349=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #430350=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #430351=DIRECTION('',(0.,0.,1.)); #430352=DIRECTION('center_axis',(1.,0.,0.)); #430353=DIRECTION('ref_axis',(0.,1.,0.)); #430354=DIRECTION('',(0.,1.,0.)); #430355=DIRECTION('',(0.,1.,0.)); #430356=DIRECTION('',(0.,0.,1.)); #430357=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #430358=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #430359=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #430360=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #430361=DIRECTION('',(0.,0.,1.)); #430362=DIRECTION('center_axis',(0.850871695642174,-0.525373540973479,0.)); #430363=DIRECTION('ref_axis',(0.525373540973479,0.850871695642174,0.)); #430364=DIRECTION('',(0.525373540973479,0.850871695642174,0.)); #430365=DIRECTION('',(0.525373540973479,0.850871695642174,0.)); #430366=DIRECTION('',(0.,0.,1.)); #430367=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #430368=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #430369=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #430370=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #430371=DIRECTION('center_axis',(0.,0.,1.)); #430372=DIRECTION('ref_axis',(1.,0.,0.)); #430373=DIRECTION('center_axis',(0.,0.,1.)); #430374=DIRECTION('ref_axis',(1.,0.,0.)); #430375=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #430376=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #430377=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #430378=DIRECTION('',(0.,0.,1.)); #430379=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #430380=DIRECTION('',(0.,0.,1.)); #430381=DIRECTION('center_axis',(0.,-1.,0.)); #430382=DIRECTION('ref_axis',(1.,0.,0.)); #430383=DIRECTION('',(1.,0.,0.)); #430384=DIRECTION('',(1.,0.,0.)); #430385=DIRECTION('',(0.,0.,1.)); #430386=DIRECTION('center_axis',(-0.191149856928888,-0.981560865252922, 0.)); #430387=DIRECTION('ref_axis',(0.981560865252922,-0.191149856928888,0.)); #430388=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #430389=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #430390=DIRECTION('',(0.,0.,1.)); #430391=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #430392=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #430393=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #430394=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #430395=DIRECTION('',(0.,0.,1.)); #430396=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #430397=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430398=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430399=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430400=DIRECTION('',(0.,0.,1.)); #430401=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #430402=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #430403=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #430404=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #430405=DIRECTION('',(0.,0.,1.)); #430406=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #430407=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #430408=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #430409=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #430410=DIRECTION('',(0.,0.,1.)); #430411=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #430412=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #430413=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #430414=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #430415=DIRECTION('',(0.,0.,1.)); #430416=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #430417=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #430418=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #430419=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #430420=DIRECTION('',(0.,0.,1.)); #430421=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #430422=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #430423=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #430424=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #430425=DIRECTION('',(0.,0.,1.)); #430426=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #430427=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #430428=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #430429=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #430430=DIRECTION('',(0.,0.,1.)); #430431=DIRECTION('center_axis',(0.,1.,0.)); #430432=DIRECTION('ref_axis',(-1.,0.,0.)); #430433=DIRECTION('',(-1.,0.,0.)); #430434=DIRECTION('',(-1.,0.,0.)); #430435=DIRECTION('',(0.,0.,1.)); #430436=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #430437=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #430438=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #430439=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #430440=DIRECTION('',(0.,0.,1.)); #430441=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #430442=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #430443=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #430444=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #430445=DIRECTION('',(0.,0.,1.)); #430446=DIRECTION('center_axis',(0.707106781186446,0.707106781186649,0.)); #430447=DIRECTION('ref_axis',(-0.707106781186649,0.707106781186446,0.)); #430448=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #430449=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #430450=DIRECTION('',(0.,0.,1.)); #430451=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #430452=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #430453=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #430454=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #430455=DIRECTION('',(0.,0.,1.)); #430456=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #430457=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #430458=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #430459=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #430460=DIRECTION('',(0.,0.,1.)); #430461=DIRECTION('center_axis',(0.985847618607389,-0.167643887112353,0.)); #430462=DIRECTION('ref_axis',(0.167643887112353,0.985847618607389,0.)); #430463=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #430464=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #430465=DIRECTION('',(0.,0.,1.)); #430466=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #430467=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #430468=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #430469=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #430470=DIRECTION('',(0.,0.,1.)); #430471=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #430472=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #430473=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #430474=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #430475=DIRECTION('center_axis',(0.,0.,1.)); #430476=DIRECTION('ref_axis',(1.,0.,0.)); #430477=DIRECTION('center_axis',(0.,0.,1.)); #430478=DIRECTION('ref_axis',(1.,0.,0.)); #430479=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #430480=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #430481=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #430482=DIRECTION('',(0.,0.,1.)); #430483=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #430484=DIRECTION('',(0.,0.,1.)); #430485=DIRECTION('center_axis',(0.,-1.,0.)); #430486=DIRECTION('ref_axis',(1.,0.,0.)); #430487=DIRECTION('',(1.,0.,0.)); #430488=DIRECTION('',(1.,0.,0.)); #430489=DIRECTION('',(0.,0.,1.)); #430490=DIRECTION('center_axis',(-0.175502059386041,-0.984479063846082, 0.)); #430491=DIRECTION('ref_axis',(0.984479063846082,-0.175502059386041,0.)); #430492=DIRECTION('',(0.984479063846082,-0.175502059386041,0.)); #430493=DIRECTION('',(0.984479063846082,-0.175502059386041,0.)); #430494=DIRECTION('',(0.,0.,1.)); #430495=DIRECTION('center_axis',(-0.525373540973569,-0.850871695642118, 0.)); #430496=DIRECTION('ref_axis',(0.850871695642118,-0.525373540973569,0.)); #430497=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #430498=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #430499=DIRECTION('',(0.,0.,1.)); #430500=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #430501=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #430502=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #430503=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #430504=DIRECTION('',(0.,0.,1.)); #430505=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #430506=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #430507=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #430508=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #430509=DIRECTION('',(0.,0.,1.)); #430510=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #430511=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #430512=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #430513=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #430514=DIRECTION('',(0.,0.,1.)); #430515=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #430516=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #430517=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #430518=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #430519=DIRECTION('',(0.,0.,1.)); #430520=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #430521=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #430522=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #430523=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #430524=DIRECTION('',(0.,0.,1.)); #430525=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #430526=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #430527=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #430528=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #430529=DIRECTION('',(0.,0.,1.)); #430530=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #430531=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #430532=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #430533=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #430534=DIRECTION('',(0.,0.,1.)); #430535=DIRECTION('center_axis',(0.,1.,0.)); #430536=DIRECTION('ref_axis',(-1.,0.,0.)); #430537=DIRECTION('',(-1.,0.,0.)); #430538=DIRECTION('',(-1.,0.,0.)); #430539=DIRECTION('',(0.,0.,1.)); #430540=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #430541=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #430542=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #430543=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #430544=DIRECTION('',(0.,0.,1.)); #430545=DIRECTION('center_axis',(0.52535880204888,0.850880796063565,0.)); #430546=DIRECTION('ref_axis',(-0.850880796063565,0.52535880204888,0.)); #430547=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #430548=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #430549=DIRECTION('',(0.,0.,1.)); #430550=DIRECTION('center_axis',(0.815709411156901,0.578461888589095,0.)); #430551=DIRECTION('ref_axis',(-0.578461888589095,0.815709411156901,0.)); #430552=DIRECTION('',(-0.578461888589095,0.815709411156901,0.)); #430553=DIRECTION('',(-0.578461888589095,0.815709411156901,0.)); #430554=DIRECTION('',(0.,0.,1.)); #430555=DIRECTION('center_axis',(0.97668569083752,0.21467431451675,0.)); #430556=DIRECTION('ref_axis',(-0.21467431451675,0.97668569083752,0.)); #430557=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #430558=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #430559=DIRECTION('',(0.,0.,1.)); #430560=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #430561=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #430562=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #430563=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #430564=DIRECTION('',(0.,0.,1.)); #430565=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #430566=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #430567=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #430568=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #430569=DIRECTION('',(0.,0.,1.)); #430570=DIRECTION('center_axis',(0.707106781186537,-0.707106781186558,0.)); #430571=DIRECTION('ref_axis',(0.707106781186558,0.707106781186537,0.)); #430572=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #430573=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #430574=DIRECTION('',(0.,0.,1.)); #430575=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #430576=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #430577=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #430578=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #430579=DIRECTION('',(0.,0.,1.)); #430580=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #430581=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #430582=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #430583=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #430584=DIRECTION('',(0.,0.,1.)); #430585=DIRECTION('center_axis',(0.,-1.,0.)); #430586=DIRECTION('ref_axis',(1.,0.,0.)); #430587=DIRECTION('',(1.,0.,0.)); #430588=DIRECTION('',(1.,0.,0.)); #430589=DIRECTION('',(0.,0.,1.)); #430590=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #430591=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #430592=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #430593=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #430594=DIRECTION('',(0.,0.,1.)); #430595=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #430596=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #430597=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #430598=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #430599=DIRECTION('center_axis',(0.,0.,1.)); #430600=DIRECTION('ref_axis',(1.,0.,0.)); #430601=DIRECTION('center_axis',(0.,0.,1.)); #430602=DIRECTION('ref_axis',(1.,0.,0.)); #430603=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #430604=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #430605=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #430606=DIRECTION('',(0.,0.,1.)); #430607=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #430608=DIRECTION('',(0.,0.,1.)); #430609=DIRECTION('center_axis',(0.,-1.,0.)); #430610=DIRECTION('ref_axis',(1.,0.,0.)); #430611=DIRECTION('',(1.,0.,0.)); #430612=DIRECTION('',(1.,0.,0.)); #430613=DIRECTION('',(0.,0.,1.)); #430614=DIRECTION('center_axis',(-0.199846156682573,-0.979827287668191, 0.)); #430615=DIRECTION('ref_axis',(0.979827287668191,-0.199846156682573,0.)); #430616=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #430617=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #430618=DIRECTION('',(0.,0.,1.)); #430619=DIRECTION('center_axis',(-0.566920574659872,-0.82377245767707,0.)); #430620=DIRECTION('ref_axis',(0.82377245767707,-0.566920574659872,0.)); #430621=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #430622=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #430623=DIRECTION('',(0.,0.,1.)); #430624=DIRECTION('center_axis',(-0.71951429157795,-0.694477634063964,0.)); #430625=DIRECTION('ref_axis',(0.694477634063964,-0.71951429157795,0.)); #430626=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #430627=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #430628=DIRECTION('',(0.,0.,1.)); #430629=DIRECTION('center_axis',(-0.834146551597487,-0.551542863663398, 0.)); #430630=DIRECTION('ref_axis',(0.551542863663398,-0.834146551597487,0.)); #430631=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #430632=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #430633=DIRECTION('',(0.,0.,1.)); #430634=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #430635=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #430636=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #430637=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #430638=DIRECTION('',(0.,0.,1.)); #430639=DIRECTION('center_axis',(-1.,0.,0.)); #430640=DIRECTION('ref_axis',(0.,-1.,0.)); #430641=DIRECTION('',(0.,-1.,0.)); #430642=DIRECTION('',(0.,-1.,0.)); #430643=DIRECTION('',(0.,0.,1.)); #430644=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #430645=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #430646=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #430647=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #430648=DIRECTION('',(0.,0.,1.)); #430649=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #430650=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #430651=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #430652=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #430653=DIRECTION('',(0.,0.,1.)); #430654=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #430655=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #430656=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #430657=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #430658=DIRECTION('',(0.,0.,1.)); #430659=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #430660=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #430661=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #430662=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #430663=DIRECTION('',(0.,0.,1.)); #430664=DIRECTION('center_axis',(0.,1.,0.)); #430665=DIRECTION('ref_axis',(-1.,0.,0.)); #430666=DIRECTION('',(-1.,0.,0.)); #430667=DIRECTION('',(-1.,0.,0.)); #430668=DIRECTION('',(0.,0.,1.)); #430669=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #430670=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #430671=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #430672=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #430673=DIRECTION('',(0.,0.,1.)); #430674=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #430675=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #430676=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #430677=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #430678=DIRECTION('',(0.,0.,1.)); #430679=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #430680=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #430681=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #430682=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #430683=DIRECTION('',(0.,0.,1.)); #430684=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #430685=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #430686=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #430687=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #430688=DIRECTION('',(0.,0.,1.)); #430689=DIRECTION('center_axis',(1.,0.,0.)); #430690=DIRECTION('ref_axis',(0.,1.,0.)); #430691=DIRECTION('',(0.,1.,0.)); #430692=DIRECTION('',(0.,1.,0.)); #430693=DIRECTION('',(0.,0.,1.)); #430694=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #430695=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #430696=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #430697=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #430698=DIRECTION('',(0.,0.,1.)); #430699=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #430700=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #430701=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #430702=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #430703=DIRECTION('',(0.,0.,1.)); #430704=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #430705=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #430706=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #430707=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #430708=DIRECTION('center_axis',(0.,0.,1.)); #430709=DIRECTION('ref_axis',(1.,0.,0.)); #430710=DIRECTION('center_axis',(0.,0.,1.)); #430711=DIRECTION('ref_axis',(1.,0.,0.)); #430712=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #430713=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #430714=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #430715=DIRECTION('',(0.,0.,1.)); #430716=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #430717=DIRECTION('',(0.,0.,1.)); #430718=DIRECTION('center_axis',(0.,-1.,0.)); #430719=DIRECTION('ref_axis',(1.,0.,0.)); #430720=DIRECTION('',(1.,0.,0.)); #430721=DIRECTION('',(1.,0.,0.)); #430722=DIRECTION('',(0.,0.,1.)); #430723=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #430724=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #430725=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #430726=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #430727=DIRECTION('',(0.,0.,1.)); #430728=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #430729=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #430730=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #430731=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #430732=DIRECTION('',(0.,0.,1.)); #430733=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #430734=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #430735=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #430736=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #430737=DIRECTION('',(0.,0.,1.)); #430738=DIRECTION('center_axis',(0.,-1.,0.)); #430739=DIRECTION('ref_axis',(1.,0.,0.)); #430740=DIRECTION('',(1.,0.,0.)); #430741=DIRECTION('',(1.,0.,0.)); #430742=DIRECTION('',(0.,0.,1.)); #430743=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #430744=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #430745=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #430746=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #430747=DIRECTION('',(0.,0.,1.)); #430748=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #430749=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #430750=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #430751=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #430752=DIRECTION('',(0.,0.,1.)); #430753=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #430754=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #430755=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #430756=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #430757=DIRECTION('',(0.,0.,1.)); #430758=DIRECTION('center_axis',(-0.824789890119736,-0.56543933110129,0.)); #430759=DIRECTION('ref_axis',(0.56543933110129,-0.824789890119736,0.)); #430760=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #430761=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #430762=DIRECTION('',(0.,0.,1.)); #430763=DIRECTION('center_axis',(-0.976685690837554,-0.214674314516595, 0.)); #430764=DIRECTION('ref_axis',(0.214674314516595,-0.976685690837554,0.)); #430765=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #430766=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #430767=DIRECTION('',(0.,0.,1.)); #430768=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #430769=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #430770=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #430771=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #430772=DIRECTION('',(0.,0.,1.)); #430773=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #430774=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #430775=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #430776=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #430777=DIRECTION('',(0.,0.,1.)); #430778=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #430779=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #430780=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #430781=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #430782=DIRECTION('',(0.,0.,1.)); #430783=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #430784=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #430785=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #430786=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #430787=DIRECTION('',(0.,0.,1.)); #430788=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #430789=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #430790=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #430791=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #430792=DIRECTION('',(0.,0.,1.)); #430793=DIRECTION('center_axis',(0.,1.,0.)); #430794=DIRECTION('ref_axis',(-1.,0.,0.)); #430795=DIRECTION('',(-1.,0.,0.)); #430796=DIRECTION('',(-1.,0.,0.)); #430797=DIRECTION('',(0.,0.,1.)); #430798=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #430799=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #430800=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #430801=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #430802=DIRECTION('',(0.,0.,1.)); #430803=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #430804=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #430805=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #430806=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #430807=DIRECTION('',(0.,0.,1.)); #430808=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #430809=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #430810=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #430811=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #430812=DIRECTION('',(0.,0.,1.)); #430813=DIRECTION('center_axis',(0.,1.,0.)); #430814=DIRECTION('ref_axis',(-1.,0.,0.)); #430815=DIRECTION('',(-1.,0.,0.)); #430816=DIRECTION('',(-1.,0.,0.)); #430817=DIRECTION('',(0.,0.,1.)); #430818=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #430819=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #430820=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #430821=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #430822=DIRECTION('',(0.,0.,1.)); #430823=DIRECTION('center_axis',(0.52535880204888,0.850880796063565,0.)); #430824=DIRECTION('ref_axis',(-0.850880796063565,0.52535880204888,0.)); #430825=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #430826=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #430827=DIRECTION('',(0.,0.,1.)); #430828=DIRECTION('center_axis',(0.815709411156876,0.57846188858913,0.)); #430829=DIRECTION('ref_axis',(-0.57846188858913,0.815709411156876,0.)); #430830=DIRECTION('',(-0.57846188858913,0.815709411156876,0.)); #430831=DIRECTION('',(-0.57846188858913,0.815709411156876,0.)); #430832=DIRECTION('',(0.,0.,1.)); #430833=DIRECTION('center_axis',(0.97668569083753,0.214674314516704,0.)); #430834=DIRECTION('ref_axis',(-0.214674314516704,0.97668569083753,0.)); #430835=DIRECTION('',(-0.214674314516704,0.97668569083753,0.)); #430836=DIRECTION('',(-0.214674314516704,0.97668569083753,0.)); #430837=DIRECTION('',(0.,0.,1.)); #430838=DIRECTION('center_axis',(0.983050529880644,-0.183334818573518,0.)); #430839=DIRECTION('ref_axis',(0.183334818573518,0.983050529880644,0.)); #430840=DIRECTION('',(0.183334818573518,0.983050529880644,0.)); #430841=DIRECTION('',(0.183334818573518,0.983050529880644,0.)); #430842=DIRECTION('',(0.,0.,1.)); #430843=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #430844=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #430845=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #430846=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #430847=DIRECTION('',(0.,0.,1.)); #430848=DIRECTION('center_axis',(0.707110741027308,-0.707102821323611,0.)); #430849=DIRECTION('ref_axis',(0.707102821323611,0.707110741027308,0.)); #430850=DIRECTION('',(0.707102821323611,0.707110741027308,0.)); #430851=DIRECTION('',(0.707102821323611,0.707110741027308,0.)); #430852=DIRECTION('',(0.,0.,1.)); #430853=DIRECTION('center_axis',(1.,0.,0.)); #430854=DIRECTION('ref_axis',(0.,1.,0.)); #430855=DIRECTION('',(0.,1.,0.)); #430856=DIRECTION('',(0.,1.,0.)); #430857=DIRECTION('',(0.,0.,1.)); #430858=DIRECTION('center_axis',(0.707110741027308,0.707102821323611,0.)); #430859=DIRECTION('ref_axis',(-0.707102821323611,0.707110741027308,0.)); #430860=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #430861=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #430862=DIRECTION('',(0.,0.,1.)); #430863=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #430864=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #430865=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #430866=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #430867=DIRECTION('',(0.,0.,1.)); #430868=DIRECTION('center_axis',(0.973144071552954,0.23019690701949,0.)); #430869=DIRECTION('ref_axis',(-0.23019690701949,0.973144071552954,0.)); #430870=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #430871=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #430872=DIRECTION('',(0.,0.,1.)); #430873=DIRECTION('center_axis',(0.985842168327501,-0.167675934908178,0.)); #430874=DIRECTION('ref_axis',(0.167675934908178,0.985842168327501,0.)); #430875=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #430876=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #430877=DIRECTION('',(0.,0.,1.)); #430878=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #430879=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #430880=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #430881=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #430882=DIRECTION('',(0.,0.,1.)); #430883=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #430884=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #430885=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #430886=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #430887=DIRECTION('center_axis',(0.,0.,1.)); #430888=DIRECTION('ref_axis',(1.,0.,0.)); #430889=DIRECTION('center_axis',(0.,0.,1.)); #430890=DIRECTION('ref_axis',(1.,0.,0.)); #430891=DIRECTION('center_axis',(0.198956019232584,-0.980008419561344,0.)); #430892=DIRECTION('ref_axis',(0.980008419561344,0.198956019232584,0.)); #430893=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #430894=DIRECTION('',(0.,0.,1.)); #430895=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #430896=DIRECTION('',(0.,0.,1.)); #430897=DIRECTION('center_axis',(0.,-1.,0.)); #430898=DIRECTION('ref_axis',(1.,0.,0.)); #430899=DIRECTION('',(1.,0.,0.)); #430900=DIRECTION('',(1.,0.,0.)); #430901=DIRECTION('',(0.,0.,1.)); #430902=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #430903=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #430904=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #430905=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #430906=DIRECTION('',(0.,0.,1.)); #430907=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #430908=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #430909=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #430910=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #430911=DIRECTION('',(0.,0.,1.)); #430912=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #430913=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430914=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430915=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #430916=DIRECTION('',(0.,0.,1.)); #430917=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #430918=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #430919=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #430920=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #430921=DIRECTION('',(0.,0.,1.)); #430922=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #430923=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #430924=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #430925=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #430926=DIRECTION('',(0.,0.,1.)); #430927=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #430928=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #430929=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #430930=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #430931=DIRECTION('',(0.,0.,1.)); #430932=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #430933=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #430934=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #430935=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #430936=DIRECTION('',(0.,0.,1.)); #430937=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #430938=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #430939=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #430940=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #430941=DIRECTION('',(0.,0.,1.)); #430942=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #430943=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #430944=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #430945=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #430946=DIRECTION('',(0.,0.,1.)); #430947=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #430948=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #430949=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #430950=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #430951=DIRECTION('',(0.,0.,1.)); #430952=DIRECTION('center_axis',(0.,1.,0.)); #430953=DIRECTION('ref_axis',(-1.,0.,0.)); #430954=DIRECTION('',(-1.,0.,0.)); #430955=DIRECTION('',(-1.,0.,0.)); #430956=DIRECTION('',(0.,0.,1.)); #430957=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #430958=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #430959=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #430960=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #430961=DIRECTION('',(0.,0.,1.)); #430962=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #430963=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #430964=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #430965=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #430966=DIRECTION('',(0.,0.,1.)); #430967=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #430968=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #430969=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #430970=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #430971=DIRECTION('',(0.,0.,1.)); #430972=DIRECTION('center_axis',(0.820212632122756,0.572058771549096,0.)); #430973=DIRECTION('ref_axis',(-0.572058771549096,0.820212632122756,0.)); #430974=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #430975=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #430976=DIRECTION('',(0.,0.,1.)); #430977=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #430978=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #430979=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #430980=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #430981=DIRECTION('',(0.,0.,1.)); #430982=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #430983=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #430984=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #430985=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #430986=DIRECTION('',(0.,0.,1.)); #430987=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #430988=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #430989=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #430990=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #430991=DIRECTION('',(0.,0.,1.)); #430992=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #430993=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #430994=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #430995=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #430996=DIRECTION('center_axis',(0.,0.,1.)); #430997=DIRECTION('ref_axis',(1.,0.,0.)); #430998=DIRECTION('center_axis',(0.,0.,1.)); #430999=DIRECTION('ref_axis',(1.,0.,0.)); #431000=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #431001=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #431002=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #431003=DIRECTION('',(0.,0.,1.)); #431004=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #431005=DIRECTION('',(0.,0.,1.)); #431006=DIRECTION('center_axis',(0.,-1.,0.)); #431007=DIRECTION('ref_axis',(1.,0.,0.)); #431008=DIRECTION('',(1.,0.,0.)); #431009=DIRECTION('',(1.,0.,0.)); #431010=DIRECTION('',(0.,0.,1.)); #431011=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #431012=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #431013=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #431014=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #431015=DIRECTION('',(0.,0.,1.)); #431016=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #431017=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #431018=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #431019=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #431020=DIRECTION('',(0.,0.,1.)); #431021=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #431022=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #431023=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #431024=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #431025=DIRECTION('',(0.,0.,1.)); #431026=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #431027=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #431028=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #431029=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #431030=DIRECTION('',(0.,0.,1.)); #431031=DIRECTION('center_axis',(-1.,0.,0.)); #431032=DIRECTION('ref_axis',(0.,-1.,0.)); #431033=DIRECTION('',(0.,-1.,0.)); #431034=DIRECTION('',(0.,-1.,0.)); #431035=DIRECTION('',(0.,0.,1.)); #431036=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #431037=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #431038=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #431039=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #431040=DIRECTION('',(0.,0.,1.)); #431041=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #431042=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #431043=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #431044=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #431045=DIRECTION('',(0.,0.,1.)); #431046=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #431047=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #431048=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #431049=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #431050=DIRECTION('',(0.,0.,1.)); #431051=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #431052=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #431053=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #431054=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #431055=DIRECTION('',(0.,0.,1.)); #431056=DIRECTION('center_axis',(0.,1.,0.)); #431057=DIRECTION('ref_axis',(-1.,0.,0.)); #431058=DIRECTION('',(-1.,0.,0.)); #431059=DIRECTION('',(-1.,0.,0.)); #431060=DIRECTION('',(0.,0.,1.)); #431061=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #431062=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #431063=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #431064=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #431065=DIRECTION('',(0.,0.,1.)); #431066=DIRECTION('center_axis',(-0.572058771549358,0.820212632122573,0.)); #431067=DIRECTION('ref_axis',(-0.820212632122573,-0.572058771549358,0.)); #431068=DIRECTION('',(-0.820212632122573,-0.572058771549358,0.)); #431069=DIRECTION('',(-0.820212632122573,-0.572058771549358,0.)); #431070=DIRECTION('',(0.,0.,1.)); #431071=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #431072=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #431073=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #431074=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #431075=DIRECTION('',(0.,0.,1.)); #431076=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #431077=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #431078=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #431079=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #431080=DIRECTION('',(0.,0.,1.)); #431081=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #431082=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #431083=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #431084=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #431085=DIRECTION('',(0.,0.,1.)); #431086=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #431087=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #431088=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #431089=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #431090=DIRECTION('',(0.,0.,1.)); #431091=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #431092=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #431093=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #431094=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #431095=DIRECTION('',(0.,0.,1.)); #431096=DIRECTION('center_axis',(1.,0.,0.)); #431097=DIRECTION('ref_axis',(0.,1.,0.)); #431098=DIRECTION('',(0.,1.,0.)); #431099=DIRECTION('',(0.,1.,0.)); #431100=DIRECTION('',(0.,0.,1.)); #431101=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #431102=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #431103=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #431104=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #431105=DIRECTION('',(0.,0.,1.)); #431106=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #431107=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #431108=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #431109=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #431110=DIRECTION('',(0.,0.,1.)); #431111=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #431112=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #431113=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #431114=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #431115=DIRECTION('',(0.,0.,1.)); #431116=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #431117=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #431118=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #431119=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #431120=DIRECTION('',(0.,0.,1.)); #431121=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #431122=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #431123=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #431124=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #431125=DIRECTION('',(0.,0.,1.)); #431126=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #431127=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #431128=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #431129=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #431130=DIRECTION('',(0.,0.,1.)); #431131=DIRECTION('center_axis',(1.,0.,0.)); #431132=DIRECTION('ref_axis',(0.,1.,0.)); #431133=DIRECTION('',(0.,1.,0.)); #431134=DIRECTION('',(0.,1.,0.)); #431135=DIRECTION('',(0.,0.,1.)); #431136=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #431137=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #431138=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #431139=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #431140=DIRECTION('',(0.,0.,1.)); #431141=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #431142=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #431143=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #431144=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #431145=DIRECTION('',(0.,0.,1.)); #431146=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #431147=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #431148=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #431149=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #431150=DIRECTION('',(0.,0.,1.)); #431151=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #431152=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #431153=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #431154=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #431155=DIRECTION('center_axis',(0.,0.,1.)); #431156=DIRECTION('ref_axis',(1.,0.,0.)); #431157=DIRECTION('center_axis',(0.,0.,1.)); #431158=DIRECTION('ref_axis',(1.,0.,0.)); #431159=DIRECTION('center_axis',(0.21467431451675,-0.97668569083752,0.)); #431160=DIRECTION('ref_axis',(0.97668569083752,0.21467431451675,0.)); #431161=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #431162=DIRECTION('',(0.,0.,1.)); #431163=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #431164=DIRECTION('',(0.,0.,1.)); #431165=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #431166=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #431167=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #431168=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #431169=DIRECTION('',(0.,0.,1.)); #431170=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #431171=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #431172=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #431173=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #431174=DIRECTION('',(0.,0.,1.)); #431175=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #431176=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #431177=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #431178=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #431179=DIRECTION('',(0.,0.,1.)); #431180=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #431181=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #431182=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #431183=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #431184=DIRECTION('',(0.,0.,1.)); #431185=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #431186=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #431187=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #431188=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #431189=DIRECTION('',(0.,0.,1.)); #431190=DIRECTION('center_axis',(-1.,0.,0.)); #431191=DIRECTION('ref_axis',(0.,-1.,0.)); #431192=DIRECTION('',(0.,-1.,0.)); #431193=DIRECTION('',(0.,-1.,0.)); #431194=DIRECTION('',(0.,0.,1.)); #431195=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #431196=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #431197=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #431198=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #431199=DIRECTION('',(0.,0.,1.)); #431200=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #431201=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #431202=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #431203=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #431204=DIRECTION('',(0.,0.,1.)); #431205=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #431206=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #431207=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #431208=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #431209=DIRECTION('',(0.,0.,1.)); #431210=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #431211=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #431212=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #431213=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #431214=DIRECTION('',(0.,0.,1.)); #431215=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #431216=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #431217=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #431218=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #431219=DIRECTION('',(0.,0.,1.)); #431220=DIRECTION('center_axis',(0.183334818573558,0.983050529880637,0.)); #431221=DIRECTION('ref_axis',(-0.983050529880637,0.183334818573558,0.)); #431222=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #431223=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #431224=DIRECTION('',(0.,0.,1.)); #431225=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #431226=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #431227=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #431228=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #431229=DIRECTION('',(0.,0.,1.)); #431230=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #431231=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #431232=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #431233=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #431234=DIRECTION('',(0.,0.,1.)); #431235=DIRECTION('center_axis',(0.829203833807318,0.558946331949003,0.)); #431236=DIRECTION('ref_axis',(-0.558946331949003,0.829203833807318,0.)); #431237=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #431238=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #431239=DIRECTION('',(0.,0.,1.)); #431240=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #431241=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #431242=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #431243=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #431244=DIRECTION('',(0.,0.,1.)); #431245=DIRECTION('center_axis',(1.,0.,0.)); #431246=DIRECTION('ref_axis',(0.,1.,0.)); #431247=DIRECTION('',(0.,1.,0.)); #431248=DIRECTION('',(0.,1.,0.)); #431249=DIRECTION('',(0.,0.,1.)); #431250=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #431251=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #431252=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #431253=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #431254=DIRECTION('',(0.,0.,1.)); #431255=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #431256=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #431257=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #431258=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #431259=DIRECTION('',(0.,0.,1.)); #431260=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #431261=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #431262=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #431263=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #431264=DIRECTION('',(0.,0.,1.)); #431265=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #431266=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #431267=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #431268=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #431269=DIRECTION('center_axis',(0.,0.,1.)); #431270=DIRECTION('ref_axis',(1.,0.,0.)); #431271=DIRECTION('center_axis',(0.,0.,1.)); #431272=DIRECTION('ref_axis',(1.,0.,0.)); #431273=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #431274=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #431275=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #431276=DIRECTION('',(0.,0.,1.)); #431277=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #431278=DIRECTION('',(0.,0.,1.)); #431279=DIRECTION('center_axis',(0.,-1.,0.)); #431280=DIRECTION('ref_axis',(1.,0.,0.)); #431281=DIRECTION('',(1.,0.,0.)); #431282=DIRECTION('',(1.,0.,0.)); #431283=DIRECTION('',(0.,0.,1.)); #431284=DIRECTION('center_axis',(-0.18330529025729,-0.98305603632941,0.)); #431285=DIRECTION('ref_axis',(0.98305603632941,-0.18330529025729,0.)); #431286=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #431287=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #431288=DIRECTION('',(0.,0.,1.)); #431289=DIRECTION('center_axis',(-0.538911466745989,-0.842362410729306, 0.)); #431290=DIRECTION('ref_axis',(0.842362410729306,-0.538911466745989,0.)); #431291=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #431292=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #431293=DIRECTION('',(0.,0.,1.)); #431294=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #431295=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #431296=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #431297=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #431298=DIRECTION('',(0.,0.,1.)); #431299=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #431300=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #431301=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #431302=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #431303=DIRECTION('',(0.,0.,1.)); #431304=DIRECTION('center_axis',(-1.,0.,0.)); #431305=DIRECTION('ref_axis',(0.,-1.,0.)); #431306=DIRECTION('',(0.,-1.,0.)); #431307=DIRECTION('',(0.,-1.,0.)); #431308=DIRECTION('',(0.,0.,1.)); #431309=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #431310=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #431311=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #431312=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #431313=DIRECTION('',(0.,0.,1.)); #431314=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #431315=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #431316=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #431317=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #431318=DIRECTION('',(0.,0.,1.)); #431319=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #431320=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #431321=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #431322=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #431323=DIRECTION('',(0.,0.,1.)); #431324=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #431325=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #431326=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #431327=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #431328=DIRECTION('',(0.,0.,1.)); #431329=DIRECTION('center_axis',(0.,1.,0.)); #431330=DIRECTION('ref_axis',(-1.,0.,0.)); #431331=DIRECTION('',(-1.,0.,0.)); #431332=DIRECTION('',(-1.,0.,0.)); #431333=DIRECTION('',(0.,0.,1.)); #431334=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #431335=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #431336=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #431337=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #431338=DIRECTION('',(0.,0.,1.)); #431339=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #431340=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #431341=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #431342=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #431343=DIRECTION('',(0.,0.,1.)); #431344=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #431345=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #431346=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #431347=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #431348=DIRECTION('',(0.,0.,1.)); #431349=DIRECTION('center_axis',(0.820212632122549,0.572058771549392,0.)); #431350=DIRECTION('ref_axis',(-0.572058771549392,0.820212632122549,0.)); #431351=DIRECTION('',(-0.572058771549392,0.820212632122549,0.)); #431352=DIRECTION('',(-0.572058771549392,0.820212632122549,0.)); #431353=DIRECTION('',(0.,0.,1.)); #431354=DIRECTION('center_axis',(0.973144071553019,0.230196907019212,0.)); #431355=DIRECTION('ref_axis',(-0.230196907019212,0.973144071553019,0.)); #431356=DIRECTION('',(-0.230196907019212,0.973144071553019,0.)); #431357=DIRECTION('',(-0.230196907019212,0.973144071553019,0.)); #431358=DIRECTION('',(0.,0.,1.)); #431359=DIRECTION('center_axis',(0.985842168327549,-0.167675934907893,0.)); #431360=DIRECTION('ref_axis',(0.167675934907893,0.985842168327549,0.)); #431361=DIRECTION('',(0.167675934907893,0.985842168327549,0.)); #431362=DIRECTION('',(0.167675934907893,0.985842168327549,0.)); #431363=DIRECTION('',(0.,0.,1.)); #431364=DIRECTION('center_axis',(0.842377379073405,-0.53888806929215,0.)); #431365=DIRECTION('ref_axis',(0.53888806929215,0.842377379073405,0.)); #431366=DIRECTION('',(0.53888806929215,0.842377379073405,0.)); #431367=DIRECTION('',(0.53888806929215,0.842377379073405,0.)); #431368=DIRECTION('',(0.,0.,1.)); #431369=DIRECTION('center_axis',(0.565488626065924,-0.82475609351497,0.)); #431370=DIRECTION('ref_axis',(0.82475609351497,0.565488626065924,0.)); #431371=DIRECTION('',(0.82475609351497,0.565488626065924,0.)); #431372=DIRECTION('',(0.82475609351497,0.565488626065924,0.)); #431373=DIRECTION('center_axis',(0.,0.,1.)); #431374=DIRECTION('ref_axis',(1.,0.,0.)); #431375=DIRECTION('center_axis',(0.,0.,1.)); #431376=DIRECTION('ref_axis',(1.,0.,0.)); #431377=DIRECTION('center_axis',(0.,0.,1.)); #431378=DIRECTION('ref_axis',(1.,0.,0.)); #431379=DIRECTION('center_axis',(0.,0.,1.)); #431380=DIRECTION('ref_axis',(1.,0.,0.)); #431381=DIRECTION('',(0.,0.,1.)); #431382=DIRECTION('center_axis',(0.,0.,-1.)); #431383=DIRECTION('ref_axis',(1.,0.,0.)); #431384=DIRECTION('center_axis',(0.,0.,1.)); #431385=DIRECTION('ref_axis',(1.,0.,0.)); #431386=DIRECTION('center_axis',(0.,0.,1.)); #431387=DIRECTION('ref_axis',(1.,0.,0.)); #431388=DIRECTION('',(0.,0.,1.)); #431389=DIRECTION('center_axis',(0.,0.,-1.)); #431390=DIRECTION('ref_axis',(1.,0.,0.)); #431391=DIRECTION('center_axis',(0.,0.,1.)); #431392=DIRECTION('ref_axis',(1.,0.,0.)); #431393=DIRECTION('center_axis',(0.,0.,1.)); #431394=DIRECTION('ref_axis',(1.,0.,0.)); #431395=DIRECTION('',(0.,0.,1.)); #431396=DIRECTION('center_axis',(0.,0.,-1.)); #431397=DIRECTION('ref_axis',(1.,0.,0.)); #431398=DIRECTION('center_axis',(0.,0.,1.)); #431399=DIRECTION('ref_axis',(1.,0.,0.)); #431400=DIRECTION('center_axis',(0.,0.,1.)); #431401=DIRECTION('ref_axis',(1.,0.,0.)); #431402=DIRECTION('',(0.,0.,1.)); #431403=DIRECTION('center_axis',(0.,0.,-1.)); #431404=DIRECTION('ref_axis',(1.,0.,0.)); #431405=DIRECTION('center_axis',(0.,0.,1.)); #431406=DIRECTION('ref_axis',(1.,0.,0.)); #431407=DIRECTION('center_axis',(0.,0.,1.)); #431408=DIRECTION('ref_axis',(1.,0.,0.)); #431409=DIRECTION('',(0.,0.,1.)); #431410=DIRECTION('center_axis',(0.,0.,-1.)); #431411=DIRECTION('ref_axis',(1.,0.,0.)); #431412=DIRECTION('center_axis',(0.,0.,1.)); #431413=DIRECTION('ref_axis',(1.,0.,0.)); #431414=DIRECTION('center_axis',(0.,0.,1.)); #431415=DIRECTION('ref_axis',(1.,0.,0.)); #431416=DIRECTION('',(0.,0.,1.)); #431417=DIRECTION('center_axis',(0.,0.,-1.)); #431418=DIRECTION('ref_axis',(1.,0.,0.)); #431419=DIRECTION('center_axis',(0.,0.,1.)); #431420=DIRECTION('ref_axis',(1.,0.,0.)); #431421=DIRECTION('center_axis',(0.,0.,1.)); #431422=DIRECTION('ref_axis',(1.,0.,0.)); #431423=DIRECTION('',(0.,0.,1.)); #431424=DIRECTION('center_axis',(0.,0.,-1.)); #431425=DIRECTION('ref_axis',(1.,0.,0.)); #431426=DIRECTION('center_axis',(0.,0.,1.)); #431427=DIRECTION('ref_axis',(1.,0.,0.)); #431428=DIRECTION('center_axis',(0.,0.,1.)); #431429=DIRECTION('ref_axis',(1.,0.,0.)); #431430=DIRECTION('',(0.,0.,1.)); #431431=DIRECTION('center_axis',(0.,0.,-1.)); #431432=DIRECTION('ref_axis',(1.,0.,0.)); #431433=DIRECTION('center_axis',(0.,0.,1.)); #431434=DIRECTION('ref_axis',(1.,0.,0.)); #431435=DIRECTION('center_axis',(0.,0.,1.)); #431436=DIRECTION('ref_axis',(1.,0.,0.)); #431437=DIRECTION('',(0.,0.,1.)); #431438=DIRECTION('center_axis',(0.,0.,-1.)); #431439=DIRECTION('ref_axis',(1.,0.,0.)); #431440=DIRECTION('center_axis',(0.,0.,1.)); #431441=DIRECTION('ref_axis',(1.,0.,0.)); #431442=DIRECTION('center_axis',(0.,0.,1.)); #431443=DIRECTION('ref_axis',(1.,0.,0.)); #431444=DIRECTION('',(0.,0.,1.)); #431445=DIRECTION('center_axis',(0.,0.,-1.)); #431446=DIRECTION('ref_axis',(1.,0.,0.)); #431447=DIRECTION('center_axis',(0.,0.,1.)); #431448=DIRECTION('ref_axis',(1.,0.,0.)); #431449=DIRECTION('center_axis',(0.,0.,1.)); #431450=DIRECTION('ref_axis',(1.,0.,0.)); #431451=DIRECTION('',(0.,0.,1.)); #431452=DIRECTION('center_axis',(0.,0.,-1.)); #431453=DIRECTION('ref_axis',(1.,0.,0.)); #431454=DIRECTION('center_axis',(0.,0.,1.)); #431455=DIRECTION('ref_axis',(1.,0.,0.)); #431456=DIRECTION('center_axis',(0.,0.,1.)); #431457=DIRECTION('ref_axis',(1.,0.,0.)); #431458=DIRECTION('',(0.,0.,1.)); #431459=DIRECTION('center_axis',(0.,0.,-1.)); #431460=DIRECTION('ref_axis',(1.,0.,0.)); #431461=DIRECTION('center_axis',(0.,0.,1.)); #431462=DIRECTION('ref_axis',(1.,0.,0.)); #431463=DIRECTION('center_axis',(0.,0.,1.)); #431464=DIRECTION('ref_axis',(1.,0.,0.)); #431465=DIRECTION('',(0.,0.,1.)); #431466=DIRECTION('center_axis',(0.,0.,-1.)); #431467=DIRECTION('ref_axis',(1.,0.,0.)); #431468=DIRECTION('center_axis',(0.,0.,1.)); #431469=DIRECTION('ref_axis',(1.,0.,0.)); #431470=DIRECTION('center_axis',(0.,0.,1.)); #431471=DIRECTION('ref_axis',(1.,0.,0.)); #431472=DIRECTION('',(0.,0.,1.)); #431473=DIRECTION('center_axis',(0.,0.,-1.)); #431474=DIRECTION('ref_axis',(1.,0.,0.)); #431475=DIRECTION('center_axis',(0.,0.,1.)); #431476=DIRECTION('ref_axis',(1.,0.,0.)); #431477=DIRECTION('center_axis',(0.,0.,1.)); #431478=DIRECTION('ref_axis',(1.,0.,0.)); #431479=DIRECTION('',(0.,0.,1.)); #431480=DIRECTION('center_axis',(0.,0.,-1.)); #431481=DIRECTION('ref_axis',(1.,0.,0.)); #431482=DIRECTION('center_axis',(0.,0.,1.)); #431483=DIRECTION('ref_axis',(1.,0.,0.)); #431484=DIRECTION('center_axis',(0.,0.,1.)); #431485=DIRECTION('ref_axis',(1.,0.,0.)); #431486=DIRECTION('',(0.,0.,1.)); #431487=DIRECTION('center_axis',(0.,0.,-1.)); #431488=DIRECTION('ref_axis',(1.,0.,0.)); #431489=DIRECTION('center_axis',(0.,0.,1.)); #431490=DIRECTION('ref_axis',(1.,0.,0.)); #431491=DIRECTION('center_axis',(0.,0.,1.)); #431492=DIRECTION('ref_axis',(1.,0.,0.)); #431493=DIRECTION('',(0.,0.,1.)); #431494=DIRECTION('center_axis',(0.,0.,-1.)); #431495=DIRECTION('ref_axis',(1.,0.,0.)); #431496=DIRECTION('center_axis',(0.,0.,1.)); #431497=DIRECTION('ref_axis',(1.,0.,0.)); #431498=DIRECTION('center_axis',(0.,0.,1.)); #431499=DIRECTION('ref_axis',(1.,0.,0.)); #431500=DIRECTION('',(0.,0.,1.)); #431501=DIRECTION('center_axis',(0.,0.,-1.)); #431502=DIRECTION('ref_axis',(1.,0.,0.)); #431503=DIRECTION('center_axis',(0.,0.,1.)); #431504=DIRECTION('ref_axis',(1.,0.,0.)); #431505=DIRECTION('center_axis',(0.,0.,1.)); #431506=DIRECTION('ref_axis',(1.,0.,0.)); #431507=DIRECTION('',(0.,0.,1.)); #431508=DIRECTION('center_axis',(0.,0.,-1.)); #431509=DIRECTION('ref_axis',(1.,0.,0.)); #431510=DIRECTION('center_axis',(0.,0.,1.)); #431511=DIRECTION('ref_axis',(1.,0.,0.)); #431512=DIRECTION('center_axis',(0.,0.,1.)); #431513=DIRECTION('ref_axis',(1.,0.,0.)); #431514=DIRECTION('',(0.,0.,1.)); #431515=DIRECTION('center_axis',(0.,0.,-1.)); #431516=DIRECTION('ref_axis',(1.,0.,0.)); #431517=DIRECTION('center_axis',(0.,0.,1.)); #431518=DIRECTION('ref_axis',(1.,0.,0.)); #431519=DIRECTION('center_axis',(0.,0.,1.)); #431520=DIRECTION('ref_axis',(1.,0.,0.)); #431521=DIRECTION('',(0.,0.,1.)); #431522=DIRECTION('center_axis',(0.,0.,-1.)); #431523=DIRECTION('ref_axis',(1.,0.,0.)); #431524=DIRECTION('center_axis',(0.,0.,1.)); #431525=DIRECTION('ref_axis',(1.,0.,0.)); #431526=DIRECTION('center_axis',(0.,0.,1.)); #431527=DIRECTION('ref_axis',(1.,0.,0.)); #431528=DIRECTION('',(0.,0.,1.)); #431529=DIRECTION('center_axis',(0.,0.,-1.)); #431530=DIRECTION('ref_axis',(1.,0.,0.)); #431531=DIRECTION('center_axis',(0.,0.,1.)); #431532=DIRECTION('ref_axis',(1.,0.,0.)); #431533=DIRECTION('center_axis',(0.,0.,1.)); #431534=DIRECTION('ref_axis',(1.,0.,0.)); #431535=DIRECTION('',(0.,0.,1.)); #431536=DIRECTION('center_axis',(0.,0.,-1.)); #431537=DIRECTION('ref_axis',(1.,0.,0.)); #431538=DIRECTION('center_axis',(0.,0.,1.)); #431539=DIRECTION('ref_axis',(1.,0.,0.)); #431540=DIRECTION('center_axis',(0.,0.,1.)); #431541=DIRECTION('ref_axis',(1.,0.,0.)); #431542=DIRECTION('',(0.,0.,1.)); #431543=DIRECTION('center_axis',(0.,0.,-1.)); #431544=DIRECTION('ref_axis',(1.,0.,0.)); #431545=DIRECTION('center_axis',(0.,0.,1.)); #431546=DIRECTION('ref_axis',(1.,0.,0.)); #431547=DIRECTION('center_axis',(0.,0.,1.)); #431548=DIRECTION('ref_axis',(1.,0.,0.)); #431549=DIRECTION('',(0.,0.,1.)); #431550=DIRECTION('center_axis',(0.,0.,-1.)); #431551=DIRECTION('ref_axis',(1.,0.,0.)); #431552=DIRECTION('center_axis',(0.,0.,1.)); #431553=DIRECTION('ref_axis',(1.,0.,0.)); #431554=DIRECTION('center_axis',(0.,0.,1.)); #431555=DIRECTION('ref_axis',(1.,0.,0.)); #431556=DIRECTION('',(0.,0.,1.)); #431557=DIRECTION('center_axis',(0.,0.,-1.)); #431558=DIRECTION('ref_axis',(1.,0.,0.)); #431559=DIRECTION('center_axis',(0.,0.,1.)); #431560=DIRECTION('ref_axis',(1.,0.,0.)); #431561=DIRECTION('center_axis',(0.,0.,1.)); #431562=DIRECTION('ref_axis',(1.,0.,0.)); #431563=DIRECTION('',(0.,0.,1.)); #431564=DIRECTION('center_axis',(0.,0.,-1.)); #431565=DIRECTION('ref_axis',(1.,0.,0.)); #431566=DIRECTION('center_axis',(0.,0.,1.)); #431567=DIRECTION('ref_axis',(1.,0.,0.)); #431568=DIRECTION('center_axis',(0.,0.,1.)); #431569=DIRECTION('ref_axis',(1.,0.,0.)); #431570=DIRECTION('',(0.,0.,1.)); #431571=DIRECTION('center_axis',(0.,0.,-1.)); #431572=DIRECTION('ref_axis',(1.,0.,0.)); #431573=DIRECTION('center_axis',(0.,0.,1.)); #431574=DIRECTION('ref_axis',(1.,0.,0.)); #431575=DIRECTION('center_axis',(0.,0.,1.)); #431576=DIRECTION('ref_axis',(1.,0.,0.)); #431577=DIRECTION('',(0.,0.,1.)); #431578=DIRECTION('center_axis',(0.,0.,-1.)); #431579=DIRECTION('ref_axis',(1.,0.,0.)); #431580=DIRECTION('center_axis',(0.,0.,1.)); #431581=DIRECTION('ref_axis',(1.,0.,0.)); #431582=DIRECTION('center_axis',(0.,0.,1.)); #431583=DIRECTION('ref_axis',(1.,0.,0.)); #431584=DIRECTION('',(0.,0.,1.)); #431585=DIRECTION('center_axis',(0.,0.,-1.)); #431586=DIRECTION('ref_axis',(1.,0.,0.)); #431587=DIRECTION('center_axis',(0.,0.,1.)); #431588=DIRECTION('ref_axis',(1.,0.,0.)); #431589=DIRECTION('center_axis',(0.,0.,1.)); #431590=DIRECTION('ref_axis',(1.,0.,0.)); #431591=DIRECTION('',(0.,0.,1.)); #431592=DIRECTION('center_axis',(0.,0.,-1.)); #431593=DIRECTION('ref_axis',(1.,0.,0.)); #431594=DIRECTION('center_axis',(0.,0.,1.)); #431595=DIRECTION('ref_axis',(1.,0.,0.)); #431596=DIRECTION('center_axis',(0.,0.,1.)); #431597=DIRECTION('ref_axis',(1.,0.,0.)); #431598=DIRECTION('',(0.,0.,1.)); #431599=DIRECTION('center_axis',(0.,0.,-1.)); #431600=DIRECTION('ref_axis',(1.,0.,0.)); #431601=DIRECTION('center_axis',(0.,0.,1.)); #431602=DIRECTION('ref_axis',(1.,0.,0.)); #431603=DIRECTION('center_axis',(0.,0.,1.)); #431604=DIRECTION('ref_axis',(1.,0.,0.)); #431605=DIRECTION('',(0.,0.,1.)); #431606=DIRECTION('center_axis',(0.,0.,-1.)); #431607=DIRECTION('ref_axis',(1.,0.,0.)); #431608=DIRECTION('center_axis',(0.,0.,1.)); #431609=DIRECTION('ref_axis',(1.,0.,0.)); #431610=DIRECTION('center_axis',(0.,0.,1.)); #431611=DIRECTION('ref_axis',(1.,0.,0.)); #431612=DIRECTION('',(0.,0.,1.)); #431613=DIRECTION('center_axis',(0.,0.,-1.)); #431614=DIRECTION('ref_axis',(1.,0.,0.)); #431615=DIRECTION('center_axis',(0.,0.,1.)); #431616=DIRECTION('ref_axis',(1.,0.,0.)); #431617=DIRECTION('center_axis',(0.,0.,1.)); #431618=DIRECTION('ref_axis',(1.,0.,0.)); #431619=DIRECTION('',(0.,0.,1.)); #431620=DIRECTION('center_axis',(0.,0.,-1.)); #431621=DIRECTION('ref_axis',(1.,0.,0.)); #431622=DIRECTION('center_axis',(0.,0.,1.)); #431623=DIRECTION('ref_axis',(1.,0.,0.)); #431624=DIRECTION('center_axis',(0.,0.,1.)); #431625=DIRECTION('ref_axis',(1.,0.,0.)); #431626=DIRECTION('',(0.,0.,1.)); #431627=DIRECTION('center_axis',(0.,0.,-1.)); #431628=DIRECTION('ref_axis',(1.,0.,0.)); #431629=DIRECTION('center_axis',(0.,0.,1.)); #431630=DIRECTION('ref_axis',(1.,0.,0.)); #431631=DIRECTION('center_axis',(0.,0.,1.)); #431632=DIRECTION('ref_axis',(1.,0.,0.)); #431633=DIRECTION('',(0.,0.,1.)); #431634=DIRECTION('center_axis',(0.,0.,-1.)); #431635=DIRECTION('ref_axis',(1.,0.,0.)); #431636=DIRECTION('center_axis',(0.,0.,1.)); #431637=DIRECTION('ref_axis',(1.,0.,0.)); #431638=DIRECTION('center_axis',(0.,0.,1.)); #431639=DIRECTION('ref_axis',(1.,0.,0.)); #431640=DIRECTION('',(0.,0.,1.)); #431641=DIRECTION('center_axis',(0.,0.,-1.)); #431642=DIRECTION('ref_axis',(1.,0.,0.)); #431643=DIRECTION('center_axis',(0.,0.,1.)); #431644=DIRECTION('ref_axis',(1.,0.,0.)); #431645=DIRECTION('center_axis',(0.,0.,1.)); #431646=DIRECTION('ref_axis',(1.,0.,0.)); #431647=DIRECTION('',(0.,0.,1.)); #431648=DIRECTION('center_axis',(0.,0.,-1.)); #431649=DIRECTION('ref_axis',(1.,0.,0.)); #431650=DIRECTION('center_axis',(0.,0.,1.)); #431651=DIRECTION('ref_axis',(1.,0.,0.)); #431652=DIRECTION('center_axis',(0.,0.,1.)); #431653=DIRECTION('ref_axis',(1.,0.,0.)); #431654=DIRECTION('',(0.,0.,1.)); #431655=DIRECTION('center_axis',(0.,0.,-1.)); #431656=DIRECTION('ref_axis',(1.,0.,0.)); #431657=DIRECTION('center_axis',(0.,0.,1.)); #431658=DIRECTION('ref_axis',(1.,0.,0.)); #431659=DIRECTION('center_axis',(0.,0.,1.)); #431660=DIRECTION('ref_axis',(1.,0.,0.)); #431661=DIRECTION('',(0.,0.,1.)); #431662=DIRECTION('center_axis',(0.,0.,-1.)); #431663=DIRECTION('ref_axis',(1.,0.,0.)); #431664=DIRECTION('center_axis',(0.,0.,1.)); #431665=DIRECTION('ref_axis',(1.,0.,0.)); #431666=DIRECTION('center_axis',(0.,0.,1.)); #431667=DIRECTION('ref_axis',(1.,0.,0.)); #431668=DIRECTION('',(0.,0.,1.)); #431669=DIRECTION('center_axis',(0.,0.,-1.)); #431670=DIRECTION('ref_axis',(1.,0.,0.)); #431671=DIRECTION('center_axis',(0.,0.,1.)); #431672=DIRECTION('ref_axis',(1.,0.,0.)); #431673=DIRECTION('center_axis',(0.,0.,1.)); #431674=DIRECTION('ref_axis',(1.,0.,0.)); #431675=DIRECTION('',(0.,0.,1.)); #431676=DIRECTION('center_axis',(0.,0.,-1.)); #431677=DIRECTION('ref_axis',(1.,0.,0.)); #431678=DIRECTION('center_axis',(0.,0.,1.)); #431679=DIRECTION('ref_axis',(1.,0.,0.)); #431680=DIRECTION('center_axis',(0.,0.,1.)); #431681=DIRECTION('ref_axis',(1.,0.,0.)); #431682=DIRECTION('',(0.,0.,1.)); #431683=DIRECTION('center_axis',(0.,0.,-1.)); #431684=DIRECTION('ref_axis',(1.,0.,0.)); #431685=DIRECTION('center_axis',(0.,0.,1.)); #431686=DIRECTION('ref_axis',(1.,0.,0.)); #431687=DIRECTION('center_axis',(0.,0.,1.)); #431688=DIRECTION('ref_axis',(1.,0.,0.)); #431689=DIRECTION('',(0.,0.,1.)); #431690=DIRECTION('center_axis',(0.,0.,-1.)); #431691=DIRECTION('ref_axis',(1.,0.,0.)); #431692=DIRECTION('center_axis',(0.,0.,1.)); #431693=DIRECTION('ref_axis',(1.,0.,0.)); #431694=DIRECTION('center_axis',(0.,0.,1.)); #431695=DIRECTION('ref_axis',(1.,0.,0.)); #431696=DIRECTION('',(0.,0.,1.)); #431697=DIRECTION('center_axis',(0.,0.,-1.)); #431698=DIRECTION('ref_axis',(1.,0.,0.)); #431699=DIRECTION('center_axis',(0.,0.,1.)); #431700=DIRECTION('ref_axis',(1.,0.,0.)); #431701=DIRECTION('center_axis',(0.,0.,1.)); #431702=DIRECTION('ref_axis',(1.,0.,0.)); #431703=DIRECTION('',(0.,0.,1.)); #431704=DIRECTION('center_axis',(0.,0.,-1.)); #431705=DIRECTION('ref_axis',(1.,0.,0.)); #431706=DIRECTION('center_axis',(0.,0.,1.)); #431707=DIRECTION('ref_axis',(1.,0.,0.)); #431708=DIRECTION('center_axis',(0.,0.,1.)); #431709=DIRECTION('ref_axis',(1.,0.,0.)); #431710=DIRECTION('',(0.,0.,1.)); #431711=DIRECTION('center_axis',(0.,0.,-1.)); #431712=DIRECTION('ref_axis',(1.,0.,0.)); #431713=DIRECTION('center_axis',(0.,0.,1.)); #431714=DIRECTION('ref_axis',(1.,0.,0.)); #431715=DIRECTION('center_axis',(0.,0.,1.)); #431716=DIRECTION('ref_axis',(1.,0.,0.)); #431717=DIRECTION('',(0.,0.,1.)); #431718=DIRECTION('center_axis',(0.,0.,-1.)); #431719=DIRECTION('ref_axis',(1.,0.,0.)); #431720=DIRECTION('center_axis',(0.,0.,1.)); #431721=DIRECTION('ref_axis',(1.,0.,0.)); #431722=DIRECTION('center_axis',(0.,0.,1.)); #431723=DIRECTION('ref_axis',(1.,0.,0.)); #431724=DIRECTION('',(0.,0.,1.)); #431725=DIRECTION('center_axis',(0.,0.,-1.)); #431726=DIRECTION('ref_axis',(1.,0.,0.)); #431727=DIRECTION('center_axis',(0.,0.,1.)); #431728=DIRECTION('ref_axis',(1.,0.,0.)); #431729=DIRECTION('center_axis',(0.,0.,1.)); #431730=DIRECTION('ref_axis',(1.,0.,0.)); #431731=DIRECTION('',(0.,0.,1.)); #431732=DIRECTION('center_axis',(0.,0.,-1.)); #431733=DIRECTION('ref_axis',(1.,0.,0.)); #431734=DIRECTION('center_axis',(0.,0.,1.)); #431735=DIRECTION('ref_axis',(1.,0.,0.)); #431736=DIRECTION('center_axis',(0.,0.,1.)); #431737=DIRECTION('ref_axis',(1.,0.,0.)); #431738=DIRECTION('',(0.,0.,1.)); #431739=DIRECTION('center_axis',(0.,0.,-1.)); #431740=DIRECTION('ref_axis',(1.,0.,0.)); #431741=DIRECTION('center_axis',(0.,0.,1.)); #431742=DIRECTION('ref_axis',(1.,0.,0.)); #431743=DIRECTION('center_axis',(0.,0.,1.)); #431744=DIRECTION('ref_axis',(1.,0.,0.)); #431745=DIRECTION('',(0.,0.,1.)); #431746=DIRECTION('center_axis',(0.,0.,-1.)); #431747=DIRECTION('ref_axis',(1.,0.,0.)); #431748=DIRECTION('center_axis',(0.,0.,1.)); #431749=DIRECTION('ref_axis',(1.,0.,0.)); #431750=DIRECTION('center_axis',(0.,0.,1.)); #431751=DIRECTION('ref_axis',(1.,0.,0.)); #431752=DIRECTION('',(0.,0.,1.)); #431753=DIRECTION('center_axis',(0.,0.,-1.)); #431754=DIRECTION('ref_axis',(1.,0.,0.)); #431755=DIRECTION('center_axis',(0.,0.,1.)); #431756=DIRECTION('ref_axis',(1.,0.,0.)); #431757=DIRECTION('center_axis',(0.,0.,1.)); #431758=DIRECTION('ref_axis',(1.,0.,0.)); #431759=DIRECTION('',(0.,0.,1.)); #431760=DIRECTION('center_axis',(0.,0.,-1.)); #431761=DIRECTION('ref_axis',(1.,0.,0.)); #431762=DIRECTION('center_axis',(0.,0.,1.)); #431763=DIRECTION('ref_axis',(1.,0.,0.)); #431764=DIRECTION('center_axis',(0.,0.,1.)); #431765=DIRECTION('ref_axis',(1.,0.,0.)); #431766=DIRECTION('',(0.,0.,1.)); #431767=DIRECTION('center_axis',(0.,0.,-1.)); #431768=DIRECTION('ref_axis',(1.,0.,0.)); #431769=DIRECTION('center_axis',(0.,0.,1.)); #431770=DIRECTION('ref_axis',(1.,0.,0.)); #431771=DIRECTION('center_axis',(0.,0.,1.)); #431772=DIRECTION('ref_axis',(1.,0.,0.)); #431773=DIRECTION('',(0.,0.,1.)); #431774=DIRECTION('center_axis',(0.,0.,-1.)); #431775=DIRECTION('ref_axis',(1.,0.,0.)); #431776=DIRECTION('center_axis',(0.,0.,1.)); #431777=DIRECTION('ref_axis',(1.,0.,0.)); #431778=DIRECTION('center_axis',(0.,0.,1.)); #431779=DIRECTION('ref_axis',(1.,0.,0.)); #431780=DIRECTION('',(0.,0.,1.)); #431781=DIRECTION('center_axis',(0.,0.,-1.)); #431782=DIRECTION('ref_axis',(1.,0.,0.)); #431783=DIRECTION('center_axis',(0.,0.,1.)); #431784=DIRECTION('ref_axis',(1.,0.,0.)); #431785=DIRECTION('center_axis',(0.,0.,1.)); #431786=DIRECTION('ref_axis',(1.,0.,0.)); #431787=DIRECTION('',(0.,0.,1.)); #431788=DIRECTION('center_axis',(0.,0.,-1.)); #431789=DIRECTION('ref_axis',(1.,0.,0.)); #431790=DIRECTION('center_axis',(0.,0.,1.)); #431791=DIRECTION('ref_axis',(1.,0.,0.)); #431792=DIRECTION('center_axis',(0.,0.,1.)); #431793=DIRECTION('ref_axis',(1.,0.,0.)); #431794=DIRECTION('',(0.,0.,1.)); #431795=DIRECTION('center_axis',(0.,0.,-1.)); #431796=DIRECTION('ref_axis',(1.,0.,0.)); #431797=DIRECTION('center_axis',(0.,0.,1.)); #431798=DIRECTION('ref_axis',(1.,0.,0.)); #431799=DIRECTION('center_axis',(0.,0.,1.)); #431800=DIRECTION('ref_axis',(1.,0.,0.)); #431801=DIRECTION('',(0.,0.,1.)); #431802=DIRECTION('center_axis',(0.,0.,-1.)); #431803=DIRECTION('ref_axis',(1.,0.,0.)); #431804=DIRECTION('center_axis',(0.,0.,1.)); #431805=DIRECTION('ref_axis',(1.,0.,0.)); #431806=DIRECTION('center_axis',(0.,0.,1.)); #431807=DIRECTION('ref_axis',(1.,0.,0.)); #431808=DIRECTION('',(0.,0.,1.)); #431809=DIRECTION('center_axis',(0.,0.,-1.)); #431810=DIRECTION('ref_axis',(1.,0.,0.)); #431811=DIRECTION('center_axis',(0.,0.,1.)); #431812=DIRECTION('ref_axis',(1.,0.,0.)); #431813=DIRECTION('center_axis',(0.,0.,1.)); #431814=DIRECTION('ref_axis',(1.,0.,0.)); #431815=DIRECTION('',(0.,0.,1.)); #431816=DIRECTION('center_axis',(0.,0.,-1.)); #431817=DIRECTION('ref_axis',(1.,0.,0.)); #431818=DIRECTION('center_axis',(0.,0.,1.)); #431819=DIRECTION('ref_axis',(1.,0.,0.)); #431820=DIRECTION('center_axis',(0.,0.,1.)); #431821=DIRECTION('ref_axis',(1.,0.,0.)); #431822=DIRECTION('',(0.,0.,1.)); #431823=DIRECTION('center_axis',(0.,0.,-1.)); #431824=DIRECTION('ref_axis',(1.,0.,0.)); #431825=DIRECTION('center_axis',(1.,0.,0.)); #431826=DIRECTION('ref_axis',(0.,1.,0.)); #431827=DIRECTION('',(0.,-1.,0.)); #431828=DIRECTION('',(0.,0.,1.)); #431829=DIRECTION('',(0.,1.,0.)); #431830=DIRECTION('',(0.,0.,1.)); #431831=DIRECTION('center_axis',(0.,0.,1.)); #431832=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #431833=DIRECTION('center_axis',(0.,0.,-1.)); #431834=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #431835=DIRECTION('center_axis',(0.,0.,1.)); #431836=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #431837=DIRECTION('',(0.,0.,1.)); #431838=DIRECTION('center_axis',(-1.,0.,0.)); #431839=DIRECTION('ref_axis',(0.,-1.,0.)); #431840=DIRECTION('',(0.,1.,0.)); #431841=DIRECTION('',(0.,-1.,0.)); #431842=DIRECTION('',(0.,0.,1.)); #431843=DIRECTION('center_axis',(0.,0.,1.)); #431844=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #431845=DIRECTION('center_axis',(0.,0.,-1.)); #431846=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #431847=DIRECTION('center_axis',(0.,0.,1.)); #431848=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #431849=DIRECTION('center_axis',(0.,1.,0.)); #431850=DIRECTION('ref_axis',(-1.,0.,0.)); #431851=DIRECTION('',(1.,0.,0.)); #431852=DIRECTION('',(0.,0.,1.)); #431853=DIRECTION('',(-1.,0.,0.)); #431854=DIRECTION('',(0.,0.,1.)); #431855=DIRECTION('center_axis',(0.,0.,1.)); #431856=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #431857=DIRECTION('center_axis',(0.,0.,-1.)); #431858=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #431859=DIRECTION('center_axis',(0.,0.,1.)); #431860=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #431861=DIRECTION('',(0.,0.,1.)); #431862=DIRECTION('center_axis',(0.,-1.,0.)); #431863=DIRECTION('ref_axis',(1.,0.,0.)); #431864=DIRECTION('',(-1.,0.,0.)); #431865=DIRECTION('',(1.,0.,0.)); #431866=DIRECTION('',(0.,0.,1.)); #431867=DIRECTION('center_axis',(0.,0.,1.)); #431868=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #431869=DIRECTION('center_axis',(0.,0.,-1.)); #431870=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #431871=DIRECTION('center_axis',(0.,0.,1.)); #431872=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #431873=DIRECTION('center_axis',(0.,0.,1.)); #431874=DIRECTION('ref_axis',(1.,0.,0.)); #431875=DIRECTION('center_axis',(0.,0.,1.)); #431876=DIRECTION('ref_axis',(1.,0.,0.)); #431877=DIRECTION('',(0.,0.,1.)); #431878=DIRECTION('center_axis',(0.,0.,-1.)); #431879=DIRECTION('ref_axis',(1.,0.,0.)); #431880=DIRECTION('center_axis',(0.,0.,1.)); #431881=DIRECTION('ref_axis',(1.,0.,0.)); #431882=DIRECTION('center_axis',(0.,0.,1.)); #431883=DIRECTION('ref_axis',(1.,0.,0.)); #431884=DIRECTION('',(0.,0.,1.)); #431885=DIRECTION('center_axis',(0.,0.,-1.)); #431886=DIRECTION('ref_axis',(1.,0.,0.)); #431887=DIRECTION('center_axis',(0.,1.,0.)); #431888=DIRECTION('ref_axis',(-1.,0.,0.)); #431889=DIRECTION('',(1.,0.,0.)); #431890=DIRECTION('',(0.,0.,1.)); #431891=DIRECTION('',(-1.,0.,0.)); #431892=DIRECTION('',(0.,0.,1.)); #431893=DIRECTION('center_axis',(0.,0.,1.)); #431894=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #431895=DIRECTION('center_axis',(0.,0.,-1.)); #431896=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #431897=DIRECTION('center_axis',(0.,0.,1.)); #431898=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #431899=DIRECTION('',(0.,0.,1.)); #431900=DIRECTION('center_axis',(0.,-1.,0.)); #431901=DIRECTION('ref_axis',(1.,0.,0.)); #431902=DIRECTION('',(-1.,0.,0.)); #431903=DIRECTION('',(1.,0.,0.)); #431904=DIRECTION('',(0.,0.,1.)); #431905=DIRECTION('center_axis',(0.,0.,1.)); #431906=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #431907=DIRECTION('center_axis',(0.,0.,-1.)); #431908=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #431909=DIRECTION('center_axis',(0.,0.,1.)); #431910=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #431911=DIRECTION('center_axis',(0.,0.,1.)); #431912=DIRECTION('ref_axis',(1.,0.,0.)); #431913=DIRECTION('center_axis',(0.,0.,1.)); #431914=DIRECTION('ref_axis',(1.,0.,0.)); #431915=DIRECTION('',(0.,0.,1.)); #431916=DIRECTION('center_axis',(0.,0.,-1.)); #431917=DIRECTION('ref_axis',(1.,0.,0.)); #431918=DIRECTION('center_axis',(0.,0.,1.)); #431919=DIRECTION('ref_axis',(1.,0.,0.)); #431920=DIRECTION('center_axis',(0.,0.,1.)); #431921=DIRECTION('ref_axis',(1.,0.,0.)); #431922=DIRECTION('',(0.,0.,1.)); #431923=DIRECTION('center_axis',(0.,0.,-1.)); #431924=DIRECTION('ref_axis',(1.,0.,0.)); #431925=DIRECTION('center_axis',(0.,0.,1.)); #431926=DIRECTION('ref_axis',(1.,0.,0.)); #431927=DIRECTION('center_axis',(0.,0.,1.)); #431928=DIRECTION('ref_axis',(1.,0.,0.)); #431929=DIRECTION('',(0.,0.,1.)); #431930=DIRECTION('center_axis',(0.,0.,-1.)); #431931=DIRECTION('ref_axis',(1.,0.,0.)); #431932=DIRECTION('center_axis',(0.,0.,1.)); #431933=DIRECTION('ref_axis',(1.,0.,0.)); #431934=DIRECTION('center_axis',(0.,0.,1.)); #431935=DIRECTION('ref_axis',(1.,0.,0.)); #431936=DIRECTION('',(0.,0.,1.)); #431937=DIRECTION('center_axis',(0.,0.,-1.)); #431938=DIRECTION('ref_axis',(1.,0.,0.)); #431939=DIRECTION('center_axis',(0.,0.,1.)); #431940=DIRECTION('ref_axis',(1.,0.,0.)); #431941=DIRECTION('center_axis',(0.,0.,1.)); #431942=DIRECTION('ref_axis',(1.,0.,0.)); #431943=DIRECTION('',(0.,0.,1.)); #431944=DIRECTION('center_axis',(0.,0.,-1.)); #431945=DIRECTION('ref_axis',(1.,0.,0.)); #431946=DIRECTION('center_axis',(1.,0.,0.)); #431947=DIRECTION('ref_axis',(0.,1.,0.)); #431948=DIRECTION('',(0.,-1.,0.)); #431949=DIRECTION('',(0.,0.,1.)); #431950=DIRECTION('',(0.,1.,0.)); #431951=DIRECTION('',(0.,0.,1.)); #431952=DIRECTION('center_axis',(0.,0.,1.)); #431953=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #431954=DIRECTION('center_axis',(0.,0.,-1.)); #431955=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #431956=DIRECTION('center_axis',(0.,0.,1.)); #431957=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #431958=DIRECTION('',(0.,0.,1.)); #431959=DIRECTION('center_axis',(-1.,0.,0.)); #431960=DIRECTION('ref_axis',(0.,-1.,0.)); #431961=DIRECTION('',(0.,1.,0.)); #431962=DIRECTION('',(0.,-1.,0.)); #431963=DIRECTION('',(0.,0.,1.)); #431964=DIRECTION('center_axis',(0.,0.,1.)); #431965=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #431966=DIRECTION('center_axis',(0.,0.,-1.)); #431967=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #431968=DIRECTION('center_axis',(0.,0.,1.)); #431969=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #431970=DIRECTION('center_axis',(0.,0.,1.)); #431971=DIRECTION('ref_axis',(1.,0.,0.)); #431972=DIRECTION('center_axis',(0.,0.,1.)); #431973=DIRECTION('ref_axis',(1.,0.,0.)); #431974=DIRECTION('',(0.,0.,1.)); #431975=DIRECTION('center_axis',(0.,0.,-1.)); #431976=DIRECTION('ref_axis',(1.,0.,0.)); #431977=DIRECTION('center_axis',(1.,0.,0.)); #431978=DIRECTION('ref_axis',(0.,1.,0.)); #431979=DIRECTION('',(0.,-1.,0.)); #431980=DIRECTION('',(0.,0.,1.)); #431981=DIRECTION('',(0.,1.,0.)); #431982=DIRECTION('',(0.,0.,1.)); #431983=DIRECTION('center_axis',(0.,0.,1.)); #431984=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #431985=DIRECTION('center_axis',(0.,0.,-1.)); #431986=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #431987=DIRECTION('center_axis',(0.,0.,1.)); #431988=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #431989=DIRECTION('',(0.,0.,1.)); #431990=DIRECTION('center_axis',(-1.,0.,0.)); #431991=DIRECTION('ref_axis',(0.,-1.,0.)); #431992=DIRECTION('',(0.,1.,0.)); #431993=DIRECTION('',(0.,-1.,0.)); #431994=DIRECTION('',(0.,0.,1.)); #431995=DIRECTION('center_axis',(0.,0.,1.)); #431996=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #431997=DIRECTION('center_axis',(0.,0.,-1.)); #431998=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #431999=DIRECTION('center_axis',(0.,0.,1.)); #432000=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #432001=DIRECTION('center_axis',(1.,0.,0.)); #432002=DIRECTION('ref_axis',(0.,1.,0.)); #432003=DIRECTION('',(0.,-1.,0.)); #432004=DIRECTION('',(0.,0.,1.)); #432005=DIRECTION('',(0.,1.,0.)); #432006=DIRECTION('',(0.,0.,1.)); #432007=DIRECTION('center_axis',(0.,0.,1.)); #432008=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #432009=DIRECTION('center_axis',(0.,0.,-1.)); #432010=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #432011=DIRECTION('center_axis',(0.,0.,1.)); #432012=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #432013=DIRECTION('',(0.,0.,1.)); #432014=DIRECTION('center_axis',(-1.,0.,0.)); #432015=DIRECTION('ref_axis',(0.,-1.,0.)); #432016=DIRECTION('',(0.,1.,0.)); #432017=DIRECTION('',(0.,-1.,0.)); #432018=DIRECTION('',(0.,0.,1.)); #432019=DIRECTION('center_axis',(0.,0.,1.)); #432020=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #432021=DIRECTION('center_axis',(0.,0.,-1.)); #432022=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #432023=DIRECTION('center_axis',(0.,0.,1.)); #432024=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #432025=DIRECTION('center_axis',(0.,0.,1.)); #432026=DIRECTION('ref_axis',(1.,0.,0.)); #432027=DIRECTION('center_axis',(0.,0.,1.)); #432028=DIRECTION('ref_axis',(1.,0.,0.)); #432029=DIRECTION('',(0.,0.,1.)); #432030=DIRECTION('center_axis',(0.,0.,-1.)); #432031=DIRECTION('ref_axis',(1.,0.,0.)); #432032=DIRECTION('center_axis',(0.,0.,1.)); #432033=DIRECTION('ref_axis',(1.,0.,0.)); #432034=DIRECTION('center_axis',(0.,0.,1.)); #432035=DIRECTION('ref_axis',(1.,0.,0.)); #432036=DIRECTION('',(0.,0.,1.)); #432037=DIRECTION('center_axis',(0.,0.,-1.)); #432038=DIRECTION('ref_axis',(1.,0.,0.)); #432039=DIRECTION('center_axis',(0.,0.,1.)); #432040=DIRECTION('ref_axis',(1.,0.,0.)); #432041=DIRECTION('center_axis',(0.,0.,1.)); #432042=DIRECTION('ref_axis',(1.,0.,0.)); #432043=DIRECTION('',(0.,0.,1.)); #432044=DIRECTION('center_axis',(0.,0.,-1.)); #432045=DIRECTION('ref_axis',(1.,0.,0.)); #432046=DIRECTION('center_axis',(0.,0.,1.)); #432047=DIRECTION('ref_axis',(1.,0.,0.)); #432048=DIRECTION('center_axis',(0.,0.,1.)); #432049=DIRECTION('ref_axis',(1.,0.,0.)); #432050=DIRECTION('',(0.,0.,1.)); #432051=DIRECTION('center_axis',(0.,0.,-1.)); #432052=DIRECTION('ref_axis',(1.,0.,0.)); #432053=DIRECTION('center_axis',(0.,0.,1.)); #432054=DIRECTION('ref_axis',(1.,0.,0.)); #432055=DIRECTION('center_axis',(0.,0.,1.)); #432056=DIRECTION('ref_axis',(1.,0.,0.)); #432057=DIRECTION('',(0.,0.,1.)); #432058=DIRECTION('center_axis',(0.,0.,-1.)); #432059=DIRECTION('ref_axis',(1.,0.,0.)); #432060=DIRECTION('center_axis',(0.,0.,1.)); #432061=DIRECTION('ref_axis',(1.,0.,0.)); #432062=DIRECTION('center_axis',(0.,0.,1.)); #432063=DIRECTION('ref_axis',(1.,0.,0.)); #432064=DIRECTION('',(0.,0.,1.)); #432065=DIRECTION('center_axis',(0.,0.,-1.)); #432066=DIRECTION('ref_axis',(1.,0.,0.)); #432067=DIRECTION('center_axis',(0.,0.,1.)); #432068=DIRECTION('ref_axis',(1.,0.,0.)); #432069=DIRECTION('center_axis',(0.,0.,1.)); #432070=DIRECTION('ref_axis',(1.,0.,0.)); #432071=DIRECTION('',(0.,0.,1.)); #432072=DIRECTION('center_axis',(0.,0.,-1.)); #432073=DIRECTION('ref_axis',(1.,0.,0.)); #432074=DIRECTION('center_axis',(0.,0.,1.)); #432075=DIRECTION('ref_axis',(1.,0.,0.)); #432076=DIRECTION('center_axis',(0.,0.,1.)); #432077=DIRECTION('ref_axis',(1.,0.,0.)); #432078=DIRECTION('',(0.,0.,1.)); #432079=DIRECTION('center_axis',(0.,0.,-1.)); #432080=DIRECTION('ref_axis',(1.,0.,0.)); #432081=DIRECTION('center_axis',(0.,0.,1.)); #432082=DIRECTION('ref_axis',(1.,0.,0.)); #432083=DIRECTION('center_axis',(0.,0.,1.)); #432084=DIRECTION('ref_axis',(1.,0.,0.)); #432085=DIRECTION('',(0.,0.,1.)); #432086=DIRECTION('center_axis',(0.,0.,-1.)); #432087=DIRECTION('ref_axis',(1.,0.,0.)); #432088=DIRECTION('center_axis',(0.,0.,1.)); #432089=DIRECTION('ref_axis',(1.,0.,0.)); #432090=DIRECTION('center_axis',(0.,0.,1.)); #432091=DIRECTION('ref_axis',(1.,0.,0.)); #432092=DIRECTION('',(0.,0.,1.)); #432093=DIRECTION('center_axis',(0.,0.,-1.)); #432094=DIRECTION('ref_axis',(1.,0.,0.)); #432095=DIRECTION('center_axis',(0.,0.,1.)); #432096=DIRECTION('ref_axis',(1.,0.,0.)); #432097=DIRECTION('center_axis',(0.,0.,1.)); #432098=DIRECTION('ref_axis',(1.,0.,0.)); #432099=DIRECTION('',(0.,0.,1.)); #432100=DIRECTION('center_axis',(0.,0.,-1.)); #432101=DIRECTION('ref_axis',(1.,0.,0.)); #432102=DIRECTION('center_axis',(0.,0.,1.)); #432103=DIRECTION('ref_axis',(1.,0.,0.)); #432104=DIRECTION('center_axis',(0.,0.,1.)); #432105=DIRECTION('ref_axis',(1.,0.,0.)); #432106=DIRECTION('',(0.,0.,1.)); #432107=DIRECTION('center_axis',(0.,0.,-1.)); #432108=DIRECTION('ref_axis',(1.,0.,0.)); #432109=DIRECTION('center_axis',(0.,0.,1.)); #432110=DIRECTION('ref_axis',(1.,0.,0.)); #432111=DIRECTION('center_axis',(0.,0.,1.)); #432112=DIRECTION('ref_axis',(1.,0.,0.)); #432113=DIRECTION('',(0.,0.,1.)); #432114=DIRECTION('center_axis',(0.,0.,-1.)); #432115=DIRECTION('ref_axis',(1.,0.,0.)); #432116=DIRECTION('center_axis',(0.,0.,1.)); #432117=DIRECTION('ref_axis',(1.,0.,0.)); #432118=DIRECTION('center_axis',(0.,0.,1.)); #432119=DIRECTION('ref_axis',(1.,0.,0.)); #432120=DIRECTION('',(0.,0.,1.)); #432121=DIRECTION('center_axis',(0.,0.,-1.)); #432122=DIRECTION('ref_axis',(1.,0.,0.)); #432123=DIRECTION('center_axis',(0.,0.,1.)); #432124=DIRECTION('ref_axis',(1.,0.,0.)); #432125=DIRECTION('center_axis',(0.,0.,1.)); #432126=DIRECTION('ref_axis',(1.,0.,0.)); #432127=DIRECTION('',(0.,0.,1.)); #432128=DIRECTION('center_axis',(0.,0.,-1.)); #432129=DIRECTION('ref_axis',(1.,0.,0.)); #432130=DIRECTION('center_axis',(0.,0.,1.)); #432131=DIRECTION('ref_axis',(1.,0.,0.)); #432132=DIRECTION('center_axis',(0.,0.,1.)); #432133=DIRECTION('ref_axis',(1.,0.,0.)); #432134=DIRECTION('',(0.,0.,1.)); #432135=DIRECTION('center_axis',(0.,0.,-1.)); #432136=DIRECTION('ref_axis',(1.,0.,0.)); #432137=DIRECTION('center_axis',(0.,0.,1.)); #432138=DIRECTION('ref_axis',(1.,0.,0.)); #432139=DIRECTION('center_axis',(0.,0.,1.)); #432140=DIRECTION('ref_axis',(1.,0.,0.)); #432141=DIRECTION('',(0.,0.,1.)); #432142=DIRECTION('center_axis',(0.,0.,-1.)); #432143=DIRECTION('ref_axis',(1.,0.,0.)); #432144=DIRECTION('center_axis',(0.,0.,1.)); #432145=DIRECTION('ref_axis',(1.,0.,0.)); #432146=DIRECTION('center_axis',(0.,0.,1.)); #432147=DIRECTION('ref_axis',(1.,0.,0.)); #432148=DIRECTION('',(0.,0.,1.)); #432149=DIRECTION('center_axis',(0.,0.,-1.)); #432150=DIRECTION('ref_axis',(1.,0.,0.)); #432151=DIRECTION('center_axis',(0.,0.,1.)); #432152=DIRECTION('ref_axis',(1.,0.,0.)); #432153=DIRECTION('center_axis',(0.,0.,1.)); #432154=DIRECTION('ref_axis',(1.,0.,0.)); #432155=DIRECTION('',(0.,0.,1.)); #432156=DIRECTION('center_axis',(0.,0.,-1.)); #432157=DIRECTION('ref_axis',(1.,0.,0.)); #432158=DIRECTION('center_axis',(0.,0.,1.)); #432159=DIRECTION('ref_axis',(1.,0.,0.)); #432160=DIRECTION('center_axis',(0.,0.,1.)); #432161=DIRECTION('ref_axis',(1.,0.,0.)); #432162=DIRECTION('',(0.,0.,1.)); #432163=DIRECTION('center_axis',(0.,0.,-1.)); #432164=DIRECTION('ref_axis',(1.,0.,0.)); #432165=DIRECTION('center_axis',(0.,0.,1.)); #432166=DIRECTION('ref_axis',(1.,0.,0.)); #432167=DIRECTION('center_axis',(0.,0.,1.)); #432168=DIRECTION('ref_axis',(1.,0.,0.)); #432169=DIRECTION('',(0.,0.,1.)); #432170=DIRECTION('center_axis',(0.,0.,-1.)); #432171=DIRECTION('ref_axis',(1.,0.,0.)); #432172=DIRECTION('center_axis',(0.,0.,1.)); #432173=DIRECTION('ref_axis',(1.,0.,0.)); #432174=DIRECTION('center_axis',(0.,0.,1.)); #432175=DIRECTION('ref_axis',(1.,0.,0.)); #432176=DIRECTION('',(0.,0.,1.)); #432177=DIRECTION('center_axis',(0.,0.,-1.)); #432178=DIRECTION('ref_axis',(1.,0.,0.)); #432179=DIRECTION('center_axis',(0.,0.,1.)); #432180=DIRECTION('ref_axis',(1.,0.,0.)); #432181=DIRECTION('center_axis',(0.,0.,1.)); #432182=DIRECTION('ref_axis',(1.,0.,0.)); #432183=DIRECTION('',(0.,0.,1.)); #432184=DIRECTION('center_axis',(0.,0.,-1.)); #432185=DIRECTION('ref_axis',(1.,0.,0.)); #432186=DIRECTION('center_axis',(0.,0.,1.)); #432187=DIRECTION('ref_axis',(1.,0.,0.)); #432188=DIRECTION('center_axis',(0.,0.,1.)); #432189=DIRECTION('ref_axis',(1.,0.,0.)); #432190=DIRECTION('',(0.,0.,1.)); #432191=DIRECTION('center_axis',(0.,0.,-1.)); #432192=DIRECTION('ref_axis',(1.,0.,0.)); #432193=DIRECTION('center_axis',(0.,0.,1.)); #432194=DIRECTION('ref_axis',(1.,0.,0.)); #432195=DIRECTION('center_axis',(0.,0.,1.)); #432196=DIRECTION('ref_axis',(1.,0.,0.)); #432197=DIRECTION('',(0.,0.,1.)); #432198=DIRECTION('center_axis',(0.,0.,-1.)); #432199=DIRECTION('ref_axis',(1.,0.,0.)); #432200=DIRECTION('center_axis',(0.,0.,1.)); #432201=DIRECTION('ref_axis',(1.,0.,0.)); #432202=DIRECTION('center_axis',(0.,0.,1.)); #432203=DIRECTION('ref_axis',(1.,0.,0.)); #432204=DIRECTION('',(0.,0.,1.)); #432205=DIRECTION('center_axis',(0.,0.,-1.)); #432206=DIRECTION('ref_axis',(1.,0.,0.)); #432207=DIRECTION('center_axis',(0.,0.,1.)); #432208=DIRECTION('ref_axis',(1.,0.,0.)); #432209=DIRECTION('center_axis',(0.,0.,1.)); #432210=DIRECTION('ref_axis',(1.,0.,0.)); #432211=DIRECTION('',(0.,0.,1.)); #432212=DIRECTION('center_axis',(0.,0.,-1.)); #432213=DIRECTION('ref_axis',(1.,0.,0.)); #432214=DIRECTION('center_axis',(0.,0.,1.)); #432215=DIRECTION('ref_axis',(1.,0.,0.)); #432216=DIRECTION('center_axis',(0.,0.,1.)); #432217=DIRECTION('ref_axis',(1.,0.,0.)); #432218=DIRECTION('',(0.,0.,1.)); #432219=DIRECTION('center_axis',(0.,0.,-1.)); #432220=DIRECTION('ref_axis',(1.,0.,0.)); #432221=DIRECTION('center_axis',(0.,0.,1.)); #432222=DIRECTION('ref_axis',(1.,0.,0.)); #432223=DIRECTION('center_axis',(0.,0.,1.)); #432224=DIRECTION('ref_axis',(1.,0.,0.)); #432225=DIRECTION('',(0.,0.,1.)); #432226=DIRECTION('center_axis',(0.,0.,-1.)); #432227=DIRECTION('ref_axis',(1.,0.,0.)); #432228=DIRECTION('center_axis',(0.,0.,1.)); #432229=DIRECTION('ref_axis',(1.,0.,0.)); #432230=DIRECTION('center_axis',(0.,0.,1.)); #432231=DIRECTION('ref_axis',(1.,0.,0.)); #432232=DIRECTION('',(0.,0.,1.)); #432233=DIRECTION('center_axis',(0.,0.,-1.)); #432234=DIRECTION('ref_axis',(1.,0.,0.)); #432235=DIRECTION('center_axis',(0.,0.,1.)); #432236=DIRECTION('ref_axis',(1.,0.,0.)); #432237=DIRECTION('center_axis',(0.,0.,1.)); #432238=DIRECTION('ref_axis',(1.,0.,0.)); #432239=DIRECTION('',(0.,0.,1.)); #432240=DIRECTION('center_axis',(0.,0.,-1.)); #432241=DIRECTION('ref_axis',(1.,0.,0.)); #432242=DIRECTION('center_axis',(0.,0.,1.)); #432243=DIRECTION('ref_axis',(1.,0.,0.)); #432244=DIRECTION('center_axis',(0.,0.,1.)); #432245=DIRECTION('ref_axis',(1.,0.,0.)); #432246=DIRECTION('',(0.,0.,1.)); #432247=DIRECTION('center_axis',(0.,0.,-1.)); #432248=DIRECTION('ref_axis',(1.,0.,0.)); #432249=DIRECTION('center_axis',(0.,0.,1.)); #432250=DIRECTION('ref_axis',(1.,0.,0.)); #432251=DIRECTION('center_axis',(0.,0.,1.)); #432252=DIRECTION('ref_axis',(1.,0.,0.)); #432253=DIRECTION('',(0.,0.,1.)); #432254=DIRECTION('center_axis',(0.,0.,-1.)); #432255=DIRECTION('ref_axis',(1.,0.,0.)); #432256=DIRECTION('center_axis',(0.,0.,1.)); #432257=DIRECTION('ref_axis',(1.,0.,0.)); #432258=DIRECTION('center_axis',(0.,0.,1.)); #432259=DIRECTION('ref_axis',(1.,0.,0.)); #432260=DIRECTION('',(0.,0.,1.)); #432261=DIRECTION('center_axis',(0.,0.,-1.)); #432262=DIRECTION('ref_axis',(1.,0.,0.)); #432263=DIRECTION('center_axis',(0.,0.,1.)); #432264=DIRECTION('ref_axis',(1.,0.,0.)); #432265=DIRECTION('center_axis',(0.,0.,1.)); #432266=DIRECTION('ref_axis',(1.,0.,0.)); #432267=DIRECTION('',(0.,0.,1.)); #432268=DIRECTION('center_axis',(0.,0.,-1.)); #432269=DIRECTION('ref_axis',(1.,0.,0.)); #432270=DIRECTION('center_axis',(0.,0.,1.)); #432271=DIRECTION('ref_axis',(1.,0.,0.)); #432272=DIRECTION('center_axis',(0.,0.,1.)); #432273=DIRECTION('ref_axis',(1.,0.,0.)); #432274=DIRECTION('',(0.,0.,1.)); #432275=DIRECTION('center_axis',(0.,0.,-1.)); #432276=DIRECTION('ref_axis',(1.,0.,0.)); #432277=DIRECTION('center_axis',(0.,0.,1.)); #432278=DIRECTION('ref_axis',(1.,0.,0.)); #432279=DIRECTION('center_axis',(0.,0.,1.)); #432280=DIRECTION('ref_axis',(1.,0.,0.)); #432281=DIRECTION('',(0.,0.,1.)); #432282=DIRECTION('center_axis',(0.,0.,-1.)); #432283=DIRECTION('ref_axis',(1.,0.,0.)); #432284=DIRECTION('center_axis',(0.,0.,1.)); #432285=DIRECTION('ref_axis',(1.,0.,0.)); #432286=DIRECTION('center_axis',(0.,0.,1.)); #432287=DIRECTION('ref_axis',(1.,0.,0.)); #432288=DIRECTION('',(0.,0.,1.)); #432289=DIRECTION('center_axis',(0.,0.,-1.)); #432290=DIRECTION('ref_axis',(1.,0.,0.)); #432291=DIRECTION('center_axis',(0.,0.,1.)); #432292=DIRECTION('ref_axis',(1.,0.,0.)); #432293=DIRECTION('center_axis',(0.,0.,1.)); #432294=DIRECTION('ref_axis',(1.,0.,0.)); #432295=DIRECTION('',(0.,0.,1.)); #432296=DIRECTION('center_axis',(0.,0.,-1.)); #432297=DIRECTION('ref_axis',(1.,0.,0.)); #432298=DIRECTION('center_axis',(0.,0.,1.)); #432299=DIRECTION('ref_axis',(1.,0.,0.)); #432300=DIRECTION('center_axis',(0.,0.,1.)); #432301=DIRECTION('ref_axis',(1.,0.,0.)); #432302=DIRECTION('',(0.,0.,1.)); #432303=DIRECTION('center_axis',(0.,0.,-1.)); #432304=DIRECTION('ref_axis',(1.,0.,0.)); #432305=DIRECTION('center_axis',(0.,0.,1.)); #432306=DIRECTION('ref_axis',(1.,0.,0.)); #432307=DIRECTION('center_axis',(0.,0.,1.)); #432308=DIRECTION('ref_axis',(1.,0.,0.)); #432309=DIRECTION('',(0.,0.,1.)); #432310=DIRECTION('center_axis',(0.,0.,-1.)); #432311=DIRECTION('ref_axis',(1.,0.,0.)); #432312=DIRECTION('center_axis',(0.,0.,1.)); #432313=DIRECTION('ref_axis',(1.,0.,0.)); #432314=DIRECTION('center_axis',(0.,0.,1.)); #432315=DIRECTION('ref_axis',(1.,0.,0.)); #432316=DIRECTION('',(0.,0.,1.)); #432317=DIRECTION('center_axis',(0.,0.,-1.)); #432318=DIRECTION('ref_axis',(1.,0.,0.)); #432319=DIRECTION('center_axis',(0.,0.,1.)); #432320=DIRECTION('ref_axis',(1.,0.,0.)); #432321=DIRECTION('center_axis',(0.,0.,1.)); #432322=DIRECTION('ref_axis',(1.,0.,0.)); #432323=DIRECTION('',(0.,0.,1.)); #432324=DIRECTION('center_axis',(0.,0.,-1.)); #432325=DIRECTION('ref_axis',(1.,0.,0.)); #432326=DIRECTION('center_axis',(0.,0.,1.)); #432327=DIRECTION('ref_axis',(1.,0.,0.)); #432328=DIRECTION('center_axis',(0.,0.,1.)); #432329=DIRECTION('ref_axis',(1.,0.,0.)); #432330=DIRECTION('',(0.,0.,1.)); #432331=DIRECTION('center_axis',(0.,0.,-1.)); #432332=DIRECTION('ref_axis',(1.,0.,0.)); #432333=DIRECTION('center_axis',(0.,0.,1.)); #432334=DIRECTION('ref_axis',(1.,0.,0.)); #432335=DIRECTION('center_axis',(0.,0.,1.)); #432336=DIRECTION('ref_axis',(1.,0.,0.)); #432337=DIRECTION('',(0.,0.,1.)); #432338=DIRECTION('center_axis',(0.,0.,-1.)); #432339=DIRECTION('ref_axis',(1.,0.,0.)); #432340=DIRECTION('center_axis',(0.,0.,1.)); #432341=DIRECTION('ref_axis',(1.,0.,0.)); #432342=DIRECTION('center_axis',(0.,0.,1.)); #432343=DIRECTION('ref_axis',(1.,0.,0.)); #432344=DIRECTION('',(0.,0.,1.)); #432345=DIRECTION('center_axis',(0.,0.,-1.)); #432346=DIRECTION('ref_axis',(1.,0.,0.)); #432347=DIRECTION('center_axis',(0.,0.,1.)); #432348=DIRECTION('ref_axis',(1.,0.,0.)); #432349=DIRECTION('center_axis',(0.,0.,1.)); #432350=DIRECTION('ref_axis',(1.,0.,0.)); #432351=DIRECTION('',(0.,0.,1.)); #432352=DIRECTION('center_axis',(0.,0.,-1.)); #432353=DIRECTION('ref_axis',(1.,0.,0.)); #432354=DIRECTION('center_axis',(0.,0.,1.)); #432355=DIRECTION('ref_axis',(1.,0.,0.)); #432356=DIRECTION('center_axis',(0.,0.,1.)); #432357=DIRECTION('ref_axis',(1.,0.,0.)); #432358=DIRECTION('',(0.,0.,1.)); #432359=DIRECTION('center_axis',(0.,0.,-1.)); #432360=DIRECTION('ref_axis',(1.,0.,0.)); #432361=DIRECTION('center_axis',(0.,0.,1.)); #432362=DIRECTION('ref_axis',(1.,0.,0.)); #432363=DIRECTION('center_axis',(0.,0.,1.)); #432364=DIRECTION('ref_axis',(1.,0.,0.)); #432365=DIRECTION('',(0.,0.,1.)); #432366=DIRECTION('center_axis',(0.,0.,-1.)); #432367=DIRECTION('ref_axis',(1.,0.,0.)); #432368=DIRECTION('center_axis',(0.,0.,1.)); #432369=DIRECTION('ref_axis',(1.,0.,0.)); #432370=DIRECTION('center_axis',(0.,0.,1.)); #432371=DIRECTION('ref_axis',(1.,0.,0.)); #432372=DIRECTION('',(0.,0.,1.)); #432373=DIRECTION('center_axis',(0.,0.,-1.)); #432374=DIRECTION('ref_axis',(1.,0.,0.)); #432375=DIRECTION('center_axis',(0.,0.,1.)); #432376=DIRECTION('ref_axis',(1.,0.,0.)); #432377=DIRECTION('center_axis',(0.,0.,1.)); #432378=DIRECTION('ref_axis',(1.,0.,0.)); #432379=DIRECTION('',(0.,0.,1.)); #432380=DIRECTION('center_axis',(0.,0.,-1.)); #432381=DIRECTION('ref_axis',(1.,0.,0.)); #432382=DIRECTION('center_axis',(0.,0.,1.)); #432383=DIRECTION('ref_axis',(1.,0.,0.)); #432384=DIRECTION('center_axis',(0.,0.,1.)); #432385=DIRECTION('ref_axis',(1.,0.,0.)); #432386=DIRECTION('',(0.,0.,1.)); #432387=DIRECTION('center_axis',(0.,0.,-1.)); #432388=DIRECTION('ref_axis',(1.,0.,0.)); #432389=DIRECTION('center_axis',(1.,0.,0.)); #432390=DIRECTION('ref_axis',(0.,1.,0.)); #432391=DIRECTION('',(0.,1.,0.)); #432392=DIRECTION('',(0.,0.,1.)); #432393=DIRECTION('',(0.,1.,0.)); #432394=DIRECTION('',(0.,0.,1.)); #432395=DIRECTION('center_axis',(0.,-1.,0.)); #432396=DIRECTION('ref_axis',(1.,0.,0.)); #432397=DIRECTION('',(1.,0.,0.)); #432398=DIRECTION('',(1.,0.,0.)); #432399=DIRECTION('',(0.,0.,1.)); #432400=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #432401=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #432402=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #432403=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #432404=DIRECTION('',(0.,0.,1.)); #432405=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #432406=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #432407=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #432408=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #432409=DIRECTION('',(0.,0.,1.)); #432410=DIRECTION('center_axis',(1.,0.,0.)); #432411=DIRECTION('ref_axis',(0.,1.,0.)); #432412=DIRECTION('',(0.,1.,0.)); #432413=DIRECTION('',(0.,1.,0.)); #432414=DIRECTION('',(0.,0.,1.)); #432415=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #432416=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #432417=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #432418=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #432419=DIRECTION('',(0.,0.,1.)); #432420=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #432421=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #432422=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #432423=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #432424=DIRECTION('',(0.,0.,1.)); #432425=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #432426=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #432427=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #432428=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #432429=DIRECTION('',(0.,0.,1.)); #432430=DIRECTION('center_axis',(0.,1.,0.)); #432431=DIRECTION('ref_axis',(-1.,0.,0.)); #432432=DIRECTION('',(-1.,0.,0.)); #432433=DIRECTION('',(-1.,0.,0.)); #432434=DIRECTION('',(0.,0.,1.)); #432435=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #432436=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #432437=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #432438=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #432439=DIRECTION('',(0.,0.,1.)); #432440=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #432441=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #432442=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #432443=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #432444=DIRECTION('',(0.,0.,1.)); #432445=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #432446=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #432447=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #432448=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #432449=DIRECTION('',(0.,0.,1.)); #432450=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #432451=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #432452=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #432453=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #432454=DIRECTION('',(0.,0.,1.)); #432455=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #432456=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #432457=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #432458=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #432459=DIRECTION('',(0.,0.,1.)); #432460=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #432461=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #432462=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #432463=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #432464=DIRECTION('',(0.,0.,1.)); #432465=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #432466=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #432467=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #432468=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #432469=DIRECTION('',(0.,0.,1.)); #432470=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #432471=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #432472=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #432473=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #432474=DIRECTION('',(0.,0.,1.)); #432475=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #432476=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #432477=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #432478=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #432479=DIRECTION('',(0.,0.,1.)); #432480=DIRECTION('center_axis',(0.,1.,0.)); #432481=DIRECTION('ref_axis',(-1.,0.,0.)); #432482=DIRECTION('',(-1.,0.,0.)); #432483=DIRECTION('',(-1.,0.,0.)); #432484=DIRECTION('',(0.,0.,1.)); #432485=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #432486=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #432487=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #432488=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #432489=DIRECTION('',(0.,0.,1.)); #432490=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #432491=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #432492=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #432493=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #432494=DIRECTION('',(0.,0.,1.)); #432495=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #432496=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #432497=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #432498=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #432499=DIRECTION('',(0.,0.,1.)); #432500=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #432501=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #432502=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #432503=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #432504=DIRECTION('',(0.,0.,1.)); #432505=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #432506=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #432507=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #432508=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #432509=DIRECTION('',(0.,0.,1.)); #432510=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #432511=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #432512=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #432513=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #432514=DIRECTION('',(0.,0.,1.)); #432515=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #432516=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #432517=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #432518=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #432519=DIRECTION('',(0.,0.,1.)); #432520=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #432521=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #432522=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #432523=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #432524=DIRECTION('',(0.,0.,1.)); #432525=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #432526=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #432527=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #432528=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #432529=DIRECTION('',(0.,0.,1.)); #432530=DIRECTION('center_axis',(0.,1.,0.)); #432531=DIRECTION('ref_axis',(-1.,0.,0.)); #432532=DIRECTION('',(-1.,0.,0.)); #432533=DIRECTION('',(-1.,0.,0.)); #432534=DIRECTION('',(0.,0.,1.)); #432535=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #432536=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #432537=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #432538=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #432539=DIRECTION('',(0.,0.,1.)); #432540=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #432541=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #432542=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #432543=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #432544=DIRECTION('',(0.,0.,1.)); #432545=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #432546=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #432547=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #432548=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #432549=DIRECTION('',(0.,0.,1.)); #432550=DIRECTION('center_axis',(-1.,0.,0.)); #432551=DIRECTION('ref_axis',(0.,-1.,0.)); #432552=DIRECTION('',(0.,-1.,0.)); #432553=DIRECTION('',(0.,-1.,0.)); #432554=DIRECTION('',(0.,0.,1.)); #432555=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #432556=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #432557=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #432558=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #432559=DIRECTION('',(0.,0.,1.)); #432560=DIRECTION('center_axis',(-0.707105741061318,-0.707107821310248, 0.)); #432561=DIRECTION('ref_axis',(0.707107821310248,-0.707105741061318,0.)); #432562=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #432563=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #432564=DIRECTION('',(0.,0.,1.)); #432565=DIRECTION('center_axis',(0.,-1.,0.)); #432566=DIRECTION('ref_axis',(1.,0.,0.)); #432567=DIRECTION('',(1.,0.,0.)); #432568=DIRECTION('',(1.,0.,0.)); #432569=DIRECTION('',(0.,0.,1.)); #432570=DIRECTION('center_axis',(-1.,0.,0.)); #432571=DIRECTION('ref_axis',(0.,-1.,0.)); #432572=DIRECTION('',(0.,-1.,0.)); #432573=DIRECTION('',(0.,-1.,0.)); #432574=DIRECTION('',(0.,0.,1.)); #432575=DIRECTION('center_axis',(-0.538917034664692,-0.842358848560526, 0.)); #432576=DIRECTION('ref_axis',(0.842358848560526,-0.538917034664692,0.)); #432577=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #432578=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #432579=DIRECTION('',(0.,0.,1.)); #432580=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #432581=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #432582=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #432583=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #432584=DIRECTION('',(0.,0.,1.)); #432585=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #432586=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #432587=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #432588=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #432589=DIRECTION('',(0.,0.,1.)); #432590=DIRECTION('center_axis',(-1.,0.,0.)); #432591=DIRECTION('ref_axis',(0.,-1.,0.)); #432592=DIRECTION('',(0.,-1.,0.)); #432593=DIRECTION('',(0.,-1.,0.)); #432594=DIRECTION('',(0.,0.,1.)); #432595=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #432596=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #432597=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #432598=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #432599=DIRECTION('',(0.,0.,1.)); #432600=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #432601=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #432602=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #432603=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #432604=DIRECTION('',(0.,0.,1.)); #432605=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #432606=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #432607=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #432608=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #432609=DIRECTION('',(0.,0.,1.)); #432610=DIRECTION('center_axis',(-0.198968686113119,0.980005847914398,0.)); #432611=DIRECTION('ref_axis',(-0.980005847914398,-0.198968686113119,0.)); #432612=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #432613=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #432614=DIRECTION('',(0.,0.,1.)); #432615=DIRECTION('center_axis',(0.,1.,0.)); #432616=DIRECTION('ref_axis',(-1.,0.,0.)); #432617=DIRECTION('',(-1.,0.,0.)); #432618=DIRECTION('',(-1.,0.,0.)); #432619=DIRECTION('',(0.,0.,1.)); #432620=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #432621=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #432622=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #432623=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #432624=DIRECTION('',(0.,0.,1.)); #432625=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #432626=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #432627=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #432628=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #432629=DIRECTION('',(0.,0.,1.)); #432630=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #432631=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #432632=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #432633=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #432634=DIRECTION('',(0.,0.,1.)); #432635=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #432636=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #432637=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #432638=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #432639=DIRECTION('',(0.,0.,1.)); #432640=DIRECTION('center_axis',(-0.707107138230129,0.707106424142786,0.)); #432641=DIRECTION('ref_axis',(-0.707106424142786,-0.707107138230129,0.)); #432642=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #432643=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #432644=DIRECTION('',(0.,0.,1.)); #432645=DIRECTION('center_axis',(-0.778059644424422,0.628190408807825,0.)); #432646=DIRECTION('ref_axis',(-0.628190408807825,-0.778059644424422,0.)); #432647=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #432648=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #432649=DIRECTION('',(0.,0.,1.)); #432650=DIRECTION('center_axis',(-0.892934999709465,0.450185613157348,0.)); #432651=DIRECTION('ref_axis',(-0.450185613157348,-0.892934999709465,0.)); #432652=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #432653=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #432654=DIRECTION('',(0.,0.,1.)); #432655=DIRECTION('center_axis',(-0.967663683018303,0.252243922756234,0.)); #432656=DIRECTION('ref_axis',(-0.252243922756234,-0.967663683018303,0.)); #432657=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #432658=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #432659=DIRECTION('',(0.,0.,1.)); #432660=DIRECTION('center_axis',(-0.997237953249289,0.0742729062254092, 0.)); #432661=DIRECTION('ref_axis',(-0.0742729062254092,-0.997237953249289,0.)); #432662=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #432663=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #432664=DIRECTION('',(0.,0.,1.)); #432665=DIRECTION('center_axis',(-1.,0.,0.)); #432666=DIRECTION('ref_axis',(0.,-1.,0.)); #432667=DIRECTION('',(0.,-1.,0.)); #432668=DIRECTION('',(0.,-1.,0.)); #432669=DIRECTION('',(0.,0.,1.)); #432670=DIRECTION('center_axis',(-0.994378331598729,-0.105885474201746, 0.)); #432671=DIRECTION('ref_axis',(0.105885474201746,-0.994378331598729,0.)); #432672=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #432673=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #432674=DIRECTION('',(0.,0.,1.)); #432675=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #432676=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #432677=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #432678=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #432679=DIRECTION('',(0.,0.,1.)); #432680=DIRECTION('center_axis',(-0.862466061684739,-0.50611490043469,0.)); #432681=DIRECTION('ref_axis',(0.50611490043469,-0.862466061684739,0.)); #432682=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #432683=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #432684=DIRECTION('',(0.,0.,1.)); #432685=DIRECTION('center_axis',(-0.757781125399723,-0.652508824452152, 0.)); #432686=DIRECTION('ref_axis',(0.652508824452152,-0.757781125399723,0.)); #432687=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #432688=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #432689=DIRECTION('',(0.,0.,1.)); #432690=DIRECTION('center_axis',(-0.707106067099204,-0.70710749527317,0.)); #432691=DIRECTION('ref_axis',(0.70710749527317,-0.707106067099204,0.)); #432692=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #432693=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #432694=DIRECTION('',(0.,0.,1.)); #432695=DIRECTION('center_axis',(-0.628203826084784,-0.778048811381676, 0.)); #432696=DIRECTION('ref_axis',(0.778048811381676,-0.628203826084784,0.)); #432697=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #432698=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #432699=DIRECTION('',(0.,0.,1.)); #432700=DIRECTION('center_axis',(-0.450178111980026,-0.892938781492941, 0.)); #432701=DIRECTION('ref_axis',(0.892938781492941,-0.450178111980026,0.)); #432702=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #432703=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #432704=DIRECTION('',(0.,0.,1.)); #432705=DIRECTION('center_axis',(-0.252249312357542,-0.967662278078022, 0.)); #432706=DIRECTION('ref_axis',(0.967662278078022,-0.252249312357542,0.)); #432707=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #432708=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #432709=DIRECTION('',(0.,0.,1.)); #432710=DIRECTION('center_axis',(-0.0742706898419095,-0.997238118319996, 0.)); #432711=DIRECTION('ref_axis',(0.997238118319996,-0.0742706898419095,0.)); #432712=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #432713=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #432714=DIRECTION('',(0.,0.,1.)); #432715=DIRECTION('center_axis',(0.,-1.,0.)); #432716=DIRECTION('ref_axis',(1.,0.,0.)); #432717=DIRECTION('',(1.,0.,0.)); #432718=DIRECTION('',(1.,0.,0.)); #432719=DIRECTION('',(0.,0.,1.)); #432720=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #432721=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #432722=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #432723=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #432724=DIRECTION('',(0.,0.,1.)); #432725=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #432726=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #432727=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #432728=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #432729=DIRECTION('',(0.,0.,1.)); #432730=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #432731=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #432732=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #432733=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #432734=DIRECTION('',(0.,0.,1.)); #432735=DIRECTION('center_axis',(-0.980003828794037,-0.198978630885398, 0.)); #432736=DIRECTION('ref_axis',(0.198978630885398,-0.980003828794037,0.)); #432737=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #432738=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #432739=DIRECTION('',(0.,0.,1.)); #432740=DIRECTION('center_axis',(-0.707105370129525,-0.707108192240754, 0.)); #432741=DIRECTION('ref_axis',(0.707108192240754,-0.707105370129525,0.)); #432742=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #432743=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #432744=DIRECTION('',(0.,0.,1.)); #432745=DIRECTION('center_axis',(0.,-1.,0.)); #432746=DIRECTION('ref_axis',(1.,0.,0.)); #432747=DIRECTION('',(1.,0.,0.)); #432748=DIRECTION('',(1.,0.,0.)); #432749=DIRECTION('',(0.,0.,1.)); #432750=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #432751=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #432752=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432753=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432754=DIRECTION('',(0.,0.,1.)); #432755=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #432756=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #432757=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #432758=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #432759=DIRECTION('',(0.,0.,1.)); #432760=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #432761=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #432762=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #432763=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #432764=DIRECTION('',(0.,0.,1.)); #432765=DIRECTION('center_axis',(0.,-1.,0.)); #432766=DIRECTION('ref_axis',(1.,0.,0.)); #432767=DIRECTION('',(1.,0.,0.)); #432768=DIRECTION('',(1.,0.,0.)); #432769=DIRECTION('',(0.,0.,1.)); #432770=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #432771=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #432772=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #432773=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #432774=DIRECTION('',(0.,0.,1.)); #432775=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #432776=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #432777=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #432778=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #432779=DIRECTION('',(0.,0.,1.)); #432780=DIRECTION('center_axis',(-0.815709411156782,-0.578461888589262, 0.)); #432781=DIRECTION('ref_axis',(0.578461888589262,-0.815709411156782,0.)); #432782=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #432783=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #432784=DIRECTION('',(0.,0.,1.)); #432785=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #432786=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #432787=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #432788=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #432789=DIRECTION('',(0.,0.,1.)); #432790=DIRECTION('center_axis',(-0.983050529880644,0.183334818573518,0.)); #432791=DIRECTION('ref_axis',(-0.183334818573518,-0.983050529880644,0.)); #432792=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #432793=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #432794=DIRECTION('',(0.,0.,1.)); #432795=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #432796=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #432797=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #432798=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #432799=DIRECTION('',(0.,0.,1.)); #432800=DIRECTION('center_axis',(-0.707108431109792,0.707105131259453,0.)); #432801=DIRECTION('ref_axis',(-0.707105131259453,-0.707108431109792,0.)); #432802=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #432803=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #432804=DIRECTION('',(0.,0.,1.)); #432805=DIRECTION('center_axis',(-1.,0.,0.)); #432806=DIRECTION('ref_axis',(0.,-1.,0.)); #432807=DIRECTION('',(0.,-1.,0.)); #432808=DIRECTION('',(0.,-1.,0.)); #432809=DIRECTION('',(0.,0.,1.)); #432810=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #432811=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #432812=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432813=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #432814=DIRECTION('',(0.,0.,1.)); #432815=DIRECTION('center_axis',(0.,-1.,0.)); #432816=DIRECTION('ref_axis',(1.,0.,0.)); #432817=DIRECTION('',(1.,0.,0.)); #432818=DIRECTION('',(1.,0.,0.)); #432819=DIRECTION('',(0.,0.,1.)); #432820=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #432821=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #432822=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432823=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #432824=DIRECTION('',(0.,0.,1.)); #432825=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #432826=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #432827=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #432828=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #432829=DIRECTION('',(0.,0.,1.)); #432830=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #432831=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #432832=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #432833=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #432834=DIRECTION('',(0.,0.,1.)); #432835=DIRECTION('center_axis',(0.,-1.,0.)); #432836=DIRECTION('ref_axis',(1.,0.,0.)); #432837=DIRECTION('',(1.,0.,0.)); #432838=DIRECTION('',(1.,0.,0.)); #432839=DIRECTION('',(0.,0.,1.)); #432840=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #432841=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #432842=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #432843=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #432844=DIRECTION('',(0.,0.,1.)); #432845=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #432846=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #432847=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432848=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #432849=DIRECTION('',(0.,0.,1.)); #432850=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #432851=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #432852=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #432853=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #432854=DIRECTION('',(0.,0.,1.)); #432855=DIRECTION('center_axis',(0.,-1.,0.)); #432856=DIRECTION('ref_axis',(1.,0.,0.)); #432857=DIRECTION('',(1.,0.,0.)); #432858=DIRECTION('',(1.,0.,0.)); #432859=DIRECTION('',(0.,0.,1.)); #432860=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #432861=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #432862=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #432863=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #432864=DIRECTION('',(0.,0.,1.)); #432865=DIRECTION('center_axis',(1.,0.,0.)); #432866=DIRECTION('ref_axis',(0.,1.,0.)); #432867=DIRECTION('',(0.,1.,0.)); #432868=DIRECTION('',(0.,1.,0.)); #432869=DIRECTION('',(0.,0.,1.)); #432870=DIRECTION('center_axis',(0.707109234974272,0.707104327390308,0.)); #432871=DIRECTION('ref_axis',(-0.707104327390308,0.707109234974272,0.)); #432872=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #432873=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #432874=DIRECTION('',(0.,0.,1.)); #432875=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #432876=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #432877=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #432878=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #432879=DIRECTION('',(0.,0.,1.)); #432880=DIRECTION('center_axis',(0.976685690837527,0.214674314516719,0.)); #432881=DIRECTION('ref_axis',(-0.214674314516719,0.976685690837527,0.)); #432882=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #432883=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #432884=DIRECTION('',(0.,0.,1.)); #432885=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #432886=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #432887=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #432888=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #432889=DIRECTION('',(0.,0.,1.)); #432890=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #432891=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #432892=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #432893=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #432894=DIRECTION('',(0.,0.,1.)); #432895=DIRECTION('center_axis',(0.707109234974272,-0.707104327390308,0.)); #432896=DIRECTION('ref_axis',(0.707104327390308,0.707109234974272,0.)); #432897=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #432898=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #432899=DIRECTION('',(0.,0.,1.)); #432900=DIRECTION('center_axis',(1.,0.,0.)); #432901=DIRECTION('ref_axis',(0.,1.,0.)); #432902=DIRECTION('',(0.,1.,0.)); #432903=DIRECTION('',(0.,1.,0.)); #432904=DIRECTION('',(0.,0.,1.)); #432905=DIRECTION('center_axis',(0.707101099674552,0.707112462652893,0.)); #432906=DIRECTION('ref_axis',(-0.707112462652893,0.707101099674552,0.)); #432907=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #432908=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #432909=DIRECTION('',(0.,0.,1.)); #432910=DIRECTION('center_axis',(0.824778447639048,0.565456021552625,0.)); #432911=DIRECTION('ref_axis',(-0.565456021552625,0.824778447639048,0.)); #432912=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #432913=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #432914=DIRECTION('',(0.,0.,1.)); #432915=DIRECTION('center_axis',(0.976687210469078,0.214667400660024,0.)); #432916=DIRECTION('ref_axis',(-0.214667400660024,0.976687210469078,0.)); #432917=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #432918=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #432919=DIRECTION('',(0.,0.,1.)); #432920=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #432921=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #432922=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #432923=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #432924=DIRECTION('',(0.,0.,1.)); #432925=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #432926=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #432927=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #432928=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #432929=DIRECTION('',(0.,0.,1.)); #432930=DIRECTION('center_axis',(0.70712882626081,-0.707084735424976,0.)); #432931=DIRECTION('ref_axis',(0.707084735424976,0.70712882626081,0.)); #432932=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #432933=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #432934=DIRECTION('',(0.,0.,1.)); #432935=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #432936=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #432937=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #432938=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #432939=DIRECTION('',(0.,0.,1.)); #432940=DIRECTION('center_axis',(0.892938781493004,-0.450178111979902,0.)); #432941=DIRECTION('ref_axis',(0.450178111979902,0.892938781493004,0.)); #432942=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #432943=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #432944=DIRECTION('',(0.,0.,1.)); #432945=DIRECTION('center_axis',(0.967662278077992,-0.252249312357658,0.)); #432946=DIRECTION('ref_axis',(0.252249312357658,0.967662278077992,0.)); #432947=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #432948=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #432949=DIRECTION('',(0.,0.,1.)); #432950=DIRECTION('center_axis',(0.997238085307025,-0.0742711331082209, 0.)); #432951=DIRECTION('ref_axis',(0.0742711331082209,0.997238085307025,0.)); #432952=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #432953=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #432954=DIRECTION('',(0.,0.,1.)); #432955=DIRECTION('center_axis',(1.,0.,0.)); #432956=DIRECTION('ref_axis',(0.,1.,0.)); #432957=DIRECTION('',(0.,1.,0.)); #432958=DIRECTION('',(0.,1.,0.)); #432959=DIRECTION('',(0.,0.,1.)); #432960=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #432961=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #432962=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #432963=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #432964=DIRECTION('',(0.,0.,1.)); #432965=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #432966=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #432967=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #432968=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #432969=DIRECTION('',(0.,0.,1.)); #432970=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #432971=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #432972=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #432973=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #432974=DIRECTION('',(0.,0.,1.)); #432975=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #432976=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #432977=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #432978=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #432979=DIRECTION('',(0.,0.,1.)); #432980=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #432981=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #432982=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #432983=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #432984=DIRECTION('',(0.,0.,1.)); #432985=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #432986=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #432987=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #432988=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #432989=DIRECTION('',(0.,0.,1.)); #432990=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #432991=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #432992=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #432993=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #432994=DIRECTION('',(0.,0.,1.)); #432995=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #432996=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #432997=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #432998=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #432999=DIRECTION('',(0.,0.,1.)); #433000=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #433001=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #433002=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #433003=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #433004=DIRECTION('',(0.,0.,1.)); #433005=DIRECTION('center_axis',(0.,1.,0.)); #433006=DIRECTION('ref_axis',(-1.,0.,0.)); #433007=DIRECTION('',(-1.,0.,0.)); #433008=DIRECTION('',(-1.,0.,0.)); #433009=DIRECTION('',(0.,0.,1.)); #433010=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #433011=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #433012=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #433013=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #433014=DIRECTION('',(0.,0.,1.)); #433015=DIRECTION('center_axis',(0.53890444764079,0.842366901244924,0.)); #433016=DIRECTION('ref_axis',(-0.842366901244924,0.53890444764079,0.)); #433017=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #433018=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #433019=DIRECTION('',(0.,0.,1.)); #433020=DIRECTION('center_axis',(0.824750821382036,0.565496315310415,0.)); #433021=DIRECTION('ref_axis',(-0.565496315310415,0.824750821382036,0.)); #433022=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #433023=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #433024=DIRECTION('',(0.,0.,1.)); #433025=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #433026=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #433027=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #433028=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #433029=DIRECTION('',(0.,0.,1.)); #433030=DIRECTION('center_axis',(1.,0.,0.)); #433031=DIRECTION('ref_axis',(0.,1.,0.)); #433032=DIRECTION('',(0.,1.,0.)); #433033=DIRECTION('',(0.,1.,0.)); #433034=DIRECTION('',(0.,0.,1.)); #433035=DIRECTION('center_axis',(0.983055675573424,-0.183307224960934,0.)); #433036=DIRECTION('ref_axis',(0.183307224960934,0.983055675573424,0.)); #433037=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #433038=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #433039=DIRECTION('',(0.,0.,1.)); #433040=DIRECTION('center_axis',(0.842361453103688,-0.538912963589709,0.)); #433041=DIRECTION('ref_axis',(0.538912963589709,0.842361453103688,0.)); #433042=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #433043=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #433044=DIRECTION('',(0.,0.,1.)); #433045=DIRECTION('center_axis',(0.565494227239089,-0.82475225307923,0.)); #433046=DIRECTION('ref_axis',(0.824752253079229,0.565494227239089,0.)); #433047=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #433048=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #433049=DIRECTION('center_axis',(0.,1.,0.)); #433050=DIRECTION('ref_axis',(-1.,0.,0.)); #433051=DIRECTION('',(-1.,0.,0.)); #433052=DIRECTION('',(0.,0.,1.)); #433053=DIRECTION('',(-1.,0.,0.)); #433054=DIRECTION('',(0.,0.,1.)); #433055=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #433056=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #433057=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #433058=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #433059=DIRECTION('',(0.,0.,1.)); #433060=DIRECTION('center_axis',(-1.,0.,0.)); #433061=DIRECTION('ref_axis',(0.,-1.,0.)); #433062=DIRECTION('',(0.,-1.,0.)); #433063=DIRECTION('',(0.,-1.,0.)); #433064=DIRECTION('',(0.,0.,1.)); #433065=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #433066=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #433067=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #433068=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #433069=DIRECTION('',(0.,0.,1.)); #433070=DIRECTION('center_axis',(0.,-1.,0.)); #433071=DIRECTION('ref_axis',(1.,0.,0.)); #433072=DIRECTION('',(1.,0.,0.)); #433073=DIRECTION('',(1.,0.,0.)); #433074=DIRECTION('',(0.,0.,1.)); #433075=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #433076=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #433077=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #433078=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #433079=DIRECTION('',(0.,0.,1.)); #433080=DIRECTION('center_axis',(1.,0.,0.)); #433081=DIRECTION('ref_axis',(0.,1.,0.)); #433082=DIRECTION('',(0.,1.,0.)); #433083=DIRECTION('',(0.,1.,0.)); #433084=DIRECTION('',(0.,0.,1.)); #433085=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #433086=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #433087=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #433088=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #433089=DIRECTION('center_axis',(0.,1.,0.)); #433090=DIRECTION('ref_axis',(-1.,0.,0.)); #433091=DIRECTION('',(-1.,0.,0.)); #433092=DIRECTION('',(0.,0.,1.)); #433093=DIRECTION('',(-1.,0.,0.)); #433094=DIRECTION('',(0.,0.,1.)); #433095=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #433096=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #433097=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #433098=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #433099=DIRECTION('',(0.,0.,1.)); #433100=DIRECTION('center_axis',(-1.,0.,0.)); #433101=DIRECTION('ref_axis',(0.,-1.,0.)); #433102=DIRECTION('',(0.,-1.,0.)); #433103=DIRECTION('',(0.,-1.,0.)); #433104=DIRECTION('',(0.,0.,1.)); #433105=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #433106=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #433107=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #433108=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #433109=DIRECTION('',(0.,0.,1.)); #433110=DIRECTION('center_axis',(0.,-1.,0.)); #433111=DIRECTION('ref_axis',(1.,0.,0.)); #433112=DIRECTION('',(1.,0.,0.)); #433113=DIRECTION('',(1.,0.,0.)); #433114=DIRECTION('',(0.,0.,1.)); #433115=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #433116=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #433117=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #433118=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #433119=DIRECTION('',(0.,0.,1.)); #433120=DIRECTION('center_axis',(1.,0.,0.)); #433121=DIRECTION('ref_axis',(0.,1.,0.)); #433122=DIRECTION('',(0.,1.,0.)); #433123=DIRECTION('',(0.,1.,0.)); #433124=DIRECTION('',(0.,0.,1.)); #433125=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #433126=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #433127=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #433128=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #433129=DIRECTION('center_axis',(0.,1.,0.)); #433130=DIRECTION('ref_axis',(-1.,0.,0.)); #433131=DIRECTION('',(-1.,0.,0.)); #433132=DIRECTION('',(0.,0.,1.)); #433133=DIRECTION('',(-1.,0.,0.)); #433134=DIRECTION('',(0.,0.,1.)); #433135=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #433136=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #433137=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #433138=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #433139=DIRECTION('',(0.,0.,1.)); #433140=DIRECTION('center_axis',(-1.,0.,0.)); #433141=DIRECTION('ref_axis',(0.,-1.,0.)); #433142=DIRECTION('',(0.,-1.,0.)); #433143=DIRECTION('',(0.,-1.,0.)); #433144=DIRECTION('',(0.,0.,1.)); #433145=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #433146=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #433147=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #433148=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #433149=DIRECTION('',(0.,0.,1.)); #433150=DIRECTION('center_axis',(0.,-1.,0.)); #433151=DIRECTION('ref_axis',(1.,0.,0.)); #433152=DIRECTION('',(1.,0.,0.)); #433153=DIRECTION('',(1.,0.,0.)); #433154=DIRECTION('',(0.,0.,1.)); #433155=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #433156=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #433157=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #433158=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #433159=DIRECTION('',(0.,0.,1.)); #433160=DIRECTION('center_axis',(1.,0.,0.)); #433161=DIRECTION('ref_axis',(0.,1.,0.)); #433162=DIRECTION('',(0.,1.,0.)); #433163=DIRECTION('',(0.,1.,0.)); #433164=DIRECTION('',(0.,0.,1.)); #433165=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #433166=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #433167=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #433168=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #433169=DIRECTION('center_axis',(0.,1.,0.)); #433170=DIRECTION('ref_axis',(-1.,0.,0.)); #433171=DIRECTION('',(-1.,0.,0.)); #433172=DIRECTION('',(0.,0.,1.)); #433173=DIRECTION('',(-1.,0.,0.)); #433174=DIRECTION('',(0.,0.,1.)); #433175=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #433176=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #433177=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #433178=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #433179=DIRECTION('',(0.,0.,1.)); #433180=DIRECTION('center_axis',(-1.,0.,0.)); #433181=DIRECTION('ref_axis',(0.,-1.,0.)); #433182=DIRECTION('',(0.,-1.,0.)); #433183=DIRECTION('',(0.,-1.,0.)); #433184=DIRECTION('',(0.,0.,1.)); #433185=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #433186=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #433187=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433188=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433189=DIRECTION('',(0.,0.,1.)); #433190=DIRECTION('center_axis',(0.,-1.,0.)); #433191=DIRECTION('ref_axis',(1.,0.,0.)); #433192=DIRECTION('',(1.,0.,0.)); #433193=DIRECTION('',(1.,0.,0.)); #433194=DIRECTION('',(0.,0.,1.)); #433195=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #433196=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #433197=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #433198=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #433199=DIRECTION('',(0.,0.,1.)); #433200=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #433201=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #433202=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #433203=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #433204=DIRECTION('',(0.,0.,1.)); #433205=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #433206=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #433207=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #433208=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #433209=DIRECTION('',(0.,0.,1.)); #433210=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #433211=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #433212=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433213=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433214=DIRECTION('',(0.,0.,1.)); #433215=DIRECTION('center_axis',(-1.,0.,0.)); #433216=DIRECTION('ref_axis',(0.,-1.,0.)); #433217=DIRECTION('',(0.,-1.,0.)); #433218=DIRECTION('',(0.,-1.,0.)); #433219=DIRECTION('',(0.,0.,1.)); #433220=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #433221=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #433222=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #433223=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #433224=DIRECTION('',(0.,0.,1.)); #433225=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #433226=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #433227=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #433228=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #433229=DIRECTION('',(0.,0.,1.)); #433230=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433231=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433232=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #433233=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #433234=DIRECTION('',(0.,0.,1.)); #433235=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #433236=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #433237=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #433238=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #433239=DIRECTION('',(0.,0.,1.)); #433240=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #433241=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #433242=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #433243=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #433244=DIRECTION('',(0.,0.,1.)); #433245=DIRECTION('center_axis',(0.,1.,0.)); #433246=DIRECTION('ref_axis',(-1.,0.,0.)); #433247=DIRECTION('',(-1.,0.,0.)); #433248=DIRECTION('',(-1.,0.,0.)); #433249=DIRECTION('',(0.,0.,1.)); #433250=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #433251=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #433252=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #433253=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #433254=DIRECTION('',(0.,0.,1.)); #433255=DIRECTION('center_axis',(-1.,0.,0.)); #433256=DIRECTION('ref_axis',(0.,-1.,0.)); #433257=DIRECTION('',(0.,-1.,0.)); #433258=DIRECTION('',(0.,-1.,0.)); #433259=DIRECTION('',(0.,0.,1.)); #433260=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #433261=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #433262=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433263=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433264=DIRECTION('',(0.,0.,1.)); #433265=DIRECTION('center_axis',(0.,-1.,0.)); #433266=DIRECTION('ref_axis',(1.,0.,0.)); #433267=DIRECTION('',(1.,0.,0.)); #433268=DIRECTION('',(1.,0.,0.)); #433269=DIRECTION('',(0.,0.,1.)); #433270=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #433271=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #433272=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #433273=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #433274=DIRECTION('',(0.,0.,1.)); #433275=DIRECTION('center_axis',(1.,0.,0.)); #433276=DIRECTION('ref_axis',(0.,1.,0.)); #433277=DIRECTION('',(0.,1.,0.)); #433278=DIRECTION('',(0.,1.,0.)); #433279=DIRECTION('',(0.,0.,1.)); #433280=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #433281=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #433282=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #433283=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #433284=DIRECTION('',(0.,0.,1.)); #433285=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #433286=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #433287=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #433288=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #433289=DIRECTION('',(0.,0.,1.)); #433290=DIRECTION('center_axis',(0.707106781186555,-0.707106781186541,0.)); #433291=DIRECTION('ref_axis',(0.70710678118654,0.707106781186554,0.)); #433292=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #433293=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #433294=DIRECTION('',(0.,0.,1.)); #433295=DIRECTION('center_axis',(1.,0.,0.)); #433296=DIRECTION('ref_axis',(0.,1.,0.)); #433297=DIRECTION('',(0.,1.,0.)); #433298=DIRECTION('',(0.,1.,0.)); #433299=DIRECTION('',(0.,0.,1.)); #433300=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #433301=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #433302=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #433303=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #433304=DIRECTION('',(0.,0.,1.)); #433305=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #433306=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #433307=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #433308=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #433309=DIRECTION('',(0.,0.,1.)); #433310=DIRECTION('center_axis',(0.565504004714826,-0.82474554903406,0.)); #433311=DIRECTION('ref_axis',(0.82474554903406,0.565504004714826,0.)); #433312=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #433313=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #433314=DIRECTION('',(0.,0.,1.)); #433315=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #433316=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #433317=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433318=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433319=DIRECTION('',(0.,0.,1.)); #433320=DIRECTION('center_axis',(0.,-1.,0.)); #433321=DIRECTION('ref_axis',(1.,0.,0.)); #433322=DIRECTION('',(1.,0.,0.)); #433323=DIRECTION('',(1.,0.,0.)); #433324=DIRECTION('',(0.,0.,1.)); #433325=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #433326=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #433327=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433328=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433329=DIRECTION('',(0.,0.,1.)); #433330=DIRECTION('center_axis',(1.,0.,0.)); #433331=DIRECTION('ref_axis',(0.,1.,0.)); #433332=DIRECTION('',(0.,1.,0.)); #433333=DIRECTION('',(0.,1.,0.)); #433334=DIRECTION('',(0.,0.,1.)); #433335=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #433336=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #433337=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #433338=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #433339=DIRECTION('center_axis',(0.,1.,0.)); #433340=DIRECTION('ref_axis',(-1.,0.,0.)); #433341=DIRECTION('',(-1.,0.,0.)); #433342=DIRECTION('',(0.,0.,1.)); #433343=DIRECTION('',(-1.,0.,0.)); #433344=DIRECTION('',(0.,0.,1.)); #433345=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #433346=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #433347=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #433348=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #433349=DIRECTION('',(0.,0.,1.)); #433350=DIRECTION('center_axis',(-1.,0.,0.)); #433351=DIRECTION('ref_axis',(0.,-1.,0.)); #433352=DIRECTION('',(0.,-1.,0.)); #433353=DIRECTION('',(0.,-1.,0.)); #433354=DIRECTION('',(0.,0.,1.)); #433355=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #433356=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #433357=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433358=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #433359=DIRECTION('',(0.,0.,1.)); #433360=DIRECTION('center_axis',(0.,-1.,0.)); #433361=DIRECTION('ref_axis',(1.,0.,0.)); #433362=DIRECTION('',(1.,0.,0.)); #433363=DIRECTION('',(1.,0.,0.)); #433364=DIRECTION('',(0.,0.,1.)); #433365=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #433366=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #433367=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #433368=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #433369=DIRECTION('',(0.,0.,1.)); #433370=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #433371=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #433372=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #433373=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #433374=DIRECTION('',(0.,0.,1.)); #433375=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #433376=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #433377=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #433378=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #433379=DIRECTION('',(0.,0.,1.)); #433380=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #433381=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #433382=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433383=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #433384=DIRECTION('',(0.,0.,1.)); #433385=DIRECTION('center_axis',(-1.,0.,0.)); #433386=DIRECTION('ref_axis',(0.,-1.,0.)); #433387=DIRECTION('',(0.,-1.,0.)); #433388=DIRECTION('',(0.,-1.,0.)); #433389=DIRECTION('',(0.,0.,1.)); #433390=DIRECTION('center_axis',(-0.707106781186555,-0.707106781186541, 0.)); #433391=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186554,0.)); #433392=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #433393=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #433394=DIRECTION('',(0.,0.,1.)); #433395=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #433396=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #433397=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #433398=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #433399=DIRECTION('',(0.,0.,1.)); #433400=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #433401=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #433402=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433403=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433404=DIRECTION('',(0.,0.,1.)); #433405=DIRECTION('center_axis',(-1.,0.,0.)); #433406=DIRECTION('ref_axis',(0.,-1.,0.)); #433407=DIRECTION('',(0.,-1.,0.)); #433408=DIRECTION('',(0.,-1.,0.)); #433409=DIRECTION('',(0.,0.,1.)); #433410=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #433411=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #433412=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #433413=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #433414=DIRECTION('',(0.,0.,1.)); #433415=DIRECTION('center_axis',(0.,-1.,0.)); #433416=DIRECTION('ref_axis',(1.,0.,0.)); #433417=DIRECTION('',(1.,0.,0.)); #433418=DIRECTION('',(1.,0.,0.)); #433419=DIRECTION('',(0.,0.,1.)); #433420=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #433421=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #433422=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433423=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433424=DIRECTION('',(0.,0.,1.)); #433425=DIRECTION('center_axis',(1.,0.,0.)); #433426=DIRECTION('ref_axis',(0.,1.,0.)); #433427=DIRECTION('',(0.,1.,0.)); #433428=DIRECTION('',(0.,1.,0.)); #433429=DIRECTION('',(0.,0.,1.)); #433430=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #433431=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #433432=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #433433=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #433434=DIRECTION('',(0.,0.,1.)); #433435=DIRECTION('center_axis',(0.,1.,0.)); #433436=DIRECTION('ref_axis',(-1.,0.,0.)); #433437=DIRECTION('',(-1.,0.,0.)); #433438=DIRECTION('',(-1.,0.,0.)); #433439=DIRECTION('',(0.,0.,1.)); #433440=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #433441=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #433442=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #433443=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #433444=DIRECTION('',(0.,0.,1.)); #433445=DIRECTION('center_axis',(0.552278225392028,0.833659859749666,0.)); #433446=DIRECTION('ref_axis',(-0.833659859749665,0.552278225392028,0.)); #433447=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #433448=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #433449=DIRECTION('',(0.,0.,1.)); #433450=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #433451=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #433452=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #433453=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #433454=DIRECTION('',(0.,0.,1.)); #433455=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #433456=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #433457=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #433458=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #433459=DIRECTION('',(0.,0.,1.)); #433460=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #433461=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #433462=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433463=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433464=DIRECTION('',(0.,0.,1.)); #433465=DIRECTION('center_axis',(1.,0.,0.)); #433466=DIRECTION('ref_axis',(0.,1.,0.)); #433467=DIRECTION('',(0.,1.,0.)); #433468=DIRECTION('',(0.,1.,0.)); #433469=DIRECTION('',(0.,0.,1.)); #433470=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #433471=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #433472=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #433473=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #433474=DIRECTION('',(0.,0.,1.)); #433475=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #433476=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #433477=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #433478=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #433479=DIRECTION('',(0.,0.,1.)); #433480=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #433481=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #433482=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #433483=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #433484=DIRECTION('',(0.,0.,1.)); #433485=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #433486=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #433487=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433488=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #433489=DIRECTION('',(0.,0.,1.)); #433490=DIRECTION('center_axis',(0.,-1.,0.)); #433491=DIRECTION('ref_axis',(1.,0.,0.)); #433492=DIRECTION('',(1.,0.,0.)); #433493=DIRECTION('',(1.,0.,0.)); #433494=DIRECTION('',(0.,0.,1.)); #433495=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #433496=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #433497=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433498=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #433499=DIRECTION('',(0.,0.,1.)); #433500=DIRECTION('center_axis',(1.,0.,0.)); #433501=DIRECTION('ref_axis',(0.,1.,0.)); #433502=DIRECTION('',(0.,1.,0.)); #433503=DIRECTION('',(0.,1.,0.)); #433504=DIRECTION('',(0.,0.,1.)); #433505=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #433506=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #433507=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #433508=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #433509=DIRECTION('center_axis',(0.,1.,0.)); #433510=DIRECTION('ref_axis',(-1.,0.,0.)); #433511=DIRECTION('',(-1.,0.,0.)); #433512=DIRECTION('',(0.,0.,1.)); #433513=DIRECTION('',(-1.,0.,0.)); #433514=DIRECTION('',(0.,0.,1.)); #433515=DIRECTION('center_axis',(-0.105885061288576,0.994378375567326,0.)); #433516=DIRECTION('ref_axis',(-0.994378375567326,-0.105885061288576,0.)); #433517=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #433518=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #433519=DIRECTION('',(0.,0.,1.)); #433520=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #433521=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #433522=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #433523=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #433524=DIRECTION('',(0.,0.,1.)); #433525=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #433526=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #433527=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #433528=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #433529=DIRECTION('',(0.,0.,1.)); #433530=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #433531=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #433532=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #433533=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #433534=DIRECTION('',(0.,0.,1.)); #433535=DIRECTION('center_axis',(-0.707108223597805,0.707105338772347,0.)); #433536=DIRECTION('ref_axis',(-0.707105338772347,-0.707108223597805,0.)); #433537=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #433538=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #433539=DIRECTION('',(0.,0.,1.)); #433540=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #433541=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #433542=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #433543=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #433544=DIRECTION('',(0.,0.,1.)); #433545=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #433546=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #433547=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #433548=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #433549=DIRECTION('',(0.,0.,1.)); #433550=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #433551=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #433552=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #433553=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #433554=DIRECTION('',(0.,0.,1.)); #433555=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #433556=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #433557=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #433558=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #433559=DIRECTION('',(0.,0.,1.)); #433560=DIRECTION('center_axis',(-1.,0.,0.)); #433561=DIRECTION('ref_axis',(0.,-1.,0.)); #433562=DIRECTION('',(0.,-1.,0.)); #433563=DIRECTION('',(0.,-1.,0.)); #433564=DIRECTION('',(0.,0.,1.)); #433565=DIRECTION('center_axis',(-0.994378375567352,-0.105885061288336, 0.)); #433566=DIRECTION('ref_axis',(0.105885061288336,-0.994378375567352,0.)); #433567=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #433568=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #433569=DIRECTION('',(0.,0.,1.)); #433570=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #433571=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #433572=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #433573=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #433574=DIRECTION('',(0.,0.,1.)); #433575=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #433576=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #433577=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #433578=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #433579=DIRECTION('',(0.,0.,1.)); #433580=DIRECTION('center_axis',(-0.757781125399967,-0.652508824451868, 0.)); #433581=DIRECTION('ref_axis',(0.652508824451868,-0.757781125399967,0.)); #433582=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #433583=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #433584=DIRECTION('',(0.,0.,1.)); #433585=DIRECTION('center_axis',(-0.707105338772335,-0.707108223597818, 0.)); #433586=DIRECTION('ref_axis',(0.707108223597818,-0.707105338772335,0.)); #433587=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #433588=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #433589=DIRECTION('',(0.,0.,1.)); #433590=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #433591=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #433592=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #433593=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #433594=DIRECTION('',(0.,0.,1.)); #433595=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #433596=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #433597=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #433598=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #433599=DIRECTION('',(0.,0.,1.)); #433600=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #433601=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #433602=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #433603=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #433604=DIRECTION('',(0.,0.,1.)); #433605=DIRECTION('center_axis',(-0.0742706898420416,-0.997238118319986, 0.)); #433606=DIRECTION('ref_axis',(0.997238118319986,-0.0742706898420416,0.)); #433607=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #433608=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #433609=DIRECTION('',(0.,0.,1.)); #433610=DIRECTION('center_axis',(0.,-1.,0.)); #433611=DIRECTION('ref_axis',(1.,0.,0.)); #433612=DIRECTION('',(1.,0.,0.)); #433613=DIRECTION('',(1.,0.,0.)); #433614=DIRECTION('',(0.,0.,1.)); #433615=DIRECTION('center_axis',(0.105885061288526,-0.994378375567332,0.)); #433616=DIRECTION('ref_axis',(0.994378375567332,0.105885061288526,0.)); #433617=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #433618=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #433619=DIRECTION('',(0.,0.,1.)); #433620=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #433621=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #433622=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #433623=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #433624=DIRECTION('',(0.,0.,1.)); #433625=DIRECTION('center_axis',(0.506114900434707,-0.862466061684729,0.)); #433626=DIRECTION('ref_axis',(0.862466061684729,0.506114900434707,0.)); #433627=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #433628=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #433629=DIRECTION('',(0.,0.,1.)); #433630=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #433631=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #433632=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #433633=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #433634=DIRECTION('',(0.,0.,1.)); #433635=DIRECTION('center_axis',(0.707108223597812,-0.707105338772341,0.)); #433636=DIRECTION('ref_axis',(0.707105338772341,0.707108223597812,0.)); #433637=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #433638=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #433639=DIRECTION('',(0.,0.,1.)); #433640=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #433641=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #433642=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #433643=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #433644=DIRECTION('',(0.,0.,1.)); #433645=DIRECTION('center_axis',(0.892938781493025,-0.450178111979861,0.)); #433646=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #433647=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #433648=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #433649=DIRECTION('',(0.,0.,1.)); #433650=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #433651=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #433652=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #433653=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #433654=DIRECTION('',(0.,0.,1.)); #433655=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #433656=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #433657=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #433658=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #433659=DIRECTION('',(0.,0.,1.)); #433660=DIRECTION('center_axis',(1.,0.,0.)); #433661=DIRECTION('ref_axis',(0.,1.,0.)); #433662=DIRECTION('',(0.,1.,0.)); #433663=DIRECTION('',(0.,1.,0.)); #433664=DIRECTION('',(0.,0.,1.)); #433665=DIRECTION('center_axis',(0.99437837556735,0.105885061288354,0.)); #433666=DIRECTION('ref_axis',(-0.105885061288354,0.99437837556735,0.)); #433667=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #433668=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #433669=DIRECTION('',(0.,0.,1.)); #433670=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #433671=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #433672=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #433673=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #433674=DIRECTION('',(0.,0.,1.)); #433675=DIRECTION('center_axis',(0.86247737068662,0.50609562836829,0.)); #433676=DIRECTION('ref_axis',(-0.50609562836829,0.86247737068662,0.)); #433677=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #433678=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #433679=DIRECTION('',(0.,0.,1.)); #433680=DIRECTION('center_axis',(0.757781125399535,0.65250882445237,0.)); #433681=DIRECTION('ref_axis',(-0.65250882445237,0.757781125399535,0.)); #433682=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #433683=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #433684=DIRECTION('',(0.,0.,1.)); #433685=DIRECTION('center_axis',(0.707105338772344,0.707108223597809,0.)); #433686=DIRECTION('ref_axis',(-0.707108223597808,0.707105338772344,0.)); #433687=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #433688=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #433689=DIRECTION('',(0.,0.,1.)); #433690=DIRECTION('center_axis',(0.628203826084731,0.778048811381718,0.)); #433691=DIRECTION('ref_axis',(-0.778048811381718,0.628203826084731,0.)); #433692=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #433693=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #433694=DIRECTION('',(0.,0.,1.)); #433695=DIRECTION('center_axis',(0.450178111979944,0.892938781492983,0.)); #433696=DIRECTION('ref_axis',(-0.892938781492983,0.450178111979944,0.)); #433697=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #433698=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #433699=DIRECTION('',(0.,0.,1.)); #433700=DIRECTION('center_axis',(0.252249312357822,0.967662278077949,0.)); #433701=DIRECTION('ref_axis',(-0.967662278077949,0.252249312357821,0.)); #433702=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #433703=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #433704=DIRECTION('',(0.,0.,1.)); #433705=DIRECTION('center_axis',(0.0742706898419095,0.997238118319996,0.)); #433706=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419095,0.)); #433707=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #433708=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #433709=DIRECTION('center_axis',(0.,1.,0.)); #433710=DIRECTION('ref_axis',(-1.,0.,0.)); #433711=DIRECTION('',(-1.,0.,0.)); #433712=DIRECTION('',(0.,0.,1.)); #433713=DIRECTION('',(-1.,0.,0.)); #433714=DIRECTION('',(0.,0.,1.)); #433715=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #433716=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #433717=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #433718=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #433719=DIRECTION('',(0.,0.,1.)); #433720=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #433721=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #433722=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #433723=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #433724=DIRECTION('',(0.,0.,1.)); #433725=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #433726=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #433727=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #433728=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #433729=DIRECTION('',(0.,0.,1.)); #433730=DIRECTION('center_axis',(0.183334818573699,0.98305052988061,0.)); #433731=DIRECTION('ref_axis',(-0.98305052988061,0.183334818573699,0.)); #433732=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #433733=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #433734=DIRECTION('',(0.,0.,1.)); #433735=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #433736=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #433737=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #433738=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #433739=DIRECTION('',(0.,0.,1.)); #433740=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #433741=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #433742=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #433743=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #433744=DIRECTION('',(0.,0.,1.)); #433745=DIRECTION('center_axis',(0.,1.,0.)); #433746=DIRECTION('ref_axis',(-1.,0.,0.)); #433747=DIRECTION('',(-1.,0.,0.)); #433748=DIRECTION('',(-1.,0.,0.)); #433749=DIRECTION('',(0.,0.,1.)); #433750=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433751=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433752=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433753=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433754=DIRECTION('',(0.,0.,1.)); #433755=DIRECTION('center_axis',(-1.,0.,0.)); #433756=DIRECTION('ref_axis',(0.,-1.,0.)); #433757=DIRECTION('',(0.,-1.,0.)); #433758=DIRECTION('',(0.,-1.,0.)); #433759=DIRECTION('',(0.,0.,1.)); #433760=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #433761=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #433762=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #433763=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #433764=DIRECTION('',(0.,0.,1.)); #433765=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #433766=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #433767=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #433768=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #433769=DIRECTION('',(0.,0.,1.)); #433770=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #433771=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #433772=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433773=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #433774=DIRECTION('',(0.,0.,1.)); #433775=DIRECTION('center_axis',(-1.,0.,0.)); #433776=DIRECTION('ref_axis',(0.,-1.,0.)); #433777=DIRECTION('',(0.,-1.,0.)); #433778=DIRECTION('',(0.,-1.,0.)); #433779=DIRECTION('',(0.,0.,1.)); #433780=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #433781=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #433782=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #433783=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #433784=DIRECTION('',(0.,0.,1.)); #433785=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #433786=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #433787=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #433788=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #433789=DIRECTION('',(0.,0.,1.)); #433790=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #433791=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #433792=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #433793=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #433794=DIRECTION('',(0.,0.,1.)); #433795=DIRECTION('center_axis',(-1.,0.,0.)); #433796=DIRECTION('ref_axis',(0.,-1.,0.)); #433797=DIRECTION('',(0.,-1.,0.)); #433798=DIRECTION('',(0.,-1.,0.)); #433799=DIRECTION('',(0.,0.,1.)); #433800=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #433801=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #433802=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #433803=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #433804=DIRECTION('',(0.,0.,1.)); #433805=DIRECTION('center_axis',(0.,-1.,0.)); #433806=DIRECTION('ref_axis',(1.,0.,0.)); #433807=DIRECTION('',(1.,0.,0.)); #433808=DIRECTION('',(1.,0.,0.)); #433809=DIRECTION('',(0.,0.,1.)); #433810=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #433811=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #433812=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #433813=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #433814=DIRECTION('',(0.,0.,1.)); #433815=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #433816=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #433817=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #433818=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #433819=DIRECTION('',(0.,0.,1.)); #433820=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #433821=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #433822=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #433823=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #433824=DIRECTION('',(0.,0.,1.)); #433825=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #433826=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #433827=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #433828=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #433829=DIRECTION('',(0.,0.,1.)); #433830=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #433831=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #433832=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #433833=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #433834=DIRECTION('',(0.,0.,1.)); #433835=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #433836=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #433837=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #433838=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #433839=DIRECTION('',(0.,0.,1.)); #433840=DIRECTION('center_axis',(0.,-1.,0.)); #433841=DIRECTION('ref_axis',(1.,0.,0.)); #433842=DIRECTION('',(1.,0.,0.)); #433843=DIRECTION('',(1.,0.,0.)); #433844=DIRECTION('',(0.,0.,1.)); #433845=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #433846=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #433847=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #433848=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #433849=DIRECTION('',(0.,0.,1.)); #433850=DIRECTION('center_axis',(1.,0.,0.)); #433851=DIRECTION('ref_axis',(0.,1.,0.)); #433852=DIRECTION('',(0.,1.,0.)); #433853=DIRECTION('',(0.,1.,0.)); #433854=DIRECTION('',(0.,0.,1.)); #433855=DIRECTION('center_axis',(0.707108784529073,0.707104777838346,0.)); #433856=DIRECTION('ref_axis',(-0.707104777838346,0.707108784529073,0.)); #433857=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #433858=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #433859=DIRECTION('',(0.,0.,1.)); #433860=DIRECTION('center_axis',(0.829203833807318,0.558946331949003,0.)); #433861=DIRECTION('ref_axis',(-0.558946331949003,0.829203833807318,0.)); #433862=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #433863=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #433864=DIRECTION('',(0.,0.,1.)); #433865=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #433866=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #433867=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433868=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #433869=DIRECTION('',(0.,0.,1.)); #433870=DIRECTION('center_axis',(1.,0.,0.)); #433871=DIRECTION('ref_axis',(0.,1.,0.)); #433872=DIRECTION('',(0.,1.,0.)); #433873=DIRECTION('',(0.,1.,0.)); #433874=DIRECTION('',(0.,0.,1.)); #433875=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #433876=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #433877=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #433878=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #433879=DIRECTION('',(0.,0.,1.)); #433880=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #433881=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #433882=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #433883=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #433884=DIRECTION('',(0.,0.,1.)); #433885=DIRECTION('center_axis',(0.707108784529073,-0.707104777838346,0.)); #433886=DIRECTION('ref_axis',(0.707104777838346,0.707108784529073,0.)); #433887=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #433888=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #433889=DIRECTION('',(0.,0.,1.)); #433890=DIRECTION('center_axis',(1.,0.,0.)); #433891=DIRECTION('ref_axis',(0.,1.,0.)); #433892=DIRECTION('',(0.,1.,0.)); #433893=DIRECTION('',(0.,1.,0.)); #433894=DIRECTION('',(0.,0.,1.)); #433895=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #433896=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #433897=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #433898=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #433899=DIRECTION('center_axis',(0.,1.,0.)); #433900=DIRECTION('ref_axis',(-1.,0.,0.)); #433901=DIRECTION('',(-1.,0.,0.)); #433902=DIRECTION('',(0.,0.,1.)); #433903=DIRECTION('',(-1.,0.,0.)); #433904=DIRECTION('',(0.,0.,1.)); #433905=DIRECTION('center_axis',(-0.707104777838355,0.707108784529064,0.)); #433906=DIRECTION('ref_axis',(-0.707108784529064,-0.707104777838355,0.)); #433907=DIRECTION('',(-0.707108784529064,-0.707104777838355,0.)); #433908=DIRECTION('',(-0.707108784529064,-0.707104777838355,0.)); #433909=DIRECTION('',(0.,0.,1.)); #433910=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #433911=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #433912=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #433913=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #433914=DIRECTION('',(0.,0.,1.)); #433915=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #433916=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #433917=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #433918=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #433919=DIRECTION('',(0.,0.,1.)); #433920=DIRECTION('center_axis',(0.,1.,0.)); #433921=DIRECTION('ref_axis',(-1.,0.,0.)); #433922=DIRECTION('',(-1.,0.,0.)); #433923=DIRECTION('',(-1.,0.,0.)); #433924=DIRECTION('',(0.,0.,1.)); #433925=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #433926=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #433927=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #433928=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #433929=DIRECTION('',(0.,0.,1.)); #433930=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #433931=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #433932=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #433933=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #433934=DIRECTION('',(0.,0.,1.)); #433935=DIRECTION('center_axis',(0.707104777838355,0.707108784529064,0.)); #433936=DIRECTION('ref_axis',(-0.707108784529064,0.707104777838355,0.)); #433937=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #433938=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #433939=DIRECTION('',(0.,0.,1.)); #433940=DIRECTION('center_axis',(0.,1.,0.)); #433941=DIRECTION('ref_axis',(-1.,0.,0.)); #433942=DIRECTION('',(-1.,0.,0.)); #433943=DIRECTION('',(-1.,0.,0.)); #433944=DIRECTION('',(0.,0.,1.)); #433945=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #433946=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #433947=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433948=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #433949=DIRECTION('',(0.,0.,1.)); #433950=DIRECTION('center_axis',(-1.,0.,0.)); #433951=DIRECTION('ref_axis',(0.,-1.,0.)); #433952=DIRECTION('',(0.,-1.,0.)); #433953=DIRECTION('',(0.,-1.,0.)); #433954=DIRECTION('',(0.,0.,1.)); #433955=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #433956=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #433957=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #433958=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #433959=DIRECTION('',(0.,0.,1.)); #433960=DIRECTION('center_axis',(0.,-1.,0.)); #433961=DIRECTION('ref_axis',(1.,0.,0.)); #433962=DIRECTION('',(1.,0.,0.)); #433963=DIRECTION('',(1.,0.,0.)); #433964=DIRECTION('',(0.,0.,1.)); #433965=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #433966=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #433967=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #433968=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #433969=DIRECTION('',(0.,0.,1.)); #433970=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #433971=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #433972=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #433973=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #433974=DIRECTION('',(0.,0.,1.)); #433975=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #433976=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #433977=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #433978=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #433979=DIRECTION('',(0.,0.,1.)); #433980=DIRECTION('center_axis',(0.,-1.,0.)); #433981=DIRECTION('ref_axis',(1.,0.,0.)); #433982=DIRECTION('',(1.,0.,0.)); #433983=DIRECTION('',(1.,0.,0.)); #433984=DIRECTION('',(0.,0.,1.)); #433985=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #433986=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #433987=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #433988=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #433989=DIRECTION('',(0.,0.,1.)); #433990=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #433991=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #433992=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #433993=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #433994=DIRECTION('',(0.,0.,1.)); #433995=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #433996=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #433997=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #433998=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #433999=DIRECTION('',(0.,0.,1.)); #434000=DIRECTION('center_axis',(0.,-1.,0.)); #434001=DIRECTION('ref_axis',(1.,0.,0.)); #434002=DIRECTION('',(1.,0.,0.)); #434003=DIRECTION('',(1.,0.,0.)); #434004=DIRECTION('',(0.,0.,1.)); #434005=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #434006=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #434007=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #434008=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #434009=DIRECTION('',(0.,0.,1.)); #434010=DIRECTION('center_axis',(1.,0.,0.)); #434011=DIRECTION('ref_axis',(0.,1.,0.)); #434012=DIRECTION('',(0.,1.,0.)); #434013=DIRECTION('',(0.,1.,0.)); #434014=DIRECTION('',(0.,0.,1.)); #434015=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #434016=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #434017=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #434018=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #434019=DIRECTION('center_axis',(0.,1.,0.)); #434020=DIRECTION('ref_axis',(-1.,0.,0.)); #434021=DIRECTION('',(-1.,0.,0.)); #434022=DIRECTION('',(0.,0.,1.)); #434023=DIRECTION('',(-1.,0.,0.)); #434024=DIRECTION('',(0.,0.,1.)); #434025=DIRECTION('center_axis',(-0.707105069761834,0.707108492607118,0.)); #434026=DIRECTION('ref_axis',(-0.707108492607118,-0.707105069761834,0.)); #434027=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #434028=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #434029=DIRECTION('',(0.,0.,1.)); #434030=DIRECTION('center_axis',(-0.56543933110131,0.824789890119722,0.)); #434031=DIRECTION('ref_axis',(-0.824789890119722,-0.56543933110131,0.)); #434032=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #434033=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #434034=DIRECTION('',(0.,0.,1.)); #434035=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #434036=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #434037=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #434038=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #434039=DIRECTION('',(0.,0.,1.)); #434040=DIRECTION('center_axis',(0.183334818573602,0.983050529880628,0.)); #434041=DIRECTION('ref_axis',(-0.983050529880628,0.183334818573602,0.)); #434042=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #434043=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #434044=DIRECTION('',(0.,0.,1.)); #434045=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #434046=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #434047=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #434048=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #434049=DIRECTION('',(0.,0.,1.)); #434050=DIRECTION('center_axis',(0.707105069761834,0.707108492607118,0.)); #434051=DIRECTION('ref_axis',(-0.707108492607118,0.707105069761834,0.)); #434052=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #434053=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #434054=DIRECTION('',(0.,0.,1.)); #434055=DIRECTION('center_axis',(0.,1.,0.)); #434056=DIRECTION('ref_axis',(-1.,0.,0.)); #434057=DIRECTION('',(-1.,0.,0.)); #434058=DIRECTION('',(-1.,0.,0.)); #434059=DIRECTION('',(0.,0.,1.)); #434060=DIRECTION('center_axis',(-0.707104777838369,0.707108784529051,0.)); #434061=DIRECTION('ref_axis',(-0.707108784529051,-0.707104777838369,0.)); #434062=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #434063=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #434064=DIRECTION('',(0.,0.,1.)); #434065=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #434066=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #434067=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #434068=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #434069=DIRECTION('',(0.,0.,1.)); #434070=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #434071=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #434072=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #434073=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #434074=DIRECTION('',(0.,0.,1.)); #434075=DIRECTION('center_axis',(0.,1.,0.)); #434076=DIRECTION('ref_axis',(-1.,0.,0.)); #434077=DIRECTION('',(-1.,0.,0.)); #434078=DIRECTION('',(-1.,0.,0.)); #434079=DIRECTION('',(0.,0.,1.)); #434080=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434081=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434082=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #434083=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #434084=DIRECTION('',(0.,0.,1.)); #434085=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #434086=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #434087=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #434088=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #434089=DIRECTION('',(0.,0.,1.)); #434090=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #434091=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #434092=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #434093=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #434094=DIRECTION('',(0.,0.,1.)); #434095=DIRECTION('center_axis',(0.,1.,0.)); #434096=DIRECTION('ref_axis',(-1.,0.,0.)); #434097=DIRECTION('',(-1.,0.,0.)); #434098=DIRECTION('',(-1.,0.,0.)); #434099=DIRECTION('',(0.,0.,1.)); #434100=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434101=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434102=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434103=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434104=DIRECTION('',(0.,0.,1.)); #434105=DIRECTION('center_axis',(-1.,0.,0.)); #434106=DIRECTION('ref_axis',(0.,-1.,0.)); #434107=DIRECTION('',(0.,-1.,0.)); #434108=DIRECTION('',(0.,-1.,0.)); #434109=DIRECTION('',(0.,0.,1.)); #434110=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #434111=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #434112=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #434113=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #434114=DIRECTION('',(0.,0.,1.)); #434115=DIRECTION('center_axis',(0.,-1.,0.)); #434116=DIRECTION('ref_axis',(1.,0.,0.)); #434117=DIRECTION('',(1.,0.,0.)); #434118=DIRECTION('',(1.,0.,0.)); #434119=DIRECTION('',(0.,0.,1.)); #434120=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #434121=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #434122=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #434123=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #434124=DIRECTION('',(0.,0.,1.)); #434125=DIRECTION('center_axis',(1.,0.,0.)); #434126=DIRECTION('ref_axis',(0.,1.,0.)); #434127=DIRECTION('',(0.,1.,0.)); #434128=DIRECTION('',(0.,1.,0.)); #434129=DIRECTION('',(0.,0.,1.)); #434130=DIRECTION('center_axis',(0.981560865252914,-0.191149856928931,0.)); #434131=DIRECTION('ref_axis',(0.191149856928931,0.981560865252914,0.)); #434132=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #434133=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #434134=DIRECTION('',(0.,0.,1.)); #434135=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #434136=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #434137=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #434138=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #434139=DIRECTION('',(0.,0.,1.)); #434140=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #434141=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #434142=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #434143=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #434144=DIRECTION('',(0.,0.,1.)); #434145=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #434146=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #434147=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #434148=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #434149=DIRECTION('',(0.,0.,1.)); #434150=DIRECTION('center_axis',(0.19895601923267,-0.980008419561327,0.)); #434151=DIRECTION('ref_axis',(0.980008419561327,0.19895601923267,0.)); #434152=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #434153=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #434154=DIRECTION('',(0.,0.,1.)); #434155=DIRECTION('center_axis',(0.,-1.,0.)); #434156=DIRECTION('ref_axis',(1.,0.,0.)); #434157=DIRECTION('',(1.,0.,0.)); #434158=DIRECTION('',(1.,0.,0.)); #434159=DIRECTION('',(0.,0.,1.)); #434160=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #434161=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #434162=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #434163=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #434164=DIRECTION('',(0.,0.,1.)); #434165=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #434166=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #434167=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #434168=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #434169=DIRECTION('',(0.,0.,1.)); #434170=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #434171=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #434172=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #434173=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #434174=DIRECTION('',(0.,0.,1.)); #434175=DIRECTION('center_axis',(0.,-1.,0.)); #434176=DIRECTION('ref_axis',(1.,0.,0.)); #434177=DIRECTION('',(1.,0.,0.)); #434178=DIRECTION('',(1.,0.,0.)); #434179=DIRECTION('',(0.,0.,1.)); #434180=DIRECTION('center_axis',(0.707105069761834,-0.707108492607118,0.)); #434181=DIRECTION('ref_axis',(0.707108492607118,0.707105069761834,0.)); #434182=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #434183=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #434184=DIRECTION('',(0.,0.,1.)); #434185=DIRECTION('center_axis',(0.56543933110131,-0.824789890119722,0.)); #434186=DIRECTION('ref_axis',(0.824789890119722,0.56543933110131,0.)); #434187=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #434188=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #434189=DIRECTION('',(0.,0.,1.)); #434190=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #434191=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #434192=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #434193=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #434194=DIRECTION('',(0.,0.,1.)); #434195=DIRECTION('center_axis',(-0.183334818573602,-0.983050529880628, 0.)); #434196=DIRECTION('ref_axis',(0.983050529880628,-0.183334818573602,0.)); #434197=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #434198=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #434199=DIRECTION('',(0.,0.,1.)); #434200=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #434201=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #434202=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #434203=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #434204=DIRECTION('',(0.,0.,1.)); #434205=DIRECTION('center_axis',(-0.707105069761834,-0.707108492607118, 0.)); #434206=DIRECTION('ref_axis',(0.707108492607118,-0.707105069761834,0.)); #434207=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #434208=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #434209=DIRECTION('',(0.,0.,1.)); #434210=DIRECTION('center_axis',(0.,-1.,0.)); #434211=DIRECTION('ref_axis',(1.,0.,0.)); #434212=DIRECTION('',(1.,0.,0.)); #434213=DIRECTION('',(1.,0.,0.)); #434214=DIRECTION('',(0.,0.,1.)); #434215=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #434216=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #434217=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #434218=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #434219=DIRECTION('',(0.,0.,1.)); #434220=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #434221=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #434222=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #434223=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #434224=DIRECTION('',(0.,0.,1.)); #434225=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #434226=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #434227=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #434228=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #434229=DIRECTION('',(0.,0.,1.)); #434230=DIRECTION('center_axis',(0.,-1.,0.)); #434231=DIRECTION('ref_axis',(1.,0.,0.)); #434232=DIRECTION('',(1.,0.,0.)); #434233=DIRECTION('',(1.,0.,0.)); #434234=DIRECTION('',(0.,0.,1.)); #434235=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #434236=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #434237=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #434238=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #434239=DIRECTION('',(0.,0.,1.)); #434240=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #434241=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #434242=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #434243=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #434244=DIRECTION('',(0.,0.,1.)); #434245=DIRECTION('center_axis',(-0.815709411156713,-0.578461888589359, 0.)); #434246=DIRECTION('ref_axis',(0.578461888589359,-0.815709411156713,0.)); #434247=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #434248=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #434249=DIRECTION('',(0.,0.,1.)); #434250=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #434251=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #434252=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #434253=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #434254=DIRECTION('',(0.,0.,1.)); #434255=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #434256=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #434257=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #434258=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #434259=DIRECTION('',(0.,0.,1.)); #434260=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #434261=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #434262=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #434263=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #434264=DIRECTION('',(0.,0.,1.)); #434265=DIRECTION('center_axis',(-0.7071084311098,0.707105131259446,0.)); #434266=DIRECTION('ref_axis',(-0.707105131259446,-0.7071084311098,0.)); #434267=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #434268=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #434269=DIRECTION('',(0.,0.,1.)); #434270=DIRECTION('center_axis',(-1.,0.,0.)); #434271=DIRECTION('ref_axis',(0.,-1.,0.)); #434272=DIRECTION('',(0.,-1.,0.)); #434273=DIRECTION('',(0.,-1.,0.)); #434274=DIRECTION('',(0.,0.,1.)); #434275=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #434276=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #434277=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434278=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434279=DIRECTION('',(0.,0.,1.)); #434280=DIRECTION('center_axis',(0.,-1.,0.)); #434281=DIRECTION('ref_axis',(1.,0.,0.)); #434282=DIRECTION('',(1.,0.,0.)); #434283=DIRECTION('',(1.,0.,0.)); #434284=DIRECTION('',(0.,0.,1.)); #434285=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #434286=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #434287=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #434288=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #434289=DIRECTION('',(0.,0.,1.)); #434290=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #434291=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #434292=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #434293=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #434294=DIRECTION('',(0.,0.,1.)); #434295=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #434296=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #434297=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #434298=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #434299=DIRECTION('',(0.,0.,1.)); #434300=DIRECTION('center_axis',(0.,-1.,0.)); #434301=DIRECTION('ref_axis',(1.,0.,0.)); #434302=DIRECTION('',(1.,0.,0.)); #434303=DIRECTION('',(1.,0.,0.)); #434304=DIRECTION('',(0.,0.,1.)); #434305=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #434306=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #434307=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #434308=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #434309=DIRECTION('',(0.,0.,1.)); #434310=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #434311=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #434312=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #434313=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #434314=DIRECTION('',(0.,0.,1.)); #434315=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #434316=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #434317=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434318=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #434319=DIRECTION('',(0.,0.,1.)); #434320=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949004, 0.)); #434321=DIRECTION('ref_axis',(0.558946331949004,-0.829203833807318,0.)); #434322=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #434323=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #434324=DIRECTION('',(0.,0.,1.)); #434325=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #434326=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #434327=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #434328=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #434329=DIRECTION('',(0.,0.,1.)); #434330=DIRECTION('center_axis',(-1.,0.,0.)); #434331=DIRECTION('ref_axis',(0.,-1.,0.)); #434332=DIRECTION('',(0.,-1.,0.)); #434333=DIRECTION('',(0.,-1.,0.)); #434334=DIRECTION('',(0.,0.,1.)); #434335=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #434336=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #434337=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #434338=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #434339=DIRECTION('',(0.,0.,1.)); #434340=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #434341=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #434342=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #434343=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #434344=DIRECTION('',(0.,0.,1.)); #434345=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #434346=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #434347=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #434348=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #434349=DIRECTION('',(0.,0.,1.)); #434350=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #434351=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #434352=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #434353=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #434354=DIRECTION('',(0.,0.,1.)); #434355=DIRECTION('center_axis',(0.,1.,0.)); #434356=DIRECTION('ref_axis',(-1.,0.,0.)); #434357=DIRECTION('',(-1.,0.,0.)); #434358=DIRECTION('',(-1.,0.,0.)); #434359=DIRECTION('',(0.,0.,1.)); #434360=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #434361=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #434362=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #434363=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #434364=DIRECTION('',(0.,0.,1.)); #434365=DIRECTION('center_axis',(-1.,0.,0.)); #434366=DIRECTION('ref_axis',(0.,-1.,0.)); #434367=DIRECTION('',(0.,-1.,0.)); #434368=DIRECTION('',(0.,-1.,0.)); #434369=DIRECTION('',(0.,0.,1.)); #434370=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #434371=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #434372=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #434373=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #434374=DIRECTION('',(0.,0.,1.)); #434375=DIRECTION('center_axis',(0.,-1.,0.)); #434376=DIRECTION('ref_axis',(1.,0.,0.)); #434377=DIRECTION('',(1.,0.,0.)); #434378=DIRECTION('',(1.,0.,0.)); #434379=DIRECTION('',(0.,0.,1.)); #434380=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #434381=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #434382=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #434383=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #434384=DIRECTION('',(0.,0.,1.)); #434385=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #434386=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #434387=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #434388=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #434389=DIRECTION('',(0.,0.,1.)); #434390=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #434391=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #434392=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #434393=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #434394=DIRECTION('',(0.,0.,1.)); #434395=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #434396=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #434397=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #434398=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #434399=DIRECTION('',(0.,0.,1.)); #434400=DIRECTION('center_axis',(-1.,0.,0.)); #434401=DIRECTION('ref_axis',(0.,-1.,0.)); #434402=DIRECTION('',(0.,-1.,0.)); #434403=DIRECTION('',(0.,-1.,0.)); #434404=DIRECTION('',(0.,0.,1.)); #434405=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #434406=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #434407=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #434408=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #434409=DIRECTION('',(0.,0.,1.)); #434410=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #434411=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #434412=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #434413=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #434414=DIRECTION('',(0.,0.,1.)); #434415=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434416=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434417=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434418=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434419=DIRECTION('',(0.,0.,1.)); #434420=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #434421=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #434422=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #434423=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #434424=DIRECTION('',(0.,0.,1.)); #434425=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #434426=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #434427=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #434428=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #434429=DIRECTION('',(0.,0.,1.)); #434430=DIRECTION('center_axis',(0.,1.,0.)); #434431=DIRECTION('ref_axis',(-1.,0.,0.)); #434432=DIRECTION('',(-1.,0.,0.)); #434433=DIRECTION('',(-1.,0.,0.)); #434434=DIRECTION('',(0.,0.,1.)); #434435=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #434436=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #434437=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #434438=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #434439=DIRECTION('',(0.,0.,1.)); #434440=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #434441=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #434442=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #434443=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #434444=DIRECTION('',(0.,0.,1.)); #434445=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #434446=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #434447=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #434448=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #434449=DIRECTION('',(0.,0.,1.)); #434450=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #434451=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #434452=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #434453=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #434454=DIRECTION('',(0.,0.,1.)); #434455=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #434456=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #434457=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #434458=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #434459=DIRECTION('',(0.,0.,1.)); #434460=DIRECTION('center_axis',(1.,0.,0.)); #434461=DIRECTION('ref_axis',(0.,1.,0.)); #434462=DIRECTION('',(0.,1.,0.)); #434463=DIRECTION('',(0.,1.,0.)); #434464=DIRECTION('',(0.,0.,1.)); #434465=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #434466=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #434467=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #434468=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #434469=DIRECTION('',(0.,0.,1.)); #434470=DIRECTION('center_axis',(0.,1.,0.)); #434471=DIRECTION('ref_axis',(-1.,0.,0.)); #434472=DIRECTION('',(-1.,0.,0.)); #434473=DIRECTION('',(-1.,0.,0.)); #434474=DIRECTION('',(0.,0.,1.)); #434475=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434476=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434477=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434478=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434479=DIRECTION('',(0.,0.,1.)); #434480=DIRECTION('center_axis',(-1.,0.,0.)); #434481=DIRECTION('ref_axis',(0.,-1.,0.)); #434482=DIRECTION('',(0.,-1.,0.)); #434483=DIRECTION('',(0.,-1.,0.)); #434484=DIRECTION('',(0.,0.,1.)); #434485=DIRECTION('center_axis',(-0.707110741027282,-0.707102821323638, 0.)); #434486=DIRECTION('ref_axis',(0.707102821323638,-0.707110741027282,0.)); #434487=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #434488=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #434489=DIRECTION('',(0.,0.,1.)); #434490=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #434491=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #434492=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #434493=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #434494=DIRECTION('',(0.,0.,1.)); #434495=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #434496=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #434497=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #434498=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #434499=DIRECTION('',(0.,0.,1.)); #434500=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #434501=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #434502=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #434503=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #434504=DIRECTION('',(0.,0.,1.)); #434505=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #434506=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #434507=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #434508=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #434509=DIRECTION('',(0.,0.,1.)); #434510=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #434511=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #434512=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #434513=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #434514=DIRECTION('',(0.,0.,1.)); #434515=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #434516=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #434517=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #434518=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #434519=DIRECTION('',(0.,0.,1.)); #434520=DIRECTION('center_axis',(0.,1.,0.)); #434521=DIRECTION('ref_axis',(-1.,0.,0.)); #434522=DIRECTION('',(-1.,0.,0.)); #434523=DIRECTION('',(-1.,0.,0.)); #434524=DIRECTION('',(0.,0.,1.)); #434525=DIRECTION('center_axis',(0.191149856928827,0.981560865252934,0.)); #434526=DIRECTION('ref_axis',(-0.981560865252934,0.191149856928827,0.)); #434527=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #434528=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #434529=DIRECTION('',(0.,0.,1.)); #434530=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #434531=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #434532=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #434533=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #434534=DIRECTION('',(0.,0.,1.)); #434535=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #434536=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #434537=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #434538=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #434539=DIRECTION('',(0.,0.,1.)); #434540=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #434541=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #434542=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #434543=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #434544=DIRECTION('',(0.,0.,1.)); #434545=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #434546=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #434547=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #434548=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #434549=DIRECTION('',(0.,0.,1.)); #434550=DIRECTION('center_axis',(1.,0.,0.)); #434551=DIRECTION('ref_axis',(0.,1.,0.)); #434552=DIRECTION('',(0.,1.,0.)); #434553=DIRECTION('',(0.,1.,0.)); #434554=DIRECTION('',(0.,0.,1.)); #434555=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #434556=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #434557=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #434558=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #434559=DIRECTION('',(0.,0.,1.)); #434560=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #434561=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #434562=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #434563=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #434564=DIRECTION('',(0.,0.,1.)); #434565=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #434566=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #434567=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #434568=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #434569=DIRECTION('',(0.,0.,1.)); #434570=DIRECTION('center_axis',(0.19895601923281,-0.980008419561298,0.)); #434571=DIRECTION('ref_axis',(0.980008419561298,0.19895601923281,0.)); #434572=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #434573=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #434574=DIRECTION('',(0.,0.,1.)); #434575=DIRECTION('center_axis',(0.,-1.,0.)); #434576=DIRECTION('ref_axis',(1.,0.,0.)); #434577=DIRECTION('',(1.,0.,0.)); #434578=DIRECTION('',(1.,0.,0.)); #434579=DIRECTION('',(0.,0.,1.)); #434580=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #434581=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #434582=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #434583=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #434584=DIRECTION('',(0.,0.,1.)); #434585=DIRECTION('center_axis',(1.,0.,0.)); #434586=DIRECTION('ref_axis',(0.,1.,0.)); #434587=DIRECTION('',(0.,1.,0.)); #434588=DIRECTION('',(0.,1.,0.)); #434589=DIRECTION('',(0.,0.,1.)); #434590=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #434591=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #434592=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #434593=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #434594=DIRECTION('',(0.,0.,1.)); #434595=DIRECTION('center_axis',(0.,1.,0.)); #434596=DIRECTION('ref_axis',(-1.,0.,0.)); #434597=DIRECTION('',(-1.,0.,0.)); #434598=DIRECTION('',(-1.,0.,0.)); #434599=DIRECTION('',(0.,0.,1.)); #434600=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #434601=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #434602=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #434603=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #434604=DIRECTION('',(0.,0.,1.)); #434605=DIRECTION('center_axis',(-1.,0.,0.)); #434606=DIRECTION('ref_axis',(0.,-1.,0.)); #434607=DIRECTION('',(0.,-1.,0.)); #434608=DIRECTION('',(0.,-1.,0.)); #434609=DIRECTION('',(0.,0.,1.)); #434610=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #434611=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #434612=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #434613=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #434614=DIRECTION('',(0.,0.,1.)); #434615=DIRECTION('center_axis',(0.,-1.,0.)); #434616=DIRECTION('ref_axis',(1.,0.,0.)); #434617=DIRECTION('',(1.,0.,0.)); #434618=DIRECTION('',(1.,0.,0.)); #434619=DIRECTION('',(0.,0.,1.)); #434620=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #434621=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #434622=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #434623=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #434624=DIRECTION('',(0.,0.,1.)); #434625=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #434626=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #434627=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #434628=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #434629=DIRECTION('',(0.,0.,1.)); #434630=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #434631=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #434632=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #434633=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #434634=DIRECTION('',(0.,0.,1.)); #434635=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #434636=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #434637=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #434638=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #434639=DIRECTION('',(0.,0.,1.)); #434640=DIRECTION('center_axis',(-1.,0.,0.)); #434641=DIRECTION('ref_axis',(0.,-1.,0.)); #434642=DIRECTION('',(0.,-1.,0.)); #434643=DIRECTION('',(0.,-1.,0.)); #434644=DIRECTION('',(0.,0.,1.)); #434645=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #434646=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #434647=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #434648=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #434649=DIRECTION('',(0.,0.,1.)); #434650=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #434651=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #434652=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #434653=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #434654=DIRECTION('',(0.,0.,1.)); #434655=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #434656=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #434657=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #434658=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #434659=DIRECTION('',(0.,0.,1.)); #434660=DIRECTION('center_axis',(-0.985847618607369,0.167643887112471,0.)); #434661=DIRECTION('ref_axis',(-0.167643887112471,-0.985847618607369,0.)); #434662=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #434663=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #434664=DIRECTION('',(0.,0.,1.)); #434665=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #434666=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #434667=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #434668=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #434669=DIRECTION('',(0.,0.,1.)); #434670=DIRECTION('center_axis',(-0.565504004715199,0.824745549033805,0.)); #434671=DIRECTION('ref_axis',(-0.824745549033805,-0.565504004715199,0.)); #434672=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #434673=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #434674=DIRECTION('',(0.,0.,1.)); #434675=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #434676=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #434677=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #434678=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #434679=DIRECTION('',(0.,0.,1.)); #434680=DIRECTION('center_axis',(0.,1.,0.)); #434681=DIRECTION('ref_axis',(-1.,0.,0.)); #434682=DIRECTION('',(-1.,0.,0.)); #434683=DIRECTION('',(-1.,0.,0.)); #434684=DIRECTION('',(0.,0.,1.)); #434685=DIRECTION('center_axis',(-0.70710678118654,0.707106781186555,0.)); #434686=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #434687=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #434688=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #434689=DIRECTION('',(0.,0.,1.)); #434690=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #434691=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #434692=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #434693=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #434694=DIRECTION('',(0.,0.,1.)); #434695=DIRECTION('center_axis',(-0.198956019232824,0.980008419561296,0.)); #434696=DIRECTION('ref_axis',(-0.980008419561295,-0.198956019232824,0.)); #434697=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #434698=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #434699=DIRECTION('',(0.,0.,1.)); #434700=DIRECTION('center_axis',(0.,1.,0.)); #434701=DIRECTION('ref_axis',(-1.,0.,0.)); #434702=DIRECTION('',(-1.,0.,0.)); #434703=DIRECTION('',(-1.,0.,0.)); #434704=DIRECTION('',(0.,0.,1.)); #434705=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434706=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434707=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #434708=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #434709=DIRECTION('',(0.,0.,1.)); #434710=DIRECTION('center_axis',(-1.,0.,0.)); #434711=DIRECTION('ref_axis',(0.,-1.,0.)); #434712=DIRECTION('',(0.,-1.,0.)); #434713=DIRECTION('',(0.,-1.,0.)); #434714=DIRECTION('',(0.,0.,1.)); #434715=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #434716=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #434717=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #434718=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #434719=DIRECTION('',(0.,0.,1.)); #434720=DIRECTION('center_axis',(0.,-1.,0.)); #434721=DIRECTION('ref_axis',(1.,0.,0.)); #434722=DIRECTION('',(1.,0.,0.)); #434723=DIRECTION('',(1.,0.,0.)); #434724=DIRECTION('',(0.,0.,1.)); #434725=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #434726=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #434727=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #434728=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #434729=DIRECTION('',(0.,0.,1.)); #434730=DIRECTION('center_axis',(1.,0.,0.)); #434731=DIRECTION('ref_axis',(0.,1.,0.)); #434732=DIRECTION('',(0.,1.,0.)); #434733=DIRECTION('',(0.,1.,0.)); #434734=DIRECTION('',(0.,0.,1.)); #434735=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #434736=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #434737=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #434738=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #434739=DIRECTION('',(0.,0.,1.)); #434740=DIRECTION('center_axis',(0.833659859749732,-0.552278225391928,0.)); #434741=DIRECTION('ref_axis',(0.552278225391928,0.833659859749732,0.)); #434742=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #434743=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #434744=DIRECTION('',(0.,0.,1.)); #434745=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #434746=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #434747=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #434748=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #434749=DIRECTION('',(0.,0.,1.)); #434750=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #434751=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #434752=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #434753=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #434754=DIRECTION('',(0.,0.,1.)); #434755=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #434756=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #434757=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #434758=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #434759=DIRECTION('',(0.,0.,1.)); #434760=DIRECTION('center_axis',(0.,-1.,0.)); #434761=DIRECTION('ref_axis',(1.,0.,0.)); #434762=DIRECTION('',(1.,0.,0.)); #434763=DIRECTION('',(1.,0.,0.)); #434764=DIRECTION('',(0.,0.,1.)); #434765=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #434766=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #434767=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #434768=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #434769=DIRECTION('',(0.,0.,1.)); #434770=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #434771=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #434772=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #434773=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #434774=DIRECTION('',(0.,0.,1.)); #434775=DIRECTION('center_axis',(-0.824745549033783,-0.56550400471523,0.)); #434776=DIRECTION('ref_axis',(0.56550400471523,-0.824745549033783,0.)); #434777=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #434778=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #434779=DIRECTION('',(0.,0.,1.)); #434780=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #434781=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #434782=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #434783=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #434784=DIRECTION('',(0.,0.,1.)); #434785=DIRECTION('center_axis',(-1.,0.,0.)); #434786=DIRECTION('ref_axis',(0.,-1.,0.)); #434787=DIRECTION('',(0.,-1.,0.)); #434788=DIRECTION('',(0.,-1.,0.)); #434789=DIRECTION('',(0.,0.,1.)); #434790=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #434791=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #434792=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #434793=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #434794=DIRECTION('',(0.,0.,1.)); #434795=DIRECTION('center_axis',(0.,-1.,0.)); #434796=DIRECTION('ref_axis',(1.,0.,0.)); #434797=DIRECTION('',(1.,0.,0.)); #434798=DIRECTION('',(1.,0.,0.)); #434799=DIRECTION('',(0.,0.,1.)); #434800=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #434801=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #434802=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #434803=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #434804=DIRECTION('',(0.,0.,1.)); #434805=DIRECTION('center_axis',(1.,0.,0.)); #434806=DIRECTION('ref_axis',(0.,1.,0.)); #434807=DIRECTION('',(0.,1.,0.)); #434808=DIRECTION('',(0.,1.,0.)); #434809=DIRECTION('',(0.,0.,1.)); #434810=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #434811=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #434812=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #434813=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #434814=DIRECTION('',(0.,0.,1.)); #434815=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #434816=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #434817=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #434818=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #434819=DIRECTION('',(0.,0.,1.)); #434820=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #434821=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #434822=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #434823=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #434824=DIRECTION('',(0.,0.,1.)); #434825=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #434826=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #434827=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #434828=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #434829=DIRECTION('',(0.,0.,1.)); #434830=DIRECTION('center_axis',(0.,-1.,0.)); #434831=DIRECTION('ref_axis',(1.,0.,0.)); #434832=DIRECTION('',(1.,0.,0.)); #434833=DIRECTION('',(1.,0.,0.)); #434834=DIRECTION('',(0.,0.,1.)); #434835=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #434836=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #434837=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #434838=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #434839=DIRECTION('',(0.,0.,1.)); #434840=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #434841=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #434842=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #434843=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #434844=DIRECTION('',(0.,0.,1.)); #434845=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #434846=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #434847=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #434848=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #434849=DIRECTION('',(0.,0.,1.)); #434850=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #434851=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #434852=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #434853=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #434854=DIRECTION('',(0.,0.,1.)); #434855=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #434856=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #434857=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #434858=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #434859=DIRECTION('',(0.,0.,1.)); #434860=DIRECTION('center_axis',(-1.,0.,0.)); #434861=DIRECTION('ref_axis',(0.,-1.,0.)); #434862=DIRECTION('',(0.,-1.,0.)); #434863=DIRECTION('',(0.,-1.,0.)); #434864=DIRECTION('',(0.,0.,1.)); #434865=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #434866=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #434867=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #434868=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #434869=DIRECTION('',(0.,0.,1.)); #434870=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #434871=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #434872=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #434873=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #434874=DIRECTION('',(0.,0.,1.)); #434875=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #434876=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #434877=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #434878=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #434879=DIRECTION('',(0.,0.,1.)); #434880=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #434881=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #434882=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #434883=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #434884=DIRECTION('',(0.,0.,1.)); #434885=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434886=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434887=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434888=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434889=DIRECTION('',(0.,0.,1.)); #434890=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #434891=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #434892=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #434893=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #434894=DIRECTION('',(0.,0.,1.)); #434895=DIRECTION('center_axis',(-1.,0.,0.)); #434896=DIRECTION('ref_axis',(0.,-1.,0.)); #434897=DIRECTION('',(0.,-1.,0.)); #434898=DIRECTION('',(0.,-1.,0.)); #434899=DIRECTION('',(0.,0.,1.)); #434900=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #434901=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #434902=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #434903=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #434904=DIRECTION('',(0.,0.,1.)); #434905=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #434906=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #434907=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #434908=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #434909=DIRECTION('',(0.,0.,1.)); #434910=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #434911=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #434912=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #434913=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #434914=DIRECTION('',(0.,0.,1.)); #434915=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #434916=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #434917=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #434918=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #434919=DIRECTION('',(0.,0.,1.)); #434920=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #434921=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #434922=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #434923=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #434924=DIRECTION('',(0.,0.,1.)); #434925=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #434926=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #434927=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #434928=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #434929=DIRECTION('',(0.,0.,1.)); #434930=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #434931=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #434932=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #434933=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #434934=DIRECTION('',(0.,0.,1.)); #434935=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #434936=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #434937=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #434938=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #434939=DIRECTION('',(0.,0.,1.)); #434940=DIRECTION('center_axis',(0.,1.,0.)); #434941=DIRECTION('ref_axis',(-1.,0.,0.)); #434942=DIRECTION('',(-1.,0.,0.)); #434943=DIRECTION('',(-1.,0.,0.)); #434944=DIRECTION('',(0.,0.,1.)); #434945=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #434946=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #434947=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #434948=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #434949=DIRECTION('',(0.,0.,1.)); #434950=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #434951=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #434952=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #434953=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #434954=DIRECTION('',(0.,0.,1.)); #434955=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #434956=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #434957=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #434958=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #434959=DIRECTION('',(0.,0.,1.)); #434960=DIRECTION('center_axis',(0.,1.,0.)); #434961=DIRECTION('ref_axis',(-1.,0.,0.)); #434962=DIRECTION('',(-1.,0.,0.)); #434963=DIRECTION('',(-1.,0.,0.)); #434964=DIRECTION('',(0.,0.,1.)); #434965=DIRECTION('center_axis',(0.191149856928713,0.981560865252957,0.)); #434966=DIRECTION('ref_axis',(-0.981560865252957,0.191149856928713,0.)); #434967=DIRECTION('',(-0.981560865252957,0.191149856928713,0.)); #434968=DIRECTION('',(-0.981560865252957,0.191149856928713,0.)); #434969=DIRECTION('',(0.,0.,1.)); #434970=DIRECTION('center_axis',(0.552278225392028,0.833659859749666,0.)); #434971=DIRECTION('ref_axis',(-0.833659859749665,0.552278225392028,0.)); #434972=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #434973=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #434974=DIRECTION('',(0.,0.,1.)); #434975=DIRECTION('center_axis',(0.707104777838364,0.707108784529055,0.)); #434976=DIRECTION('ref_axis',(-0.707108784529055,0.707104777838364,0.)); #434977=DIRECTION('',(-0.707108784529055,0.707104777838364,0.)); #434978=DIRECTION('',(-0.707108784529055,0.707104777838364,0.)); #434979=DIRECTION('',(0.,0.,1.)); #434980=DIRECTION('center_axis',(0.,1.,0.)); #434981=DIRECTION('ref_axis',(-1.,0.,0.)); #434982=DIRECTION('',(-1.,0.,0.)); #434983=DIRECTION('',(-1.,0.,0.)); #434984=DIRECTION('',(0.,0.,1.)); #434985=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #434986=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #434987=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434988=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #434989=DIRECTION('',(0.,0.,1.)); #434990=DIRECTION('center_axis',(-1.,0.,0.)); #434991=DIRECTION('ref_axis',(0.,-1.,0.)); #434992=DIRECTION('',(0.,-1.,0.)); #434993=DIRECTION('',(0.,-1.,0.)); #434994=DIRECTION('',(0.,0.,1.)); #434995=DIRECTION('center_axis',(-0.70710834361349,-0.707105218756153,0.)); #434996=DIRECTION('ref_axis',(0.707105218756153,-0.70710834361349,0.)); #434997=DIRECTION('',(0.707105218756153,-0.70710834361349,0.)); #434998=DIRECTION('',(0.707105218756153,-0.70710834361349,0.)); #434999=DIRECTION('',(0.,0.,1.)); #435000=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #435001=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #435002=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #435003=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #435004=DIRECTION('',(0.,0.,1.)); #435005=DIRECTION('center_axis',(-0.973144071553012,-0.230196907019245, 0.)); #435006=DIRECTION('ref_axis',(0.230196907019245,-0.973144071553012,0.)); #435007=DIRECTION('',(0.230196907019245,-0.973144071553012,0.)); #435008=DIRECTION('',(0.230196907019245,-0.973144071553012,0.)); #435009=DIRECTION('',(0.,0.,1.)); #435010=DIRECTION('center_axis',(-0.985842168327553,0.167675934907869,0.)); #435011=DIRECTION('ref_axis',(-0.167675934907869,-0.985842168327553,0.)); #435012=DIRECTION('',(-0.167675934907869,-0.985842168327553,0.)); #435013=DIRECTION('',(-0.167675934907869,-0.985842168327553,0.)); #435014=DIRECTION('',(0.,0.,1.)); #435015=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #435016=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #435017=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #435018=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #435019=DIRECTION('',(0.,0.,1.)); #435020=DIRECTION('center_axis',(-0.565504004714926,0.824745549033992,0.)); #435021=DIRECTION('ref_axis',(-0.824745549033992,-0.565504004714926,0.)); #435022=DIRECTION('',(-0.824745549033992,-0.565504004714926,0.)); #435023=DIRECTION('',(-0.824745549033992,-0.565504004714926,0.)); #435024=DIRECTION('',(0.,0.,1.)); #435025=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #435026=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #435027=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #435028=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #435029=DIRECTION('',(0.,0.,1.)); #435030=DIRECTION('center_axis',(0.,1.,0.)); #435031=DIRECTION('ref_axis',(-1.,0.,0.)); #435032=DIRECTION('',(-1.,0.,0.)); #435033=DIRECTION('',(-1.,0.,0.)); #435034=DIRECTION('',(0.,0.,1.)); #435035=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #435036=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #435037=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #435038=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #435039=DIRECTION('',(0.,0.,1.)); #435040=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #435041=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #435042=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #435043=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #435044=DIRECTION('',(0.,0.,1.)); #435045=DIRECTION('center_axis',(0.824745549033783,0.56550400471523,0.)); #435046=DIRECTION('ref_axis',(-0.56550400471523,0.824745549033783,0.)); #435047=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #435048=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #435049=DIRECTION('',(0.,0.,1.)); #435050=DIRECTION('center_axis',(0.980008419561376,0.19895601923243,0.)); #435051=DIRECTION('ref_axis',(-0.19895601923243,0.980008419561375,0.)); #435052=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #435053=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #435054=DIRECTION('',(0.,0.,1.)); #435055=DIRECTION('center_axis',(1.,0.,0.)); #435056=DIRECTION('ref_axis',(0.,1.,0.)); #435057=DIRECTION('',(0.,1.,0.)); #435058=DIRECTION('',(0.,1.,0.)); #435059=DIRECTION('',(0.,0.,1.)); #435060=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #435061=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #435062=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #435063=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #435064=DIRECTION('',(0.,0.,1.)); #435065=DIRECTION('center_axis',(0.,1.,0.)); #435066=DIRECTION('ref_axis',(-1.,0.,0.)); #435067=DIRECTION('',(-1.,0.,0.)); #435068=DIRECTION('',(-1.,0.,0.)); #435069=DIRECTION('',(0.,0.,1.)); #435070=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #435071=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #435072=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #435073=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #435074=DIRECTION('',(0.,0.,1.)); #435075=DIRECTION('center_axis',(-1.,0.,0.)); #435076=DIRECTION('ref_axis',(0.,-1.,0.)); #435077=DIRECTION('',(0.,-1.,0.)); #435078=DIRECTION('',(0.,-1.,0.)); #435079=DIRECTION('',(0.,0.,1.)); #435080=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #435081=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #435082=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #435083=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #435084=DIRECTION('',(0.,0.,1.)); #435085=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #435086=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #435087=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #435088=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #435089=DIRECTION('',(0.,0.,1.)); #435090=DIRECTION('center_axis',(-0.565504004715062,0.824745549033899,0.)); #435091=DIRECTION('ref_axis',(-0.824745549033899,-0.565504004715062,0.)); #435092=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #435093=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #435094=DIRECTION('',(0.,0.,1.)); #435095=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #435096=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #435097=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #435098=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #435099=DIRECTION('',(0.,0.,1.)); #435100=DIRECTION('center_axis',(0.,1.,0.)); #435101=DIRECTION('ref_axis',(-1.,0.,0.)); #435102=DIRECTION('',(-1.,0.,0.)); #435103=DIRECTION('',(-1.,0.,0.)); #435104=DIRECTION('',(0.,0.,1.)); #435105=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #435106=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #435107=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #435108=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #435109=DIRECTION('',(0.,0.,1.)); #435110=DIRECTION('center_axis',(0.538911466745922,0.842362410729348,0.)); #435111=DIRECTION('ref_axis',(-0.842362410729348,0.538911466745922,0.)); #435112=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #435113=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #435114=DIRECTION('',(0.,0.,1.)); #435115=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #435116=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #435117=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #435118=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #435119=DIRECTION('',(0.,0.,1.)); #435120=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #435121=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #435122=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435123=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435124=DIRECTION('',(0.,0.,1.)); #435125=DIRECTION('center_axis',(1.,0.,0.)); #435126=DIRECTION('ref_axis',(0.,1.,0.)); #435127=DIRECTION('',(0.,1.,0.)); #435128=DIRECTION('',(0.,1.,0.)); #435129=DIRECTION('',(0.,0.,1.)); #435130=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #435131=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #435132=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #435133=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #435134=DIRECTION('',(0.,0.,1.)); #435135=DIRECTION('center_axis',(0.,1.,0.)); #435136=DIRECTION('ref_axis',(-1.,0.,0.)); #435137=DIRECTION('',(-1.,0.,0.)); #435138=DIRECTION('',(-1.,0.,0.)); #435139=DIRECTION('',(0.,0.,1.)); #435140=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #435141=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #435142=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #435143=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #435144=DIRECTION('',(0.,0.,1.)); #435145=DIRECTION('center_axis',(-1.,0.,0.)); #435146=DIRECTION('ref_axis',(0.,-1.,0.)); #435147=DIRECTION('',(0.,-1.,0.)); #435148=DIRECTION('',(0.,-1.,0.)); #435149=DIRECTION('',(0.,0.,1.)); #435150=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #435151=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #435152=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #435153=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #435154=DIRECTION('',(0.,0.,1.)); #435155=DIRECTION('center_axis',(0.,-1.,0.)); #435156=DIRECTION('ref_axis',(1.,0.,0.)); #435157=DIRECTION('',(1.,0.,0.)); #435158=DIRECTION('',(1.,0.,0.)); #435159=DIRECTION('',(0.,0.,1.)); #435160=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #435161=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #435162=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #435163=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #435164=DIRECTION('',(0.,0.,1.)); #435165=DIRECTION('center_axis',(1.,0.,0.)); #435166=DIRECTION('ref_axis',(0.,1.,0.)); #435167=DIRECTION('',(0.,1.,0.)); #435168=DIRECTION('',(0.,1.,0.)); #435169=DIRECTION('',(0.,0.,1.)); #435170=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #435171=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #435172=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #435173=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #435174=DIRECTION('',(0.,0.,1.)); #435175=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #435176=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #435177=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #435178=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #435179=DIRECTION('',(0.,0.,1.)); #435180=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #435181=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #435182=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #435183=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #435184=DIRECTION('',(0.,0.,1.)); #435185=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #435186=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #435187=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #435188=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #435189=DIRECTION('',(0.,0.,1.)); #435190=DIRECTION('center_axis',(0.,-1.,0.)); #435191=DIRECTION('ref_axis',(1.,0.,0.)); #435192=DIRECTION('',(1.,0.,0.)); #435193=DIRECTION('',(1.,0.,0.)); #435194=DIRECTION('',(0.,0.,1.)); #435195=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #435196=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #435197=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #435198=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #435199=DIRECTION('',(0.,0.,1.)); #435200=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #435201=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #435202=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #435203=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #435204=DIRECTION('',(0.,0.,1.)); #435205=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #435206=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #435207=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #435208=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #435209=DIRECTION('',(0.,0.,1.)); #435210=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #435211=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #435212=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #435213=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #435214=DIRECTION('',(0.,0.,1.)); #435215=DIRECTION('center_axis',(-1.,0.,0.)); #435216=DIRECTION('ref_axis',(0.,-1.,0.)); #435217=DIRECTION('',(0.,-1.,0.)); #435218=DIRECTION('',(0.,-1.,0.)); #435219=DIRECTION('',(0.,0.,1.)); #435220=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #435221=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #435222=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #435223=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #435224=DIRECTION('',(0.,0.,1.)); #435225=DIRECTION('center_axis',(0.,-1.,0.)); #435226=DIRECTION('ref_axis',(1.,0.,0.)); #435227=DIRECTION('',(1.,0.,0.)); #435228=DIRECTION('',(1.,0.,0.)); #435229=DIRECTION('',(0.,0.,1.)); #435230=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #435231=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #435232=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #435233=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #435234=DIRECTION('',(0.,0.,1.)); #435235=DIRECTION('center_axis',(1.,0.,0.)); #435236=DIRECTION('ref_axis',(0.,1.,0.)); #435237=DIRECTION('',(0.,1.,0.)); #435238=DIRECTION('',(0.,1.,0.)); #435239=DIRECTION('',(0.,0.,1.)); #435240=DIRECTION('center_axis',(0.983056036329444,-0.183305290257108,0.)); #435241=DIRECTION('ref_axis',(0.183305290257108,0.983056036329444,0.)); #435242=DIRECTION('',(0.183305290257108,0.983056036329444,0.)); #435243=DIRECTION('',(0.183305290257108,0.983056036329444,0.)); #435244=DIRECTION('',(0.,0.,1.)); #435245=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #435246=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #435247=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #435248=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #435249=DIRECTION('',(0.,0.,1.)); #435250=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #435251=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #435252=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #435253=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #435254=DIRECTION('',(0.,0.,1.)); #435255=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #435256=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #435257=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #435258=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #435259=DIRECTION('',(0.,0.,1.)); #435260=DIRECTION('center_axis',(0.,-1.,0.)); #435261=DIRECTION('ref_axis',(1.,0.,0.)); #435262=DIRECTION('',(1.,0.,0.)); #435263=DIRECTION('',(1.,0.,0.)); #435264=DIRECTION('',(0.,0.,1.)); #435265=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #435266=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #435267=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #435268=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #435269=DIRECTION('',(0.,0.,1.)); #435270=DIRECTION('center_axis',(-0.525358802048774,-0.85088079606363,0.)); #435271=DIRECTION('ref_axis',(0.85088079606363,-0.525358802048774,0.)); #435272=DIRECTION('',(0.85088079606363,-0.525358802048774,0.)); #435273=DIRECTION('',(0.85088079606363,-0.525358802048774,0.)); #435274=DIRECTION('',(0.,0.,1.)); #435275=DIRECTION('center_axis',(-0.815709411156901,-0.578461888589095, 0.)); #435276=DIRECTION('ref_axis',(0.578461888589095,-0.815709411156901,0.)); #435277=DIRECTION('',(0.578461888589095,-0.815709411156901,0.)); #435278=DIRECTION('',(0.578461888589095,-0.815709411156901,0.)); #435279=DIRECTION('',(0.,0.,1.)); #435280=DIRECTION('center_axis',(-0.976685690837527,-0.214674314516719, 0.)); #435281=DIRECTION('ref_axis',(0.214674314516719,-0.976685690837527,0.)); #435282=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #435283=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #435284=DIRECTION('',(0.,0.,1.)); #435285=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #435286=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #435287=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #435288=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #435289=DIRECTION('',(0.,0.,1.)); #435290=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #435291=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #435292=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #435293=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #435294=DIRECTION('',(0.,0.,1.)); #435295=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #435296=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #435297=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #435298=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #435299=DIRECTION('',(0.,0.,1.)); #435300=DIRECTION('center_axis',(-0.558946331948828,0.829203833807437,0.)); #435301=DIRECTION('ref_axis',(-0.829203833807437,-0.558946331948828,0.)); #435302=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #435303=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #435304=DIRECTION('',(0.,0.,1.)); #435305=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #435306=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #435307=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #435308=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #435309=DIRECTION('',(0.,0.,1.)); #435310=DIRECTION('center_axis',(0.,1.,0.)); #435311=DIRECTION('ref_axis',(-1.,0.,0.)); #435312=DIRECTION('',(-1.,0.,0.)); #435313=DIRECTION('',(-1.,0.,0.)); #435314=DIRECTION('',(0.,0.,1.)); #435315=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #435316=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #435317=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #435318=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #435319=DIRECTION('',(0.,0.,1.)); #435320=DIRECTION('center_axis',(-1.,0.,0.)); #435321=DIRECTION('ref_axis',(0.,-1.,0.)); #435322=DIRECTION('',(0.,-1.,0.)); #435323=DIRECTION('',(0.,-1.,0.)); #435324=DIRECTION('',(0.,0.,1.)); #435325=DIRECTION('center_axis',(-0.982311566963684,0.18725379945238,0.)); #435326=DIRECTION('ref_axis',(-0.18725379945238,-0.982311566963684,0.)); #435327=DIRECTION('',(-0.18725379945238,-0.982311566963684,0.)); #435328=DIRECTION('',(-0.18725379945238,-0.982311566963684,0.)); #435329=DIRECTION('',(0.,0.,1.)); #435330=DIRECTION('center_axis',(-0.838042613670253,0.545604781570627,0.)); #435331=DIRECTION('ref_axis',(-0.545604781570627,-0.838042613670253,0.)); #435332=DIRECTION('',(-0.545604781570627,-0.838042613670253,0.)); #435333=DIRECTION('',(-0.545604781570627,-0.838042613670253,0.)); #435334=DIRECTION('',(0.,0.,1.)); #435335=DIRECTION('center_axis',(-0.558878107898695,0.829249817914707,0.)); #435336=DIRECTION('ref_axis',(-0.829249817914707,-0.558878107898695,0.)); #435337=DIRECTION('',(-0.829249817914707,-0.558878107898695,0.)); #435338=DIRECTION('',(-0.829249817914707,-0.558878107898695,0.)); #435339=DIRECTION('',(0.,0.,1.)); #435340=DIRECTION('center_axis',(-0.382682465395262,0.923879933042711,0.)); #435341=DIRECTION('ref_axis',(-0.923879933042711,-0.382682465395262,0.)); #435342=DIRECTION('',(-0.923879933042711,-0.382682465395262,0.)); #435343=DIRECTION('',(-0.923879933042711,-0.382682465395262,0.)); #435344=DIRECTION('',(0.,0.,1.)); #435345=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #435346=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #435347=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #435348=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #435349=DIRECTION('',(0.,0.,1.)); #435350=DIRECTION('center_axis',(-0.923879164134337,0.382684321704506,0.)); #435351=DIRECTION('ref_axis',(-0.382684321704506,-0.923879164134337,0.)); #435352=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #435353=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #435354=DIRECTION('',(0.,0.,1.)); #435355=DIRECTION('center_axis',(-1.,0.,0.)); #435356=DIRECTION('ref_axis',(0.,-1.,0.)); #435357=DIRECTION('',(0.,-1.,0.)); #435358=DIRECTION('',(0.,-1.,0.)); #435359=DIRECTION('',(0.,0.,1.)); #435360=DIRECTION('center_axis',(-0.923879164134336,-0.38268432170451,0.)); #435361=DIRECTION('ref_axis',(0.38268432170451,-0.923879164134336,0.)); #435362=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #435363=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #435364=DIRECTION('',(0.,0.,1.)); #435365=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #435366=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #435367=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #435368=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #435369=DIRECTION('',(0.,0.,1.)); #435370=DIRECTION('center_axis',(-0.382684321704487,-0.923879164134345, 0.)); #435371=DIRECTION('ref_axis',(0.923879164134345,-0.382684321704487,0.)); #435372=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #435373=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #435374=DIRECTION('',(0.,0.,1.)); #435375=DIRECTION('center_axis',(0.,-1.,0.)); #435376=DIRECTION('ref_axis',(1.,0.,0.)); #435377=DIRECTION('',(1.,0.,0.)); #435378=DIRECTION('',(1.,0.,0.)); #435379=DIRECTION('',(0.,0.,1.)); #435380=DIRECTION('center_axis',(0.382684321704495,-0.923879164134342,0.)); #435381=DIRECTION('ref_axis',(0.923879164134342,0.382684321704495,0.)); #435382=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #435383=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #435384=DIRECTION('',(0.,0.,1.)); #435385=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #435386=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #435387=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #435388=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #435389=DIRECTION('',(0.,0.,1.)); #435390=DIRECTION('center_axis',(0.923879164134344,-0.382684321704491,0.)); #435391=DIRECTION('ref_axis',(0.382684321704491,0.923879164134344,0.)); #435392=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #435393=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #435394=DIRECTION('',(0.,0.,1.)); #435395=DIRECTION('center_axis',(1.,0.,0.)); #435396=DIRECTION('ref_axis',(0.,1.,0.)); #435397=DIRECTION('',(0.,1.,0.)); #435398=DIRECTION('',(0.,1.,0.)); #435399=DIRECTION('',(0.,0.,1.)); #435400=DIRECTION('center_axis',(0.923879164134345,0.382684321704487,0.)); #435401=DIRECTION('ref_axis',(-0.382684321704487,0.923879164134345,0.)); #435402=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #435403=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #435404=DIRECTION('',(0.,0.,1.)); #435405=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #435406=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #435407=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #435408=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #435409=DIRECTION('',(0.,0.,1.)); #435410=DIRECTION('center_axis',(0.382682465395273,0.923879933042707,0.)); #435411=DIRECTION('ref_axis',(-0.923879933042707,0.382682465395273,0.)); #435412=DIRECTION('',(-0.923879933042707,0.382682465395273,0.)); #435413=DIRECTION('',(-0.923879933042707,0.382682465395273,0.)); #435414=DIRECTION('',(0.,0.,1.)); #435415=DIRECTION('center_axis',(0.548897495819334,0.835889669204773,0.)); #435416=DIRECTION('ref_axis',(-0.835889669204773,0.548897495819334,0.)); #435417=DIRECTION('',(-0.835889669204773,0.548897495819334,0.)); #435418=DIRECTION('',(-0.835889669204773,0.548897495819334,0.)); #435419=DIRECTION('',(0.,0.,1.)); #435420=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #435421=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #435422=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #435423=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #435424=DIRECTION('',(0.,0.,1.)); #435425=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #435426=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #435427=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435428=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435429=DIRECTION('',(0.,0.,1.)); #435430=DIRECTION('center_axis',(1.,0.,0.)); #435431=DIRECTION('ref_axis',(0.,1.,0.)); #435432=DIRECTION('',(0.,1.,0.)); #435433=DIRECTION('',(0.,1.,0.)); #435434=DIRECTION('',(0.,0.,1.)); #435435=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #435436=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #435437=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #435438=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #435439=DIRECTION('',(0.,0.,1.)); #435440=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #435441=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #435442=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #435443=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #435444=DIRECTION('',(0.,0.,1.)); #435445=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #435446=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #435447=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #435448=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #435449=DIRECTION('',(0.,0.,1.)); #435450=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #435451=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #435452=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #435453=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #435454=DIRECTION('',(0.,0.,1.)); #435455=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #435456=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #435457=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #435458=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #435459=DIRECTION('',(0.,0.,1.)); #435460=DIRECTION('center_axis',(0.,-1.,0.)); #435461=DIRECTION('ref_axis',(1.,0.,0.)); #435462=DIRECTION('',(1.,0.,0.)); #435463=DIRECTION('',(1.,0.,0.)); #435464=DIRECTION('',(0.,0.,1.)); #435465=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #435466=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #435467=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #435468=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #435469=DIRECTION('',(0.,0.,1.)); #435470=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #435471=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #435472=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #435473=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #435474=DIRECTION('',(0.,0.,1.)); #435475=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #435476=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #435477=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #435478=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #435479=DIRECTION('',(0.,0.,1.)); #435480=DIRECTION('center_axis',(0.,-1.,0.)); #435481=DIRECTION('ref_axis',(1.,0.,0.)); #435482=DIRECTION('',(1.,0.,0.)); #435483=DIRECTION('',(1.,0.,0.)); #435484=DIRECTION('',(0.,0.,1.)); #435485=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #435486=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #435487=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #435488=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #435489=DIRECTION('',(0.,0.,1.)); #435490=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #435491=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #435492=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #435493=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #435494=DIRECTION('',(0.,0.,1.)); #435495=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #435496=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #435497=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #435498=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #435499=DIRECTION('',(0.,0.,1.)); #435500=DIRECTION('center_axis',(0.,-1.,0.)); #435501=DIRECTION('ref_axis',(1.,0.,0.)); #435502=DIRECTION('',(1.,0.,0.)); #435503=DIRECTION('',(1.,0.,0.)); #435504=DIRECTION('',(0.,0.,1.)); #435505=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #435506=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #435507=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #435508=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #435509=DIRECTION('',(0.,0.,1.)); #435510=DIRECTION('center_axis',(-0.538911466745885,-0.842362410729372, 0.)); #435511=DIRECTION('ref_axis',(0.842362410729372,-0.538911466745885,0.)); #435512=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #435513=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #435514=DIRECTION('',(0.,0.,1.)); #435515=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #435516=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #435517=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #435518=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #435519=DIRECTION('',(0.,0.,1.)); #435520=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #435521=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #435522=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #435523=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #435524=DIRECTION('',(0.,0.,1.)); #435525=DIRECTION('center_axis',(-1.,0.,0.)); #435526=DIRECTION('ref_axis',(0.,-1.,0.)); #435527=DIRECTION('',(0.,-1.,0.)); #435528=DIRECTION('',(0.,-1.,0.)); #435529=DIRECTION('',(0.,0.,1.)); #435530=DIRECTION('center_axis',(-0.994378375567352,-0.105885061288336, 0.)); #435531=DIRECTION('ref_axis',(0.105885061288336,-0.994378375567352,0.)); #435532=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #435533=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #435534=DIRECTION('',(0.,0.,1.)); #435535=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #435536=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #435537=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #435538=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #435539=DIRECTION('',(0.,0.,1.)); #435540=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #435541=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #435542=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #435543=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #435544=DIRECTION('',(0.,0.,1.)); #435545=DIRECTION('center_axis',(-0.757781125399836,-0.652508824452021, 0.)); #435546=DIRECTION('ref_axis',(0.652508824452021,-0.757781125399836,0.)); #435547=DIRECTION('',(0.652508824452021,-0.757781125399836,0.)); #435548=DIRECTION('',(0.652508824452021,-0.757781125399836,0.)); #435549=DIRECTION('',(0.,0.,1.)); #435550=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #435551=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #435552=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #435553=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #435554=DIRECTION('',(0.,0.,1.)); #435555=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #435556=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #435557=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #435558=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #435559=DIRECTION('',(0.,0.,1.)); #435560=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #435561=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #435562=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #435563=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #435564=DIRECTION('',(0.,0.,1.)); #435565=DIRECTION('center_axis',(-1.,0.,0.)); #435566=DIRECTION('ref_axis',(0.,-1.,0.)); #435567=DIRECTION('',(0.,-1.,0.)); #435568=DIRECTION('',(0.,-1.,0.)); #435569=DIRECTION('',(0.,0.,1.)); #435570=DIRECTION('center_axis',(-0.983056036329382,0.183305290257444,0.)); #435571=DIRECTION('ref_axis',(-0.183305290257444,-0.983056036329381,0.)); #435572=DIRECTION('',(-0.183305290257444,-0.983056036329381,0.)); #435573=DIRECTION('',(-0.183305290257444,-0.983056036329381,0.)); #435574=DIRECTION('',(0.,0.,1.)); #435575=DIRECTION('center_axis',(-0.842362410729306,0.538911466745989,0.)); #435576=DIRECTION('ref_axis',(-0.538911466745989,-0.842362410729306,0.)); #435577=DIRECTION('',(-0.538911466745989,-0.842362410729306,0.)); #435578=DIRECTION('',(-0.538911466745989,-0.842362410729306,0.)); #435579=DIRECTION('',(0.,0.,1.)); #435580=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #435581=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #435582=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #435583=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #435584=DIRECTION('',(0.,0.,1.)); #435585=DIRECTION('center_axis',(-0.198978630885354,0.980003828794046,0.)); #435586=DIRECTION('ref_axis',(-0.980003828794046,-0.198978630885354,0.)); #435587=DIRECTION('',(-0.980003828794046,-0.198978630885354,0.)); #435588=DIRECTION('',(-0.980003828794046,-0.198978630885354,0.)); #435589=DIRECTION('',(0.,0.,1.)); #435590=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #435591=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #435592=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #435593=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #435594=DIRECTION('',(0.,0.,1.)); #435595=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #435596=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #435597=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #435598=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #435599=DIRECTION('',(0.,0.,1.)); #435600=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #435601=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #435602=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435603=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #435604=DIRECTION('',(0.,0.,1.)); #435605=DIRECTION('center_axis',(0.,1.,0.)); #435606=DIRECTION('ref_axis',(-1.,0.,0.)); #435607=DIRECTION('',(-1.,0.,0.)); #435608=DIRECTION('',(-1.,0.,0.)); #435609=DIRECTION('',(0.,0.,1.)); #435610=DIRECTION('center_axis',(0.191149856928888,0.981560865252922,0.)); #435611=DIRECTION('ref_axis',(-0.981560865252922,0.191149856928888,0.)); #435612=DIRECTION('',(-0.981560865252922,0.191149856928888,0.)); #435613=DIRECTION('',(-0.981560865252922,0.191149856928888,0.)); #435614=DIRECTION('',(0.,0.,1.)); #435615=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #435616=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #435617=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #435618=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #435619=DIRECTION('',(0.,0.,1.)); #435620=DIRECTION('center_axis',(0.707104777838355,0.707108784529064,0.)); #435621=DIRECTION('ref_axis',(-0.707108784529064,0.707104777838355,0.)); #435622=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #435623=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #435624=DIRECTION('',(0.,0.,1.)); #435625=DIRECTION('center_axis',(0.,1.,0.)); #435626=DIRECTION('ref_axis',(-1.,0.,0.)); #435627=DIRECTION('',(-1.,0.,0.)); #435628=DIRECTION('',(-1.,0.,0.)); #435629=DIRECTION('',(0.,0.,1.)); #435630=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #435631=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #435632=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #435633=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #435634=DIRECTION('',(0.,0.,1.)); #435635=DIRECTION('center_axis',(-1.,0.,0.)); #435636=DIRECTION('ref_axis',(0.,-1.,0.)); #435637=DIRECTION('',(0.,-1.,0.)); #435638=DIRECTION('',(0.,-1.,0.)); #435639=DIRECTION('',(0.,0.,1.)); #435640=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #435641=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #435642=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #435643=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #435644=DIRECTION('',(0.,0.,1.)); #435645=DIRECTION('center_axis',(0.,-1.,0.)); #435646=DIRECTION('ref_axis',(1.,0.,0.)); #435647=DIRECTION('',(1.,0.,0.)); #435648=DIRECTION('',(1.,0.,0.)); #435649=DIRECTION('',(0.,0.,1.)); #435650=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #435651=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #435652=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #435653=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #435654=DIRECTION('',(0.,0.,1.)); #435655=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #435656=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #435657=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #435658=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #435659=DIRECTION('',(0.,0.,1.)); #435660=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #435661=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #435662=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #435663=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #435664=DIRECTION('',(0.,0.,1.)); #435665=DIRECTION('center_axis',(0.,-1.,0.)); #435666=DIRECTION('ref_axis',(1.,0.,0.)); #435667=DIRECTION('',(1.,0.,0.)); #435668=DIRECTION('',(1.,0.,0.)); #435669=DIRECTION('',(0.,0.,1.)); #435670=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #435671=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #435672=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #435673=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #435674=DIRECTION('',(0.,0.,1.)); #435675=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #435676=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #435677=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #435678=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #435679=DIRECTION('',(0.,0.,1.)); #435680=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #435681=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #435682=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #435683=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #435684=DIRECTION('',(0.,0.,1.)); #435685=DIRECTION('center_axis',(0.,-1.,0.)); #435686=DIRECTION('ref_axis',(1.,0.,0.)); #435687=DIRECTION('',(1.,0.,0.)); #435688=DIRECTION('',(1.,0.,0.)); #435689=DIRECTION('',(0.,0.,1.)); #435690=DIRECTION('center_axis',(0.565499541050556,-0.824748609620902,0.)); #435691=DIRECTION('ref_axis',(0.824748609620901,0.565499541050556,0.)); #435692=DIRECTION('',(0.824748609620901,0.565499541050556,0.)); #435693=DIRECTION('',(0.824748609620901,0.565499541050556,0.)); #435694=DIRECTION('',(0.,0.,1.)); #435695=DIRECTION('center_axis',(0.21464666169811,-0.976691768482697,0.)); #435696=DIRECTION('ref_axis',(0.976691768482697,0.21464666169811,0.)); #435697=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #435698=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #435699=DIRECTION('',(0.,0.,1.)); #435700=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #435701=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #435702=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #435703=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #435704=DIRECTION('',(0.,0.,1.)); #435705=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #435706=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #435707=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #435708=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #435709=DIRECTION('',(0.,0.,1.)); #435710=DIRECTION('center_axis',(-0.707099908320743,-0.707113653985551, 0.)); #435711=DIRECTION('ref_axis',(0.707113653985551,-0.707099908320743,0.)); #435712=DIRECTION('',(0.707113653985551,-0.707099908320743,0.)); #435713=DIRECTION('',(0.707113653985551,-0.707099908320743,0.)); #435714=DIRECTION('',(0.,0.,1.)); #435715=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #435716=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #435717=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #435718=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #435719=DIRECTION('',(0.,0.,1.)); #435720=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #435721=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #435722=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #435723=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #435724=DIRECTION('',(0.,0.,1.)); #435725=DIRECTION('center_axis',(-1.,0.,0.)); #435726=DIRECTION('ref_axis',(0.,-1.,0.)); #435727=DIRECTION('',(0.,-1.,0.)); #435728=DIRECTION('',(0.,-1.,0.)); #435729=DIRECTION('',(0.,0.,1.)); #435730=DIRECTION('center_axis',(-0.994378375567336,-0.105885061288489, 0.)); #435731=DIRECTION('ref_axis',(0.105885061288489,-0.994378375567335,0.)); #435732=DIRECTION('',(0.105885061288489,-0.994378375567335,0.)); #435733=DIRECTION('',(0.105885061288489,-0.994378375567335,0.)); #435734=DIRECTION('',(0.,0.,1.)); #435735=DIRECTION('center_axis',(-0.949778792384449,-0.312922107778818, 0.)); #435736=DIRECTION('ref_axis',(0.312922107778818,-0.949778792384449,0.)); #435737=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #435738=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #435739=DIRECTION('',(0.,0.,1.)); #435740=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #435741=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #435742=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #435743=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #435744=DIRECTION('',(0.,0.,1.)); #435745=DIRECTION('center_axis',(-0.757781125399949,-0.65250882445189,0.)); #435746=DIRECTION('ref_axis',(0.65250882445189,-0.757781125399949,0.)); #435747=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #435748=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #435749=DIRECTION('',(0.,0.,1.)); #435750=DIRECTION('center_axis',(-0.7071060670992,-0.707107495273174,0.)); #435751=DIRECTION('ref_axis',(0.707107495273174,-0.7071060670992,0.)); #435752=DIRECTION('',(0.707107495273174,-0.7071060670992,0.)); #435753=DIRECTION('',(0.707107495273174,-0.7071060670992,0.)); #435754=DIRECTION('',(0.,0.,1.)); #435755=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #435756=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #435757=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #435758=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #435759=DIRECTION('',(0.,0.,1.)); #435760=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #435761=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #435762=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #435763=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #435764=DIRECTION('',(0.,0.,1.)); #435765=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #435766=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #435767=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #435768=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #435769=DIRECTION('',(0.,0.,1.)); #435770=DIRECTION('center_axis',(-0.0742706898423059,-0.997238118319967, 0.)); #435771=DIRECTION('ref_axis',(0.997238118319967,-0.0742706898423059,0.)); #435772=DIRECTION('',(0.997238118319967,-0.0742706898423059,0.)); #435773=DIRECTION('',(0.997238118319967,-0.0742706898423059,0.)); #435774=DIRECTION('',(0.,0.,1.)); #435775=DIRECTION('center_axis',(0.,-1.,0.)); #435776=DIRECTION('ref_axis',(1.,0.,0.)); #435777=DIRECTION('',(1.,0.,0.)); #435778=DIRECTION('',(1.,0.,0.)); #435779=DIRECTION('',(0.,0.,1.)); #435780=DIRECTION('center_axis',(0.105885061288698,-0.994378375567313,0.)); #435781=DIRECTION('ref_axis',(0.994378375567313,0.105885061288698,0.)); #435782=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #435783=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #435784=DIRECTION('',(0.,0.,1.)); #435785=DIRECTION('center_axis',(0.312935976921291,-0.949774222827887,0.)); #435786=DIRECTION('ref_axis',(0.949774222827887,0.312935976921291,0.)); #435787=DIRECTION('',(0.949774222827887,0.312935976921291,0.)); #435788=DIRECTION('',(0.949774222827887,0.312935976921291,0.)); #435789=DIRECTION('',(0.,0.,1.)); #435790=DIRECTION('center_axis',(0.506095628368539,-0.862477370686474,0.)); #435791=DIRECTION('ref_axis',(0.862477370686474,0.506095628368539,0.)); #435792=DIRECTION('',(0.862477370686474,0.506095628368539,0.)); #435793=DIRECTION('',(0.862477370686474,0.506095628368539,0.)); #435794=DIRECTION('',(0.,0.,1.)); #435795=DIRECTION('center_axis',(0.652508824452131,-0.757781125399741,0.)); #435796=DIRECTION('ref_axis',(0.757781125399741,0.652508824452131,0.)); #435797=DIRECTION('',(0.757781125399741,0.652508824452131,0.)); #435798=DIRECTION('',(0.757781125399741,0.652508824452131,0.)); #435799=DIRECTION('',(0.,0.,1.)); #435800=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #435801=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #435802=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #435803=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #435804=DIRECTION('',(0.,0.,1.)); #435805=DIRECTION('center_axis',(0.558946331948794,-0.82920383380746,0.)); #435806=DIRECTION('ref_axis',(0.82920383380746,0.558946331948794,0.)); #435807=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #435808=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #435809=DIRECTION('',(0.,0.,1.)); #435810=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #435811=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #435812=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #435813=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #435814=DIRECTION('',(0.,0.,1.)); #435815=DIRECTION('center_axis',(0.,-1.,0.)); #435816=DIRECTION('ref_axis',(1.,0.,0.)); #435817=DIRECTION('',(1.,0.,0.)); #435818=DIRECTION('',(1.,0.,0.)); #435819=DIRECTION('',(0.,0.,1.)); #435820=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #435821=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #435822=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #435823=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #435824=DIRECTION('',(0.,0.,1.)); #435825=DIRECTION('center_axis',(1.,0.,0.)); #435826=DIRECTION('ref_axis',(0.,1.,0.)); #435827=DIRECTION('',(0.,1.,0.)); #435828=DIRECTION('',(0.,1.,0.)); #435829=DIRECTION('',(0.,0.,1.)); #435830=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #435831=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #435832=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #435833=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #435834=DIRECTION('',(0.,0.,1.)); #435835=DIRECTION('center_axis',(0.,1.,0.)); #435836=DIRECTION('ref_axis',(-1.,0.,0.)); #435837=DIRECTION('',(-1.,0.,0.)); #435838=DIRECTION('',(-1.,0.,0.)); #435839=DIRECTION('',(0.,0.,1.)); #435840=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #435841=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #435842=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #435843=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #435844=DIRECTION('',(0.,0.,1.)); #435845=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #435846=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #435847=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #435848=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #435849=DIRECTION('',(0.,0.,1.)); #435850=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #435851=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #435852=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #435853=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #435854=DIRECTION('',(0.,0.,1.)); #435855=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #435856=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #435857=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #435858=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #435859=DIRECTION('',(0.,0.,1.)); #435860=DIRECTION('center_axis',(1.,0.,0.)); #435861=DIRECTION('ref_axis',(0.,1.,0.)); #435862=DIRECTION('',(0.,1.,0.)); #435863=DIRECTION('',(0.,1.,0.)); #435864=DIRECTION('',(0.,0.,1.)); #435865=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #435866=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #435867=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #435868=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #435869=DIRECTION('',(0.,0.,1.)); #435870=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #435871=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #435872=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #435873=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #435874=DIRECTION('',(0.,0.,1.)); #435875=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #435876=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #435877=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435878=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #435879=DIRECTION('',(0.,0.,1.)); #435880=DIRECTION('center_axis',(1.,0.,0.)); #435881=DIRECTION('ref_axis',(0.,1.,0.)); #435882=DIRECTION('',(0.,1.,0.)); #435883=DIRECTION('',(0.,1.,0.)); #435884=DIRECTION('',(0.,0.,1.)); #435885=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #435886=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #435887=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435888=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #435889=DIRECTION('',(0.,0.,1.)); #435890=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #435891=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #435892=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #435893=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #435894=DIRECTION('',(0.,0.,1.)); #435895=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #435896=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #435897=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #435898=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #435899=DIRECTION('',(0.,0.,1.)); #435900=DIRECTION('center_axis',(0.985847618607389,-0.167643887112353,0.)); #435901=DIRECTION('ref_axis',(0.167643887112353,0.985847618607389,0.)); #435902=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #435903=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #435904=DIRECTION('',(0.,0.,1.)); #435905=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #435906=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #435907=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #435908=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #435909=DIRECTION('',(0.,0.,1.)); #435910=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #435911=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #435912=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #435913=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #435914=DIRECTION('',(0.,0.,1.)); #435915=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #435916=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #435917=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #435918=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #435919=DIRECTION('',(0.,0.,1.)); #435920=DIRECTION('center_axis',(0.,-1.,0.)); #435921=DIRECTION('ref_axis',(1.,0.,0.)); #435922=DIRECTION('',(1.,0.,0.)); #435923=DIRECTION('',(1.,0.,0.)); #435924=DIRECTION('',(0.,0.,1.)); #435925=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #435926=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #435927=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #435928=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #435929=DIRECTION('',(0.,0.,1.)); #435930=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #435931=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #435932=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #435933=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #435934=DIRECTION('',(0.,0.,1.)); #435935=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #435936=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #435937=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #435938=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #435939=DIRECTION('',(0.,0.,1.)); #435940=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #435941=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #435942=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #435943=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #435944=DIRECTION('',(0.,0.,1.)); #435945=DIRECTION('center_axis',(-1.,0.,0.)); #435946=DIRECTION('ref_axis',(0.,-1.,0.)); #435947=DIRECTION('',(0.,-1.,0.)); #435948=DIRECTION('',(0.,-1.,0.)); #435949=DIRECTION('',(0.,0.,1.)); #435950=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #435951=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #435952=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #435953=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #435954=DIRECTION('',(0.,0.,1.)); #435955=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #435956=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #435957=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #435958=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #435959=DIRECTION('',(0.,0.,1.)); #435960=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #435961=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #435962=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #435963=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #435964=DIRECTION('',(0.,0.,1.)); #435965=DIRECTION('center_axis',(-0.757781125399723,-0.652508824452152, 0.)); #435966=DIRECTION('ref_axis',(0.652508824452152,-0.757781125399723,0.)); #435967=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #435968=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #435969=DIRECTION('',(0.,0.,1.)); #435970=DIRECTION('center_axis',(-0.707106623709614,-0.707106938663446, 0.)); #435971=DIRECTION('ref_axis',(0.707106938663446,-0.707106623709614,0.)); #435972=DIRECTION('',(0.707106938663446,-0.707106623709614,0.)); #435973=DIRECTION('',(0.707106938663446,-0.707106623709614,0.)); #435974=DIRECTION('',(0.,0.,1.)); #435975=DIRECTION('center_axis',(-0.628203826084816,-0.77804881138165,0.)); #435976=DIRECTION('ref_axis',(0.77804881138165,-0.628203826084816,0.)); #435977=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #435978=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #435979=DIRECTION('',(0.,0.,1.)); #435980=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #435981=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #435982=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #435983=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #435984=DIRECTION('',(0.,0.,1.)); #435985=DIRECTION('center_axis',(-0.252249312357682,-0.967662278077986, 0.)); #435986=DIRECTION('ref_axis',(0.967662278077986,-0.252249312357682,0.)); #435987=DIRECTION('',(0.967662278077986,-0.252249312357682,0.)); #435988=DIRECTION('',(0.967662278077986,-0.252249312357682,0.)); #435989=DIRECTION('',(0.,0.,1.)); #435990=DIRECTION('center_axis',(-0.0742706898420613,-0.997238118319985, 0.)); #435991=DIRECTION('ref_axis',(0.997238118319985,-0.0742706898420613,0.)); #435992=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #435993=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #435994=DIRECTION('',(0.,0.,1.)); #435995=DIRECTION('center_axis',(0.,-1.,0.)); #435996=DIRECTION('ref_axis',(1.,0.,0.)); #435997=DIRECTION('',(1.,0.,0.)); #435998=DIRECTION('',(1.,0.,0.)); #435999=DIRECTION('',(0.,0.,1.)); #436000=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #436001=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #436002=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #436003=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #436004=DIRECTION('',(0.,0.,1.)); #436005=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #436006=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #436007=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #436008=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #436009=DIRECTION('',(0.,0.,1.)); #436010=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #436011=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #436012=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #436013=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #436014=DIRECTION('',(0.,0.,1.)); #436015=DIRECTION('center_axis',(-0.980003828794034,-0.198978630885413, 0.)); #436016=DIRECTION('ref_axis',(0.198978630885413,-0.980003828794034,0.)); #436017=DIRECTION('',(0.198978630885413,-0.980003828794034,0.)); #436018=DIRECTION('',(0.198978630885413,-0.980003828794034,0.)); #436019=DIRECTION('',(0.,0.,1.)); #436020=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #436021=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436022=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #436023=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #436024=DIRECTION('',(0.,0.,1.)); #436025=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #436026=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #436027=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #436028=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #436029=DIRECTION('',(0.,0.,1.)); #436030=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #436031=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #436032=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #436033=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #436034=DIRECTION('',(0.,0.,1.)); #436035=DIRECTION('center_axis',(-1.,0.,0.)); #436036=DIRECTION('ref_axis',(0.,-1.,0.)); #436037=DIRECTION('',(0.,-1.,0.)); #436038=DIRECTION('',(0.,-1.,0.)); #436039=DIRECTION('',(0.,0.,1.)); #436040=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #436041=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #436042=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #436043=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #436044=DIRECTION('',(0.,0.,1.)); #436045=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #436046=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #436047=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #436048=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #436049=DIRECTION('',(0.,0.,1.)); #436050=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #436051=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #436052=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #436053=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #436054=DIRECTION('',(0.,0.,1.)); #436055=DIRECTION('center_axis',(-1.,0.,0.)); #436056=DIRECTION('ref_axis',(0.,-1.,0.)); #436057=DIRECTION('',(0.,-1.,0.)); #436058=DIRECTION('',(0.,-1.,0.)); #436059=DIRECTION('',(0.,0.,1.)); #436060=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #436061=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436062=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #436063=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #436064=DIRECTION('',(0.,0.,1.)); #436065=DIRECTION('center_axis',(0.,-1.,0.)); #436066=DIRECTION('ref_axis',(1.,0.,0.)); #436067=DIRECTION('',(1.,0.,0.)); #436068=DIRECTION('',(1.,0.,0.)); #436069=DIRECTION('',(0.,0.,1.)); #436070=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #436071=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #436072=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #436073=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #436074=DIRECTION('',(0.,0.,1.)); #436075=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #436076=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #436077=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #436078=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #436079=DIRECTION('',(0.,0.,1.)); #436080=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #436081=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #436082=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #436083=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #436084=DIRECTION('',(0.,0.,1.)); #436085=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #436086=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #436087=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #436088=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #436089=DIRECTION('',(0.,0.,1.)); #436090=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #436091=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #436092=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #436093=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #436094=DIRECTION('',(0.,0.,1.)); #436095=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #436096=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #436097=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #436098=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #436099=DIRECTION('',(0.,0.,1.)); #436100=DIRECTION('center_axis',(0.,-1.,0.)); #436101=DIRECTION('ref_axis',(1.,0.,0.)); #436102=DIRECTION('',(1.,0.,0.)); #436103=DIRECTION('',(1.,0.,0.)); #436104=DIRECTION('',(0.,0.,1.)); #436105=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #436106=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #436107=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #436108=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #436109=DIRECTION('',(0.,0.,1.)); #436110=DIRECTION('center_axis',(1.,0.,0.)); #436111=DIRECTION('ref_axis',(0.,1.,0.)); #436112=DIRECTION('',(0.,1.,0.)); #436113=DIRECTION('',(0.,1.,0.)); #436114=DIRECTION('',(0.,0.,1.)); #436115=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #436116=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #436117=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #436118=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #436119=DIRECTION('',(0.,0.,1.)); #436120=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #436121=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #436122=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #436123=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #436124=DIRECTION('',(0.,0.,1.)); #436125=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #436126=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #436127=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #436128=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #436129=DIRECTION('',(0.,0.,1.)); #436130=DIRECTION('center_axis',(1.,0.,0.)); #436131=DIRECTION('ref_axis',(0.,1.,0.)); #436132=DIRECTION('',(0.,1.,0.)); #436133=DIRECTION('',(0.,1.,0.)); #436134=DIRECTION('',(0.,0.,1.)); #436135=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #436136=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #436137=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #436138=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #436139=DIRECTION('',(0.,0.,1.)); #436140=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #436141=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #436142=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #436143=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #436144=DIRECTION('',(0.,0.,1.)); #436145=DIRECTION('center_axis',(0.707108782544492,-0.707104779822939,0.)); #436146=DIRECTION('ref_axis',(0.707104779822939,0.707108782544492,0.)); #436147=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #436148=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #436149=DIRECTION('',(0.,0.,1.)); #436150=DIRECTION('center_axis',(0.983052942270892,-0.183321882743286,0.)); #436151=DIRECTION('ref_axis',(0.183321882743286,0.983052942270892,0.)); #436152=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #436153=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #436154=DIRECTION('',(0.,0.,1.)); #436155=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #436156=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #436157=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #436158=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #436159=DIRECTION('',(0.,0.,1.)); #436160=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #436161=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #436162=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #436163=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #436164=DIRECTION('',(0.,0.,1.)); #436165=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #436166=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #436167=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #436168=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #436169=DIRECTION('',(0.,0.,1.)); #436170=DIRECTION('center_axis',(0.,-1.,0.)); #436171=DIRECTION('ref_axis',(1.,0.,0.)); #436172=DIRECTION('',(1.,0.,0.)); #436173=DIRECTION('',(1.,0.,0.)); #436174=DIRECTION('',(0.,0.,1.)); #436175=DIRECTION('center_axis',(-0.191149856928623,-0.981560865252974, 0.)); #436176=DIRECTION('ref_axis',(0.981560865252974,-0.191149856928623,0.)); #436177=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #436178=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #436179=DIRECTION('',(0.,0.,1.)); #436180=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #436181=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #436182=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #436183=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #436184=DIRECTION('',(0.,0.,1.)); #436185=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #436186=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #436187=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #436188=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #436189=DIRECTION('',(0.,0.,1.)); #436190=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #436191=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #436192=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #436193=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #436194=DIRECTION('',(0.,0.,1.)); #436195=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #436196=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #436197=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #436198=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #436199=DIRECTION('',(0.,0.,1.)); #436200=DIRECTION('center_axis',(-1.,0.,0.)); #436201=DIRECTION('ref_axis',(0.,-1.,0.)); #436202=DIRECTION('',(0.,-1.,0.)); #436203=DIRECTION('',(0.,-1.,0.)); #436204=DIRECTION('',(0.,0.,1.)); #436205=DIRECTION('center_axis',(-0.994378375567334,-0.105885061288508, 0.)); #436206=DIRECTION('ref_axis',(0.105885061288508,-0.994378375567334,0.)); #436207=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #436208=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #436209=DIRECTION('',(0.,0.,1.)); #436210=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #436211=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #436212=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #436213=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #436214=DIRECTION('',(0.,0.,1.)); #436215=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #436216=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #436217=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #436218=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #436219=DIRECTION('',(0.,0.,1.)); #436220=DIRECTION('center_axis',(-0.757781125399591,-0.652508824452305, 0.)); #436221=DIRECTION('ref_axis',(0.652508824452305,-0.757781125399591,0.)); #436222=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #436223=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #436224=DIRECTION('',(0.,0.,1.)); #436225=DIRECTION('center_axis',(-0.707106425896589,-0.707107136476328, 0.)); #436226=DIRECTION('ref_axis',(0.707107136476328,-0.707106425896589,0.)); #436227=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #436228=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #436229=DIRECTION('',(0.,0.,1.)); #436230=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #436231=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #436232=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #436233=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #436234=DIRECTION('',(0.,0.,1.)); #436235=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #436236=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #436237=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #436238=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #436239=DIRECTION('',(0.,0.,1.)); #436240=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #436241=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #436242=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #436243=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #436244=DIRECTION('',(0.,0.,1.)); #436245=DIRECTION('center_axis',(-0.0742706898420613,-0.997238118319985, 0.)); #436246=DIRECTION('ref_axis',(0.997238118319985,-0.0742706898420613,0.)); #436247=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #436248=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #436249=DIRECTION('',(0.,0.,1.)); #436250=DIRECTION('center_axis',(0.,-1.,0.)); #436251=DIRECTION('ref_axis',(1.,0.,0.)); #436252=DIRECTION('',(1.,0.,0.)); #436253=DIRECTION('',(1.,0.,0.)); #436254=DIRECTION('',(0.,0.,1.)); #436255=DIRECTION('center_axis',(0.105885061288517,-0.994378375567333,0.)); #436256=DIRECTION('ref_axis',(0.994378375567332,0.105885061288517,0.)); #436257=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #436258=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #436259=DIRECTION('',(0.,0.,1.)); #436260=DIRECTION('center_axis',(0.312935976921447,-0.949774222827836,0.)); #436261=DIRECTION('ref_axis',(0.949774222827836,0.312935976921447,0.)); #436262=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #436263=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #436264=DIRECTION('',(0.,0.,1.)); #436265=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #436266=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #436267=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #436268=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #436269=DIRECTION('',(0.,0.,1.)); #436270=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #436271=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #436272=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #436273=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #436274=DIRECTION('',(0.,0.,1.)); #436275=DIRECTION('center_axis',(0.707107136476331,-0.707106425896586,0.)); #436276=DIRECTION('ref_axis',(0.707106425896586,0.707107136476331,0.)); #436277=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #436278=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #436279=DIRECTION('',(0.,0.,1.)); #436280=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #436281=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #436282=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #436283=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #436284=DIRECTION('',(0.,0.,1.)); #436285=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #436286=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #436287=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #436288=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #436289=DIRECTION('',(0.,0.,1.)); #436290=DIRECTION('center_axis',(0.967662278078022,-0.252249312357542,0.)); #436291=DIRECTION('ref_axis',(0.252249312357542,0.967662278078022,0.)); #436292=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #436293=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #436294=DIRECTION('',(0.,0.,1.)); #436295=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #436296=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #436297=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #436298=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #436299=DIRECTION('',(0.,0.,1.)); #436300=DIRECTION('center_axis',(1.,0.,0.)); #436301=DIRECTION('ref_axis',(0.,1.,0.)); #436302=DIRECTION('',(0.,1.,0.)); #436303=DIRECTION('',(0.,1.,0.)); #436304=DIRECTION('',(0.,0.,1.)); #436305=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #436306=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #436307=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #436308=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #436309=DIRECTION('',(0.,0.,1.)); #436310=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #436311=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #436312=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #436313=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #436314=DIRECTION('',(0.,0.,1.)); #436315=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #436316=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #436317=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #436318=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #436319=DIRECTION('',(0.,0.,1.)); #436320=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #436321=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #436322=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #436323=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #436324=DIRECTION('',(0.,0.,1.)); #436325=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #436326=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #436327=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #436328=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #436329=DIRECTION('',(0.,0.,1.)); #436330=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #436331=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #436332=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #436333=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #436334=DIRECTION('',(0.,0.,1.)); #436335=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #436336=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #436337=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #436338=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #436339=DIRECTION('',(0.,0.,1.)); #436340=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #436341=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #436342=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #436343=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #436344=DIRECTION('',(0.,0.,1.)); #436345=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #436346=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #436347=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #436348=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #436349=DIRECTION('',(0.,0.,1.)); #436350=DIRECTION('center_axis',(0.,1.,0.)); #436351=DIRECTION('ref_axis',(-1.,0.,0.)); #436352=DIRECTION('',(-1.,0.,0.)); #436353=DIRECTION('',(-1.,0.,0.)); #436354=DIRECTION('',(0.,0.,1.)); #436355=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #436356=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #436357=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #436358=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #436359=DIRECTION('',(0.,0.,1.)); #436360=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #436361=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #436362=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #436363=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #436364=DIRECTION('',(0.,0.,1.)); #436365=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #436366=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #436367=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #436368=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #436369=DIRECTION('',(0.,0.,1.)); #436370=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #436371=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #436372=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #436373=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #436374=DIRECTION('',(0.,0.,1.)); #436375=DIRECTION('center_axis',(-0.707108223597802,0.707105338772351,0.)); #436376=DIRECTION('ref_axis',(-0.707105338772351,-0.707108223597802,0.)); #436377=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #436378=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #436379=DIRECTION('',(0.,0.,1.)); #436380=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #436381=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #436382=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #436383=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #436384=DIRECTION('',(0.,0.,1.)); #436385=DIRECTION('center_axis',(-0.892938781493088,0.450178111979736,0.)); #436386=DIRECTION('ref_axis',(-0.450178111979736,-0.892938781493088,0.)); #436387=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #436388=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #436389=DIRECTION('',(0.,0.,1.)); #436390=DIRECTION('center_axis',(-0.967662278077962,0.252249312357774,0.)); #436391=DIRECTION('ref_axis',(-0.252249312357774,-0.967662278077962,0.)); #436392=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #436393=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #436394=DIRECTION('',(0.,0.,1.)); #436395=DIRECTION('center_axis',(-0.997238118319996,0.0742706898419193, 0.)); #436396=DIRECTION('ref_axis',(-0.0742706898419193,-0.997238118319996,0.)); #436397=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #436398=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #436399=DIRECTION('',(0.,0.,1.)); #436400=DIRECTION('center_axis',(-1.,0.,0.)); #436401=DIRECTION('ref_axis',(0.,-1.,0.)); #436402=DIRECTION('',(0.,-1.,0.)); #436403=DIRECTION('',(0.,-1.,0.)); #436404=DIRECTION('',(0.,0.,1.)); #436405=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #436406=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #436407=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #436408=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #436409=DIRECTION('',(0.,0.,1.)); #436410=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #436411=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #436412=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #436413=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #436414=DIRECTION('',(0.,0.,1.)); #436415=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #436416=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #436417=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #436418=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #436419=DIRECTION('',(0.,0.,1.)); #436420=DIRECTION('center_axis',(-0.558946331948829,0.829203833807436,0.)); #436421=DIRECTION('ref_axis',(-0.829203833807436,-0.558946331948829,0.)); #436422=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #436423=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #436424=DIRECTION('',(0.,0.,1.)); #436425=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #436426=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #436427=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #436428=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #436429=DIRECTION('',(0.,0.,1.)); #436430=DIRECTION('center_axis',(0.,1.,0.)); #436431=DIRECTION('ref_axis',(-1.,0.,0.)); #436432=DIRECTION('',(-1.,0.,0.)); #436433=DIRECTION('',(-1.,0.,0.)); #436434=DIRECTION('',(0.,0.,1.)); #436435=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #436436=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #436437=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #436438=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #436439=DIRECTION('',(0.,0.,1.)); #436440=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #436441=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #436442=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #436443=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #436444=DIRECTION('',(0.,0.,1.)); #436445=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #436446=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #436447=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #436448=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #436449=DIRECTION('',(0.,0.,1.)); #436450=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #436451=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #436452=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #436453=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #436454=DIRECTION('',(0.,0.,1.)); #436455=DIRECTION('center_axis',(1.,0.,0.)); #436456=DIRECTION('ref_axis',(0.,1.,0.)); #436457=DIRECTION('',(0.,1.,0.)); #436458=DIRECTION('',(0.,1.,0.)); #436459=DIRECTION('',(0.,0.,1.)); #436460=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #436461=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #436462=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #436463=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #436464=DIRECTION('',(0.,0.,1.)); #436465=DIRECTION('center_axis',(0.,1.,0.)); #436466=DIRECTION('ref_axis',(-1.,0.,0.)); #436467=DIRECTION('',(-1.,0.,0.)); #436468=DIRECTION('',(-1.,0.,0.)); #436469=DIRECTION('',(0.,0.,1.)); #436470=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #436471=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #436472=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #436473=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #436474=DIRECTION('',(0.,0.,1.)); #436475=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #436476=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #436477=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #436478=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #436479=DIRECTION('',(0.,0.,1.)); #436480=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #436481=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #436482=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #436483=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #436484=DIRECTION('',(0.,0.,1.)); #436485=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #436486=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #436487=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436488=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436489=DIRECTION('',(0.,0.,1.)); #436490=DIRECTION('center_axis',(1.,0.,0.)); #436491=DIRECTION('ref_axis',(0.,1.,0.)); #436492=DIRECTION('',(0.,1.,0.)); #436493=DIRECTION('',(0.,1.,0.)); #436494=DIRECTION('',(0.,0.,1.)); #436495=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #436496=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #436497=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #436498=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #436499=DIRECTION('',(0.,0.,1.)); #436500=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #436501=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #436502=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #436503=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #436504=DIRECTION('',(0.,0.,1.)); #436505=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #436506=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #436507=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #436508=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #436509=DIRECTION('',(0.,0.,1.)); #436510=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #436511=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #436512=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #436513=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #436514=DIRECTION('',(0.,0.,1.)); #436515=DIRECTION('center_axis',(0.,-1.,0.)); #436516=DIRECTION('ref_axis',(1.,0.,0.)); #436517=DIRECTION('',(1.,0.,0.)); #436518=DIRECTION('',(1.,0.,0.)); #436519=DIRECTION('',(0.,0.,1.)); #436520=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #436521=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #436522=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #436523=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #436524=DIRECTION('',(0.,0.,1.)); #436525=DIRECTION('center_axis',(1.,0.,0.)); #436526=DIRECTION('ref_axis',(0.,1.,0.)); #436527=DIRECTION('',(0.,1.,0.)); #436528=DIRECTION('',(0.,1.,0.)); #436529=DIRECTION('',(0.,0.,1.)); #436530=DIRECTION('center_axis',(0.982611922978298,-0.18567123853977,0.)); #436531=DIRECTION('ref_axis',(0.18567123853977,0.982611922978298,0.)); #436532=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #436533=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #436534=DIRECTION('',(0.,0.,1.)); #436535=DIRECTION('center_axis',(0.839854000787644,-0.54281235925593,0.)); #436536=DIRECTION('ref_axis',(0.54281235925593,0.839854000787644,0.)); #436537=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #436538=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #436539=DIRECTION('',(0.,0.,1.)); #436540=DIRECTION('center_axis',(0.715039514836094,-0.699084038026161,0.)); #436541=DIRECTION('ref_axis',(0.699084038026161,0.715039514836094,0.)); #436542=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #436543=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #436544=DIRECTION('',(0.,0.,1.)); #436545=DIRECTION('center_axis',(0.563583164549436,-0.826059329973606,0.)); #436546=DIRECTION('ref_axis',(0.826059329973606,0.563583164549436,0.)); #436547=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #436548=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #436549=DIRECTION('',(0.,0.,1.)); #436550=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #436551=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #436552=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #436553=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #436554=DIRECTION('',(0.,0.,1.)); #436555=DIRECTION('center_axis',(0.,-1.,0.)); #436556=DIRECTION('ref_axis',(1.,0.,0.)); #436557=DIRECTION('',(1.,0.,0.)); #436558=DIRECTION('',(1.,0.,0.)); #436559=DIRECTION('',(0.,0.,1.)); #436560=DIRECTION('center_axis',(-0.196699828896315,-0.980463756246074, 0.)); #436561=DIRECTION('ref_axis',(0.980463756246074,-0.196699828896315,0.)); #436562=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #436563=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #436564=DIRECTION('',(0.,0.,1.)); #436565=DIRECTION('center_axis',(-0.56162882618623,-0.827389304739116,0.)); #436566=DIRECTION('ref_axis',(0.827389304739116,-0.56162882618623,0.)); #436567=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #436568=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #436569=DIRECTION('',(0.,0.,1.)); #436570=DIRECTION('center_axis',(-0.715039514836111,-0.699084038026144, 0.)); #436571=DIRECTION('ref_axis',(0.699084038026144,-0.715039514836111,0.)); #436572=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #436573=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #436574=DIRECTION('',(0.,0.,1.)); #436575=DIRECTION('center_axis',(-0.832343802234883,-0.554259681810952, 0.)); #436576=DIRECTION('ref_axis',(0.554259681810952,-0.832343802234883,0.)); #436577=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #436578=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #436579=DIRECTION('',(0.,0.,1.)); #436580=DIRECTION('center_axis',(-0.980008419561333,-0.198956019232641, 0.)); #436581=DIRECTION('ref_axis',(0.198956019232641,-0.980008419561333,0.)); #436582=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #436583=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #436584=DIRECTION('',(0.,0.,1.)); #436585=DIRECTION('center_axis',(-1.,0.,0.)); #436586=DIRECTION('ref_axis',(0.,-1.,0.)); #436587=DIRECTION('',(0.,-1.,0.)); #436588=DIRECTION('',(0.,-1.,0.)); #436589=DIRECTION('',(0.,0.,1.)); #436590=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #436591=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #436592=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #436593=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #436594=DIRECTION('',(0.,0.,1.)); #436595=DIRECTION('center_axis',(0.,-1.,0.)); #436596=DIRECTION('ref_axis',(1.,0.,0.)); #436597=DIRECTION('',(1.,0.,0.)); #436598=DIRECTION('',(1.,0.,0.)); #436599=DIRECTION('',(0.,0.,1.)); #436600=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #436601=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #436602=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #436603=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #436604=DIRECTION('',(0.,0.,1.)); #436605=DIRECTION('center_axis',(1.,0.,0.)); #436606=DIRECTION('ref_axis',(0.,1.,0.)); #436607=DIRECTION('',(0.,1.,0.)); #436608=DIRECTION('',(0.,1.,0.)); #436609=DIRECTION('',(0.,0.,1.)); #436610=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #436611=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #436612=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #436613=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #436614=DIRECTION('',(0.,0.,1.)); #436615=DIRECTION('center_axis',(0.,1.,0.)); #436616=DIRECTION('ref_axis',(-1.,0.,0.)); #436617=DIRECTION('',(-1.,0.,0.)); #436618=DIRECTION('',(-1.,0.,0.)); #436619=DIRECTION('',(0.,0.,1.)); #436620=DIRECTION('center_axis',(0.196699828896585,0.98046375624602,0.)); #436621=DIRECTION('ref_axis',(-0.98046375624602,0.196699828896585,0.)); #436622=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #436623=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #436624=DIRECTION('',(0.,0.,1.)); #436625=DIRECTION('center_axis',(0.561635254820536,0.82738494096924,0.)); #436626=DIRECTION('ref_axis',(-0.827384940969239,0.561635254820536,0.)); #436627=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #436628=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #436629=DIRECTION('',(0.,0.,1.)); #436630=DIRECTION('center_axis',(0.715042436930051,0.69908104922758,0.)); #436631=DIRECTION('ref_axis',(-0.69908104922758,0.715042436930051,0.)); #436632=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #436633=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #436634=DIRECTION('',(0.,0.,1.)); #436635=DIRECTION('center_axis',(0.832434679563789,0.554123185094734,0.)); #436636=DIRECTION('ref_axis',(-0.554123185094734,0.832434679563789,0.)); #436637=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #436638=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #436639=DIRECTION('',(0.,0.,1.)); #436640=DIRECTION('center_axis',(0.707102543829842,0.707111018517861,0.)); #436641=DIRECTION('ref_axis',(-0.707111018517861,0.707102543829842,0.)); #436642=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #436643=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #436644=DIRECTION('',(0.,0.,1.)); #436645=DIRECTION('center_axis',(0.71113578107923,0.703054692658283,0.)); #436646=DIRECTION('ref_axis',(-0.703054692658283,0.71113578107923,0.)); #436647=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #436648=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #436649=DIRECTION('',(0.,0.,1.)); #436650=DIRECTION('center_axis',(0.715080716772187,0.699041893237147,0.)); #436651=DIRECTION('ref_axis',(-0.699041893237147,0.715080716772187,0.)); #436652=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #436653=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #436654=DIRECTION('',(0.,0.,1.)); #436655=DIRECTION('center_axis',(0.828019304303592,0.56069959131481,0.)); #436656=DIRECTION('ref_axis',(-0.56069959131481,0.828019304303592,0.)); #436657=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #436658=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #436659=DIRECTION('',(0.,0.,1.)); #436660=DIRECTION('center_axis',(0.976685690837549,0.214674314516619,0.)); #436661=DIRECTION('ref_axis',(-0.214674314516619,0.976685690837549,0.)); #436662=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #436663=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #436664=DIRECTION('',(0.,0.,1.)); #436665=DIRECTION('center_axis',(0.983050529880602,-0.183334818573744,0.)); #436666=DIRECTION('ref_axis',(0.183334818573744,0.983050529880602,0.)); #436667=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #436668=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #436669=DIRECTION('',(0.,0.,1.)); #436670=DIRECTION('center_axis',(0.833659859749789,-0.552278225391843,0.)); #436671=DIRECTION('ref_axis',(0.552278225391843,0.833659859749789,0.)); #436672=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #436673=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #436674=DIRECTION('',(0.,0.,1.)); #436675=DIRECTION('center_axis',(0.707183795484354,-0.707029758499841,0.)); #436676=DIRECTION('ref_axis',(0.707029758499841,0.707183795484354,0.)); #436677=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #436678=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #436679=DIRECTION('',(0.,0.,1.)); #436680=DIRECTION('center_axis',(0.691067810975528,-0.722789928425605,0.)); #436681=DIRECTION('ref_axis',(0.722789928425605,0.691067810975528,0.)); #436682=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #436683=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #436684=DIRECTION('',(0.,0.,1.)); #436685=DIRECTION('center_axis',(0.675121408869211,-0.737706637686317,0.)); #436686=DIRECTION('ref_axis',(0.737706637686317,0.675121408869211,0.)); #436687=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #436688=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #436689=DIRECTION('',(0.,0.,1.)); #436690=DIRECTION('center_axis',(0.540479579461297,-0.841357132367308,0.)); #436691=DIRECTION('ref_axis',(0.841357132367308,0.540479579461297,0.)); #436692=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #436693=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #436694=DIRECTION('',(0.,0.,1.)); #436695=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #436696=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #436697=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #436698=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #436699=DIRECTION('',(0.,0.,1.)); #436700=DIRECTION('center_axis',(0.,-1.,0.)); #436701=DIRECTION('ref_axis',(1.,0.,0.)); #436702=DIRECTION('',(1.,0.,0.)); #436703=DIRECTION('',(1.,0.,0.)); #436704=DIRECTION('',(0.,0.,1.)); #436705=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #436706=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #436707=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #436708=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #436709=DIRECTION('',(0.,0.,1.)); #436710=DIRECTION('center_axis',(1.,0.,0.)); #436711=DIRECTION('ref_axis',(0.,1.,0.)); #436712=DIRECTION('',(0.,1.,0.)); #436713=DIRECTION('',(0.,1.,0.)); #436714=DIRECTION('',(0.,0.,1.)); #436715=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #436716=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #436717=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #436718=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #436719=DIRECTION('',(0.,0.,1.)); #436720=DIRECTION('center_axis',(0.,1.,0.)); #436721=DIRECTION('ref_axis',(-1.,0.,0.)); #436722=DIRECTION('',(-1.,0.,0.)); #436723=DIRECTION('',(-1.,0.,0.)); #436724=DIRECTION('',(0.,0.,1.)); #436725=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #436726=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #436727=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #436728=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #436729=DIRECTION('',(0.,0.,1.)); #436730=DIRECTION('center_axis',(0.538911466745984,0.842362410729308,0.)); #436731=DIRECTION('ref_axis',(-0.842362410729308,0.538911466745984,0.)); #436732=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #436733=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #436734=DIRECTION('',(0.,0.,1.)); #436735=DIRECTION('center_axis',(0.824745549033933,0.565504004715012,0.)); #436736=DIRECTION('ref_axis',(-0.565504004715012,0.824745549033933,0.)); #436737=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #436738=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #436739=DIRECTION('',(0.,0.,1.)); #436740=DIRECTION('center_axis',(0.980008419561333,0.198956019232641,0.)); #436741=DIRECTION('ref_axis',(-0.198956019232641,0.980008419561333,0.)); #436742=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #436743=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #436744=DIRECTION('',(0.,0.,1.)); #436745=DIRECTION('center_axis',(1.,0.,0.)); #436746=DIRECTION('ref_axis',(0.,1.,0.)); #436747=DIRECTION('',(0.,1.,0.)); #436748=DIRECTION('',(0.,1.,0.)); #436749=DIRECTION('',(0.,0.,1.)); #436750=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #436751=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #436752=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #436753=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #436754=DIRECTION('',(0.,0.,1.)); #436755=DIRECTION('center_axis',(0.820212632122557,0.572058771549381,0.)); #436756=DIRECTION('ref_axis',(-0.572058771549381,0.820212632122557,0.)); #436757=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #436758=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #436759=DIRECTION('',(0.,0.,1.)); #436760=DIRECTION('center_axis',(0.973151456886414,0.230165683714686,0.)); #436761=DIRECTION('ref_axis',(-0.230165683714686,0.973151456886414,0.)); #436762=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #436763=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #436764=DIRECTION('',(0.,0.,1.)); #436765=DIRECTION('center_axis',(0.985847618607377,-0.167643887112424,0.)); #436766=DIRECTION('ref_axis',(0.167643887112424,0.985847618607377,0.)); #436767=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #436768=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #436769=DIRECTION('',(0.,0.,1.)); #436770=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #436771=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #436772=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #436773=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #436774=DIRECTION('',(0.,0.,1.)); #436775=DIRECTION('center_axis',(0.565504004714897,-0.824745549034012,0.)); #436776=DIRECTION('ref_axis',(0.824745549034012,0.565504004714897,0.)); #436777=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #436778=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #436779=DIRECTION('',(0.,0.,1.)); #436780=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #436781=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #436782=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #436783=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #436784=DIRECTION('',(0.,0.,1.)); #436785=DIRECTION('center_axis',(0.,-1.,0.)); #436786=DIRECTION('ref_axis',(1.,0.,0.)); #436787=DIRECTION('',(1.,0.,0.)); #436788=DIRECTION('',(1.,0.,0.)); #436789=DIRECTION('',(0.,0.,1.)); #436790=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #436791=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #436792=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #436793=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #436794=DIRECTION('',(0.,0.,1.)); #436795=DIRECTION('center_axis',(1.,0.,0.)); #436796=DIRECTION('ref_axis',(0.,1.,0.)); #436797=DIRECTION('',(0.,1.,0.)); #436798=DIRECTION('',(0.,1.,0.)); #436799=DIRECTION('',(0.,0.,1.)); #436800=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #436801=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #436802=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #436803=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #436804=DIRECTION('',(0.,0.,1.)); #436805=DIRECTION('center_axis',(0.,1.,0.)); #436806=DIRECTION('ref_axis',(-1.,0.,0.)); #436807=DIRECTION('',(-1.,0.,0.)); #436808=DIRECTION('',(-1.,0.,0.)); #436809=DIRECTION('',(0.,0.,1.)); #436810=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #436811=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #436812=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #436813=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #436814=DIRECTION('',(0.,0.,1.)); #436815=DIRECTION('center_axis',(-1.,0.,0.)); #436816=DIRECTION('ref_axis',(0.,-1.,0.)); #436817=DIRECTION('',(0.,-1.,0.)); #436818=DIRECTION('',(0.,-1.,0.)); #436819=DIRECTION('',(0.,0.,1.)); #436820=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #436821=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436822=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436823=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #436824=DIRECTION('',(0.,0.,1.)); #436825=DIRECTION('center_axis',(0.,-1.,0.)); #436826=DIRECTION('ref_axis',(1.,0.,0.)); #436827=DIRECTION('',(1.,0.,0.)); #436828=DIRECTION('',(1.,0.,0.)); #436829=DIRECTION('',(0.,0.,1.)); #436830=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #436831=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #436832=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #436833=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #436834=DIRECTION('',(0.,0.,1.)); #436835=DIRECTION('center_axis',(-0.538911466745984,-0.842362410729308, 0.)); #436836=DIRECTION('ref_axis',(0.842362410729308,-0.538911466745984,0.)); #436837=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #436838=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #436839=DIRECTION('',(0.,0.,1.)); #436840=DIRECTION('center_axis',(-0.824745549033886,-0.565504004715081, 0.)); #436841=DIRECTION('ref_axis',(0.565504004715081,-0.824745549033886,0.)); #436842=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #436843=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #436844=DIRECTION('',(0.,0.,1.)); #436845=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #436846=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #436847=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #436848=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #436849=DIRECTION('',(0.,0.,1.)); #436850=DIRECTION('center_axis',(-1.,0.,0.)); #436851=DIRECTION('ref_axis',(0.,-1.,0.)); #436852=DIRECTION('',(0.,-1.,0.)); #436853=DIRECTION('',(0.,-1.,0.)); #436854=DIRECTION('',(0.,0.,1.)); #436855=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #436856=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #436857=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #436858=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #436859=DIRECTION('',(0.,0.,1.)); #436860=DIRECTION('center_axis',(-0.820212632122666,-0.572058771549225, 0.)); #436861=DIRECTION('ref_axis',(0.572058771549225,-0.820212632122666,0.)); #436862=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #436863=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #436864=DIRECTION('',(0.,0.,1.)); #436865=DIRECTION('center_axis',(-0.973151456886414,-0.230165683714686, 0.)); #436866=DIRECTION('ref_axis',(0.230165683714686,-0.973151456886414,0.)); #436867=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #436868=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #436869=DIRECTION('',(0.,0.,1.)); #436870=DIRECTION('center_axis',(-0.985847618607377,0.167643887112424,0.)); #436871=DIRECTION('ref_axis',(-0.167643887112424,-0.985847618607377,0.)); #436872=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #436873=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #436874=DIRECTION('',(0.,0.,1.)); #436875=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #436876=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #436877=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #436878=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #436879=DIRECTION('',(0.,0.,1.)); #436880=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #436881=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #436882=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #436883=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #436884=DIRECTION('',(0.,0.,1.)); #436885=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #436886=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #436887=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #436888=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #436889=DIRECTION('',(0.,0.,1.)); #436890=DIRECTION('center_axis',(0.,1.,0.)); #436891=DIRECTION('ref_axis',(-1.,0.,0.)); #436892=DIRECTION('',(-1.,0.,0.)); #436893=DIRECTION('',(-1.,0.,0.)); #436894=DIRECTION('',(0.,0.,1.)); #436895=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #436896=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #436897=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #436898=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #436899=DIRECTION('',(0.,0.,1.)); #436900=DIRECTION('center_axis',(-1.,0.,0.)); #436901=DIRECTION('ref_axis',(0.,-1.,0.)); #436902=DIRECTION('',(0.,-1.,0.)); #436903=DIRECTION('',(0.,-1.,0.)); #436904=DIRECTION('',(0.,0.,1.)); #436905=DIRECTION('center_axis',(-0.977078051684027,0.212881377572923,0.)); #436906=DIRECTION('ref_axis',(-0.212881377572923,-0.977078051684027,0.)); #436907=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #436908=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #436909=DIRECTION('',(0.,0.,1.)); #436910=DIRECTION('center_axis',(-0.80840798051023,0.588622576059882,0.)); #436911=DIRECTION('ref_axis',(-0.588622576059882,-0.80840798051023,0.)); #436912=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #436913=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #436914=DIRECTION('',(0.,0.,1.)); #436915=DIRECTION('center_axis',(-0.675123051628175,0.737705134291649,0.)); #436916=DIRECTION('ref_axis',(-0.737705134291649,-0.675123051628175,0.)); #436917=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #436918=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #436919=DIRECTION('',(0.,0.,1.)); #436920=DIRECTION('center_axis',(-0.540452160249097,0.841374745569466,0.)); #436921=DIRECTION('ref_axis',(-0.841374745569466,-0.540452160249097,0.)); #436922=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #436923=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #436924=DIRECTION('',(0.,0.,1.)); #436925=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #436926=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #436927=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #436928=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #436929=DIRECTION('',(0.,0.,1.)); #436930=DIRECTION('center_axis',(0.,1.,0.)); #436931=DIRECTION('ref_axis',(-1.,0.,0.)); #436932=DIRECTION('',(-1.,0.,0.)); #436933=DIRECTION('',(-1.,0.,0.)); #436934=DIRECTION('',(0.,0.,1.)); #436935=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #436936=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #436937=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #436938=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #436939=DIRECTION('',(0.,0.,1.)); #436940=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #436941=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #436942=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #436943=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #436944=DIRECTION('',(0.,0.,1.)); #436945=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #436946=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #436947=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #436948=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #436949=DIRECTION('',(0.,0.,1.)); #436950=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #436951=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #436952=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436953=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #436954=DIRECTION('',(0.,0.,1.)); #436955=DIRECTION('center_axis',(1.,0.,0.)); #436956=DIRECTION('ref_axis',(0.,1.,0.)); #436957=DIRECTION('',(0.,1.,0.)); #436958=DIRECTION('',(0.,1.,0.)); #436959=DIRECTION('',(0.,0.,1.)); #436960=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #436961=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #436962=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #436963=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #436964=DIRECTION('',(0.,0.,1.)); #436965=DIRECTION('center_axis',(0.,1.,0.)); #436966=DIRECTION('ref_axis',(-1.,0.,0.)); #436967=DIRECTION('',(-1.,0.,0.)); #436968=DIRECTION('',(-1.,0.,0.)); #436969=DIRECTION('',(0.,0.,1.)); #436970=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #436971=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #436972=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #436973=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #436974=DIRECTION('',(0.,0.,1.)); #436975=DIRECTION('center_axis',(-1.,0.,0.)); #436976=DIRECTION('ref_axis',(0.,-1.,0.)); #436977=DIRECTION('',(0.,-1.,0.)); #436978=DIRECTION('',(0.,-1.,0.)); #436979=DIRECTION('',(0.,0.,1.)); #436980=DIRECTION('center_axis',(-0.707106781186581,-0.707106781186514, 0.)); #436981=DIRECTION('ref_axis',(0.707106781186514,-0.707106781186581,0.)); #436982=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #436983=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #436984=DIRECTION('',(0.,0.,1.)); #436985=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #436986=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #436987=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #436988=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #436989=DIRECTION('',(0.,0.,1.)); #436990=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #436991=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #436992=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #436993=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #436994=DIRECTION('',(0.,0.,1.)); #436995=DIRECTION('center_axis',(-0.985842168327545,0.167675934907917,0.)); #436996=DIRECTION('ref_axis',(-0.167675934907917,-0.985842168327545,0.)); #436997=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #436998=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #436999=DIRECTION('',(0.,0.,1.)); #437000=DIRECTION('center_axis',(-0.84237737907358,0.538888069291876,0.)); #437001=DIRECTION('ref_axis',(-0.538888069291876,-0.84237737907358,0.)); #437002=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #437003=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #437004=DIRECTION('',(0.,0.,1.)); #437005=DIRECTION('center_axis',(-0.565488626065756,0.824756093515085,0.)); #437006=DIRECTION('ref_axis',(-0.824756093515085,-0.565488626065756,0.)); #437007=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #437008=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #437009=DIRECTION('',(0.,0.,1.)); #437010=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #437011=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #437012=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437013=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437014=DIRECTION('',(0.,0.,1.)); #437015=DIRECTION('center_axis',(0.,1.,0.)); #437016=DIRECTION('ref_axis',(-1.,0.,0.)); #437017=DIRECTION('',(-1.,0.,0.)); #437018=DIRECTION('',(-1.,0.,0.)); #437019=DIRECTION('',(0.,0.,1.)); #437020=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #437021=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #437022=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #437023=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #437024=DIRECTION('',(0.,0.,1.)); #437025=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #437026=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #437027=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #437028=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #437029=DIRECTION('',(0.,0.,1.)); #437030=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #437031=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #437032=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437033=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #437034=DIRECTION('',(0.,0.,1.)); #437035=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #437036=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #437037=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #437038=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #437039=DIRECTION('',(0.,0.,1.)); #437040=DIRECTION('center_axis',(1.,0.,0.)); #437041=DIRECTION('ref_axis',(0.,1.,0.)); #437042=DIRECTION('',(0.,1.,0.)); #437043=DIRECTION('',(0.,1.,0.)); #437044=DIRECTION('',(0.,0.,1.)); #437045=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437046=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437047=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437048=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437049=DIRECTION('',(0.,0.,1.)); #437050=DIRECTION('center_axis',(0.,1.,0.)); #437051=DIRECTION('ref_axis',(-1.,0.,0.)); #437052=DIRECTION('',(-1.,0.,0.)); #437053=DIRECTION('',(-1.,0.,0.)); #437054=DIRECTION('',(0.,0.,1.)); #437055=DIRECTION('center_axis',(0.199846156682573,0.979827287668191,0.)); #437056=DIRECTION('ref_axis',(-0.979827287668191,0.199846156682573,0.)); #437057=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #437058=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #437059=DIRECTION('',(0.,0.,1.)); #437060=DIRECTION('center_axis',(0.566905176607873,0.823783054411291,0.)); #437061=DIRECTION('ref_axis',(-0.823783054411291,0.566905176607873,0.)); #437062=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #437063=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #437064=DIRECTION('',(0.,0.,1.)); #437065=DIRECTION('center_axis',(0.719515510730693,0.694476370957249,0.)); #437066=DIRECTION('ref_axis',(-0.694476370957248,0.719515510730693,0.)); #437067=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #437068=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #437069=DIRECTION('',(0.,0.,1.)); #437070=DIRECTION('center_axis',(0.834178434634006,0.551494641126783,0.)); #437071=DIRECTION('ref_axis',(-0.551494641126783,0.834178434634006,0.)); #437072=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #437073=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #437074=DIRECTION('',(0.,0.,1.)); #437075=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437076=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437077=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437078=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #437079=DIRECTION('',(0.,0.,1.)); #437080=DIRECTION('center_axis',(0.712640885669669,0.701529021546472,0.)); #437081=DIRECTION('ref_axis',(-0.701529021546472,0.712640885669669,0.)); #437082=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #437083=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #437084=DIRECTION('',(0.,0.,1.)); #437085=DIRECTION('center_axis',(0.71951552769576,0.694476353380511,0.)); #437086=DIRECTION('ref_axis',(-0.694476353380511,0.71951552769576,0.)); #437087=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #437088=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #437089=DIRECTION('',(0.,0.,1.)); #437090=DIRECTION('center_axis',(0.,1.,0.)); #437091=DIRECTION('ref_axis',(-1.,0.,0.)); #437092=DIRECTION('',(-1.,0.,0.)); #437093=DIRECTION('',(-1.,0.,0.)); #437094=DIRECTION('',(0.,0.,1.)); #437095=DIRECTION('center_axis',(0.00962918318140685,0.999953638340928, 0.)); #437096=DIRECTION('ref_axis',(-0.999953638340928,0.00962918318140685,0.)); #437097=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #437098=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #437099=DIRECTION('',(0.,0.,1.)); #437100=DIRECTION('center_axis',(0.0177027626757272,0.99984329381841,0.)); #437101=DIRECTION('ref_axis',(-0.99984329381841,0.0177027626757272,0.)); #437102=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #437103=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #437104=DIRECTION('',(0.,0.,1.)); #437105=DIRECTION('center_axis',(-0.19891199786221,0.980017355512883,0.)); #437106=DIRECTION('ref_axis',(-0.980017355512883,-0.19891199786221,0.)); #437107=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #437108=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #437109=DIRECTION('',(0.,0.,1.)); #437110=DIRECTION('center_axis',(0.,1.,0.)); #437111=DIRECTION('ref_axis',(-1.,0.,0.)); #437112=DIRECTION('',(-1.,0.,0.)); #437113=DIRECTION('',(-1.,0.,0.)); #437114=DIRECTION('',(0.,0.,1.)); #437115=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #437116=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #437117=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #437118=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #437119=DIRECTION('',(0.,0.,1.)); #437120=DIRECTION('center_axis',(0.525373540973398,0.850871695642224,0.)); #437121=DIRECTION('ref_axis',(-0.850871695642224,0.525373540973398,0.)); #437122=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #437123=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #437124=DIRECTION('',(0.,0.,1.)); #437125=DIRECTION('center_axis',(0.81569385217887,0.578483828224779,0.)); #437126=DIRECTION('ref_axis',(-0.578483828224779,0.81569385217887,0.)); #437127=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #437128=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #437129=DIRECTION('',(0.,0.,1.)); #437130=DIRECTION('center_axis',(0.976685690837551,0.21467431451661,0.)); #437131=DIRECTION('ref_axis',(-0.21467431451661,0.976685690837551,0.)); #437132=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #437133=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #437134=DIRECTION('',(0.,0.,1.)); #437135=DIRECTION('center_axis',(0.98305052988062,-0.183334818573646,0.)); #437136=DIRECTION('ref_axis',(0.183334818573646,0.98305052988062,0.)); #437137=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #437138=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #437139=DIRECTION('',(0.,0.,1.)); #437140=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #437141=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #437142=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #437143=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #437144=DIRECTION('',(0.,0.,1.)); #437145=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #437146=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #437147=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #437148=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #437149=DIRECTION('',(0.,0.,1.)); #437150=DIRECTION('center_axis',(1.,0.,0.)); #437151=DIRECTION('ref_axis',(0.,1.,0.)); #437152=DIRECTION('',(0.,1.,0.)); #437153=DIRECTION('',(0.,1.,0.)); #437154=DIRECTION('',(0.,0.,1.)); #437155=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #437156=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #437157=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #437158=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #437159=DIRECTION('',(0.,0.,1.)); #437160=DIRECTION('center_axis',(0.842362410729284,-0.538911466746022,0.)); #437161=DIRECTION('ref_axis',(0.538911466746022,0.842362410729284,0.)); #437162=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #437163=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #437164=DIRECTION('',(0.,0.,1.)); #437165=DIRECTION('center_axis',(0.565504004714981,-0.824745549033954,0.)); #437166=DIRECTION('ref_axis',(0.824745549033954,0.565504004714981,0.)); #437167=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #437168=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #437169=DIRECTION('',(0.,0.,1.)); #437170=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #437171=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #437172=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #437173=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #437174=DIRECTION('',(0.,0.,1.)); #437175=DIRECTION('center_axis',(0.,-1.,0.)); #437176=DIRECTION('ref_axis',(1.,0.,0.)); #437177=DIRECTION('',(1.,0.,0.)); #437178=DIRECTION('',(1.,0.,0.)); #437179=DIRECTION('',(0.,0.,1.)); #437180=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #437181=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #437182=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #437183=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #437184=DIRECTION('',(0.,0.,1.)); #437185=DIRECTION('center_axis',(1.,0.,0.)); #437186=DIRECTION('ref_axis',(0.,1.,0.)); #437187=DIRECTION('',(0.,1.,0.)); #437188=DIRECTION('',(0.,1.,0.)); #437189=DIRECTION('',(0.,0.,1.)); #437190=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #437191=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #437192=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #437193=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #437194=DIRECTION('',(0.,0.,1.)); #437195=DIRECTION('center_axis',(0.,1.,0.)); #437196=DIRECTION('ref_axis',(-1.,0.,0.)); #437197=DIRECTION('',(-1.,0.,0.)); #437198=DIRECTION('',(-1.,0.,0.)); #437199=DIRECTION('',(0.,0.,1.)); #437200=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #437201=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #437202=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #437203=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #437204=DIRECTION('',(0.,0.,1.)); #437205=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #437206=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #437207=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #437208=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #437209=DIRECTION('',(0.,0.,1.)); #437210=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #437211=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #437212=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #437213=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #437214=DIRECTION('',(0.,0.,1.)); #437215=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #437216=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #437217=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #437218=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #437219=DIRECTION('',(0.,0.,1.)); #437220=DIRECTION('center_axis',(1.,0.,0.)); #437221=DIRECTION('ref_axis',(0.,1.,0.)); #437222=DIRECTION('',(0.,1.,0.)); #437223=DIRECTION('',(0.,1.,0.)); #437224=DIRECTION('',(0.,0.,1.)); #437225=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #437226=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437227=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437228=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #437229=DIRECTION('',(0.,0.,1.)); #437230=DIRECTION('center_axis',(0.,1.,0.)); #437231=DIRECTION('ref_axis',(-1.,0.,0.)); #437232=DIRECTION('',(-1.,0.,0.)); #437233=DIRECTION('',(-1.,0.,0.)); #437234=DIRECTION('',(0.,0.,1.)); #437235=DIRECTION('center_axis',(0.191149856928741,0.981560865252951,0.)); #437236=DIRECTION('ref_axis',(-0.981560865252951,0.191149856928741,0.)); #437237=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #437238=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #437239=DIRECTION('',(0.,0.,1.)); #437240=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #437241=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #437242=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #437243=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #437244=DIRECTION('',(0.,0.,1.)); #437245=DIRECTION('center_axis',(0.707104777838369,0.707108784529051,0.)); #437246=DIRECTION('ref_axis',(-0.707108784529051,0.707104777838369,0.)); #437247=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #437248=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #437249=DIRECTION('center_axis',(0.,1.,0.)); #437250=DIRECTION('ref_axis',(-1.,0.,0.)); #437251=DIRECTION('',(-1.,0.,0.)); #437252=DIRECTION('',(0.,0.,1.)); #437253=DIRECTION('',(-1.,0.,0.)); #437254=DIRECTION('',(0.,0.,1.)); #437255=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #437256=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #437257=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #437258=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #437259=DIRECTION('',(0.,0.,1.)); #437260=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #437261=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #437262=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #437263=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #437264=DIRECTION('',(0.,0.,1.)); #437265=DIRECTION('center_axis',(-0.506114900434793,0.862466061684679,0.)); #437266=DIRECTION('ref_axis',(-0.862466061684679,-0.506114900434793,0.)); #437267=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #437268=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #437269=DIRECTION('',(0.,0.,1.)); #437270=DIRECTION('center_axis',(-0.652508824452065,0.757781125399798,0.)); #437271=DIRECTION('ref_axis',(-0.757781125399798,-0.652508824452065,0.)); #437272=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #437273=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #437274=DIRECTION('',(0.,0.,1.)); #437275=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #437276=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #437277=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #437278=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #437279=DIRECTION('',(0.,0.,1.)); #437280=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #437281=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #437282=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #437283=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #437284=DIRECTION('',(0.,0.,1.)); #437285=DIRECTION('center_axis',(-0.19895601923243,0.980008419561376,0.)); #437286=DIRECTION('ref_axis',(-0.980008419561375,-0.19895601923243,0.)); #437287=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #437288=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #437289=DIRECTION('',(0.,0.,1.)); #437290=DIRECTION('center_axis',(0.,1.,0.)); #437291=DIRECTION('ref_axis',(-1.,0.,0.)); #437292=DIRECTION('',(-1.,0.,0.)); #437293=DIRECTION('',(-1.,0.,0.)); #437294=DIRECTION('',(0.,0.,1.)); #437295=DIRECTION('center_axis',(-0.105885061288698,0.994378375567313,0.)); #437296=DIRECTION('ref_axis',(-0.994378375567313,-0.105885061288698,0.)); #437297=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #437298=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #437299=DIRECTION('',(0.,0.,1.)); #437300=DIRECTION('center_axis',(-0.312922107778508,0.949778792384551,0.)); #437301=DIRECTION('ref_axis',(-0.949778792384551,-0.312922107778508,0.)); #437302=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #437303=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #437304=DIRECTION('',(0.,0.,1.)); #437305=DIRECTION('center_axis',(-0.506114900434878,0.862466061684628,0.)); #437306=DIRECTION('ref_axis',(-0.862466061684628,-0.506114900434878,0.)); #437307=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #437308=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #437309=DIRECTION('',(0.,0.,1.)); #437310=DIRECTION('center_axis',(-0.652508824452,0.757781125399854,0.)); #437311=DIRECTION('ref_axis',(-0.757781125399854,-0.652508824452,0.)); #437312=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #437313=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #437314=DIRECTION('',(0.,0.,1.)); #437315=DIRECTION('center_axis',(-0.707107255683049,0.707106306689728,0.)); #437316=DIRECTION('ref_axis',(-0.707106306689728,-0.707107255683049,0.)); #437317=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #437318=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #437319=DIRECTION('',(0.,0.,1.)); #437320=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #437321=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #437322=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #437323=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #437324=DIRECTION('',(0.,0.,1.)); #437325=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #437326=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #437327=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #437328=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #437329=DIRECTION('',(0.,0.,1.)); #437330=DIRECTION('center_axis',(-0.967662278077973,0.25224931235773,0.)); #437331=DIRECTION('ref_axis',(-0.25224931235773,-0.967662278077973,0.)); #437332=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #437333=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #437334=DIRECTION('',(0.,0.,1.)); #437335=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #437336=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #437337=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #437338=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #437339=DIRECTION('',(0.,0.,1.)); #437340=DIRECTION('center_axis',(-1.,0.,0.)); #437341=DIRECTION('ref_axis',(0.,-1.,0.)); #437342=DIRECTION('',(0.,-1.,0.)); #437343=DIRECTION('',(0.,-1.,0.)); #437344=DIRECTION('',(0.,0.,1.)); #437345=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #437346=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #437347=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #437348=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #437349=DIRECTION('',(0.,0.,1.)); #437350=DIRECTION('center_axis',(-0.833659859749844,0.552278225391759,0.)); #437351=DIRECTION('ref_axis',(-0.552278225391759,-0.833659859749844,0.)); #437352=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #437353=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #437354=DIRECTION('',(0.,0.,1.)); #437355=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #437356=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #437357=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #437358=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #437359=DIRECTION('',(0.,0.,1.)); #437360=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #437361=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #437362=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #437363=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #437364=DIRECTION('',(0.,0.,1.)); #437365=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #437366=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #437367=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437368=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #437369=DIRECTION('',(0.,0.,1.)); #437370=DIRECTION('center_axis',(0.,1.,0.)); #437371=DIRECTION('ref_axis',(-1.,0.,0.)); #437372=DIRECTION('',(-1.,0.,0.)); #437373=DIRECTION('',(-1.,0.,0.)); #437374=DIRECTION('',(0.,0.,1.)); #437375=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #437376=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #437377=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #437378=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #437379=DIRECTION('',(0.,0.,1.)); #437380=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #437381=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #437382=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #437383=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #437384=DIRECTION('',(0.,0.,1.)); #437385=DIRECTION('center_axis',(-0.506114900434501,0.86246606168485,0.)); #437386=DIRECTION('ref_axis',(-0.86246606168485,-0.506114900434501,0.)); #437387=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #437388=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #437389=DIRECTION('',(0.,0.,1.)); #437390=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #437391=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #437392=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #437393=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #437394=DIRECTION('',(0.,0.,1.)); #437395=DIRECTION('center_axis',(-0.707107255683045,0.707106306689732,0.)); #437396=DIRECTION('ref_axis',(-0.707106306689732,-0.707107255683045,0.)); #437397=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #437398=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #437399=DIRECTION('',(0.,0.,1.)); #437400=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #437401=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #437402=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #437403=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #437404=DIRECTION('',(0.,0.,1.)); #437405=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #437406=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #437407=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #437408=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #437409=DIRECTION('',(0.,0.,1.)); #437410=DIRECTION('center_axis',(-0.967662278077998,0.252249312357634,0.)); #437411=DIRECTION('ref_axis',(-0.252249312357634,-0.967662278077998,0.)); #437412=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #437413=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #437414=DIRECTION('',(0.,0.,1.)); #437415=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #437416=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #437417=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #437418=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #437419=DIRECTION('',(0.,0.,1.)); #437420=DIRECTION('center_axis',(-1.,0.,0.)); #437421=DIRECTION('ref_axis',(0.,-1.,0.)); #437422=DIRECTION('',(0.,-1.,0.)); #437423=DIRECTION('',(0.,-1.,0.)); #437424=DIRECTION('',(0.,0.,1.)); #437425=DIRECTION('center_axis',(-0.994378375567317,-0.105885061288662, 0.)); #437426=DIRECTION('ref_axis',(0.105885061288662,-0.994378375567317,0.)); #437427=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #437428=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #437429=DIRECTION('',(0.,0.,1.)); #437430=DIRECTION('center_axis',(-0.949778792384449,-0.312922107778818, 0.)); #437431=DIRECTION('ref_axis',(0.312922107778818,-0.949778792384449,0.)); #437432=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #437433=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #437434=DIRECTION('',(0.,0.,1.)); #437435=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #437436=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #437437=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #437438=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #437439=DIRECTION('',(0.,0.,1.)); #437440=DIRECTION('center_axis',(-0.757781125399818,-0.652508824452042, 0.)); #437441=DIRECTION('ref_axis',(0.652508824452042,-0.757781125399818,0.)); #437442=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #437443=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #437444=DIRECTION('',(0.,0.,1.)); #437445=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #437446=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #437447=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #437448=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #437449=DIRECTION('',(0.,0.,1.)); #437450=DIRECTION('center_axis',(-0.628203826084816,-0.77804881138165,0.)); #437451=DIRECTION('ref_axis',(0.77804881138165,-0.628203826084816,0.)); #437452=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #437453=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #437454=DIRECTION('',(0.,0.,1.)); #437455=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #437456=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #437457=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #437458=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #437459=DIRECTION('',(0.,0.,1.)); #437460=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #437461=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #437462=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #437463=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #437464=DIRECTION('',(0.,0.,1.)); #437465=DIRECTION('center_axis',(-0.074270689841797,-0.997238118320005, 0.)); #437466=DIRECTION('ref_axis',(0.997238118320005,-0.074270689841797,0.)); #437467=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #437468=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #437469=DIRECTION('',(0.,0.,1.)); #437470=DIRECTION('center_axis',(0.,-1.,0.)); #437471=DIRECTION('ref_axis',(1.,0.,0.)); #437472=DIRECTION('',(1.,0.,0.)); #437473=DIRECTION('',(1.,0.,0.)); #437474=DIRECTION('',(0.,0.,1.)); #437475=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #437476=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #437477=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #437478=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #437479=DIRECTION('',(0.,0.,1.)); #437480=DIRECTION('center_axis',(0.312935976921603,-0.949774222827785,0.)); #437481=DIRECTION('ref_axis',(0.949774222827785,0.312935976921603,0.)); #437482=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #437483=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #437484=DIRECTION('',(0.,0.,1.)); #437485=DIRECTION('center_axis',(0.506095628368333,-0.862477370686595,0.)); #437486=DIRECTION('ref_axis',(0.862477370686595,0.506095628368333,0.)); #437487=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #437488=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #437489=DIRECTION('',(0.,0.,1.)); #437490=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #437491=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #437492=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #437493=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #437494=DIRECTION('',(0.,0.,1.)); #437495=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #437496=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #437497=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #437498=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #437499=DIRECTION('',(0.,0.,1.)); #437500=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #437501=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #437502=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #437503=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #437504=DIRECTION('',(0.,0.,1.)); #437505=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #437506=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #437507=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #437508=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #437509=DIRECTION('',(0.,0.,1.)); #437510=DIRECTION('center_axis',(-0.167643887112186,-0.985847618607418, 0.)); #437511=DIRECTION('ref_axis',(0.985847618607418,-0.167643887112186,0.)); #437512=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #437513=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #437514=DIRECTION('',(0.,0.,1.)); #437515=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #437516=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #437517=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #437518=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #437519=DIRECTION('',(0.,0.,1.)); #437520=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #437521=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #437522=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #437523=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #437524=DIRECTION('',(0.,0.,1.)); #437525=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #437526=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #437527=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437528=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437529=DIRECTION('',(0.,0.,1.)); #437530=DIRECTION('center_axis',(-1.,0.,0.)); #437531=DIRECTION('ref_axis',(0.,-1.,0.)); #437532=DIRECTION('',(0.,-1.,0.)); #437533=DIRECTION('',(0.,-1.,0.)); #437534=DIRECTION('',(0.,0.,1.)); #437535=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #437536=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #437537=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #437538=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #437539=DIRECTION('',(0.,0.,1.)); #437540=DIRECTION('center_axis',(0.,-1.,0.)); #437541=DIRECTION('ref_axis',(1.,0.,0.)); #437542=DIRECTION('',(1.,0.,0.)); #437543=DIRECTION('',(1.,0.,0.)); #437544=DIRECTION('',(0.,0.,1.)); #437545=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #437546=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #437547=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #437548=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #437549=DIRECTION('',(0.,0.,1.)); #437550=DIRECTION('center_axis',(1.,0.,0.)); #437551=DIRECTION('ref_axis',(0.,1.,0.)); #437552=DIRECTION('',(0.,1.,0.)); #437553=DIRECTION('',(0.,1.,0.)); #437554=DIRECTION('',(0.,0.,1.)); #437555=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #437556=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #437557=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #437558=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #437559=DIRECTION('',(0.,0.,1.)); #437560=DIRECTION('center_axis',(0.842362410729415,-0.538911466745818,0.)); #437561=DIRECTION('ref_axis',(0.538911466745818,0.842362410729414,0.)); #437562=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #437563=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #437564=DIRECTION('',(0.,0.,1.)); #437565=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #437566=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #437567=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #437568=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #437569=DIRECTION('',(0.,0.,1.)); #437570=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #437571=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #437572=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #437573=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #437574=DIRECTION('',(0.,0.,1.)); #437575=DIRECTION('center_axis',(0.,-1.,0.)); #437576=DIRECTION('ref_axis',(1.,0.,0.)); #437577=DIRECTION('',(1.,0.,0.)); #437578=DIRECTION('',(1.,0.,0.)); #437579=DIRECTION('',(0.,0.,1.)); #437580=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #437581=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #437582=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #437583=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #437584=DIRECTION('',(0.,0.,1.)); #437585=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #437586=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #437587=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #437588=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #437589=DIRECTION('',(0.,0.,1.)); #437590=DIRECTION('center_axis',(0.50609562836829,-0.86247737068662,0.)); #437591=DIRECTION('ref_axis',(0.86247737068662,0.50609562836829,0.)); #437592=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #437593=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #437594=DIRECTION('',(0.,0.,1.)); #437595=DIRECTION('center_axis',(0.65250882445237,-0.757781125399535,0.)); #437596=DIRECTION('ref_axis',(0.757781125399535,0.65250882445237,0.)); #437597=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #437598=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #437599=DIRECTION('',(0.,0.,1.)); #437600=DIRECTION('center_axis',(0.707107136476326,-0.707106425896591,0.)); #437601=DIRECTION('ref_axis',(0.707106425896591,0.707107136476326,0.)); #437602=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #437603=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #437604=DIRECTION('',(0.,0.,1.)); #437605=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #437606=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #437607=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #437608=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #437609=DIRECTION('',(0.,0.,1.)); #437610=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #437611=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #437612=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #437613=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #437614=DIRECTION('',(0.,0.,1.)); #437615=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #437616=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #437617=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #437618=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #437619=DIRECTION('',(0.,0.,1.)); #437620=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #437621=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #437622=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #437623=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #437624=DIRECTION('',(0.,0.,1.)); #437625=DIRECTION('center_axis',(1.,0.,0.)); #437626=DIRECTION('ref_axis',(0.,1.,0.)); #437627=DIRECTION('',(0.,1.,0.)); #437628=DIRECTION('',(0.,1.,0.)); #437629=DIRECTION('',(0.,0.,1.)); #437630=DIRECTION('center_axis',(0.983056036329401,-0.183305290257339,0.)); #437631=DIRECTION('ref_axis',(0.183305290257339,0.983056036329401,0.)); #437632=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #437633=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #437634=DIRECTION('',(0.,0.,1.)); #437635=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #437636=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #437637=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #437638=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #437639=DIRECTION('',(0.,0.,1.)); #437640=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #437641=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #437642=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #437643=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #437644=DIRECTION('',(0.,0.,1.)); #437645=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #437646=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #437647=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #437648=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #437649=DIRECTION('',(0.,0.,1.)); #437650=DIRECTION('center_axis',(0.,-1.,0.)); #437651=DIRECTION('ref_axis',(1.,0.,0.)); #437652=DIRECTION('',(1.,0.,0.)); #437653=DIRECTION('',(1.,0.,0.)); #437654=DIRECTION('',(0.,0.,1.)); #437655=DIRECTION('center_axis',(0.105885061288345,-0.994378375567351,0.)); #437656=DIRECTION('ref_axis',(0.994378375567351,0.105885061288345,0.)); #437657=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #437658=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #437659=DIRECTION('',(0.,0.,1.)); #437660=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #437661=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #437662=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #437663=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #437664=DIRECTION('',(0.,0.,1.)); #437665=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #437666=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #437667=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #437668=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #437669=DIRECTION('',(0.,0.,1.)); #437670=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #437671=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #437672=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #437673=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #437674=DIRECTION('',(0.,0.,1.)); #437675=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #437676=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #437677=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #437678=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #437679=DIRECTION('',(0.,0.,1.)); #437680=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #437681=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #437682=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #437683=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #437684=DIRECTION('',(0.,0.,1.)); #437685=DIRECTION('center_axis',(0.892938781493025,-0.45017811197986,0.)); #437686=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #437687=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #437688=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #437689=DIRECTION('',(0.,0.,1.)); #437690=DIRECTION('center_axis',(0.967662278077997,-0.252249312357638,0.)); #437691=DIRECTION('ref_axis',(0.252249312357638,0.967662278077997,0.)); #437692=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #437693=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #437694=DIRECTION('',(0.,0.,1.)); #437695=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #437696=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #437697=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #437698=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #437699=DIRECTION('',(0.,0.,1.)); #437700=DIRECTION('center_axis',(1.,0.,0.)); #437701=DIRECTION('ref_axis',(0.,1.,0.)); #437702=DIRECTION('',(0.,1.,0.)); #437703=DIRECTION('',(0.,1.,0.)); #437704=DIRECTION('',(0.,0.,1.)); #437705=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #437706=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #437707=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #437708=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #437709=DIRECTION('',(0.,0.,1.)); #437710=DIRECTION('center_axis',(0.949778792384428,0.312922107778882,0.)); #437711=DIRECTION('ref_axis',(-0.312922107778882,0.949778792384428,0.)); #437712=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #437713=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #437714=DIRECTION('',(0.,0.,1.)); #437715=DIRECTION('center_axis',(0.862466061684714,0.506114900434732,0.)); #437716=DIRECTION('ref_axis',(-0.506114900434732,0.862466061684714,0.)); #437717=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #437718=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #437719=DIRECTION('',(0.,0.,1.)); #437720=DIRECTION('center_axis',(0.757781125399723,0.652508824452152,0.)); #437721=DIRECTION('ref_axis',(-0.652508824452152,0.757781125399723,0.)); #437722=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #437723=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #437724=DIRECTION('',(0.,0.,1.)); #437725=DIRECTION('center_axis',(0.70710630668973,0.707107255683047,0.)); #437726=DIRECTION('ref_axis',(-0.707107255683047,0.70710630668973,0.)); #437727=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #437728=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #437729=DIRECTION('',(0.,0.,1.)); #437730=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #437731=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #437732=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #437733=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #437734=DIRECTION('',(0.,0.,1.)); #437735=DIRECTION('center_axis',(0.450178111980232,0.892938781492837,0.)); #437736=DIRECTION('ref_axis',(-0.892938781492837,0.450178111980232,0.)); #437737=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #437738=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #437739=DIRECTION('',(0.,0.,1.)); #437740=DIRECTION('center_axis',(0.252249312357474,0.96766227807804,0.)); #437741=DIRECTION('ref_axis',(-0.96766227807804,0.252249312357474,0.)); #437742=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #437743=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #437744=DIRECTION('',(0.,0.,1.)); #437745=DIRECTION('center_axis',(0.0742706898417872,0.997238118320005,0.)); #437746=DIRECTION('ref_axis',(-0.997238118320005,0.0742706898417872,0.)); #437747=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #437748=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #437749=DIRECTION('center_axis',(0.,1.,0.)); #437750=DIRECTION('ref_axis',(-1.,0.,0.)); #437751=DIRECTION('',(-1.,0.,0.)); #437752=DIRECTION('',(0.,0.,1.)); #437753=DIRECTION('',(-1.,0.,0.)); #437754=DIRECTION('',(0.,0.,1.)); #437755=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #437756=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #437757=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #437758=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #437759=DIRECTION('',(0.,0.,1.)); #437760=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #437761=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #437762=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #437763=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #437764=DIRECTION('',(0.,0.,1.)); #437765=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #437766=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #437767=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #437768=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #437769=DIRECTION('',(0.,0.,1.)); #437770=DIRECTION('center_axis',(0.,1.,0.)); #437771=DIRECTION('ref_axis',(-1.,0.,0.)); #437772=DIRECTION('',(-1.,0.,0.)); #437773=DIRECTION('',(-1.,0.,0.)); #437774=DIRECTION('',(0.,0.,1.)); #437775=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #437776=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437777=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437778=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #437779=DIRECTION('',(0.,0.,1.)); #437780=DIRECTION('center_axis',(-1.,0.,0.)); #437781=DIRECTION('ref_axis',(0.,-1.,0.)); #437782=DIRECTION('',(0.,-1.,0.)); #437783=DIRECTION('',(0.,-1.,0.)); #437784=DIRECTION('',(0.,0.,1.)); #437785=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #437786=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #437787=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #437788=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #437789=DIRECTION('',(0.,0.,1.)); #437790=DIRECTION('center_axis',(0.,-1.,0.)); #437791=DIRECTION('ref_axis',(1.,0.,0.)); #437792=DIRECTION('',(1.,0.,0.)); #437793=DIRECTION('',(1.,0.,0.)); #437794=DIRECTION('',(0.,0.,1.)); #437795=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #437796=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #437797=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #437798=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #437799=DIRECTION('',(0.,0.,1.)); #437800=DIRECTION('center_axis',(-0.525373540973715,-0.850871695642028, 0.)); #437801=DIRECTION('ref_axis',(0.850871695642028,-0.525373540973715,0.)); #437802=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #437803=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #437804=DIRECTION('',(0.,0.,1.)); #437805=DIRECTION('center_axis',(-0.81569385217891,-0.578483828224723,0.)); #437806=DIRECTION('ref_axis',(0.578483828224723,-0.81569385217891,0.)); #437807=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #437808=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #437809=DIRECTION('',(0.,0.,1.)); #437810=DIRECTION('center_axis',(-0.97668569083752,-0.21467431451675,0.)); #437811=DIRECTION('ref_axis',(0.21467431451675,-0.97668569083752,0.)); #437812=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #437813=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #437814=DIRECTION('',(0.,0.,1.)); #437815=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #437816=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #437817=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #437818=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #437819=DIRECTION('',(0.,0.,1.)); #437820=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #437821=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #437822=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #437823=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #437824=DIRECTION('',(0.,0.,1.)); #437825=DIRECTION('center_axis',(-0.707107405166971,0.707106157205574,0.)); #437826=DIRECTION('ref_axis',(-0.707106157205574,-0.707107405166971,0.)); #437827=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #437828=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #437829=DIRECTION('',(0.,0.,1.)); #437830=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #437831=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #437832=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #437833=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #437834=DIRECTION('',(0.,0.,1.)); #437835=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #437836=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #437837=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #437838=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #437839=DIRECTION('',(0.,0.,1.)); #437840=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #437841=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #437842=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #437843=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #437844=DIRECTION('',(0.,0.,1.)); #437845=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #437846=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #437847=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #437848=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #437849=DIRECTION('',(0.,0.,1.)); #437850=DIRECTION('center_axis',(-1.,0.,0.)); #437851=DIRECTION('ref_axis',(0.,-1.,0.)); #437852=DIRECTION('',(0.,-1.,0.)); #437853=DIRECTION('',(0.,-1.,0.)); #437854=DIRECTION('',(0.,0.,1.)); #437855=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #437856=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #437857=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #437858=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #437859=DIRECTION('',(0.,0.,1.)); #437860=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #437861=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #437862=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #437863=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #437864=DIRECTION('',(0.,0.,1.)); #437865=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #437866=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #437867=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #437868=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #437869=DIRECTION('',(0.,0.,1.)); #437870=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #437871=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #437872=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #437873=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #437874=DIRECTION('',(0.,0.,1.)); #437875=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #437876=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #437877=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #437878=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #437879=DIRECTION('',(0.,0.,1.)); #437880=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #437881=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #437882=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #437883=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #437884=DIRECTION('',(0.,0.,1.)); #437885=DIRECTION('center_axis',(-0.450178111980108,-0.8929387814929,0.)); #437886=DIRECTION('ref_axis',(0.8929387814929,-0.450178111980107,0.)); #437887=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #437888=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #437889=DIRECTION('',(0.,0.,1.)); #437890=DIRECTION('center_axis',(-0.252249312357546,-0.967662278078021, 0.)); #437891=DIRECTION('ref_axis',(0.967662278078021,-0.252249312357546,0.)); #437892=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #437893=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #437894=DIRECTION('',(0.,0.,1.)); #437895=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #437896=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #437897=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #437898=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #437899=DIRECTION('',(0.,0.,1.)); #437900=DIRECTION('center_axis',(0.,-1.,0.)); #437901=DIRECTION('ref_axis',(1.,0.,0.)); #437902=DIRECTION('',(1.,0.,0.)); #437903=DIRECTION('',(1.,0.,0.)); #437904=DIRECTION('',(0.,0.,1.)); #437905=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #437906=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #437907=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #437908=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #437909=DIRECTION('',(0.,0.,1.)); #437910=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #437911=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #437912=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #437913=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #437914=DIRECTION('',(0.,0.,1.)); #437915=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #437916=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #437917=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #437918=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #437919=DIRECTION('',(0.,0.,1.)); #437920=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #437921=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #437922=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437923=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #437924=DIRECTION('',(0.,0.,1.)); #437925=DIRECTION('center_axis',(-1.,0.,0.)); #437926=DIRECTION('ref_axis',(0.,-1.,0.)); #437927=DIRECTION('',(0.,-1.,0.)); #437928=DIRECTION('',(0.,-1.,0.)); #437929=DIRECTION('',(0.,0.,1.)); #437930=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #437931=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #437932=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #437933=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #437934=DIRECTION('',(0.,0.,1.)); #437935=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #437936=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #437937=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #437938=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #437939=DIRECTION('',(0.,0.,1.)); #437940=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #437941=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #437942=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #437943=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #437944=DIRECTION('',(0.,0.,1.)); #437945=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #437946=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #437947=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #437948=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #437949=DIRECTION('',(0.,0.,1.)); #437950=DIRECTION('center_axis',(-0.707106425896587,-0.707107136476329, 0.)); #437951=DIRECTION('ref_axis',(0.707107136476329,-0.707106425896587,0.)); #437952=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #437953=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #437954=DIRECTION('',(0.,0.,1.)); #437955=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #437956=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #437957=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #437958=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #437959=DIRECTION('',(0.,0.,1.)); #437960=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #437961=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #437962=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #437963=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #437964=DIRECTION('',(0.,0.,1.)); #437965=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #437966=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #437967=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #437968=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #437969=DIRECTION('',(0.,0.,1.)); #437970=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #437971=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #437972=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #437973=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #437974=DIRECTION('',(0.,0.,1.)); #437975=DIRECTION('center_axis',(0.,-1.,0.)); #437976=DIRECTION('ref_axis',(1.,0.,0.)); #437977=DIRECTION('',(1.,0.,0.)); #437978=DIRECTION('',(1.,0.,0.)); #437979=DIRECTION('',(0.,0.,1.)); #437980=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #437981=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #437982=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #437983=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #437984=DIRECTION('',(0.,0.,1.)); #437985=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #437986=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #437987=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #437988=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #437989=DIRECTION('',(0.,0.,1.)); #437990=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #437991=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #437992=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #437993=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #437994=DIRECTION('',(0.,0.,1.)); #437995=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #437996=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #437997=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #437998=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #437999=DIRECTION('',(0.,0.,1.)); #438000=DIRECTION('center_axis',(-1.,0.,0.)); #438001=DIRECTION('ref_axis',(0.,-1.,0.)); #438002=DIRECTION('',(0.,-1.,0.)); #438003=DIRECTION('',(0.,-1.,0.)); #438004=DIRECTION('',(0.,0.,1.)); #438005=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438006=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438007=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #438008=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #438009=DIRECTION('',(0.,0.,1.)); #438010=DIRECTION('center_axis',(0.,-1.,0.)); #438011=DIRECTION('ref_axis',(1.,0.,0.)); #438012=DIRECTION('',(1.,0.,0.)); #438013=DIRECTION('',(1.,0.,0.)); #438014=DIRECTION('',(0.,0.,1.)); #438015=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #438016=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #438017=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #438018=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #438019=DIRECTION('',(0.,0.,1.)); #438020=DIRECTION('center_axis',(1.,0.,0.)); #438021=DIRECTION('ref_axis',(0.,1.,0.)); #438022=DIRECTION('',(0.,1.,0.)); #438023=DIRECTION('',(0.,1.,0.)); #438024=DIRECTION('',(0.,0.,1.)); #438025=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #438026=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #438027=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #438028=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #438029=DIRECTION('',(0.,0.,1.)); #438030=DIRECTION('center_axis',(0.842362410729481,-0.538911466745714,0.)); #438031=DIRECTION('ref_axis',(0.538911466745714,0.842362410729481,0.)); #438032=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #438033=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #438034=DIRECTION('',(0.,0.,1.)); #438035=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #438036=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #438037=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #438038=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #438039=DIRECTION('',(0.,0.,1.)); #438040=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #438041=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #438042=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #438043=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #438044=DIRECTION('',(0.,0.,1.)); #438045=DIRECTION('center_axis',(0.,-1.,0.)); #438046=DIRECTION('ref_axis',(1.,0.,0.)); #438047=DIRECTION('',(1.,0.,0.)); #438048=DIRECTION('',(1.,0.,0.)); #438049=DIRECTION('',(0.,0.,1.)); #438050=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #438051=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #438052=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #438053=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #438054=DIRECTION('',(0.,0.,1.)); #438055=DIRECTION('center_axis',(-0.55227822539186,-0.833659859749777,0.)); #438056=DIRECTION('ref_axis',(0.833659859749777,-0.55227822539186,0.)); #438057=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #438058=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #438059=DIRECTION('',(0.,0.,1.)); #438060=DIRECTION('center_axis',(-0.707106272520001,-0.707107289852728, 0.)); #438061=DIRECTION('ref_axis',(0.707107289852728,-0.707106272520001,0.)); #438062=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #438063=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #438064=DIRECTION('',(0.,0.,1.)); #438065=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #438066=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #438067=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #438068=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #438069=DIRECTION('',(0.,0.,1.)); #438070=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #438071=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #438072=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #438073=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #438074=DIRECTION('',(0.,0.,1.)); #438075=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #438076=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #438077=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #438078=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #438079=DIRECTION('',(0.,0.,1.)); #438080=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #438081=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #438082=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #438083=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #438084=DIRECTION('',(0.,0.,1.)); #438085=DIRECTION('center_axis',(0.,-1.,0.)); #438086=DIRECTION('ref_axis',(1.,0.,0.)); #438087=DIRECTION('',(1.,0.,0.)); #438088=DIRECTION('',(1.,0.,0.)); #438089=DIRECTION('',(0.,0.,1.)); #438090=DIRECTION('center_axis',(0.105885061288336,-0.994378375567352,0.)); #438091=DIRECTION('ref_axis',(0.994378375567352,0.105885061288336,0.)); #438092=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #438093=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #438094=DIRECTION('',(0.,0.,1.)); #438095=DIRECTION('center_axis',(0.312922107779005,-0.949778792384387,0.)); #438096=DIRECTION('ref_axis',(0.949778792384387,0.312922107779005,0.)); #438097=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #438098=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #438099=DIRECTION('',(0.,0.,1.)); #438100=DIRECTION('center_axis',(0.506114900434501,-0.86246606168485,0.)); #438101=DIRECTION('ref_axis',(0.86246606168485,0.506114900434501,0.)); #438102=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #438103=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #438104=DIRECTION('',(0.,0.,1.)); #438105=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #438106=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #438107=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #438108=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #438109=DIRECTION('',(0.,0.,1.)); #438110=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #438111=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #438112=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #438113=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #438114=DIRECTION('',(0.,0.,1.)); #438115=DIRECTION('center_axis',(0.778048811381633,-0.628203826084836,0.)); #438116=DIRECTION('ref_axis',(0.628203826084836,0.778048811381633,0.)); #438117=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #438118=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #438119=DIRECTION('',(0.,0.,1.)); #438120=DIRECTION('center_axis',(0.892938781492983,-0.450178111979944,0.)); #438121=DIRECTION('ref_axis',(0.450178111979944,0.892938781492983,0.)); #438122=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #438123=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #438124=DIRECTION('',(0.,0.,1.)); #438125=DIRECTION('center_axis',(0.967662278078046,-0.25224931235745,0.)); #438126=DIRECTION('ref_axis',(0.25224931235745,0.967662278078046,0.)); #438127=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #438128=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #438129=DIRECTION('',(0.,0.,1.)); #438130=DIRECTION('center_axis',(0.997238118319986,-0.0742706898420416, 0.)); #438131=DIRECTION('ref_axis',(0.0742706898420416,0.997238118319986,0.)); #438132=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #438133=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #438134=DIRECTION('',(0.,0.,1.)); #438135=DIRECTION('center_axis',(1.,0.,0.)); #438136=DIRECTION('ref_axis',(0.,1.,0.)); #438137=DIRECTION('',(0.,1.,0.)); #438138=DIRECTION('',(0.,1.,0.)); #438139=DIRECTION('',(0.,0.,1.)); #438140=DIRECTION('center_axis',(0.994378375567332,0.105885061288526,0.)); #438141=DIRECTION('ref_axis',(-0.105885061288526,0.994378375567332,0.)); #438142=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #438143=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #438144=DIRECTION('',(0.,0.,1.)); #438145=DIRECTION('center_axis',(0.949778792384449,0.312922107778818,0.)); #438146=DIRECTION('ref_axis',(-0.312922107778818,0.949778792384449,0.)); #438147=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #438148=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #438149=DIRECTION('',(0.,0.,1.)); #438150=DIRECTION('center_axis',(0.862466061684729,0.506114900434707,0.)); #438151=DIRECTION('ref_axis',(-0.506114900434707,0.862466061684729,0.)); #438152=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #438153=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #438154=DIRECTION('',(0.,0.,1.)); #438155=DIRECTION('center_axis',(0.757781125399854,0.652508824452,0.)); #438156=DIRECTION('ref_axis',(-0.652508824452,0.757781125399854,0.)); #438157=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #438158=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #438159=DIRECTION('',(0.,0.,1.)); #438160=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #438161=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #438162=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438163=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438164=DIRECTION('',(0.,0.,1.)); #438165=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #438166=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #438167=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #438168=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #438169=DIRECTION('',(0.,0.,1.)); #438170=DIRECTION('center_axis',(0.973144071552979,0.230196907019384,0.)); #438171=DIRECTION('ref_axis',(-0.230196907019384,0.973144071552979,0.)); #438172=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #438173=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #438174=DIRECTION('',(0.,0.,1.)); #438175=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #438176=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #438177=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #438178=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #438179=DIRECTION('',(0.,0.,1.)); #438180=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #438181=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #438182=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #438183=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #438184=DIRECTION('',(0.,0.,1.)); #438185=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #438186=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #438187=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #438188=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #438189=DIRECTION('',(0.,0.,1.)); #438190=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #438191=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #438192=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #438193=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #438194=DIRECTION('',(0.,0.,1.)); #438195=DIRECTION('center_axis',(0.,-1.,0.)); #438196=DIRECTION('ref_axis',(1.,0.,0.)); #438197=DIRECTION('',(1.,0.,0.)); #438198=DIRECTION('',(1.,0.,0.)); #438199=DIRECTION('',(0.,0.,1.)); #438200=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #438201=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #438202=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #438203=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #438204=DIRECTION('',(0.,0.,1.)); #438205=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #438206=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #438207=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #438208=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #438209=DIRECTION('',(0.,0.,1.)); #438210=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #438211=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #438212=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #438213=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #438214=DIRECTION('',(0.,0.,1.)); #438215=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #438216=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #438217=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #438218=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #438219=DIRECTION('',(0.,0.,1.)); #438220=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #438221=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #438222=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #438223=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #438224=DIRECTION('',(0.,0.,1.)); #438225=DIRECTION('center_axis',(-1.,0.,0.)); #438226=DIRECTION('ref_axis',(0.,-1.,0.)); #438227=DIRECTION('',(0.,-1.,0.)); #438228=DIRECTION('',(0.,-1.,0.)); #438229=DIRECTION('',(0.,0.,1.)); #438230=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #438231=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #438232=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #438233=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #438234=DIRECTION('',(0.,0.,1.)); #438235=DIRECTION('center_axis',(-0.833659859749666,0.552278225392028,0.)); #438236=DIRECTION('ref_axis',(-0.552278225392028,-0.833659859749665,0.)); #438237=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #438238=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #438239=DIRECTION('',(0.,0.,1.)); #438240=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #438241=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #438242=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #438243=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #438244=DIRECTION('',(0.,0.,1.)); #438245=DIRECTION('center_axis',(-1.,0.,0.)); #438246=DIRECTION('ref_axis',(0.,-1.,0.)); #438247=DIRECTION('',(0.,-1.,0.)); #438248=DIRECTION('',(0.,-1.,0.)); #438249=DIRECTION('',(0.,0.,1.)); #438250=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #438251=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #438252=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #438253=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #438254=DIRECTION('',(0.,0.,1.)); #438255=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #438256=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #438257=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #438258=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #438259=DIRECTION('',(0.,0.,1.)); #438260=DIRECTION('center_axis',(-0.707108784529064,0.707104777838355,0.)); #438261=DIRECTION('ref_axis',(-0.707104777838355,-0.707108784529064,0.)); #438262=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #438263=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #438264=DIRECTION('',(0.,0.,1.)); #438265=DIRECTION('center_axis',(-1.,0.,0.)); #438266=DIRECTION('ref_axis',(0.,-1.,0.)); #438267=DIRECTION('',(0.,-1.,0.)); #438268=DIRECTION('',(0.,-1.,0.)); #438269=DIRECTION('',(0.,0.,1.)); #438270=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438271=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438272=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438273=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438274=DIRECTION('',(0.,0.,1.)); #438275=DIRECTION('center_axis',(0.,-1.,0.)); #438276=DIRECTION('ref_axis',(1.,0.,0.)); #438277=DIRECTION('',(1.,0.,0.)); #438278=DIRECTION('',(1.,0.,0.)); #438279=DIRECTION('',(0.,0.,1.)); #438280=DIRECTION('center_axis',(0.707104327390292,-0.707109234974288,0.)); #438281=DIRECTION('ref_axis',(0.707109234974288,0.707104327390292,0.)); #438282=DIRECTION('',(0.707109234974288,0.707104327390292,0.)); #438283=DIRECTION('',(0.707109234974288,0.707104327390292,0.)); #438284=DIRECTION('',(0.,0.,1.)); #438285=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #438286=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #438287=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #438288=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #438289=DIRECTION('',(0.,0.,1.)); #438290=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #438291=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #438292=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #438293=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #438294=DIRECTION('',(0.,0.,1.)); #438295=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #438296=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #438297=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #438298=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #438299=DIRECTION('',(0.,0.,1.)); #438300=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #438301=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #438302=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #438303=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #438304=DIRECTION('',(0.,0.,1.)); #438305=DIRECTION('center_axis',(-0.707104327390292,-0.707109234974288, 0.)); #438306=DIRECTION('ref_axis',(0.707109234974288,-0.707104327390292,0.)); #438307=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #438308=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #438309=DIRECTION('',(0.,0.,1.)); #438310=DIRECTION('center_axis',(0.,-1.,0.)); #438311=DIRECTION('ref_axis',(1.,0.,0.)); #438312=DIRECTION('',(1.,0.,0.)); #438313=DIRECTION('',(1.,0.,0.)); #438314=DIRECTION('',(0.,0.,1.)); #438315=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #438316=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #438317=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #438318=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #438319=DIRECTION('',(0.,0.,1.)); #438320=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #438321=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #438322=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #438323=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #438324=DIRECTION('',(0.,0.,1.)); #438325=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #438326=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #438327=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #438328=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #438329=DIRECTION('',(0.,0.,1.)); #438330=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #438331=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #438332=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #438333=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #438334=DIRECTION('',(0.,0.,1.)); #438335=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #438336=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #438337=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #438338=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #438339=DIRECTION('',(0.,0.,1.)); #438340=DIRECTION('center_axis',(-0.707104327390292,-0.707109234974288, 0.)); #438341=DIRECTION('ref_axis',(0.707109234974288,-0.707104327390292,0.)); #438342=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #438343=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #438344=DIRECTION('',(0.,0.,1.)); #438345=DIRECTION('center_axis',(0.,-1.,0.)); #438346=DIRECTION('ref_axis',(1.,0.,0.)); #438347=DIRECTION('',(1.,0.,0.)); #438348=DIRECTION('',(1.,0.,0.)); #438349=DIRECTION('',(0.,0.,1.)); #438350=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #438351=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #438352=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #438353=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #438354=DIRECTION('',(0.,0.,1.)); #438355=DIRECTION('center_axis',(1.,0.,0.)); #438356=DIRECTION('ref_axis',(0.,1.,0.)); #438357=DIRECTION('',(0.,1.,0.)); #438358=DIRECTION('',(0.,1.,0.)); #438359=DIRECTION('',(0.,0.,1.)); #438360=DIRECTION('center_axis',(0.707108784529064,0.707104777838355,0.)); #438361=DIRECTION('ref_axis',(-0.707104777838355,0.707108784529064,0.)); #438362=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #438363=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #438364=DIRECTION('',(0.,0.,1.)); #438365=DIRECTION('center_axis',(0.829203833807366,0.558946331948933,0.)); #438366=DIRECTION('ref_axis',(-0.558946331948932,0.829203833807366,0.)); #438367=DIRECTION('',(-0.558946331948932,0.829203833807366,0.)); #438368=DIRECTION('',(-0.558946331948932,0.829203833807366,0.)); #438369=DIRECTION('',(0.,0.,1.)); #438370=DIRECTION('center_axis',(0.980008419561341,0.1989560192326,0.)); #438371=DIRECTION('ref_axis',(-0.1989560192326,0.980008419561341,0.)); #438372=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #438373=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #438374=DIRECTION('',(0.,0.,1.)); #438375=DIRECTION('center_axis',(1.,0.,0.)); #438376=DIRECTION('ref_axis',(0.,1.,0.)); #438377=DIRECTION('',(0.,1.,0.)); #438378=DIRECTION('',(0.,1.,0.)); #438379=DIRECTION('',(0.,0.,1.)); #438380=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #438381=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #438382=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #438383=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #438384=DIRECTION('',(0.,0.,1.)); #438385=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #438386=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #438387=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #438388=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #438389=DIRECTION('',(0.,0.,1.)); #438390=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #438391=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #438392=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #438393=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #438394=DIRECTION('',(0.,0.,1.)); #438395=DIRECTION('center_axis',(1.,0.,0.)); #438396=DIRECTION('ref_axis',(0.,1.,0.)); #438397=DIRECTION('',(0.,1.,0.)); #438398=DIRECTION('',(0.,1.,0.)); #438399=DIRECTION('',(0.,0.,1.)); #438400=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #438401=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #438402=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #438403=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #438404=DIRECTION('',(0.,0.,1.)); #438405=DIRECTION('center_axis',(0.,1.,0.)); #438406=DIRECTION('ref_axis',(-1.,0.,0.)); #438407=DIRECTION('',(-1.,0.,0.)); #438408=DIRECTION('',(-1.,0.,0.)); #438409=DIRECTION('',(0.,0.,1.)); #438410=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #438411=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #438412=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #438413=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #438414=DIRECTION('',(0.,0.,1.)); #438415=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #438416=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #438417=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #438418=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #438419=DIRECTION('',(0.,0.,1.)); #438420=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #438421=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #438422=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438423=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438424=DIRECTION('',(0.,0.,1.)); #438425=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #438426=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #438427=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #438428=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #438429=DIRECTION('',(0.,0.,1.)); #438430=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #438431=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #438432=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #438433=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #438434=DIRECTION('',(0.,0.,1.)); #438435=DIRECTION('center_axis',(1.,0.,0.)); #438436=DIRECTION('ref_axis',(0.,1.,0.)); #438437=DIRECTION('',(0.,1.,0.)); #438438=DIRECTION('',(0.,1.,0.)); #438439=DIRECTION('',(0.,0.,1.)); #438440=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #438441=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #438442=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #438443=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #438444=DIRECTION('',(0.,0.,1.)); #438445=DIRECTION('center_axis',(0.,1.,0.)); #438446=DIRECTION('ref_axis',(-1.,0.,0.)); #438447=DIRECTION('',(-1.,0.,0.)); #438448=DIRECTION('',(-1.,0.,0.)); #438449=DIRECTION('',(0.,0.,1.)); #438450=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #438451=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #438452=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #438453=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #438454=DIRECTION('',(0.,0.,1.)); #438455=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #438456=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #438457=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #438458=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #438459=DIRECTION('',(0.,0.,1.)); #438460=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #438461=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #438462=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #438463=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #438464=DIRECTION('',(0.,0.,1.)); #438465=DIRECTION('center_axis',(0.,1.,0.)); #438466=DIRECTION('ref_axis',(-1.,0.,0.)); #438467=DIRECTION('',(-1.,0.,0.)); #438468=DIRECTION('',(-1.,0.,0.)); #438469=DIRECTION('',(0.,0.,1.)); #438470=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #438471=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #438472=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #438473=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #438474=DIRECTION('',(0.,0.,1.)); #438475=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #438476=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #438477=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #438478=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #438479=DIRECTION('',(0.,0.,1.)); #438480=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #438481=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #438482=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #438483=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #438484=DIRECTION('',(0.,0.,1.)); #438485=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #438486=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #438487=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #438488=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #438489=DIRECTION('',(0.,0.,1.)); #438490=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #438491=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #438492=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438493=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438494=DIRECTION('',(0.,0.,1.)); #438495=DIRECTION('center_axis',(1.,0.,0.)); #438496=DIRECTION('ref_axis',(0.,1.,0.)); #438497=DIRECTION('',(0.,1.,0.)); #438498=DIRECTION('',(0.,1.,0.)); #438499=DIRECTION('',(0.,0.,1.)); #438500=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #438501=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #438502=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #438503=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #438504=DIRECTION('',(0.,0.,1.)); #438505=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #438506=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #438507=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #438508=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #438509=DIRECTION('',(0.,0.,1.)); #438510=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #438511=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #438512=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #438513=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #438514=DIRECTION('',(0.,0.,1.)); #438515=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #438516=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #438517=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #438518=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #438519=DIRECTION('',(0.,0.,1.)); #438520=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #438521=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #438522=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #438523=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #438524=DIRECTION('',(0.,0.,1.)); #438525=DIRECTION('center_axis',(0.,-1.,0.)); #438526=DIRECTION('ref_axis',(1.,0.,0.)); #438527=DIRECTION('',(1.,0.,0.)); #438528=DIRECTION('',(1.,0.,0.)); #438529=DIRECTION('',(0.,0.,1.)); #438530=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #438531=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #438532=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #438533=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #438534=DIRECTION('',(0.,0.,1.)); #438535=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #438536=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #438537=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #438538=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #438539=DIRECTION('',(0.,0.,1.)); #438540=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #438541=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #438542=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #438543=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #438544=DIRECTION('',(0.,0.,1.)); #438545=DIRECTION('center_axis',(0.,-1.,0.)); #438546=DIRECTION('ref_axis',(1.,0.,0.)); #438547=DIRECTION('',(1.,0.,0.)); #438548=DIRECTION('',(1.,0.,0.)); #438549=DIRECTION('',(0.,0.,1.)); #438550=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #438551=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #438552=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #438553=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #438554=DIRECTION('',(0.,0.,1.)); #438555=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #438556=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #438557=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #438558=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #438559=DIRECTION('',(0.,0.,1.)); #438560=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #438561=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #438562=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #438563=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #438564=DIRECTION('',(0.,0.,1.)); #438565=DIRECTION('center_axis',(0.,-1.,0.)); #438566=DIRECTION('ref_axis',(1.,0.,0.)); #438567=DIRECTION('',(1.,0.,0.)); #438568=DIRECTION('',(1.,0.,0.)); #438569=DIRECTION('',(0.,0.,1.)); #438570=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #438571=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #438572=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #438573=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #438574=DIRECTION('',(0.,0.,1.)); #438575=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #438576=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #438577=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #438578=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #438579=DIRECTION('',(0.,0.,1.)); #438580=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438581=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438582=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #438583=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #438584=DIRECTION('',(0.,0.,1.)); #438585=DIRECTION('center_axis',(-0.82478989011981,-0.565439331101182,0.)); #438586=DIRECTION('ref_axis',(0.565439331101182,-0.82478989011981,0.)); #438587=DIRECTION('',(0.565439331101182,-0.82478989011981,0.)); #438588=DIRECTION('',(0.565439331101182,-0.82478989011981,0.)); #438589=DIRECTION('',(0.,0.,1.)); #438590=DIRECTION('center_axis',(-0.976685690837557,-0.214674314516579, 0.)); #438591=DIRECTION('ref_axis',(0.214674314516579,-0.976685690837557,0.)); #438592=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #438593=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #438594=DIRECTION('',(0.,0.,1.)); #438595=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #438596=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #438597=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #438598=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #438599=DIRECTION('',(0.,0.,1.)); #438600=DIRECTION('center_axis',(-0.833659859749733,0.552278225391927,0.)); #438601=DIRECTION('ref_axis',(-0.552278225391927,-0.833659859749732,0.)); #438602=DIRECTION('',(-0.552278225391927,-0.833659859749732,0.)); #438603=DIRECTION('',(-0.552278225391927,-0.833659859749732,0.)); #438604=DIRECTION('',(0.,0.,1.)); #438605=DIRECTION('center_axis',(-0.707108095097465,0.707105467273188,0.)); #438606=DIRECTION('ref_axis',(-0.707105467273188,-0.707108095097465,0.)); #438607=DIRECTION('',(-0.707105467273188,-0.707108095097465,0.)); #438608=DIRECTION('',(-0.707105467273188,-0.707108095097465,0.)); #438609=DIRECTION('',(0.,0.,1.)); #438610=DIRECTION('center_axis',(-1.,0.,0.)); #438611=DIRECTION('ref_axis',(0.,-1.,0.)); #438612=DIRECTION('',(0.,-1.,0.)); #438613=DIRECTION('',(0.,-1.,0.)); #438614=DIRECTION('',(0.,0.,1.)); #438615=DIRECTION('center_axis',(-0.707109234974277,-0.707104327390303, 0.)); #438616=DIRECTION('ref_axis',(0.707104327390303,-0.707109234974277,0.)); #438617=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #438618=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #438619=DIRECTION('',(0.,0.,1.)); #438620=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #438621=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #438622=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #438623=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #438624=DIRECTION('',(0.,0.,1.)); #438625=DIRECTION('center_axis',(-0.976685690837557,-0.214674314516579, 0.)); #438626=DIRECTION('ref_axis',(0.214674314516579,-0.976685690837557,0.)); #438627=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #438628=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #438629=DIRECTION('',(0.,0.,1.)); #438630=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #438631=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #438632=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #438633=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #438634=DIRECTION('',(0.,0.,1.)); #438635=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #438636=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #438637=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #438638=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #438639=DIRECTION('',(0.,0.,1.)); #438640=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #438641=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #438642=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #438643=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #438644=DIRECTION('',(0.,0.,1.)); #438645=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #438646=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #438647=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #438648=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #438649=DIRECTION('',(0.,0.,1.)); #438650=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #438651=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #438652=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #438653=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #438654=DIRECTION('',(0.,0.,1.)); #438655=DIRECTION('center_axis',(0.,1.,0.)); #438656=DIRECTION('ref_axis',(-1.,0.,0.)); #438657=DIRECTION('',(-1.,0.,0.)); #438658=DIRECTION('',(-1.,0.,0.)); #438659=DIRECTION('',(0.,0.,1.)); #438660=DIRECTION('center_axis',(0.1911498569289,0.98156086525292,0.)); #438661=DIRECTION('ref_axis',(-0.98156086525292,0.1911498569289,0.)); #438662=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #438663=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #438664=DIRECTION('',(0.,0.,1.)); #438665=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #438666=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #438667=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #438668=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #438669=DIRECTION('',(0.,0.,1.)); #438670=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #438671=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #438672=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #438673=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #438674=DIRECTION('',(0.,0.,1.)); #438675=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #438676=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #438677=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #438678=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #438679=DIRECTION('',(0.,0.,1.)); #438680=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #438681=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #438682=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438683=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #438684=DIRECTION('',(0.,0.,1.)); #438685=DIRECTION('center_axis',(1.,0.,0.)); #438686=DIRECTION('ref_axis',(0.,1.,0.)); #438687=DIRECTION('',(0.,1.,0.)); #438688=DIRECTION('',(0.,1.,0.)); #438689=DIRECTION('',(0.,0.,1.)); #438690=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #438691=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #438692=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #438693=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #438694=DIRECTION('',(0.,0.,1.)); #438695=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #438696=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #438697=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #438698=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #438699=DIRECTION('',(0.,0.,1.)); #438700=DIRECTION('center_axis',(0.707108784529073,-0.707104777838346,0.)); #438701=DIRECTION('ref_axis',(0.707104777838346,0.707108784529073,0.)); #438702=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #438703=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #438704=DIRECTION('',(0.,0.,1.)); #438705=DIRECTION('center_axis',(1.,0.,0.)); #438706=DIRECTION('ref_axis',(0.,1.,0.)); #438707=DIRECTION('',(0.,1.,0.)); #438708=DIRECTION('',(0.,1.,0.)); #438709=DIRECTION('',(0.,0.,1.)); #438710=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #438711=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #438712=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438713=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438714=DIRECTION('',(0.,0.,1.)); #438715=DIRECTION('center_axis',(0.,1.,0.)); #438716=DIRECTION('ref_axis',(-1.,0.,0.)); #438717=DIRECTION('',(-1.,0.,0.)); #438718=DIRECTION('',(-1.,0.,0.)); #438719=DIRECTION('',(0.,0.,1.)); #438720=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #438721=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #438722=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #438723=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #438724=DIRECTION('',(0.,0.,1.)); #438725=DIRECTION('center_axis',(-1.,0.,0.)); #438726=DIRECTION('ref_axis',(0.,-1.,0.)); #438727=DIRECTION('',(0.,-1.,0.)); #438728=DIRECTION('',(0.,-1.,0.)); #438729=DIRECTION('',(0.,0.,1.)); #438730=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #438731=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #438732=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #438733=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #438734=DIRECTION('',(0.,0.,1.)); #438735=DIRECTION('center_axis',(-0.829203833807295,-0.558946331949038, 0.)); #438736=DIRECTION('ref_axis',(0.558946331949038,-0.829203833807295,0.)); #438737=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #438738=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #438739=DIRECTION('',(0.,0.,1.)); #438740=DIRECTION('center_axis',(-0.980008419561378,-0.198956019232416, 0.)); #438741=DIRECTION('ref_axis',(0.198956019232416,-0.980008419561378,0.)); #438742=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #438743=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #438744=DIRECTION('',(0.,0.,1.)); #438745=DIRECTION('center_axis',(-1.,0.,0.)); #438746=DIRECTION('ref_axis',(0.,-1.,0.)); #438747=DIRECTION('',(0.,-1.,0.)); #438748=DIRECTION('',(0.,-1.,0.)); #438749=DIRECTION('',(0.,0.,1.)); #438750=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #438751=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #438752=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #438753=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #438754=DIRECTION('',(0.,0.,1.)); #438755=DIRECTION('center_axis',(0.,-1.,0.)); #438756=DIRECTION('ref_axis',(1.,0.,0.)); #438757=DIRECTION('',(1.,0.,0.)); #438758=DIRECTION('',(1.,0.,0.)); #438759=DIRECTION('',(0.,0.,1.)); #438760=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #438761=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #438762=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #438763=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #438764=DIRECTION('',(0.,0.,1.)); #438765=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #438766=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #438767=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #438768=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #438769=DIRECTION('',(0.,0.,1.)); #438770=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #438771=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #438772=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #438773=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #438774=DIRECTION('',(0.,0.,1.)); #438775=DIRECTION('center_axis',(-0.824789890119736,-0.56543933110129,0.)); #438776=DIRECTION('ref_axis',(0.56543933110129,-0.824789890119736,0.)); #438777=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #438778=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #438779=DIRECTION('',(0.,0.,1.)); #438780=DIRECTION('center_axis',(-0.976685690837554,-0.214674314516595, 0.)); #438781=DIRECTION('ref_axis',(0.214674314516595,-0.976685690837554,0.)); #438782=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #438783=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #438784=DIRECTION('',(0.,0.,1.)); #438785=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #438786=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #438787=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #438788=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #438789=DIRECTION('',(0.,0.,1.)); #438790=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #438791=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #438792=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #438793=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #438794=DIRECTION('',(0.,0.,1.)); #438795=DIRECTION('center_axis',(-0.707109234974277,0.707104327390303,0.)); #438796=DIRECTION('ref_axis',(-0.707104327390303,-0.707109234974277,0.)); #438797=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #438798=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #438799=DIRECTION('',(0.,0.,1.)); #438800=DIRECTION('center_axis',(-1.,0.,0.)); #438801=DIRECTION('ref_axis',(0.,-1.,0.)); #438802=DIRECTION('',(0.,-1.,0.)); #438803=DIRECTION('',(0.,-1.,0.)); #438804=DIRECTION('',(0.,0.,1.)); #438805=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438806=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438807=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438808=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438809=DIRECTION('',(0.,0.,1.)); #438810=DIRECTION('center_axis',(0.,-1.,0.)); #438811=DIRECTION('ref_axis',(1.,0.,0.)); #438812=DIRECTION('',(1.,0.,0.)); #438813=DIRECTION('',(1.,0.,0.)); #438814=DIRECTION('',(0.,0.,1.)); #438815=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #438816=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #438817=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #438818=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #438819=DIRECTION('',(0.,0.,1.)); #438820=DIRECTION('center_axis',(1.,0.,0.)); #438821=DIRECTION('ref_axis',(0.,1.,0.)); #438822=DIRECTION('',(0.,1.,0.)); #438823=DIRECTION('',(0.,1.,0.)); #438824=DIRECTION('',(0.,0.,1.)); #438825=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #438826=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #438827=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #438828=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #438829=DIRECTION('',(0.,0.,1.)); #438830=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #438831=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #438832=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #438833=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #438834=DIRECTION('',(0.,0.,1.)); #438835=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #438836=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #438837=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #438838=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #438839=DIRECTION('',(0.,0.,1.)); #438840=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #438841=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #438842=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438843=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438844=DIRECTION('',(0.,0.,1.)); #438845=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #438846=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #438847=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #438848=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #438849=DIRECTION('',(0.,0.,1.)); #438850=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #438851=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #438852=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #438853=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #438854=DIRECTION('',(0.,0.,1.)); #438855=DIRECTION('center_axis',(1.,0.,0.)); #438856=DIRECTION('ref_axis',(0.,1.,0.)); #438857=DIRECTION('',(0.,1.,0.)); #438858=DIRECTION('',(0.,1.,0.)); #438859=DIRECTION('',(0.,0.,1.)); #438860=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #438861=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #438862=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #438863=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #438864=DIRECTION('',(0.,0.,1.)); #438865=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #438866=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #438867=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #438868=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #438869=DIRECTION('',(0.,0.,1.)); #438870=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #438871=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #438872=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #438873=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #438874=DIRECTION('',(0.,0.,1.)); #438875=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #438876=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #438877=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438878=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438879=DIRECTION('',(0.,0.,1.)); #438880=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #438881=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #438882=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #438883=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #438884=DIRECTION('',(0.,0.,1.)); #438885=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #438886=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #438887=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #438888=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #438889=DIRECTION('',(0.,0.,1.)); #438890=DIRECTION('center_axis',(1.,0.,0.)); #438891=DIRECTION('ref_axis',(0.,1.,0.)); #438892=DIRECTION('',(0.,1.,0.)); #438893=DIRECTION('',(0.,1.,0.)); #438894=DIRECTION('',(0.,0.,1.)); #438895=DIRECTION('center_axis',(0.707108095097465,0.707105467273188,0.)); #438896=DIRECTION('ref_axis',(-0.707105467273188,0.707108095097465,0.)); #438897=DIRECTION('',(-0.707105467273188,0.707108095097465,0.)); #438898=DIRECTION('',(-0.707105467273188,0.707108095097465,0.)); #438899=DIRECTION('',(0.,0.,1.)); #438900=DIRECTION('center_axis',(0.82478989011981,0.565439331101182,0.)); #438901=DIRECTION('ref_axis',(-0.565439331101182,0.82478989011981,0.)); #438902=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #438903=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #438904=DIRECTION('',(0.,0.,1.)); #438905=DIRECTION('center_axis',(0.976685690837554,0.214674314516595,0.)); #438906=DIRECTION('ref_axis',(-0.214674314516595,0.976685690837554,0.)); #438907=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #438908=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #438909=DIRECTION('',(0.,0.,1.)); #438910=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #438911=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #438912=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438913=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438914=DIRECTION('',(0.,0.,1.)); #438915=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #438916=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #438917=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #438918=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #438919=DIRECTION('',(0.,0.,1.)); #438920=DIRECTION('center_axis',(0.707108095097465,-0.707105467273188,0.)); #438921=DIRECTION('ref_axis',(0.707105467273188,0.707108095097465,0.)); #438922=DIRECTION('',(0.707105467273188,0.707108095097465,0.)); #438923=DIRECTION('',(0.707105467273188,0.707108095097465,0.)); #438924=DIRECTION('',(0.,0.,1.)); #438925=DIRECTION('center_axis',(1.,0.,0.)); #438926=DIRECTION('ref_axis',(0.,1.,0.)); #438927=DIRECTION('',(0.,1.,0.)); #438928=DIRECTION('',(0.,1.,0.)); #438929=DIRECTION('',(0.,0.,1.)); #438930=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #438931=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #438932=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #438933=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #438934=DIRECTION('',(0.,0.,1.)); #438935=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #438936=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #438937=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #438938=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #438939=DIRECTION('',(0.,0.,1.)); #438940=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #438941=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #438942=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #438943=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #438944=DIRECTION('',(0.,0.,1.)); #438945=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #438946=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #438947=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438948=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #438949=DIRECTION('',(0.,0.,1.)); #438950=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #438951=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #438952=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #438953=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #438954=DIRECTION('',(0.,0.,1.)); #438955=DIRECTION('center_axis',(0.707109234974272,-0.707104327390308,0.)); #438956=DIRECTION('ref_axis',(0.707104327390308,0.707109234974272,0.)); #438957=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #438958=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #438959=DIRECTION('',(0.,0.,1.)); #438960=DIRECTION('center_axis',(1.,0.,0.)); #438961=DIRECTION('ref_axis',(0.,1.,0.)); #438962=DIRECTION('',(0.,1.,0.)); #438963=DIRECTION('',(0.,1.,0.)); #438964=DIRECTION('',(0.,0.,1.)); #438965=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #438966=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #438967=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438968=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #438969=DIRECTION('',(0.,0.,1.)); #438970=DIRECTION('center_axis',(0.,1.,0.)); #438971=DIRECTION('ref_axis',(-1.,0.,0.)); #438972=DIRECTION('',(-1.,0.,0.)); #438973=DIRECTION('',(-1.,0.,0.)); #438974=DIRECTION('',(0.,0.,1.)); #438975=DIRECTION('center_axis',(-0.707104546424068,0.707109015941965,0.)); #438976=DIRECTION('ref_axis',(-0.707109015941965,-0.707104546424068,0.)); #438977=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #438978=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #438979=DIRECTION('',(0.,0.,1.)); #438980=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #438981=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #438982=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #438983=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #438984=DIRECTION('',(0.,0.,1.)); #438985=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #438986=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #438987=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #438988=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #438989=DIRECTION('',(0.,0.,1.)); #438990=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #438991=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #438992=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #438993=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #438994=DIRECTION('',(0.,0.,1.)); #438995=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #438996=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #438997=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #438998=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #438999=DIRECTION('',(0.,0.,1.)); #439000=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439001=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439002=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439003=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439004=DIRECTION('center_axis',(0.,1.,0.)); #439005=DIRECTION('ref_axis',(-1.,0.,0.)); #439006=DIRECTION('',(-1.,0.,0.)); #439007=DIRECTION('',(0.,0.,1.)); #439008=DIRECTION('',(-1.,0.,0.)); #439009=DIRECTION('',(0.,0.,1.)); #439010=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #439011=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #439012=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439013=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439014=DIRECTION('',(0.,0.,1.)); #439015=DIRECTION('center_axis',(-1.,0.,0.)); #439016=DIRECTION('ref_axis',(0.,-1.,0.)); #439017=DIRECTION('',(0.,-1.,0.)); #439018=DIRECTION('',(0.,-1.,0.)); #439019=DIRECTION('',(0.,0.,1.)); #439020=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #439021=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #439022=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #439023=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #439024=DIRECTION('',(0.,0.,1.)); #439025=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #439026=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #439027=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #439028=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #439029=DIRECTION('',(0.,0.,1.)); #439030=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #439031=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #439032=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #439033=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #439034=DIRECTION('',(0.,0.,1.)); #439035=DIRECTION('center_axis',(-1.,0.,0.)); #439036=DIRECTION('ref_axis',(0.,-1.,0.)); #439037=DIRECTION('',(0.,-1.,0.)); #439038=DIRECTION('',(0.,-1.,0.)); #439039=DIRECTION('',(0.,0.,1.)); #439040=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #439041=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #439042=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #439043=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #439044=DIRECTION('',(0.,0.,1.)); #439045=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #439046=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #439047=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #439048=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #439049=DIRECTION('',(0.,0.,1.)); #439050=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #439051=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #439052=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #439053=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #439054=DIRECTION('',(0.,0.,1.)); #439055=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #439056=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #439057=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439058=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439059=DIRECTION('',(0.,0.,1.)); #439060=DIRECTION('center_axis',(0.,1.,0.)); #439061=DIRECTION('ref_axis',(-1.,0.,0.)); #439062=DIRECTION('',(-1.,0.,0.)); #439063=DIRECTION('',(-1.,0.,0.)); #439064=DIRECTION('',(0.,0.,1.)); #439065=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #439066=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #439067=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #439068=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #439069=DIRECTION('',(0.,0.,1.)); #439070=DIRECTION('center_axis',(-1.,0.,0.)); #439071=DIRECTION('ref_axis',(0.,-1.,0.)); #439072=DIRECTION('',(0.,-1.,0.)); #439073=DIRECTION('',(0.,-1.,0.)); #439074=DIRECTION('',(0.,0.,1.)); #439075=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #439076=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #439077=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #439078=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #439079=DIRECTION('',(0.,0.,1.)); #439080=DIRECTION('center_axis',(0.,-1.,0.)); #439081=DIRECTION('ref_axis',(1.,0.,0.)); #439082=DIRECTION('',(1.,0.,0.)); #439083=DIRECTION('',(1.,0.,0.)); #439084=DIRECTION('',(0.,0.,1.)); #439085=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #439086=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #439087=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #439088=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #439089=DIRECTION('',(0.,0.,1.)); #439090=DIRECTION('center_axis',(1.,0.,0.)); #439091=DIRECTION('ref_axis',(0.,1.,0.)); #439092=DIRECTION('',(0.,1.,0.)); #439093=DIRECTION('',(0.,1.,0.)); #439094=DIRECTION('',(0.,0.,1.)); #439095=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439096=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439097=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439098=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #439099=DIRECTION('',(0.,0.,1.)); #439100=DIRECTION('center_axis',(0.,1.,0.)); #439101=DIRECTION('ref_axis',(-1.,0.,0.)); #439102=DIRECTION('',(-1.,0.,0.)); #439103=DIRECTION('',(-1.,0.,0.)); #439104=DIRECTION('',(0.,0.,1.)); #439105=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #439106=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #439107=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #439108=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #439109=DIRECTION('',(0.,0.,1.)); #439110=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #439111=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #439112=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #439113=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #439114=DIRECTION('',(0.,0.,1.)); #439115=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #439116=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #439117=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #439118=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #439119=DIRECTION('',(0.,0.,1.)); #439120=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #439121=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #439122=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #439123=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #439124=DIRECTION('',(0.,0.,1.)); #439125=DIRECTION('center_axis',(1.,0.,0.)); #439126=DIRECTION('ref_axis',(0.,1.,0.)); #439127=DIRECTION('',(0.,1.,0.)); #439128=DIRECTION('',(0.,1.,0.)); #439129=DIRECTION('',(0.,0.,1.)); #439130=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #439131=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #439132=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #439133=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #439134=DIRECTION('',(0.,0.,1.)); #439135=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #439136=DIRECTION('ref_axis',(0.552278225391927,0.833659859749733,0.)); #439137=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #439138=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #439139=DIRECTION('',(0.,0.,1.)); #439140=DIRECTION('center_axis',(0.707108784529037,-0.707104777838382,0.)); #439141=DIRECTION('ref_axis',(0.707104777838382,0.707108784529037,0.)); #439142=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #439143=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #439144=DIRECTION('',(0.,0.,1.)); #439145=DIRECTION('center_axis',(1.,0.,0.)); #439146=DIRECTION('ref_axis',(0.,1.,0.)); #439147=DIRECTION('',(0.,1.,0.)); #439148=DIRECTION('',(0.,1.,0.)); #439149=DIRECTION('',(0.,0.,1.)); #439150=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #439151=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #439152=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #439153=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #439154=DIRECTION('center_axis',(0.,1.,0.)); #439155=DIRECTION('ref_axis',(-1.,0.,0.)); #439156=DIRECTION('',(-1.,0.,0.)); #439157=DIRECTION('',(0.,0.,1.)); #439158=DIRECTION('',(-1.,0.,0.)); #439159=DIRECTION('',(0.,0.,1.)); #439160=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #439161=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #439162=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439163=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439164=DIRECTION('',(0.,0.,1.)); #439165=DIRECTION('center_axis',(-1.,0.,0.)); #439166=DIRECTION('ref_axis',(0.,-1.,0.)); #439167=DIRECTION('',(0.,-1.,0.)); #439168=DIRECTION('',(0.,-1.,0.)); #439169=DIRECTION('',(0.,0.,1.)); #439170=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #439171=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #439172=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #439173=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #439174=DIRECTION('',(0.,0.,1.)); #439175=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #439176=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #439177=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #439178=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #439179=DIRECTION('',(0.,0.,1.)); #439180=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #439181=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #439182=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #439183=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #439184=DIRECTION('',(0.,0.,1.)); #439185=DIRECTION('center_axis',(-1.,0.,0.)); #439186=DIRECTION('ref_axis',(0.,-1.,0.)); #439187=DIRECTION('',(0.,-1.,0.)); #439188=DIRECTION('',(0.,-1.,0.)); #439189=DIRECTION('',(0.,0.,1.)); #439190=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #439191=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #439192=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #439193=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #439194=DIRECTION('',(0.,0.,1.)); #439195=DIRECTION('center_axis',(-0.842362410729311,0.53891146674598,0.)); #439196=DIRECTION('ref_axis',(-0.53891146674598,-0.842362410729311,0.)); #439197=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #439198=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #439199=DIRECTION('',(0.,0.,1.)); #439200=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #439201=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #439202=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #439203=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #439204=DIRECTION('',(0.,0.,1.)); #439205=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #439206=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #439207=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439208=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439209=DIRECTION('',(0.,0.,1.)); #439210=DIRECTION('center_axis',(0.,1.,0.)); #439211=DIRECTION('ref_axis',(-1.,0.,0.)); #439212=DIRECTION('',(-1.,0.,0.)); #439213=DIRECTION('',(-1.,0.,0.)); #439214=DIRECTION('',(0.,0.,1.)); #439215=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #439216=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #439217=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #439218=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #439219=DIRECTION('',(0.,0.,1.)); #439220=DIRECTION('center_axis',(-1.,0.,0.)); #439221=DIRECTION('ref_axis',(0.,-1.,0.)); #439222=DIRECTION('',(0.,-1.,0.)); #439223=DIRECTION('',(0.,-1.,0.)); #439224=DIRECTION('',(0.,0.,1.)); #439225=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #439226=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #439227=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #439228=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #439229=DIRECTION('',(0.,0.,1.)); #439230=DIRECTION('center_axis',(0.,-1.,0.)); #439231=DIRECTION('ref_axis',(1.,0.,0.)); #439232=DIRECTION('',(1.,0.,0.)); #439233=DIRECTION('',(1.,0.,0.)); #439234=DIRECTION('',(0.,0.,1.)); #439235=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #439236=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #439237=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #439238=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #439239=DIRECTION('',(0.,0.,1.)); #439240=DIRECTION('center_axis',(1.,0.,0.)); #439241=DIRECTION('ref_axis',(0.,1.,0.)); #439242=DIRECTION('',(0.,1.,0.)); #439243=DIRECTION('',(0.,1.,0.)); #439244=DIRECTION('',(0.,0.,1.)); #439245=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #439246=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #439247=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #439248=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #439249=DIRECTION('',(0.,0.,1.)); #439250=DIRECTION('center_axis',(0.,1.,0.)); #439251=DIRECTION('ref_axis',(-1.,0.,0.)); #439252=DIRECTION('',(-1.,0.,0.)); #439253=DIRECTION('',(-1.,0.,0.)); #439254=DIRECTION('',(0.,0.,1.)); #439255=DIRECTION('center_axis',(0.183305290257444,0.983056036329382,0.)); #439256=DIRECTION('ref_axis',(-0.983056036329381,0.183305290257444,0.)); #439257=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #439258=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #439259=DIRECTION('',(0.,0.,1.)); #439260=DIRECTION('center_axis',(0.538911466745573,0.842362410729571,0.)); #439261=DIRECTION('ref_axis',(-0.842362410729571,0.538911466745573,0.)); #439262=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #439263=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #439264=DIRECTION('',(0.,0.,1.)); #439265=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #439266=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #439267=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #439268=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #439269=DIRECTION('',(0.,0.,1.)); #439270=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #439271=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #439272=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #439273=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #439274=DIRECTION('',(0.,0.,1.)); #439275=DIRECTION('center_axis',(1.,0.,0.)); #439276=DIRECTION('ref_axis',(0.,1.,0.)); #439277=DIRECTION('',(0.,1.,0.)); #439278=DIRECTION('',(0.,1.,0.)); #439279=DIRECTION('',(0.,0.,1.)); #439280=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #439281=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #439282=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #439283=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #439284=DIRECTION('',(0.,0.,1.)); #439285=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #439286=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #439287=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #439288=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #439289=DIRECTION('',(0.,0.,1.)); #439290=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #439291=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #439292=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #439293=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #439294=DIRECTION('',(0.,0.,1.)); #439295=DIRECTION('center_axis',(1.,0.,0.)); #439296=DIRECTION('ref_axis',(0.,1.,0.)); #439297=DIRECTION('',(0.,1.,0.)); #439298=DIRECTION('',(0.,1.,0.)); #439299=DIRECTION('',(0.,0.,1.)); #439300=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439301=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439302=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439303=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439304=DIRECTION('center_axis',(0.,1.,0.)); #439305=DIRECTION('ref_axis',(-1.,0.,0.)); #439306=DIRECTION('',(-1.,0.,0.)); #439307=DIRECTION('',(0.,0.,1.)); #439308=DIRECTION('',(-1.,0.,0.)); #439309=DIRECTION('',(0.,0.,1.)); #439310=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #439311=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #439312=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439313=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #439314=DIRECTION('',(0.,0.,1.)); #439315=DIRECTION('center_axis',(-1.,0.,0.)); #439316=DIRECTION('ref_axis',(0.,-1.,0.)); #439317=DIRECTION('',(0.,-1.,0.)); #439318=DIRECTION('',(0.,-1.,0.)); #439319=DIRECTION('',(0.,0.,1.)); #439320=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #439321=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #439322=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #439323=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #439324=DIRECTION('',(0.,0.,1.)); #439325=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #439326=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #439327=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #439328=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #439329=DIRECTION('',(0.,0.,1.)); #439330=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #439331=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #439332=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #439333=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #439334=DIRECTION('',(0.,0.,1.)); #439335=DIRECTION('center_axis',(-1.,0.,0.)); #439336=DIRECTION('ref_axis',(0.,-1.,0.)); #439337=DIRECTION('',(0.,-1.,0.)); #439338=DIRECTION('',(0.,-1.,0.)); #439339=DIRECTION('',(0.,0.,1.)); #439340=DIRECTION('center_axis',(-0.983056036329426,0.183305290257204,0.)); #439341=DIRECTION('ref_axis',(-0.183305290257204,-0.983056036329426,0.)); #439342=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #439343=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #439344=DIRECTION('',(0.,0.,1.)); #439345=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #439346=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #439347=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #439348=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #439349=DIRECTION('',(0.,0.,1.)); #439350=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #439351=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #439352=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #439353=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #439354=DIRECTION('',(0.,0.,1.)); #439355=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #439356=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #439357=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439358=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #439359=DIRECTION('',(0.,0.,1.)); #439360=DIRECTION('center_axis',(0.,1.,0.)); #439361=DIRECTION('ref_axis',(-1.,0.,0.)); #439362=DIRECTION('',(-1.,0.,0.)); #439363=DIRECTION('',(-1.,0.,0.)); #439364=DIRECTION('',(0.,0.,1.)); #439365=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #439366=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #439367=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #439368=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #439369=DIRECTION('',(0.,0.,1.)); #439370=DIRECTION('center_axis',(-1.,0.,0.)); #439371=DIRECTION('ref_axis',(0.,-1.,0.)); #439372=DIRECTION('',(0.,-1.,0.)); #439373=DIRECTION('',(0.,-1.,0.)); #439374=DIRECTION('',(0.,0.,1.)); #439375=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #439376=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #439377=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #439378=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #439379=DIRECTION('',(0.,0.,1.)); #439380=DIRECTION('center_axis',(0.,-1.,0.)); #439381=DIRECTION('ref_axis',(1.,0.,0.)); #439382=DIRECTION('',(1.,0.,0.)); #439383=DIRECTION('',(1.,0.,0.)); #439384=DIRECTION('',(0.,0.,1.)); #439385=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #439386=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #439387=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #439388=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #439389=DIRECTION('',(0.,0.,1.)); #439390=DIRECTION('center_axis',(1.,0.,0.)); #439391=DIRECTION('ref_axis',(0.,1.,0.)); #439392=DIRECTION('',(0.,1.,0.)); #439393=DIRECTION('',(0.,1.,0.)); #439394=DIRECTION('',(0.,0.,1.)); #439395=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #439396=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #439397=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #439398=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #439399=DIRECTION('',(0.,0.,1.)); #439400=DIRECTION('center_axis',(0.,1.,0.)); #439401=DIRECTION('ref_axis',(-1.,0.,0.)); #439402=DIRECTION('',(-1.,0.,0.)); #439403=DIRECTION('',(-1.,0.,0.)); #439404=DIRECTION('',(0.,0.,1.)); #439405=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #439406=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #439407=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #439408=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #439409=DIRECTION('',(0.,0.,1.)); #439410=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #439411=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #439412=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #439413=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #439414=DIRECTION('',(0.,0.,1.)); #439415=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #439416=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #439417=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #439418=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #439419=DIRECTION('',(0.,0.,1.)); #439420=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #439421=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #439422=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #439423=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #439424=DIRECTION('',(0.,0.,1.)); #439425=DIRECTION('center_axis',(1.,0.,0.)); #439426=DIRECTION('ref_axis',(0.,1.,0.)); #439427=DIRECTION('',(0.,1.,0.)); #439428=DIRECTION('',(0.,1.,0.)); #439429=DIRECTION('',(0.,0.,1.)); #439430=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #439431=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #439432=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #439433=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #439434=DIRECTION('',(0.,0.,1.)); #439435=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #439436=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #439437=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #439438=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #439439=DIRECTION('',(0.,0.,1.)); #439440=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #439441=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #439442=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #439443=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #439444=DIRECTION('',(0.,0.,1.)); #439445=DIRECTION('center_axis',(1.,0.,0.)); #439446=DIRECTION('ref_axis',(0.,1.,0.)); #439447=DIRECTION('',(0.,1.,0.)); #439448=DIRECTION('',(0.,1.,0.)); #439449=DIRECTION('',(0.,0.,1.)); #439450=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439451=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439452=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439453=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439454=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #439455=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #439456=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #439457=DIRECTION('',(0.,0.,1.)); #439458=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #439459=DIRECTION('',(0.,0.,1.)); #439460=DIRECTION('center_axis',(0.,-1.,0.)); #439461=DIRECTION('ref_axis',(1.,0.,0.)); #439462=DIRECTION('',(1.,0.,0.)); #439463=DIRECTION('',(1.,0.,0.)); #439464=DIRECTION('',(0.,0.,1.)); #439465=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #439466=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #439467=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #439468=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #439469=DIRECTION('',(0.,0.,1.)); #439470=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #439471=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #439472=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #439473=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #439474=DIRECTION('',(0.,0.,1.)); #439475=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #439476=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #439477=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #439478=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #439479=DIRECTION('',(0.,0.,1.)); #439480=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #439481=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #439482=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #439483=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #439484=DIRECTION('',(0.,0.,1.)); #439485=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #439486=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #439487=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #439488=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #439489=DIRECTION('',(0.,0.,1.)); #439490=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #439491=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #439492=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #439493=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #439494=DIRECTION('',(0.,0.,1.)); #439495=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #439496=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #439497=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #439498=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #439499=DIRECTION('',(0.,0.,1.)); #439500=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #439501=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #439502=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #439503=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #439504=DIRECTION('',(0.,0.,1.)); #439505=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #439506=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #439507=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #439508=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #439509=DIRECTION('',(0.,0.,1.)); #439510=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #439511=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #439512=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #439513=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #439514=DIRECTION('',(0.,0.,1.)); #439515=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #439516=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #439517=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #439518=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #439519=DIRECTION('',(0.,0.,1.)); #439520=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #439521=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #439522=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #439523=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #439524=DIRECTION('',(0.,0.,1.)); #439525=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #439526=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #439527=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #439528=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #439529=DIRECTION('',(0.,0.,1.)); #439530=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #439531=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #439532=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #439533=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #439534=DIRECTION('',(0.,0.,1.)); #439535=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #439536=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #439537=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #439538=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #439539=DIRECTION('',(0.,0.,1.)); #439540=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #439541=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #439542=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #439543=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #439544=DIRECTION('',(0.,0.,1.)); #439545=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #439546=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #439547=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #439548=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #439549=DIRECTION('',(0.,0.,1.)); #439550=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #439551=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #439552=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #439553=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #439554=DIRECTION('',(0.,0.,1.)); #439555=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #439556=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #439557=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #439558=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #439559=DIRECTION('',(0.,0.,1.)); #439560=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #439561=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #439562=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #439563=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #439564=DIRECTION('',(0.,0.,1.)); #439565=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #439566=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #439567=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #439568=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #439569=DIRECTION('',(0.,0.,1.)); #439570=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #439571=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #439572=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #439573=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #439574=DIRECTION('',(0.,0.,1.)); #439575=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #439576=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #439577=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #439578=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #439579=DIRECTION('',(0.,0.,1.)); #439580=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #439581=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #439582=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #439583=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #439584=DIRECTION('',(0.,0.,1.)); #439585=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #439586=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #439587=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #439588=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #439589=DIRECTION('',(0.,0.,1.)); #439590=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #439591=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #439592=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #439593=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #439594=DIRECTION('',(0.,0.,1.)); #439595=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #439596=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #439597=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #439598=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #439599=DIRECTION('',(0.,0.,1.)); #439600=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #439601=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #439602=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #439603=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #439604=DIRECTION('',(0.,0.,1.)); #439605=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #439606=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #439607=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #439608=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #439609=DIRECTION('',(0.,0.,1.)); #439610=DIRECTION('center_axis',(-1.,0.,0.)); #439611=DIRECTION('ref_axis',(0.,-1.,0.)); #439612=DIRECTION('',(0.,-1.,0.)); #439613=DIRECTION('',(0.,-1.,0.)); #439614=DIRECTION('',(0.,0.,1.)); #439615=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #439616=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #439617=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #439618=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #439619=DIRECTION('',(0.,0.,1.)); #439620=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #439621=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #439622=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #439623=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #439624=DIRECTION('',(0.,0.,1.)); #439625=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #439626=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #439627=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #439628=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #439629=DIRECTION('',(0.,0.,1.)); #439630=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #439631=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #439632=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #439633=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #439634=DIRECTION('',(0.,0.,1.)); #439635=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #439636=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #439637=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #439638=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #439639=DIRECTION('',(0.,0.,1.)); #439640=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #439641=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #439642=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #439643=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #439644=DIRECTION('',(0.,0.,1.)); #439645=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #439646=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #439647=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #439648=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #439649=DIRECTION('',(0.,0.,1.)); #439650=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #439651=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #439652=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #439653=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #439654=DIRECTION('',(0.,0.,1.)); #439655=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #439656=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #439657=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #439658=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #439659=DIRECTION('',(0.,0.,1.)); #439660=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #439661=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #439662=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #439663=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #439664=DIRECTION('',(0.,0.,1.)); #439665=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #439666=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #439667=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #439668=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #439669=DIRECTION('',(0.,0.,1.)); #439670=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #439671=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #439672=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #439673=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #439674=DIRECTION('',(0.,0.,1.)); #439675=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #439676=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #439677=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #439678=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #439679=DIRECTION('',(0.,0.,1.)); #439680=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #439681=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #439682=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #439683=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #439684=DIRECTION('',(0.,0.,1.)); #439685=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #439686=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #439687=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #439688=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #439689=DIRECTION('',(0.,0.,1.)); #439690=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #439691=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #439692=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #439693=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #439694=DIRECTION('',(0.,0.,1.)); #439695=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #439696=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #439697=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #439698=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #439699=DIRECTION('',(0.,0.,1.)); #439700=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #439701=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #439702=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #439703=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #439704=DIRECTION('',(0.,0.,1.)); #439705=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #439706=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #439707=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #439708=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #439709=DIRECTION('',(0.,0.,1.)); #439710=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #439711=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #439712=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #439713=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #439714=DIRECTION('',(0.,0.,1.)); #439715=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #439716=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #439717=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #439718=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #439719=DIRECTION('',(0.,0.,1.)); #439720=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #439721=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #439722=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #439723=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #439724=DIRECTION('',(0.,0.,1.)); #439725=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #439726=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #439727=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #439728=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #439729=DIRECTION('',(0.,0.,1.)); #439730=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #439731=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #439732=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #439733=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #439734=DIRECTION('',(0.,0.,1.)); #439735=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #439736=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #439737=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #439738=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #439739=DIRECTION('',(0.,0.,1.)); #439740=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #439741=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #439742=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #439743=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #439744=DIRECTION('',(0.,0.,1.)); #439745=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #439746=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #439747=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #439748=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #439749=DIRECTION('',(0.,0.,1.)); #439750=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #439751=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #439752=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #439753=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #439754=DIRECTION('',(0.,0.,1.)); #439755=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #439756=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #439757=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #439758=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #439759=DIRECTION('',(0.,0.,1.)); #439760=DIRECTION('center_axis',(0.,1.,0.)); #439761=DIRECTION('ref_axis',(-1.,0.,0.)); #439762=DIRECTION('',(-1.,0.,0.)); #439763=DIRECTION('',(-1.,0.,0.)); #439764=DIRECTION('',(0.,0.,1.)); #439765=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #439766=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #439767=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #439768=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #439769=DIRECTION('',(0.,0.,1.)); #439770=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #439771=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #439772=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #439773=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #439774=DIRECTION('',(0.,0.,1.)); #439775=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #439776=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #439777=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #439778=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #439779=DIRECTION('',(0.,0.,1.)); #439780=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #439781=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #439782=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #439783=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #439784=DIRECTION('',(0.,0.,1.)); #439785=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #439786=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #439787=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #439788=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #439789=DIRECTION('',(0.,0.,1.)); #439790=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #439791=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #439792=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #439793=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #439794=DIRECTION('',(0.,0.,1.)); #439795=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #439796=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #439797=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #439798=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #439799=DIRECTION('',(0.,0.,1.)); #439800=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #439801=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #439802=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #439803=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #439804=DIRECTION('',(0.,0.,1.)); #439805=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #439806=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #439807=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #439808=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #439809=DIRECTION('',(0.,0.,1.)); #439810=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #439811=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #439812=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #439813=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #439814=DIRECTION('',(0.,0.,1.)); #439815=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #439816=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #439817=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #439818=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #439819=DIRECTION('',(0.,0.,1.)); #439820=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #439821=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #439822=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #439823=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #439824=DIRECTION('',(0.,0.,1.)); #439825=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #439826=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #439827=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #439828=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #439829=DIRECTION('',(0.,0.,1.)); #439830=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #439831=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #439832=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #439833=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #439834=DIRECTION('',(0.,0.,1.)); #439835=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #439836=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #439837=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439838=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #439839=DIRECTION('',(0.,0.,1.)); #439840=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #439841=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #439842=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #439843=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #439844=DIRECTION('',(0.,0.,1.)); #439845=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #439846=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #439847=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #439848=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #439849=DIRECTION('',(0.,0.,1.)); #439850=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #439851=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #439852=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #439853=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #439854=DIRECTION('',(0.,0.,1.)); #439855=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #439856=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #439857=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #439858=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #439859=DIRECTION('',(0.,0.,1.)); #439860=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #439861=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #439862=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #439863=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #439864=DIRECTION('',(0.,0.,1.)); #439865=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #439866=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #439867=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #439868=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #439869=DIRECTION('',(0.,0.,1.)); #439870=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #439871=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #439872=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #439873=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #439874=DIRECTION('',(0.,0.,1.)); #439875=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #439876=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #439877=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #439878=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #439879=DIRECTION('',(0.,0.,1.)); #439880=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #439881=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #439882=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #439883=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #439884=DIRECTION('',(0.,0.,1.)); #439885=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #439886=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #439887=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #439888=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #439889=DIRECTION('',(0.,0.,1.)); #439890=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #439891=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #439892=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #439893=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #439894=DIRECTION('',(0.,0.,1.)); #439895=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #439896=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #439897=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #439898=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #439899=DIRECTION('',(0.,0.,1.)); #439900=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #439901=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #439902=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #439903=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #439904=DIRECTION('',(0.,0.,1.)); #439905=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #439906=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #439907=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #439908=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #439909=DIRECTION('',(0.,0.,1.)); #439910=DIRECTION('center_axis',(1.,0.,0.)); #439911=DIRECTION('ref_axis',(0.,1.,0.)); #439912=DIRECTION('',(0.,1.,0.)); #439913=DIRECTION('',(0.,1.,0.)); #439914=DIRECTION('',(0.,0.,1.)); #439915=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #439916=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #439917=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #439918=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #439919=DIRECTION('',(0.,0.,1.)); #439920=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #439921=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #439922=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #439923=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #439924=DIRECTION('',(0.,0.,1.)); #439925=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #439926=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #439927=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #439928=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #439929=DIRECTION('',(0.,0.,1.)); #439930=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #439931=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #439932=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #439933=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #439934=DIRECTION('',(0.,0.,1.)); #439935=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #439936=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #439937=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #439938=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #439939=DIRECTION('',(0.,0.,1.)); #439940=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #439941=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #439942=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #439943=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #439944=DIRECTION('',(0.,0.,1.)); #439945=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #439946=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #439947=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #439948=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #439949=DIRECTION('',(0.,0.,1.)); #439950=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #439951=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #439952=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #439953=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #439954=DIRECTION('',(0.,0.,1.)); #439955=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #439956=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #439957=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #439958=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #439959=DIRECTION('',(0.,0.,1.)); #439960=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #439961=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #439962=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #439963=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #439964=DIRECTION('',(0.,0.,1.)); #439965=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #439966=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #439967=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #439968=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #439969=DIRECTION('',(0.,0.,1.)); #439970=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #439971=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #439972=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #439973=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #439974=DIRECTION('',(0.,0.,1.)); #439975=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #439976=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #439977=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #439978=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #439979=DIRECTION('',(0.,0.,1.)); #439980=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #439981=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #439982=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #439983=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #439984=DIRECTION('',(0.,0.,1.)); #439985=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #439986=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #439987=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #439988=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #439989=DIRECTION('',(0.,0.,1.)); #439990=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #439991=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #439992=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #439993=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #439994=DIRECTION('',(0.,0.,1.)); #439995=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #439996=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #439997=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #439998=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #439999=DIRECTION('',(0.,0.,1.)); #440000=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #440001=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #440002=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #440003=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #440004=DIRECTION('',(0.,0.,1.)); #440005=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #440006=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #440007=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #440008=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #440009=DIRECTION('',(0.,0.,1.)); #440010=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #440011=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #440012=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #440013=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #440014=DIRECTION('',(0.,0.,1.)); #440015=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #440016=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #440017=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #440018=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #440019=DIRECTION('',(0.,0.,1.)); #440020=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #440021=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #440022=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #440023=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #440024=DIRECTION('',(0.,0.,1.)); #440025=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #440026=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #440027=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #440028=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #440029=DIRECTION('',(0.,0.,1.)); #440030=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #440031=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #440032=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #440033=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #440034=DIRECTION('',(0.,0.,1.)); #440035=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #440036=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #440037=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #440038=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #440039=DIRECTION('',(0.,0.,1.)); #440040=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #440041=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #440042=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #440043=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #440044=DIRECTION('',(0.,0.,1.)); #440045=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #440046=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #440047=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #440048=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #440049=DIRECTION('',(0.,0.,1.)); #440050=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #440051=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #440052=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #440053=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #440054=DIRECTION('center_axis',(0.,0.,1.)); #440055=DIRECTION('ref_axis',(1.,0.,0.)); #440056=DIRECTION('center_axis',(0.,0.,1.)); #440057=DIRECTION('ref_axis',(1.,0.,0.)); #440058=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #440059=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #440060=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #440061=DIRECTION('',(0.,0.,1.)); #440062=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #440063=DIRECTION('',(0.,0.,1.)); #440064=DIRECTION('center_axis',(0.,-1.,0.)); #440065=DIRECTION('ref_axis',(1.,0.,0.)); #440066=DIRECTION('',(1.,0.,0.)); #440067=DIRECTION('',(1.,0.,0.)); #440068=DIRECTION('',(0.,0.,1.)); #440069=DIRECTION('center_axis',(-0.175495599689726,-0.984480215387564, 0.)); #440070=DIRECTION('ref_axis',(0.984480215387564,-0.175495599689726,0.)); #440071=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #440072=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #440073=DIRECTION('',(0.,0.,1.)); #440074=DIRECTION('center_axis',(-0.525373540973504,-0.850871695642159, 0.)); #440075=DIRECTION('ref_axis',(0.850871695642159,-0.525373540973504,0.)); #440076=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #440077=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #440078=DIRECTION('',(0.,0.,1.)); #440079=DIRECTION('center_axis',(-0.815693852178821,-0.578483828224848, 0.)); #440080=DIRECTION('ref_axis',(0.578483828224848,-0.815693852178821,0.)); #440081=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #440082=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #440083=DIRECTION('',(0.,0.,1.)); #440084=DIRECTION('center_axis',(-0.976692604453674,-0.214642857802207, 0.)); #440085=DIRECTION('ref_axis',(0.214642857802207,-0.976692604453674,0.)); #440086=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #440087=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #440088=DIRECTION('',(0.,0.,1.)); #440089=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #440090=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #440091=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #440092=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #440093=DIRECTION('',(0.,0.,1.)); #440094=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #440095=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #440096=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #440097=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #440098=DIRECTION('',(0.,0.,1.)); #440099=DIRECTION('center_axis',(-0.707106781186564,0.707106781186531,0.)); #440100=DIRECTION('ref_axis',(-0.707106781186531,-0.707106781186564,0.)); #440101=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #440102=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #440103=DIRECTION('',(0.,0.,1.)); #440104=DIRECTION('center_axis',(-1.,0.,0.)); #440105=DIRECTION('ref_axis',(0.,-1.,0.)); #440106=DIRECTION('',(0.,-1.,0.)); #440107=DIRECTION('',(0.,-1.,0.)); #440108=DIRECTION('',(0.,0.,1.)); #440109=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #440110=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #440111=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440112=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440113=DIRECTION('',(0.,0.,1.)); #440114=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #440115=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #440116=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #440117=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #440118=DIRECTION('',(0.,0.,1.)); #440119=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #440120=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #440121=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #440122=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #440123=DIRECTION('',(0.,0.,1.)); #440124=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #440125=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #440126=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #440127=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #440128=DIRECTION('',(0.,0.,1.)); #440129=DIRECTION('center_axis',(0.,1.,0.)); #440130=DIRECTION('ref_axis',(-1.,0.,0.)); #440131=DIRECTION('',(-1.,0.,0.)); #440132=DIRECTION('',(-1.,0.,0.)); #440133=DIRECTION('',(0.,0.,1.)); #440134=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #440135=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #440136=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #440137=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #440138=DIRECTION('',(0.,0.,1.)); #440139=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #440140=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #440141=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #440142=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #440143=DIRECTION('',(0.,0.,1.)); #440144=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #440145=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440146=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #440147=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #440148=DIRECTION('',(0.,0.,1.)); #440149=DIRECTION('center_axis',(0.,1.,0.)); #440150=DIRECTION('ref_axis',(-1.,0.,0.)); #440151=DIRECTION('',(-1.,0.,0.)); #440152=DIRECTION('',(-1.,0.,0.)); #440153=DIRECTION('',(0.,0.,1.)); #440154=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #440155=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #440156=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #440157=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #440158=DIRECTION('',(0.,0.,1.)); #440159=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #440160=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #440161=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #440162=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #440163=DIRECTION('',(0.,0.,1.)); #440164=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #440165=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #440166=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #440167=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #440168=DIRECTION('',(0.,0.,1.)); #440169=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #440170=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #440171=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #440172=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #440173=DIRECTION('',(0.,0.,1.)); #440174=DIRECTION('center_axis',(1.,0.,0.)); #440175=DIRECTION('ref_axis',(0.,1.,0.)); #440176=DIRECTION('',(0.,1.,0.)); #440177=DIRECTION('',(0.,1.,0.)); #440178=DIRECTION('',(0.,0.,1.)); #440179=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #440180=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #440181=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #440182=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #440183=DIRECTION('',(0.,0.,1.)); #440184=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #440185=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #440186=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #440187=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #440188=DIRECTION('',(0.,0.,1.)); #440189=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #440190=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #440191=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #440192=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #440193=DIRECTION('center_axis',(0.,0.,1.)); #440194=DIRECTION('ref_axis',(1.,0.,0.)); #440195=DIRECTION('center_axis',(0.,0.,1.)); #440196=DIRECTION('ref_axis',(1.,0.,0.)); #440197=DIRECTION('center_axis',(0.230165683714478,-0.973151456886464,0.)); #440198=DIRECTION('ref_axis',(0.973151456886464,0.230165683714478,0.)); #440199=DIRECTION('',(0.973151456886464,0.230165683714478,0.)); #440200=DIRECTION('',(0.,0.,1.)); #440201=DIRECTION('',(0.973151456886464,0.230165683714478,0.)); #440202=DIRECTION('',(0.,0.,1.)); #440203=DIRECTION('center_axis',(-0.167643887112162,-0.985847618607422, 0.)); #440204=DIRECTION('ref_axis',(0.985847618607422,-0.167643887112162,0.)); #440205=DIRECTION('',(0.985847618607422,-0.167643887112162,0.)); #440206=DIRECTION('',(0.985847618607422,-0.167643887112162,0.)); #440207=DIRECTION('',(0.,0.,1.)); #440208=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #440209=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #440210=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #440211=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #440212=DIRECTION('',(0.,0.,1.)); #440213=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #440214=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #440215=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #440216=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #440217=DIRECTION('',(0.,0.,1.)); #440218=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #440219=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #440220=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #440221=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #440222=DIRECTION('',(0.,0.,1.)); #440223=DIRECTION('center_axis',(-1.,0.,0.)); #440224=DIRECTION('ref_axis',(0.,-1.,0.)); #440225=DIRECTION('',(0.,-1.,0.)); #440226=DIRECTION('',(0.,-1.,0.)); #440227=DIRECTION('',(0.,0.,1.)); #440228=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #440229=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #440230=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #440231=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #440232=DIRECTION('',(0.,0.,1.)); #440233=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #440234=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #440235=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #440236=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #440237=DIRECTION('',(0.,0.,1.)); #440238=DIRECTION('center_axis',(-0.707106781186525,0.70710678118657,0.)); #440239=DIRECTION('ref_axis',(-0.70710678118657,-0.707106781186525,0.)); #440240=DIRECTION('',(-0.70710678118657,-0.707106781186525,0.)); #440241=DIRECTION('',(-0.70710678118657,-0.707106781186525,0.)); #440242=DIRECTION('',(0.,0.,1.)); #440243=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #440244=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #440245=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #440246=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #440247=DIRECTION('',(0.,0.,1.)); #440248=DIRECTION('center_axis',(-0.21467431451675,0.97668569083752,0.)); #440249=DIRECTION('ref_axis',(-0.97668569083752,-0.21467431451675,0.)); #440250=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #440251=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #440252=DIRECTION('',(0.,0.,1.)); #440253=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #440254=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #440255=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #440256=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #440257=DIRECTION('',(0.,0.,1.)); #440258=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #440259=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #440260=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #440261=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #440262=DIRECTION('',(0.,0.,1.)); #440263=DIRECTION('center_axis',(0.707106781186525,0.70710678118657,0.)); #440264=DIRECTION('ref_axis',(-0.70710678118657,0.707106781186525,0.)); #440265=DIRECTION('',(-0.70710678118657,0.707106781186525,0.)); #440266=DIRECTION('',(-0.70710678118657,0.707106781186525,0.)); #440267=DIRECTION('',(0.,0.,1.)); #440268=DIRECTION('center_axis',(0.829203833807437,0.558946331948828,0.)); #440269=DIRECTION('ref_axis',(-0.558946331948828,0.829203833807437,0.)); #440270=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #440271=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #440272=DIRECTION('',(0.,0.,1.)); #440273=DIRECTION('center_axis',(0.980008419561341,0.1989560192326,0.)); #440274=DIRECTION('ref_axis',(-0.1989560192326,0.980008419561341,0.)); #440275=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #440276=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #440277=DIRECTION('',(0.,0.,1.)); #440278=DIRECTION('center_axis',(1.,0.,0.)); #440279=DIRECTION('ref_axis',(0.,1.,0.)); #440280=DIRECTION('',(0.,1.,0.)); #440281=DIRECTION('',(0.,1.,0.)); #440282=DIRECTION('',(0.,0.,1.)); #440283=DIRECTION('center_axis',(0.981560865252934,-0.191149856928827,0.)); #440284=DIRECTION('ref_axis',(0.191149856928827,0.981560865252934,0.)); #440285=DIRECTION('',(0.191149856928827,0.981560865252934,0.)); #440286=DIRECTION('',(0.191149856928827,0.981560865252934,0.)); #440287=DIRECTION('',(0.,0.,1.)); #440288=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #440289=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #440290=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #440291=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #440292=DIRECTION('',(0.,0.,1.)); #440293=DIRECTION('center_axis',(0.707106781186536,-0.707106781186559,0.)); #440294=DIRECTION('ref_axis',(0.707106781186559,0.707106781186536,0.)); #440295=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #440296=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #440297=DIRECTION('',(0.,0.,1.)); #440298=DIRECTION('center_axis',(0.572058771549548,-0.820212632122441,0.)); #440299=DIRECTION('ref_axis',(0.820212632122441,0.572058771549548,0.)); #440300=DIRECTION('',(0.820212632122441,0.572058771549548,0.)); #440301=DIRECTION('',(0.820212632122441,0.572058771549548,0.)); #440302=DIRECTION('center_axis',(0.,0.,1.)); #440303=DIRECTION('ref_axis',(1.,0.,0.)); #440304=DIRECTION('center_axis',(0.,0.,1.)); #440305=DIRECTION('ref_axis',(1.,0.,0.)); #440306=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #440307=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #440308=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #440309=DIRECTION('',(0.,0.,1.)); #440310=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #440311=DIRECTION('',(0.,0.,1.)); #440312=DIRECTION('center_axis',(0.,-1.,0.)); #440313=DIRECTION('ref_axis',(1.,0.,0.)); #440314=DIRECTION('',(1.,0.,0.)); #440315=DIRECTION('',(1.,0.,0.)); #440316=DIRECTION('',(0.,0.,1.)); #440317=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #440318=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #440319=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #440320=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #440321=DIRECTION('',(0.,0.,1.)); #440322=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #440323=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #440324=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #440325=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #440326=DIRECTION('',(0.,0.,1.)); #440327=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #440328=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #440329=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #440330=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #440331=DIRECTION('',(0.,0.,1.)); #440332=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #440333=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #440334=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #440335=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #440336=DIRECTION('',(0.,0.,1.)); #440337=DIRECTION('center_axis',(-1.,0.,0.)); #440338=DIRECTION('ref_axis',(0.,-1.,0.)); #440339=DIRECTION('',(0.,-1.,0.)); #440340=DIRECTION('',(0.,-1.,0.)); #440341=DIRECTION('',(0.,0.,1.)); #440342=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #440343=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #440344=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440345=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440346=DIRECTION('',(0.,0.,1.)); #440347=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #440348=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #440349=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #440350=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #440351=DIRECTION('',(0.,0.,1.)); #440352=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #440353=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #440354=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #440355=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #440356=DIRECTION('',(0.,0.,1.)); #440357=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #440358=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #440359=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #440360=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #440361=DIRECTION('',(0.,0.,1.)); #440362=DIRECTION('center_axis',(0.,1.,0.)); #440363=DIRECTION('ref_axis',(-1.,0.,0.)); #440364=DIRECTION('',(-1.,0.,0.)); #440365=DIRECTION('',(-1.,0.,0.)); #440366=DIRECTION('',(0.,0.,1.)); #440367=DIRECTION('center_axis',(0.171182647289723,0.985239311673505,0.)); #440368=DIRECTION('ref_axis',(-0.985239311673505,0.171182647289723,0.)); #440369=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #440370=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #440371=DIRECTION('',(0.,0.,1.)); #440372=DIRECTION('center_axis',(0.517890952933023,0.855446644081398,0.)); #440373=DIRECTION('ref_axis',(-0.855446644081398,0.517890952933023,0.)); #440374=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #440375=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #440376=DIRECTION('',(0.,0.,1.)); #440377=DIRECTION('center_axis',(0.810521822508528,0.585708438762371,0.)); #440378=DIRECTION('ref_axis',(-0.585708438762371,0.810521822508528,0.)); #440379=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #440380=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #440381=DIRECTION('',(0.,0.,1.)); #440382=DIRECTION('center_axis',(0.974774329673769,0.223192755727094,0.)); #440383=DIRECTION('ref_axis',(-0.223192755727094,0.974774329673769,0.)); #440384=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #440385=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #440386=DIRECTION('',(0.,0.,1.)); #440387=DIRECTION('center_axis',(0.984612470278251,-0.174752062570259,0.)); #440388=DIRECTION('ref_axis',(0.174752062570259,0.984612470278251,0.)); #440389=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #440390=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #440391=DIRECTION('',(0.,0.,1.)); #440392=DIRECTION('center_axis',(0.838491829742335,-0.544914168888415,0.)); #440393=DIRECTION('ref_axis',(0.544914168888415,0.838491829742334,0.)); #440394=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #440395=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #440396=DIRECTION('',(0.,0.,1.)); #440397=DIRECTION('center_axis',(0.713285232756844,-0.700873866491693,0.)); #440398=DIRECTION('ref_axis',(0.700873866491693,0.713285232756844,0.)); #440399=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #440400=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #440401=DIRECTION('',(0.,0.,1.)); #440402=DIRECTION('center_axis',(0.562560636388235,-0.826756028333912,0.)); #440403=DIRECTION('ref_axis',(0.826756028333912,0.562560636388235,0.)); #440404=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #440405=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #440406=DIRECTION('center_axis',(0.,0.,1.)); #440407=DIRECTION('ref_axis',(1.,0.,0.)); #440408=DIRECTION('center_axis',(0.,0.,1.)); #440409=DIRECTION('ref_axis',(1.,0.,0.)); #440410=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #440411=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #440412=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #440413=DIRECTION('',(0.,0.,1.)); #440414=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #440415=DIRECTION('',(0.,0.,1.)); #440416=DIRECTION('center_axis',(0.,-1.,0.)); #440417=DIRECTION('ref_axis',(1.,0.,0.)); #440418=DIRECTION('',(1.,0.,0.)); #440419=DIRECTION('',(1.,0.,0.)); #440420=DIRECTION('',(0.,0.,1.)); #440421=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #440422=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #440423=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #440424=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #440425=DIRECTION('',(0.,0.,1.)); #440426=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #440427=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #440428=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #440429=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #440430=DIRECTION('',(0.,0.,1.)); #440431=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #440432=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #440433=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #440434=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #440435=DIRECTION('',(0.,0.,1.)); #440436=DIRECTION('center_axis',(0.,-1.,0.)); #440437=DIRECTION('ref_axis',(1.,0.,0.)); #440438=DIRECTION('',(1.,0.,0.)); #440439=DIRECTION('',(1.,0.,0.)); #440440=DIRECTION('',(0.,0.,1.)); #440441=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #440442=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #440443=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #440444=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #440445=DIRECTION('',(0.,0.,1.)); #440446=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #440447=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #440448=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #440449=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #440450=DIRECTION('',(0.,0.,1.)); #440451=DIRECTION('center_axis',(-0.824745549033988,-0.565504004714931, 0.)); #440452=DIRECTION('ref_axis',(0.565504004714931,-0.824745549033988,0.)); #440453=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #440454=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #440455=DIRECTION('',(0.,0.,1.)); #440456=DIRECTION('center_axis',(-0.98000841956129,-0.198956019232852,0.)); #440457=DIRECTION('ref_axis',(0.198956019232852,-0.98000841956129,0.)); #440458=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #440459=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #440460=DIRECTION('',(0.,0.,1.)); #440461=DIRECTION('center_axis',(-1.,0.,0.)); #440462=DIRECTION('ref_axis',(0.,-1.,0.)); #440463=DIRECTION('',(0.,-1.,0.)); #440464=DIRECTION('',(0.,-1.,0.)); #440465=DIRECTION('',(0.,0.,1.)); #440466=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #440467=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #440468=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #440469=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #440470=DIRECTION('',(0.,0.,1.)); #440471=DIRECTION('center_axis',(0.,-1.,0.)); #440472=DIRECTION('ref_axis',(1.,0.,0.)); #440473=DIRECTION('',(1.,0.,0.)); #440474=DIRECTION('',(1.,0.,0.)); #440475=DIRECTION('',(0.,0.,1.)); #440476=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #440477=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #440478=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #440479=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #440480=DIRECTION('',(0.,0.,1.)); #440481=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #440482=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #440483=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #440484=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #440485=DIRECTION('',(0.,0.,1.)); #440486=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #440487=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #440488=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #440489=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #440490=DIRECTION('',(0.,0.,1.)); #440491=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #440492=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #440493=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #440494=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #440495=DIRECTION('',(0.,0.,1.)); #440496=DIRECTION('center_axis',(-1.,0.,0.)); #440497=DIRECTION('ref_axis',(0.,-1.,0.)); #440498=DIRECTION('',(0.,-1.,0.)); #440499=DIRECTION('',(0.,-1.,0.)); #440500=DIRECTION('',(0.,0.,1.)); #440501=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #440502=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #440503=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440504=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440505=DIRECTION('',(0.,0.,1.)); #440506=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #440507=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #440508=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #440509=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #440510=DIRECTION('',(0.,0.,1.)); #440511=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #440512=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #440513=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #440514=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #440515=DIRECTION('',(0.,0.,1.)); #440516=DIRECTION('center_axis',(-0.198909787659726,0.980017804110294,0.)); #440517=DIRECTION('ref_axis',(-0.980017804110294,-0.198909787659726,0.)); #440518=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #440519=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #440520=DIRECTION('',(0.,0.,1.)); #440521=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #440522=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #440523=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #440524=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #440525=DIRECTION('',(0.,0.,1.)); #440526=DIRECTION('center_axis',(-1.,0.,0.)); #440527=DIRECTION('ref_axis',(0.,-1.,0.)); #440528=DIRECTION('',(0.,-1.,0.)); #440529=DIRECTION('',(0.,-1.,0.)); #440530=DIRECTION('',(0.,0.,1.)); #440531=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #440532=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #440533=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440534=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #440535=DIRECTION('',(0.,0.,1.)); #440536=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #440537=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #440538=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #440539=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #440540=DIRECTION('',(0.,0.,1.)); #440541=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #440542=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #440543=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #440544=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #440545=DIRECTION('',(0.,0.,1.)); #440546=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #440547=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #440548=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #440549=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #440550=DIRECTION('',(0.,0.,1.)); #440551=DIRECTION('center_axis',(0.,1.,0.)); #440552=DIRECTION('ref_axis',(-1.,0.,0.)); #440553=DIRECTION('',(-1.,0.,0.)); #440554=DIRECTION('',(-1.,0.,0.)); #440555=DIRECTION('',(0.,0.,1.)); #440556=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #440557=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #440558=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #440559=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #440560=DIRECTION('',(0.,0.,1.)); #440561=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #440562=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #440563=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #440564=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #440565=DIRECTION('',(0.,0.,1.)); #440566=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #440567=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #440568=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #440569=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #440570=DIRECTION('',(0.,0.,1.)); #440571=DIRECTION('center_axis',(0.980003828794034,0.198978630885413,0.)); #440572=DIRECTION('ref_axis',(-0.198978630885413,0.980003828794034,0.)); #440573=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #440574=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #440575=DIRECTION('',(0.,0.,1.)); #440576=DIRECTION('center_axis',(0.707105370129522,0.707108192240757,0.)); #440577=DIRECTION('ref_axis',(-0.707108192240757,0.707105370129522,0.)); #440578=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #440579=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #440580=DIRECTION('',(0.,0.,1.)); #440581=DIRECTION('center_axis',(0.,1.,0.)); #440582=DIRECTION('ref_axis',(-1.,0.,0.)); #440583=DIRECTION('',(-1.,0.,0.)); #440584=DIRECTION('',(-1.,0.,0.)); #440585=DIRECTION('',(0.,0.,1.)); #440586=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #440587=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #440588=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #440589=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #440590=DIRECTION('',(0.,0.,1.)); #440591=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #440592=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #440593=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #440594=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #440595=DIRECTION('',(0.,0.,1.)); #440596=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #440597=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440598=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #440599=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #440600=DIRECTION('',(0.,0.,1.)); #440601=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #440602=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #440603=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #440604=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #440605=DIRECTION('',(0.,0.,1.)); #440606=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #440607=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #440608=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #440609=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #440610=DIRECTION('',(0.,0.,1.)); #440611=DIRECTION('center_axis',(1.,0.,0.)); #440612=DIRECTION('ref_axis',(0.,1.,0.)); #440613=DIRECTION('',(0.,1.,0.)); #440614=DIRECTION('',(0.,1.,0.)); #440615=DIRECTION('',(0.,0.,1.)); #440616=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #440617=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #440618=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #440619=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #440620=DIRECTION('',(0.,0.,1.)); #440621=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #440622=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #440623=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #440624=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #440625=DIRECTION('',(0.,0.,1.)); #440626=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #440627=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #440628=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #440629=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #440630=DIRECTION('',(0.,0.,1.)); #440631=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #440632=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #440633=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #440634=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #440635=DIRECTION('',(0.,0.,1.)); #440636=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #440637=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #440638=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #440639=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #440640=DIRECTION('',(0.,0.,1.)); #440641=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #440642=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #440643=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #440644=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #440645=DIRECTION('center_axis',(0.,0.,1.)); #440646=DIRECTION('ref_axis',(1.,0.,0.)); #440647=DIRECTION('center_axis',(0.,0.,1.)); #440648=DIRECTION('ref_axis',(1.,0.,0.)); #440649=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #440650=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #440651=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #440652=DIRECTION('',(0.,0.,1.)); #440653=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #440654=DIRECTION('',(0.,0.,1.)); #440655=DIRECTION('center_axis',(0.,-1.,0.)); #440656=DIRECTION('ref_axis',(1.,0.,0.)); #440657=DIRECTION('',(1.,0.,0.)); #440658=DIRECTION('',(1.,0.,0.)); #440659=DIRECTION('',(0.,0.,1.)); #440660=DIRECTION('center_axis',(-0.171189112142556,-0.985238188401081, 0.)); #440661=DIRECTION('ref_axis',(0.985238188401081,-0.171189112142556,0.)); #440662=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #440663=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #440664=DIRECTION('',(0.,0.,1.)); #440665=DIRECTION('center_axis',(-0.51787634482484,-0.855455487720351,0.)); #440666=DIRECTION('ref_axis',(0.855455487720351,-0.51787634482484,0.)); #440667=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #440668=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #440669=DIRECTION('',(0.,0.,1.)); #440670=DIRECTION('center_axis',(-0.810521822508528,-0.585708438762371, 0.)); #440671=DIRECTION('ref_axis',(0.585708438762371,-0.810521822508528,0.)); #440672=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #440673=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #440674=DIRECTION('',(0.,0.,1.)); #440675=DIRECTION('center_axis',(-0.97478150286602,-0.223161425139436,0.)); #440676=DIRECTION('ref_axis',(0.223161425139436,-0.97478150286602,0.)); #440677=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #440678=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #440679=DIRECTION('',(0.,0.,1.)); #440680=DIRECTION('center_axis',(-0.98461814307028,0.174720097117746,0.)); #440681=DIRECTION('ref_axis',(-0.174720097117746,-0.98461814307028,0.)); #440682=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #440683=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #440684=DIRECTION('',(0.,0.,1.)); #440685=DIRECTION('center_axis',(-0.838476763151268,0.544937352046429,0.)); #440686=DIRECTION('ref_axis',(-0.544937352046428,-0.838476763151268,0.)); #440687=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #440688=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #440689=DIRECTION('',(0.,0.,1.)); #440690=DIRECTION('center_axis',(-0.713285424235251,0.700873671622453,0.)); #440691=DIRECTION('ref_axis',(-0.700873671622453,-0.713285424235251,0.)); #440692=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #440693=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #440694=DIRECTION('',(0.,0.,1.)); #440695=DIRECTION('center_axis',(-0.562560636388235,0.826756028333912,0.)); #440696=DIRECTION('ref_axis',(-0.826756028333912,-0.562560636388235,0.)); #440697=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #440698=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #440699=DIRECTION('',(0.,0.,1.)); #440700=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #440701=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #440702=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #440703=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #440704=DIRECTION('',(0.,0.,1.)); #440705=DIRECTION('center_axis',(0.,1.,0.)); #440706=DIRECTION('ref_axis',(-1.,0.,0.)); #440707=DIRECTION('',(-1.,0.,0.)); #440708=DIRECTION('',(-1.,0.,0.)); #440709=DIRECTION('',(0.,0.,1.)); #440710=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #440711=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #440712=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #440713=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #440714=DIRECTION('',(0.,0.,1.)); #440715=DIRECTION('center_axis',(0.525358802048774,0.85088079606363,0.)); #440716=DIRECTION('ref_axis',(-0.85088079606363,0.525358802048774,0.)); #440717=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #440718=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #440719=DIRECTION('',(0.,0.,1.)); #440720=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #440721=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #440722=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #440723=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #440724=DIRECTION('',(0.,0.,1.)); #440725=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #440726=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #440727=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #440728=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #440729=DIRECTION('',(0.,0.,1.)); #440730=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #440731=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #440732=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #440733=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #440734=DIRECTION('',(0.,0.,1.)); #440735=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #440736=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #440737=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #440738=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #440739=DIRECTION('',(0.,0.,1.)); #440740=DIRECTION('center_axis',(0.707110741027282,-0.707102821323638,0.)); #440741=DIRECTION('ref_axis',(0.707102821323638,0.707110741027282,0.)); #440742=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #440743=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #440744=DIRECTION('',(0.,0.,1.)); #440745=DIRECTION('center_axis',(1.,0.,0.)); #440746=DIRECTION('ref_axis',(0.,1.,0.)); #440747=DIRECTION('',(0.,1.,0.)); #440748=DIRECTION('',(0.,1.,0.)); #440749=DIRECTION('',(0.,0.,1.)); #440750=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #440751=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440752=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #440753=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #440754=DIRECTION('',(0.,0.,1.)); #440755=DIRECTION('center_axis',(0.,1.,0.)); #440756=DIRECTION('ref_axis',(-1.,0.,0.)); #440757=DIRECTION('',(-1.,0.,0.)); #440758=DIRECTION('',(-1.,0.,0.)); #440759=DIRECTION('',(0.,0.,1.)); #440760=DIRECTION('center_axis',(-0.707104777838364,0.707108784529055,0.)); #440761=DIRECTION('ref_axis',(-0.707108784529055,-0.707104777838364,0.)); #440762=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #440763=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #440764=DIRECTION('',(0.,0.,1.)); #440765=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #440766=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #440767=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #440768=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #440769=DIRECTION('',(0.,0.,1.)); #440770=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #440771=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #440772=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #440773=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #440774=DIRECTION('',(0.,0.,1.)); #440775=DIRECTION('center_axis',(0.,1.,0.)); #440776=DIRECTION('ref_axis',(-1.,0.,0.)); #440777=DIRECTION('',(-1.,0.,0.)); #440778=DIRECTION('',(-1.,0.,0.)); #440779=DIRECTION('',(0.,0.,1.)); #440780=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #440781=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #440782=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #440783=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #440784=DIRECTION('',(0.,0.,1.)); #440785=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #440786=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #440787=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #440788=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #440789=DIRECTION('',(0.,0.,1.)); #440790=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #440791=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440792=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #440793=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #440794=DIRECTION('',(0.,0.,1.)); #440795=DIRECTION('center_axis',(0.820212632122495,0.57205877154947,0.)); #440796=DIRECTION('ref_axis',(-0.57205877154947,0.820212632122495,0.)); #440797=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #440798=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #440799=DIRECTION('',(0.,0.,1.)); #440800=DIRECTION('center_axis',(0.973151456886464,0.230165683714478,0.)); #440801=DIRECTION('ref_axis',(-0.230165683714478,0.973151456886464,0.)); #440802=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #440803=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #440804=DIRECTION('',(0.,0.,1.)); #440805=DIRECTION('center_axis',(0.985847618607414,-0.167643887112211,0.)); #440806=DIRECTION('ref_axis',(0.167643887112211,0.985847618607414,0.)); #440807=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #440808=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #440809=DIRECTION('',(0.,0.,1.)); #440810=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #440811=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #440812=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #440813=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #440814=DIRECTION('',(0.,0.,1.)); #440815=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #440816=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #440817=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #440818=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #440819=DIRECTION('center_axis',(0.,0.,1.)); #440820=DIRECTION('ref_axis',(1.,0.,0.)); #440821=DIRECTION('center_axis',(0.,0.,1.)); #440822=DIRECTION('ref_axis',(1.,0.,0.)); #440823=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #440824=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #440825=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #440826=DIRECTION('',(0.,0.,1.)); #440827=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #440828=DIRECTION('',(0.,0.,1.)); #440829=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #440830=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #440831=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #440832=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #440833=DIRECTION('',(0.,0.,1.)); #440834=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #440835=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #440836=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #440837=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #440838=DIRECTION('',(0.,0.,1.)); #440839=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #440840=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #440841=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #440842=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #440843=DIRECTION('',(0.,0.,1.)); #440844=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #440845=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #440846=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #440847=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #440848=DIRECTION('',(0.,0.,1.)); #440849=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #440850=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #440851=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #440852=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #440853=DIRECTION('',(0.,0.,1.)); #440854=DIRECTION('center_axis',(-1.,0.,0.)); #440855=DIRECTION('ref_axis',(0.,-1.,0.)); #440856=DIRECTION('',(0.,-1.,0.)); #440857=DIRECTION('',(0.,-1.,0.)); #440858=DIRECTION('',(0.,0.,1.)); #440859=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #440860=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #440861=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #440862=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #440863=DIRECTION('',(0.,0.,1.)); #440864=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #440865=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #440866=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #440867=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #440868=DIRECTION('',(0.,0.,1.)); #440869=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #440870=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #440871=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #440872=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #440873=DIRECTION('',(0.,0.,1.)); #440874=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #440875=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #440876=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #440877=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #440878=DIRECTION('',(0.,0.,1.)); #440879=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #440880=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #440881=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #440882=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #440883=DIRECTION('',(0.,0.,1.)); #440884=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #440885=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #440886=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #440887=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #440888=DIRECTION('',(0.,0.,1.)); #440889=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #440890=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #440891=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #440892=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #440893=DIRECTION('',(0.,0.,1.)); #440894=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #440895=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440896=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #440897=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #440898=DIRECTION('',(0.,0.,1.)); #440899=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #440900=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #440901=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #440902=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #440903=DIRECTION('',(0.,0.,1.)); #440904=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #440905=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #440906=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #440907=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #440908=DIRECTION('',(0.,0.,1.)); #440909=DIRECTION('center_axis',(1.,0.,0.)); #440910=DIRECTION('ref_axis',(0.,1.,0.)); #440911=DIRECTION('',(0.,1.,0.)); #440912=DIRECTION('',(0.,1.,0.)); #440913=DIRECTION('',(0.,0.,1.)); #440914=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #440915=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #440916=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #440917=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #440918=DIRECTION('',(0.,0.,1.)); #440919=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #440920=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #440921=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #440922=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #440923=DIRECTION('',(0.,0.,1.)); #440924=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #440925=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #440926=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #440927=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #440928=DIRECTION('',(0.,0.,1.)); #440929=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #440930=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #440931=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #440932=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #440933=DIRECTION('center_axis',(0.,0.,1.)); #440934=DIRECTION('ref_axis',(1.,0.,0.)); #440935=DIRECTION('center_axis',(0.,0.,1.)); #440936=DIRECTION('ref_axis',(1.,0.,0.)); #440937=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #440938=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #440939=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #440940=DIRECTION('',(0.,0.,1.)); #440941=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #440942=DIRECTION('',(0.,0.,1.)); #440943=DIRECTION('center_axis',(0.,-1.,0.)); #440944=DIRECTION('ref_axis',(1.,0.,0.)); #440945=DIRECTION('',(1.,0.,0.)); #440946=DIRECTION('',(1.,0.,0.)); #440947=DIRECTION('',(0.,0.,1.)); #440948=DIRECTION('center_axis',(-0.191149856928798,-0.98156086525294,0.)); #440949=DIRECTION('ref_axis',(0.98156086525294,-0.191149856928798,0.)); #440950=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #440951=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #440952=DIRECTION('',(0.,0.,1.)); #440953=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #440954=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #440955=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #440956=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #440957=DIRECTION('',(0.,0.,1.)); #440958=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #440959=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #440960=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #440961=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #440962=DIRECTION('',(0.,0.,1.)); #440963=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #440964=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #440965=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #440966=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #440967=DIRECTION('',(0.,0.,1.)); #440968=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #440969=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #440970=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #440971=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #440972=DIRECTION('',(0.,0.,1.)); #440973=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #440974=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #440975=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #440976=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #440977=DIRECTION('',(0.,0.,1.)); #440978=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #440979=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #440980=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #440981=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #440982=DIRECTION('',(0.,0.,1.)); #440983=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #440984=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #440985=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #440986=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #440987=DIRECTION('',(0.,0.,1.)); #440988=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #440989=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #440990=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #440991=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #440992=DIRECTION('',(0.,0.,1.)); #440993=DIRECTION('center_axis',(0.,1.,0.)); #440994=DIRECTION('ref_axis',(-1.,0.,0.)); #440995=DIRECTION('',(-1.,0.,0.)); #440996=DIRECTION('',(-1.,0.,0.)); #440997=DIRECTION('',(0.,0.,1.)); #440998=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #440999=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #441000=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #441001=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #441002=DIRECTION('',(0.,0.,1.)); #441003=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #441004=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #441005=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #441006=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #441007=DIRECTION('',(0.,0.,1.)); #441008=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #441009=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #441010=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #441011=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #441012=DIRECTION('',(0.,0.,1.)); #441013=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #441014=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #441015=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #441016=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #441017=DIRECTION('',(0.,0.,1.)); #441018=DIRECTION('center_axis',(1.,0.,0.)); #441019=DIRECTION('ref_axis',(0.,1.,0.)); #441020=DIRECTION('',(0.,1.,0.)); #441021=DIRECTION('',(0.,1.,0.)); #441022=DIRECTION('',(0.,0.,1.)); #441023=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #441024=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #441025=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #441026=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #441027=DIRECTION('',(0.,0.,1.)); #441028=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #441029=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #441030=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #441031=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #441032=DIRECTION('',(0.,0.,1.)); #441033=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #441034=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #441035=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #441036=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #441037=DIRECTION('center_axis',(0.,0.,1.)); #441038=DIRECTION('ref_axis',(1.,0.,0.)); #441039=DIRECTION('center_axis',(0.,0.,1.)); #441040=DIRECTION('ref_axis',(1.,0.,0.)); #441041=DIRECTION('center_axis',(0.00448425984527786,-0.999989945656275, 0.)); #441042=DIRECTION('ref_axis',(0.999989945656275,0.00448425984527786,0.)); #441043=DIRECTION('',(0.999989945656275,0.00448425984527786,0.)); #441044=DIRECTION('',(0.,0.,1.)); #441045=DIRECTION('',(0.999989945656275,0.00448425984527786,0.)); #441046=DIRECTION('',(0.,0.,1.)); #441047=DIRECTION('center_axis',(0.,-1.,0.)); #441048=DIRECTION('ref_axis',(1.,0.,0.)); #441049=DIRECTION('',(1.,0.,0.)); #441050=DIRECTION('',(1.,0.,0.)); #441051=DIRECTION('',(0.,0.,1.)); #441052=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #441053=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #441054=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #441055=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #441056=DIRECTION('',(0.,0.,1.)); #441057=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #441058=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #441059=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #441060=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #441061=DIRECTION('',(0.,0.,1.)); #441062=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #441063=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #441064=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #441065=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #441066=DIRECTION('',(0.,0.,1.)); #441067=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #441068=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #441069=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #441070=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #441071=DIRECTION('',(0.,0.,1.)); #441072=DIRECTION('center_axis',(-1.,0.,0.)); #441073=DIRECTION('ref_axis',(0.,-1.,0.)); #441074=DIRECTION('',(0.,-1.,0.)); #441075=DIRECTION('',(0.,-1.,0.)); #441076=DIRECTION('',(0.,0.,1.)); #441077=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #441078=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #441079=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #441080=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #441081=DIRECTION('',(0.,0.,1.)); #441082=DIRECTION('center_axis',(-0.838042613670229,0.545604781570663,0.)); #441083=DIRECTION('ref_axis',(-0.545604781570663,-0.838042613670229,0.)); #441084=DIRECTION('',(-0.545604781570663,-0.838042613670229,0.)); #441085=DIRECTION('',(-0.545604781570663,-0.838042613670229,0.)); #441086=DIRECTION('',(0.,0.,1.)); #441087=DIRECTION('center_axis',(-0.55887810789873,0.829249817914684,0.)); #441088=DIRECTION('ref_axis',(-0.829249817914683,-0.55887810789873,0.)); #441089=DIRECTION('',(-0.829249817914683,-0.55887810789873,0.)); #441090=DIRECTION('',(-0.829249817914683,-0.55887810789873,0.)); #441091=DIRECTION('',(0.,0.,1.)); #441092=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #441093=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #441094=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #441095=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #441096=DIRECTION('',(0.,0.,1.)); #441097=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #441098=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #441099=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #441100=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #441101=DIRECTION('',(0.,0.,1.)); #441102=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #441103=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #441104=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #441105=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #441106=DIRECTION('',(0.,0.,1.)); #441107=DIRECTION('center_axis',(-1.,0.,0.)); #441108=DIRECTION('ref_axis',(0.,-1.,0.)); #441109=DIRECTION('',(0.,-1.,0.)); #441110=DIRECTION('',(0.,-1.,0.)); #441111=DIRECTION('',(0.,0.,1.)); #441112=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #441113=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #441114=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #441115=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #441116=DIRECTION('',(0.,0.,1.)); #441117=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #441118=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #441119=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #441120=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #441121=DIRECTION('',(0.,0.,1.)); #441122=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #441123=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #441124=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #441125=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #441126=DIRECTION('',(0.,0.,1.)); #441127=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #441128=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #441129=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #441130=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #441131=DIRECTION('',(0.,0.,1.)); #441132=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #441133=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #441134=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #441135=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #441136=DIRECTION('',(0.,0.,1.)); #441137=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #441138=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #441139=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #441140=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #441141=DIRECTION('',(0.,0.,1.)); #441142=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #441143=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #441144=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #441145=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #441146=DIRECTION('',(0.,0.,1.)); #441147=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #441148=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #441149=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #441150=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #441151=DIRECTION('',(0.,0.,1.)); #441152=DIRECTION('center_axis',(0.,1.,0.)); #441153=DIRECTION('ref_axis',(-1.,0.,0.)); #441154=DIRECTION('',(-1.,0.,0.)); #441155=DIRECTION('',(-1.,0.,0.)); #441156=DIRECTION('',(0.,0.,1.)); #441157=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #441158=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #441159=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #441160=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #441161=DIRECTION('',(0.,0.,1.)); #441162=DIRECTION('center_axis',(0.525358802048839,0.85088079606359,0.)); #441163=DIRECTION('ref_axis',(-0.85088079606359,0.525358802048839,0.)); #441164=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #441165=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #441166=DIRECTION('',(0.,0.,1.)); #441167=DIRECTION('center_axis',(0.815709411156693,0.578461888589388,0.)); #441168=DIRECTION('ref_axis',(-0.578461888589387,0.815709411156693,0.)); #441169=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #441170=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #441171=DIRECTION('',(0.,0.,1.)); #441172=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #441173=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #441174=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #441175=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #441176=DIRECTION('',(0.,0.,1.)); #441177=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #441178=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #441179=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #441180=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #441181=DIRECTION('',(0.,0.,1.)); #441182=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #441183=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #441184=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #441185=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #441186=DIRECTION('',(0.,0.,1.)); #441187=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #441188=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #441189=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #441190=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #441191=DIRECTION('',(0.,0.,1.)); #441192=DIRECTION('center_axis',(0.923877363903343,-0.382688667805841,0.)); #441193=DIRECTION('ref_axis',(0.382688667805841,0.923877363903343,0.)); #441194=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #441195=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #441196=DIRECTION('',(0.,0.,1.)); #441197=DIRECTION('center_axis',(1.,0.,0.)); #441198=DIRECTION('ref_axis',(0.,1.,0.)); #441199=DIRECTION('',(0.,1.,0.)); #441200=DIRECTION('',(0.,1.,0.)); #441201=DIRECTION('',(0.,0.,1.)); #441202=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #441203=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #441204=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #441205=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #441206=DIRECTION('',(0.,0.,1.)); #441207=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #441208=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #441209=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #441210=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #441211=DIRECTION('',(0.,0.,1.)); #441212=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #441213=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #441214=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #441215=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #441216=DIRECTION('',(0.,0.,1.)); #441217=DIRECTION('center_axis',(0.,1.,0.)); #441218=DIRECTION('ref_axis',(-1.,0.,0.)); #441219=DIRECTION('',(-1.,0.,0.)); #441220=DIRECTION('',(-1.,0.,0.)); #441221=DIRECTION('',(0.,0.,1.)); #441222=DIRECTION('center_axis',(-0.382688667805833,0.923877363903347,0.)); #441223=DIRECTION('ref_axis',(-0.923877363903347,-0.382688667805833,0.)); #441224=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #441225=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #441226=DIRECTION('',(0.,0.,1.)); #441227=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #441228=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #441229=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #441230=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #441231=DIRECTION('',(0.,0.,1.)); #441232=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #441233=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #441234=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #441235=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #441236=DIRECTION('',(0.,0.,1.)); #441237=DIRECTION('center_axis',(-1.,0.,0.)); #441238=DIRECTION('ref_axis',(0.,-1.,0.)); #441239=DIRECTION('',(0.,-1.,0.)); #441240=DIRECTION('',(0.,-1.,0.)); #441241=DIRECTION('',(0.,0.,1.)); #441242=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #441243=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #441244=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #441245=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #441246=DIRECTION('',(0.,0.,1.)); #441247=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #441248=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #441249=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #441250=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #441251=DIRECTION('',(0.,0.,1.)); #441252=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #441253=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #441254=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #441255=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #441256=DIRECTION('',(0.,0.,1.)); #441257=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #441258=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #441259=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #441260=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #441261=DIRECTION('',(0.,0.,1.)); #441262=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #441263=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #441264=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #441265=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #441266=DIRECTION('',(0.,0.,1.)); #441267=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #441268=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #441269=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #441270=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #441271=DIRECTION('',(0.,0.,1.)); #441272=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #441273=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #441274=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #441275=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #441276=DIRECTION('',(0.,0.,1.)); #441277=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #441278=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #441279=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #441280=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #441281=DIRECTION('',(0.,0.,1.)); #441282=DIRECTION('center_axis',(0.,1.,0.)); #441283=DIRECTION('ref_axis',(-1.,0.,0.)); #441284=DIRECTION('',(-1.,0.,0.)); #441285=DIRECTION('',(-1.,0.,0.)); #441286=DIRECTION('',(0.,0.,1.)); #441287=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #441288=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #441289=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #441290=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #441291=DIRECTION('',(0.,0.,1.)); #441292=DIRECTION('center_axis',(0.525358802048839,0.85088079606359,0.)); #441293=DIRECTION('ref_axis',(-0.85088079606359,0.525358802048839,0.)); #441294=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #441295=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #441296=DIRECTION('',(0.,0.,1.)); #441297=DIRECTION('center_axis',(0.815709411156693,0.578461888589388,0.)); #441298=DIRECTION('ref_axis',(-0.578461888589387,0.815709411156693,0.)); #441299=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #441300=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #441301=DIRECTION('',(0.,0.,1.)); #441302=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #441303=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #441304=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #441305=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #441306=DIRECTION('',(0.,0.,1.)); #441307=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #441308=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #441309=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #441310=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #441311=DIRECTION('',(0.,0.,1.)); #441312=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #441313=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #441314=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #441315=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #441316=DIRECTION('',(0.,0.,1.)); #441317=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #441318=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #441319=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #441320=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #441321=DIRECTION('',(0.,0.,1.)); #441322=DIRECTION('center_axis',(0.923877363903343,-0.382688667805841,0.)); #441323=DIRECTION('ref_axis',(0.382688667805841,0.923877363903343,0.)); #441324=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #441325=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #441326=DIRECTION('',(0.,0.,1.)); #441327=DIRECTION('center_axis',(1.,0.,0.)); #441328=DIRECTION('ref_axis',(0.,1.,0.)); #441329=DIRECTION('',(0.,1.,0.)); #441330=DIRECTION('',(0.,1.,0.)); #441331=DIRECTION('',(0.,0.,1.)); #441332=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #441333=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #441334=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #441335=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #441336=DIRECTION('',(0.,0.,1.)); #441337=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #441338=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #441339=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #441340=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #441341=DIRECTION('',(0.,0.,1.)); #441342=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #441343=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #441344=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #441345=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #441346=DIRECTION('',(0.,0.,1.)); #441347=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #441348=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #441349=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #441350=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #441351=DIRECTION('',(0.,0.,1.)); #441352=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #441353=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #441354=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #441355=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #441356=DIRECTION('',(0.,0.,1.)); #441357=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #441358=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #441359=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #441360=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #441361=DIRECTION('',(0.,0.,1.)); #441362=DIRECTION('center_axis',(1.,0.,0.)); #441363=DIRECTION('ref_axis',(0.,1.,0.)); #441364=DIRECTION('',(0.,1.,0.)); #441365=DIRECTION('',(0.,1.,0.)); #441366=DIRECTION('',(0.,0.,1.)); #441367=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #441368=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #441369=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #441370=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #441371=DIRECTION('',(0.,0.,1.)); #441372=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #441373=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #441374=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #441375=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #441376=DIRECTION('',(0.,0.,1.)); #441377=DIRECTION('center_axis',(0.565504004714894,-0.824745549034014,0.)); #441378=DIRECTION('ref_axis',(0.824745549034014,0.565504004714894,0.)); #441379=DIRECTION('',(0.824745549034014,0.565504004714894,0.)); #441380=DIRECTION('',(0.824745549034014,0.565504004714894,0.)); #441381=DIRECTION('',(0.,0.,1.)); #441382=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #441383=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #441384=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #441385=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #441386=DIRECTION('',(0.,0.,1.)); #441387=DIRECTION('center_axis',(0.,-1.,0.)); #441388=DIRECTION('ref_axis',(1.,0.,0.)); #441389=DIRECTION('',(1.,0.,0.)); #441390=DIRECTION('',(1.,0.,0.)); #441391=DIRECTION('',(0.,0.,1.)); #441392=DIRECTION('center_axis',(-0.187112107889887,-0.982338566422495, 0.)); #441393=DIRECTION('ref_axis',(0.982338566422495,-0.187112107889887,0.)); #441394=DIRECTION('',(0.982338566422495,-0.187112107889887,0.)); #441395=DIRECTION('',(0.982338566422495,-0.187112107889887,0.)); #441396=DIRECTION('',(0.,0.,1.)); #441397=DIRECTION('center_axis',(0.00878176022200295,-0.999961439600249, 0.)); #441398=DIRECTION('ref_axis',(0.999961439600249,0.00878176022200295,0.)); #441399=DIRECTION('',(0.999961439600249,0.00878176022200295,0.)); #441400=DIRECTION('',(0.999961439600249,0.00878176022200295,0.)); #441401=DIRECTION('center_axis',(0.,0.,1.)); #441402=DIRECTION('ref_axis',(1.,0.,0.)); #441403=DIRECTION('center_axis',(0.,0.,1.)); #441404=DIRECTION('ref_axis',(1.,0.,0.)); #441405=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #441406=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #441407=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #441408=DIRECTION('',(0.,0.,1.)); #441409=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #441410=DIRECTION('',(0.,0.,1.)); #441411=DIRECTION('center_axis',(0.,-1.,0.)); #441412=DIRECTION('ref_axis',(1.,0.,0.)); #441413=DIRECTION('',(1.,0.,0.)); #441414=DIRECTION('',(1.,0.,0.)); #441415=DIRECTION('',(0.,0.,1.)); #441416=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #441417=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #441418=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #441419=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #441420=DIRECTION('',(0.,0.,1.)); #441421=DIRECTION('center_axis',(-0.552263045083163,-0.833669916115169, 0.)); #441422=DIRECTION('ref_axis',(0.833669916115169,-0.552263045083163,0.)); #441423=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #441424=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #441425=DIRECTION('',(0.,0.,1.)); #441426=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #441427=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #441428=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #441429=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #441430=DIRECTION('',(0.,0.,1.)); #441431=DIRECTION('center_axis',(-0.829214556504185,-0.558930424365652, 0.)); #441432=DIRECTION('ref_axis',(0.558930424365652,-0.829214556504185,0.)); #441433=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #441434=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #441435=DIRECTION('',(0.,0.,1.)); #441436=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #441437=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #441438=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #441439=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #441440=DIRECTION('',(0.,0.,1.)); #441441=DIRECTION('center_axis',(-1.,0.,0.)); #441442=DIRECTION('ref_axis',(0.,-1.,0.)); #441443=DIRECTION('',(0.,-1.,0.)); #441444=DIRECTION('',(0.,-1.,0.)); #441445=DIRECTION('',(0.,0.,1.)); #441446=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #441447=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #441448=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #441449=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #441450=DIRECTION('',(0.,0.,1.)); #441451=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #441452=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #441453=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #441454=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #441455=DIRECTION('',(0.,0.,1.)); #441456=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #441457=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #441458=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #441459=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #441460=DIRECTION('',(0.,0.,1.)); #441461=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #441462=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #441463=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #441464=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #441465=DIRECTION('',(0.,0.,1.)); #441466=DIRECTION('center_axis',(0.,1.,0.)); #441467=DIRECTION('ref_axis',(-1.,0.,0.)); #441468=DIRECTION('',(-1.,0.,0.)); #441469=DIRECTION('',(-1.,0.,0.)); #441470=DIRECTION('',(0.,0.,1.)); #441471=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #441472=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #441473=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #441474=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #441475=DIRECTION('',(0.,0.,1.)); #441476=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #441477=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #441478=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #441479=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #441480=DIRECTION('',(0.,0.,1.)); #441481=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #441482=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #441483=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #441484=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #441485=DIRECTION('',(0.,0.,1.)); #441486=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #441487=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #441488=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #441489=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #441490=DIRECTION('',(0.,0.,1.)); #441491=DIRECTION('center_axis',(1.,0.,0.)); #441492=DIRECTION('ref_axis',(0.,1.,0.)); #441493=DIRECTION('',(0.,1.,0.)); #441494=DIRECTION('',(0.,1.,0.)); #441495=DIRECTION('',(0.,0.,1.)); #441496=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #441497=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #441498=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #441499=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #441500=DIRECTION('',(0.,0.,1.)); #441501=DIRECTION('center_axis',(0.,1.,0.)); #441502=DIRECTION('ref_axis',(-1.,0.,0.)); #441503=DIRECTION('',(-1.,0.,0.)); #441504=DIRECTION('',(-1.,0.,0.)); #441505=DIRECTION('',(0.,0.,1.)); #441506=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #441507=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #441508=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #441509=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #441510=DIRECTION('',(0.,0.,1.)); #441511=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #441512=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #441513=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #441514=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #441515=DIRECTION('',(0.,0.,1.)); #441516=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #441517=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #441518=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #441519=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #441520=DIRECTION('',(0.,0.,1.)); #441521=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #441522=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #441523=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #441524=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #441525=DIRECTION('',(0.,0.,1.)); #441526=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #441527=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #441528=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #441529=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #441530=DIRECTION('',(0.,0.,1.)); #441531=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #441532=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #441533=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #441534=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #441535=DIRECTION('',(0.,0.,1.)); #441536=DIRECTION('center_axis',(0.842377379073625,-0.538888069291805,0.)); #441537=DIRECTION('ref_axis',(0.538888069291805,0.842377379073625,0.)); #441538=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #441539=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #441540=DIRECTION('',(0.,0.,1.)); #441541=DIRECTION('center_axis',(0.565488626065737,-0.824756093515098,0.)); #441542=DIRECTION('ref_axis',(0.824756093515098,0.565488626065737,0.)); #441543=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #441544=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #441545=DIRECTION('center_axis',(0.,0.,1.)); #441546=DIRECTION('ref_axis',(1.,0.,0.)); #441547=DIRECTION('center_axis',(0.,0.,1.)); #441548=DIRECTION('ref_axis',(1.,0.,0.)); #441549=DIRECTION('center_axis',(0.,0.,1.)); #441550=DIRECTION('ref_axis',(1.,0.,0.)); #441551=DIRECTION('center_axis',(0.,0.,1.)); #441552=DIRECTION('ref_axis',(1.,0.,0.)); #441553=DIRECTION('',(0.,0.,1.)); #441554=DIRECTION('center_axis',(0.,0.,-1.)); #441555=DIRECTION('ref_axis',(1.,0.,0.)); #441556=DIRECTION('center_axis',(0.,0.,1.)); #441557=DIRECTION('ref_axis',(1.,0.,0.)); #441558=DIRECTION('center_axis',(0.,0.,1.)); #441559=DIRECTION('ref_axis',(1.,0.,0.)); #441560=DIRECTION('',(0.,0.,1.)); #441561=DIRECTION('center_axis',(0.,0.,-1.)); #441562=DIRECTION('ref_axis',(1.,0.,0.)); #441563=DIRECTION('center_axis',(0.,0.,1.)); #441564=DIRECTION('ref_axis',(1.,0.,0.)); #441565=DIRECTION('center_axis',(0.,0.,1.)); #441566=DIRECTION('ref_axis',(1.,0.,0.)); #441567=DIRECTION('',(0.,0.,1.)); #441568=DIRECTION('center_axis',(0.,0.,-1.)); #441569=DIRECTION('ref_axis',(1.,0.,0.)); #441570=DIRECTION('center_axis',(0.,0.,1.)); #441571=DIRECTION('ref_axis',(1.,0.,0.)); #441572=DIRECTION('center_axis',(0.,0.,1.)); #441573=DIRECTION('ref_axis',(1.,0.,0.)); #441574=DIRECTION('',(0.,0.,1.)); #441575=DIRECTION('center_axis',(0.,0.,-1.)); #441576=DIRECTION('ref_axis',(1.,0.,0.)); #441577=DIRECTION('center_axis',(0.,0.,1.)); #441578=DIRECTION('ref_axis',(1.,0.,0.)); #441579=DIRECTION('center_axis',(0.,0.,1.)); #441580=DIRECTION('ref_axis',(1.,0.,0.)); #441581=DIRECTION('',(0.,0.,1.)); #441582=DIRECTION('center_axis',(0.,0.,-1.)); #441583=DIRECTION('ref_axis',(1.,0.,0.)); #441584=DIRECTION('center_axis',(0.,0.,1.)); #441585=DIRECTION('ref_axis',(1.,0.,0.)); #441586=DIRECTION('center_axis',(0.,0.,1.)); #441587=DIRECTION('ref_axis',(1.,0.,0.)); #441588=DIRECTION('',(0.,0.,1.)); #441589=DIRECTION('center_axis',(0.,0.,-1.)); #441590=DIRECTION('ref_axis',(1.,0.,0.)); #441591=DIRECTION('center_axis',(0.,0.,1.)); #441592=DIRECTION('ref_axis',(1.,0.,0.)); #441593=DIRECTION('center_axis',(0.,0.,1.)); #441594=DIRECTION('ref_axis',(1.,0.,0.)); #441595=DIRECTION('',(0.,0.,1.)); #441596=DIRECTION('center_axis',(0.,0.,-1.)); #441597=DIRECTION('ref_axis',(1.,0.,0.)); #441598=DIRECTION('center_axis',(0.,0.,1.)); #441599=DIRECTION('ref_axis',(1.,0.,0.)); #441600=DIRECTION('center_axis',(0.,0.,1.)); #441601=DIRECTION('ref_axis',(1.,0.,0.)); #441602=DIRECTION('',(0.,0.,1.)); #441603=DIRECTION('center_axis',(0.,0.,-1.)); #441604=DIRECTION('ref_axis',(1.,0.,0.)); #441605=DIRECTION('center_axis',(0.,0.,1.)); #441606=DIRECTION('ref_axis',(1.,0.,0.)); #441607=DIRECTION('center_axis',(0.,0.,1.)); #441608=DIRECTION('ref_axis',(1.,0.,0.)); #441609=DIRECTION('',(0.,0.,1.)); #441610=DIRECTION('center_axis',(0.,0.,-1.)); #441611=DIRECTION('ref_axis',(1.,0.,0.)); #441612=DIRECTION('center_axis',(0.,0.,1.)); #441613=DIRECTION('ref_axis',(1.,0.,0.)); #441614=DIRECTION('center_axis',(0.,0.,1.)); #441615=DIRECTION('ref_axis',(1.,0.,0.)); #441616=DIRECTION('',(0.,0.,1.)); #441617=DIRECTION('center_axis',(0.,0.,-1.)); #441618=DIRECTION('ref_axis',(1.,0.,0.)); #441619=DIRECTION('center_axis',(0.,0.,1.)); #441620=DIRECTION('ref_axis',(1.,0.,0.)); #441621=DIRECTION('center_axis',(0.,0.,1.)); #441622=DIRECTION('ref_axis',(1.,0.,0.)); #441623=DIRECTION('',(0.,0.,1.)); #441624=DIRECTION('center_axis',(0.,0.,-1.)); #441625=DIRECTION('ref_axis',(1.,0.,0.)); #441626=DIRECTION('center_axis',(0.,0.,1.)); #441627=DIRECTION('ref_axis',(1.,0.,0.)); #441628=DIRECTION('center_axis',(0.,0.,1.)); #441629=DIRECTION('ref_axis',(1.,0.,0.)); #441630=DIRECTION('',(0.,0.,1.)); #441631=DIRECTION('center_axis',(0.,0.,-1.)); #441632=DIRECTION('ref_axis',(1.,0.,0.)); #441633=DIRECTION('center_axis',(0.,0.,1.)); #441634=DIRECTION('ref_axis',(1.,0.,0.)); #441635=DIRECTION('center_axis',(0.,0.,1.)); #441636=DIRECTION('ref_axis',(1.,0.,0.)); #441637=DIRECTION('',(0.,0.,1.)); #441638=DIRECTION('center_axis',(0.,0.,-1.)); #441639=DIRECTION('ref_axis',(1.,0.,0.)); #441640=DIRECTION('center_axis',(0.,0.,1.)); #441641=DIRECTION('ref_axis',(1.,0.,0.)); #441642=DIRECTION('center_axis',(0.,0.,1.)); #441643=DIRECTION('ref_axis',(1.,0.,0.)); #441644=DIRECTION('',(0.,0.,1.)); #441645=DIRECTION('center_axis',(0.,0.,-1.)); #441646=DIRECTION('ref_axis',(1.,0.,0.)); #441647=DIRECTION('center_axis',(0.,0.,1.)); #441648=DIRECTION('ref_axis',(1.,0.,0.)); #441649=DIRECTION('center_axis',(0.,0.,1.)); #441650=DIRECTION('ref_axis',(1.,0.,0.)); #441651=DIRECTION('',(0.,0.,1.)); #441652=DIRECTION('center_axis',(0.,0.,-1.)); #441653=DIRECTION('ref_axis',(1.,0.,0.)); #441654=DIRECTION('center_axis',(0.,0.,1.)); #441655=DIRECTION('ref_axis',(1.,0.,0.)); #441656=DIRECTION('center_axis',(0.,0.,1.)); #441657=DIRECTION('ref_axis',(1.,0.,0.)); #441658=DIRECTION('',(0.,0.,1.)); #441659=DIRECTION('center_axis',(0.,0.,-1.)); #441660=DIRECTION('ref_axis',(1.,0.,0.)); #441661=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #441662=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #441663=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #441664=DIRECTION('',(0.,0.,1.)); #441665=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #441666=DIRECTION('',(0.,0.,1.)); #441667=DIRECTION('center_axis',(0.,-1.,0.)); #441668=DIRECTION('ref_axis',(1.,0.,0.)); #441669=DIRECTION('',(1.,0.,0.)); #441670=DIRECTION('',(1.,0.,0.)); #441671=DIRECTION('',(0.,0.,1.)); #441672=DIRECTION('center_axis',(-0.183335538282724,-0.98305039565761,0.)); #441673=DIRECTION('ref_axis',(0.98305039565761,-0.183335538282724,0.)); #441674=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #441675=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #441676=DIRECTION('',(0.,0.,1.)); #441677=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #441678=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #441679=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #441680=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #441681=DIRECTION('',(0.,0.,1.)); #441682=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #441683=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #441684=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #441685=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #441686=DIRECTION('',(0.,0.,1.)); #441687=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #441688=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #441689=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441690=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441691=DIRECTION('',(0.,0.,1.)); #441692=DIRECTION('center_axis',(-1.,0.,0.)); #441693=DIRECTION('ref_axis',(0.,-1.,0.)); #441694=DIRECTION('',(0.,-1.,0.)); #441695=DIRECTION('',(0.,-1.,0.)); #441696=DIRECTION('',(0.,0.,1.)); #441697=DIRECTION('center_axis',(-0.989972034024053,-0.141263483782184, 0.)); #441698=DIRECTION('ref_axis',(0.141263483782184,-0.989972034024053,0.)); #441699=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #441700=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #441701=DIRECTION('',(0.,0.,1.)); #441702=DIRECTION('center_axis',(-0.917737212219158,-0.397188128357593, 0.)); #441703=DIRECTION('ref_axis',(0.397188128357593,-0.917737212219158,0.)); #441704=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #441705=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #441706=DIRECTION('',(0.,0.,1.)); #441707=DIRECTION('center_axis',(-0.862627239166062,-0.505840139024907, 0.)); #441708=DIRECTION('ref_axis',(0.505840139024907,-0.862627239166062,0.)); #441709=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #441710=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #441711=DIRECTION('',(0.,0.,1.)); #441712=DIRECTION('center_axis',(-0.980352414565542,-0.197254006944121, 0.)); #441713=DIRECTION('ref_axis',(0.197254006944121,-0.980352414565542,0.)); #441714=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #441715=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #441716=DIRECTION('',(0.,0.,1.)); #441717=DIRECTION('center_axis',(-0.707107043585686,-0.707106518787311, 0.)); #441718=DIRECTION('ref_axis',(0.707106518787311,-0.707107043585686,0.)); #441719=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #441720=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #441721=DIRECTION('',(0.,0.,1.)); #441722=DIRECTION('center_axis',(-0.427869948947251,-0.903840310446418, 0.)); #441723=DIRECTION('ref_axis',(0.903840310446418,-0.427869948947251,0.)); #441724=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #441725=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #441726=DIRECTION('',(0.,0.,1.)); #441727=DIRECTION('center_axis',(0.929235398672581,-0.369488259426208,0.)); #441728=DIRECTION('ref_axis',(0.369488259426208,0.929235398672581,0.)); #441729=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #441730=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #441731=DIRECTION('',(0.,0.,1.)); #441732=DIRECTION('center_axis',(-0.367957131692208,-0.929842755113381, 0.)); #441733=DIRECTION('ref_axis',(0.929842755113381,-0.367957131692208,0.)); #441734=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #441735=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #441736=DIRECTION('',(0.,0.,1.)); #441737=DIRECTION('center_axis',(-0.125076725538269,-0.992147072126217, 0.)); #441738=DIRECTION('ref_axis',(0.992147072126217,-0.125076725538269,0.)); #441739=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #441740=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #441741=DIRECTION('',(0.,0.,1.)); #441742=DIRECTION('center_axis',(0.,-1.,0.)); #441743=DIRECTION('ref_axis',(1.,0.,0.)); #441744=DIRECTION('',(1.,0.,0.)); #441745=DIRECTION('',(1.,0.,0.)); #441746=DIRECTION('',(0.,0.,1.)); #441747=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #441748=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #441749=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #441750=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #441751=DIRECTION('',(0.,0.,1.)); #441752=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #441753=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #441754=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #441755=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #441756=DIRECTION('',(0.,0.,1.)); #441757=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #441758=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #441759=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #441760=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #441761=DIRECTION('',(0.,0.,1.)); #441762=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #441763=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #441764=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #441765=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #441766=DIRECTION('',(0.,0.,1.)); #441767=DIRECTION('center_axis',(-0.979997328799703,-0.199010641789447, 0.)); #441768=DIRECTION('ref_axis',(0.199010641789447,-0.979997328799703,0.)); #441769=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #441770=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #441771=DIRECTION('',(0.,0.,1.)); #441772=DIRECTION('center_axis',(-1.,0.,0.)); #441773=DIRECTION('ref_axis',(0.,-1.,0.)); #441774=DIRECTION('',(0.,-1.,0.)); #441775=DIRECTION('',(0.,-1.,0.)); #441776=DIRECTION('',(0.,0.,1.)); #441777=DIRECTION('center_axis',(-0.981552099144129,0.191194865688788,0.)); #441778=DIRECTION('ref_axis',(-0.191194865688788,-0.981552099144129,0.)); #441779=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #441780=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #441781=DIRECTION('',(0.,0.,1.)); #441782=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #441783=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #441784=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #441785=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #441786=DIRECTION('',(0.,0.,1.)); #441787=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #441788=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #441789=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #441790=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #441791=DIRECTION('',(0.,0.,1.)); #441792=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #441793=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #441794=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #441795=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #441796=DIRECTION('',(0.,0.,1.)); #441797=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #441798=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #441799=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #441800=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #441801=DIRECTION('',(0.,0.,1.)); #441802=DIRECTION('center_axis',(0.,1.,0.)); #441803=DIRECTION('ref_axis',(-1.,0.,0.)); #441804=DIRECTION('',(-1.,0.,0.)); #441805=DIRECTION('',(-1.,0.,0.)); #441806=DIRECTION('',(0.,0.,1.)); #441807=DIRECTION('center_axis',(0.191194865688577,0.98155209914417,0.)); #441808=DIRECTION('ref_axis',(-0.98155209914417,0.191194865688577,0.)); #441809=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #441810=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #441811=DIRECTION('',(0.,0.,1.)); #441812=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #441813=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #441814=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #441815=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #441816=DIRECTION('',(0.,0.,1.)); #441817=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #441818=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #441819=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #441820=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #441821=DIRECTION('',(0.,0.,1.)); #441822=DIRECTION('center_axis',(0.600315735780726,0.799763100783004,0.)); #441823=DIRECTION('ref_axis',(-0.799763100783004,0.600315735780726,0.)); #441824=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #441825=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #441826=DIRECTION('',(0.,0.,1.)); #441827=DIRECTION('center_axis',(0.125280629073933,0.99212134539019,0.)); #441828=DIRECTION('ref_axis',(-0.99212134539019,0.125280629073933,0.)); #441829=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #441830=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #441831=DIRECTION('',(0.,0.,1.)); #441832=DIRECTION('center_axis',(0.,1.,0.)); #441833=DIRECTION('ref_axis',(-1.,0.,0.)); #441834=DIRECTION('',(-1.,0.,0.)); #441835=DIRECTION('',(-1.,0.,0.)); #441836=DIRECTION('',(0.,0.,1.)); #441837=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #441838=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #441839=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #441840=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #441841=DIRECTION('',(0.,0.,1.)); #441842=DIRECTION('center_axis',(0.,1.,0.)); #441843=DIRECTION('ref_axis',(-1.,0.,0.)); #441844=DIRECTION('',(-1.,0.,0.)); #441845=DIRECTION('',(-1.,0.,0.)); #441846=DIRECTION('',(0.,0.,1.)); #441847=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #441848=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #441849=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #441850=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #441851=DIRECTION('',(0.,0.,1.)); #441852=DIRECTION('center_axis',(0.,1.,0.)); #441853=DIRECTION('ref_axis',(-1.,0.,0.)); #441854=DIRECTION('',(-1.,0.,0.)); #441855=DIRECTION('',(-1.,0.,0.)); #441856=DIRECTION('',(0.,0.,1.)); #441857=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #441858=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #441859=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #441860=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #441861=DIRECTION('',(0.,0.,1.)); #441862=DIRECTION('center_axis',(0.,1.,0.)); #441863=DIRECTION('ref_axis',(-1.,0.,0.)); #441864=DIRECTION('',(-1.,0.,0.)); #441865=DIRECTION('',(-1.,0.,0.)); #441866=DIRECTION('',(0.,0.,1.)); #441867=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #441868=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #441869=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #441870=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #441871=DIRECTION('',(0.,0.,1.)); #441872=DIRECTION('center_axis',(0.,1.,0.)); #441873=DIRECTION('ref_axis',(-1.,0.,0.)); #441874=DIRECTION('',(-1.,0.,0.)); #441875=DIRECTION('',(-1.,0.,0.)); #441876=DIRECTION('',(0.,0.,1.)); #441877=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #441878=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #441879=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #441880=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #441881=DIRECTION('',(0.,0.,1.)); #441882=DIRECTION('center_axis',(0.,1.,0.)); #441883=DIRECTION('ref_axis',(-1.,0.,0.)); #441884=DIRECTION('',(-1.,0.,0.)); #441885=DIRECTION('',(-1.,0.,0.)); #441886=DIRECTION('',(0.,0.,1.)); #441887=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #441888=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #441889=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #441890=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #441891=DIRECTION('',(0.,0.,1.)); #441892=DIRECTION('center_axis',(0.,1.,0.)); #441893=DIRECTION('ref_axis',(-1.,0.,0.)); #441894=DIRECTION('',(-1.,0.,0.)); #441895=DIRECTION('',(-1.,0.,0.)); #441896=DIRECTION('',(0.,0.,1.)); #441897=DIRECTION('center_axis',(0.191180940404449,0.981554811524079,0.)); #441898=DIRECTION('ref_axis',(-0.981554811524079,0.191180940404449,0.)); #441899=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #441900=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #441901=DIRECTION('',(0.,0.,1.)); #441902=DIRECTION('center_axis',(0.,1.,0.)); #441903=DIRECTION('ref_axis',(-1.,0.,0.)); #441904=DIRECTION('',(-1.,0.,0.)); #441905=DIRECTION('',(-1.,0.,0.)); #441906=DIRECTION('',(0.,0.,1.)); #441907=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #441908=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #441909=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #441910=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #441911=DIRECTION('',(0.,0.,1.)); #441912=DIRECTION('center_axis',(0.,1.,0.)); #441913=DIRECTION('ref_axis',(-1.,0.,0.)); #441914=DIRECTION('',(-1.,0.,0.)); #441915=DIRECTION('',(-1.,0.,0.)); #441916=DIRECTION('',(0.,0.,1.)); #441917=DIRECTION('center_axis',(0.191194865688788,0.981552099144129,0.)); #441918=DIRECTION('ref_axis',(-0.981552099144129,0.191194865688788,0.)); #441919=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #441920=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #441921=DIRECTION('',(0.,0.,1.)); #441922=DIRECTION('center_axis',(0.55221870108125,0.833699290017772,0.)); #441923=DIRECTION('ref_axis',(-0.833699290017772,0.55221870108125,0.)); #441924=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #441925=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #441926=DIRECTION('',(0.,0.,1.)); #441927=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #441928=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #441929=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #441930=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #441931=DIRECTION('',(0.,0.,1.)); #441932=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #441933=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #441934=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #441935=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #441936=DIRECTION('',(0.,0.,1.)); #441937=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #441938=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #441939=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #441940=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #441941=DIRECTION('',(0.,0.,1.)); #441942=DIRECTION('center_axis',(1.,0.,0.)); #441943=DIRECTION('ref_axis',(0.,1.,0.)); #441944=DIRECTION('',(0.,1.,0.)); #441945=DIRECTION('',(0.,1.,0.)); #441946=DIRECTION('',(0.,0.,1.)); #441947=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #441948=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #441949=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #441950=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #441951=DIRECTION('',(0.,0.,1.)); #441952=DIRECTION('center_axis',(0.842351514327583,-0.538928498327957,0.)); #441953=DIRECTION('ref_axis',(0.538928498327957,0.842351514327584,0.)); #441954=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #441955=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #441956=DIRECTION('',(0.,0.,1.)); #441957=DIRECTION('center_axis',(0.565473758099833,-0.824766287441752,0.)); #441958=DIRECTION('ref_axis',(0.824766287441752,0.565473758099833,0.)); #441959=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #441960=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #441961=DIRECTION('',(0.,0.,1.)); #441962=DIRECTION('center_axis',(0.199010641789378,-0.979997328799717,0.)); #441963=DIRECTION('ref_axis',(0.979997328799717,0.199010641789378,0.)); #441964=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #441965=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #441966=DIRECTION('',(0.,0.,1.)); #441967=DIRECTION('center_axis',(0.,-1.,0.)); #441968=DIRECTION('ref_axis',(1.,0.,0.)); #441969=DIRECTION('',(1.,0.,0.)); #441970=DIRECTION('',(1.,0.,0.)); #441971=DIRECTION('',(0.,0.,1.)); #441972=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #441973=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #441974=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #441975=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #441976=DIRECTION('',(0.,0.,1.)); #441977=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #441978=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #441979=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #441980=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #441981=DIRECTION('',(0.,0.,1.)); #441982=DIRECTION('center_axis',(-0.824766287441891,-0.565473758099632, 0.)); #441983=DIRECTION('ref_axis',(0.565473758099632,-0.824766287441891,0.)); #441984=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #441985=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #441986=DIRECTION('',(0.,0.,1.)); #441987=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #441988=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #441989=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441990=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #441991=DIRECTION('',(0.,0.,1.)); #441992=DIRECTION('center_axis',(-1.,0.,0.)); #441993=DIRECTION('ref_axis',(0.,-1.,0.)); #441994=DIRECTION('',(0.,-1.,0.)); #441995=DIRECTION('',(0.,-1.,0.)); #441996=DIRECTION('',(0.,0.,1.)); #441997=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #441998=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #441999=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #442000=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #442001=DIRECTION('',(0.,0.,1.)); #442002=DIRECTION('center_axis',(0.,-1.,0.)); #442003=DIRECTION('ref_axis',(1.,0.,0.)); #442004=DIRECTION('',(1.,0.,0.)); #442005=DIRECTION('',(1.,0.,0.)); #442006=DIRECTION('',(0.,0.,1.)); #442007=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #442008=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #442009=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #442010=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #442011=DIRECTION('',(0.,0.,1.)); #442012=DIRECTION('center_axis',(1.,0.,0.)); #442013=DIRECTION('ref_axis',(0.,1.,0.)); #442014=DIRECTION('',(0.,1.,0.)); #442015=DIRECTION('',(0.,1.,0.)); #442016=DIRECTION('',(0.,0.,1.)); #442017=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #442018=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #442019=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #442020=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #442021=DIRECTION('',(0.,0.,1.)); #442022=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #442023=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #442024=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #442025=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #442026=DIRECTION('',(0.,0.,1.)); #442027=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #442028=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #442029=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #442030=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #442031=DIRECTION('center_axis',(0.,0.,1.)); #442032=DIRECTION('ref_axis',(1.,0.,0.)); #442033=DIRECTION('center_axis',(0.,0.,1.)); #442034=DIRECTION('ref_axis',(1.,0.,0.)); #442035=DIRECTION('center_axis',(0.,0.,1.)); #442036=DIRECTION('ref_axis',(1.,0.,0.)); #442037=DIRECTION('center_axis',(0.,0.,1.)); #442038=DIRECTION('ref_axis',(1.,0.,0.)); #442039=DIRECTION('',(0.,0.,1.)); #442040=DIRECTION('center_axis',(0.,0.,-1.)); #442041=DIRECTION('ref_axis',(1.,0.,0.)); #442042=DIRECTION('center_axis',(0.,0.,1.)); #442043=DIRECTION('ref_axis',(1.,0.,0.)); #442044=DIRECTION('center_axis',(0.,0.,1.)); #442045=DIRECTION('ref_axis',(1.,0.,0.)); #442046=DIRECTION('',(0.,0.,1.)); #442047=DIRECTION('center_axis',(0.,0.,-1.)); #442048=DIRECTION('ref_axis',(1.,0.,0.)); #442049=DIRECTION('center_axis',(0.,0.,1.)); #442050=DIRECTION('ref_axis',(1.,0.,0.)); #442051=DIRECTION('center_axis',(0.,0.,1.)); #442052=DIRECTION('ref_axis',(1.,0.,0.)); #442053=DIRECTION('',(0.,0.,1.)); #442054=DIRECTION('center_axis',(0.,0.,-1.)); #442055=DIRECTION('ref_axis',(1.,0.,0.)); #442056=DIRECTION('center_axis',(0.,0.,1.)); #442057=DIRECTION('ref_axis',(1.,0.,0.)); #442058=DIRECTION('center_axis',(0.,0.,1.)); #442059=DIRECTION('ref_axis',(1.,0.,0.)); #442060=DIRECTION('',(0.,0.,1.)); #442061=DIRECTION('center_axis',(0.,0.,-1.)); #442062=DIRECTION('ref_axis',(1.,0.,0.)); #442063=DIRECTION('center_axis',(0.,0.,1.)); #442064=DIRECTION('ref_axis',(1.,0.,0.)); #442065=DIRECTION('center_axis',(0.,0.,1.)); #442066=DIRECTION('ref_axis',(1.,0.,0.)); #442067=DIRECTION('',(0.,0.,1.)); #442068=DIRECTION('center_axis',(0.,0.,-1.)); #442069=DIRECTION('ref_axis',(1.,0.,0.)); #442070=DIRECTION('center_axis',(0.,0.,1.)); #442071=DIRECTION('ref_axis',(1.,0.,0.)); #442072=DIRECTION('center_axis',(0.,0.,1.)); #442073=DIRECTION('ref_axis',(1.,0.,0.)); #442074=DIRECTION('',(0.,0.,1.)); #442075=DIRECTION('center_axis',(0.,0.,-1.)); #442076=DIRECTION('ref_axis',(1.,0.,0.)); #442077=DIRECTION('center_axis',(0.,0.,1.)); #442078=DIRECTION('ref_axis',(1.,0.,0.)); #442079=DIRECTION('center_axis',(0.,0.,1.)); #442080=DIRECTION('ref_axis',(1.,0.,0.)); #442081=DIRECTION('',(0.,0.,1.)); #442082=DIRECTION('center_axis',(0.,0.,-1.)); #442083=DIRECTION('ref_axis',(1.,0.,0.)); #442084=DIRECTION('center_axis',(0.,0.,1.)); #442085=DIRECTION('ref_axis',(1.,0.,0.)); #442086=DIRECTION('center_axis',(0.,0.,1.)); #442087=DIRECTION('ref_axis',(1.,0.,0.)); #442088=DIRECTION('',(0.,0.,1.)); #442089=DIRECTION('center_axis',(0.,0.,-1.)); #442090=DIRECTION('ref_axis',(1.,0.,0.)); #442091=DIRECTION('center_axis',(0.,0.,1.)); #442092=DIRECTION('ref_axis',(1.,0.,0.)); #442093=DIRECTION('center_axis',(0.,0.,1.)); #442094=DIRECTION('ref_axis',(1.,0.,0.)); #442095=DIRECTION('',(0.,0.,1.)); #442096=DIRECTION('center_axis',(0.,0.,-1.)); #442097=DIRECTION('ref_axis',(1.,0.,0.)); #442098=DIRECTION('center_axis',(0.,0.,1.)); #442099=DIRECTION('ref_axis',(1.,0.,0.)); #442100=DIRECTION('center_axis',(0.,0.,1.)); #442101=DIRECTION('ref_axis',(1.,0.,0.)); #442102=DIRECTION('',(0.,0.,1.)); #442103=DIRECTION('center_axis',(0.,0.,-1.)); #442104=DIRECTION('ref_axis',(1.,0.,0.)); #442105=DIRECTION('center_axis',(0.,0.,1.)); #442106=DIRECTION('ref_axis',(1.,0.,0.)); #442107=DIRECTION('center_axis',(0.,0.,1.)); #442108=DIRECTION('ref_axis',(1.,0.,0.)); #442109=DIRECTION('',(0.,0.,1.)); #442110=DIRECTION('center_axis',(0.,0.,-1.)); #442111=DIRECTION('ref_axis',(1.,0.,0.)); #442112=DIRECTION('center_axis',(0.,0.,1.)); #442113=DIRECTION('ref_axis',(1.,0.,0.)); #442114=DIRECTION('center_axis',(0.,0.,1.)); #442115=DIRECTION('ref_axis',(1.,0.,0.)); #442116=DIRECTION('',(0.,0.,1.)); #442117=DIRECTION('center_axis',(0.,0.,-1.)); #442118=DIRECTION('ref_axis',(1.,0.,0.)); #442119=DIRECTION('center_axis',(0.,0.,1.)); #442120=DIRECTION('ref_axis',(1.,0.,0.)); #442121=DIRECTION('center_axis',(0.,0.,1.)); #442122=DIRECTION('ref_axis',(1.,0.,0.)); #442123=DIRECTION('',(0.,0.,1.)); #442124=DIRECTION('center_axis',(0.,0.,-1.)); #442125=DIRECTION('ref_axis',(1.,0.,0.)); #442126=DIRECTION('center_axis',(0.,0.,1.)); #442127=DIRECTION('ref_axis',(1.,0.,0.)); #442128=DIRECTION('center_axis',(0.,0.,1.)); #442129=DIRECTION('ref_axis',(1.,0.,0.)); #442130=DIRECTION('',(0.,0.,1.)); #442131=DIRECTION('center_axis',(0.,0.,-1.)); #442132=DIRECTION('ref_axis',(1.,0.,0.)); #442133=DIRECTION('center_axis',(0.,0.,1.)); #442134=DIRECTION('ref_axis',(1.,0.,0.)); #442135=DIRECTION('center_axis',(0.,0.,1.)); #442136=DIRECTION('ref_axis',(1.,0.,0.)); #442137=DIRECTION('',(0.,0.,1.)); #442138=DIRECTION('center_axis',(0.,0.,-1.)); #442139=DIRECTION('ref_axis',(1.,0.,0.)); #442140=DIRECTION('center_axis',(0.,0.,1.)); #442141=DIRECTION('ref_axis',(1.,0.,0.)); #442142=DIRECTION('center_axis',(0.,0.,1.)); #442143=DIRECTION('ref_axis',(1.,0.,0.)); #442144=DIRECTION('',(0.,0.,1.)); #442145=DIRECTION('center_axis',(0.,0.,-1.)); #442146=DIRECTION('ref_axis',(1.,0.,0.)); #442147=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #442148=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #442149=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #442150=DIRECTION('',(0.,0.,1.)); #442151=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #442152=DIRECTION('',(0.,0.,1.)); #442153=DIRECTION('center_axis',(0.,-1.,0.)); #442154=DIRECTION('ref_axis',(1.,0.,0.)); #442155=DIRECTION('',(1.,0.,0.)); #442156=DIRECTION('',(1.,0.,0.)); #442157=DIRECTION('',(0.,0.,1.)); #442158=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #442159=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #442160=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #442161=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #442162=DIRECTION('',(0.,0.,1.)); #442163=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #442164=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #442165=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #442166=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #442167=DIRECTION('',(0.,0.,1.)); #442168=DIRECTION('center_axis',(-0.82476628744185,-0.565473758099692,0.)); #442169=DIRECTION('ref_axis',(0.565473758099692,-0.82476628744185,0.)); #442170=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #442171=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #442172=DIRECTION('',(0.,0.,1.)); #442173=DIRECTION('center_axis',(-0.979997328799718,-0.19901064178937,0.)); #442174=DIRECTION('ref_axis',(0.19901064178937,-0.979997328799718,0.)); #442175=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #442176=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #442177=DIRECTION('',(0.,0.,1.)); #442178=DIRECTION('center_axis',(-1.,0.,0.)); #442179=DIRECTION('ref_axis',(0.,-1.,0.)); #442180=DIRECTION('',(0.,-1.,0.)); #442181=DIRECTION('',(0.,-1.,0.)); #442182=DIRECTION('',(0.,0.,1.)); #442183=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #442184=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #442185=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #442186=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #442187=DIRECTION('',(0.,0.,1.)); #442188=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #442189=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #442190=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #442191=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #442192=DIRECTION('',(0.,0.,1.)); #442193=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #442194=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #442195=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #442196=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #442197=DIRECTION('',(0.,0.,1.)); #442198=DIRECTION('center_axis',(-0.558856232805004,0.82926456035152,0.)); #442199=DIRECTION('ref_axis',(-0.82926456035152,-0.558856232805004,0.)); #442200=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #442201=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #442202=DIRECTION('',(0.,0.,1.)); #442203=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #442204=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #442205=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #442206=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #442207=DIRECTION('',(0.,0.,1.)); #442208=DIRECTION('center_axis',(0.,1.,0.)); #442209=DIRECTION('ref_axis',(-1.,0.,0.)); #442210=DIRECTION('',(-1.,0.,0.)); #442211=DIRECTION('',(-1.,0.,0.)); #442212=DIRECTION('',(0.,0.,1.)); #442213=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #442214=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #442215=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442216=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442217=DIRECTION('',(0.,0.,1.)); #442218=DIRECTION('center_axis',(0.,1.,0.)); #442219=DIRECTION('ref_axis',(-1.,0.,0.)); #442220=DIRECTION('',(-1.,0.,0.)); #442221=DIRECTION('',(-1.,0.,0.)); #442222=DIRECTION('',(0.,0.,1.)); #442223=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #442224=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #442225=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #442226=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #442227=DIRECTION('',(0.,0.,1.)); #442228=DIRECTION('center_axis',(0.,1.,0.)); #442229=DIRECTION('ref_axis',(-1.,0.,0.)); #442230=DIRECTION('',(-1.,0.,0.)); #442231=DIRECTION('',(-1.,0.,0.)); #442232=DIRECTION('',(0.,0.,1.)); #442233=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #442234=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #442235=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442236=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442237=DIRECTION('',(0.,0.,1.)); #442238=DIRECTION('center_axis',(0.,1.,0.)); #442239=DIRECTION('ref_axis',(-1.,0.,0.)); #442240=DIRECTION('',(-1.,0.,0.)); #442241=DIRECTION('',(-1.,0.,0.)); #442242=DIRECTION('',(0.,0.,1.)); #442243=DIRECTION('center_axis',(-0.199017616335218,0.979995912434459,0.)); #442244=DIRECTION('ref_axis',(-0.979995912434459,-0.199017616335218,0.)); #442245=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #442246=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #442247=DIRECTION('',(0.,0.,1.)); #442248=DIRECTION('center_axis',(0.,1.,0.)); #442249=DIRECTION('ref_axis',(-1.,0.,0.)); #442250=DIRECTION('',(-1.,0.,0.)); #442251=DIRECTION('',(-1.,0.,0.)); #442252=DIRECTION('',(0.,0.,1.)); #442253=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #442254=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #442255=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442256=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442257=DIRECTION('',(0.,0.,1.)); #442258=DIRECTION('center_axis',(0.,1.,0.)); #442259=DIRECTION('ref_axis',(-1.,0.,0.)); #442260=DIRECTION('',(-1.,0.,0.)); #442261=DIRECTION('',(-1.,0.,0.)); #442262=DIRECTION('',(0.,0.,1.)); #442263=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #442264=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #442265=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #442266=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #442267=DIRECTION('',(0.,0.,1.)); #442268=DIRECTION('center_axis',(0.,1.,0.)); #442269=DIRECTION('ref_axis',(-1.,0.,0.)); #442270=DIRECTION('',(-1.,0.,0.)); #442271=DIRECTION('',(-1.,0.,0.)); #442272=DIRECTION('',(0.,0.,1.)); #442273=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #442274=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #442275=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442276=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #442277=DIRECTION('',(0.,0.,1.)); #442278=DIRECTION('center_axis',(0.,1.,0.)); #442279=DIRECTION('ref_axis',(-1.,0.,0.)); #442280=DIRECTION('',(-1.,0.,0.)); #442281=DIRECTION('',(-1.,0.,0.)); #442282=DIRECTION('',(0.,0.,1.)); #442283=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #442284=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #442285=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #442286=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #442287=DIRECTION('',(0.,0.,1.)); #442288=DIRECTION('center_axis',(0.,1.,0.)); #442289=DIRECTION('ref_axis',(-1.,0.,0.)); #442290=DIRECTION('',(-1.,0.,0.)); #442291=DIRECTION('',(-1.,0.,0.)); #442292=DIRECTION('',(0.,0.,1.)); #442293=DIRECTION('center_axis',(-0.140944937191418,0.990017436553573,0.)); #442294=DIRECTION('ref_axis',(-0.990017436553573,-0.140944937191418,0.)); #442295=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #442296=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #442297=DIRECTION('',(0.,0.,1.)); #442298=DIRECTION('center_axis',(-0.613211584811249,0.789918699774398,0.)); #442299=DIRECTION('ref_axis',(-0.789918699774398,-0.613211584811249,0.)); #442300=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #442301=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #442302=DIRECTION('',(0.,0.,1.)); #442303=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #442304=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #442305=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #442306=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #442307=DIRECTION('',(0.,0.,1.)); #442308=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #442309=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #442310=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #442311=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #442312=DIRECTION('',(0.,0.,1.)); #442313=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #442314=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #442315=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #442316=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #442317=DIRECTION('',(0.,0.,1.)); #442318=DIRECTION('center_axis',(0.,1.,0.)); #442319=DIRECTION('ref_axis',(-1.,0.,0.)); #442320=DIRECTION('',(-1.,0.,0.)); #442321=DIRECTION('',(-1.,0.,0.)); #442322=DIRECTION('',(0.,0.,1.)); #442323=DIRECTION('center_axis',(0.19119486568856,0.981552099144174,0.)); #442324=DIRECTION('ref_axis',(-0.981552099144174,0.19119486568856,0.)); #442325=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #442326=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #442327=DIRECTION('',(0.,0.,1.)); #442328=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #442329=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #442330=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #442331=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #442332=DIRECTION('',(0.,0.,1.)); #442333=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #442334=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #442335=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #442336=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #442337=DIRECTION('',(0.,0.,1.)); #442338=DIRECTION('center_axis',(0.829264560351563,0.55885623280494,0.)); #442339=DIRECTION('ref_axis',(-0.55885623280494,0.829264560351563,0.)); #442340=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #442341=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #442342=DIRECTION('',(0.,0.,1.)); #442343=DIRECTION('center_axis',(0.97999732879972,0.199010641789363,0.)); #442344=DIRECTION('ref_axis',(-0.199010641789363,0.97999732879972,0.)); #442345=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #442346=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #442347=DIRECTION('',(0.,0.,1.)); #442348=DIRECTION('center_axis',(1.,0.,0.)); #442349=DIRECTION('ref_axis',(0.,1.,0.)); #442350=DIRECTION('',(0.,1.,0.)); #442351=DIRECTION('',(0.,1.,0.)); #442352=DIRECTION('',(0.,0.,1.)); #442353=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #442354=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #442355=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #442356=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #442357=DIRECTION('',(0.,0.,1.)); #442358=DIRECTION('center_axis',(0.833699290017718,-0.552218701081331,0.)); #442359=DIRECTION('ref_axis',(0.552218701081331,0.833699290017718,0.)); #442360=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #442361=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #442362=DIRECTION('',(0.,0.,1.)); #442363=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #442364=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #442365=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442366=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442367=DIRECTION('',(0.,0.,1.)); #442368=DIRECTION('center_axis',(0.558856232805025,-0.829264560351506,0.)); #442369=DIRECTION('ref_axis',(0.829264560351506,0.558856232805025,0.)); #442370=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #442371=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #442372=DIRECTION('',(0.,0.,1.)); #442373=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #442374=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #442375=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #442376=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #442377=DIRECTION('',(0.,0.,1.)); #442378=DIRECTION('center_axis',(0.,-1.,0.)); #442379=DIRECTION('ref_axis',(1.,0.,0.)); #442380=DIRECTION('',(1.,0.,0.)); #442381=DIRECTION('',(1.,0.,0.)); #442382=DIRECTION('',(0.,0.,1.)); #442383=DIRECTION('center_axis',(0.140944937191418,-0.990017436553573,0.)); #442384=DIRECTION('ref_axis',(0.990017436553573,0.140944937191418,0.)); #442385=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #442386=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #442387=DIRECTION('',(0.,0.,1.)); #442388=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #442389=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #442390=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #442391=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #442392=DIRECTION('',(0.,0.,1.)); #442393=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #442394=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #442395=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #442396=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #442397=DIRECTION('',(0.,0.,1.)); #442398=DIRECTION('center_axis',(0.799763100783356,-0.600315735780258,0.)); #442399=DIRECTION('ref_axis',(0.600315735780258,0.799763100783355,0.)); #442400=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #442401=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #442402=DIRECTION('',(0.,0.,1.)); #442403=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #442404=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #442405=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #442406=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #442407=DIRECTION('',(0.,0.,1.)); #442408=DIRECTION('center_axis',(1.,0.,0.)); #442409=DIRECTION('ref_axis',(0.,1.,0.)); #442410=DIRECTION('',(0.,1.,0.)); #442411=DIRECTION('',(0.,1.,0.)); #442412=DIRECTION('',(0.,0.,1.)); #442413=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #442414=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #442415=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #442416=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #442417=DIRECTION('',(0.,0.,1.)); #442418=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #442419=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #442420=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #442421=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #442422=DIRECTION('',(0.,0.,1.)); #442423=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #442424=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #442425=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #442426=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #442427=DIRECTION('',(0.,0.,1.)); #442428=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #442429=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #442430=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #442431=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #442432=DIRECTION('',(0.,0.,1.)); #442433=DIRECTION('center_axis',(0.,-1.,0.)); #442434=DIRECTION('ref_axis',(1.,0.,0.)); #442435=DIRECTION('',(1.,0.,0.)); #442436=DIRECTION('',(1.,0.,0.)); #442437=DIRECTION('',(0.,0.,1.)); #442438=DIRECTION('center_axis',(-0.183335538282741,-0.983050395657607, 0.)); #442439=DIRECTION('ref_axis',(0.983050395657607,-0.183335538282741,0.)); #442440=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #442441=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #442442=DIRECTION('',(0.,0.,1.)); #442443=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #442444=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #442445=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #442446=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #442447=DIRECTION('',(0.,0.,1.)); #442448=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #442449=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #442450=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #442451=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #442452=DIRECTION('',(0.,0.,1.)); #442453=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #442454=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #442455=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #442456=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #442457=DIRECTION('',(0.,0.,1.)); #442458=DIRECTION('center_axis',(-1.,0.,0.)); #442459=DIRECTION('ref_axis',(0.,-1.,0.)); #442460=DIRECTION('',(0.,-1.,0.)); #442461=DIRECTION('',(0.,-1.,0.)); #442462=DIRECTION('',(0.,0.,1.)); #442463=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #442464=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #442465=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #442466=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #442467=DIRECTION('',(0.,0.,1.)); #442468=DIRECTION('center_axis',(0.,-1.,0.)); #442469=DIRECTION('ref_axis',(1.,0.,0.)); #442470=DIRECTION('',(1.,0.,0.)); #442471=DIRECTION('',(1.,0.,0.)); #442472=DIRECTION('',(0.,0.,1.)); #442473=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #442474=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #442475=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #442476=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #442477=DIRECTION('',(0.,0.,1.)); #442478=DIRECTION('center_axis',(1.,0.,0.)); #442479=DIRECTION('ref_axis',(0.,1.,0.)); #442480=DIRECTION('',(0.,1.,0.)); #442481=DIRECTION('',(0.,1.,0.)); #442482=DIRECTION('',(0.,0.,1.)); #442483=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #442484=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #442485=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #442486=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #442487=DIRECTION('',(0.,0.,1.)); #442488=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #442489=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #442490=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #442491=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #442492=DIRECTION('',(0.,0.,1.)); #442493=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #442494=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #442495=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #442496=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #442497=DIRECTION('center_axis',(0.,0.,1.)); #442498=DIRECTION('ref_axis',(1.,0.,0.)); #442499=DIRECTION('center_axis',(0.,0.,1.)); #442500=DIRECTION('ref_axis',(1.,0.,0.)); #442501=DIRECTION('center_axis',(0.,0.,1.)); #442502=DIRECTION('ref_axis',(1.,0.,0.)); #442503=DIRECTION('center_axis',(0.,0.,1.)); #442504=DIRECTION('ref_axis',(1.,0.,0.)); #442505=DIRECTION('',(0.,0.,1.)); #442506=DIRECTION('center_axis',(0.,0.,-1.)); #442507=DIRECTION('ref_axis',(1.,0.,0.)); #442508=DIRECTION('center_axis',(0.,0.,1.)); #442509=DIRECTION('ref_axis',(1.,0.,0.)); #442510=DIRECTION('center_axis',(0.,0.,1.)); #442511=DIRECTION('ref_axis',(1.,0.,0.)); #442512=DIRECTION('',(0.,0.,1.)); #442513=DIRECTION('center_axis',(0.,0.,-1.)); #442514=DIRECTION('ref_axis',(1.,0.,0.)); #442515=DIRECTION('center_axis',(0.,0.,1.)); #442516=DIRECTION('ref_axis',(1.,0.,0.)); #442517=DIRECTION('center_axis',(0.,0.,1.)); #442518=DIRECTION('ref_axis',(1.,0.,0.)); #442519=DIRECTION('',(0.,0.,1.)); #442520=DIRECTION('center_axis',(0.,0.,-1.)); #442521=DIRECTION('ref_axis',(1.,0.,0.)); #442522=DIRECTION('center_axis',(0.,0.,1.)); #442523=DIRECTION('ref_axis',(1.,0.,0.)); #442524=DIRECTION('center_axis',(0.,0.,1.)); #442525=DIRECTION('ref_axis',(1.,0.,0.)); #442526=DIRECTION('',(0.,0.,1.)); #442527=DIRECTION('center_axis',(0.,0.,-1.)); #442528=DIRECTION('ref_axis',(1.,0.,0.)); #442529=DIRECTION('center_axis',(0.,0.,1.)); #442530=DIRECTION('ref_axis',(1.,0.,0.)); #442531=DIRECTION('center_axis',(0.,0.,1.)); #442532=DIRECTION('ref_axis',(1.,0.,0.)); #442533=DIRECTION('',(0.,0.,1.)); #442534=DIRECTION('center_axis',(0.,0.,-1.)); #442535=DIRECTION('ref_axis',(1.,0.,0.)); #442536=DIRECTION('center_axis',(0.,0.,1.)); #442537=DIRECTION('ref_axis',(1.,0.,0.)); #442538=DIRECTION('center_axis',(0.,0.,1.)); #442539=DIRECTION('ref_axis',(1.,0.,0.)); #442540=DIRECTION('',(0.,0.,1.)); #442541=DIRECTION('center_axis',(0.,0.,-1.)); #442542=DIRECTION('ref_axis',(1.,0.,0.)); #442543=DIRECTION('center_axis',(0.,0.,1.)); #442544=DIRECTION('ref_axis',(1.,0.,0.)); #442545=DIRECTION('center_axis',(0.,0.,1.)); #442546=DIRECTION('ref_axis',(1.,0.,0.)); #442547=DIRECTION('',(0.,0.,1.)); #442548=DIRECTION('center_axis',(0.,0.,-1.)); #442549=DIRECTION('ref_axis',(1.,0.,0.)); #442550=DIRECTION('center_axis',(0.,0.,1.)); #442551=DIRECTION('ref_axis',(1.,0.,0.)); #442552=DIRECTION('center_axis',(0.,0.,1.)); #442553=DIRECTION('ref_axis',(1.,0.,0.)); #442554=DIRECTION('',(0.,0.,1.)); #442555=DIRECTION('center_axis',(0.,0.,-1.)); #442556=DIRECTION('ref_axis',(1.,0.,0.)); #442557=DIRECTION('center_axis',(0.,0.,1.)); #442558=DIRECTION('ref_axis',(1.,0.,0.)); #442559=DIRECTION('center_axis',(0.,0.,1.)); #442560=DIRECTION('ref_axis',(1.,0.,0.)); #442561=DIRECTION('',(0.,0.,1.)); #442562=DIRECTION('center_axis',(0.,0.,-1.)); #442563=DIRECTION('ref_axis',(1.,0.,0.)); #442564=DIRECTION('center_axis',(0.,0.,1.)); #442565=DIRECTION('ref_axis',(1.,0.,0.)); #442566=DIRECTION('center_axis',(0.,0.,1.)); #442567=DIRECTION('ref_axis',(1.,0.,0.)); #442568=DIRECTION('',(0.,0.,1.)); #442569=DIRECTION('center_axis',(0.,0.,-1.)); #442570=DIRECTION('ref_axis',(1.,0.,0.)); #442571=DIRECTION('center_axis',(0.,0.,1.)); #442572=DIRECTION('ref_axis',(1.,0.,0.)); #442573=DIRECTION('center_axis',(0.,0.,1.)); #442574=DIRECTION('ref_axis',(1.,0.,0.)); #442575=DIRECTION('',(0.,0.,1.)); #442576=DIRECTION('center_axis',(0.,0.,-1.)); #442577=DIRECTION('ref_axis',(1.,0.,0.)); #442578=DIRECTION('center_axis',(0.,0.,1.)); #442579=DIRECTION('ref_axis',(1.,0.,0.)); #442580=DIRECTION('center_axis',(0.,0.,1.)); #442581=DIRECTION('ref_axis',(1.,0.,0.)); #442582=DIRECTION('',(0.,0.,1.)); #442583=DIRECTION('center_axis',(0.,0.,-1.)); #442584=DIRECTION('ref_axis',(1.,0.,0.)); #442585=DIRECTION('center_axis',(0.,0.,1.)); #442586=DIRECTION('ref_axis',(1.,0.,0.)); #442587=DIRECTION('center_axis',(0.,0.,1.)); #442588=DIRECTION('ref_axis',(1.,0.,0.)); #442589=DIRECTION('',(0.,0.,1.)); #442590=DIRECTION('center_axis',(0.,0.,-1.)); #442591=DIRECTION('ref_axis',(1.,0.,0.)); #442592=DIRECTION('center_axis',(0.,0.,1.)); #442593=DIRECTION('ref_axis',(1.,0.,0.)); #442594=DIRECTION('center_axis',(0.,0.,1.)); #442595=DIRECTION('ref_axis',(1.,0.,0.)); #442596=DIRECTION('',(0.,0.,1.)); #442597=DIRECTION('center_axis',(0.,0.,-1.)); #442598=DIRECTION('ref_axis',(1.,0.,0.)); #442599=DIRECTION('center_axis',(0.,0.,1.)); #442600=DIRECTION('ref_axis',(1.,0.,0.)); #442601=DIRECTION('center_axis',(0.,0.,1.)); #442602=DIRECTION('ref_axis',(1.,0.,0.)); #442603=DIRECTION('',(0.,0.,1.)); #442604=DIRECTION('center_axis',(0.,0.,-1.)); #442605=DIRECTION('ref_axis',(1.,0.,0.)); #442606=DIRECTION('center_axis',(0.,0.,1.)); #442607=DIRECTION('ref_axis',(1.,0.,0.)); #442608=DIRECTION('center_axis',(0.,0.,1.)); #442609=DIRECTION('ref_axis',(1.,0.,0.)); #442610=DIRECTION('',(0.,0.,1.)); #442611=DIRECTION('center_axis',(0.,0.,-1.)); #442612=DIRECTION('ref_axis',(1.,0.,0.)); #442613=DIRECTION('center_axis',(0.,0.,1.)); #442614=DIRECTION('ref_axis',(1.,0.,0.)); #442615=DIRECTION('center_axis',(0.,0.,1.)); #442616=DIRECTION('ref_axis',(1.,0.,0.)); #442617=DIRECTION('',(0.,0.,1.)); #442618=DIRECTION('center_axis',(0.,0.,-1.)); #442619=DIRECTION('ref_axis',(1.,0.,0.)); #442620=DIRECTION('center_axis',(0.,0.,1.)); #442621=DIRECTION('ref_axis',(1.,0.,0.)); #442622=DIRECTION('center_axis',(0.,0.,1.)); #442623=DIRECTION('ref_axis',(1.,0.,0.)); #442624=DIRECTION('',(0.,0.,1.)); #442625=DIRECTION('center_axis',(0.,0.,-1.)); #442626=DIRECTION('ref_axis',(1.,0.,0.)); #442627=DIRECTION('center_axis',(0.,0.,1.)); #442628=DIRECTION('ref_axis',(1.,0.,0.)); #442629=DIRECTION('center_axis',(0.,0.,1.)); #442630=DIRECTION('ref_axis',(1.,0.,0.)); #442631=DIRECTION('',(0.,0.,1.)); #442632=DIRECTION('center_axis',(0.,0.,-1.)); #442633=DIRECTION('ref_axis',(1.,0.,0.)); #442634=DIRECTION('center_axis',(0.,0.,1.)); #442635=DIRECTION('ref_axis',(1.,0.,0.)); #442636=DIRECTION('center_axis',(0.,0.,1.)); #442637=DIRECTION('ref_axis',(1.,0.,0.)); #442638=DIRECTION('',(0.,0.,1.)); #442639=DIRECTION('center_axis',(0.,0.,-1.)); #442640=DIRECTION('ref_axis',(1.,0.,0.)); #442641=DIRECTION('center_axis',(0.,0.,1.)); #442642=DIRECTION('ref_axis',(1.,0.,0.)); #442643=DIRECTION('center_axis',(0.,0.,1.)); #442644=DIRECTION('ref_axis',(1.,0.,0.)); #442645=DIRECTION('',(0.,0.,1.)); #442646=DIRECTION('center_axis',(0.,0.,-1.)); #442647=DIRECTION('ref_axis',(1.,0.,0.)); #442648=DIRECTION('center_axis',(0.,0.,1.)); #442649=DIRECTION('ref_axis',(1.,0.,0.)); #442650=DIRECTION('center_axis',(0.,0.,1.)); #442651=DIRECTION('ref_axis',(1.,0.,0.)); #442652=DIRECTION('',(0.,0.,1.)); #442653=DIRECTION('center_axis',(0.,0.,-1.)); #442654=DIRECTION('ref_axis',(1.,0.,0.)); #442655=DIRECTION('center_axis',(0.,0.,1.)); #442656=DIRECTION('ref_axis',(1.,0.,0.)); #442657=DIRECTION('center_axis',(0.,0.,1.)); #442658=DIRECTION('ref_axis',(1.,0.,0.)); #442659=DIRECTION('',(0.,0.,1.)); #442660=DIRECTION('center_axis',(0.,0.,-1.)); #442661=DIRECTION('ref_axis',(1.,0.,0.)); #442662=DIRECTION('center_axis',(0.,0.,1.)); #442663=DIRECTION('ref_axis',(1.,0.,0.)); #442664=DIRECTION('center_axis',(0.,0.,1.)); #442665=DIRECTION('ref_axis',(1.,0.,0.)); #442666=DIRECTION('',(0.,0.,1.)); #442667=DIRECTION('center_axis',(0.,0.,-1.)); #442668=DIRECTION('ref_axis',(1.,0.,0.)); #442669=DIRECTION('center_axis',(0.,0.,1.)); #442670=DIRECTION('ref_axis',(1.,0.,0.)); #442671=DIRECTION('center_axis',(0.,0.,1.)); #442672=DIRECTION('ref_axis',(1.,0.,0.)); #442673=DIRECTION('',(0.,0.,1.)); #442674=DIRECTION('center_axis',(0.,0.,-1.)); #442675=DIRECTION('ref_axis',(1.,0.,0.)); #442676=DIRECTION('center_axis',(0.,0.,1.)); #442677=DIRECTION('ref_axis',(1.,0.,0.)); #442678=DIRECTION('center_axis',(0.,0.,1.)); #442679=DIRECTION('ref_axis',(1.,0.,0.)); #442680=DIRECTION('',(0.,0.,1.)); #442681=DIRECTION('center_axis',(0.,0.,-1.)); #442682=DIRECTION('ref_axis',(1.,0.,0.)); #442683=DIRECTION('center_axis',(0.,0.,1.)); #442684=DIRECTION('ref_axis',(1.,0.,0.)); #442685=DIRECTION('center_axis',(0.,0.,1.)); #442686=DIRECTION('ref_axis',(1.,0.,0.)); #442687=DIRECTION('',(0.,0.,1.)); #442688=DIRECTION('center_axis',(0.,0.,-1.)); #442689=DIRECTION('ref_axis',(1.,0.,0.)); #442690=DIRECTION('center_axis',(0.,0.,1.)); #442691=DIRECTION('ref_axis',(1.,0.,0.)); #442692=DIRECTION('center_axis',(0.,0.,1.)); #442693=DIRECTION('ref_axis',(1.,0.,0.)); #442694=DIRECTION('',(0.,0.,1.)); #442695=DIRECTION('center_axis',(0.,0.,-1.)); #442696=DIRECTION('ref_axis',(1.,0.,0.)); #442697=DIRECTION('center_axis',(0.,0.,1.)); #442698=DIRECTION('ref_axis',(1.,0.,0.)); #442699=DIRECTION('center_axis',(0.,0.,1.)); #442700=DIRECTION('ref_axis',(1.,0.,0.)); #442701=DIRECTION('',(0.,0.,1.)); #442702=DIRECTION('center_axis',(0.,0.,-1.)); #442703=DIRECTION('ref_axis',(1.,0.,0.)); #442704=DIRECTION('center_axis',(0.,0.,1.)); #442705=DIRECTION('ref_axis',(1.,0.,0.)); #442706=DIRECTION('center_axis',(0.,0.,1.)); #442707=DIRECTION('ref_axis',(1.,0.,0.)); #442708=DIRECTION('',(0.,0.,1.)); #442709=DIRECTION('center_axis',(0.,0.,-1.)); #442710=DIRECTION('ref_axis',(1.,0.,0.)); #442711=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #442712=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #442713=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #442714=DIRECTION('',(0.,0.,1.)); #442715=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #442716=DIRECTION('',(0.,0.,1.)); #442717=DIRECTION('center_axis',(0.,-1.,0.)); #442718=DIRECTION('ref_axis',(1.,0.,0.)); #442719=DIRECTION('',(1.,0.,0.)); #442720=DIRECTION('',(1.,0.,0.)); #442721=DIRECTION('',(0.,0.,1.)); #442722=DIRECTION('center_axis',(-0.191194865688736,-0.981552099144139, 0.)); #442723=DIRECTION('ref_axis',(0.981552099144139,-0.191194865688736,0.)); #442724=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #442725=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #442726=DIRECTION('',(0.,0.,1.)); #442727=DIRECTION('center_axis',(-0.552218701081169,-0.833699290017826, 0.)); #442728=DIRECTION('ref_axis',(0.833699290017826,-0.552218701081169,0.)); #442729=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #442730=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #442731=DIRECTION('',(0.,0.,1.)); #442732=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #442733=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #442734=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #442735=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #442736=DIRECTION('',(0.,0.,1.)); #442737=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #442738=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #442739=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #442740=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #442741=DIRECTION('',(0.,0.,1.)); #442742=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #442743=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #442744=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #442745=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #442746=DIRECTION('',(0.,0.,1.)); #442747=DIRECTION('center_axis',(-1.,0.,0.)); #442748=DIRECTION('ref_axis',(0.,-1.,0.)); #442749=DIRECTION('',(0.,-1.,0.)); #442750=DIRECTION('',(0.,-1.,0.)); #442751=DIRECTION('',(0.,0.,1.)); #442752=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #442753=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #442754=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #442755=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #442756=DIRECTION('',(0.,0.,1.)); #442757=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #442758=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #442759=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #442760=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #442761=DIRECTION('',(0.,0.,1.)); #442762=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #442763=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #442764=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #442765=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #442766=DIRECTION('',(0.,0.,1.)); #442767=DIRECTION('center_axis',(-0.558856232804857,0.829264560351619,0.)); #442768=DIRECTION('ref_axis',(-0.829264560351619,-0.558856232804857,0.)); #442769=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #442770=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #442771=DIRECTION('',(0.,0.,1.)); #442772=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #442773=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #442774=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #442775=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #442776=DIRECTION('',(0.,0.,1.)); #442777=DIRECTION('center_axis',(0.,1.,0.)); #442778=DIRECTION('ref_axis',(-1.,0.,0.)); #442779=DIRECTION('',(-1.,0.,0.)); #442780=DIRECTION('',(-1.,0.,0.)); #442781=DIRECTION('',(0.,0.,1.)); #442782=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #442783=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #442784=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442785=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442786=DIRECTION('',(0.,0.,1.)); #442787=DIRECTION('center_axis',(0.,1.,0.)); #442788=DIRECTION('ref_axis',(-1.,0.,0.)); #442789=DIRECTION('',(-1.,0.,0.)); #442790=DIRECTION('',(-1.,0.,0.)); #442791=DIRECTION('',(0.,0.,1.)); #442792=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #442793=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #442794=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #442795=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #442796=DIRECTION('',(0.,0.,1.)); #442797=DIRECTION('center_axis',(0.,1.,0.)); #442798=DIRECTION('ref_axis',(-1.,0.,0.)); #442799=DIRECTION('',(-1.,0.,0.)); #442800=DIRECTION('',(-1.,0.,0.)); #442801=DIRECTION('',(0.,0.,1.)); #442802=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #442803=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #442804=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442805=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442806=DIRECTION('',(0.,0.,1.)); #442807=DIRECTION('center_axis',(0.,1.,0.)); #442808=DIRECTION('ref_axis',(-1.,0.,0.)); #442809=DIRECTION('',(-1.,0.,0.)); #442810=DIRECTION('',(-1.,0.,0.)); #442811=DIRECTION('',(0.,0.,1.)); #442812=DIRECTION('center_axis',(-0.199017616334511,0.979995912434603,0.)); #442813=DIRECTION('ref_axis',(-0.979995912434603,-0.199017616334511,0.)); #442814=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #442815=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #442816=DIRECTION('',(0.,0.,1.)); #442817=DIRECTION('center_axis',(0.,1.,0.)); #442818=DIRECTION('ref_axis',(-1.,0.,0.)); #442819=DIRECTION('',(-1.,0.,0.)); #442820=DIRECTION('',(-1.,0.,0.)); #442821=DIRECTION('',(0.,0.,1.)); #442822=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #442823=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #442824=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442825=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442826=DIRECTION('',(0.,0.,1.)); #442827=DIRECTION('center_axis',(0.,1.,0.)); #442828=DIRECTION('ref_axis',(-1.,0.,0.)); #442829=DIRECTION('',(-1.,0.,0.)); #442830=DIRECTION('',(-1.,0.,0.)); #442831=DIRECTION('',(0.,0.,1.)); #442832=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #442833=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #442834=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #442835=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #442836=DIRECTION('',(0.,0.,1.)); #442837=DIRECTION('center_axis',(0.,1.,0.)); #442838=DIRECTION('ref_axis',(-1.,0.,0.)); #442839=DIRECTION('',(-1.,0.,0.)); #442840=DIRECTION('',(-1.,0.,0.)); #442841=DIRECTION('',(0.,0.,1.)); #442842=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #442843=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #442844=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442845=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #442846=DIRECTION('',(0.,0.,1.)); #442847=DIRECTION('center_axis',(0.,1.,0.)); #442848=DIRECTION('ref_axis',(-1.,0.,0.)); #442849=DIRECTION('',(-1.,0.,0.)); #442850=DIRECTION('',(-1.,0.,0.)); #442851=DIRECTION('',(0.,0.,1.)); #442852=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #442853=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #442854=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #442855=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #442856=DIRECTION('',(0.,0.,1.)); #442857=DIRECTION('center_axis',(0.,1.,0.)); #442858=DIRECTION('ref_axis',(-1.,0.,0.)); #442859=DIRECTION('',(-1.,0.,0.)); #442860=DIRECTION('',(-1.,0.,0.)); #442861=DIRECTION('',(0.,0.,1.)); #442862=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #442863=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #442864=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #442865=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #442866=DIRECTION('',(0.,0.,1.)); #442867=DIRECTION('center_axis',(0.538928498327877,0.842351514327635,0.)); #442868=DIRECTION('ref_axis',(-0.842351514327635,0.538928498327877,0.)); #442869=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #442870=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #442871=DIRECTION('',(0.,0.,1.)); #442872=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #442873=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #442874=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #442875=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #442876=DIRECTION('',(0.,0.,1.)); #442877=DIRECTION('center_axis',(0.979997328799734,0.199010641789294,0.)); #442878=DIRECTION('ref_axis',(-0.199010641789294,0.979997328799734,0.)); #442879=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #442880=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #442881=DIRECTION('',(0.,0.,1.)); #442882=DIRECTION('center_axis',(1.,0.,0.)); #442883=DIRECTION('ref_axis',(0.,1.,0.)); #442884=DIRECTION('',(0.,1.,0.)); #442885=DIRECTION('',(0.,1.,0.)); #442886=DIRECTION('',(0.,0.,1.)); #442887=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #442888=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #442889=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #442890=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #442891=DIRECTION('',(0.,0.,1.)); #442892=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #442893=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #442894=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #442895=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #442896=DIRECTION('',(0.,0.,1.)); #442897=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #442898=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #442899=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442900=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442901=DIRECTION('',(0.,0.,1.)); #442902=DIRECTION('center_axis',(0.558856232804982,-0.829264560351534,0.)); #442903=DIRECTION('ref_axis',(0.829264560351534,0.558856232804982,0.)); #442904=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #442905=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #442906=DIRECTION('',(0.,0.,1.)); #442907=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #442908=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #442909=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #442910=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #442911=DIRECTION('',(0.,0.,1.)); #442912=DIRECTION('center_axis',(0.,-1.,0.)); #442913=DIRECTION('ref_axis',(1.,0.,0.)); #442914=DIRECTION('',(1.,0.,0.)); #442915=DIRECTION('',(1.,0.,0.)); #442916=DIRECTION('',(0.,0.,1.)); #442917=DIRECTION('center_axis',(0.14094493719135,-0.990017436553582,0.)); #442918=DIRECTION('ref_axis',(0.990017436553582,0.14094493719135,0.)); #442919=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #442920=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #442921=DIRECTION('',(0.,0.,1.)); #442922=DIRECTION('center_axis',(0.613211584811551,-0.789918699774164,0.)); #442923=DIRECTION('ref_axis',(0.789918699774164,0.613211584811551,0.)); #442924=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #442925=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #442926=DIRECTION('',(0.,0.,1.)); #442927=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #442928=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #442929=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442930=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #442931=DIRECTION('',(0.,0.,1.)); #442932=DIRECTION('center_axis',(0.558856232804878,-0.829264560351605,0.)); #442933=DIRECTION('ref_axis',(0.829264560351605,0.558856232804878,0.)); #442934=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #442935=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #442936=DIRECTION('center_axis',(0.,0.,1.)); #442937=DIRECTION('ref_axis',(1.,0.,0.)); #442938=DIRECTION('center_axis',(0.,0.,1.)); #442939=DIRECTION('ref_axis',(1.,0.,0.)); #442940=DIRECTION('center_axis',(0.,0.,1.)); #442941=DIRECTION('ref_axis',(1.,0.,0.)); #442942=DIRECTION('center_axis',(0.,0.,1.)); #442943=DIRECTION('ref_axis',(1.,0.,0.)); #442944=DIRECTION('',(0.,0.,1.)); #442945=DIRECTION('center_axis',(0.,0.,-1.)); #442946=DIRECTION('ref_axis',(1.,0.,0.)); #442947=DIRECTION('center_axis',(0.,0.,1.)); #442948=DIRECTION('ref_axis',(1.,0.,0.)); #442949=DIRECTION('center_axis',(0.,0.,1.)); #442950=DIRECTION('ref_axis',(1.,0.,0.)); #442951=DIRECTION('',(0.,0.,1.)); #442952=DIRECTION('center_axis',(0.,0.,-1.)); #442953=DIRECTION('ref_axis',(1.,0.,0.)); #442954=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #442955=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #442956=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #442957=DIRECTION('',(0.,0.,1.)); #442958=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #442959=DIRECTION('',(0.,0.,1.)); #442960=DIRECTION('center_axis',(0.,0.,1.)); #442961=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #442962=DIRECTION('center_axis',(0.,0.,1.)); #442963=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #442964=DIRECTION('center_axis',(0.,0.,1.)); #442965=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #442966=DIRECTION('',(0.,0.,1.)); #442967=DIRECTION('center_axis',(-1.,0.,0.)); #442968=DIRECTION('ref_axis',(0.,-1.,0.)); #442969=DIRECTION('',(0.,-1.,0.)); #442970=DIRECTION('',(0.,-1.,0.)); #442971=DIRECTION('',(0.,0.,1.)); #442972=DIRECTION('center_axis',(0.,0.,1.)); #442973=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #442974=DIRECTION('center_axis',(0.,0.,1.)); #442975=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #442976=DIRECTION('center_axis',(0.,0.,1.)); #442977=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #442978=DIRECTION('',(0.,0.,1.)); #442979=DIRECTION('center_axis',(0.,0.,1.)); #442980=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #442981=DIRECTION('center_axis',(0.,0.,1.)); #442982=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #442983=DIRECTION('center_axis',(0.,0.,1.)); #442984=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #442985=DIRECTION('',(0.,0.,1.)); #442986=DIRECTION('center_axis',(1.,0.,0.)); #442987=DIRECTION('ref_axis',(0.,1.,0.)); #442988=DIRECTION('',(0.,1.,0.)); #442989=DIRECTION('',(0.,1.,0.)); #442990=DIRECTION('',(0.,0.,1.)); #442991=DIRECTION('center_axis',(0.,0.,1.)); #442992=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #442993=DIRECTION('center_axis',(0.,0.,1.)); #442994=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #442995=DIRECTION('center_axis',(0.,0.,1.)); #442996=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #442997=DIRECTION('center_axis',(0.,0.,1.)); #442998=DIRECTION('ref_axis',(1.,0.,0.)); #442999=DIRECTION('center_axis',(0.,0.,1.)); #443000=DIRECTION('ref_axis',(1.,0.,0.)); #443001=DIRECTION('center_axis',(0.,0.,1.)); #443002=DIRECTION('ref_axis',(1.,0.,0.)); #443003=DIRECTION('center_axis',(0.,0.,1.)); #443004=DIRECTION('ref_axis',(1.,0.,0.)); #443005=DIRECTION('',(0.,0.,1.)); #443006=DIRECTION('center_axis',(0.,0.,-1.)); #443007=DIRECTION('ref_axis',(1.,0.,0.)); #443008=DIRECTION('center_axis',(0.,0.,1.)); #443009=DIRECTION('ref_axis',(1.,0.,0.)); #443010=DIRECTION('center_axis',(0.,0.,1.)); #443011=DIRECTION('ref_axis',(1.,0.,0.)); #443012=DIRECTION('',(0.,0.,1.)); #443013=DIRECTION('center_axis',(0.,0.,-1.)); #443014=DIRECTION('ref_axis',(1.,0.,0.)); #443015=DIRECTION('center_axis',(0.,0.,1.)); #443016=DIRECTION('ref_axis',(1.,0.,0.)); #443017=DIRECTION('center_axis',(0.,0.,1.)); #443018=DIRECTION('ref_axis',(1.,0.,0.)); #443019=DIRECTION('',(0.,0.,1.)); #443020=DIRECTION('center_axis',(0.,0.,-1.)); #443021=DIRECTION('ref_axis',(1.,0.,0.)); #443022=DIRECTION('center_axis',(0.,0.,1.)); #443023=DIRECTION('ref_axis',(1.,0.,0.)); #443024=DIRECTION('center_axis',(0.,0.,1.)); #443025=DIRECTION('ref_axis',(1.,0.,0.)); #443026=DIRECTION('',(0.,0.,1.)); #443027=DIRECTION('center_axis',(0.,0.,-1.)); #443028=DIRECTION('ref_axis',(1.,0.,0.)); #443029=DIRECTION('center_axis',(0.,0.,1.)); #443030=DIRECTION('ref_axis',(1.,0.,0.)); #443031=DIRECTION('center_axis',(0.,0.,1.)); #443032=DIRECTION('ref_axis',(1.,0.,0.)); #443033=DIRECTION('',(0.,0.,1.)); #443034=DIRECTION('center_axis',(0.,0.,-1.)); #443035=DIRECTION('ref_axis',(1.,0.,0.)); #443036=DIRECTION('center_axis',(0.,0.,1.)); #443037=DIRECTION('ref_axis',(1.,0.,0.)); #443038=DIRECTION('center_axis',(0.,0.,1.)); #443039=DIRECTION('ref_axis',(1.,0.,0.)); #443040=DIRECTION('',(0.,0.,1.)); #443041=DIRECTION('center_axis',(0.,0.,-1.)); #443042=DIRECTION('ref_axis',(1.,0.,0.)); #443043=DIRECTION('center_axis',(0.,0.,1.)); #443044=DIRECTION('ref_axis',(1.,0.,0.)); #443045=DIRECTION('center_axis',(0.,0.,1.)); #443046=DIRECTION('ref_axis',(1.,0.,0.)); #443047=DIRECTION('',(0.,0.,1.)); #443048=DIRECTION('center_axis',(0.,0.,-1.)); #443049=DIRECTION('ref_axis',(1.,0.,0.)); #443050=DIRECTION('center_axis',(0.,0.,1.)); #443051=DIRECTION('ref_axis',(1.,0.,0.)); #443052=DIRECTION('center_axis',(0.,0.,1.)); #443053=DIRECTION('ref_axis',(1.,0.,0.)); #443054=DIRECTION('',(0.,0.,1.)); #443055=DIRECTION('center_axis',(0.,0.,-1.)); #443056=DIRECTION('ref_axis',(1.,0.,0.)); #443057=DIRECTION('center_axis',(0.,0.,1.)); #443058=DIRECTION('ref_axis',(1.,0.,0.)); #443059=DIRECTION('center_axis',(0.,0.,1.)); #443060=DIRECTION('ref_axis',(1.,0.,0.)); #443061=DIRECTION('',(0.,0.,1.)); #443062=DIRECTION('center_axis',(0.,0.,-1.)); #443063=DIRECTION('ref_axis',(1.,0.,0.)); #443064=DIRECTION('center_axis',(0.,0.,1.)); #443065=DIRECTION('ref_axis',(1.,0.,0.)); #443066=DIRECTION('center_axis',(0.,0.,1.)); #443067=DIRECTION('ref_axis',(1.,0.,0.)); #443068=DIRECTION('',(0.,0.,1.)); #443069=DIRECTION('center_axis',(0.,0.,-1.)); #443070=DIRECTION('ref_axis',(1.,0.,0.)); #443071=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #443072=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #443073=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #443074=DIRECTION('',(0.,0.,1.)); #443075=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #443076=DIRECTION('',(0.,0.,1.)); #443077=DIRECTION('center_axis',(0.,-1.,0.)); #443078=DIRECTION('ref_axis',(1.,0.,0.)); #443079=DIRECTION('',(1.,0.,0.)); #443080=DIRECTION('',(1.,0.,0.)); #443081=DIRECTION('',(0.,0.,1.)); #443082=DIRECTION('center_axis',(-0.19119486568871,-0.981552099144144,0.)); #443083=DIRECTION('ref_axis',(0.981552099144144,-0.19119486568871,0.)); #443084=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #443085=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #443086=DIRECTION('',(0.,0.,1.)); #443087=DIRECTION('center_axis',(-0.552218701081301,-0.833699290017738, 0.)); #443088=DIRECTION('ref_axis',(0.833699290017738,-0.552218701081301,0.)); #443089=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #443090=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #443091=DIRECTION('',(0.,0.,1.)); #443092=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #443093=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #443094=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #443095=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #443096=DIRECTION('',(0.,0.,1.)); #443097=DIRECTION('center_axis',(-0.829264560351534,-0.558856232804982, 0.)); #443098=DIRECTION('ref_axis',(0.558856232804982,-0.829264560351534,0.)); #443099=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #443100=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #443101=DIRECTION('',(0.,0.,1.)); #443102=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #443103=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #443104=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #443105=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #443106=DIRECTION('',(0.,0.,1.)); #443107=DIRECTION('center_axis',(-1.,0.,0.)); #443108=DIRECTION('ref_axis',(0.,-1.,0.)); #443109=DIRECTION('',(0.,-1.,0.)); #443110=DIRECTION('',(0.,-1.,0.)); #443111=DIRECTION('',(0.,0.,1.)); #443112=DIRECTION('center_axis',(-0.981552099144133,0.191194865688771,0.)); #443113=DIRECTION('ref_axis',(-0.191194865688771,-0.981552099144132,0.)); #443114=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #443115=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #443116=DIRECTION('',(0.,0.,1.)); #443117=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #443118=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #443119=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #443120=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #443121=DIRECTION('',(0.,0.,1.)); #443122=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #443123=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #443124=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #443125=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #443126=DIRECTION('',(0.,0.,1.)); #443127=DIRECTION('center_axis',(-0.558856232804962,0.829264560351548,0.)); #443128=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804962,0.)); #443129=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #443130=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #443131=DIRECTION('',(0.,0.,1.)); #443132=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #443133=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #443134=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #443135=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #443136=DIRECTION('',(0.,0.,1.)); #443137=DIRECTION('center_axis',(0.,1.,0.)); #443138=DIRECTION('ref_axis',(-1.,0.,0.)); #443139=DIRECTION('',(-1.,0.,0.)); #443140=DIRECTION('',(-1.,0.,0.)); #443141=DIRECTION('',(0.,0.,1.)); #443142=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #443143=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #443144=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #443145=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #443146=DIRECTION('',(0.,0.,1.)); #443147=DIRECTION('center_axis',(0.552218701081189,0.833699290017812,0.)); #443148=DIRECTION('ref_axis',(-0.833699290017812,0.552218701081189,0.)); #443149=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #443150=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #443151=DIRECTION('',(0.,0.,1.)); #443152=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #443153=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #443154=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #443155=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #443156=DIRECTION('',(0.,0.,1.)); #443157=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #443158=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #443159=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #443160=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #443161=DIRECTION('',(0.,0.,1.)); #443162=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #443163=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #443164=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #443165=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #443166=DIRECTION('',(0.,0.,1.)); #443167=DIRECTION('center_axis',(1.,0.,0.)); #443168=DIRECTION('ref_axis',(0.,1.,0.)); #443169=DIRECTION('',(0.,1.,0.)); #443170=DIRECTION('',(0.,1.,0.)); #443171=DIRECTION('',(0.,0.,1.)); #443172=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #443173=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #443174=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #443175=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #443176=DIRECTION('',(0.,0.,1.)); #443177=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #443178=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #443179=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #443180=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #443181=DIRECTION('',(0.,0.,1.)); #443182=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #443183=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #443184=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #443185=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #443186=DIRECTION('',(0.,0.,1.)); #443187=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #443188=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #443189=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #443190=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #443191=DIRECTION('center_axis',(0.,0.,1.)); #443192=DIRECTION('ref_axis',(1.,0.,0.)); #443193=DIRECTION('center_axis',(0.,0.,1.)); #443194=DIRECTION('ref_axis',(1.,0.,0.)); #443195=DIRECTION('center_axis',(0.,0.,1.)); #443196=DIRECTION('ref_axis',(1.,0.,0.)); #443197=DIRECTION('center_axis',(0.,0.,1.)); #443198=DIRECTION('ref_axis',(1.,0.,0.)); #443199=DIRECTION('',(0.,0.,1.)); #443200=DIRECTION('center_axis',(0.,0.,-1.)); #443201=DIRECTION('ref_axis',(1.,0.,0.)); #443202=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #443203=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #443204=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #443205=DIRECTION('',(0.,0.,1.)); #443206=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #443207=DIRECTION('',(0.,0.,1.)); #443208=DIRECTION('center_axis',(0.,0.,1.)); #443209=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #443210=DIRECTION('center_axis',(0.,0.,1.)); #443211=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #443212=DIRECTION('center_axis',(0.,0.,1.)); #443213=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #443214=DIRECTION('',(0.,0.,1.)); #443215=DIRECTION('center_axis',(0.,0.,1.)); #443216=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #443217=DIRECTION('center_axis',(0.,0.,1.)); #443218=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #443219=DIRECTION('center_axis',(0.,0.,1.)); #443220=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #443221=DIRECTION('center_axis',(0.,0.,1.)); #443222=DIRECTION('ref_axis',(1.,0.,0.)); #443223=DIRECTION('center_axis',(0.,0.,1.)); #443224=DIRECTION('ref_axis',(1.,0.,0.)); #443225=DIRECTION('center_axis',(0.,0.,1.)); #443226=DIRECTION('ref_axis',(1.,0.,0.)); #443227=DIRECTION('center_axis',(0.,0.,1.)); #443228=DIRECTION('ref_axis',(1.,0.,0.)); #443229=DIRECTION('',(0.,0.,1.)); #443230=DIRECTION('center_axis',(0.,0.,-1.)); #443231=DIRECTION('ref_axis',(1.,0.,0.)); #443232=DIRECTION('center_axis',(0.,0.,1.)); #443233=DIRECTION('ref_axis',(1.,0.,0.)); #443234=DIRECTION('center_axis',(0.,0.,1.)); #443235=DIRECTION('ref_axis',(1.,0.,0.)); #443236=DIRECTION('',(0.,0.,1.)); #443237=DIRECTION('center_axis',(0.,0.,-1.)); #443238=DIRECTION('ref_axis',(1.,0.,0.)); #443239=DIRECTION('center_axis',(0.,0.,1.)); #443240=DIRECTION('ref_axis',(1.,0.,0.)); #443241=DIRECTION('center_axis',(0.,0.,1.)); #443242=DIRECTION('ref_axis',(1.,0.,0.)); #443243=DIRECTION('',(0.,0.,1.)); #443244=DIRECTION('center_axis',(0.,0.,-1.)); #443245=DIRECTION('ref_axis',(1.,0.,0.)); #443246=DIRECTION('center_axis',(0.,0.,1.)); #443247=DIRECTION('ref_axis',(1.,0.,0.)); #443248=DIRECTION('center_axis',(0.,0.,1.)); #443249=DIRECTION('ref_axis',(1.,0.,0.)); #443250=DIRECTION('',(0.,0.,1.)); #443251=DIRECTION('center_axis',(0.,0.,-1.)); #443252=DIRECTION('ref_axis',(1.,0.,0.)); #443253=DIRECTION('center_axis',(0.,0.,1.)); #443254=DIRECTION('ref_axis',(1.,0.,0.)); #443255=DIRECTION('center_axis',(0.,0.,1.)); #443256=DIRECTION('ref_axis',(1.,0.,0.)); #443257=DIRECTION('',(0.,0.,1.)); #443258=DIRECTION('center_axis',(0.,0.,-1.)); #443259=DIRECTION('ref_axis',(1.,0.,0.)); #443260=DIRECTION('center_axis',(0.,0.,1.)); #443261=DIRECTION('ref_axis',(1.,0.,0.)); #443262=DIRECTION('center_axis',(0.,0.,1.)); #443263=DIRECTION('ref_axis',(1.,0.,0.)); #443264=DIRECTION('',(0.,0.,1.)); #443265=DIRECTION('center_axis',(0.,0.,-1.)); #443266=DIRECTION('ref_axis',(1.,0.,0.)); #443267=DIRECTION('center_axis',(0.,0.,1.)); #443268=DIRECTION('ref_axis',(1.,0.,0.)); #443269=DIRECTION('center_axis',(0.,0.,1.)); #443270=DIRECTION('ref_axis',(1.,0.,0.)); #443271=DIRECTION('',(0.,0.,1.)); #443272=DIRECTION('center_axis',(0.,0.,-1.)); #443273=DIRECTION('ref_axis',(1.,0.,0.)); #443274=DIRECTION('center_axis',(0.,0.,1.)); #443275=DIRECTION('ref_axis',(1.,0.,0.)); #443276=DIRECTION('center_axis',(0.,0.,1.)); #443277=DIRECTION('ref_axis',(1.,0.,0.)); #443278=DIRECTION('',(0.,0.,1.)); #443279=DIRECTION('center_axis',(0.,0.,-1.)); #443280=DIRECTION('ref_axis',(1.,0.,0.)); #443281=DIRECTION('center_axis',(0.,0.,1.)); #443282=DIRECTION('ref_axis',(1.,0.,0.)); #443283=DIRECTION('center_axis',(0.,0.,1.)); #443284=DIRECTION('ref_axis',(1.,0.,0.)); #443285=DIRECTION('',(0.,0.,1.)); #443286=DIRECTION('center_axis',(0.,0.,-1.)); #443287=DIRECTION('ref_axis',(1.,0.,0.)); #443288=DIRECTION('center_axis',(0.,0.,1.)); #443289=DIRECTION('ref_axis',(1.,0.,0.)); #443290=DIRECTION('center_axis',(0.,0.,1.)); #443291=DIRECTION('ref_axis',(1.,0.,0.)); #443292=DIRECTION('',(0.,0.,1.)); #443293=DIRECTION('center_axis',(0.,0.,-1.)); #443294=DIRECTION('ref_axis',(1.,0.,0.)); #443295=DIRECTION('center_axis',(0.,0.,1.)); #443296=DIRECTION('ref_axis',(1.,0.,0.)); #443297=DIRECTION('center_axis',(0.,0.,1.)); #443298=DIRECTION('ref_axis',(1.,0.,0.)); #443299=DIRECTION('',(0.,0.,1.)); #443300=DIRECTION('center_axis',(0.,0.,-1.)); #443301=DIRECTION('ref_axis',(1.,0.,0.)); #443302=DIRECTION('center_axis',(0.,0.,1.)); #443303=DIRECTION('ref_axis',(1.,0.,0.)); #443304=DIRECTION('center_axis',(0.,0.,1.)); #443305=DIRECTION('ref_axis',(1.,0.,0.)); #443306=DIRECTION('',(0.,0.,1.)); #443307=DIRECTION('center_axis',(0.,0.,-1.)); #443308=DIRECTION('ref_axis',(1.,0.,0.)); #443309=DIRECTION('center_axis',(0.,0.,1.)); #443310=DIRECTION('ref_axis',(1.,0.,0.)); #443311=DIRECTION('center_axis',(0.,0.,1.)); #443312=DIRECTION('ref_axis',(1.,0.,0.)); #443313=DIRECTION('',(0.,0.,1.)); #443314=DIRECTION('center_axis',(0.,0.,-1.)); #443315=DIRECTION('ref_axis',(1.,0.,0.)); #443316=DIRECTION('center_axis',(0.,0.,1.)); #443317=DIRECTION('ref_axis',(1.,0.,0.)); #443318=DIRECTION('center_axis',(0.,0.,1.)); #443319=DIRECTION('ref_axis',(1.,0.,0.)); #443320=DIRECTION('',(0.,0.,1.)); #443321=DIRECTION('center_axis',(0.,0.,-1.)); #443322=DIRECTION('ref_axis',(1.,0.,0.)); #443323=DIRECTION('center_axis',(0.,0.,1.)); #443324=DIRECTION('ref_axis',(1.,0.,0.)); #443325=DIRECTION('center_axis',(0.,0.,1.)); #443326=DIRECTION('ref_axis',(1.,0.,0.)); #443327=DIRECTION('',(0.,0.,1.)); #443328=DIRECTION('center_axis',(0.,0.,-1.)); #443329=DIRECTION('ref_axis',(1.,0.,0.)); #443330=DIRECTION('center_axis',(0.,0.,1.)); #443331=DIRECTION('ref_axis',(1.,0.,0.)); #443332=DIRECTION('center_axis',(0.,0.,1.)); #443333=DIRECTION('ref_axis',(1.,0.,0.)); #443334=DIRECTION('',(0.,0.,1.)); #443335=DIRECTION('center_axis',(0.,0.,-1.)); #443336=DIRECTION('ref_axis',(1.,0.,0.)); #443337=DIRECTION('center_axis',(0.,0.,1.)); #443338=DIRECTION('ref_axis',(1.,0.,0.)); #443339=DIRECTION('center_axis',(0.,0.,1.)); #443340=DIRECTION('ref_axis',(1.,0.,0.)); #443341=DIRECTION('',(0.,0.,1.)); #443342=DIRECTION('center_axis',(0.,0.,-1.)); #443343=DIRECTION('ref_axis',(1.,0.,0.)); #443344=DIRECTION('center_axis',(0.,0.,1.)); #443345=DIRECTION('ref_axis',(1.,0.,0.)); #443346=DIRECTION('center_axis',(0.,0.,1.)); #443347=DIRECTION('ref_axis',(1.,0.,0.)); #443348=DIRECTION('',(0.,0.,1.)); #443349=DIRECTION('center_axis',(0.,0.,-1.)); #443350=DIRECTION('ref_axis',(1.,0.,0.)); #443351=DIRECTION('center_axis',(0.,0.,1.)); #443352=DIRECTION('ref_axis',(1.,0.,0.)); #443353=DIRECTION('center_axis',(0.,0.,1.)); #443354=DIRECTION('ref_axis',(1.,0.,0.)); #443355=DIRECTION('',(0.,0.,1.)); #443356=DIRECTION('center_axis',(0.,0.,-1.)); #443357=DIRECTION('ref_axis',(1.,0.,0.)); #443358=DIRECTION('center_axis',(0.,0.,1.)); #443359=DIRECTION('ref_axis',(1.,0.,0.)); #443360=DIRECTION('center_axis',(0.,0.,1.)); #443361=DIRECTION('ref_axis',(1.,0.,0.)); #443362=DIRECTION('',(0.,0.,1.)); #443363=DIRECTION('center_axis',(0.,0.,-1.)); #443364=DIRECTION('ref_axis',(1.,0.,0.)); #443365=DIRECTION('center_axis',(0.,0.,1.)); #443366=DIRECTION('ref_axis',(1.,0.,0.)); #443367=DIRECTION('center_axis',(0.,0.,1.)); #443368=DIRECTION('ref_axis',(1.,0.,0.)); #443369=DIRECTION('',(0.,0.,1.)); #443370=DIRECTION('center_axis',(0.,0.,-1.)); #443371=DIRECTION('ref_axis',(1.,0.,0.)); #443372=DIRECTION('center_axis',(0.,0.,1.)); #443373=DIRECTION('ref_axis',(1.,0.,0.)); #443374=DIRECTION('center_axis',(0.,0.,1.)); #443375=DIRECTION('ref_axis',(1.,0.,0.)); #443376=DIRECTION('',(0.,0.,1.)); #443377=DIRECTION('center_axis',(0.,0.,-1.)); #443378=DIRECTION('ref_axis',(1.,0.,0.)); #443379=DIRECTION('center_axis',(0.,0.,1.)); #443380=DIRECTION('ref_axis',(1.,0.,0.)); #443381=DIRECTION('center_axis',(0.,0.,1.)); #443382=DIRECTION('ref_axis',(1.,0.,0.)); #443383=DIRECTION('',(0.,0.,1.)); #443384=DIRECTION('center_axis',(0.,0.,-1.)); #443385=DIRECTION('ref_axis',(1.,0.,0.)); #443386=DIRECTION('center_axis',(0.,0.,1.)); #443387=DIRECTION('ref_axis',(1.,0.,0.)); #443388=DIRECTION('center_axis',(0.,0.,1.)); #443389=DIRECTION('ref_axis',(1.,0.,0.)); #443390=DIRECTION('',(0.,0.,1.)); #443391=DIRECTION('center_axis',(0.,0.,-1.)); #443392=DIRECTION('ref_axis',(1.,0.,0.)); #443393=DIRECTION('center_axis',(0.,0.,1.)); #443394=DIRECTION('ref_axis',(1.,0.,0.)); #443395=DIRECTION('center_axis',(0.,0.,1.)); #443396=DIRECTION('ref_axis',(1.,0.,0.)); #443397=DIRECTION('',(0.,0.,1.)); #443398=DIRECTION('center_axis',(0.,0.,-1.)); #443399=DIRECTION('ref_axis',(1.,0.,0.)); #443400=DIRECTION('center_axis',(0.,0.,1.)); #443401=DIRECTION('ref_axis',(1.,0.,0.)); #443402=DIRECTION('center_axis',(0.,0.,1.)); #443403=DIRECTION('ref_axis',(1.,0.,0.)); #443404=DIRECTION('',(0.,0.,1.)); #443405=DIRECTION('center_axis',(0.,0.,-1.)); #443406=DIRECTION('ref_axis',(1.,0.,0.)); #443407=DIRECTION('center_axis',(0.,0.,1.)); #443408=DIRECTION('ref_axis',(1.,0.,0.)); #443409=DIRECTION('center_axis',(0.,0.,1.)); #443410=DIRECTION('ref_axis',(1.,0.,0.)); #443411=DIRECTION('',(0.,0.,1.)); #443412=DIRECTION('center_axis',(0.,0.,-1.)); #443413=DIRECTION('ref_axis',(1.,0.,0.)); #443414=DIRECTION('center_axis',(0.,0.,1.)); #443415=DIRECTION('ref_axis',(1.,0.,0.)); #443416=DIRECTION('center_axis',(0.,0.,1.)); #443417=DIRECTION('ref_axis',(1.,0.,0.)); #443418=DIRECTION('',(0.,0.,1.)); #443419=DIRECTION('center_axis',(0.,0.,-1.)); #443420=DIRECTION('ref_axis',(1.,0.,0.)); #443421=DIRECTION('center_axis',(0.,0.,1.)); #443422=DIRECTION('ref_axis',(1.,0.,0.)); #443423=DIRECTION('center_axis',(0.,0.,1.)); #443424=DIRECTION('ref_axis',(1.,0.,0.)); #443425=DIRECTION('',(0.,0.,1.)); #443426=DIRECTION('center_axis',(0.,0.,-1.)); #443427=DIRECTION('ref_axis',(1.,0.,0.)); #443428=DIRECTION('center_axis',(0.,0.,1.)); #443429=DIRECTION('ref_axis',(1.,0.,0.)); #443430=DIRECTION('center_axis',(0.,0.,1.)); #443431=DIRECTION('ref_axis',(1.,0.,0.)); #443432=DIRECTION('',(0.,0.,1.)); #443433=DIRECTION('center_axis',(0.,0.,-1.)); #443434=DIRECTION('ref_axis',(1.,0.,0.)); #443435=DIRECTION('center_axis',(0.199010641789244,-0.979997328799744,0.)); #443436=DIRECTION('ref_axis',(0.979997328799744,0.199010641789244,0.)); #443437=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #443438=DIRECTION('',(0.,0.,1.)); #443439=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #443440=DIRECTION('',(0.,0.,1.)); #443441=DIRECTION('center_axis',(0.,-1.,0.)); #443442=DIRECTION('ref_axis',(1.,0.,0.)); #443443=DIRECTION('',(1.,0.,0.)); #443444=DIRECTION('',(1.,0.,0.)); #443445=DIRECTION('',(0.,0.,1.)); #443446=DIRECTION('center_axis',(-0.191194865688612,-0.981552099144164, 0.)); #443447=DIRECTION('ref_axis',(0.981552099144164,-0.191194865688612,0.)); #443448=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #443449=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #443450=DIRECTION('',(0.,0.,1.)); #443451=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #443452=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #443453=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #443454=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #443455=DIRECTION('',(0.,0.,1.)); #443456=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #443457=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #443458=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #443459=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #443460=DIRECTION('',(0.,0.,1.)); #443461=DIRECTION('center_axis',(-0.600315735780258,-0.799763100783356, 0.)); #443462=DIRECTION('ref_axis',(0.799763100783355,-0.600315735780258,0.)); #443463=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #443464=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #443465=DIRECTION('',(0.,0.,1.)); #443466=DIRECTION('center_axis',(-0.125280629073933,-0.99212134539019,0.)); #443467=DIRECTION('ref_axis',(0.99212134539019,-0.125280629073933,0.)); #443468=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #443469=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #443470=DIRECTION('',(0.,0.,1.)); #443471=DIRECTION('center_axis',(0.,-1.,0.)); #443472=DIRECTION('ref_axis',(1.,0.,0.)); #443473=DIRECTION('',(1.,0.,0.)); #443474=DIRECTION('',(1.,0.,0.)); #443475=DIRECTION('',(0.,0.,1.)); #443476=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #443477=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #443478=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #443479=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #443480=DIRECTION('',(0.,0.,1.)); #443481=DIRECTION('center_axis',(-0.552218701081331,-0.833699290017718, 0.)); #443482=DIRECTION('ref_axis',(0.833699290017718,-0.552218701081331,0.)); #443483=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #443484=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #443485=DIRECTION('',(0.,0.,1.)); #443486=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #443487=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #443488=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #443489=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #443490=DIRECTION('',(0.,0.,1.)); #443491=DIRECTION('center_axis',(-0.829264560351506,-0.558856232805025, 0.)); #443492=DIRECTION('ref_axis',(0.558856232805025,-0.829264560351506,0.)); #443493=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #443494=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #443495=DIRECTION('',(0.,0.,1.)); #443496=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #443497=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #443498=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #443499=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #443500=DIRECTION('',(0.,0.,1.)); #443501=DIRECTION('center_axis',(-1.,0.,0.)); #443502=DIRECTION('ref_axis',(0.,-1.,0.)); #443503=DIRECTION('',(0.,-1.,0.)); #443504=DIRECTION('',(0.,-1.,0.)); #443505=DIRECTION('',(0.,0.,1.)); #443506=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #443507=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #443508=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #443509=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #443510=DIRECTION('',(0.,0.,1.)); #443511=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #443512=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #443513=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #443514=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #443515=DIRECTION('',(0.,0.,1.)); #443516=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #443517=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #443518=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #443519=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #443520=DIRECTION('',(0.,0.,1.)); #443521=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #443522=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #443523=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #443524=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #443525=DIRECTION('',(0.,0.,1.)); #443526=DIRECTION('center_axis',(0.,1.,0.)); #443527=DIRECTION('ref_axis',(-1.,0.,0.)); #443528=DIRECTION('',(-1.,0.,0.)); #443529=DIRECTION('',(-1.,0.,0.)); #443530=DIRECTION('',(0.,0.,1.)); #443531=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #443532=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #443533=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #443534=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #443535=DIRECTION('',(0.,0.,1.)); #443536=DIRECTION('center_axis',(0.,1.,0.)); #443537=DIRECTION('ref_axis',(-1.,0.,0.)); #443538=DIRECTION('',(-1.,0.,0.)); #443539=DIRECTION('',(-1.,0.,0.)); #443540=DIRECTION('',(0.,0.,1.)); #443541=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #443542=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #443543=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #443544=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #443545=DIRECTION('',(0.,0.,1.)); #443546=DIRECTION('center_axis',(0.,1.,0.)); #443547=DIRECTION('ref_axis',(-1.,0.,0.)); #443548=DIRECTION('',(-1.,0.,0.)); #443549=DIRECTION('',(-1.,0.,0.)); #443550=DIRECTION('',(0.,0.,1.)); #443551=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #443552=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #443553=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #443554=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #443555=DIRECTION('',(0.,0.,1.)); #443556=DIRECTION('center_axis',(0.,1.,0.)); #443557=DIRECTION('ref_axis',(-1.,0.,0.)); #443558=DIRECTION('',(-1.,0.,0.)); #443559=DIRECTION('',(-1.,0.,0.)); #443560=DIRECTION('',(0.,0.,1.)); #443561=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #443562=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #443563=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #443564=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #443565=DIRECTION('',(0.,0.,1.)); #443566=DIRECTION('center_axis',(0.,1.,0.)); #443567=DIRECTION('ref_axis',(-1.,0.,0.)); #443568=DIRECTION('',(-1.,0.,0.)); #443569=DIRECTION('',(-1.,0.,0.)); #443570=DIRECTION('',(0.,0.,1.)); #443571=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #443572=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #443573=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #443574=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #443575=DIRECTION('',(0.,0.,1.)); #443576=DIRECTION('center_axis',(0.,1.,0.)); #443577=DIRECTION('ref_axis',(-1.,0.,0.)); #443578=DIRECTION('',(-1.,0.,0.)); #443579=DIRECTION('',(-1.,0.,0.)); #443580=DIRECTION('',(0.,0.,1.)); #443581=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #443582=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #443583=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #443584=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #443585=DIRECTION('',(0.,0.,1.)); #443586=DIRECTION('center_axis',(0.,1.,0.)); #443587=DIRECTION('ref_axis',(-1.,0.,0.)); #443588=DIRECTION('',(-1.,0.,0.)); #443589=DIRECTION('',(-1.,0.,0.)); #443590=DIRECTION('',(0.,0.,1.)); #443591=DIRECTION('center_axis',(0.191180940403768,0.981554811524212,0.)); #443592=DIRECTION('ref_axis',(-0.981554811524212,0.191180940403768,0.)); #443593=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #443594=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #443595=DIRECTION('',(0.,0.,1.)); #443596=DIRECTION('center_axis',(0.,1.,0.)); #443597=DIRECTION('ref_axis',(-1.,0.,0.)); #443598=DIRECTION('',(-1.,0.,0.)); #443599=DIRECTION('',(-1.,0.,0.)); #443600=DIRECTION('',(0.,0.,1.)); #443601=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #443602=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #443603=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #443604=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #443605=DIRECTION('',(0.,0.,1.)); #443606=DIRECTION('center_axis',(0.,1.,0.)); #443607=DIRECTION('ref_axis',(-1.,0.,0.)); #443608=DIRECTION('',(-1.,0.,0.)); #443609=DIRECTION('',(-1.,0.,0.)); #443610=DIRECTION('',(0.,0.,1.)); #443611=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #443612=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #443613=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #443614=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #443615=DIRECTION('',(0.,0.,1.)); #443616=DIRECTION('center_axis',(0.552218701081128,0.833699290017853,0.)); #443617=DIRECTION('ref_axis',(-0.833699290017853,0.552218701081128,0.)); #443618=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #443619=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #443620=DIRECTION('',(0.,0.,1.)); #443621=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #443622=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #443623=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #443624=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #443625=DIRECTION('',(0.,0.,1.)); #443626=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #443627=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #443628=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #443629=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #443630=DIRECTION('',(0.,0.,1.)); #443631=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #443632=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #443633=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #443634=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #443635=DIRECTION('',(0.,0.,1.)); #443636=DIRECTION('center_axis',(1.,0.,0.)); #443637=DIRECTION('ref_axis',(0.,1.,0.)); #443638=DIRECTION('',(0.,1.,0.)); #443639=DIRECTION('',(0.,1.,0.)); #443640=DIRECTION('',(0.,0.,1.)); #443641=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #443642=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #443643=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #443644=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #443645=DIRECTION('',(0.,0.,1.)); #443646=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #443647=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #443648=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #443649=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #443650=DIRECTION('',(0.,0.,1.)); #443651=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #443652=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #443653=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #443654=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #443655=DIRECTION('',(0.,0.,1.)); #443656=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #443657=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #443658=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #443659=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #443660=DIRECTION('center_axis',(0.,0.,1.)); #443661=DIRECTION('ref_axis',(1.,0.,0.)); #443662=DIRECTION('center_axis',(0.,0.,1.)); #443663=DIRECTION('ref_axis',(1.,0.,0.)); #443664=DIRECTION('center_axis',(0.,0.,1.)); #443665=DIRECTION('ref_axis',(1.,0.,0.)); #443666=DIRECTION('center_axis',(0.,0.,1.)); #443667=DIRECTION('ref_axis',(1.,0.,0.)); #443668=DIRECTION('',(0.,0.,1.)); #443669=DIRECTION('center_axis',(0.,0.,-1.)); #443670=DIRECTION('ref_axis',(1.,0.,0.)); #443671=DIRECTION('center_axis',(0.,0.,1.)); #443672=DIRECTION('ref_axis',(1.,0.,0.)); #443673=DIRECTION('center_axis',(0.,0.,1.)); #443674=DIRECTION('ref_axis',(1.,0.,0.)); #443675=DIRECTION('',(0.,0.,1.)); #443676=DIRECTION('center_axis',(0.,0.,-1.)); #443677=DIRECTION('ref_axis',(1.,0.,0.)); #443678=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #443679=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #443680=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #443681=DIRECTION('',(0.,0.,1.)); #443682=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #443683=DIRECTION('',(0.,0.,1.)); #443684=DIRECTION('center_axis',(0.,0.,1.)); #443685=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #443686=DIRECTION('center_axis',(0.,0.,1.)); #443687=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #443688=DIRECTION('center_axis',(0.,0.,1.)); #443689=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #443690=DIRECTION('',(0.,0.,1.)); #443691=DIRECTION('center_axis',(-1.,0.,0.)); #443692=DIRECTION('ref_axis',(0.,-1.,0.)); #443693=DIRECTION('',(0.,-1.,0.)); #443694=DIRECTION('',(0.,-1.,0.)); #443695=DIRECTION('',(0.,0.,1.)); #443696=DIRECTION('center_axis',(0.,0.,1.)); #443697=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #443698=DIRECTION('center_axis',(0.,0.,1.)); #443699=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #443700=DIRECTION('center_axis',(0.,0.,1.)); #443701=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #443702=DIRECTION('',(0.,0.,1.)); #443703=DIRECTION('center_axis',(0.,0.,1.)); #443704=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #443705=DIRECTION('center_axis',(0.,0.,1.)); #443706=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #443707=DIRECTION('center_axis',(0.,0.,1.)); #443708=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #443709=DIRECTION('',(0.,0.,1.)); #443710=DIRECTION('center_axis',(1.,0.,0.)); #443711=DIRECTION('ref_axis',(0.,1.,0.)); #443712=DIRECTION('',(0.,1.,0.)); #443713=DIRECTION('',(0.,1.,0.)); #443714=DIRECTION('',(0.,0.,1.)); #443715=DIRECTION('center_axis',(0.,0.,1.)); #443716=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #443717=DIRECTION('center_axis',(0.,0.,1.)); #443718=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #443719=DIRECTION('center_axis',(0.,0.,1.)); #443720=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #443721=DIRECTION('center_axis',(0.,0.,1.)); #443722=DIRECTION('ref_axis',(1.,0.,0.)); #443723=DIRECTION('center_axis',(0.,0.,1.)); #443724=DIRECTION('ref_axis',(1.,0.,0.)); #443725=DIRECTION('center_axis',(0.,0.,1.)); #443726=DIRECTION('ref_axis',(1.,0.,0.)); #443727=DIRECTION('center_axis',(0.,0.,1.)); #443728=DIRECTION('ref_axis',(1.,0.,0.)); #443729=DIRECTION('',(0.,0.,1.)); #443730=DIRECTION('center_axis',(0.,0.,-1.)); #443731=DIRECTION('ref_axis',(1.,0.,0.)); #443732=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #443733=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #443734=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #443735=DIRECTION('',(0.,0.,1.)); #443736=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #443737=DIRECTION('',(0.,0.,1.)); #443738=DIRECTION('center_axis',(0.,0.,1.)); #443739=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #443740=DIRECTION('center_axis',(0.,0.,1.)); #443741=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #443742=DIRECTION('center_axis',(0.,0.,1.)); #443743=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #443744=DIRECTION('',(0.,0.,1.)); #443745=DIRECTION('center_axis',(0.,0.,1.)); #443746=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #443747=DIRECTION('center_axis',(0.,0.,1.)); #443748=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #443749=DIRECTION('center_axis',(0.,0.,1.)); #443750=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #443751=DIRECTION('center_axis',(0.,0.,1.)); #443752=DIRECTION('ref_axis',(1.,0.,0.)); #443753=DIRECTION('center_axis',(0.,0.,1.)); #443754=DIRECTION('ref_axis',(1.,0.,0.)); #443755=DIRECTION('center_axis',(0.,0.,1.)); #443756=DIRECTION('ref_axis',(1.,0.,0.)); #443757=DIRECTION('center_axis',(0.,0.,1.)); #443758=DIRECTION('ref_axis',(1.,0.,0.)); #443759=DIRECTION('',(0.,0.,1.)); #443760=DIRECTION('center_axis',(0.,0.,-1.)); #443761=DIRECTION('ref_axis',(1.,0.,0.)); #443762=DIRECTION('center_axis',(0.,0.,1.)); #443763=DIRECTION('ref_axis',(1.,0.,0.)); #443764=DIRECTION('center_axis',(0.,0.,1.)); #443765=DIRECTION('ref_axis',(1.,0.,0.)); #443766=DIRECTION('',(0.,0.,1.)); #443767=DIRECTION('center_axis',(0.,0.,-1.)); #443768=DIRECTION('ref_axis',(1.,0.,0.)); #443769=DIRECTION('center_axis',(0.,0.,1.)); #443770=DIRECTION('ref_axis',(1.,0.,0.)); #443771=DIRECTION('center_axis',(0.,0.,1.)); #443772=DIRECTION('ref_axis',(1.,0.,0.)); #443773=DIRECTION('',(0.,0.,1.)); #443774=DIRECTION('center_axis',(0.,0.,-1.)); #443775=DIRECTION('ref_axis',(1.,0.,0.)); #443776=DIRECTION('center_axis',(0.,0.,1.)); #443777=DIRECTION('ref_axis',(1.,0.,0.)); #443778=DIRECTION('center_axis',(0.,0.,1.)); #443779=DIRECTION('ref_axis',(1.,0.,0.)); #443780=DIRECTION('',(0.,0.,1.)); #443781=DIRECTION('center_axis',(0.,0.,-1.)); #443782=DIRECTION('ref_axis',(1.,0.,0.)); #443783=DIRECTION('center_axis',(0.,0.,1.)); #443784=DIRECTION('ref_axis',(1.,0.,0.)); #443785=DIRECTION('center_axis',(0.,0.,1.)); #443786=DIRECTION('ref_axis',(1.,0.,0.)); #443787=DIRECTION('',(0.,0.,1.)); #443788=DIRECTION('center_axis',(0.,0.,-1.)); #443789=DIRECTION('ref_axis',(1.,0.,0.)); #443790=DIRECTION('center_axis',(0.,0.,1.)); #443791=DIRECTION('ref_axis',(1.,0.,0.)); #443792=DIRECTION('center_axis',(0.,0.,1.)); #443793=DIRECTION('ref_axis',(1.,0.,0.)); #443794=DIRECTION('',(0.,0.,1.)); #443795=DIRECTION('center_axis',(0.,0.,-1.)); #443796=DIRECTION('ref_axis',(1.,0.,0.)); #443797=DIRECTION('center_axis',(0.,0.,1.)); #443798=DIRECTION('ref_axis',(1.,0.,0.)); #443799=DIRECTION('center_axis',(0.,0.,1.)); #443800=DIRECTION('ref_axis',(1.,0.,0.)); #443801=DIRECTION('',(0.,0.,1.)); #443802=DIRECTION('center_axis',(0.,0.,-1.)); #443803=DIRECTION('ref_axis',(1.,0.,0.)); #443804=DIRECTION('center_axis',(0.,0.,1.)); #443805=DIRECTION('ref_axis',(1.,0.,0.)); #443806=DIRECTION('center_axis',(0.,0.,1.)); #443807=DIRECTION('ref_axis',(1.,0.,0.)); #443808=DIRECTION('',(0.,0.,1.)); #443809=DIRECTION('center_axis',(0.,0.,-1.)); #443810=DIRECTION('ref_axis',(1.,0.,0.)); #443811=DIRECTION('center_axis',(0.,0.,1.)); #443812=DIRECTION('ref_axis',(1.,0.,0.)); #443813=DIRECTION('center_axis',(0.,0.,1.)); #443814=DIRECTION('ref_axis',(1.,0.,0.)); #443815=DIRECTION('',(0.,0.,1.)); #443816=DIRECTION('center_axis',(0.,0.,-1.)); #443817=DIRECTION('ref_axis',(1.,0.,0.)); #443818=DIRECTION('center_axis',(0.,0.,1.)); #443819=DIRECTION('ref_axis',(1.,0.,0.)); #443820=DIRECTION('center_axis',(0.,0.,1.)); #443821=DIRECTION('ref_axis',(1.,0.,0.)); #443822=DIRECTION('',(0.,0.,1.)); #443823=DIRECTION('center_axis',(0.,0.,-1.)); #443824=DIRECTION('ref_axis',(1.,0.,0.)); #443825=DIRECTION('center_axis',(0.,0.,1.)); #443826=DIRECTION('ref_axis',(1.,0.,0.)); #443827=DIRECTION('center_axis',(0.,0.,1.)); #443828=DIRECTION('ref_axis',(1.,0.,0.)); #443829=DIRECTION('',(0.,0.,1.)); #443830=DIRECTION('center_axis',(0.,0.,-1.)); #443831=DIRECTION('ref_axis',(1.,0.,0.)); #443832=DIRECTION('center_axis',(0.,0.,1.)); #443833=DIRECTION('ref_axis',(1.,0.,0.)); #443834=DIRECTION('center_axis',(0.,0.,1.)); #443835=DIRECTION('ref_axis',(1.,0.,0.)); #443836=DIRECTION('',(0.,0.,1.)); #443837=DIRECTION('center_axis',(0.,0.,-1.)); #443838=DIRECTION('ref_axis',(1.,0.,0.)); #443839=DIRECTION('center_axis',(0.,0.,1.)); #443840=DIRECTION('ref_axis',(1.,0.,0.)); #443841=DIRECTION('center_axis',(0.,0.,1.)); #443842=DIRECTION('ref_axis',(1.,0.,0.)); #443843=DIRECTION('',(0.,0.,1.)); #443844=DIRECTION('center_axis',(0.,0.,-1.)); #443845=DIRECTION('ref_axis',(1.,0.,0.)); #443846=DIRECTION('center_axis',(0.,0.,1.)); #443847=DIRECTION('ref_axis',(1.,0.,0.)); #443848=DIRECTION('center_axis',(0.,0.,1.)); #443849=DIRECTION('ref_axis',(1.,0.,0.)); #443850=DIRECTION('',(0.,0.,1.)); #443851=DIRECTION('center_axis',(0.,0.,-1.)); #443852=DIRECTION('ref_axis',(1.,0.,0.)); #443853=DIRECTION('center_axis',(0.,0.,1.)); #443854=DIRECTION('ref_axis',(1.,0.,0.)); #443855=DIRECTION('center_axis',(0.,0.,1.)); #443856=DIRECTION('ref_axis',(1.,0.,0.)); #443857=DIRECTION('',(0.,0.,1.)); #443858=DIRECTION('center_axis',(0.,0.,-1.)); #443859=DIRECTION('ref_axis',(1.,0.,0.)); #443860=DIRECTION('center_axis',(0.,1.,0.)); #443861=DIRECTION('ref_axis',(-1.,0.,0.)); #443862=DIRECTION('',(-1.,0.,0.)); #443863=DIRECTION('',(0.,0.,1.)); #443864=DIRECTION('',(-1.,0.,0.)); #443865=DIRECTION('',(0.,0.,1.)); #443866=DIRECTION('center_axis',(-0.707106223895493,0.707107338477163,0.)); #443867=DIRECTION('ref_axis',(-0.707107338477163,-0.707106223895493,0.)); #443868=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #443869=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #443870=DIRECTION('',(0.,0.,1.)); #443871=DIRECTION('center_axis',(-0.981547402803208,0.191218974085413,0.)); #443872=DIRECTION('ref_axis',(-0.191218974085413,-0.981547402803208,0.)); #443873=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #443874=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #443875=DIRECTION('',(0.,0.,1.)); #443876=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #443877=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #443878=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #443879=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #443880=DIRECTION('',(0.,0.,1.)); #443881=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #443882=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #443883=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #443884=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #443885=DIRECTION('',(0.,0.,1.)); #443886=DIRECTION('center_axis',(-0.983046411280577,0.183356901338289,0.)); #443887=DIRECTION('ref_axis',(-0.183356901338289,-0.983046411280577,0.)); #443888=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #443889=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #443890=DIRECTION('',(0.,0.,1.)); #443891=DIRECTION('center_axis',(-0.842361070051274,0.538913562328944,0.)); #443892=DIRECTION('ref_axis',(-0.538913562328944,-0.842361070051274,0.)); #443893=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #443894=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #443895=DIRECTION('',(0.,0.,1.)); #443896=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #443897=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #443898=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #443899=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #443900=DIRECTION('',(0.,0.,1.)); #443901=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #443902=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #443903=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #443904=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #443905=DIRECTION('',(0.,0.,1.)); #443906=DIRECTION('center_axis',(0.,1.,0.)); #443907=DIRECTION('ref_axis',(-1.,0.,0.)); #443908=DIRECTION('',(-1.,0.,0.)); #443909=DIRECTION('',(-1.,0.,0.)); #443910=DIRECTION('',(0.,0.,1.)); #443911=DIRECTION('center_axis',(0.183325162679494,0.983052330615485,0.)); #443912=DIRECTION('ref_axis',(-0.983052330615485,0.183325162679494,0.)); #443913=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #443914=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #443915=DIRECTION('',(0.,0.,1.)); #443916=DIRECTION('center_axis',(0.538922883226426,0.842355106789836,0.)); #443917=DIRECTION('ref_axis',(-0.842355106789836,0.538922883226426,0.)); #443918=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #443919=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #443920=DIRECTION('',(0.,0.,1.)); #443921=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #443922=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #443923=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #443924=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #443925=DIRECTION('',(0.,0.,1.)); #443926=DIRECTION('center_axis',(0.979997642221407,0.199009098386189,0.)); #443927=DIRECTION('ref_axis',(-0.199009098386189,0.979997642221407,0.)); #443928=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #443929=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #443930=DIRECTION('',(0.,0.,1.)); #443931=DIRECTION('center_axis',(1.,0.,0.)); #443932=DIRECTION('ref_axis',(0.,1.,0.)); #443933=DIRECTION('',(0.,1.,0.)); #443934=DIRECTION('',(0.,1.,0.)); #443935=DIRECTION('',(0.,0.,1.)); #443936=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #443937=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #443938=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #443939=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #443940=DIRECTION('',(0.,0.,1.)); #443941=DIRECTION('center_axis',(0.,1.,0.)); #443942=DIRECTION('ref_axis',(-1.,0.,0.)); #443943=DIRECTION('',(-1.,0.,0.)); #443944=DIRECTION('',(-1.,0.,0.)); #443945=DIRECTION('',(0.,0.,1.)); #443946=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #443947=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #443948=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #443949=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #443950=DIRECTION('',(0.,0.,1.)); #443951=DIRECTION('center_axis',(-1.,0.,0.)); #443952=DIRECTION('ref_axis',(0.,-1.,0.)); #443953=DIRECTION('',(0.,-1.,0.)); #443954=DIRECTION('',(0.,-1.,0.)); #443955=DIRECTION('',(0.,0.,1.)); #443956=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #443957=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #443958=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #443959=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #443960=DIRECTION('',(0.,0.,1.)); #443961=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #443962=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #443963=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #443964=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #443965=DIRECTION('',(0.,0.,1.)); #443966=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #443967=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #443968=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #443969=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #443970=DIRECTION('',(0.,0.,1.)); #443971=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #443972=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #443973=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #443974=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #443975=DIRECTION('',(0.,0.,1.)); #443976=DIRECTION('center_axis',(0.,1.,0.)); #443977=DIRECTION('ref_axis',(-1.,0.,0.)); #443978=DIRECTION('',(-1.,0.,0.)); #443979=DIRECTION('',(-1.,0.,0.)); #443980=DIRECTION('',(0.,0.,1.)); #443981=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #443982=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #443983=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #443984=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #443985=DIRECTION('',(0.,0.,1.)); #443986=DIRECTION('center_axis',(-1.,0.,0.)); #443987=DIRECTION('ref_axis',(0.,-1.,0.)); #443988=DIRECTION('',(0.,-1.,0.)); #443989=DIRECTION('',(0.,-1.,0.)); #443990=DIRECTION('',(0.,0.,1.)); #443991=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #443992=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #443993=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #443994=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #443995=DIRECTION('',(0.,0.,1.)); #443996=DIRECTION('center_axis',(0.,-1.,0.)); #443997=DIRECTION('ref_axis',(1.,0.,0.)); #443998=DIRECTION('',(1.,0.,0.)); #443999=DIRECTION('',(1.,0.,0.)); #444000=DIRECTION('',(0.,0.,1.)); #444001=DIRECTION('center_axis',(-0.183325162679477,-0.983052330615488, 0.)); #444002=DIRECTION('ref_axis',(0.983052330615488,-0.183325162679477,0.)); #444003=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #444004=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #444005=DIRECTION('',(0.,0.,1.)); #444006=DIRECTION('center_axis',(-0.538922883226506,-0.842355106789785, 0.)); #444007=DIRECTION('ref_axis',(0.842355106789785,-0.538922883226506,0.)); #444008=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #444009=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #444010=DIRECTION('',(0.,0.,1.)); #444011=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #444012=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #444013=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #444014=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #444015=DIRECTION('',(0.,0.,1.)); #444016=DIRECTION('center_axis',(-0.97999476232571,-0.199023279578483,0.)); #444017=DIRECTION('ref_axis',(0.199023279578483,-0.97999476232571,0.)); #444018=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #444019=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #444020=DIRECTION('',(0.,0.,1.)); #444021=DIRECTION('center_axis',(-0.707106914500758,-0.707106647872312, 0.)); #444022=DIRECTION('ref_axis',(0.707106647872312,-0.707106914500758,0.)); #444023=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #444024=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #444025=DIRECTION('',(0.,0.,1.)); #444026=DIRECTION('center_axis',(-0.829263274014836,-0.558858141544162, 0.)); #444027=DIRECTION('ref_axis',(0.558858141544162,-0.829263274014836,0.)); #444028=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #444029=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #444030=DIRECTION('',(0.,0.,1.)); #444031=DIRECTION('center_axis',(-0.980003252157574,-0.198981470897615, 0.)); #444032=DIRECTION('ref_axis',(0.198981470897615,-0.980003252157574,0.)); #444033=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #444034=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #444035=DIRECTION('',(0.,0.,1.)); #444036=DIRECTION('center_axis',(-0.707105952009906,-0.707107610362217, 0.)); #444037=DIRECTION('ref_axis',(0.707107610362217,-0.707105952009906,0.)); #444038=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #444039=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #444040=DIRECTION('',(0.,0.,1.)); #444041=DIRECTION('center_axis',(0.,-1.,0.)); #444042=DIRECTION('ref_axis',(1.,0.,0.)); #444043=DIRECTION('',(1.,0.,0.)); #444044=DIRECTION('',(1.,0.,0.)); #444045=DIRECTION('',(0.,0.,1.)); #444046=DIRECTION('center_axis',(0.707105674414369,-0.707107887956994,0.)); #444047=DIRECTION('ref_axis',(0.707107887956994,0.707105674414369,0.)); #444048=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #444049=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #444050=DIRECTION('',(0.,0.,1.)); #444051=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #444052=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #444053=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #444054=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #444055=DIRECTION('',(0.,0.,1.)); #444056=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #444057=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #444058=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #444059=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #444060=DIRECTION('',(0.,0.,1.)); #444061=DIRECTION('center_axis',(0.707107181308491,-0.707106381064377,0.)); #444062=DIRECTION('ref_axis',(0.707106381064377,0.707107181308491,0.)); #444063=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #444064=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #444065=DIRECTION('',(0.,0.,1.)); #444066=DIRECTION('center_axis',(0.983057183131156,-0.183299139916796,0.)); #444067=DIRECTION('ref_axis',(0.183299139916796,0.983057183131156,0.)); #444068=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #444069=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #444070=DIRECTION('',(0.,0.,1.)); #444071=DIRECTION('center_axis',(0.84235266353456,-0.538926702099861,0.)); #444072=DIRECTION('ref_axis',(0.538926702099861,0.84235266353456,0.)); #444073=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #444074=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #444075=DIRECTION('',(0.,0.,1.)); #444076=DIRECTION('center_axis',(0.565462991527481,-0.824773669083096,0.)); #444077=DIRECTION('ref_axis',(0.824773669083096,0.565462991527481,0.)); #444078=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #444079=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #444080=DIRECTION('',(0.,0.,1.)); #444081=DIRECTION('center_axis',(0.199018242080749,-0.979995785357819,0.)); #444082=DIRECTION('ref_axis',(0.979995785357819,0.199018242080749,0.)); #444083=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #444084=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #444085=DIRECTION('',(0.,0.,1.)); #444086=DIRECTION('center_axis',(0.,-1.,0.)); #444087=DIRECTION('ref_axis',(1.,0.,0.)); #444088=DIRECTION('',(1.,0.,0.)); #444089=DIRECTION('',(1.,0.,0.)); #444090=DIRECTION('',(0.,0.,1.)); #444091=DIRECTION('center_axis',(-0.183325162679494,-0.983052330615485, 0.)); #444092=DIRECTION('ref_axis',(0.983052330615485,-0.183325162679494,0.)); #444093=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #444094=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #444095=DIRECTION('',(0.,0.,1.)); #444096=DIRECTION('center_axis',(-0.538922883226466,-0.84235510678981,0.)); #444097=DIRECTION('ref_axis',(0.842355106789811,-0.538922883226466,0.)); #444098=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #444099=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #444100=DIRECTION('',(0.,0.,1.)); #444101=DIRECTION('center_axis',(-0.82476312428972,-0.565478371657007,0.)); #444102=DIRECTION('ref_axis',(0.565478371657007,-0.82476312428972,0.)); #444103=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #444104=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #444105=DIRECTION('',(0.,0.,1.)); #444106=DIRECTION('center_axis',(-0.979997642221406,-0.199009098386194, 0.)); #444107=DIRECTION('ref_axis',(0.199009098386194,-0.979997642221406,0.)); #444108=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #444109=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #444110=DIRECTION('',(0.,0.,1.)); #444111=DIRECTION('center_axis',(-1.,0.,0.)); #444112=DIRECTION('ref_axis',(0.,-1.,0.)); #444113=DIRECTION('',(0.,-1.,0.)); #444114=DIRECTION('',(0.,-1.,0.)); #444115=DIRECTION('',(0.,0.,1.)); #444116=DIRECTION('center_axis',(-0.707106216327399,-0.707107346045245, 0.)); #444117=DIRECTION('ref_axis',(0.707107346045245,-0.707106216327399,0.)); #444118=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #444119=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #444120=DIRECTION('',(0.,0.,1.)); #444121=DIRECTION('center_axis',(0.,-1.,0.)); #444122=DIRECTION('ref_axis',(1.,0.,0.)); #444123=DIRECTION('',(1.,0.,0.)); #444124=DIRECTION('',(1.,0.,0.)); #444125=DIRECTION('',(0.,0.,1.)); #444126=DIRECTION('center_axis',(0.707105674414375,-0.707107887956987,0.)); #444127=DIRECTION('ref_axis',(0.707107887956987,0.707105674414375,0.)); #444128=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #444129=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #444130=DIRECTION('',(0.,0.,1.)); #444131=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #444132=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #444133=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #444134=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #444135=DIRECTION('',(0.,0.,1.)); #444136=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #444137=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #444138=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #444139=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #444140=DIRECTION('',(0.,0.,1.)); #444141=DIRECTION('center_axis',(0.707106915106718,-0.707106647266351,0.)); #444142=DIRECTION('ref_axis',(0.707106647266351,0.707106915106718,0.)); #444143=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #444144=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #444145=DIRECTION('',(0.,0.,1.)); #444146=DIRECTION('center_axis',(1.,0.,0.)); #444147=DIRECTION('ref_axis',(0.,1.,0.)); #444148=DIRECTION('',(0.,1.,0.)); #444149=DIRECTION('',(0.,1.,0.)); #444150=DIRECTION('',(0.,0.,1.)); #444151=DIRECTION('center_axis',(0.981552475550526,-0.191192933291566,0.)); #444152=DIRECTION('ref_axis',(0.191192933291566,0.981552475550526,0.)); #444153=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #444154=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #444155=DIRECTION('',(0.,0.,1.)); #444156=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #444157=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #444158=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #444159=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #444160=DIRECTION('',(0.,0.,1.)); #444161=DIRECTION('center_axis',(0.707107747098281,-0.707105815273494,0.)); #444162=DIRECTION('ref_axis',(0.707105815273494,0.707107747098281,0.)); #444163=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #444164=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #444165=DIRECTION('',(0.,0.,1.)); #444166=DIRECTION('center_axis',(1.,0.,0.)); #444167=DIRECTION('ref_axis',(0.,1.,0.)); #444168=DIRECTION('',(0.,1.,0.)); #444169=DIRECTION('',(0.,1.,0.)); #444170=DIRECTION('',(0.,0.,1.)); #444171=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #444172=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #444173=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #444174=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #444175=DIRECTION('',(0.,0.,1.)); #444176=DIRECTION('center_axis',(0.,1.,0.)); #444177=DIRECTION('ref_axis',(-1.,0.,0.)); #444178=DIRECTION('',(-1.,0.,0.)); #444179=DIRECTION('',(-1.,0.,0.)); #444180=DIRECTION('',(0.,0.,1.)); #444181=DIRECTION('center_axis',(0.18332516267946,0.983052330615491,0.)); #444182=DIRECTION('ref_axis',(-0.983052330615491,0.18332516267946,0.)); #444183=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #444184=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #444185=DIRECTION('',(0.,0.,1.)); #444186=DIRECTION('center_axis',(0.538922883226546,0.842355106789759,0.)); #444187=DIRECTION('ref_axis',(-0.842355106789759,0.538922883226546,0.)); #444188=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #444189=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #444190=DIRECTION('',(0.,0.,1.)); #444191=DIRECTION('center_axis',(0.824763124289713,0.565478371657017,0.)); #444192=DIRECTION('ref_axis',(-0.565478371657017,0.824763124289713,0.)); #444193=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #444194=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #444195=DIRECTION('',(0.,0.,1.)); #444196=DIRECTION('center_axis',(0.980002573405197,0.198984813790377,0.)); #444197=DIRECTION('ref_axis',(-0.198984813790377,0.980002573405197,0.)); #444198=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #444199=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #444200=DIRECTION('',(0.,0.,1.)); #444201=DIRECTION('center_axis',(0.707106913231119,0.707106649141952,0.)); #444202=DIRECTION('ref_axis',(-0.707106649141952,0.707106913231119,0.)); #444203=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #444204=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #444205=DIRECTION('',(0.,0.,1.)); #444206=DIRECTION('center_axis',(0.82926327401478,0.558858141544246,0.)); #444207=DIRECTION('ref_axis',(-0.558858141544246,0.82926327401478,0.)); #444208=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #444209=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #444210=DIRECTION('',(0.,0.,1.)); #444211=DIRECTION('center_axis',(0.97998976395989,0.199047890051212,0.)); #444212=DIRECTION('ref_axis',(-0.199047890051212,0.97998976395989,0.)); #444213=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #444214=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #444215=DIRECTION('',(0.,0.,1.)); #444216=DIRECTION('center_axis',(0.707106641963205,0.707106920409862,0.)); #444217=DIRECTION('ref_axis',(-0.707106920409862,0.707106641963205,0.)); #444218=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #444219=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #444220=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #444221=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #444222=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #444223=DIRECTION('',(0.,0.,1.)); #444224=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #444225=DIRECTION('',(0.,0.,1.)); #444226=DIRECTION('center_axis',(0.,-1.,0.)); #444227=DIRECTION('ref_axis',(1.,0.,0.)); #444228=DIRECTION('',(1.,0.,0.)); #444229=DIRECTION('',(1.,0.,0.)); #444230=DIRECTION('',(0.,0.,1.)); #444231=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #444232=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #444233=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #444234=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #444235=DIRECTION('',(0.,0.,1.)); #444236=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #444237=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #444238=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #444239=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #444240=DIRECTION('',(0.,0.,1.)); #444241=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #444242=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #444243=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #444244=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #444245=DIRECTION('',(0.,0.,1.)); #444246=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #444247=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #444248=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #444249=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #444250=DIRECTION('',(0.,0.,1.)); #444251=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #444252=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #444253=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #444254=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #444255=DIRECTION('',(0.,0.,1.)); #444256=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #444257=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #444258=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #444259=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #444260=DIRECTION('',(0.,0.,1.)); #444261=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #444262=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #444263=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #444264=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #444265=DIRECTION('',(0.,0.,1.)); #444266=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #444267=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #444268=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #444269=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #444270=DIRECTION('',(0.,0.,1.)); #444271=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #444272=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #444273=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #444274=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #444275=DIRECTION('',(0.,0.,1.)); #444276=DIRECTION('center_axis',(-1.,0.,0.)); #444277=DIRECTION('ref_axis',(0.,-1.,0.)); #444278=DIRECTION('',(0.,-1.,0.)); #444279=DIRECTION('',(0.,-1.,0.)); #444280=DIRECTION('',(0.,0.,1.)); #444281=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #444282=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #444283=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #444284=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #444285=DIRECTION('',(0.,0.,1.)); #444286=DIRECTION('center_axis',(-0.707105741061306,-0.707107821310259, 0.)); #444287=DIRECTION('ref_axis',(0.707107821310259,-0.707105741061306,0.)); #444288=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #444289=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #444290=DIRECTION('',(0.,0.,1.)); #444291=DIRECTION('center_axis',(0.,-1.,0.)); #444292=DIRECTION('ref_axis',(1.,0.,0.)); #444293=DIRECTION('',(1.,0.,0.)); #444294=DIRECTION('',(1.,0.,0.)); #444295=DIRECTION('',(0.,0.,1.)); #444296=DIRECTION('center_axis',(-1.,0.,0.)); #444297=DIRECTION('ref_axis',(0.,-1.,0.)); #444298=DIRECTION('',(0.,-1.,0.)); #444299=DIRECTION('',(0.,-1.,0.)); #444300=DIRECTION('',(0.,0.,1.)); #444301=DIRECTION('center_axis',(-0.548937724798032,-0.835863250952906, 0.)); #444302=DIRECTION('ref_axis',(0.835863250952906,-0.548937724798032,0.)); #444303=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #444304=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #444305=DIRECTION('',(0.,0.,1.)); #444306=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #444307=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #444308=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #444309=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #444310=DIRECTION('',(0.,0.,1.)); #444311=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #444312=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #444313=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #444314=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #444315=DIRECTION('',(0.,0.,1.)); #444316=DIRECTION('center_axis',(-1.,0.,0.)); #444317=DIRECTION('ref_axis',(0.,-1.,0.)); #444318=DIRECTION('',(0.,-1.,0.)); #444319=DIRECTION('',(0.,-1.,0.)); #444320=DIRECTION('',(0.,0.,1.)); #444321=DIRECTION('center_axis',(-0.996419931532321,-0.0845418242358526, 0.)); #444322=DIRECTION('ref_axis',(0.0845418242358526,-0.99641993153232,0.)); #444323=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #444324=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #444325=DIRECTION('',(0.,0.,1.)); #444326=DIRECTION('center_axis',(-0.0856837252998089,0.996322387191388, 0.)); #444327=DIRECTION('ref_axis',(-0.996322387191388,-0.0856837252998089,0.)); #444328=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #444329=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #444330=DIRECTION('',(0.,0.,1.)); #444331=DIRECTION('center_axis',(-0.991936465488069,-0.126736137052682, 0.)); #444332=DIRECTION('ref_axis',(0.126736137052682,-0.991936465488069,0.)); #444333=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #444334=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #444335=DIRECTION('',(0.,0.,1.)); #444336=DIRECTION('center_axis',(-1.,0.,0.)); #444337=DIRECTION('ref_axis',(0.,-1.,0.)); #444338=DIRECTION('',(0.,-1.,0.)); #444339=DIRECTION('',(0.,-1.,0.)); #444340=DIRECTION('',(0.,0.,1.)); #444341=DIRECTION('center_axis',(-0.979998539742343,-0.199004678595443, 0.)); #444342=DIRECTION('ref_axis',(0.199004678595443,-0.979998539742343,0.)); #444343=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #444344=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #444345=DIRECTION('',(0.,0.,1.)); #444346=DIRECTION('center_axis',(-1.,0.,0.)); #444347=DIRECTION('ref_axis',(0.,-1.,0.)); #444348=DIRECTION('',(0.,-1.,0.)); #444349=DIRECTION('',(0.,-1.,0.)); #444350=DIRECTION('',(0.,0.,1.)); #444351=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #444352=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #444353=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #444354=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #444355=DIRECTION('',(0.,0.,1.)); #444356=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #444357=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #444358=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #444359=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #444360=DIRECTION('',(0.,0.,1.)); #444361=DIRECTION('center_axis',(0.,-1.,0.)); #444362=DIRECTION('ref_axis',(1.,0.,0.)); #444363=DIRECTION('',(1.,0.,0.)); #444364=DIRECTION('',(1.,0.,0.)); #444365=DIRECTION('',(0.,0.,1.)); #444366=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #444367=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #444368=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #444369=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #444370=DIRECTION('',(0.,0.,1.)); #444371=DIRECTION('center_axis',(0.,-1.,0.)); #444372=DIRECTION('ref_axis',(1.,0.,0.)); #444373=DIRECTION('',(1.,0.,0.)); #444374=DIRECTION('',(1.,0.,0.)); #444375=DIRECTION('',(0.,0.,1.)); #444376=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #444377=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #444378=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #444379=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #444380=DIRECTION('',(0.,0.,1.)); #444381=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #444382=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #444383=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #444384=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #444385=DIRECTION('',(0.,0.,1.)); #444386=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #444387=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #444388=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #444389=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #444390=DIRECTION('',(0.,0.,1.)); #444391=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #444392=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #444393=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #444394=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #444395=DIRECTION('',(0.,0.,1.)); #444396=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #444397=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #444398=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #444399=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #444400=DIRECTION('',(0.,0.,1.)); #444401=DIRECTION('center_axis',(-1.,0.,0.)); #444402=DIRECTION('ref_axis',(0.,-1.,0.)); #444403=DIRECTION('',(0.,-1.,0.)); #444404=DIRECTION('',(0.,-1.,0.)); #444405=DIRECTION('',(0.,0.,1.)); #444406=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #444407=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #444408=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #444409=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #444410=DIRECTION('',(0.,0.,1.)); #444411=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #444412=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #444413=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #444414=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #444415=DIRECTION('',(0.,0.,1.)); #444416=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #444417=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #444418=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #444419=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #444420=DIRECTION('',(0.,0.,1.)); #444421=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #444422=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #444423=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #444424=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #444425=DIRECTION('',(0.,0.,1.)); #444426=DIRECTION('center_axis',(0.,1.,0.)); #444427=DIRECTION('ref_axis',(-1.,0.,0.)); #444428=DIRECTION('',(-1.,0.,0.)); #444429=DIRECTION('',(-1.,0.,0.)); #444430=DIRECTION('',(0.,0.,1.)); #444431=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #444432=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #444433=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #444434=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #444435=DIRECTION('',(0.,0.,1.)); #444436=DIRECTION('center_axis',(-0.558865776601971,0.829258128536028,0.)); #444437=DIRECTION('ref_axis',(-0.829258128536028,-0.558865776601971,0.)); #444438=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #444439=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #444440=DIRECTION('',(0.,0.,1.)); #444441=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #444442=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #444443=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #444444=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #444445=DIRECTION('',(0.,0.,1.)); #444446=DIRECTION('center_axis',(0.,1.,0.)); #444447=DIRECTION('ref_axis',(-1.,0.,0.)); #444448=DIRECTION('',(-1.,0.,0.)); #444449=DIRECTION('',(-1.,0.,0.)); #444450=DIRECTION('',(0.,0.,1.)); #444451=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #444452=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #444453=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #444454=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #444455=DIRECTION('',(0.,0.,1.)); #444456=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #444457=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #444458=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #444459=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #444460=DIRECTION('',(0.,0.,1.)); #444461=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #444462=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #444463=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #444464=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #444465=DIRECTION('',(0.,0.,1.)); #444466=DIRECTION('center_axis',(0.,1.,0.)); #444467=DIRECTION('ref_axis',(-1.,0.,0.)); #444468=DIRECTION('',(-1.,0.,0.)); #444469=DIRECTION('',(-1.,0.,0.)); #444470=DIRECTION('',(0.,0.,1.)); #444471=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #444472=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #444473=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #444474=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #444475=DIRECTION('',(0.,0.,1.)); #444476=DIRECTION('center_axis',(-1.,0.,0.)); #444477=DIRECTION('ref_axis',(0.,-1.,0.)); #444478=DIRECTION('',(0.,-1.,0.)); #444479=DIRECTION('',(0.,-1.,0.)); #444480=DIRECTION('',(0.,0.,1.)); #444481=DIRECTION('center_axis',(-0.983050395657588,0.183335538282842,0.)); #444482=DIRECTION('ref_axis',(-0.183335538282842,-0.983050395657588,0.)); #444483=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #444484=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #444485=DIRECTION('',(0.,0.,1.)); #444486=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #444487=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #444488=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #444489=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #444490=DIRECTION('',(0.,0.,1.)); #444491=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #444492=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #444493=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #444494=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #444495=DIRECTION('',(0.,0.,1.)); #444496=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #444497=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #444498=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #444499=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #444500=DIRECTION('',(0.,0.,1.)); #444501=DIRECTION('center_axis',(0.,1.,0.)); #444502=DIRECTION('ref_axis',(-1.,0.,0.)); #444503=DIRECTION('',(-1.,0.,0.)); #444504=DIRECTION('',(-1.,0.,0.)); #444505=DIRECTION('',(0.,0.,1.)); #444506=DIRECTION('center_axis',(0.191194865688666,0.981552099144153,0.)); #444507=DIRECTION('ref_axis',(-0.981552099144153,0.191194865688666,0.)); #444508=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #444509=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #444510=DIRECTION('',(0.,0.,1.)); #444511=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #444512=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #444513=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #444514=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #444515=DIRECTION('',(0.,0.,1.)); #444516=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #444517=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #444518=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #444519=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #444520=DIRECTION('',(0.,0.,1.)); #444521=DIRECTION('center_axis',(0.829263917184865,0.558857187173265,0.)); #444522=DIRECTION('ref_axis',(-0.558857187173265,0.829263917184865,0.)); #444523=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #444524=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #444525=DIRECTION('',(0.,0.,1.)); #444526=DIRECTION('center_axis',(0.979997642221389,0.199009098386274,0.)); #444527=DIRECTION('ref_axis',(-0.199009098386274,0.97999764222139,0.)); #444528=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #444529=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #444530=DIRECTION('',(0.,0.,1.)); #444531=DIRECTION('center_axis',(1.,0.,0.)); #444532=DIRECTION('ref_axis',(0.,1.,0.)); #444533=DIRECTION('',(0.,1.,0.)); #444534=DIRECTION('',(0.,1.,0.)); #444535=DIRECTION('',(0.,0.,1.)); #444536=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #444537=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #444538=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #444539=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #444540=DIRECTION('',(0.,0.,1.)); #444541=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #444542=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #444543=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #444544=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #444545=DIRECTION('',(0.,0.,1.)); #444546=DIRECTION('center_axis',(0.707106948269843,-0.707106614103213,0.)); #444547=DIRECTION('ref_axis',(0.707106614103213,0.707106948269843,0.)); #444548=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #444549=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #444550=DIRECTION('',(0.,0.,1.)); #444551=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #444552=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #444553=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #444554=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #444555=DIRECTION('',(0.,0.,1.)); #444556=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #444557=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #444558=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #444559=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #444560=DIRECTION('',(0.,0.,1.)); #444561=DIRECTION('center_axis',(0.,-1.,0.)); #444562=DIRECTION('ref_axis',(1.,0.,0.)); #444563=DIRECTION('',(1.,0.,0.)); #444564=DIRECTION('',(1.,0.,0.)); #444565=DIRECTION('',(0.,0.,1.)); #444566=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #444567=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #444568=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #444569=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #444570=DIRECTION('',(0.,0.,1.)); #444571=DIRECTION('center_axis',(0.,-1.,0.)); #444572=DIRECTION('ref_axis',(1.,0.,0.)); #444573=DIRECTION('',(1.,0.,0.)); #444574=DIRECTION('',(1.,0.,0.)); #444575=DIRECTION('',(0.,0.,1.)); #444576=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #444577=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #444578=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #444579=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #444580=DIRECTION('',(0.,0.,1.)); #444581=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #444582=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #444583=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #444584=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #444585=DIRECTION('',(0.,0.,1.)); #444586=DIRECTION('center_axis',(1.,0.,0.)); #444587=DIRECTION('ref_axis',(0.,1.,0.)); #444588=DIRECTION('',(0.,1.,0.)); #444589=DIRECTION('',(0.,1.,0.)); #444590=DIRECTION('',(0.,0.,1.)); #444591=DIRECTION('center_axis',(0.981554520366865,-0.191182435248046,0.)); #444592=DIRECTION('ref_axis',(0.191182435248046,0.981554520366865,0.)); #444593=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #444594=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #444595=DIRECTION('',(0.,0.,1.)); #444596=DIRECTION('center_axis',(1.,0.,0.)); #444597=DIRECTION('ref_axis',(0.,1.,0.)); #444598=DIRECTION('',(0.,1.,0.)); #444599=DIRECTION('',(0.,1.,0.)); #444600=DIRECTION('',(0.,0.,1.)); #444601=DIRECTION('center_axis',(0.998535975735964,-0.0540916367013057, 0.)); #444602=DIRECTION('ref_axis',(0.0540916367013057,0.998535975735964,0.)); #444603=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #444604=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #444605=DIRECTION('',(0.,0.,1.)); #444606=DIRECTION('center_axis',(0.994136450140409,-0.108132874290041,0.)); #444607=DIRECTION('ref_axis',(0.108132874290041,0.994136450140409,0.)); #444608=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #444609=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #444610=DIRECTION('',(0.,0.,1.)); #444611=DIRECTION('center_axis',(1.,0.,0.)); #444612=DIRECTION('ref_axis',(0.,1.,0.)); #444613=DIRECTION('',(0.,1.,0.)); #444614=DIRECTION('',(0.,1.,0.)); #444615=DIRECTION('',(0.,0.,1.)); #444616=DIRECTION('center_axis',(0.982315373407576,-0.187233830188712,0.)); #444617=DIRECTION('ref_axis',(0.187233830188712,0.982315373407576,0.)); #444618=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #444619=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #444620=DIRECTION('',(0.,0.,1.)); #444621=DIRECTION('center_axis',(0.838042095378286,-0.545605577660247,0.)); #444622=DIRECTION('ref_axis',(0.545605577660247,0.838042095378286,0.)); #444623=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #444624=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #444625=DIRECTION('',(0.,0.,1.)); #444626=DIRECTION('center_axis',(0.558867245542021,-0.829257138564556,0.)); #444627=DIRECTION('ref_axis',(0.829257138564556,0.558867245542021,0.)); #444628=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #444629=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #444630=DIRECTION('',(0.,0.,1.)); #444631=DIRECTION('center_axis',(1.,0.,0.)); #444632=DIRECTION('ref_axis',(0.,1.,0.)); #444633=DIRECTION('',(0.,1.,0.)); #444634=DIRECTION('',(0.,1.,0.)); #444635=DIRECTION('',(0.,0.,1.)); #444636=DIRECTION('center_axis',(0.,-1.,0.)); #444637=DIRECTION('ref_axis',(1.,0.,0.)); #444638=DIRECTION('',(1.,0.,0.)); #444639=DIRECTION('',(1.,0.,0.)); #444640=DIRECTION('',(0.,0.,1.)); #444641=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #444642=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #444643=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #444644=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #444645=DIRECTION('',(0.,0.,1.)); #444646=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #444647=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #444648=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #444649=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #444650=DIRECTION('',(0.,0.,1.)); #444651=DIRECTION('center_axis',(1.,0.,0.)); #444652=DIRECTION('ref_axis',(0.,1.,0.)); #444653=DIRECTION('',(0.,1.,0.)); #444654=DIRECTION('',(0.,1.,0.)); #444655=DIRECTION('',(0.,0.,1.)); #444656=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #444657=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #444658=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #444659=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #444660=DIRECTION('',(0.,0.,1.)); #444661=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #444662=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #444663=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #444664=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #444665=DIRECTION('',(0.,0.,1.)); #444666=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #444667=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #444668=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #444669=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #444670=DIRECTION('',(0.,0.,1.)); #444671=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #444672=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #444673=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #444674=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #444675=DIRECTION('',(0.,0.,1.)); #444676=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #444677=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #444678=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #444679=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #444680=DIRECTION('',(0.,0.,1.)); #444681=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #444682=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #444683=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #444684=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #444685=DIRECTION('',(0.,0.,1.)); #444686=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #444687=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #444688=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #444689=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #444690=DIRECTION('',(0.,0.,1.)); #444691=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #444692=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #444693=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #444694=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #444695=DIRECTION('center_axis',(0.,0.,1.)); #444696=DIRECTION('ref_axis',(1.,0.,0.)); #444697=DIRECTION('center_axis',(0.,0.,1.)); #444698=DIRECTION('ref_axis',(1.,0.,0.)); #444699=DIRECTION('center_axis',(0.,0.,1.)); #444700=DIRECTION('ref_axis',(1.,0.,0.)); #444701=DIRECTION('center_axis',(0.,0.,1.)); #444702=DIRECTION('ref_axis',(1.,0.,0.)); #444703=DIRECTION('',(0.,0.,1.)); #444704=DIRECTION('center_axis',(0.,0.,-1.)); #444705=DIRECTION('ref_axis',(1.,0.,0.)); #444706=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #444707=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #444708=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #444709=DIRECTION('',(0.,0.,1.)); #444710=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #444711=DIRECTION('',(0.,0.,1.)); #444712=DIRECTION('center_axis',(0.,0.,1.)); #444713=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444714=DIRECTION('center_axis',(0.,0.,1.)); #444715=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444716=DIRECTION('center_axis',(0.,0.,1.)); #444717=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444718=DIRECTION('',(0.,0.,1.)); #444719=DIRECTION('center_axis',(0.,0.,1.)); #444720=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444721=DIRECTION('center_axis',(0.,0.,1.)); #444722=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444723=DIRECTION('center_axis',(0.,0.,1.)); #444724=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444725=DIRECTION('center_axis',(0.,0.,1.)); #444726=DIRECTION('ref_axis',(1.,0.,0.)); #444727=DIRECTION('center_axis',(0.,0.,1.)); #444728=DIRECTION('ref_axis',(1.,0.,0.)); #444729=DIRECTION('center_axis',(0.,0.,1.)); #444730=DIRECTION('ref_axis',(1.,0.,0.)); #444731=DIRECTION('center_axis',(0.,0.,1.)); #444732=DIRECTION('ref_axis',(1.,0.,0.)); #444733=DIRECTION('',(0.,0.,1.)); #444734=DIRECTION('center_axis',(0.,0.,-1.)); #444735=DIRECTION('ref_axis',(1.,0.,0.)); #444736=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #444737=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #444738=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #444739=DIRECTION('',(0.,0.,1.)); #444740=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #444741=DIRECTION('',(0.,0.,1.)); #444742=DIRECTION('center_axis',(0.,0.,1.)); #444743=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444744=DIRECTION('center_axis',(0.,0.,1.)); #444745=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444746=DIRECTION('center_axis',(0.,0.,1.)); #444747=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444748=DIRECTION('',(0.,0.,1.)); #444749=DIRECTION('center_axis',(0.,0.,1.)); #444750=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444751=DIRECTION('center_axis',(0.,0.,1.)); #444752=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444753=DIRECTION('center_axis',(0.,0.,1.)); #444754=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444755=DIRECTION('center_axis',(0.,0.,1.)); #444756=DIRECTION('ref_axis',(1.,0.,0.)); #444757=DIRECTION('center_axis',(0.,0.,1.)); #444758=DIRECTION('ref_axis',(1.,0.,0.)); #444759=DIRECTION('center_axis',(0.,0.,1.)); #444760=DIRECTION('ref_axis',(1.,0.,0.)); #444761=DIRECTION('center_axis',(0.,0.,1.)); #444762=DIRECTION('ref_axis',(1.,0.,0.)); #444763=DIRECTION('',(0.,0.,1.)); #444764=DIRECTION('center_axis',(0.,0.,-1.)); #444765=DIRECTION('ref_axis',(1.,0.,0.)); #444766=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #444767=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #444768=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #444769=DIRECTION('',(0.,0.,1.)); #444770=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #444771=DIRECTION('',(0.,0.,1.)); #444772=DIRECTION('center_axis',(0.,0.,1.)); #444773=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444774=DIRECTION('center_axis',(0.,0.,1.)); #444775=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444776=DIRECTION('center_axis',(0.,0.,1.)); #444777=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444778=DIRECTION('',(0.,0.,1.)); #444779=DIRECTION('center_axis',(0.,0.,1.)); #444780=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #444781=DIRECTION('center_axis',(0.,0.,1.)); #444782=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #444783=DIRECTION('center_axis',(0.,0.,1.)); #444784=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #444785=DIRECTION('center_axis',(0.,0.,1.)); #444786=DIRECTION('ref_axis',(1.,0.,0.)); #444787=DIRECTION('center_axis',(0.,0.,1.)); #444788=DIRECTION('ref_axis',(1.,0.,0.)); #444789=DIRECTION('center_axis',(0.,0.,1.)); #444790=DIRECTION('ref_axis',(1.,0.,0.)); #444791=DIRECTION('center_axis',(0.,0.,1.)); #444792=DIRECTION('ref_axis',(1.,0.,0.)); #444793=DIRECTION('',(0.,0.,1.)); #444794=DIRECTION('center_axis',(0.,0.,-1.)); #444795=DIRECTION('ref_axis',(1.,0.,0.)); #444796=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #444797=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #444798=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #444799=DIRECTION('',(0.,0.,1.)); #444800=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #444801=DIRECTION('',(0.,0.,1.)); #444802=DIRECTION('center_axis',(0.,0.,1.)); #444803=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #444804=DIRECTION('center_axis',(0.,0.,1.)); #444805=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #444806=DIRECTION('center_axis',(0.,0.,1.)); #444807=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #444808=DIRECTION('',(0.,0.,1.)); #444809=DIRECTION('center_axis',(0.,0.,1.)); #444810=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #444811=DIRECTION('center_axis',(0.,0.,1.)); #444812=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #444813=DIRECTION('center_axis',(0.,0.,1.)); #444814=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #444815=DIRECTION('center_axis',(0.,0.,1.)); #444816=DIRECTION('ref_axis',(1.,0.,0.)); #444817=DIRECTION('center_axis',(0.,0.,1.)); #444818=DIRECTION('ref_axis',(1.,0.,0.)); #444819=DIRECTION('center_axis',(0.,0.,1.)); #444820=DIRECTION('ref_axis',(1.,0.,0.)); #444821=DIRECTION('center_axis',(0.,0.,1.)); #444822=DIRECTION('ref_axis',(1.,0.,0.)); #444823=DIRECTION('',(0.,0.,1.)); #444824=DIRECTION('center_axis',(0.,0.,-1.)); #444825=DIRECTION('ref_axis',(1.,0.,0.)); #444826=DIRECTION('center_axis',(1.,0.,0.)); #444827=DIRECTION('ref_axis',(0.,1.,0.)); #444828=DIRECTION('',(0.,1.,0.)); #444829=DIRECTION('',(0.,0.,1.)); #444830=DIRECTION('',(0.,1.,0.)); #444831=DIRECTION('',(0.,0.,1.)); #444832=DIRECTION('center_axis',(0.,-1.,0.)); #444833=DIRECTION('ref_axis',(1.,0.,0.)); #444834=DIRECTION('',(1.,0.,0.)); #444835=DIRECTION('',(1.,0.,0.)); #444836=DIRECTION('',(0.,0.,1.)); #444837=DIRECTION('center_axis',(-1.,0.,0.)); #444838=DIRECTION('ref_axis',(0.,-1.,0.)); #444839=DIRECTION('',(0.,-1.,0.)); #444840=DIRECTION('',(0.,-1.,0.)); #444841=DIRECTION('',(0.,0.,1.)); #444842=DIRECTION('center_axis',(0.,1.,0.)); #444843=DIRECTION('ref_axis',(-1.,0.,0.)); #444844=DIRECTION('',(-1.,0.,0.)); #444845=DIRECTION('',(-1.,0.,0.)); #444846=DIRECTION('center_axis',(0.,0.,1.)); #444847=DIRECTION('ref_axis',(1.,0.,0.)); #444848=DIRECTION('center_axis',(0.,0.,1.)); #444849=DIRECTION('ref_axis',(1.,0.,0.)); #444850=DIRECTION('center_axis',(0.,0.,1.)); #444851=DIRECTION('ref_axis',(1.,0.,0.)); #444852=DIRECTION('center_axis',(0.,0.,1.)); #444853=DIRECTION('ref_axis',(1.,0.,0.)); #444854=DIRECTION('',(0.,0.,1.)); #444855=DIRECTION('center_axis',(0.,0.,-1.)); #444856=DIRECTION('ref_axis',(1.,0.,0.)); #444857=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #444858=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #444859=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #444860=DIRECTION('',(0.,0.,1.)); #444861=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #444862=DIRECTION('',(0.,0.,1.)); #444863=DIRECTION('center_axis',(0.,0.,1.)); #444864=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444865=DIRECTION('center_axis',(0.,0.,1.)); #444866=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444867=DIRECTION('center_axis',(0.,0.,1.)); #444868=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444869=DIRECTION('',(0.,0.,1.)); #444870=DIRECTION('center_axis',(0.,0.,1.)); #444871=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #444872=DIRECTION('center_axis',(0.,0.,1.)); #444873=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #444874=DIRECTION('center_axis',(0.,0.,1.)); #444875=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #444876=DIRECTION('center_axis',(0.,0.,1.)); #444877=DIRECTION('ref_axis',(1.,0.,0.)); #444878=DIRECTION('center_axis',(0.,0.,1.)); #444879=DIRECTION('ref_axis',(1.,0.,0.)); #444880=DIRECTION('center_axis',(0.,0.,1.)); #444881=DIRECTION('ref_axis',(1.,0.,0.)); #444882=DIRECTION('center_axis',(0.,0.,1.)); #444883=DIRECTION('ref_axis',(1.,0.,0.)); #444884=DIRECTION('',(0.,0.,1.)); #444885=DIRECTION('center_axis',(0.,0.,-1.)); #444886=DIRECTION('ref_axis',(1.,0.,0.)); #444887=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #444888=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #444889=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #444890=DIRECTION('',(0.,0.,1.)); #444891=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #444892=DIRECTION('',(0.,0.,1.)); #444893=DIRECTION('center_axis',(0.,0.,1.)); #444894=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #444895=DIRECTION('center_axis',(0.,0.,1.)); #444896=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #444897=DIRECTION('center_axis',(0.,0.,1.)); #444898=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #444899=DIRECTION('',(0.,0.,1.)); #444900=DIRECTION('center_axis',(0.,0.,1.)); #444901=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #444902=DIRECTION('center_axis',(0.,0.,1.)); #444903=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #444904=DIRECTION('center_axis',(0.,0.,1.)); #444905=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #444906=DIRECTION('center_axis',(0.,0.,1.)); #444907=DIRECTION('ref_axis',(1.,0.,0.)); #444908=DIRECTION('center_axis',(0.,0.,1.)); #444909=DIRECTION('ref_axis',(1.,0.,0.)); #444910=DIRECTION('center_axis',(0.,0.,1.)); #444911=DIRECTION('ref_axis',(1.,0.,0.)); #444912=DIRECTION('center_axis',(0.,0.,1.)); #444913=DIRECTION('ref_axis',(1.,0.,0.)); #444914=DIRECTION('',(0.,0.,1.)); #444915=DIRECTION('center_axis',(0.,0.,-1.)); #444916=DIRECTION('ref_axis',(1.,0.,0.)); #444917=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #444918=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #444919=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #444920=DIRECTION('',(0.,0.,1.)); #444921=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #444922=DIRECTION('',(0.,0.,1.)); #444923=DIRECTION('center_axis',(0.,0.,1.)); #444924=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444925=DIRECTION('center_axis',(0.,0.,1.)); #444926=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444927=DIRECTION('center_axis',(0.,0.,1.)); #444928=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #444929=DIRECTION('',(0.,0.,1.)); #444930=DIRECTION('center_axis',(0.,0.,1.)); #444931=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444932=DIRECTION('center_axis',(0.,0.,1.)); #444933=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444934=DIRECTION('center_axis',(0.,0.,1.)); #444935=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #444936=DIRECTION('center_axis',(0.,0.,1.)); #444937=DIRECTION('ref_axis',(1.,0.,0.)); #444938=DIRECTION('center_axis',(0.,0.,1.)); #444939=DIRECTION('ref_axis',(1.,0.,0.)); #444940=DIRECTION('center_axis',(0.,0.,1.)); #444941=DIRECTION('ref_axis',(1.,0.,0.)); #444942=DIRECTION('center_axis',(0.,0.,1.)); #444943=DIRECTION('ref_axis',(1.,0.,0.)); #444944=DIRECTION('',(0.,0.,1.)); #444945=DIRECTION('center_axis',(0.,0.,-1.)); #444946=DIRECTION('ref_axis',(1.,0.,0.)); #444947=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #444948=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #444949=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #444950=DIRECTION('',(0.,0.,1.)); #444951=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #444952=DIRECTION('',(0.,0.,1.)); #444953=DIRECTION('center_axis',(0.,0.,1.)); #444954=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444955=DIRECTION('center_axis',(0.,0.,1.)); #444956=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444957=DIRECTION('center_axis',(0.,0.,1.)); #444958=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #444959=DIRECTION('',(0.,0.,1.)); #444960=DIRECTION('center_axis',(0.,0.,1.)); #444961=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #444962=DIRECTION('center_axis',(0.,0.,1.)); #444963=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #444964=DIRECTION('center_axis',(0.,0.,1.)); #444965=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #444966=DIRECTION('center_axis',(0.,0.,1.)); #444967=DIRECTION('ref_axis',(1.,0.,0.)); #444968=DIRECTION('center_axis',(0.,0.,1.)); #444969=DIRECTION('ref_axis',(1.,0.,0.)); #444970=DIRECTION('center_axis',(1.,0.,0.)); #444971=DIRECTION('ref_axis',(0.,1.,0.)); #444972=DIRECTION('',(0.,-1.,0.)); #444973=DIRECTION('',(0.,0.,1.)); #444974=DIRECTION('',(0.,1.,0.)); #444975=DIRECTION('',(0.,0.,1.)); #444976=DIRECTION('center_axis',(0.,0.,1.)); #444977=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #444978=DIRECTION('center_axis',(0.,0.,-1.)); #444979=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #444980=DIRECTION('center_axis',(0.,0.,1.)); #444981=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #444982=DIRECTION('',(0.,0.,1.)); #444983=DIRECTION('center_axis',(-1.,0.,0.)); #444984=DIRECTION('ref_axis',(0.,-1.,0.)); #444985=DIRECTION('',(0.,1.,0.)); #444986=DIRECTION('',(0.,-1.,0.)); #444987=DIRECTION('',(0.,0.,1.)); #444988=DIRECTION('center_axis',(0.,0.,1.)); #444989=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #444990=DIRECTION('center_axis',(0.,0.,-1.)); #444991=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #444992=DIRECTION('center_axis',(0.,0.,1.)); #444993=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #444994=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #444995=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #444996=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #444997=DIRECTION('',(0.,0.,1.)); #444998=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #444999=DIRECTION('',(0.,0.,1.)); #445000=DIRECTION('center_axis',(0.,-1.,0.)); #445001=DIRECTION('ref_axis',(1.,0.,0.)); #445002=DIRECTION('',(1.,0.,0.)); #445003=DIRECTION('',(1.,0.,0.)); #445004=DIRECTION('',(0.,0.,1.)); #445005=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #445006=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #445007=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #445008=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #445009=DIRECTION('',(0.,0.,1.)); #445010=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #445011=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #445012=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #445013=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #445014=DIRECTION('',(0.,0.,1.)); #445015=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #445016=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #445017=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #445018=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #445019=DIRECTION('',(0.,0.,1.)); #445020=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #445021=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #445022=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #445023=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #445024=DIRECTION('',(0.,0.,1.)); #445025=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #445026=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #445027=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #445028=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #445029=DIRECTION('',(0.,0.,1.)); #445030=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #445031=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #445032=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #445033=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #445034=DIRECTION('',(0.,0.,1.)); #445035=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #445036=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #445037=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #445038=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #445039=DIRECTION('',(0.,0.,1.)); #445040=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #445041=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #445042=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #445043=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #445044=DIRECTION('',(0.,0.,1.)); #445045=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #445046=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #445047=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #445048=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #445049=DIRECTION('',(0.,0.,1.)); #445050=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #445051=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #445052=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #445053=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #445054=DIRECTION('',(0.,0.,1.)); #445055=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #445056=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #445057=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #445058=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #445059=DIRECTION('',(0.,0.,1.)); #445060=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #445061=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #445062=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #445063=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #445064=DIRECTION('',(0.,0.,1.)); #445065=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #445066=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #445067=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #445068=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #445069=DIRECTION('',(0.,0.,1.)); #445070=DIRECTION('center_axis',(-1.,0.,0.)); #445071=DIRECTION('ref_axis',(0.,-1.,0.)); #445072=DIRECTION('',(0.,-1.,0.)); #445073=DIRECTION('',(0.,-1.,0.)); #445074=DIRECTION('',(0.,0.,1.)); #445075=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #445076=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #445077=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #445078=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #445079=DIRECTION('',(0.,0.,1.)); #445080=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #445081=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #445082=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #445083=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #445084=DIRECTION('',(0.,0.,1.)); #445085=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #445086=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #445087=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #445088=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #445089=DIRECTION('',(0.,0.,1.)); #445090=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #445091=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #445092=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #445093=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #445094=DIRECTION('',(0.,0.,1.)); #445095=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #445096=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #445097=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #445098=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #445099=DIRECTION('',(0.,0.,1.)); #445100=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #445101=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #445102=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #445103=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #445104=DIRECTION('',(0.,0.,1.)); #445105=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #445106=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #445107=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #445108=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #445109=DIRECTION('',(0.,0.,1.)); #445110=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #445111=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #445112=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #445113=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #445114=DIRECTION('',(0.,0.,1.)); #445115=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #445116=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #445117=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #445118=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #445119=DIRECTION('',(0.,0.,1.)); #445120=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #445121=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #445122=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #445123=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #445124=DIRECTION('',(0.,0.,1.)); #445125=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #445126=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #445127=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #445128=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #445129=DIRECTION('',(0.,0.,1.)); #445130=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #445131=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #445132=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #445133=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #445134=DIRECTION('',(0.,0.,1.)); #445135=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #445136=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #445137=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #445138=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #445139=DIRECTION('',(0.,0.,1.)); #445140=DIRECTION('center_axis',(0.,1.,0.)); #445141=DIRECTION('ref_axis',(-1.,0.,0.)); #445142=DIRECTION('',(-1.,0.,0.)); #445143=DIRECTION('',(-1.,0.,0.)); #445144=DIRECTION('',(0.,0.,1.)); #445145=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #445146=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #445147=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #445148=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #445149=DIRECTION('',(0.,0.,1.)); #445150=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #445151=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #445152=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #445153=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #445154=DIRECTION('',(0.,0.,1.)); #445155=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #445156=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #445157=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #445158=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #445159=DIRECTION('',(0.,0.,1.)); #445160=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #445161=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #445162=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #445163=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #445164=DIRECTION('',(0.,0.,1.)); #445165=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #445166=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #445167=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #445168=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #445169=DIRECTION('',(0.,0.,1.)); #445170=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #445171=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #445172=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #445173=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #445174=DIRECTION('',(0.,0.,1.)); #445175=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #445176=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #445177=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #445178=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #445179=DIRECTION('',(0.,0.,1.)); #445180=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #445181=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #445182=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #445183=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #445184=DIRECTION('',(0.,0.,1.)); #445185=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #445186=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #445187=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #445188=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #445189=DIRECTION('',(0.,0.,1.)); #445190=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #445191=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #445192=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #445193=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #445194=DIRECTION('',(0.,0.,1.)); #445195=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #445196=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #445197=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #445198=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #445199=DIRECTION('',(0.,0.,1.)); #445200=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #445201=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #445202=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #445203=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #445204=DIRECTION('',(0.,0.,1.)); #445205=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #445206=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #445207=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #445208=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #445209=DIRECTION('',(0.,0.,1.)); #445210=DIRECTION('center_axis',(1.,0.,0.)); #445211=DIRECTION('ref_axis',(0.,1.,0.)); #445212=DIRECTION('',(0.,1.,0.)); #445213=DIRECTION('',(0.,1.,0.)); #445214=DIRECTION('',(0.,0.,1.)); #445215=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #445216=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #445217=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #445218=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #445219=DIRECTION('',(0.,0.,1.)); #445220=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #445221=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #445222=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #445223=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #445224=DIRECTION('',(0.,0.,1.)); #445225=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #445226=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #445227=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #445228=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #445229=DIRECTION('',(0.,0.,1.)); #445230=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #445231=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #445232=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #445233=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #445234=DIRECTION('',(0.,0.,1.)); #445235=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #445236=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #445237=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #445238=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #445239=DIRECTION('',(0.,0.,1.)); #445240=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #445241=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #445242=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #445243=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #445244=DIRECTION('',(0.,0.,1.)); #445245=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #445246=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #445247=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #445248=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #445249=DIRECTION('',(0.,0.,1.)); #445250=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #445251=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #445252=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #445253=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #445254=DIRECTION('',(0.,0.,1.)); #445255=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #445256=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #445257=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #445258=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #445259=DIRECTION('',(0.,0.,1.)); #445260=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #445261=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #445262=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #445263=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #445264=DIRECTION('',(0.,0.,1.)); #445265=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #445266=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #445267=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #445268=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #445269=DIRECTION('',(0.,0.,1.)); #445270=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #445271=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #445272=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #445273=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #445274=DIRECTION('center_axis',(0.,0.,1.)); #445275=DIRECTION('ref_axis',(1.,0.,0.)); #445276=DIRECTION('center_axis',(0.,0.,1.)); #445277=DIRECTION('ref_axis',(1.,0.,0.)); #445278=DIRECTION('center_axis',(0.,1.,0.)); #445279=DIRECTION('ref_axis',(-1.,0.,0.)); #445280=DIRECTION('',(1.,0.,0.)); #445281=DIRECTION('',(0.,0.,1.)); #445282=DIRECTION('',(-1.,0.,0.)); #445283=DIRECTION('',(0.,0.,1.)); #445284=DIRECTION('center_axis',(0.,0.,1.)); #445285=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #445286=DIRECTION('center_axis',(0.,0.,-1.)); #445287=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #445288=DIRECTION('center_axis',(0.,0.,1.)); #445289=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #445290=DIRECTION('',(0.,0.,1.)); #445291=DIRECTION('center_axis',(0.,-1.,0.)); #445292=DIRECTION('ref_axis',(1.,0.,0.)); #445293=DIRECTION('',(-1.,0.,0.)); #445294=DIRECTION('',(1.,0.,0.)); #445295=DIRECTION('',(0.,0.,1.)); #445296=DIRECTION('center_axis',(0.,0.,1.)); #445297=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #445298=DIRECTION('center_axis',(0.,0.,-1.)); #445299=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #445300=DIRECTION('center_axis',(0.,0.,1.)); #445301=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #445302=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #445303=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #445304=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #445305=DIRECTION('',(0.,0.,1.)); #445306=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #445307=DIRECTION('',(0.,0.,1.)); #445308=DIRECTION('center_axis',(0.,-1.,0.)); #445309=DIRECTION('ref_axis',(1.,0.,0.)); #445310=DIRECTION('',(1.,0.,0.)); #445311=DIRECTION('',(1.,0.,0.)); #445312=DIRECTION('',(0.,0.,1.)); #445313=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #445314=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #445315=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #445316=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #445317=DIRECTION('',(0.,0.,1.)); #445318=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #445319=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #445320=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #445321=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #445322=DIRECTION('',(0.,0.,1.)); #445323=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #445324=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #445325=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #445326=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #445327=DIRECTION('',(0.,0.,1.)); #445328=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #445329=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #445330=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #445331=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #445332=DIRECTION('',(0.,0.,1.)); #445333=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #445334=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #445335=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #445336=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #445337=DIRECTION('',(0.,0.,1.)); #445338=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #445339=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #445340=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #445341=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #445342=DIRECTION('',(0.,0.,1.)); #445343=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #445344=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #445345=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #445346=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #445347=DIRECTION('',(0.,0.,1.)); #445348=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #445349=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #445350=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #445351=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #445352=DIRECTION('',(0.,0.,1.)); #445353=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #445354=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #445355=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #445356=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #445357=DIRECTION('',(0.,0.,1.)); #445358=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #445359=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #445360=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #445361=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #445362=DIRECTION('',(0.,0.,1.)); #445363=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #445364=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #445365=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #445366=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #445367=DIRECTION('',(0.,0.,1.)); #445368=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #445369=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #445370=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #445371=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #445372=DIRECTION('',(0.,0.,1.)); #445373=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #445374=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #445375=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #445376=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #445377=DIRECTION('',(0.,0.,1.)); #445378=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #445379=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #445380=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #445381=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #445382=DIRECTION('',(0.,0.,1.)); #445383=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #445384=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #445385=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #445386=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #445387=DIRECTION('',(0.,0.,1.)); #445388=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #445389=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #445390=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #445391=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #445392=DIRECTION('',(0.,0.,1.)); #445393=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #445394=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #445395=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #445396=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #445397=DIRECTION('',(0.,0.,1.)); #445398=DIRECTION('center_axis',(-1.,0.,0.)); #445399=DIRECTION('ref_axis',(0.,-1.,0.)); #445400=DIRECTION('',(0.,-1.,0.)); #445401=DIRECTION('',(0.,-1.,0.)); #445402=DIRECTION('',(0.,0.,1.)); #445403=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #445404=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #445405=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #445406=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #445407=DIRECTION('',(0.,0.,1.)); #445408=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #445409=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #445410=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #445411=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #445412=DIRECTION('',(0.,0.,1.)); #445413=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #445414=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #445415=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #445416=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #445417=DIRECTION('',(0.,0.,1.)); #445418=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #445419=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #445420=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #445421=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #445422=DIRECTION('',(0.,0.,1.)); #445423=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #445424=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #445425=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #445426=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #445427=DIRECTION('',(0.,0.,1.)); #445428=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #445429=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #445430=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #445431=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #445432=DIRECTION('',(0.,0.,1.)); #445433=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #445434=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #445435=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #445436=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #445437=DIRECTION('',(0.,0.,1.)); #445438=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #445439=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #445440=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #445441=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #445442=DIRECTION('',(0.,0.,1.)); #445443=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #445444=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #445445=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #445446=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #445447=DIRECTION('',(0.,0.,1.)); #445448=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #445449=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #445450=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #445451=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #445452=DIRECTION('',(0.,0.,1.)); #445453=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #445454=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #445455=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #445456=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #445457=DIRECTION('',(0.,0.,1.)); #445458=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #445459=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #445460=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #445461=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #445462=DIRECTION('',(0.,0.,1.)); #445463=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #445464=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #445465=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #445466=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #445467=DIRECTION('',(0.,0.,1.)); #445468=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #445469=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #445470=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #445471=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #445472=DIRECTION('',(0.,0.,1.)); #445473=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #445474=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #445475=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #445476=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #445477=DIRECTION('',(0.,0.,1.)); #445478=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #445479=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #445480=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #445481=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #445482=DIRECTION('',(0.,0.,1.)); #445483=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #445484=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #445485=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #445486=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #445487=DIRECTION('',(0.,0.,1.)); #445488=DIRECTION('center_axis',(0.,1.,0.)); #445489=DIRECTION('ref_axis',(-1.,0.,0.)); #445490=DIRECTION('',(-1.,0.,0.)); #445491=DIRECTION('',(-1.,0.,0.)); #445492=DIRECTION('',(0.,0.,1.)); #445493=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #445494=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #445495=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #445496=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #445497=DIRECTION('',(0.,0.,1.)); #445498=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #445499=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #445500=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #445501=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #445502=DIRECTION('',(0.,0.,1.)); #445503=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #445504=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #445505=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #445506=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #445507=DIRECTION('',(0.,0.,1.)); #445508=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #445509=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #445510=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #445511=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #445512=DIRECTION('',(0.,0.,1.)); #445513=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #445514=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #445515=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #445516=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #445517=DIRECTION('',(0.,0.,1.)); #445518=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #445519=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #445520=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #445521=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #445522=DIRECTION('',(0.,0.,1.)); #445523=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #445524=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #445525=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #445526=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #445527=DIRECTION('',(0.,0.,1.)); #445528=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #445529=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #445530=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #445531=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #445532=DIRECTION('',(0.,0.,1.)); #445533=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #445534=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #445535=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #445536=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #445537=DIRECTION('',(0.,0.,1.)); #445538=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #445539=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #445540=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #445541=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #445542=DIRECTION('',(0.,0.,1.)); #445543=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #445544=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #445545=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #445546=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #445547=DIRECTION('',(0.,0.,1.)); #445548=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #445549=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #445550=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #445551=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #445552=DIRECTION('',(0.,0.,1.)); #445553=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #445554=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #445555=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #445556=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #445557=DIRECTION('',(0.,0.,1.)); #445558=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #445559=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #445560=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #445561=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #445562=DIRECTION('',(0.,0.,1.)); #445563=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #445564=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #445565=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #445566=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #445567=DIRECTION('',(0.,0.,1.)); #445568=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #445569=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #445570=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #445571=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #445572=DIRECTION('',(0.,0.,1.)); #445573=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #445574=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #445575=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #445576=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #445577=DIRECTION('',(0.,0.,1.)); #445578=DIRECTION('center_axis',(1.,0.,0.)); #445579=DIRECTION('ref_axis',(0.,1.,0.)); #445580=DIRECTION('',(0.,1.,0.)); #445581=DIRECTION('',(0.,1.,0.)); #445582=DIRECTION('',(0.,0.,1.)); #445583=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #445584=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #445585=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #445586=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #445587=DIRECTION('',(0.,0.,1.)); #445588=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #445589=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #445590=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #445591=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #445592=DIRECTION('',(0.,0.,1.)); #445593=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #445594=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #445595=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #445596=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #445597=DIRECTION('',(0.,0.,1.)); #445598=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #445599=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #445600=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #445601=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #445602=DIRECTION('',(0.,0.,1.)); #445603=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #445604=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #445605=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #445606=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #445607=DIRECTION('',(0.,0.,1.)); #445608=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #445609=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #445610=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #445611=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #445612=DIRECTION('',(0.,0.,1.)); #445613=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #445614=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #445615=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #445616=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #445617=DIRECTION('',(0.,0.,1.)); #445618=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #445619=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #445620=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #445621=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #445622=DIRECTION('',(0.,0.,1.)); #445623=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #445624=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #445625=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #445626=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #445627=DIRECTION('',(0.,0.,1.)); #445628=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #445629=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #445630=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #445631=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #445632=DIRECTION('',(0.,0.,1.)); #445633=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #445634=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #445635=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #445636=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #445637=DIRECTION('',(0.,0.,1.)); #445638=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #445639=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #445640=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #445641=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #445642=DIRECTION('',(0.,0.,1.)); #445643=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #445644=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #445645=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #445646=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #445647=DIRECTION('',(0.,0.,1.)); #445648=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #445649=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #445650=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #445651=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #445652=DIRECTION('',(0.,0.,1.)); #445653=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #445654=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #445655=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #445656=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #445657=DIRECTION('',(0.,0.,1.)); #445658=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #445659=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #445660=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #445661=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #445662=DIRECTION('center_axis',(0.,0.,1.)); #445663=DIRECTION('ref_axis',(1.,0.,0.)); #445664=DIRECTION('center_axis',(0.,0.,1.)); #445665=DIRECTION('ref_axis',(1.,0.,0.)); #445666=DIRECTION('center_axis',(1.,0.,0.)); #445667=DIRECTION('ref_axis',(0.,1.,0.)); #445668=DIRECTION('',(0.,-1.,0.)); #445669=DIRECTION('',(0.,0.,1.)); #445670=DIRECTION('',(0.,1.,0.)); #445671=DIRECTION('',(0.,0.,1.)); #445672=DIRECTION('center_axis',(0.,0.,1.)); #445673=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #445674=DIRECTION('center_axis',(0.,0.,-1.)); #445675=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #445676=DIRECTION('center_axis',(0.,0.,1.)); #445677=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #445678=DIRECTION('',(0.,0.,1.)); #445679=DIRECTION('center_axis',(-1.,0.,0.)); #445680=DIRECTION('ref_axis',(0.,-1.,0.)); #445681=DIRECTION('',(0.,1.,0.)); #445682=DIRECTION('',(0.,-1.,0.)); #445683=DIRECTION('',(0.,0.,1.)); #445684=DIRECTION('center_axis',(0.,0.,1.)); #445685=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #445686=DIRECTION('center_axis',(0.,0.,-1.)); #445687=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #445688=DIRECTION('center_axis',(0.,0.,1.)); #445689=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #445690=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #445691=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #445692=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #445693=DIRECTION('',(0.,0.,1.)); #445694=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #445695=DIRECTION('',(0.,0.,1.)); #445696=DIRECTION('center_axis',(0.,-1.,0.)); #445697=DIRECTION('ref_axis',(1.,0.,0.)); #445698=DIRECTION('',(1.,0.,0.)); #445699=DIRECTION('',(1.,0.,0.)); #445700=DIRECTION('',(0.,0.,1.)); #445701=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #445702=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #445703=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #445704=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #445705=DIRECTION('',(0.,0.,1.)); #445706=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #445707=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #445708=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #445709=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #445710=DIRECTION('',(0.,0.,1.)); #445711=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #445712=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #445713=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #445714=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #445715=DIRECTION('',(0.,0.,1.)); #445716=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #445717=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #445718=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #445719=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #445720=DIRECTION('',(0.,0.,1.)); #445721=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #445722=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #445723=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #445724=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #445725=DIRECTION('',(0.,0.,1.)); #445726=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #445727=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #445728=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #445729=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #445730=DIRECTION('',(0.,0.,1.)); #445731=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #445732=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #445733=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #445734=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #445735=DIRECTION('',(0.,0.,1.)); #445736=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #445737=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #445738=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #445739=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #445740=DIRECTION('',(0.,0.,1.)); #445741=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #445742=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #445743=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #445744=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #445745=DIRECTION('',(0.,0.,1.)); #445746=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #445747=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #445748=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #445749=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #445750=DIRECTION('',(0.,0.,1.)); #445751=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #445752=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #445753=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #445754=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #445755=DIRECTION('',(0.,0.,1.)); #445756=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #445757=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #445758=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #445759=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #445760=DIRECTION('',(0.,0.,1.)); #445761=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #445762=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #445763=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #445764=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #445765=DIRECTION('',(0.,0.,1.)); #445766=DIRECTION('center_axis',(-1.,0.,0.)); #445767=DIRECTION('ref_axis',(0.,-1.,0.)); #445768=DIRECTION('',(0.,-1.,0.)); #445769=DIRECTION('',(0.,-1.,0.)); #445770=DIRECTION('',(0.,0.,1.)); #445771=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #445772=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #445773=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #445774=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #445775=DIRECTION('',(0.,0.,1.)); #445776=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #445777=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #445778=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #445779=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #445780=DIRECTION('',(0.,0.,1.)); #445781=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #445782=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #445783=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #445784=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #445785=DIRECTION('',(0.,0.,1.)); #445786=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #445787=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #445788=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #445789=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #445790=DIRECTION('',(0.,0.,1.)); #445791=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #445792=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #445793=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #445794=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #445795=DIRECTION('',(0.,0.,1.)); #445796=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #445797=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #445798=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #445799=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #445800=DIRECTION('',(0.,0.,1.)); #445801=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #445802=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #445803=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #445804=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #445805=DIRECTION('',(0.,0.,1.)); #445806=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #445807=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #445808=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #445809=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #445810=DIRECTION('',(0.,0.,1.)); #445811=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #445812=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #445813=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #445814=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #445815=DIRECTION('',(0.,0.,1.)); #445816=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #445817=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #445818=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #445819=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #445820=DIRECTION('',(0.,0.,1.)); #445821=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #445822=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #445823=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #445824=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #445825=DIRECTION('',(0.,0.,1.)); #445826=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #445827=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #445828=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #445829=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #445830=DIRECTION('',(0.,0.,1.)); #445831=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #445832=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #445833=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #445834=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #445835=DIRECTION('',(0.,0.,1.)); #445836=DIRECTION('center_axis',(0.,1.,0.)); #445837=DIRECTION('ref_axis',(-1.,0.,0.)); #445838=DIRECTION('',(-1.,0.,0.)); #445839=DIRECTION('',(-1.,0.,0.)); #445840=DIRECTION('',(0.,0.,1.)); #445841=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #445842=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #445843=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #445844=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #445845=DIRECTION('',(0.,0.,1.)); #445846=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #445847=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #445848=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #445849=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #445850=DIRECTION('',(0.,0.,1.)); #445851=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #445852=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #445853=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #445854=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #445855=DIRECTION('',(0.,0.,1.)); #445856=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #445857=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #445858=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #445859=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #445860=DIRECTION('',(0.,0.,1.)); #445861=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #445862=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #445863=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #445864=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #445865=DIRECTION('',(0.,0.,1.)); #445866=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #445867=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #445868=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #445869=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #445870=DIRECTION('',(0.,0.,1.)); #445871=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #445872=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #445873=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #445874=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #445875=DIRECTION('',(0.,0.,1.)); #445876=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #445877=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #445878=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #445879=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #445880=DIRECTION('',(0.,0.,1.)); #445881=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #445882=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #445883=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #445884=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #445885=DIRECTION('',(0.,0.,1.)); #445886=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #445887=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #445888=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #445889=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #445890=DIRECTION('',(0.,0.,1.)); #445891=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #445892=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #445893=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #445894=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #445895=DIRECTION('',(0.,0.,1.)); #445896=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #445897=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #445898=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #445899=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #445900=DIRECTION('',(0.,0.,1.)); #445901=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #445902=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #445903=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #445904=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #445905=DIRECTION('',(0.,0.,1.)); #445906=DIRECTION('center_axis',(1.,0.,0.)); #445907=DIRECTION('ref_axis',(0.,1.,0.)); #445908=DIRECTION('',(0.,1.,0.)); #445909=DIRECTION('',(0.,1.,0.)); #445910=DIRECTION('',(0.,0.,1.)); #445911=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #445912=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #445913=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #445914=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #445915=DIRECTION('',(0.,0.,1.)); #445916=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #445917=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #445918=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #445919=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #445920=DIRECTION('',(0.,0.,1.)); #445921=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #445922=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #445923=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #445924=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #445925=DIRECTION('',(0.,0.,1.)); #445926=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #445927=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #445928=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #445929=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #445930=DIRECTION('',(0.,0.,1.)); #445931=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #445932=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #445933=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #445934=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #445935=DIRECTION('',(0.,0.,1.)); #445936=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #445937=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #445938=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #445939=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #445940=DIRECTION('',(0.,0.,1.)); #445941=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #445942=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #445943=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #445944=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #445945=DIRECTION('',(0.,0.,1.)); #445946=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #445947=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #445948=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #445949=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #445950=DIRECTION('',(0.,0.,1.)); #445951=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #445952=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #445953=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #445954=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #445955=DIRECTION('',(0.,0.,1.)); #445956=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #445957=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #445958=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #445959=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #445960=DIRECTION('',(0.,0.,1.)); #445961=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #445962=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #445963=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #445964=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #445965=DIRECTION('',(0.,0.,1.)); #445966=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #445967=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #445968=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #445969=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #445970=DIRECTION('center_axis',(0.,0.,1.)); #445971=DIRECTION('ref_axis',(1.,0.,0.)); #445972=DIRECTION('center_axis',(0.,0.,1.)); #445973=DIRECTION('ref_axis',(1.,0.,0.)); #445974=DIRECTION('center_axis',(0.,0.,1.)); #445975=DIRECTION('ref_axis',(1.,0.,0.)); #445976=DIRECTION('center_axis',(0.,0.,1.)); #445977=DIRECTION('ref_axis',(1.,0.,0.)); #445978=DIRECTION('',(0.,0.,1.)); #445979=DIRECTION('center_axis',(0.,0.,-1.)); #445980=DIRECTION('ref_axis',(1.,0.,0.)); #445981=DIRECTION('center_axis',(1.,0.,0.)); #445982=DIRECTION('ref_axis',(0.,1.,0.)); #445983=DIRECTION('',(0.,1.,0.)); #445984=DIRECTION('',(0.,0.,1.)); #445985=DIRECTION('',(0.,1.,0.)); #445986=DIRECTION('',(0.,0.,1.)); #445987=DIRECTION('center_axis',(0.,-1.,0.)); #445988=DIRECTION('ref_axis',(1.,0.,0.)); #445989=DIRECTION('',(1.,0.,0.)); #445990=DIRECTION('',(1.,0.,0.)); #445991=DIRECTION('',(0.,0.,1.)); #445992=DIRECTION('center_axis',(-1.,0.,0.)); #445993=DIRECTION('ref_axis',(0.,-1.,0.)); #445994=DIRECTION('',(0.,-1.,0.)); #445995=DIRECTION('',(0.,-1.,0.)); #445996=DIRECTION('',(0.,0.,1.)); #445997=DIRECTION('center_axis',(0.,1.,0.)); #445998=DIRECTION('ref_axis',(-1.,0.,0.)); #445999=DIRECTION('',(-1.,0.,0.)); #446000=DIRECTION('',(-1.,0.,0.)); #446001=DIRECTION('center_axis',(0.,0.,1.)); #446002=DIRECTION('ref_axis',(1.,0.,0.)); #446003=DIRECTION('center_axis',(0.,0.,1.)); #446004=DIRECTION('ref_axis',(1.,0.,0.)); #446005=DIRECTION('center_axis',(1.,0.,0.)); #446006=DIRECTION('ref_axis',(0.,1.,0.)); #446007=DIRECTION('',(0.,-1.,0.)); #446008=DIRECTION('',(0.,0.,1.)); #446009=DIRECTION('',(0.,1.,0.)); #446010=DIRECTION('',(0.,0.,1.)); #446011=DIRECTION('center_axis',(0.,0.,1.)); #446012=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #446013=DIRECTION('center_axis',(0.,0.,-1.)); #446014=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #446015=DIRECTION('center_axis',(0.,0.,1.)); #446016=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #446017=DIRECTION('',(0.,0.,1.)); #446018=DIRECTION('center_axis',(-1.,0.,0.)); #446019=DIRECTION('ref_axis',(0.,-1.,0.)); #446020=DIRECTION('',(0.,1.,0.)); #446021=DIRECTION('',(0.,-1.,0.)); #446022=DIRECTION('',(0.,0.,1.)); #446023=DIRECTION('center_axis',(0.,0.,1.)); #446024=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #446025=DIRECTION('center_axis',(0.,0.,-1.)); #446026=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #446027=DIRECTION('center_axis',(0.,0.,1.)); #446028=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #446029=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #446030=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #446031=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #446032=DIRECTION('',(0.,0.,1.)); #446033=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #446034=DIRECTION('',(0.,0.,1.)); #446035=DIRECTION('center_axis',(0.,-1.,0.)); #446036=DIRECTION('ref_axis',(1.,0.,0.)); #446037=DIRECTION('',(1.,0.,0.)); #446038=DIRECTION('',(1.,0.,0.)); #446039=DIRECTION('',(0.,0.,1.)); #446040=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #446041=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #446042=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #446043=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #446044=DIRECTION('',(0.,0.,1.)); #446045=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #446046=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #446047=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #446048=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #446049=DIRECTION('',(0.,0.,1.)); #446050=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #446051=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #446052=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #446053=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #446054=DIRECTION('',(0.,0.,1.)); #446055=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #446056=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #446057=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #446058=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #446059=DIRECTION('',(0.,0.,1.)); #446060=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #446061=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #446062=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #446063=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #446064=DIRECTION('',(0.,0.,1.)); #446065=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #446066=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #446067=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #446068=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #446069=DIRECTION('',(0.,0.,1.)); #446070=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #446071=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #446072=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #446073=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #446074=DIRECTION('',(0.,0.,1.)); #446075=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #446076=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #446077=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #446078=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #446079=DIRECTION('',(0.,0.,1.)); #446080=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #446081=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #446082=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #446083=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #446084=DIRECTION('',(0.,0.,1.)); #446085=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #446086=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #446087=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #446088=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #446089=DIRECTION('',(0.,0.,1.)); #446090=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #446091=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #446092=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #446093=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #446094=DIRECTION('',(0.,0.,1.)); #446095=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #446096=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #446097=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #446098=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #446099=DIRECTION('',(0.,0.,1.)); #446100=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #446101=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #446102=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #446103=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #446104=DIRECTION('',(0.,0.,1.)); #446105=DIRECTION('center_axis',(-1.,0.,0.)); #446106=DIRECTION('ref_axis',(0.,-1.,0.)); #446107=DIRECTION('',(0.,-1.,0.)); #446108=DIRECTION('',(0.,-1.,0.)); #446109=DIRECTION('',(0.,0.,1.)); #446110=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #446111=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #446112=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #446113=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #446114=DIRECTION('',(0.,0.,1.)); #446115=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #446116=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #446117=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #446118=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #446119=DIRECTION('',(0.,0.,1.)); #446120=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #446121=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #446122=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #446123=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #446124=DIRECTION('',(0.,0.,1.)); #446125=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #446126=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #446127=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #446128=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #446129=DIRECTION('',(0.,0.,1.)); #446130=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #446131=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #446132=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #446133=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #446134=DIRECTION('',(0.,0.,1.)); #446135=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #446136=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #446137=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #446138=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #446139=DIRECTION('',(0.,0.,1.)); #446140=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #446141=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #446142=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #446143=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #446144=DIRECTION('',(0.,0.,1.)); #446145=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #446146=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #446147=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #446148=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #446149=DIRECTION('',(0.,0.,1.)); #446150=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #446151=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #446152=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #446153=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #446154=DIRECTION('',(0.,0.,1.)); #446155=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #446156=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #446157=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #446158=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #446159=DIRECTION('',(0.,0.,1.)); #446160=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #446161=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #446162=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #446163=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #446164=DIRECTION('',(0.,0.,1.)); #446165=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #446166=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #446167=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #446168=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #446169=DIRECTION('',(0.,0.,1.)); #446170=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #446171=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #446172=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #446173=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #446174=DIRECTION('',(0.,0.,1.)); #446175=DIRECTION('center_axis',(0.,1.,0.)); #446176=DIRECTION('ref_axis',(-1.,0.,0.)); #446177=DIRECTION('',(-1.,0.,0.)); #446178=DIRECTION('',(-1.,0.,0.)); #446179=DIRECTION('',(0.,0.,1.)); #446180=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #446181=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #446182=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #446183=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #446184=DIRECTION('',(0.,0.,1.)); #446185=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #446186=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #446187=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #446188=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #446189=DIRECTION('',(0.,0.,1.)); #446190=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #446191=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #446192=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #446193=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #446194=DIRECTION('',(0.,0.,1.)); #446195=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #446196=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #446197=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #446198=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #446199=DIRECTION('',(0.,0.,1.)); #446200=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #446201=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #446202=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #446203=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #446204=DIRECTION('',(0.,0.,1.)); #446205=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #446206=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #446207=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #446208=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #446209=DIRECTION('',(0.,0.,1.)); #446210=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #446211=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #446212=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #446213=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #446214=DIRECTION('',(0.,0.,1.)); #446215=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #446216=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #446217=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #446218=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #446219=DIRECTION('',(0.,0.,1.)); #446220=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #446221=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #446222=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #446223=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #446224=DIRECTION('',(0.,0.,1.)); #446225=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #446226=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #446227=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #446228=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #446229=DIRECTION('',(0.,0.,1.)); #446230=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #446231=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #446232=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #446233=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #446234=DIRECTION('',(0.,0.,1.)); #446235=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #446236=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #446237=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #446238=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #446239=DIRECTION('',(0.,0.,1.)); #446240=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #446241=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #446242=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #446243=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #446244=DIRECTION('',(0.,0.,1.)); #446245=DIRECTION('center_axis',(1.,0.,0.)); #446246=DIRECTION('ref_axis',(0.,1.,0.)); #446247=DIRECTION('',(0.,1.,0.)); #446248=DIRECTION('',(0.,1.,0.)); #446249=DIRECTION('',(0.,0.,1.)); #446250=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #446251=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #446252=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #446253=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #446254=DIRECTION('',(0.,0.,1.)); #446255=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #446256=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #446257=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #446258=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #446259=DIRECTION('',(0.,0.,1.)); #446260=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #446261=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #446262=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #446263=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #446264=DIRECTION('',(0.,0.,1.)); #446265=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #446266=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #446267=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #446268=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #446269=DIRECTION('',(0.,0.,1.)); #446270=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #446271=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #446272=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #446273=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #446274=DIRECTION('',(0.,0.,1.)); #446275=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #446276=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #446277=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #446278=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #446279=DIRECTION('',(0.,0.,1.)); #446280=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #446281=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #446282=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #446283=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #446284=DIRECTION('',(0.,0.,1.)); #446285=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #446286=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #446287=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #446288=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #446289=DIRECTION('',(0.,0.,1.)); #446290=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #446291=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #446292=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #446293=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #446294=DIRECTION('',(0.,0.,1.)); #446295=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #446296=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #446297=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #446298=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #446299=DIRECTION('',(0.,0.,1.)); #446300=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #446301=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #446302=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #446303=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #446304=DIRECTION('',(0.,0.,1.)); #446305=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #446306=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #446307=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #446308=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #446309=DIRECTION('center_axis',(0.,0.,1.)); #446310=DIRECTION('ref_axis',(1.,0.,0.)); #446311=DIRECTION('center_axis',(0.,0.,1.)); #446312=DIRECTION('ref_axis',(1.,0.,0.)); #446313=DIRECTION('center_axis',(0.,1.,0.)); #446314=DIRECTION('ref_axis',(-1.,0.,0.)); #446315=DIRECTION('',(1.,0.,0.)); #446316=DIRECTION('',(0.,0.,1.)); #446317=DIRECTION('',(-1.,0.,0.)); #446318=DIRECTION('',(0.,0.,1.)); #446319=DIRECTION('center_axis',(0.,0.,1.)); #446320=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #446321=DIRECTION('center_axis',(0.,0.,-1.)); #446322=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #446323=DIRECTION('center_axis',(0.,0.,1.)); #446324=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #446325=DIRECTION('',(0.,0.,1.)); #446326=DIRECTION('center_axis',(0.,-1.,0.)); #446327=DIRECTION('ref_axis',(1.,0.,0.)); #446328=DIRECTION('',(-1.,0.,0.)); #446329=DIRECTION('',(1.,0.,0.)); #446330=DIRECTION('',(0.,0.,1.)); #446331=DIRECTION('center_axis',(0.,0.,1.)); #446332=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #446333=DIRECTION('center_axis',(0.,0.,-1.)); #446334=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #446335=DIRECTION('center_axis',(0.,0.,1.)); #446336=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #446337=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #446338=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #446339=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #446340=DIRECTION('',(0.,0.,1.)); #446341=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #446342=DIRECTION('',(0.,0.,1.)); #446343=DIRECTION('center_axis',(0.,-1.,0.)); #446344=DIRECTION('ref_axis',(1.,0.,0.)); #446345=DIRECTION('',(1.,0.,0.)); #446346=DIRECTION('',(1.,0.,0.)); #446347=DIRECTION('',(0.,0.,1.)); #446348=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #446349=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #446350=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #446351=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #446352=DIRECTION('',(0.,0.,1.)); #446353=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #446354=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #446355=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #446356=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #446357=DIRECTION('',(0.,0.,1.)); #446358=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #446359=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #446360=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #446361=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #446362=DIRECTION('',(0.,0.,1.)); #446363=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #446364=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #446365=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #446366=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #446367=DIRECTION('',(0.,0.,1.)); #446368=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #446369=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #446370=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #446371=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #446372=DIRECTION('',(0.,0.,1.)); #446373=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #446374=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #446375=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #446376=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #446377=DIRECTION('',(0.,0.,1.)); #446378=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #446379=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #446380=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #446381=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #446382=DIRECTION('',(0.,0.,1.)); #446383=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #446384=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #446385=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #446386=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #446387=DIRECTION('',(0.,0.,1.)); #446388=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #446389=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #446390=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #446391=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #446392=DIRECTION('',(0.,0.,1.)); #446393=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #446394=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #446395=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #446396=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #446397=DIRECTION('',(0.,0.,1.)); #446398=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #446399=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #446400=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #446401=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #446402=DIRECTION('',(0.,0.,1.)); #446403=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #446404=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #446405=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #446406=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #446407=DIRECTION('',(0.,0.,1.)); #446408=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #446409=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #446410=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #446411=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #446412=DIRECTION('',(0.,0.,1.)); #446413=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #446414=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #446415=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #446416=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #446417=DIRECTION('',(0.,0.,1.)); #446418=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #446419=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #446420=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #446421=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #446422=DIRECTION('',(0.,0.,1.)); #446423=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #446424=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #446425=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #446426=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #446427=DIRECTION('',(0.,0.,1.)); #446428=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #446429=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #446430=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #446431=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #446432=DIRECTION('',(0.,0.,1.)); #446433=DIRECTION('center_axis',(-1.,0.,0.)); #446434=DIRECTION('ref_axis',(0.,-1.,0.)); #446435=DIRECTION('',(0.,-1.,0.)); #446436=DIRECTION('',(0.,-1.,0.)); #446437=DIRECTION('',(0.,0.,1.)); #446438=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #446439=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #446440=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #446441=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #446442=DIRECTION('',(0.,0.,1.)); #446443=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #446444=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #446445=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #446446=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #446447=DIRECTION('',(0.,0.,1.)); #446448=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #446449=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #446450=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #446451=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #446452=DIRECTION('',(0.,0.,1.)); #446453=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #446454=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #446455=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #446456=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #446457=DIRECTION('',(0.,0.,1.)); #446458=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #446459=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #446460=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #446461=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #446462=DIRECTION('',(0.,0.,1.)); #446463=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #446464=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #446465=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #446466=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #446467=DIRECTION('',(0.,0.,1.)); #446468=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #446469=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #446470=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #446471=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #446472=DIRECTION('',(0.,0.,1.)); #446473=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #446474=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #446475=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #446476=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #446477=DIRECTION('',(0.,0.,1.)); #446478=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #446479=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #446480=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #446481=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #446482=DIRECTION('',(0.,0.,1.)); #446483=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #446484=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #446485=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #446486=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #446487=DIRECTION('',(0.,0.,1.)); #446488=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #446489=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #446490=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #446491=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #446492=DIRECTION('',(0.,0.,1.)); #446493=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #446494=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #446495=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #446496=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #446497=DIRECTION('',(0.,0.,1.)); #446498=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #446499=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #446500=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #446501=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #446502=DIRECTION('',(0.,0.,1.)); #446503=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #446504=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #446505=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #446506=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #446507=DIRECTION('',(0.,0.,1.)); #446508=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #446509=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #446510=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #446511=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #446512=DIRECTION('',(0.,0.,1.)); #446513=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #446514=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #446515=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #446516=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #446517=DIRECTION('',(0.,0.,1.)); #446518=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #446519=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #446520=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #446521=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #446522=DIRECTION('',(0.,0.,1.)); #446523=DIRECTION('center_axis',(0.,1.,0.)); #446524=DIRECTION('ref_axis',(-1.,0.,0.)); #446525=DIRECTION('',(-1.,0.,0.)); #446526=DIRECTION('',(-1.,0.,0.)); #446527=DIRECTION('',(0.,0.,1.)); #446528=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #446529=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #446530=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #446531=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #446532=DIRECTION('',(0.,0.,1.)); #446533=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #446534=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #446535=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #446536=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #446537=DIRECTION('',(0.,0.,1.)); #446538=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #446539=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #446540=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #446541=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #446542=DIRECTION('',(0.,0.,1.)); #446543=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #446544=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #446545=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #446546=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #446547=DIRECTION('',(0.,0.,1.)); #446548=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #446549=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #446550=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #446551=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #446552=DIRECTION('',(0.,0.,1.)); #446553=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #446554=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #446555=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #446556=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #446557=DIRECTION('',(0.,0.,1.)); #446558=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #446559=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #446560=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #446561=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #446562=DIRECTION('',(0.,0.,1.)); #446563=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #446564=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #446565=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #446566=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #446567=DIRECTION('',(0.,0.,1.)); #446568=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #446569=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #446570=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #446571=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #446572=DIRECTION('',(0.,0.,1.)); #446573=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #446574=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #446575=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #446576=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #446577=DIRECTION('',(0.,0.,1.)); #446578=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #446579=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #446580=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #446581=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #446582=DIRECTION('',(0.,0.,1.)); #446583=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #446584=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #446585=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #446586=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #446587=DIRECTION('',(0.,0.,1.)); #446588=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #446589=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #446590=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #446591=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #446592=DIRECTION('',(0.,0.,1.)); #446593=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #446594=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #446595=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #446596=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #446597=DIRECTION('',(0.,0.,1.)); #446598=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #446599=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #446600=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #446601=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #446602=DIRECTION('',(0.,0.,1.)); #446603=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #446604=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #446605=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #446606=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #446607=DIRECTION('',(0.,0.,1.)); #446608=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #446609=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #446610=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #446611=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #446612=DIRECTION('',(0.,0.,1.)); #446613=DIRECTION('center_axis',(1.,0.,0.)); #446614=DIRECTION('ref_axis',(0.,1.,0.)); #446615=DIRECTION('',(0.,1.,0.)); #446616=DIRECTION('',(0.,1.,0.)); #446617=DIRECTION('',(0.,0.,1.)); #446618=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #446619=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #446620=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #446621=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #446622=DIRECTION('',(0.,0.,1.)); #446623=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #446624=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #446625=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #446626=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #446627=DIRECTION('',(0.,0.,1.)); #446628=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #446629=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #446630=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #446631=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #446632=DIRECTION('',(0.,0.,1.)); #446633=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #446634=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #446635=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #446636=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #446637=DIRECTION('',(0.,0.,1.)); #446638=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #446639=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #446640=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #446641=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #446642=DIRECTION('',(0.,0.,1.)); #446643=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #446644=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #446645=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #446646=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #446647=DIRECTION('',(0.,0.,1.)); #446648=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #446649=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #446650=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #446651=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #446652=DIRECTION('',(0.,0.,1.)); #446653=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #446654=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #446655=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #446656=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #446657=DIRECTION('',(0.,0.,1.)); #446658=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #446659=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #446660=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #446661=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #446662=DIRECTION('',(0.,0.,1.)); #446663=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #446664=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #446665=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #446666=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #446667=DIRECTION('',(0.,0.,1.)); #446668=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #446669=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #446670=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #446671=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #446672=DIRECTION('',(0.,0.,1.)); #446673=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #446674=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #446675=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #446676=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #446677=DIRECTION('',(0.,0.,1.)); #446678=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #446679=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #446680=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #446681=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #446682=DIRECTION('',(0.,0.,1.)); #446683=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #446684=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #446685=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #446686=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #446687=DIRECTION('',(0.,0.,1.)); #446688=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #446689=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #446690=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #446691=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #446692=DIRECTION('',(0.,0.,1.)); #446693=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #446694=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #446695=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #446696=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #446697=DIRECTION('center_axis',(0.,0.,1.)); #446698=DIRECTION('ref_axis',(1.,0.,0.)); #446699=DIRECTION('center_axis',(0.,0.,1.)); #446700=DIRECTION('ref_axis',(1.,0.,0.)); #446701=DIRECTION('center_axis',(0.,0.,1.)); #446702=DIRECTION('ref_axis',(1.,0.,0.)); #446703=DIRECTION('center_axis',(0.,0.,1.)); #446704=DIRECTION('ref_axis',(1.,0.,0.)); #446705=DIRECTION('',(0.,0.,1.)); #446706=DIRECTION('center_axis',(0.,0.,-1.)); #446707=DIRECTION('ref_axis',(1.,0.,0.)); #446708=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #446709=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #446710=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #446711=DIRECTION('',(0.,0.,1.)); #446712=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #446713=DIRECTION('',(0.,0.,1.)); #446714=DIRECTION('center_axis',(0.,0.,1.)); #446715=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #446716=DIRECTION('center_axis',(0.,0.,1.)); #446717=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #446718=DIRECTION('center_axis',(0.,0.,1.)); #446719=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #446720=DIRECTION('',(0.,0.,1.)); #446721=DIRECTION('center_axis',(0.,0.,1.)); #446722=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #446723=DIRECTION('center_axis',(0.,0.,1.)); #446724=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #446725=DIRECTION('center_axis',(0.,0.,1.)); #446726=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #446727=DIRECTION('center_axis',(0.,0.,1.)); #446728=DIRECTION('ref_axis',(1.,0.,0.)); #446729=DIRECTION('center_axis',(0.,0.,1.)); #446730=DIRECTION('ref_axis',(1.,0.,0.)); #446731=DIRECTION('center_axis',(0.,0.,1.)); #446732=DIRECTION('ref_axis',(1.,0.,0.)); #446733=DIRECTION('center_axis',(0.,0.,1.)); #446734=DIRECTION('ref_axis',(1.,0.,0.)); #446735=DIRECTION('',(0.,0.,1.)); #446736=DIRECTION('center_axis',(0.,0.,-1.)); #446737=DIRECTION('ref_axis',(1.,0.,0.)); #446738=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #446739=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #446740=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #446741=DIRECTION('',(0.,0.,1.)); #446742=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #446743=DIRECTION('',(0.,0.,1.)); #446744=DIRECTION('center_axis',(0.,0.,1.)); #446745=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #446746=DIRECTION('center_axis',(0.,0.,1.)); #446747=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #446748=DIRECTION('center_axis',(0.,0.,1.)); #446749=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #446750=DIRECTION('',(0.,0.,1.)); #446751=DIRECTION('center_axis',(0.,0.,1.)); #446752=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #446753=DIRECTION('center_axis',(0.,0.,1.)); #446754=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #446755=DIRECTION('center_axis',(0.,0.,1.)); #446756=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #446757=DIRECTION('center_axis',(0.,0.,1.)); #446758=DIRECTION('ref_axis',(1.,0.,0.)); #446759=DIRECTION('center_axis',(0.,0.,1.)); #446760=DIRECTION('ref_axis',(1.,0.,0.)); #446761=DIRECTION('center_axis',(1.,0.,0.)); #446762=DIRECTION('ref_axis',(0.,1.,0.)); #446763=DIRECTION('',(0.,-1.,0.)); #446764=DIRECTION('',(0.,0.,1.)); #446765=DIRECTION('',(0.,1.,0.)); #446766=DIRECTION('',(0.,0.,1.)); #446767=DIRECTION('center_axis',(0.,0.,1.)); #446768=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #446769=DIRECTION('center_axis',(0.,0.,-1.)); #446770=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #446771=DIRECTION('center_axis',(0.,0.,1.)); #446772=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #446773=DIRECTION('',(0.,0.,1.)); #446774=DIRECTION('center_axis',(-1.,0.,0.)); #446775=DIRECTION('ref_axis',(0.,-1.,0.)); #446776=DIRECTION('',(0.,1.,0.)); #446777=DIRECTION('',(0.,-1.,0.)); #446778=DIRECTION('',(0.,0.,1.)); #446779=DIRECTION('center_axis',(0.,0.,1.)); #446780=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #446781=DIRECTION('center_axis',(0.,0.,-1.)); #446782=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #446783=DIRECTION('center_axis',(0.,0.,1.)); #446784=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #446785=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #446786=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #446787=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #446788=DIRECTION('',(0.,0.,1.)); #446789=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #446790=DIRECTION('',(0.,0.,1.)); #446791=DIRECTION('center_axis',(0.,-1.,0.)); #446792=DIRECTION('ref_axis',(1.,0.,0.)); #446793=DIRECTION('',(1.,0.,0.)); #446794=DIRECTION('',(1.,0.,0.)); #446795=DIRECTION('',(0.,0.,1.)); #446796=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #446797=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #446798=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #446799=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #446800=DIRECTION('',(0.,0.,1.)); #446801=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #446802=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #446803=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #446804=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #446805=DIRECTION('',(0.,0.,1.)); #446806=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #446807=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #446808=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #446809=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #446810=DIRECTION('',(0.,0.,1.)); #446811=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #446812=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #446813=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #446814=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #446815=DIRECTION('',(0.,0.,1.)); #446816=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #446817=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #446818=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #446819=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #446820=DIRECTION('',(0.,0.,1.)); #446821=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #446822=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #446823=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #446824=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #446825=DIRECTION('',(0.,0.,1.)); #446826=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #446827=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #446828=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #446829=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #446830=DIRECTION('',(0.,0.,1.)); #446831=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #446832=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #446833=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #446834=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #446835=DIRECTION('',(0.,0.,1.)); #446836=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #446837=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #446838=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #446839=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #446840=DIRECTION('',(0.,0.,1.)); #446841=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #446842=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #446843=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #446844=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #446845=DIRECTION('',(0.,0.,1.)); #446846=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #446847=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #446848=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #446849=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #446850=DIRECTION('',(0.,0.,1.)); #446851=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #446852=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #446853=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #446854=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #446855=DIRECTION('',(0.,0.,1.)); #446856=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #446857=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #446858=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #446859=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #446860=DIRECTION('',(0.,0.,1.)); #446861=DIRECTION('center_axis',(-1.,0.,0.)); #446862=DIRECTION('ref_axis',(0.,-1.,0.)); #446863=DIRECTION('',(0.,-1.,0.)); #446864=DIRECTION('',(0.,-1.,0.)); #446865=DIRECTION('',(0.,0.,1.)); #446866=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #446867=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #446868=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #446869=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #446870=DIRECTION('',(0.,0.,1.)); #446871=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #446872=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #446873=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #446874=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #446875=DIRECTION('',(0.,0.,1.)); #446876=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #446877=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #446878=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #446879=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #446880=DIRECTION('',(0.,0.,1.)); #446881=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #446882=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #446883=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #446884=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #446885=DIRECTION('',(0.,0.,1.)); #446886=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #446887=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #446888=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #446889=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #446890=DIRECTION('',(0.,0.,1.)); #446891=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #446892=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #446893=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #446894=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #446895=DIRECTION('',(0.,0.,1.)); #446896=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #446897=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #446898=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #446899=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #446900=DIRECTION('',(0.,0.,1.)); #446901=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #446902=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #446903=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #446904=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #446905=DIRECTION('',(0.,0.,1.)); #446906=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #446907=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #446908=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #446909=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #446910=DIRECTION('',(0.,0.,1.)); #446911=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #446912=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #446913=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #446914=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #446915=DIRECTION('',(0.,0.,1.)); #446916=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #446917=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #446918=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #446919=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #446920=DIRECTION('',(0.,0.,1.)); #446921=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #446922=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #446923=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #446924=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #446925=DIRECTION('',(0.,0.,1.)); #446926=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #446927=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #446928=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #446929=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #446930=DIRECTION('',(0.,0.,1.)); #446931=DIRECTION('center_axis',(0.,1.,0.)); #446932=DIRECTION('ref_axis',(-1.,0.,0.)); #446933=DIRECTION('',(-1.,0.,0.)); #446934=DIRECTION('',(-1.,0.,0.)); #446935=DIRECTION('',(0.,0.,1.)); #446936=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #446937=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #446938=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #446939=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #446940=DIRECTION('',(0.,0.,1.)); #446941=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #446942=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #446943=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #446944=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #446945=DIRECTION('',(0.,0.,1.)); #446946=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #446947=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #446948=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #446949=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #446950=DIRECTION('',(0.,0.,1.)); #446951=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #446952=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #446953=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #446954=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #446955=DIRECTION('',(0.,0.,1.)); #446956=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #446957=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #446958=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #446959=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #446960=DIRECTION('',(0.,0.,1.)); #446961=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #446962=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #446963=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #446964=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #446965=DIRECTION('',(0.,0.,1.)); #446966=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #446967=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #446968=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #446969=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #446970=DIRECTION('',(0.,0.,1.)); #446971=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #446972=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #446973=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #446974=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #446975=DIRECTION('',(0.,0.,1.)); #446976=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #446977=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #446978=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #446979=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #446980=DIRECTION('',(0.,0.,1.)); #446981=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #446982=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #446983=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #446984=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #446985=DIRECTION('',(0.,0.,1.)); #446986=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #446987=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #446988=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #446989=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #446990=DIRECTION('',(0.,0.,1.)); #446991=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #446992=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #446993=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #446994=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #446995=DIRECTION('',(0.,0.,1.)); #446996=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #446997=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #446998=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #446999=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #447000=DIRECTION('',(0.,0.,1.)); #447001=DIRECTION('center_axis',(1.,0.,0.)); #447002=DIRECTION('ref_axis',(0.,1.,0.)); #447003=DIRECTION('',(0.,1.,0.)); #447004=DIRECTION('',(0.,1.,0.)); #447005=DIRECTION('',(0.,0.,1.)); #447006=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #447007=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #447008=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #447009=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #447010=DIRECTION('',(0.,0.,1.)); #447011=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #447012=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #447013=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #447014=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #447015=DIRECTION('',(0.,0.,1.)); #447016=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #447017=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #447018=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #447019=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #447020=DIRECTION('',(0.,0.,1.)); #447021=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #447022=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #447023=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #447024=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #447025=DIRECTION('',(0.,0.,1.)); #447026=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #447027=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #447028=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #447029=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #447030=DIRECTION('',(0.,0.,1.)); #447031=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #447032=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #447033=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #447034=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #447035=DIRECTION('',(0.,0.,1.)); #447036=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #447037=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #447038=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #447039=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #447040=DIRECTION('',(0.,0.,1.)); #447041=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #447042=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #447043=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #447044=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #447045=DIRECTION('',(0.,0.,1.)); #447046=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #447047=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #447048=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #447049=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #447050=DIRECTION('',(0.,0.,1.)); #447051=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #447052=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #447053=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #447054=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #447055=DIRECTION('',(0.,0.,1.)); #447056=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #447057=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #447058=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #447059=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #447060=DIRECTION('',(0.,0.,1.)); #447061=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #447062=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #447063=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #447064=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #447065=DIRECTION('center_axis',(0.,0.,1.)); #447066=DIRECTION('ref_axis',(1.,0.,0.)); #447067=DIRECTION('center_axis',(0.,0.,1.)); #447068=DIRECTION('ref_axis',(1.,0.,0.)); #447069=DIRECTION('center_axis',(0.,0.,1.)); #447070=DIRECTION('ref_axis',(1.,0.,0.)); #447071=DIRECTION('center_axis',(0.,0.,1.)); #447072=DIRECTION('ref_axis',(1.,0.,0.)); #447073=DIRECTION('',(0.,0.,1.)); #447074=DIRECTION('center_axis',(0.,0.,-1.)); #447075=DIRECTION('ref_axis',(1.,0.,0.)); #447076=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #447077=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #447078=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #447079=DIRECTION('',(0.,0.,1.)); #447080=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #447081=DIRECTION('',(0.,0.,1.)); #447082=DIRECTION('center_axis',(0.,0.,1.)); #447083=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447084=DIRECTION('center_axis',(0.,0.,1.)); #447085=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447086=DIRECTION('center_axis',(0.,0.,1.)); #447087=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447088=DIRECTION('',(0.,0.,1.)); #447089=DIRECTION('center_axis',(0.,0.,1.)); #447090=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #447091=DIRECTION('center_axis',(0.,0.,1.)); #447092=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #447093=DIRECTION('center_axis',(0.,0.,1.)); #447094=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #447095=DIRECTION('center_axis',(0.,0.,1.)); #447096=DIRECTION('ref_axis',(1.,0.,0.)); #447097=DIRECTION('center_axis',(0.,0.,1.)); #447098=DIRECTION('ref_axis',(1.,0.,0.)); #447099=DIRECTION('center_axis',(0.,0.,1.)); #447100=DIRECTION('ref_axis',(1.,0.,0.)); #447101=DIRECTION('center_axis',(0.,0.,1.)); #447102=DIRECTION('ref_axis',(1.,0.,0.)); #447103=DIRECTION('',(0.,0.,1.)); #447104=DIRECTION('center_axis',(0.,0.,-1.)); #447105=DIRECTION('ref_axis',(1.,0.,0.)); #447106=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #447107=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #447108=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #447109=DIRECTION('',(0.,0.,1.)); #447110=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #447111=DIRECTION('',(0.,0.,1.)); #447112=DIRECTION('center_axis',(0.,0.,1.)); #447113=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447114=DIRECTION('center_axis',(0.,0.,1.)); #447115=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447116=DIRECTION('center_axis',(0.,0.,1.)); #447117=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447118=DIRECTION('',(0.,0.,1.)); #447119=DIRECTION('center_axis',(0.,0.,1.)); #447120=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447121=DIRECTION('center_axis',(0.,0.,1.)); #447122=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447123=DIRECTION('center_axis',(0.,0.,1.)); #447124=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447125=DIRECTION('center_axis',(0.,0.,1.)); #447126=DIRECTION('ref_axis',(1.,0.,0.)); #447127=DIRECTION('center_axis',(0.,0.,1.)); #447128=DIRECTION('ref_axis',(1.,0.,0.)); #447129=DIRECTION('center_axis',(0.,0.,1.)); #447130=DIRECTION('ref_axis',(1.,0.,0.)); #447131=DIRECTION('center_axis',(0.,0.,1.)); #447132=DIRECTION('ref_axis',(1.,0.,0.)); #447133=DIRECTION('',(0.,0.,1.)); #447134=DIRECTION('center_axis',(0.,0.,-1.)); #447135=DIRECTION('ref_axis',(1.,0.,0.)); #447136=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #447137=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #447138=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #447139=DIRECTION('',(0.,0.,1.)); #447140=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #447141=DIRECTION('',(0.,0.,1.)); #447142=DIRECTION('center_axis',(0.,0.,1.)); #447143=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447144=DIRECTION('center_axis',(0.,0.,1.)); #447145=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447146=DIRECTION('center_axis',(0.,0.,1.)); #447147=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447148=DIRECTION('',(0.,0.,1.)); #447149=DIRECTION('center_axis',(0.,0.,1.)); #447150=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447151=DIRECTION('center_axis',(0.,0.,1.)); #447152=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447153=DIRECTION('center_axis',(0.,0.,1.)); #447154=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447155=DIRECTION('center_axis',(0.,0.,1.)); #447156=DIRECTION('ref_axis',(1.,0.,0.)); #447157=DIRECTION('center_axis',(0.,0.,1.)); #447158=DIRECTION('ref_axis',(1.,0.,0.)); #447159=DIRECTION('center_axis',(0.,0.,1.)); #447160=DIRECTION('ref_axis',(1.,0.,0.)); #447161=DIRECTION('center_axis',(0.,0.,1.)); #447162=DIRECTION('ref_axis',(1.,0.,0.)); #447163=DIRECTION('',(0.,0.,1.)); #447164=DIRECTION('center_axis',(0.,0.,-1.)); #447165=DIRECTION('ref_axis',(1.,0.,0.)); #447166=DIRECTION('center_axis',(1.,0.,0.)); #447167=DIRECTION('ref_axis',(0.,1.,0.)); #447168=DIRECTION('',(0.,1.,0.)); #447169=DIRECTION('',(0.,0.,1.)); #447170=DIRECTION('',(0.,1.,0.)); #447171=DIRECTION('',(0.,0.,1.)); #447172=DIRECTION('center_axis',(0.,-1.,0.)); #447173=DIRECTION('ref_axis',(1.,0.,0.)); #447174=DIRECTION('',(1.,0.,0.)); #447175=DIRECTION('',(1.,0.,0.)); #447176=DIRECTION('',(0.,0.,1.)); #447177=DIRECTION('center_axis',(-1.,0.,0.)); #447178=DIRECTION('ref_axis',(0.,-1.,0.)); #447179=DIRECTION('',(0.,-1.,0.)); #447180=DIRECTION('',(0.,-1.,0.)); #447181=DIRECTION('',(0.,0.,1.)); #447182=DIRECTION('center_axis',(0.,1.,0.)); #447183=DIRECTION('ref_axis',(-1.,0.,0.)); #447184=DIRECTION('',(-1.,0.,0.)); #447185=DIRECTION('',(-1.,0.,0.)); #447186=DIRECTION('center_axis',(0.,0.,1.)); #447187=DIRECTION('ref_axis',(1.,0.,0.)); #447188=DIRECTION('center_axis',(0.,0.,1.)); #447189=DIRECTION('ref_axis',(1.,0.,0.)); #447190=DIRECTION('center_axis',(0.,0.,1.)); #447191=DIRECTION('ref_axis',(1.,0.,0.)); #447192=DIRECTION('center_axis',(0.,0.,1.)); #447193=DIRECTION('ref_axis',(1.,0.,0.)); #447194=DIRECTION('',(0.,0.,1.)); #447195=DIRECTION('center_axis',(0.,0.,-1.)); #447196=DIRECTION('ref_axis',(1.,0.,0.)); #447197=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #447198=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #447199=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #447200=DIRECTION('',(0.,0.,1.)); #447201=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #447202=DIRECTION('',(0.,0.,1.)); #447203=DIRECTION('center_axis',(0.,0.,1.)); #447204=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447205=DIRECTION('center_axis',(0.,0.,1.)); #447206=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447207=DIRECTION('center_axis',(0.,0.,1.)); #447208=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #447209=DIRECTION('',(0.,0.,1.)); #447210=DIRECTION('center_axis',(0.,0.,1.)); #447211=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447212=DIRECTION('center_axis',(0.,0.,1.)); #447213=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447214=DIRECTION('center_axis',(0.,0.,1.)); #447215=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #447216=DIRECTION('center_axis',(0.,0.,1.)); #447217=DIRECTION('ref_axis',(1.,0.,0.)); #447218=DIRECTION('center_axis',(0.,0.,1.)); #447219=DIRECTION('ref_axis',(1.,0.,0.)); #447220=DIRECTION('center_axis',(1.,0.,0.)); #447221=DIRECTION('ref_axis',(0.,1.,0.)); #447222=DIRECTION('',(0.,1.,0.)); #447223=DIRECTION('',(0.,0.,1.)); #447224=DIRECTION('',(0.,1.,0.)); #447225=DIRECTION('',(0.,0.,1.)); #447226=DIRECTION('center_axis',(0.,-1.,0.)); #447227=DIRECTION('ref_axis',(1.,0.,0.)); #447228=DIRECTION('',(1.,0.,0.)); #447229=DIRECTION('',(1.,0.,0.)); #447230=DIRECTION('',(0.,0.,1.)); #447231=DIRECTION('center_axis',(-1.,0.,0.)); #447232=DIRECTION('ref_axis',(0.,-1.,0.)); #447233=DIRECTION('',(0.,-1.,0.)); #447234=DIRECTION('',(0.,-1.,0.)); #447235=DIRECTION('',(0.,0.,1.)); #447236=DIRECTION('center_axis',(0.,1.,0.)); #447237=DIRECTION('ref_axis',(-1.,0.,0.)); #447238=DIRECTION('',(-1.,0.,0.)); #447239=DIRECTION('',(-1.,0.,0.)); #447240=DIRECTION('center_axis',(0.,0.,1.)); #447241=DIRECTION('ref_axis',(1.,0.,0.)); #447242=DIRECTION('center_axis',(0.,0.,1.)); #447243=DIRECTION('ref_axis',(1.,0.,0.)); #447244=DIRECTION('center_axis',(1.,0.,0.)); #447245=DIRECTION('ref_axis',(0.,1.,0.)); #447246=DIRECTION('',(0.,1.,0.)); #447247=DIRECTION('',(0.,0.,1.)); #447248=DIRECTION('',(0.,1.,0.)); #447249=DIRECTION('',(0.,0.,1.)); #447250=DIRECTION('center_axis',(0.,-1.,0.)); #447251=DIRECTION('ref_axis',(1.,0.,0.)); #447252=DIRECTION('',(1.,0.,0.)); #447253=DIRECTION('',(1.,0.,0.)); #447254=DIRECTION('',(0.,0.,1.)); #447255=DIRECTION('center_axis',(-1.,0.,0.)); #447256=DIRECTION('ref_axis',(0.,-1.,0.)); #447257=DIRECTION('',(0.,-1.,0.)); #447258=DIRECTION('',(0.,-1.,0.)); #447259=DIRECTION('',(0.,0.,1.)); #447260=DIRECTION('center_axis',(0.,1.,0.)); #447261=DIRECTION('ref_axis',(-1.,0.,0.)); #447262=DIRECTION('',(-1.,0.,0.)); #447263=DIRECTION('',(-1.,0.,0.)); #447264=DIRECTION('center_axis',(0.,0.,1.)); #447265=DIRECTION('ref_axis',(1.,0.,0.)); #447266=DIRECTION('center_axis',(0.,0.,1.)); #447267=DIRECTION('ref_axis',(1.,0.,0.)); #447268=DIRECTION('center_axis',(1.,0.,0.)); #447269=DIRECTION('ref_axis',(0.,1.,0.)); #447270=DIRECTION('',(0.,1.,0.)); #447271=DIRECTION('',(0.,0.,1.)); #447272=DIRECTION('',(0.,1.,0.)); #447273=DIRECTION('',(0.,0.,1.)); #447274=DIRECTION('center_axis',(0.,-1.,0.)); #447275=DIRECTION('ref_axis',(1.,0.,0.)); #447276=DIRECTION('',(1.,0.,0.)); #447277=DIRECTION('',(1.,0.,0.)); #447278=DIRECTION('',(0.,0.,1.)); #447279=DIRECTION('center_axis',(-1.,0.,0.)); #447280=DIRECTION('ref_axis',(0.,-1.,0.)); #447281=DIRECTION('',(0.,-1.,0.)); #447282=DIRECTION('',(0.,-1.,0.)); #447283=DIRECTION('',(0.,0.,1.)); #447284=DIRECTION('center_axis',(0.,1.,0.)); #447285=DIRECTION('ref_axis',(-1.,0.,0.)); #447286=DIRECTION('',(-1.,0.,0.)); #447287=DIRECTION('',(-1.,0.,0.)); #447288=DIRECTION('center_axis',(0.,0.,1.)); #447289=DIRECTION('ref_axis',(1.,0.,0.)); #447290=DIRECTION('center_axis',(0.,0.,1.)); #447291=DIRECTION('ref_axis',(1.,0.,0.)); #447292=DIRECTION('center_axis',(1.,0.,0.)); #447293=DIRECTION('ref_axis',(0.,1.,0.)); #447294=DIRECTION('',(0.,1.,0.)); #447295=DIRECTION('',(0.,0.,1.)); #447296=DIRECTION('',(0.,1.,0.)); #447297=DIRECTION('',(0.,0.,1.)); #447298=DIRECTION('center_axis',(0.,-1.,0.)); #447299=DIRECTION('ref_axis',(1.,0.,0.)); #447300=DIRECTION('',(1.,0.,0.)); #447301=DIRECTION('',(1.,0.,0.)); #447302=DIRECTION('',(0.,0.,1.)); #447303=DIRECTION('center_axis',(-1.,0.,0.)); #447304=DIRECTION('ref_axis',(0.,-1.,0.)); #447305=DIRECTION('',(0.,-1.,0.)); #447306=DIRECTION('',(0.,-1.,0.)); #447307=DIRECTION('',(0.,0.,1.)); #447308=DIRECTION('center_axis',(0.,1.,0.)); #447309=DIRECTION('ref_axis',(-1.,0.,0.)); #447310=DIRECTION('',(-1.,0.,0.)); #447311=DIRECTION('',(-1.,0.,0.)); #447312=DIRECTION('center_axis',(0.,0.,1.)); #447313=DIRECTION('ref_axis',(1.,0.,0.)); #447314=DIRECTION('center_axis',(0.,0.,1.)); #447315=DIRECTION('ref_axis',(1.,0.,0.)); #447316=DIRECTION('center_axis',(1.,0.,0.)); #447317=DIRECTION('ref_axis',(0.,1.,0.)); #447318=DIRECTION('',(0.,1.,0.)); #447319=DIRECTION('',(0.,0.,1.)); #447320=DIRECTION('',(0.,1.,0.)); #447321=DIRECTION('',(0.,0.,1.)); #447322=DIRECTION('center_axis',(0.,-1.,0.)); #447323=DIRECTION('ref_axis',(1.,0.,0.)); #447324=DIRECTION('',(1.,0.,0.)); #447325=DIRECTION('',(1.,0.,0.)); #447326=DIRECTION('',(0.,0.,1.)); #447327=DIRECTION('center_axis',(-1.,0.,0.)); #447328=DIRECTION('ref_axis',(0.,-1.,0.)); #447329=DIRECTION('',(0.,-1.,0.)); #447330=DIRECTION('',(0.,-1.,0.)); #447331=DIRECTION('',(0.,0.,1.)); #447332=DIRECTION('center_axis',(0.,1.,0.)); #447333=DIRECTION('ref_axis',(-1.,0.,0.)); #447334=DIRECTION('',(-1.,0.,0.)); #447335=DIRECTION('',(-1.,0.,0.)); #447336=DIRECTION('center_axis',(0.,0.,1.)); #447337=DIRECTION('ref_axis',(1.,0.,0.)); #447338=DIRECTION('center_axis',(0.,0.,1.)); #447339=DIRECTION('ref_axis',(1.,0.,0.)); #447340=DIRECTION('center_axis',(1.,0.,0.)); #447341=DIRECTION('ref_axis',(0.,1.,0.)); #447342=DIRECTION('',(0.,1.,0.)); #447343=DIRECTION('',(0.,0.,1.)); #447344=DIRECTION('',(0.,1.,0.)); #447345=DIRECTION('',(0.,0.,1.)); #447346=DIRECTION('center_axis',(0.,-1.,0.)); #447347=DIRECTION('ref_axis',(1.,0.,0.)); #447348=DIRECTION('',(1.,0.,0.)); #447349=DIRECTION('',(1.,0.,0.)); #447350=DIRECTION('',(0.,0.,1.)); #447351=DIRECTION('center_axis',(-1.,0.,0.)); #447352=DIRECTION('ref_axis',(0.,-1.,0.)); #447353=DIRECTION('',(0.,-1.,0.)); #447354=DIRECTION('',(0.,-1.,0.)); #447355=DIRECTION('',(0.,0.,1.)); #447356=DIRECTION('center_axis',(0.,1.,0.)); #447357=DIRECTION('ref_axis',(-1.,0.,0.)); #447358=DIRECTION('',(-1.,0.,0.)); #447359=DIRECTION('',(-1.,0.,0.)); #447360=DIRECTION('center_axis',(0.,0.,1.)); #447361=DIRECTION('ref_axis',(1.,0.,0.)); #447362=DIRECTION('center_axis',(0.,0.,1.)); #447363=DIRECTION('ref_axis',(1.,0.,0.)); #447364=DIRECTION('center_axis',(1.,0.,0.)); #447365=DIRECTION('ref_axis',(0.,1.,0.)); #447366=DIRECTION('',(0.,1.,0.)); #447367=DIRECTION('',(0.,0.,1.)); #447368=DIRECTION('',(0.,1.,0.)); #447369=DIRECTION('',(0.,0.,1.)); #447370=DIRECTION('center_axis',(0.,-1.,0.)); #447371=DIRECTION('ref_axis',(1.,0.,0.)); #447372=DIRECTION('',(1.,0.,0.)); #447373=DIRECTION('',(1.,0.,0.)); #447374=DIRECTION('',(0.,0.,1.)); #447375=DIRECTION('center_axis',(-1.,0.,0.)); #447376=DIRECTION('ref_axis',(0.,-1.,0.)); #447377=DIRECTION('',(0.,-1.,0.)); #447378=DIRECTION('',(0.,-1.,0.)); #447379=DIRECTION('',(0.,0.,1.)); #447380=DIRECTION('center_axis',(0.,1.,0.)); #447381=DIRECTION('ref_axis',(-1.,0.,0.)); #447382=DIRECTION('',(-1.,0.,0.)); #447383=DIRECTION('',(-1.,0.,0.)); #447384=DIRECTION('center_axis',(0.,0.,1.)); #447385=DIRECTION('ref_axis',(1.,0.,0.)); #447386=DIRECTION('center_axis',(0.,0.,1.)); #447387=DIRECTION('ref_axis',(1.,0.,0.)); #447388=DIRECTION('center_axis',(1.,0.,0.)); #447389=DIRECTION('ref_axis',(0.,1.,0.)); #447390=DIRECTION('',(0.,1.,0.)); #447391=DIRECTION('',(0.,0.,1.)); #447392=DIRECTION('',(0.,1.,0.)); #447393=DIRECTION('',(0.,0.,1.)); #447394=DIRECTION('center_axis',(0.,-1.,0.)); #447395=DIRECTION('ref_axis',(1.,0.,0.)); #447396=DIRECTION('',(1.,0.,0.)); #447397=DIRECTION('',(1.,0.,0.)); #447398=DIRECTION('',(0.,0.,1.)); #447399=DIRECTION('center_axis',(-1.,0.,0.)); #447400=DIRECTION('ref_axis',(0.,-1.,0.)); #447401=DIRECTION('',(0.,-1.,0.)); #447402=DIRECTION('',(0.,-1.,0.)); #447403=DIRECTION('',(0.,0.,1.)); #447404=DIRECTION('center_axis',(0.,1.,0.)); #447405=DIRECTION('ref_axis',(-1.,0.,0.)); #447406=DIRECTION('',(-1.,0.,0.)); #447407=DIRECTION('',(-1.,0.,0.)); #447408=DIRECTION('center_axis',(0.,0.,1.)); #447409=DIRECTION('ref_axis',(1.,0.,0.)); #447410=DIRECTION('center_axis',(0.,0.,1.)); #447411=DIRECTION('ref_axis',(1.,0.,0.)); #447412=DIRECTION('center_axis',(1.,0.,0.)); #447413=DIRECTION('ref_axis',(0.,1.,0.)); #447414=DIRECTION('',(0.,1.,0.)); #447415=DIRECTION('',(0.,0.,1.)); #447416=DIRECTION('',(0.,1.,0.)); #447417=DIRECTION('',(0.,0.,1.)); #447418=DIRECTION('center_axis',(0.,-1.,0.)); #447419=DIRECTION('ref_axis',(1.,0.,0.)); #447420=DIRECTION('',(1.,0.,0.)); #447421=DIRECTION('',(1.,0.,0.)); #447422=DIRECTION('',(0.,0.,1.)); #447423=DIRECTION('center_axis',(-1.,0.,0.)); #447424=DIRECTION('ref_axis',(0.,-1.,0.)); #447425=DIRECTION('',(0.,-1.,0.)); #447426=DIRECTION('',(0.,-1.,0.)); #447427=DIRECTION('',(0.,0.,1.)); #447428=DIRECTION('center_axis',(0.,1.,0.)); #447429=DIRECTION('ref_axis',(-1.,0.,0.)); #447430=DIRECTION('',(-1.,0.,0.)); #447431=DIRECTION('',(-1.,0.,0.)); #447432=DIRECTION('center_axis',(0.,0.,1.)); #447433=DIRECTION('ref_axis',(1.,0.,0.)); #447434=DIRECTION('center_axis',(0.,0.,1.)); #447435=DIRECTION('ref_axis',(1.,0.,0.)); #447436=DIRECTION('center_axis',(1.,0.,0.)); #447437=DIRECTION('ref_axis',(0.,1.,0.)); #447438=DIRECTION('',(0.,1.,0.)); #447439=DIRECTION('',(0.,0.,1.)); #447440=DIRECTION('',(0.,1.,0.)); #447441=DIRECTION('',(0.,0.,1.)); #447442=DIRECTION('center_axis',(0.,-1.,0.)); #447443=DIRECTION('ref_axis',(1.,0.,0.)); #447444=DIRECTION('',(1.,0.,0.)); #447445=DIRECTION('',(1.,0.,0.)); #447446=DIRECTION('',(0.,0.,1.)); #447447=DIRECTION('center_axis',(-1.,0.,0.)); #447448=DIRECTION('ref_axis',(0.,-1.,0.)); #447449=DIRECTION('',(0.,-1.,0.)); #447450=DIRECTION('',(0.,-1.,0.)); #447451=DIRECTION('',(0.,0.,1.)); #447452=DIRECTION('center_axis',(0.,1.,0.)); #447453=DIRECTION('ref_axis',(-1.,0.,0.)); #447454=DIRECTION('',(-1.,0.,0.)); #447455=DIRECTION('',(-1.,0.,0.)); #447456=DIRECTION('center_axis',(0.,0.,1.)); #447457=DIRECTION('ref_axis',(1.,0.,0.)); #447458=DIRECTION('center_axis',(0.,0.,1.)); #447459=DIRECTION('ref_axis',(1.,0.,0.)); #447460=DIRECTION('center_axis',(1.,0.,0.)); #447461=DIRECTION('ref_axis',(0.,1.,0.)); #447462=DIRECTION('',(0.,1.,0.)); #447463=DIRECTION('',(0.,0.,1.)); #447464=DIRECTION('',(0.,1.,0.)); #447465=DIRECTION('',(0.,0.,1.)); #447466=DIRECTION('center_axis',(0.,-1.,0.)); #447467=DIRECTION('ref_axis',(1.,0.,0.)); #447468=DIRECTION('',(1.,0.,0.)); #447469=DIRECTION('',(1.,0.,0.)); #447470=DIRECTION('',(0.,0.,1.)); #447471=DIRECTION('center_axis',(-1.,0.,0.)); #447472=DIRECTION('ref_axis',(0.,-1.,0.)); #447473=DIRECTION('',(0.,-1.,0.)); #447474=DIRECTION('',(0.,-1.,0.)); #447475=DIRECTION('',(0.,0.,1.)); #447476=DIRECTION('center_axis',(0.,1.,0.)); #447477=DIRECTION('ref_axis',(-1.,0.,0.)); #447478=DIRECTION('',(-1.,0.,0.)); #447479=DIRECTION('',(-1.,0.,0.)); #447480=DIRECTION('center_axis',(0.,0.,1.)); #447481=DIRECTION('ref_axis',(1.,0.,0.)); #447482=DIRECTION('center_axis',(0.,0.,1.)); #447483=DIRECTION('ref_axis',(1.,0.,0.)); #447484=DIRECTION('center_axis',(1.,0.,0.)); #447485=DIRECTION('ref_axis',(0.,1.,0.)); #447486=DIRECTION('',(0.,1.,0.)); #447487=DIRECTION('',(0.,0.,1.)); #447488=DIRECTION('',(0.,1.,0.)); #447489=DIRECTION('',(0.,0.,1.)); #447490=DIRECTION('center_axis',(0.,-1.,0.)); #447491=DIRECTION('ref_axis',(1.,0.,0.)); #447492=DIRECTION('',(1.,0.,0.)); #447493=DIRECTION('',(1.,0.,0.)); #447494=DIRECTION('',(0.,0.,1.)); #447495=DIRECTION('center_axis',(-1.,0.,0.)); #447496=DIRECTION('ref_axis',(0.,-1.,0.)); #447497=DIRECTION('',(0.,-1.,0.)); #447498=DIRECTION('',(0.,-1.,0.)); #447499=DIRECTION('',(0.,0.,1.)); #447500=DIRECTION('center_axis',(0.,1.,0.)); #447501=DIRECTION('ref_axis',(-1.,0.,0.)); #447502=DIRECTION('',(-1.,0.,0.)); #447503=DIRECTION('',(-1.,0.,0.)); #447504=DIRECTION('center_axis',(0.,0.,1.)); #447505=DIRECTION('ref_axis',(1.,0.,0.)); #447506=DIRECTION('center_axis',(0.,0.,1.)); #447507=DIRECTION('ref_axis',(1.,0.,0.)); #447508=DIRECTION('center_axis',(1.,0.,0.)); #447509=DIRECTION('ref_axis',(0.,1.,0.)); #447510=DIRECTION('',(0.,1.,0.)); #447511=DIRECTION('',(0.,0.,1.)); #447512=DIRECTION('',(0.,1.,0.)); #447513=DIRECTION('',(0.,0.,1.)); #447514=DIRECTION('center_axis',(0.,-1.,0.)); #447515=DIRECTION('ref_axis',(1.,0.,0.)); #447516=DIRECTION('',(1.,0.,0.)); #447517=DIRECTION('',(1.,0.,0.)); #447518=DIRECTION('',(0.,0.,1.)); #447519=DIRECTION('center_axis',(-1.,0.,0.)); #447520=DIRECTION('ref_axis',(0.,-1.,0.)); #447521=DIRECTION('',(0.,-1.,0.)); #447522=DIRECTION('',(0.,-1.,0.)); #447523=DIRECTION('',(0.,0.,1.)); #447524=DIRECTION('center_axis',(0.,1.,0.)); #447525=DIRECTION('ref_axis',(-1.,0.,0.)); #447526=DIRECTION('',(-1.,0.,0.)); #447527=DIRECTION('',(-1.,0.,0.)); #447528=DIRECTION('center_axis',(0.,0.,1.)); #447529=DIRECTION('ref_axis',(1.,0.,0.)); #447530=DIRECTION('center_axis',(0.,0.,1.)); #447531=DIRECTION('ref_axis',(1.,0.,0.)); #447532=DIRECTION('center_axis',(1.,0.,0.)); #447533=DIRECTION('ref_axis',(0.,1.,0.)); #447534=DIRECTION('',(0.,1.,0.)); #447535=DIRECTION('',(0.,0.,1.)); #447536=DIRECTION('',(0.,1.,0.)); #447537=DIRECTION('',(0.,0.,1.)); #447538=DIRECTION('center_axis',(0.,-1.,0.)); #447539=DIRECTION('ref_axis',(1.,0.,0.)); #447540=DIRECTION('',(1.,0.,0.)); #447541=DIRECTION('',(1.,0.,0.)); #447542=DIRECTION('',(0.,0.,1.)); #447543=DIRECTION('center_axis',(-1.,0.,0.)); #447544=DIRECTION('ref_axis',(0.,-1.,0.)); #447545=DIRECTION('',(0.,-1.,0.)); #447546=DIRECTION('',(0.,-1.,0.)); #447547=DIRECTION('',(0.,0.,1.)); #447548=DIRECTION('center_axis',(0.,1.,0.)); #447549=DIRECTION('ref_axis',(-1.,0.,0.)); #447550=DIRECTION('',(-1.,0.,0.)); #447551=DIRECTION('',(-1.,0.,0.)); #447552=DIRECTION('center_axis',(0.,0.,1.)); #447553=DIRECTION('ref_axis',(1.,0.,0.)); #447554=DIRECTION('center_axis',(0.,0.,1.)); #447555=DIRECTION('ref_axis',(1.,0.,0.)); #447556=DIRECTION('center_axis',(1.,0.,0.)); #447557=DIRECTION('ref_axis',(0.,1.,0.)); #447558=DIRECTION('',(0.,1.,0.)); #447559=DIRECTION('',(0.,0.,1.)); #447560=DIRECTION('',(0.,1.,0.)); #447561=DIRECTION('',(0.,0.,1.)); #447562=DIRECTION('center_axis',(0.,-1.,0.)); #447563=DIRECTION('ref_axis',(1.,0.,0.)); #447564=DIRECTION('',(1.,0.,0.)); #447565=DIRECTION('',(1.,0.,0.)); #447566=DIRECTION('',(0.,0.,1.)); #447567=DIRECTION('center_axis',(-1.,0.,0.)); #447568=DIRECTION('ref_axis',(0.,-1.,0.)); #447569=DIRECTION('',(0.,-1.,0.)); #447570=DIRECTION('',(0.,-1.,0.)); #447571=DIRECTION('',(0.,0.,1.)); #447572=DIRECTION('center_axis',(0.,1.,0.)); #447573=DIRECTION('ref_axis',(-1.,0.,0.)); #447574=DIRECTION('',(-1.,0.,0.)); #447575=DIRECTION('',(-1.,0.,0.)); #447576=DIRECTION('center_axis',(0.,0.,1.)); #447577=DIRECTION('ref_axis',(1.,0.,0.)); #447578=DIRECTION('center_axis',(0.,0.,1.)); #447579=DIRECTION('ref_axis',(1.,0.,0.)); #447580=DIRECTION('center_axis',(1.,0.,0.)); #447581=DIRECTION('ref_axis',(0.,1.,0.)); #447582=DIRECTION('',(0.,1.,0.)); #447583=DIRECTION('',(0.,0.,1.)); #447584=DIRECTION('',(0.,1.,0.)); #447585=DIRECTION('',(0.,0.,1.)); #447586=DIRECTION('center_axis',(0.,-1.,0.)); #447587=DIRECTION('ref_axis',(1.,0.,0.)); #447588=DIRECTION('',(1.,0.,0.)); #447589=DIRECTION('',(1.,0.,0.)); #447590=DIRECTION('',(0.,0.,1.)); #447591=DIRECTION('center_axis',(-1.,0.,0.)); #447592=DIRECTION('ref_axis',(0.,-1.,0.)); #447593=DIRECTION('',(0.,-1.,0.)); #447594=DIRECTION('',(0.,-1.,0.)); #447595=DIRECTION('',(0.,0.,1.)); #447596=DIRECTION('center_axis',(0.,1.,0.)); #447597=DIRECTION('ref_axis',(-1.,0.,0.)); #447598=DIRECTION('',(-1.,0.,0.)); #447599=DIRECTION('',(-1.,0.,0.)); #447600=DIRECTION('center_axis',(0.,0.,1.)); #447601=DIRECTION('ref_axis',(1.,0.,0.)); #447602=DIRECTION('center_axis',(0.,0.,1.)); #447603=DIRECTION('ref_axis',(1.,0.,0.)); #447604=DIRECTION('center_axis',(1.,0.,0.)); #447605=DIRECTION('ref_axis',(0.,1.,0.)); #447606=DIRECTION('',(0.,1.,0.)); #447607=DIRECTION('',(0.,0.,1.)); #447608=DIRECTION('',(0.,1.,0.)); #447609=DIRECTION('',(0.,0.,1.)); #447610=DIRECTION('center_axis',(0.,-1.,0.)); #447611=DIRECTION('ref_axis',(1.,0.,0.)); #447612=DIRECTION('',(1.,0.,0.)); #447613=DIRECTION('',(1.,0.,0.)); #447614=DIRECTION('',(0.,0.,1.)); #447615=DIRECTION('center_axis',(-1.,0.,0.)); #447616=DIRECTION('ref_axis',(0.,-1.,0.)); #447617=DIRECTION('',(0.,-1.,0.)); #447618=DIRECTION('',(0.,-1.,0.)); #447619=DIRECTION('',(0.,0.,1.)); #447620=DIRECTION('center_axis',(0.,1.,0.)); #447621=DIRECTION('ref_axis',(-1.,0.,0.)); #447622=DIRECTION('',(-1.,0.,0.)); #447623=DIRECTION('',(-1.,0.,0.)); #447624=DIRECTION('center_axis',(0.,0.,1.)); #447625=DIRECTION('ref_axis',(1.,0.,0.)); #447626=DIRECTION('center_axis',(0.,0.,1.)); #447627=DIRECTION('ref_axis',(1.,0.,0.)); #447628=DIRECTION('center_axis',(1.,0.,0.)); #447629=DIRECTION('ref_axis',(0.,1.,0.)); #447630=DIRECTION('',(0.,1.,0.)); #447631=DIRECTION('',(0.,0.,1.)); #447632=DIRECTION('',(0.,1.,0.)); #447633=DIRECTION('',(0.,0.,1.)); #447634=DIRECTION('center_axis',(0.,-1.,0.)); #447635=DIRECTION('ref_axis',(1.,0.,0.)); #447636=DIRECTION('',(1.,0.,0.)); #447637=DIRECTION('',(1.,0.,0.)); #447638=DIRECTION('',(0.,0.,1.)); #447639=DIRECTION('center_axis',(-1.,0.,0.)); #447640=DIRECTION('ref_axis',(0.,-1.,0.)); #447641=DIRECTION('',(0.,-1.,0.)); #447642=DIRECTION('',(0.,-1.,0.)); #447643=DIRECTION('',(0.,0.,1.)); #447644=DIRECTION('center_axis',(0.,1.,0.)); #447645=DIRECTION('ref_axis',(-1.,0.,0.)); #447646=DIRECTION('',(-1.,0.,0.)); #447647=DIRECTION('',(-1.,0.,0.)); #447648=DIRECTION('center_axis',(0.,0.,1.)); #447649=DIRECTION('ref_axis',(1.,0.,0.)); #447650=DIRECTION('center_axis',(0.,0.,1.)); #447651=DIRECTION('ref_axis',(1.,0.,0.)); #447652=DIRECTION('center_axis',(1.,0.,0.)); #447653=DIRECTION('ref_axis',(0.,1.,0.)); #447654=DIRECTION('',(0.,1.,0.)); #447655=DIRECTION('',(0.,0.,1.)); #447656=DIRECTION('',(0.,1.,0.)); #447657=DIRECTION('',(0.,0.,1.)); #447658=DIRECTION('center_axis',(0.,-1.,0.)); #447659=DIRECTION('ref_axis',(1.,0.,0.)); #447660=DIRECTION('',(1.,0.,0.)); #447661=DIRECTION('',(1.,0.,0.)); #447662=DIRECTION('',(0.,0.,1.)); #447663=DIRECTION('center_axis',(-1.,0.,0.)); #447664=DIRECTION('ref_axis',(0.,-1.,0.)); #447665=DIRECTION('',(0.,-1.,0.)); #447666=DIRECTION('',(0.,-1.,0.)); #447667=DIRECTION('',(0.,0.,1.)); #447668=DIRECTION('center_axis',(0.,1.,0.)); #447669=DIRECTION('ref_axis',(-1.,0.,0.)); #447670=DIRECTION('',(-1.,0.,0.)); #447671=DIRECTION('',(-1.,0.,0.)); #447672=DIRECTION('center_axis',(0.,0.,1.)); #447673=DIRECTION('ref_axis',(1.,0.,0.)); #447674=DIRECTION('center_axis',(0.,0.,1.)); #447675=DIRECTION('ref_axis',(1.,0.,0.)); #447676=DIRECTION('center_axis',(1.,0.,0.)); #447677=DIRECTION('ref_axis',(0.,1.,0.)); #447678=DIRECTION('',(0.,1.,0.)); #447679=DIRECTION('',(0.,0.,1.)); #447680=DIRECTION('',(0.,1.,0.)); #447681=DIRECTION('',(0.,0.,1.)); #447682=DIRECTION('center_axis',(0.,-1.,0.)); #447683=DIRECTION('ref_axis',(1.,0.,0.)); #447684=DIRECTION('',(1.,0.,0.)); #447685=DIRECTION('',(1.,0.,0.)); #447686=DIRECTION('',(0.,0.,1.)); #447687=DIRECTION('center_axis',(-1.,0.,0.)); #447688=DIRECTION('ref_axis',(0.,-1.,0.)); #447689=DIRECTION('',(0.,-1.,0.)); #447690=DIRECTION('',(0.,-1.,0.)); #447691=DIRECTION('',(0.,0.,1.)); #447692=DIRECTION('center_axis',(0.,1.,0.)); #447693=DIRECTION('ref_axis',(-1.,0.,0.)); #447694=DIRECTION('',(-1.,0.,0.)); #447695=DIRECTION('',(-1.,0.,0.)); #447696=DIRECTION('center_axis',(0.,0.,1.)); #447697=DIRECTION('ref_axis',(1.,0.,0.)); #447698=DIRECTION('center_axis',(0.,0.,1.)); #447699=DIRECTION('ref_axis',(1.,0.,0.)); #447700=DIRECTION('center_axis',(1.,0.,0.)); #447701=DIRECTION('ref_axis',(0.,1.,0.)); #447702=DIRECTION('',(0.,1.,0.)); #447703=DIRECTION('',(0.,0.,1.)); #447704=DIRECTION('',(0.,1.,0.)); #447705=DIRECTION('',(0.,0.,1.)); #447706=DIRECTION('center_axis',(0.,-1.,0.)); #447707=DIRECTION('ref_axis',(1.,0.,0.)); #447708=DIRECTION('',(1.,0.,0.)); #447709=DIRECTION('',(1.,0.,0.)); #447710=DIRECTION('',(0.,0.,1.)); #447711=DIRECTION('center_axis',(-1.,0.,0.)); #447712=DIRECTION('ref_axis',(0.,-1.,0.)); #447713=DIRECTION('',(0.,-1.,0.)); #447714=DIRECTION('',(0.,-1.,0.)); #447715=DIRECTION('',(0.,0.,1.)); #447716=DIRECTION('center_axis',(0.,1.,0.)); #447717=DIRECTION('ref_axis',(-1.,0.,0.)); #447718=DIRECTION('',(-1.,0.,0.)); #447719=DIRECTION('',(-1.,0.,0.)); #447720=DIRECTION('center_axis',(0.,0.,1.)); #447721=DIRECTION('ref_axis',(1.,0.,0.)); #447722=DIRECTION('center_axis',(0.,0.,1.)); #447723=DIRECTION('ref_axis',(1.,0.,0.)); #447724=DIRECTION('center_axis',(1.,0.,0.)); #447725=DIRECTION('ref_axis',(0.,1.,0.)); #447726=DIRECTION('',(0.,1.,0.)); #447727=DIRECTION('',(0.,0.,1.)); #447728=DIRECTION('',(0.,1.,0.)); #447729=DIRECTION('',(0.,0.,1.)); #447730=DIRECTION('center_axis',(0.,-1.,0.)); #447731=DIRECTION('ref_axis',(1.,0.,0.)); #447732=DIRECTION('',(1.,0.,0.)); #447733=DIRECTION('',(1.,0.,0.)); #447734=DIRECTION('',(0.,0.,1.)); #447735=DIRECTION('center_axis',(-1.,0.,0.)); #447736=DIRECTION('ref_axis',(0.,-1.,0.)); #447737=DIRECTION('',(0.,-1.,0.)); #447738=DIRECTION('',(0.,-1.,0.)); #447739=DIRECTION('',(0.,0.,1.)); #447740=DIRECTION('center_axis',(0.,1.,0.)); #447741=DIRECTION('ref_axis',(-1.,0.,0.)); #447742=DIRECTION('',(-1.,0.,0.)); #447743=DIRECTION('',(-1.,0.,0.)); #447744=DIRECTION('center_axis',(0.,0.,1.)); #447745=DIRECTION('ref_axis',(1.,0.,0.)); #447746=DIRECTION('center_axis',(0.,0.,1.)); #447747=DIRECTION('ref_axis',(1.,0.,0.)); #447748=DIRECTION('center_axis',(1.,0.,0.)); #447749=DIRECTION('ref_axis',(0.,1.,0.)); #447750=DIRECTION('',(0.,1.,0.)); #447751=DIRECTION('',(0.,0.,1.)); #447752=DIRECTION('',(0.,1.,0.)); #447753=DIRECTION('',(0.,0.,1.)); #447754=DIRECTION('center_axis',(0.,-1.,0.)); #447755=DIRECTION('ref_axis',(1.,0.,0.)); #447756=DIRECTION('',(1.,0.,0.)); #447757=DIRECTION('',(1.,0.,0.)); #447758=DIRECTION('',(0.,0.,1.)); #447759=DIRECTION('center_axis',(-1.,0.,0.)); #447760=DIRECTION('ref_axis',(0.,-1.,0.)); #447761=DIRECTION('',(0.,-1.,0.)); #447762=DIRECTION('',(0.,-1.,0.)); #447763=DIRECTION('',(0.,0.,1.)); #447764=DIRECTION('center_axis',(0.,1.,0.)); #447765=DIRECTION('ref_axis',(-1.,0.,0.)); #447766=DIRECTION('',(-1.,0.,0.)); #447767=DIRECTION('',(-1.,0.,0.)); #447768=DIRECTION('center_axis',(0.,0.,1.)); #447769=DIRECTION('ref_axis',(1.,0.,0.)); #447770=DIRECTION('center_axis',(0.,0.,1.)); #447771=DIRECTION('ref_axis',(1.,0.,0.)); #447772=DIRECTION('center_axis',(0.,0.,1.)); #447773=DIRECTION('ref_axis',(1.,0.,0.)); #447774=DIRECTION('center_axis',(0.,0.,1.)); #447775=DIRECTION('ref_axis',(1.,0.,0.)); #447776=DIRECTION('',(0.,0.,1.)); #447777=DIRECTION('center_axis',(0.,0.,-1.)); #447778=DIRECTION('ref_axis',(1.,0.,0.)); #447779=DIRECTION('center_axis',(0.,0.,1.)); #447780=DIRECTION('ref_axis',(1.,0.,0.)); #447781=DIRECTION('center_axis',(0.,0.,1.)); #447782=DIRECTION('ref_axis',(1.,0.,0.)); #447783=DIRECTION('',(0.,0.,1.)); #447784=DIRECTION('center_axis',(0.,0.,-1.)); #447785=DIRECTION('ref_axis',(1.,0.,0.)); #447786=DIRECTION('center_axis',(-1.,0.,0.)); #447787=DIRECTION('ref_axis',(0.,-1.,0.)); #447788=DIRECTION('',(0.,-1.,0.)); #447789=DIRECTION('',(0.,0.,1.)); #447790=DIRECTION('',(0.,-1.,0.)); #447791=DIRECTION('',(0.,0.,1.)); #447792=DIRECTION('center_axis',(0.,1.,0.)); #447793=DIRECTION('ref_axis',(-1.,0.,0.)); #447794=DIRECTION('',(-1.,0.,0.)); #447795=DIRECTION('',(-1.,0.,0.)); #447796=DIRECTION('',(0.,0.,1.)); #447797=DIRECTION('center_axis',(1.,0.,0.)); #447798=DIRECTION('ref_axis',(0.,1.,0.)); #447799=DIRECTION('',(0.,1.,0.)); #447800=DIRECTION('',(0.,1.,0.)); #447801=DIRECTION('',(0.,0.,1.)); #447802=DIRECTION('center_axis',(0.,-1.,0.)); #447803=DIRECTION('ref_axis',(1.,0.,0.)); #447804=DIRECTION('',(1.,0.,0.)); #447805=DIRECTION('',(1.,0.,0.)); #447806=DIRECTION('center_axis',(0.,0.,1.)); #447807=DIRECTION('ref_axis',(1.,0.,0.)); #447808=DIRECTION('center_axis',(0.,0.,1.)); #447809=DIRECTION('ref_axis',(1.,0.,0.)); #447810=DIRECTION('center_axis',(1.,0.,0.)); #447811=DIRECTION('ref_axis',(0.,1.,0.)); #447812=DIRECTION('',(0.,1.,0.)); #447813=DIRECTION('',(0.,0.,1.)); #447814=DIRECTION('',(0.,1.,0.)); #447815=DIRECTION('',(0.,0.,1.)); #447816=DIRECTION('center_axis',(0.,-1.,0.)); #447817=DIRECTION('ref_axis',(1.,0.,0.)); #447818=DIRECTION('',(1.,0.,0.)); #447819=DIRECTION('',(1.,0.,0.)); #447820=DIRECTION('',(0.,0.,1.)); #447821=DIRECTION('center_axis',(-1.,0.,0.)); #447822=DIRECTION('ref_axis',(0.,-1.,0.)); #447823=DIRECTION('',(0.,-1.,0.)); #447824=DIRECTION('',(0.,-1.,0.)); #447825=DIRECTION('',(0.,0.,1.)); #447826=DIRECTION('center_axis',(0.,1.,0.)); #447827=DIRECTION('ref_axis',(-1.,0.,0.)); #447828=DIRECTION('',(-1.,0.,0.)); #447829=DIRECTION('',(-1.,0.,0.)); #447830=DIRECTION('center_axis',(0.,0.,1.)); #447831=DIRECTION('ref_axis',(1.,0.,0.)); #447832=DIRECTION('center_axis',(0.,0.,1.)); #447833=DIRECTION('ref_axis',(1.,0.,0.)); #447834=DIRECTION('center_axis',(0.,0.,1.)); #447835=DIRECTION('ref_axis',(1.,0.,0.)); #447836=DIRECTION('center_axis',(0.,0.,1.)); #447837=DIRECTION('ref_axis',(1.,0.,0.)); #447838=DIRECTION('',(0.,0.,1.)); #447839=DIRECTION('center_axis',(0.,0.,-1.)); #447840=DIRECTION('ref_axis',(1.,0.,0.)); #447841=DIRECTION('center_axis',(0.,0.,1.)); #447842=DIRECTION('ref_axis',(1.,0.,0.)); #447843=DIRECTION('center_axis',(0.,0.,1.)); #447844=DIRECTION('ref_axis',(1.,0.,0.)); #447845=DIRECTION('',(0.,0.,1.)); #447846=DIRECTION('center_axis',(0.,0.,-1.)); #447847=DIRECTION('ref_axis',(1.,0.,0.)); #447848=DIRECTION('center_axis',(-1.,0.,0.)); #447849=DIRECTION('ref_axis',(0.,-1.,0.)); #447850=DIRECTION('',(0.,-1.,0.)); #447851=DIRECTION('',(0.,0.,1.)); #447852=DIRECTION('',(0.,-1.,0.)); #447853=DIRECTION('',(0.,0.,1.)); #447854=DIRECTION('center_axis',(0.,1.,0.)); #447855=DIRECTION('ref_axis',(-1.,0.,0.)); #447856=DIRECTION('',(-1.,0.,0.)); #447857=DIRECTION('',(-1.,0.,0.)); #447858=DIRECTION('',(0.,0.,1.)); #447859=DIRECTION('center_axis',(1.,0.,0.)); #447860=DIRECTION('ref_axis',(0.,1.,0.)); #447861=DIRECTION('',(0.,1.,0.)); #447862=DIRECTION('',(0.,1.,0.)); #447863=DIRECTION('',(0.,0.,1.)); #447864=DIRECTION('center_axis',(0.,-1.,0.)); #447865=DIRECTION('ref_axis',(1.,0.,0.)); #447866=DIRECTION('',(1.,0.,0.)); #447867=DIRECTION('',(1.,0.,0.)); #447868=DIRECTION('center_axis',(0.,0.,1.)); #447869=DIRECTION('ref_axis',(1.,0.,0.)); #447870=DIRECTION('center_axis',(0.,0.,1.)); #447871=DIRECTION('ref_axis',(1.,0.,0.)); #447872=DIRECTION('center_axis',(1.,0.,0.)); #447873=DIRECTION('ref_axis',(0.,1.,0.)); #447874=DIRECTION('',(0.,1.,0.)); #447875=DIRECTION('',(0.,0.,1.)); #447876=DIRECTION('',(0.,1.,0.)); #447877=DIRECTION('',(0.,0.,1.)); #447878=DIRECTION('center_axis',(0.,-1.,0.)); #447879=DIRECTION('ref_axis',(1.,0.,0.)); #447880=DIRECTION('',(1.,0.,0.)); #447881=DIRECTION('',(1.,0.,0.)); #447882=DIRECTION('',(0.,0.,1.)); #447883=DIRECTION('center_axis',(-1.,0.,0.)); #447884=DIRECTION('ref_axis',(0.,-1.,0.)); #447885=DIRECTION('',(0.,-1.,0.)); #447886=DIRECTION('',(0.,-1.,0.)); #447887=DIRECTION('',(0.,0.,1.)); #447888=DIRECTION('center_axis',(0.,1.,0.)); #447889=DIRECTION('ref_axis',(-1.,0.,0.)); #447890=DIRECTION('',(-1.,0.,0.)); #447891=DIRECTION('',(-1.,0.,0.)); #447892=DIRECTION('center_axis',(0.,0.,1.)); #447893=DIRECTION('ref_axis',(1.,0.,0.)); #447894=DIRECTION('center_axis',(0.,0.,1.)); #447895=DIRECTION('ref_axis',(1.,0.,0.)); #447896=DIRECTION('center_axis',(1.,0.,0.)); #447897=DIRECTION('ref_axis',(0.,1.,0.)); #447898=DIRECTION('',(0.,1.,0.)); #447899=DIRECTION('',(0.,0.,1.)); #447900=DIRECTION('',(0.,1.,0.)); #447901=DIRECTION('',(0.,0.,1.)); #447902=DIRECTION('center_axis',(0.,-1.,0.)); #447903=DIRECTION('ref_axis',(1.,0.,0.)); #447904=DIRECTION('',(1.,0.,0.)); #447905=DIRECTION('',(1.,0.,0.)); #447906=DIRECTION('',(0.,0.,1.)); #447907=DIRECTION('center_axis',(-1.,0.,0.)); #447908=DIRECTION('ref_axis',(0.,-1.,0.)); #447909=DIRECTION('',(0.,-1.,0.)); #447910=DIRECTION('',(0.,-1.,0.)); #447911=DIRECTION('',(0.,0.,1.)); #447912=DIRECTION('center_axis',(0.,1.,0.)); #447913=DIRECTION('ref_axis',(-1.,0.,0.)); #447914=DIRECTION('',(-1.,0.,0.)); #447915=DIRECTION('',(-1.,0.,0.)); #447916=DIRECTION('center_axis',(0.,0.,1.)); #447917=DIRECTION('ref_axis',(1.,0.,0.)); #447918=DIRECTION('center_axis',(0.,0.,1.)); #447919=DIRECTION('ref_axis',(1.,0.,0.)); #447920=DIRECTION('center_axis',(1.,0.,0.)); #447921=DIRECTION('ref_axis',(0.,1.,0.)); #447922=DIRECTION('',(0.,1.,0.)); #447923=DIRECTION('',(0.,0.,1.)); #447924=DIRECTION('',(0.,1.,0.)); #447925=DIRECTION('',(0.,0.,1.)); #447926=DIRECTION('center_axis',(0.,-1.,0.)); #447927=DIRECTION('ref_axis',(1.,0.,0.)); #447928=DIRECTION('',(1.,0.,0.)); #447929=DIRECTION('',(1.,0.,0.)); #447930=DIRECTION('',(0.,0.,1.)); #447931=DIRECTION('center_axis',(-1.,0.,0.)); #447932=DIRECTION('ref_axis',(0.,-1.,0.)); #447933=DIRECTION('',(0.,-1.,0.)); #447934=DIRECTION('',(0.,-1.,0.)); #447935=DIRECTION('',(0.,0.,1.)); #447936=DIRECTION('center_axis',(0.,1.,0.)); #447937=DIRECTION('ref_axis',(-1.,0.,0.)); #447938=DIRECTION('',(-1.,0.,0.)); #447939=DIRECTION('',(-1.,0.,0.)); #447940=DIRECTION('center_axis',(0.,0.,1.)); #447941=DIRECTION('ref_axis',(1.,0.,0.)); #447942=DIRECTION('center_axis',(0.,0.,1.)); #447943=DIRECTION('ref_axis',(1.,0.,0.)); #447944=DIRECTION('center_axis',(1.,0.,0.)); #447945=DIRECTION('ref_axis',(0.,1.,0.)); #447946=DIRECTION('',(0.,1.,0.)); #447947=DIRECTION('',(0.,0.,1.)); #447948=DIRECTION('',(0.,1.,0.)); #447949=DIRECTION('',(0.,0.,1.)); #447950=DIRECTION('center_axis',(0.,-1.,0.)); #447951=DIRECTION('ref_axis',(1.,0.,0.)); #447952=DIRECTION('',(1.,0.,0.)); #447953=DIRECTION('',(1.,0.,0.)); #447954=DIRECTION('',(0.,0.,1.)); #447955=DIRECTION('center_axis',(-1.,0.,0.)); #447956=DIRECTION('ref_axis',(0.,-1.,0.)); #447957=DIRECTION('',(0.,-1.,0.)); #447958=DIRECTION('',(0.,-1.,0.)); #447959=DIRECTION('',(0.,0.,1.)); #447960=DIRECTION('center_axis',(0.,1.,0.)); #447961=DIRECTION('ref_axis',(-1.,0.,0.)); #447962=DIRECTION('',(-1.,0.,0.)); #447963=DIRECTION('',(-1.,0.,0.)); #447964=DIRECTION('center_axis',(0.,0.,1.)); #447965=DIRECTION('ref_axis',(1.,0.,0.)); #447966=DIRECTION('center_axis',(0.,0.,1.)); #447967=DIRECTION('ref_axis',(1.,0.,0.)); #447968=DIRECTION('center_axis',(1.,0.,0.)); #447969=DIRECTION('ref_axis',(0.,1.,0.)); #447970=DIRECTION('',(0.,1.,0.)); #447971=DIRECTION('',(0.,0.,1.)); #447972=DIRECTION('',(0.,1.,0.)); #447973=DIRECTION('',(0.,0.,1.)); #447974=DIRECTION('center_axis',(0.,-1.,0.)); #447975=DIRECTION('ref_axis',(1.,0.,0.)); #447976=DIRECTION('',(1.,0.,0.)); #447977=DIRECTION('',(1.,0.,0.)); #447978=DIRECTION('',(0.,0.,1.)); #447979=DIRECTION('center_axis',(-1.,0.,0.)); #447980=DIRECTION('ref_axis',(0.,-1.,0.)); #447981=DIRECTION('',(0.,-1.,0.)); #447982=DIRECTION('',(0.,-1.,0.)); #447983=DIRECTION('',(0.,0.,1.)); #447984=DIRECTION('center_axis',(0.,1.,0.)); #447985=DIRECTION('ref_axis',(-1.,0.,0.)); #447986=DIRECTION('',(-1.,0.,0.)); #447987=DIRECTION('',(-1.,0.,0.)); #447988=DIRECTION('center_axis',(0.,0.,1.)); #447989=DIRECTION('ref_axis',(1.,0.,0.)); #447990=DIRECTION('center_axis',(0.,0.,1.)); #447991=DIRECTION('ref_axis',(1.,0.,0.)); #447992=DIRECTION('center_axis',(1.,0.,0.)); #447993=DIRECTION('ref_axis',(0.,1.,0.)); #447994=DIRECTION('',(0.,1.,0.)); #447995=DIRECTION('',(0.,0.,1.)); #447996=DIRECTION('',(0.,1.,0.)); #447997=DIRECTION('',(0.,0.,1.)); #447998=DIRECTION('center_axis',(0.,-1.,0.)); #447999=DIRECTION('ref_axis',(1.,0.,0.)); #448000=DIRECTION('',(1.,0.,0.)); #448001=DIRECTION('',(1.,0.,0.)); #448002=DIRECTION('',(0.,0.,1.)); #448003=DIRECTION('center_axis',(-1.,0.,0.)); #448004=DIRECTION('ref_axis',(0.,-1.,0.)); #448005=DIRECTION('',(0.,-1.,0.)); #448006=DIRECTION('',(0.,-1.,0.)); #448007=DIRECTION('',(0.,0.,1.)); #448008=DIRECTION('center_axis',(0.,1.,0.)); #448009=DIRECTION('ref_axis',(-1.,0.,0.)); #448010=DIRECTION('',(-1.,0.,0.)); #448011=DIRECTION('',(-1.,0.,0.)); #448012=DIRECTION('center_axis',(0.,0.,1.)); #448013=DIRECTION('ref_axis',(1.,0.,0.)); #448014=DIRECTION('center_axis',(0.,0.,1.)); #448015=DIRECTION('ref_axis',(1.,0.,0.)); #448016=DIRECTION('center_axis',(1.,0.,0.)); #448017=DIRECTION('ref_axis',(0.,1.,0.)); #448018=DIRECTION('',(0.,1.,0.)); #448019=DIRECTION('',(0.,0.,1.)); #448020=DIRECTION('',(0.,1.,0.)); #448021=DIRECTION('',(0.,0.,1.)); #448022=DIRECTION('center_axis',(0.,-1.,0.)); #448023=DIRECTION('ref_axis',(1.,0.,0.)); #448024=DIRECTION('',(1.,0.,0.)); #448025=DIRECTION('',(1.,0.,0.)); #448026=DIRECTION('',(0.,0.,1.)); #448027=DIRECTION('center_axis',(-1.,0.,0.)); #448028=DIRECTION('ref_axis',(0.,-1.,0.)); #448029=DIRECTION('',(0.,-1.,0.)); #448030=DIRECTION('',(0.,-1.,0.)); #448031=DIRECTION('',(0.,0.,1.)); #448032=DIRECTION('center_axis',(0.,1.,0.)); #448033=DIRECTION('ref_axis',(-1.,0.,0.)); #448034=DIRECTION('',(-1.,0.,0.)); #448035=DIRECTION('',(-1.,0.,0.)); #448036=DIRECTION('center_axis',(0.,0.,1.)); #448037=DIRECTION('ref_axis',(1.,0.,0.)); #448038=DIRECTION('center_axis',(0.,0.,1.)); #448039=DIRECTION('ref_axis',(1.,0.,0.)); #448040=DIRECTION('center_axis',(1.,0.,0.)); #448041=DIRECTION('ref_axis',(0.,1.,0.)); #448042=DIRECTION('',(0.,1.,0.)); #448043=DIRECTION('',(0.,0.,1.)); #448044=DIRECTION('',(0.,1.,0.)); #448045=DIRECTION('',(0.,0.,1.)); #448046=DIRECTION('center_axis',(0.,-1.,0.)); #448047=DIRECTION('ref_axis',(1.,0.,0.)); #448048=DIRECTION('',(1.,0.,0.)); #448049=DIRECTION('',(1.,0.,0.)); #448050=DIRECTION('',(0.,0.,1.)); #448051=DIRECTION('center_axis',(-1.,0.,0.)); #448052=DIRECTION('ref_axis',(0.,-1.,0.)); #448053=DIRECTION('',(0.,-1.,0.)); #448054=DIRECTION('',(0.,-1.,0.)); #448055=DIRECTION('',(0.,0.,1.)); #448056=DIRECTION('center_axis',(0.,1.,0.)); #448057=DIRECTION('ref_axis',(-1.,0.,0.)); #448058=DIRECTION('',(-1.,0.,0.)); #448059=DIRECTION('',(-1.,0.,0.)); #448060=DIRECTION('center_axis',(0.,0.,1.)); #448061=DIRECTION('ref_axis',(1.,0.,0.)); #448062=DIRECTION('center_axis',(0.,0.,1.)); #448063=DIRECTION('ref_axis',(1.,0.,0.)); #448064=DIRECTION('center_axis',(1.,0.,0.)); #448065=DIRECTION('ref_axis',(0.,1.,0.)); #448066=DIRECTION('',(0.,1.,0.)); #448067=DIRECTION('',(0.,0.,1.)); #448068=DIRECTION('',(0.,1.,0.)); #448069=DIRECTION('',(0.,0.,1.)); #448070=DIRECTION('center_axis',(0.,-1.,0.)); #448071=DIRECTION('ref_axis',(1.,0.,0.)); #448072=DIRECTION('',(1.,0.,0.)); #448073=DIRECTION('',(1.,0.,0.)); #448074=DIRECTION('',(0.,0.,1.)); #448075=DIRECTION('center_axis',(-1.,0.,0.)); #448076=DIRECTION('ref_axis',(0.,-1.,0.)); #448077=DIRECTION('',(0.,-1.,0.)); #448078=DIRECTION('',(0.,-1.,0.)); #448079=DIRECTION('',(0.,0.,1.)); #448080=DIRECTION('center_axis',(0.,1.,0.)); #448081=DIRECTION('ref_axis',(-1.,0.,0.)); #448082=DIRECTION('',(-1.,0.,0.)); #448083=DIRECTION('',(-1.,0.,0.)); #448084=DIRECTION('center_axis',(0.,0.,1.)); #448085=DIRECTION('ref_axis',(1.,0.,0.)); #448086=DIRECTION('center_axis',(0.,0.,1.)); #448087=DIRECTION('ref_axis',(1.,0.,0.)); #448088=DIRECTION('center_axis',(1.,0.,0.)); #448089=DIRECTION('ref_axis',(0.,1.,0.)); #448090=DIRECTION('',(0.,1.,0.)); #448091=DIRECTION('',(0.,0.,1.)); #448092=DIRECTION('',(0.,1.,0.)); #448093=DIRECTION('',(0.,0.,1.)); #448094=DIRECTION('center_axis',(0.,-1.,0.)); #448095=DIRECTION('ref_axis',(1.,0.,0.)); #448096=DIRECTION('',(1.,0.,0.)); #448097=DIRECTION('',(1.,0.,0.)); #448098=DIRECTION('',(0.,0.,1.)); #448099=DIRECTION('center_axis',(-1.,0.,0.)); #448100=DIRECTION('ref_axis',(0.,-1.,0.)); #448101=DIRECTION('',(0.,-1.,0.)); #448102=DIRECTION('',(0.,-1.,0.)); #448103=DIRECTION('',(0.,0.,1.)); #448104=DIRECTION('center_axis',(0.,1.,0.)); #448105=DIRECTION('ref_axis',(-1.,0.,0.)); #448106=DIRECTION('',(-1.,0.,0.)); #448107=DIRECTION('',(-1.,0.,0.)); #448108=DIRECTION('center_axis',(0.,0.,1.)); #448109=DIRECTION('ref_axis',(1.,0.,0.)); #448110=DIRECTION('center_axis',(0.,0.,1.)); #448111=DIRECTION('ref_axis',(1.,0.,0.)); #448112=DIRECTION('center_axis',(1.,0.,0.)); #448113=DIRECTION('ref_axis',(0.,1.,0.)); #448114=DIRECTION('',(0.,1.,0.)); #448115=DIRECTION('',(0.,0.,1.)); #448116=DIRECTION('',(0.,1.,0.)); #448117=DIRECTION('',(0.,0.,1.)); #448118=DIRECTION('center_axis',(0.,-1.,0.)); #448119=DIRECTION('ref_axis',(1.,0.,0.)); #448120=DIRECTION('',(1.,0.,0.)); #448121=DIRECTION('',(1.,0.,0.)); #448122=DIRECTION('',(0.,0.,1.)); #448123=DIRECTION('center_axis',(-1.,0.,0.)); #448124=DIRECTION('ref_axis',(0.,-1.,0.)); #448125=DIRECTION('',(0.,-1.,0.)); #448126=DIRECTION('',(0.,-1.,0.)); #448127=DIRECTION('',(0.,0.,1.)); #448128=DIRECTION('center_axis',(0.,1.,0.)); #448129=DIRECTION('ref_axis',(-1.,0.,0.)); #448130=DIRECTION('',(-1.,0.,0.)); #448131=DIRECTION('',(-1.,0.,0.)); #448132=DIRECTION('center_axis',(0.,0.,1.)); #448133=DIRECTION('ref_axis',(1.,0.,0.)); #448134=DIRECTION('center_axis',(0.,0.,1.)); #448135=DIRECTION('ref_axis',(1.,0.,0.)); #448136=DIRECTION('center_axis',(1.,0.,0.)); #448137=DIRECTION('ref_axis',(0.,1.,0.)); #448138=DIRECTION('',(0.,1.,0.)); #448139=DIRECTION('',(0.,0.,1.)); #448140=DIRECTION('',(0.,1.,0.)); #448141=DIRECTION('',(0.,0.,1.)); #448142=DIRECTION('center_axis',(0.,-1.,0.)); #448143=DIRECTION('ref_axis',(1.,0.,0.)); #448144=DIRECTION('',(1.,0.,0.)); #448145=DIRECTION('',(1.,0.,0.)); #448146=DIRECTION('',(0.,0.,1.)); #448147=DIRECTION('center_axis',(-1.,0.,0.)); #448148=DIRECTION('ref_axis',(0.,-1.,0.)); #448149=DIRECTION('',(0.,-1.,0.)); #448150=DIRECTION('',(0.,-1.,0.)); #448151=DIRECTION('',(0.,0.,1.)); #448152=DIRECTION('center_axis',(0.,1.,0.)); #448153=DIRECTION('ref_axis',(-1.,0.,0.)); #448154=DIRECTION('',(-1.,0.,0.)); #448155=DIRECTION('',(-1.,0.,0.)); #448156=DIRECTION('center_axis',(0.,0.,1.)); #448157=DIRECTION('ref_axis',(1.,0.,0.)); #448158=DIRECTION('center_axis',(0.,0.,1.)); #448159=DIRECTION('ref_axis',(1.,0.,0.)); #448160=DIRECTION('center_axis',(1.,0.,0.)); #448161=DIRECTION('ref_axis',(0.,1.,0.)); #448162=DIRECTION('',(0.,1.,0.)); #448163=DIRECTION('',(0.,0.,1.)); #448164=DIRECTION('',(0.,1.,0.)); #448165=DIRECTION('',(0.,0.,1.)); #448166=DIRECTION('center_axis',(0.,-1.,0.)); #448167=DIRECTION('ref_axis',(1.,0.,0.)); #448168=DIRECTION('',(1.,0.,0.)); #448169=DIRECTION('',(1.,0.,0.)); #448170=DIRECTION('',(0.,0.,1.)); #448171=DIRECTION('center_axis',(-1.,0.,0.)); #448172=DIRECTION('ref_axis',(0.,-1.,0.)); #448173=DIRECTION('',(0.,-1.,0.)); #448174=DIRECTION('',(0.,-1.,0.)); #448175=DIRECTION('',(0.,0.,1.)); #448176=DIRECTION('center_axis',(0.,1.,0.)); #448177=DIRECTION('ref_axis',(-1.,0.,0.)); #448178=DIRECTION('',(-1.,0.,0.)); #448179=DIRECTION('',(-1.,0.,0.)); #448180=DIRECTION('center_axis',(0.,0.,1.)); #448181=DIRECTION('ref_axis',(1.,0.,0.)); #448182=DIRECTION('center_axis',(0.,0.,1.)); #448183=DIRECTION('ref_axis',(1.,0.,0.)); #448184=DIRECTION('center_axis',(1.,0.,0.)); #448185=DIRECTION('ref_axis',(0.,1.,0.)); #448186=DIRECTION('',(0.,1.,0.)); #448187=DIRECTION('',(0.,0.,1.)); #448188=DIRECTION('',(0.,1.,0.)); #448189=DIRECTION('',(0.,0.,1.)); #448190=DIRECTION('center_axis',(0.,-1.,0.)); #448191=DIRECTION('ref_axis',(1.,0.,0.)); #448192=DIRECTION('',(1.,0.,0.)); #448193=DIRECTION('',(1.,0.,0.)); #448194=DIRECTION('',(0.,0.,1.)); #448195=DIRECTION('center_axis',(-1.,0.,0.)); #448196=DIRECTION('ref_axis',(0.,-1.,0.)); #448197=DIRECTION('',(0.,-1.,0.)); #448198=DIRECTION('',(0.,-1.,0.)); #448199=DIRECTION('',(0.,0.,1.)); #448200=DIRECTION('center_axis',(0.,1.,0.)); #448201=DIRECTION('ref_axis',(-1.,0.,0.)); #448202=DIRECTION('',(-1.,0.,0.)); #448203=DIRECTION('',(-1.,0.,0.)); #448204=DIRECTION('center_axis',(0.,0.,1.)); #448205=DIRECTION('ref_axis',(1.,0.,0.)); #448206=DIRECTION('center_axis',(0.,0.,1.)); #448207=DIRECTION('ref_axis',(1.,0.,0.)); #448208=DIRECTION('center_axis',(1.,0.,0.)); #448209=DIRECTION('ref_axis',(0.,1.,0.)); #448210=DIRECTION('',(0.,1.,0.)); #448211=DIRECTION('',(0.,0.,1.)); #448212=DIRECTION('',(0.,1.,0.)); #448213=DIRECTION('',(0.,0.,1.)); #448214=DIRECTION('center_axis',(0.,-1.,0.)); #448215=DIRECTION('ref_axis',(1.,0.,0.)); #448216=DIRECTION('',(1.,0.,0.)); #448217=DIRECTION('',(1.,0.,0.)); #448218=DIRECTION('',(0.,0.,1.)); #448219=DIRECTION('center_axis',(-1.,0.,0.)); #448220=DIRECTION('ref_axis',(0.,-1.,0.)); #448221=DIRECTION('',(0.,-1.,0.)); #448222=DIRECTION('',(0.,-1.,0.)); #448223=DIRECTION('',(0.,0.,1.)); #448224=DIRECTION('center_axis',(0.,1.,0.)); #448225=DIRECTION('ref_axis',(-1.,0.,0.)); #448226=DIRECTION('',(-1.,0.,0.)); #448227=DIRECTION('',(-1.,0.,0.)); #448228=DIRECTION('center_axis',(0.,0.,1.)); #448229=DIRECTION('ref_axis',(1.,0.,0.)); #448230=DIRECTION('center_axis',(0.,0.,1.)); #448231=DIRECTION('ref_axis',(1.,0.,0.)); #448232=DIRECTION('center_axis',(1.,0.,0.)); #448233=DIRECTION('ref_axis',(0.,1.,0.)); #448234=DIRECTION('',(0.,1.,0.)); #448235=DIRECTION('',(0.,0.,1.)); #448236=DIRECTION('',(0.,1.,0.)); #448237=DIRECTION('',(0.,0.,1.)); #448238=DIRECTION('center_axis',(0.,-1.,0.)); #448239=DIRECTION('ref_axis',(1.,0.,0.)); #448240=DIRECTION('',(1.,0.,0.)); #448241=DIRECTION('',(1.,0.,0.)); #448242=DIRECTION('',(0.,0.,1.)); #448243=DIRECTION('center_axis',(-1.,0.,0.)); #448244=DIRECTION('ref_axis',(0.,-1.,0.)); #448245=DIRECTION('',(0.,-1.,0.)); #448246=DIRECTION('',(0.,-1.,0.)); #448247=DIRECTION('',(0.,0.,1.)); #448248=DIRECTION('center_axis',(0.,1.,0.)); #448249=DIRECTION('ref_axis',(-1.,0.,0.)); #448250=DIRECTION('',(-1.,0.,0.)); #448251=DIRECTION('',(-1.,0.,0.)); #448252=DIRECTION('center_axis',(0.,0.,1.)); #448253=DIRECTION('ref_axis',(1.,0.,0.)); #448254=DIRECTION('center_axis',(0.,0.,1.)); #448255=DIRECTION('ref_axis',(1.,0.,0.)); #448256=DIRECTION('center_axis',(0.,0.,1.)); #448257=DIRECTION('ref_axis',(1.,0.,0.)); #448258=DIRECTION('center_axis',(0.,0.,1.)); #448259=DIRECTION('ref_axis',(1.,0.,0.)); #448260=DIRECTION('',(0.,0.,1.)); #448261=DIRECTION('center_axis',(0.,0.,-1.)); #448262=DIRECTION('ref_axis',(1.,0.,0.)); #448263=DIRECTION('center_axis',(1.,0.,0.)); #448264=DIRECTION('ref_axis',(0.,1.,0.)); #448265=DIRECTION('',(0.,1.,0.)); #448266=DIRECTION('',(0.,0.,1.)); #448267=DIRECTION('',(0.,1.,0.)); #448268=DIRECTION('',(0.,0.,1.)); #448269=DIRECTION('center_axis',(0.,-1.,0.)); #448270=DIRECTION('ref_axis',(1.,0.,0.)); #448271=DIRECTION('',(1.,0.,0.)); #448272=DIRECTION('',(1.,0.,0.)); #448273=DIRECTION('',(0.,0.,1.)); #448274=DIRECTION('center_axis',(-1.,0.,0.)); #448275=DIRECTION('ref_axis',(0.,-1.,0.)); #448276=DIRECTION('',(0.,-1.,0.)); #448277=DIRECTION('',(0.,-1.,0.)); #448278=DIRECTION('',(0.,0.,1.)); #448279=DIRECTION('center_axis',(0.,1.,0.)); #448280=DIRECTION('ref_axis',(-1.,0.,0.)); #448281=DIRECTION('',(-1.,0.,0.)); #448282=DIRECTION('',(-1.,0.,0.)); #448283=DIRECTION('center_axis',(0.,0.,1.)); #448284=DIRECTION('ref_axis',(1.,0.,0.)); #448285=DIRECTION('center_axis',(0.,0.,1.)); #448286=DIRECTION('ref_axis',(1.,0.,0.)); #448287=DIRECTION('center_axis',(1.,0.,0.)); #448288=DIRECTION('ref_axis',(0.,1.,0.)); #448289=DIRECTION('',(0.,1.,0.)); #448290=DIRECTION('',(0.,0.,1.)); #448291=DIRECTION('',(0.,1.,0.)); #448292=DIRECTION('',(0.,0.,1.)); #448293=DIRECTION('center_axis',(0.,-1.,0.)); #448294=DIRECTION('ref_axis',(1.,0.,0.)); #448295=DIRECTION('',(1.,0.,0.)); #448296=DIRECTION('',(1.,0.,0.)); #448297=DIRECTION('',(0.,0.,1.)); #448298=DIRECTION('center_axis',(-1.,0.,0.)); #448299=DIRECTION('ref_axis',(0.,-1.,0.)); #448300=DIRECTION('',(0.,-1.,0.)); #448301=DIRECTION('',(0.,-1.,0.)); #448302=DIRECTION('',(0.,0.,1.)); #448303=DIRECTION('center_axis',(0.,1.,0.)); #448304=DIRECTION('ref_axis',(-1.,0.,0.)); #448305=DIRECTION('',(-1.,0.,0.)); #448306=DIRECTION('',(-1.,0.,0.)); #448307=DIRECTION('center_axis',(0.,0.,1.)); #448308=DIRECTION('ref_axis',(1.,0.,0.)); #448309=DIRECTION('center_axis',(0.,0.,1.)); #448310=DIRECTION('ref_axis',(1.,0.,0.)); #448311=DIRECTION('center_axis',(1.,0.,0.)); #448312=DIRECTION('ref_axis',(0.,1.,0.)); #448313=DIRECTION('',(0.,1.,0.)); #448314=DIRECTION('',(0.,0.,1.)); #448315=DIRECTION('',(0.,1.,0.)); #448316=DIRECTION('',(0.,0.,1.)); #448317=DIRECTION('center_axis',(0.,-1.,0.)); #448318=DIRECTION('ref_axis',(1.,0.,0.)); #448319=DIRECTION('',(1.,0.,0.)); #448320=DIRECTION('',(1.,0.,0.)); #448321=DIRECTION('',(0.,0.,1.)); #448322=DIRECTION('center_axis',(-1.,0.,0.)); #448323=DIRECTION('ref_axis',(0.,-1.,0.)); #448324=DIRECTION('',(0.,-1.,0.)); #448325=DIRECTION('',(0.,-1.,0.)); #448326=DIRECTION('',(0.,0.,1.)); #448327=DIRECTION('center_axis',(0.,1.,0.)); #448328=DIRECTION('ref_axis',(-1.,0.,0.)); #448329=DIRECTION('',(-1.,0.,0.)); #448330=DIRECTION('',(-1.,0.,0.)); #448331=DIRECTION('center_axis',(0.,0.,1.)); #448332=DIRECTION('ref_axis',(1.,0.,0.)); #448333=DIRECTION('center_axis',(0.,0.,1.)); #448334=DIRECTION('ref_axis',(1.,0.,0.)); #448335=DIRECTION('center_axis',(1.,0.,0.)); #448336=DIRECTION('ref_axis',(0.,1.,0.)); #448337=DIRECTION('',(0.,1.,0.)); #448338=DIRECTION('',(0.,0.,1.)); #448339=DIRECTION('',(0.,1.,0.)); #448340=DIRECTION('',(0.,0.,1.)); #448341=DIRECTION('center_axis',(0.,-1.,0.)); #448342=DIRECTION('ref_axis',(1.,0.,0.)); #448343=DIRECTION('',(1.,0.,0.)); #448344=DIRECTION('',(1.,0.,0.)); #448345=DIRECTION('',(0.,0.,1.)); #448346=DIRECTION('center_axis',(-1.,0.,0.)); #448347=DIRECTION('ref_axis',(0.,-1.,0.)); #448348=DIRECTION('',(0.,-1.,0.)); #448349=DIRECTION('',(0.,-1.,0.)); #448350=DIRECTION('',(0.,0.,1.)); #448351=DIRECTION('center_axis',(0.,1.,0.)); #448352=DIRECTION('ref_axis',(-1.,0.,0.)); #448353=DIRECTION('',(-1.,0.,0.)); #448354=DIRECTION('',(-1.,0.,0.)); #448355=DIRECTION('center_axis',(0.,0.,1.)); #448356=DIRECTION('ref_axis',(1.,0.,0.)); #448357=DIRECTION('center_axis',(0.,0.,1.)); #448358=DIRECTION('ref_axis',(1.,0.,0.)); #448359=DIRECTION('center_axis',(1.,0.,0.)); #448360=DIRECTION('ref_axis',(0.,1.,0.)); #448361=DIRECTION('',(0.,1.,0.)); #448362=DIRECTION('',(0.,0.,1.)); #448363=DIRECTION('',(0.,1.,0.)); #448364=DIRECTION('',(0.,0.,1.)); #448365=DIRECTION('center_axis',(0.,-1.,0.)); #448366=DIRECTION('ref_axis',(1.,0.,0.)); #448367=DIRECTION('',(1.,0.,0.)); #448368=DIRECTION('',(1.,0.,0.)); #448369=DIRECTION('',(0.,0.,1.)); #448370=DIRECTION('center_axis',(-1.,0.,0.)); #448371=DIRECTION('ref_axis',(0.,-1.,0.)); #448372=DIRECTION('',(0.,-1.,0.)); #448373=DIRECTION('',(0.,-1.,0.)); #448374=DIRECTION('',(0.,0.,1.)); #448375=DIRECTION('center_axis',(0.,1.,0.)); #448376=DIRECTION('ref_axis',(-1.,0.,0.)); #448377=DIRECTION('',(-1.,0.,0.)); #448378=DIRECTION('',(-1.,0.,0.)); #448379=DIRECTION('center_axis',(0.,0.,1.)); #448380=DIRECTION('ref_axis',(1.,0.,0.)); #448381=DIRECTION('center_axis',(0.,0.,1.)); #448382=DIRECTION('ref_axis',(1.,0.,0.)); #448383=DIRECTION('center_axis',(0.,0.,1.)); #448384=DIRECTION('ref_axis',(1.,0.,0.)); #448385=DIRECTION('center_axis',(0.,0.,1.)); #448386=DIRECTION('ref_axis',(1.,0.,0.)); #448387=DIRECTION('',(0.,0.,1.)); #448388=DIRECTION('center_axis',(0.,0.,-1.)); #448389=DIRECTION('ref_axis',(1.,0.,0.)); #448390=DIRECTION('center_axis',(0.,0.,1.)); #448391=DIRECTION('ref_axis',(1.,0.,0.)); #448392=DIRECTION('center_axis',(0.,0.,1.)); #448393=DIRECTION('ref_axis',(1.,0.,0.)); #448394=DIRECTION('',(0.,0.,1.)); #448395=DIRECTION('center_axis',(0.,0.,-1.)); #448396=DIRECTION('ref_axis',(1.,0.,0.)); #448397=DIRECTION('center_axis',(0.,0.,1.)); #448398=DIRECTION('ref_axis',(1.,0.,0.)); #448399=DIRECTION('center_axis',(0.,0.,1.)); #448400=DIRECTION('ref_axis',(1.,0.,0.)); #448401=DIRECTION('',(0.,0.,1.)); #448402=DIRECTION('center_axis',(0.,0.,-1.)); #448403=DIRECTION('ref_axis',(1.,0.,0.)); #448404=DIRECTION('center_axis',(0.,0.,1.)); #448405=DIRECTION('ref_axis',(1.,0.,0.)); #448406=DIRECTION('center_axis',(0.,0.,1.)); #448407=DIRECTION('ref_axis',(1.,0.,0.)); #448408=DIRECTION('',(0.,0.,1.)); #448409=DIRECTION('center_axis',(0.,0.,-1.)); #448410=DIRECTION('ref_axis',(1.,0.,0.)); #448411=DIRECTION('center_axis',(0.,0.,1.)); #448412=DIRECTION('ref_axis',(1.,0.,0.)); #448413=DIRECTION('center_axis',(0.,0.,1.)); #448414=DIRECTION('ref_axis',(1.,0.,0.)); #448415=DIRECTION('',(0.,0.,1.)); #448416=DIRECTION('center_axis',(0.,0.,-1.)); #448417=DIRECTION('ref_axis',(1.,0.,0.)); #448418=DIRECTION('center_axis',(0.,0.,1.)); #448419=DIRECTION('ref_axis',(1.,0.,0.)); #448420=DIRECTION('center_axis',(0.,0.,1.)); #448421=DIRECTION('ref_axis',(1.,0.,0.)); #448422=DIRECTION('',(0.,0.,1.)); #448423=DIRECTION('center_axis',(0.,0.,-1.)); #448424=DIRECTION('ref_axis',(1.,0.,0.)); #448425=DIRECTION('center_axis',(0.,0.,1.)); #448426=DIRECTION('ref_axis',(1.,0.,0.)); #448427=DIRECTION('center_axis',(0.,0.,1.)); #448428=DIRECTION('ref_axis',(1.,0.,0.)); #448429=DIRECTION('',(0.,0.,1.)); #448430=DIRECTION('center_axis',(0.,0.,-1.)); #448431=DIRECTION('ref_axis',(1.,0.,0.)); #448432=DIRECTION('center_axis',(0.,0.,1.)); #448433=DIRECTION('ref_axis',(1.,0.,0.)); #448434=DIRECTION('center_axis',(0.,0.,1.)); #448435=DIRECTION('ref_axis',(1.,0.,0.)); #448436=DIRECTION('',(0.,0.,1.)); #448437=DIRECTION('center_axis',(0.,0.,-1.)); #448438=DIRECTION('ref_axis',(1.,0.,0.)); #448439=DIRECTION('center_axis',(0.,0.,1.)); #448440=DIRECTION('ref_axis',(1.,0.,0.)); #448441=DIRECTION('center_axis',(0.,0.,1.)); #448442=DIRECTION('ref_axis',(1.,0.,0.)); #448443=DIRECTION('',(0.,0.,1.)); #448444=DIRECTION('center_axis',(0.,0.,-1.)); #448445=DIRECTION('ref_axis',(1.,0.,0.)); #448446=DIRECTION('center_axis',(0.,0.,1.)); #448447=DIRECTION('ref_axis',(1.,0.,0.)); #448448=DIRECTION('center_axis',(0.,0.,1.)); #448449=DIRECTION('ref_axis',(1.,0.,0.)); #448450=DIRECTION('',(0.,0.,1.)); #448451=DIRECTION('center_axis',(0.,0.,-1.)); #448452=DIRECTION('ref_axis',(1.,0.,0.)); #448453=DIRECTION('center_axis',(0.,0.,1.)); #448454=DIRECTION('ref_axis',(1.,0.,0.)); #448455=DIRECTION('center_axis',(0.,0.,1.)); #448456=DIRECTION('ref_axis',(1.,0.,0.)); #448457=DIRECTION('',(0.,0.,1.)); #448458=DIRECTION('center_axis',(0.,0.,-1.)); #448459=DIRECTION('ref_axis',(1.,0.,0.)); #448460=DIRECTION('center_axis',(0.,0.,1.)); #448461=DIRECTION('ref_axis',(1.,0.,0.)); #448462=DIRECTION('center_axis',(0.,0.,1.)); #448463=DIRECTION('ref_axis',(1.,0.,0.)); #448464=DIRECTION('',(0.,0.,1.)); #448465=DIRECTION('center_axis',(0.,0.,-1.)); #448466=DIRECTION('ref_axis',(1.,0.,0.)); #448467=DIRECTION('center_axis',(0.,0.,1.)); #448468=DIRECTION('ref_axis',(1.,0.,0.)); #448469=DIRECTION('center_axis',(0.,0.,1.)); #448470=DIRECTION('ref_axis',(1.,0.,0.)); #448471=DIRECTION('',(0.,0.,1.)); #448472=DIRECTION('center_axis',(0.,0.,-1.)); #448473=DIRECTION('ref_axis',(1.,0.,0.)); #448474=DIRECTION('center_axis',(0.,0.,1.)); #448475=DIRECTION('ref_axis',(1.,0.,0.)); #448476=DIRECTION('center_axis',(0.,0.,1.)); #448477=DIRECTION('ref_axis',(1.,0.,0.)); #448478=DIRECTION('',(0.,0.,1.)); #448479=DIRECTION('center_axis',(0.,0.,-1.)); #448480=DIRECTION('ref_axis',(1.,0.,0.)); #448481=DIRECTION('center_axis',(0.,0.,1.)); #448482=DIRECTION('ref_axis',(1.,0.,0.)); #448483=DIRECTION('center_axis',(0.,0.,1.)); #448484=DIRECTION('ref_axis',(1.,0.,0.)); #448485=DIRECTION('',(0.,0.,1.)); #448486=DIRECTION('center_axis',(0.,0.,-1.)); #448487=DIRECTION('ref_axis',(1.,0.,0.)); #448488=DIRECTION('center_axis',(1.,0.,0.)); #448489=DIRECTION('ref_axis',(0.,1.,0.)); #448490=DIRECTION('',(0.,1.,0.)); #448491=DIRECTION('',(0.,0.,1.)); #448492=DIRECTION('',(0.,1.,0.)); #448493=DIRECTION('',(0.,0.,1.)); #448494=DIRECTION('center_axis',(0.,-1.,0.)); #448495=DIRECTION('ref_axis',(1.,0.,0.)); #448496=DIRECTION('',(1.,0.,0.)); #448497=DIRECTION('',(1.,0.,0.)); #448498=DIRECTION('',(0.,0.,1.)); #448499=DIRECTION('center_axis',(-1.,0.,0.)); #448500=DIRECTION('ref_axis',(0.,-1.,0.)); #448501=DIRECTION('',(0.,-1.,0.)); #448502=DIRECTION('',(0.,-1.,0.)); #448503=DIRECTION('',(0.,0.,1.)); #448504=DIRECTION('center_axis',(0.,1.,0.)); #448505=DIRECTION('ref_axis',(-1.,0.,0.)); #448506=DIRECTION('',(-1.,0.,0.)); #448507=DIRECTION('',(-1.,0.,0.)); #448508=DIRECTION('center_axis',(0.,0.,1.)); #448509=DIRECTION('ref_axis',(1.,0.,0.)); #448510=DIRECTION('center_axis',(0.,0.,1.)); #448511=DIRECTION('ref_axis',(1.,0.,0.)); #448512=DIRECTION('center_axis',(1.,0.,0.)); #448513=DIRECTION('ref_axis',(0.,1.,0.)); #448514=DIRECTION('',(0.,1.,0.)); #448515=DIRECTION('',(0.,0.,1.)); #448516=DIRECTION('',(0.,1.,0.)); #448517=DIRECTION('',(0.,0.,1.)); #448518=DIRECTION('center_axis',(0.,-1.,0.)); #448519=DIRECTION('ref_axis',(1.,0.,0.)); #448520=DIRECTION('',(1.,0.,0.)); #448521=DIRECTION('',(1.,0.,0.)); #448522=DIRECTION('',(0.,0.,1.)); #448523=DIRECTION('center_axis',(-1.,0.,0.)); #448524=DIRECTION('ref_axis',(0.,-1.,0.)); #448525=DIRECTION('',(0.,-1.,0.)); #448526=DIRECTION('',(0.,-1.,0.)); #448527=DIRECTION('',(0.,0.,1.)); #448528=DIRECTION('center_axis',(0.,1.,0.)); #448529=DIRECTION('ref_axis',(-1.,0.,0.)); #448530=DIRECTION('',(-1.,0.,0.)); #448531=DIRECTION('',(-1.,0.,0.)); #448532=DIRECTION('center_axis',(0.,0.,1.)); #448533=DIRECTION('ref_axis',(1.,0.,0.)); #448534=DIRECTION('center_axis',(0.,0.,1.)); #448535=DIRECTION('ref_axis',(1.,0.,0.)); #448536=DIRECTION('center_axis',(1.,0.,0.)); #448537=DIRECTION('ref_axis',(0.,1.,0.)); #448538=DIRECTION('',(0.,1.,0.)); #448539=DIRECTION('',(0.,0.,1.)); #448540=DIRECTION('',(0.,1.,0.)); #448541=DIRECTION('',(0.,0.,1.)); #448542=DIRECTION('center_axis',(0.,-1.,0.)); #448543=DIRECTION('ref_axis',(1.,0.,0.)); #448544=DIRECTION('',(1.,0.,0.)); #448545=DIRECTION('',(1.,0.,0.)); #448546=DIRECTION('',(0.,0.,1.)); #448547=DIRECTION('center_axis',(-1.,0.,0.)); #448548=DIRECTION('ref_axis',(0.,-1.,0.)); #448549=DIRECTION('',(0.,-1.,0.)); #448550=DIRECTION('',(0.,-1.,0.)); #448551=DIRECTION('',(0.,0.,1.)); #448552=DIRECTION('center_axis',(0.,1.,0.)); #448553=DIRECTION('ref_axis',(-1.,0.,0.)); #448554=DIRECTION('',(-1.,0.,0.)); #448555=DIRECTION('',(-1.,0.,0.)); #448556=DIRECTION('center_axis',(0.,0.,1.)); #448557=DIRECTION('ref_axis',(1.,0.,0.)); #448558=DIRECTION('center_axis',(0.,0.,1.)); #448559=DIRECTION('ref_axis',(1.,0.,0.)); #448560=DIRECTION('center_axis',(1.,0.,0.)); #448561=DIRECTION('ref_axis',(0.,1.,0.)); #448562=DIRECTION('',(0.,1.,0.)); #448563=DIRECTION('',(0.,0.,1.)); #448564=DIRECTION('',(0.,1.,0.)); #448565=DIRECTION('',(0.,0.,1.)); #448566=DIRECTION('center_axis',(0.,-1.,0.)); #448567=DIRECTION('ref_axis',(1.,0.,0.)); #448568=DIRECTION('',(1.,0.,0.)); #448569=DIRECTION('',(1.,0.,0.)); #448570=DIRECTION('',(0.,0.,1.)); #448571=DIRECTION('center_axis',(-1.,0.,0.)); #448572=DIRECTION('ref_axis',(0.,-1.,0.)); #448573=DIRECTION('',(0.,-1.,0.)); #448574=DIRECTION('',(0.,-1.,0.)); #448575=DIRECTION('',(0.,0.,1.)); #448576=DIRECTION('center_axis',(0.,1.,0.)); #448577=DIRECTION('ref_axis',(-1.,0.,0.)); #448578=DIRECTION('',(-1.,0.,0.)); #448579=DIRECTION('',(-1.,0.,0.)); #448580=DIRECTION('center_axis',(0.,0.,1.)); #448581=DIRECTION('ref_axis',(1.,0.,0.)); #448582=DIRECTION('center_axis',(0.,0.,1.)); #448583=DIRECTION('ref_axis',(1.,0.,0.)); #448584=DIRECTION('center_axis',(0.,0.,1.)); #448585=DIRECTION('ref_axis',(1.,0.,0.)); #448586=DIRECTION('center_axis',(0.,0.,1.)); #448587=DIRECTION('ref_axis',(1.,0.,0.)); #448588=DIRECTION('',(0.,0.,1.)); #448589=DIRECTION('center_axis',(0.,0.,-1.)); #448590=DIRECTION('ref_axis',(1.,0.,0.)); #448591=DIRECTION('center_axis',(1.,0.,0.)); #448592=DIRECTION('ref_axis',(0.,1.,0.)); #448593=DIRECTION('',(0.,1.,0.)); #448594=DIRECTION('',(0.,0.,1.)); #448595=DIRECTION('',(0.,1.,0.)); #448596=DIRECTION('',(0.,0.,1.)); #448597=DIRECTION('center_axis',(0.,-1.,0.)); #448598=DIRECTION('ref_axis',(1.,0.,0.)); #448599=DIRECTION('',(1.,0.,0.)); #448600=DIRECTION('',(1.,0.,0.)); #448601=DIRECTION('',(0.,0.,1.)); #448602=DIRECTION('center_axis',(-1.,0.,0.)); #448603=DIRECTION('ref_axis',(0.,-1.,0.)); #448604=DIRECTION('',(0.,-1.,0.)); #448605=DIRECTION('',(0.,-1.,0.)); #448606=DIRECTION('',(0.,0.,1.)); #448607=DIRECTION('center_axis',(0.,1.,0.)); #448608=DIRECTION('ref_axis',(-1.,0.,0.)); #448609=DIRECTION('',(-1.,0.,0.)); #448610=DIRECTION('',(-1.,0.,0.)); #448611=DIRECTION('center_axis',(0.,0.,1.)); #448612=DIRECTION('ref_axis',(1.,0.,0.)); #448613=DIRECTION('center_axis',(0.,0.,1.)); #448614=DIRECTION('ref_axis',(1.,0.,0.)); #448615=DIRECTION('center_axis',(1.,0.,0.)); #448616=DIRECTION('ref_axis',(0.,1.,0.)); #448617=DIRECTION('',(0.,1.,0.)); #448618=DIRECTION('',(0.,0.,1.)); #448619=DIRECTION('',(0.,1.,0.)); #448620=DIRECTION('',(0.,0.,1.)); #448621=DIRECTION('center_axis',(0.,-1.,0.)); #448622=DIRECTION('ref_axis',(1.,0.,0.)); #448623=DIRECTION('',(1.,0.,0.)); #448624=DIRECTION('',(1.,0.,0.)); #448625=DIRECTION('',(0.,0.,1.)); #448626=DIRECTION('center_axis',(-1.,0.,0.)); #448627=DIRECTION('ref_axis',(0.,-1.,0.)); #448628=DIRECTION('',(0.,-1.,0.)); #448629=DIRECTION('',(0.,-1.,0.)); #448630=DIRECTION('',(0.,0.,1.)); #448631=DIRECTION('center_axis',(0.,1.,0.)); #448632=DIRECTION('ref_axis',(-1.,0.,0.)); #448633=DIRECTION('',(-1.,0.,0.)); #448634=DIRECTION('',(-1.,0.,0.)); #448635=DIRECTION('center_axis',(0.,0.,1.)); #448636=DIRECTION('ref_axis',(1.,0.,0.)); #448637=DIRECTION('center_axis',(0.,0.,1.)); #448638=DIRECTION('ref_axis',(1.,0.,0.)); #448639=DIRECTION('center_axis',(1.,0.,0.)); #448640=DIRECTION('ref_axis',(0.,1.,0.)); #448641=DIRECTION('',(0.,1.,0.)); #448642=DIRECTION('',(0.,0.,1.)); #448643=DIRECTION('',(0.,1.,0.)); #448644=DIRECTION('',(0.,0.,1.)); #448645=DIRECTION('center_axis',(0.,-1.,0.)); #448646=DIRECTION('ref_axis',(1.,0.,0.)); #448647=DIRECTION('',(1.,0.,0.)); #448648=DIRECTION('',(1.,0.,0.)); #448649=DIRECTION('',(0.,0.,1.)); #448650=DIRECTION('center_axis',(-1.,0.,0.)); #448651=DIRECTION('ref_axis',(0.,-1.,0.)); #448652=DIRECTION('',(0.,-1.,0.)); #448653=DIRECTION('',(0.,-1.,0.)); #448654=DIRECTION('',(0.,0.,1.)); #448655=DIRECTION('center_axis',(0.,1.,0.)); #448656=DIRECTION('ref_axis',(-1.,0.,0.)); #448657=DIRECTION('',(-1.,0.,0.)); #448658=DIRECTION('',(-1.,0.,0.)); #448659=DIRECTION('center_axis',(0.,0.,1.)); #448660=DIRECTION('ref_axis',(1.,0.,0.)); #448661=DIRECTION('center_axis',(0.,0.,1.)); #448662=DIRECTION('ref_axis',(1.,0.,0.)); #448663=DIRECTION('center_axis',(1.,0.,0.)); #448664=DIRECTION('ref_axis',(0.,1.,0.)); #448665=DIRECTION('',(0.,1.,0.)); #448666=DIRECTION('',(0.,0.,1.)); #448667=DIRECTION('',(0.,1.,0.)); #448668=DIRECTION('',(0.,0.,1.)); #448669=DIRECTION('center_axis',(0.,-1.,0.)); #448670=DIRECTION('ref_axis',(1.,0.,0.)); #448671=DIRECTION('',(1.,0.,0.)); #448672=DIRECTION('',(1.,0.,0.)); #448673=DIRECTION('',(0.,0.,1.)); #448674=DIRECTION('center_axis',(-1.,0.,0.)); #448675=DIRECTION('ref_axis',(0.,-1.,0.)); #448676=DIRECTION('',(0.,-1.,0.)); #448677=DIRECTION('',(0.,-1.,0.)); #448678=DIRECTION('',(0.,0.,1.)); #448679=DIRECTION('center_axis',(0.,1.,0.)); #448680=DIRECTION('ref_axis',(-1.,0.,0.)); #448681=DIRECTION('',(-1.,0.,0.)); #448682=DIRECTION('',(-1.,0.,0.)); #448683=DIRECTION('center_axis',(0.,0.,1.)); #448684=DIRECTION('ref_axis',(1.,0.,0.)); #448685=DIRECTION('center_axis',(0.,0.,1.)); #448686=DIRECTION('ref_axis',(1.,0.,0.)); #448687=DIRECTION('center_axis',(1.,0.,0.)); #448688=DIRECTION('ref_axis',(0.,1.,0.)); #448689=DIRECTION('',(0.,1.,0.)); #448690=DIRECTION('',(0.,0.,1.)); #448691=DIRECTION('',(0.,1.,0.)); #448692=DIRECTION('',(0.,0.,1.)); #448693=DIRECTION('center_axis',(0.,-1.,0.)); #448694=DIRECTION('ref_axis',(1.,0.,0.)); #448695=DIRECTION('',(1.,0.,0.)); #448696=DIRECTION('',(1.,0.,0.)); #448697=DIRECTION('',(0.,0.,1.)); #448698=DIRECTION('center_axis',(-1.,0.,0.)); #448699=DIRECTION('ref_axis',(0.,-1.,0.)); #448700=DIRECTION('',(0.,-1.,0.)); #448701=DIRECTION('',(0.,-1.,0.)); #448702=DIRECTION('',(0.,0.,1.)); #448703=DIRECTION('center_axis',(0.,1.,0.)); #448704=DIRECTION('ref_axis',(-1.,0.,0.)); #448705=DIRECTION('',(-1.,0.,0.)); #448706=DIRECTION('',(-1.,0.,0.)); #448707=DIRECTION('center_axis',(0.,0.,1.)); #448708=DIRECTION('ref_axis',(1.,0.,0.)); #448709=DIRECTION('center_axis',(0.,0.,1.)); #448710=DIRECTION('ref_axis',(1.,0.,0.)); #448711=DIRECTION('center_axis',(0.,0.,1.)); #448712=DIRECTION('ref_axis',(1.,0.,0.)); #448713=DIRECTION('center_axis',(0.,0.,1.)); #448714=DIRECTION('ref_axis',(1.,0.,0.)); #448715=DIRECTION('',(0.,0.,1.)); #448716=DIRECTION('center_axis',(0.,0.,-1.)); #448717=DIRECTION('ref_axis',(1.,0.,0.)); #448718=DIRECTION('center_axis',(0.,0.,1.)); #448719=DIRECTION('ref_axis',(1.,0.,0.)); #448720=DIRECTION('center_axis',(0.,0.,1.)); #448721=DIRECTION('ref_axis',(1.,0.,0.)); #448722=DIRECTION('',(0.,0.,1.)); #448723=DIRECTION('center_axis',(0.,0.,-1.)); #448724=DIRECTION('ref_axis',(1.,0.,0.)); #448725=DIRECTION('center_axis',(0.,0.,1.)); #448726=DIRECTION('ref_axis',(1.,0.,0.)); #448727=DIRECTION('center_axis',(0.,0.,1.)); #448728=DIRECTION('ref_axis',(1.,0.,0.)); #448729=DIRECTION('',(0.,0.,1.)); #448730=DIRECTION('center_axis',(0.,0.,-1.)); #448731=DIRECTION('ref_axis',(1.,0.,0.)); #448732=DIRECTION('center_axis',(0.,0.,1.)); #448733=DIRECTION('ref_axis',(1.,0.,0.)); #448734=DIRECTION('center_axis',(0.,0.,1.)); #448735=DIRECTION('ref_axis',(1.,0.,0.)); #448736=DIRECTION('',(0.,0.,1.)); #448737=DIRECTION('center_axis',(0.,0.,-1.)); #448738=DIRECTION('ref_axis',(1.,0.,0.)); #448739=DIRECTION('center_axis',(0.,0.,1.)); #448740=DIRECTION('ref_axis',(1.,0.,0.)); #448741=DIRECTION('center_axis',(0.,0.,1.)); #448742=DIRECTION('ref_axis',(1.,0.,0.)); #448743=DIRECTION('',(0.,0.,1.)); #448744=DIRECTION('center_axis',(0.,0.,-1.)); #448745=DIRECTION('ref_axis',(1.,0.,0.)); #448746=DIRECTION('center_axis',(0.,0.,1.)); #448747=DIRECTION('ref_axis',(1.,0.,0.)); #448748=DIRECTION('center_axis',(0.,0.,1.)); #448749=DIRECTION('ref_axis',(1.,0.,0.)); #448750=DIRECTION('',(0.,0.,1.)); #448751=DIRECTION('center_axis',(0.,0.,-1.)); #448752=DIRECTION('ref_axis',(1.,0.,0.)); #448753=DIRECTION('center_axis',(0.,0.,1.)); #448754=DIRECTION('ref_axis',(1.,0.,0.)); #448755=DIRECTION('center_axis',(0.,0.,1.)); #448756=DIRECTION('ref_axis',(1.,0.,0.)); #448757=DIRECTION('',(0.,0.,1.)); #448758=DIRECTION('center_axis',(0.,0.,-1.)); #448759=DIRECTION('ref_axis',(1.,0.,0.)); #448760=DIRECTION('center_axis',(0.,0.,1.)); #448761=DIRECTION('ref_axis',(1.,0.,0.)); #448762=DIRECTION('center_axis',(0.,0.,1.)); #448763=DIRECTION('ref_axis',(1.,0.,0.)); #448764=DIRECTION('',(0.,0.,1.)); #448765=DIRECTION('center_axis',(0.,0.,-1.)); #448766=DIRECTION('ref_axis',(1.,0.,0.)); #448767=DIRECTION('center_axis',(0.,0.,1.)); #448768=DIRECTION('ref_axis',(1.,0.,0.)); #448769=DIRECTION('center_axis',(0.,0.,1.)); #448770=DIRECTION('ref_axis',(1.,0.,0.)); #448771=DIRECTION('',(0.,0.,1.)); #448772=DIRECTION('center_axis',(0.,0.,-1.)); #448773=DIRECTION('ref_axis',(1.,0.,0.)); #448774=DIRECTION('center_axis',(0.,0.,1.)); #448775=DIRECTION('ref_axis',(1.,0.,0.)); #448776=DIRECTION('center_axis',(0.,0.,1.)); #448777=DIRECTION('ref_axis',(1.,0.,0.)); #448778=DIRECTION('',(0.,0.,1.)); #448779=DIRECTION('center_axis',(0.,0.,-1.)); #448780=DIRECTION('ref_axis',(1.,0.,0.)); #448781=DIRECTION('center_axis',(0.,0.,1.)); #448782=DIRECTION('ref_axis',(1.,0.,0.)); #448783=DIRECTION('center_axis',(0.,0.,1.)); #448784=DIRECTION('ref_axis',(1.,0.,0.)); #448785=DIRECTION('',(0.,0.,1.)); #448786=DIRECTION('center_axis',(0.,0.,-1.)); #448787=DIRECTION('ref_axis',(1.,0.,0.)); #448788=DIRECTION('center_axis',(0.,0.,1.)); #448789=DIRECTION('ref_axis',(1.,0.,0.)); #448790=DIRECTION('center_axis',(0.,0.,1.)); #448791=DIRECTION('ref_axis',(1.,0.,0.)); #448792=DIRECTION('',(0.,0.,1.)); #448793=DIRECTION('center_axis',(0.,0.,-1.)); #448794=DIRECTION('ref_axis',(1.,0.,0.)); #448795=DIRECTION('center_axis',(0.,0.,1.)); #448796=DIRECTION('ref_axis',(1.,0.,0.)); #448797=DIRECTION('center_axis',(0.,0.,1.)); #448798=DIRECTION('ref_axis',(1.,0.,0.)); #448799=DIRECTION('',(0.,0.,1.)); #448800=DIRECTION('center_axis',(0.,0.,-1.)); #448801=DIRECTION('ref_axis',(1.,0.,0.)); #448802=DIRECTION('center_axis',(0.,0.,1.)); #448803=DIRECTION('ref_axis',(1.,0.,0.)); #448804=DIRECTION('center_axis',(0.,0.,1.)); #448805=DIRECTION('ref_axis',(1.,0.,0.)); #448806=DIRECTION('',(0.,0.,1.)); #448807=DIRECTION('center_axis',(0.,0.,-1.)); #448808=DIRECTION('ref_axis',(1.,0.,0.)); #448809=DIRECTION('center_axis',(0.,0.,1.)); #448810=DIRECTION('ref_axis',(1.,0.,0.)); #448811=DIRECTION('center_axis',(0.,0.,1.)); #448812=DIRECTION('ref_axis',(1.,0.,0.)); #448813=DIRECTION('',(0.,0.,1.)); #448814=DIRECTION('center_axis',(0.,0.,-1.)); #448815=DIRECTION('ref_axis',(1.,0.,0.)); #448816=DIRECTION('center_axis',(1.,0.,0.)); #448817=DIRECTION('ref_axis',(0.,1.,0.)); #448818=DIRECTION('',(0.,1.,0.)); #448819=DIRECTION('',(0.,0.,1.)); #448820=DIRECTION('',(0.,1.,0.)); #448821=DIRECTION('',(0.,0.,1.)); #448822=DIRECTION('center_axis',(0.,-1.,0.)); #448823=DIRECTION('ref_axis',(1.,0.,0.)); #448824=DIRECTION('',(1.,0.,0.)); #448825=DIRECTION('',(1.,0.,0.)); #448826=DIRECTION('',(0.,0.,1.)); #448827=DIRECTION('center_axis',(-1.,0.,0.)); #448828=DIRECTION('ref_axis',(0.,-1.,0.)); #448829=DIRECTION('',(0.,-1.,0.)); #448830=DIRECTION('',(0.,-1.,0.)); #448831=DIRECTION('',(0.,0.,1.)); #448832=DIRECTION('center_axis',(0.,1.,0.)); #448833=DIRECTION('ref_axis',(-1.,0.,0.)); #448834=DIRECTION('',(-1.,0.,0.)); #448835=DIRECTION('',(-1.,0.,0.)); #448836=DIRECTION('center_axis',(0.,0.,1.)); #448837=DIRECTION('ref_axis',(1.,0.,0.)); #448838=DIRECTION('center_axis',(0.,0.,1.)); #448839=DIRECTION('ref_axis',(1.,0.,0.)); #448840=DIRECTION('center_axis',(1.,0.,0.)); #448841=DIRECTION('ref_axis',(0.,1.,0.)); #448842=DIRECTION('',(0.,1.,0.)); #448843=DIRECTION('',(0.,0.,1.)); #448844=DIRECTION('',(0.,1.,0.)); #448845=DIRECTION('',(0.,0.,1.)); #448846=DIRECTION('center_axis',(0.,-1.,0.)); #448847=DIRECTION('ref_axis',(1.,0.,0.)); #448848=DIRECTION('',(1.,0.,0.)); #448849=DIRECTION('',(1.,0.,0.)); #448850=DIRECTION('',(0.,0.,1.)); #448851=DIRECTION('center_axis',(-1.,0.,0.)); #448852=DIRECTION('ref_axis',(0.,-1.,0.)); #448853=DIRECTION('',(0.,-1.,0.)); #448854=DIRECTION('',(0.,-1.,0.)); #448855=DIRECTION('',(0.,0.,1.)); #448856=DIRECTION('center_axis',(0.,1.,0.)); #448857=DIRECTION('ref_axis',(-1.,0.,0.)); #448858=DIRECTION('',(-1.,0.,0.)); #448859=DIRECTION('',(-1.,0.,0.)); #448860=DIRECTION('center_axis',(0.,0.,1.)); #448861=DIRECTION('ref_axis',(1.,0.,0.)); #448862=DIRECTION('center_axis',(0.,0.,1.)); #448863=DIRECTION('ref_axis',(1.,0.,0.)); #448864=DIRECTION('center_axis',(1.,0.,0.)); #448865=DIRECTION('ref_axis',(0.,1.,0.)); #448866=DIRECTION('',(0.,1.,0.)); #448867=DIRECTION('',(0.,0.,1.)); #448868=DIRECTION('',(0.,1.,0.)); #448869=DIRECTION('',(0.,0.,1.)); #448870=DIRECTION('center_axis',(0.,-1.,0.)); #448871=DIRECTION('ref_axis',(1.,0.,0.)); #448872=DIRECTION('',(1.,0.,0.)); #448873=DIRECTION('',(1.,0.,0.)); #448874=DIRECTION('',(0.,0.,1.)); #448875=DIRECTION('center_axis',(-1.,0.,0.)); #448876=DIRECTION('ref_axis',(0.,-1.,0.)); #448877=DIRECTION('',(0.,-1.,0.)); #448878=DIRECTION('',(0.,-1.,0.)); #448879=DIRECTION('',(0.,0.,1.)); #448880=DIRECTION('center_axis',(0.,1.,0.)); #448881=DIRECTION('ref_axis',(-1.,0.,0.)); #448882=DIRECTION('',(-1.,0.,0.)); #448883=DIRECTION('',(-1.,0.,0.)); #448884=DIRECTION('center_axis',(0.,0.,1.)); #448885=DIRECTION('ref_axis',(1.,0.,0.)); #448886=DIRECTION('center_axis',(0.,0.,1.)); #448887=DIRECTION('ref_axis',(1.,0.,0.)); #448888=DIRECTION('center_axis',(1.,0.,0.)); #448889=DIRECTION('ref_axis',(0.,1.,0.)); #448890=DIRECTION('',(0.,1.,0.)); #448891=DIRECTION('',(0.,0.,1.)); #448892=DIRECTION('',(0.,1.,0.)); #448893=DIRECTION('',(0.,0.,1.)); #448894=DIRECTION('center_axis',(0.,-1.,0.)); #448895=DIRECTION('ref_axis',(1.,0.,0.)); #448896=DIRECTION('',(1.,0.,0.)); #448897=DIRECTION('',(1.,0.,0.)); #448898=DIRECTION('',(0.,0.,1.)); #448899=DIRECTION('center_axis',(-1.,0.,0.)); #448900=DIRECTION('ref_axis',(0.,-1.,0.)); #448901=DIRECTION('',(0.,-1.,0.)); #448902=DIRECTION('',(0.,-1.,0.)); #448903=DIRECTION('',(0.,0.,1.)); #448904=DIRECTION('center_axis',(0.,1.,0.)); #448905=DIRECTION('ref_axis',(-1.,0.,0.)); #448906=DIRECTION('',(-1.,0.,0.)); #448907=DIRECTION('',(-1.,0.,0.)); #448908=DIRECTION('center_axis',(0.,0.,1.)); #448909=DIRECTION('ref_axis',(1.,0.,0.)); #448910=DIRECTION('center_axis',(0.,0.,1.)); #448911=DIRECTION('ref_axis',(1.,0.,0.)); #448912=DIRECTION('center_axis',(0.,0.,1.)); #448913=DIRECTION('ref_axis',(1.,0.,0.)); #448914=DIRECTION('center_axis',(0.,0.,1.)); #448915=DIRECTION('ref_axis',(1.,0.,0.)); #448916=DIRECTION('',(0.,0.,1.)); #448917=DIRECTION('center_axis',(0.,0.,-1.)); #448918=DIRECTION('ref_axis',(1.,0.,0.)); #448919=DIRECTION('center_axis',(1.,0.,0.)); #448920=DIRECTION('ref_axis',(0.,1.,0.)); #448921=DIRECTION('',(0.,1.,0.)); #448922=DIRECTION('',(0.,0.,1.)); #448923=DIRECTION('',(0.,1.,0.)); #448924=DIRECTION('',(0.,0.,1.)); #448925=DIRECTION('center_axis',(0.,-1.,0.)); #448926=DIRECTION('ref_axis',(1.,0.,0.)); #448927=DIRECTION('',(1.,0.,0.)); #448928=DIRECTION('',(1.,0.,0.)); #448929=DIRECTION('',(0.,0.,1.)); #448930=DIRECTION('center_axis',(-1.,0.,0.)); #448931=DIRECTION('ref_axis',(0.,-1.,0.)); #448932=DIRECTION('',(0.,-1.,0.)); #448933=DIRECTION('',(0.,-1.,0.)); #448934=DIRECTION('',(0.,0.,1.)); #448935=DIRECTION('center_axis',(0.,1.,0.)); #448936=DIRECTION('ref_axis',(-1.,0.,0.)); #448937=DIRECTION('',(-1.,0.,0.)); #448938=DIRECTION('',(-1.,0.,0.)); #448939=DIRECTION('center_axis',(0.,0.,1.)); #448940=DIRECTION('ref_axis',(1.,0.,0.)); #448941=DIRECTION('center_axis',(0.,0.,1.)); #448942=DIRECTION('ref_axis',(1.,0.,0.)); #448943=DIRECTION('center_axis',(0.,0.,1.)); #448944=DIRECTION('ref_axis',(1.,0.,0.)); #448945=DIRECTION('center_axis',(0.,0.,1.)); #448946=DIRECTION('ref_axis',(1.,0.,0.)); #448947=DIRECTION('',(0.,0.,1.)); #448948=DIRECTION('center_axis',(0.,0.,-1.)); #448949=DIRECTION('ref_axis',(1.,0.,0.)); #448950=DIRECTION('center_axis',(1.,0.,0.)); #448951=DIRECTION('ref_axis',(0.,1.,0.)); #448952=DIRECTION('',(0.,1.,0.)); #448953=DIRECTION('',(0.,0.,1.)); #448954=DIRECTION('',(0.,1.,0.)); #448955=DIRECTION('',(0.,0.,1.)); #448956=DIRECTION('center_axis',(0.,-1.,0.)); #448957=DIRECTION('ref_axis',(1.,0.,0.)); #448958=DIRECTION('',(1.,0.,0.)); #448959=DIRECTION('',(1.,0.,0.)); #448960=DIRECTION('',(0.,0.,1.)); #448961=DIRECTION('center_axis',(-1.,0.,0.)); #448962=DIRECTION('ref_axis',(0.,-1.,0.)); #448963=DIRECTION('',(0.,-1.,0.)); #448964=DIRECTION('',(0.,-1.,0.)); #448965=DIRECTION('',(0.,0.,1.)); #448966=DIRECTION('center_axis',(0.,1.,0.)); #448967=DIRECTION('ref_axis',(-1.,0.,0.)); #448968=DIRECTION('',(-1.,0.,0.)); #448969=DIRECTION('',(-1.,0.,0.)); #448970=DIRECTION('center_axis',(0.,0.,1.)); #448971=DIRECTION('ref_axis',(1.,0.,0.)); #448972=DIRECTION('center_axis',(0.,0.,1.)); #448973=DIRECTION('ref_axis',(1.,0.,0.)); #448974=DIRECTION('center_axis',(0.,0.,1.)); #448975=DIRECTION('ref_axis',(1.,0.,0.)); #448976=DIRECTION('center_axis',(0.,0.,1.)); #448977=DIRECTION('ref_axis',(1.,0.,0.)); #448978=DIRECTION('',(0.,0.,1.)); #448979=DIRECTION('center_axis',(0.,0.,-1.)); #448980=DIRECTION('ref_axis',(1.,0.,0.)); #448981=DIRECTION('center_axis',(1.,0.,0.)); #448982=DIRECTION('ref_axis',(0.,1.,0.)); #448983=DIRECTION('',(0.,1.,0.)); #448984=DIRECTION('',(0.,0.,1.)); #448985=DIRECTION('',(0.,1.,0.)); #448986=DIRECTION('',(0.,0.,1.)); #448987=DIRECTION('center_axis',(0.,-1.,0.)); #448988=DIRECTION('ref_axis',(1.,0.,0.)); #448989=DIRECTION('',(1.,0.,0.)); #448990=DIRECTION('',(1.,0.,0.)); #448991=DIRECTION('',(0.,0.,1.)); #448992=DIRECTION('center_axis',(-1.,0.,0.)); #448993=DIRECTION('ref_axis',(0.,-1.,0.)); #448994=DIRECTION('',(0.,-1.,0.)); #448995=DIRECTION('',(0.,-1.,0.)); #448996=DIRECTION('',(0.,0.,1.)); #448997=DIRECTION('center_axis',(0.,1.,0.)); #448998=DIRECTION('ref_axis',(-1.,0.,0.)); #448999=DIRECTION('',(-1.,0.,0.)); #449000=DIRECTION('',(-1.,0.,0.)); #449001=DIRECTION('center_axis',(0.,0.,1.)); #449002=DIRECTION('ref_axis',(1.,0.,0.)); #449003=DIRECTION('center_axis',(0.,0.,1.)); #449004=DIRECTION('ref_axis',(1.,0.,0.)); #449005=DIRECTION('center_axis',(0.,0.,1.)); #449006=DIRECTION('ref_axis',(1.,0.,0.)); #449007=DIRECTION('center_axis',(0.,0.,1.)); #449008=DIRECTION('ref_axis',(1.,0.,0.)); #449009=DIRECTION('',(0.,0.,1.)); #449010=DIRECTION('center_axis',(0.,0.,-1.)); #449011=DIRECTION('ref_axis',(1.,0.,0.)); #449012=DIRECTION('center_axis',(0.,0.,1.)); #449013=DIRECTION('ref_axis',(1.,0.,0.)); #449014=DIRECTION('center_axis',(0.,0.,1.)); #449015=DIRECTION('ref_axis',(1.,0.,0.)); #449016=DIRECTION('',(0.,0.,1.)); #449017=DIRECTION('center_axis',(0.,0.,-1.)); #449018=DIRECTION('ref_axis',(1.,0.,0.)); #449019=DIRECTION('center_axis',(0.,0.,1.)); #449020=DIRECTION('ref_axis',(1.,0.,0.)); #449021=DIRECTION('center_axis',(0.,0.,1.)); #449022=DIRECTION('ref_axis',(1.,0.,0.)); #449023=DIRECTION('',(0.,0.,1.)); #449024=DIRECTION('center_axis',(0.,0.,-1.)); #449025=DIRECTION('ref_axis',(1.,0.,0.)); #449026=DIRECTION('center_axis',(0.,0.,1.)); #449027=DIRECTION('ref_axis',(1.,0.,0.)); #449028=DIRECTION('center_axis',(0.,0.,1.)); #449029=DIRECTION('ref_axis',(1.,0.,0.)); #449030=DIRECTION('',(0.,0.,1.)); #449031=DIRECTION('center_axis',(0.,0.,-1.)); #449032=DIRECTION('ref_axis',(1.,0.,0.)); #449033=DIRECTION('center_axis',(0.,0.,1.)); #449034=DIRECTION('ref_axis',(1.,0.,0.)); #449035=DIRECTION('center_axis',(0.,0.,1.)); #449036=DIRECTION('ref_axis',(1.,0.,0.)); #449037=DIRECTION('',(0.,0.,1.)); #449038=DIRECTION('center_axis',(0.,0.,-1.)); #449039=DIRECTION('ref_axis',(1.,0.,0.)); #449040=DIRECTION('center_axis',(0.,0.,1.)); #449041=DIRECTION('ref_axis',(1.,0.,0.)); #449042=DIRECTION('center_axis',(0.,0.,1.)); #449043=DIRECTION('ref_axis',(1.,0.,0.)); #449044=DIRECTION('',(0.,0.,1.)); #449045=DIRECTION('center_axis',(0.,0.,-1.)); #449046=DIRECTION('ref_axis',(1.,0.,0.)); #449047=DIRECTION('center_axis',(0.,0.,1.)); #449048=DIRECTION('ref_axis',(1.,0.,0.)); #449049=DIRECTION('center_axis',(0.,0.,1.)); #449050=DIRECTION('ref_axis',(1.,0.,0.)); #449051=DIRECTION('',(0.,0.,1.)); #449052=DIRECTION('center_axis',(0.,0.,-1.)); #449053=DIRECTION('ref_axis',(1.,0.,0.)); #449054=DIRECTION('center_axis',(0.,0.,1.)); #449055=DIRECTION('ref_axis',(1.,0.,0.)); #449056=DIRECTION('center_axis',(0.,0.,1.)); #449057=DIRECTION('ref_axis',(1.,0.,0.)); #449058=DIRECTION('',(0.,0.,1.)); #449059=DIRECTION('center_axis',(0.,0.,-1.)); #449060=DIRECTION('ref_axis',(1.,0.,0.)); #449061=DIRECTION('center_axis',(0.,0.,1.)); #449062=DIRECTION('ref_axis',(1.,0.,0.)); #449063=DIRECTION('center_axis',(0.,0.,1.)); #449064=DIRECTION('ref_axis',(1.,0.,0.)); #449065=DIRECTION('',(0.,0.,1.)); #449066=DIRECTION('center_axis',(0.,0.,-1.)); #449067=DIRECTION('ref_axis',(1.,0.,0.)); #449068=DIRECTION('center_axis',(0.,0.,1.)); #449069=DIRECTION('ref_axis',(1.,0.,0.)); #449070=DIRECTION('center_axis',(0.,0.,1.)); #449071=DIRECTION('ref_axis',(1.,0.,0.)); #449072=DIRECTION('',(0.,0.,1.)); #449073=DIRECTION('center_axis',(0.,0.,-1.)); #449074=DIRECTION('ref_axis',(1.,0.,0.)); #449075=DIRECTION('center_axis',(0.,0.,1.)); #449076=DIRECTION('ref_axis',(1.,0.,0.)); #449077=DIRECTION('center_axis',(0.,0.,1.)); #449078=DIRECTION('ref_axis',(1.,0.,0.)); #449079=DIRECTION('',(0.,0.,1.)); #449080=DIRECTION('center_axis',(0.,0.,-1.)); #449081=DIRECTION('ref_axis',(1.,0.,0.)); #449082=DIRECTION('center_axis',(0.,0.,1.)); #449083=DIRECTION('ref_axis',(1.,0.,0.)); #449084=DIRECTION('center_axis',(0.,0.,1.)); #449085=DIRECTION('ref_axis',(1.,0.,0.)); #449086=DIRECTION('',(0.,0.,1.)); #449087=DIRECTION('center_axis',(0.,0.,-1.)); #449088=DIRECTION('ref_axis',(1.,0.,0.)); #449089=DIRECTION('center_axis',(0.,0.,1.)); #449090=DIRECTION('ref_axis',(1.,0.,0.)); #449091=DIRECTION('center_axis',(0.,0.,1.)); #449092=DIRECTION('ref_axis',(1.,0.,0.)); #449093=DIRECTION('',(0.,0.,1.)); #449094=DIRECTION('center_axis',(0.,0.,-1.)); #449095=DIRECTION('ref_axis',(1.,0.,0.)); #449096=DIRECTION('center_axis',(0.,0.,1.)); #449097=DIRECTION('ref_axis',(1.,0.,0.)); #449098=DIRECTION('center_axis',(0.,0.,1.)); #449099=DIRECTION('ref_axis',(1.,0.,0.)); #449100=DIRECTION('',(0.,0.,1.)); #449101=DIRECTION('center_axis',(0.,0.,-1.)); #449102=DIRECTION('ref_axis',(1.,0.,0.)); #449103=DIRECTION('center_axis',(0.,0.,1.)); #449104=DIRECTION('ref_axis',(1.,0.,0.)); #449105=DIRECTION('center_axis',(0.,0.,1.)); #449106=DIRECTION('ref_axis',(1.,0.,0.)); #449107=DIRECTION('',(0.,0.,1.)); #449108=DIRECTION('center_axis',(0.,0.,-1.)); #449109=DIRECTION('ref_axis',(1.,0.,0.)); #449110=DIRECTION('center_axis',(1.,0.,0.)); #449111=DIRECTION('ref_axis',(0.,1.,0.)); #449112=DIRECTION('',(0.,1.,0.)); #449113=DIRECTION('',(0.,0.,1.)); #449114=DIRECTION('',(0.,1.,0.)); #449115=DIRECTION('',(0.,0.,1.)); #449116=DIRECTION('center_axis',(0.,-1.,0.)); #449117=DIRECTION('ref_axis',(1.,0.,0.)); #449118=DIRECTION('',(1.,0.,0.)); #449119=DIRECTION('',(1.,0.,0.)); #449120=DIRECTION('',(0.,0.,1.)); #449121=DIRECTION('center_axis',(-1.,0.,0.)); #449122=DIRECTION('ref_axis',(0.,-1.,0.)); #449123=DIRECTION('',(0.,-1.,0.)); #449124=DIRECTION('',(0.,-1.,0.)); #449125=DIRECTION('',(0.,0.,1.)); #449126=DIRECTION('center_axis',(0.,1.,0.)); #449127=DIRECTION('ref_axis',(-1.,0.,0.)); #449128=DIRECTION('',(-1.,0.,0.)); #449129=DIRECTION('',(-1.,0.,0.)); #449130=DIRECTION('center_axis',(0.,0.,1.)); #449131=DIRECTION('ref_axis',(1.,0.,0.)); #449132=DIRECTION('center_axis',(0.,0.,1.)); #449133=DIRECTION('ref_axis',(1.,0.,0.)); #449134=DIRECTION('center_axis',(1.,0.,0.)); #449135=DIRECTION('ref_axis',(0.,1.,0.)); #449136=DIRECTION('',(0.,1.,0.)); #449137=DIRECTION('',(0.,0.,1.)); #449138=DIRECTION('',(0.,1.,0.)); #449139=DIRECTION('',(0.,0.,1.)); #449140=DIRECTION('center_axis',(0.,-1.,0.)); #449141=DIRECTION('ref_axis',(1.,0.,0.)); #449142=DIRECTION('',(1.,0.,0.)); #449143=DIRECTION('',(1.,0.,0.)); #449144=DIRECTION('',(0.,0.,1.)); #449145=DIRECTION('center_axis',(-1.,0.,0.)); #449146=DIRECTION('ref_axis',(0.,-1.,0.)); #449147=DIRECTION('',(0.,-1.,0.)); #449148=DIRECTION('',(0.,-1.,0.)); #449149=DIRECTION('',(0.,0.,1.)); #449150=DIRECTION('center_axis',(0.,1.,0.)); #449151=DIRECTION('ref_axis',(-1.,0.,0.)); #449152=DIRECTION('',(-1.,0.,0.)); #449153=DIRECTION('',(-1.,0.,0.)); #449154=DIRECTION('center_axis',(0.,0.,1.)); #449155=DIRECTION('ref_axis',(1.,0.,0.)); #449156=DIRECTION('center_axis',(0.,0.,1.)); #449157=DIRECTION('ref_axis',(1.,0.,0.)); #449158=DIRECTION('center_axis',(1.,0.,0.)); #449159=DIRECTION('ref_axis',(0.,1.,0.)); #449160=DIRECTION('',(0.,1.,0.)); #449161=DIRECTION('',(0.,0.,1.)); #449162=DIRECTION('',(0.,1.,0.)); #449163=DIRECTION('',(0.,0.,1.)); #449164=DIRECTION('center_axis',(0.,-1.,0.)); #449165=DIRECTION('ref_axis',(1.,0.,0.)); #449166=DIRECTION('',(1.,0.,0.)); #449167=DIRECTION('',(1.,0.,0.)); #449168=DIRECTION('',(0.,0.,1.)); #449169=DIRECTION('center_axis',(-1.,0.,0.)); #449170=DIRECTION('ref_axis',(0.,-1.,0.)); #449171=DIRECTION('',(0.,-1.,0.)); #449172=DIRECTION('',(0.,-1.,0.)); #449173=DIRECTION('',(0.,0.,1.)); #449174=DIRECTION('center_axis',(0.,1.,0.)); #449175=DIRECTION('ref_axis',(-1.,0.,0.)); #449176=DIRECTION('',(-1.,0.,0.)); #449177=DIRECTION('',(-1.,0.,0.)); #449178=DIRECTION('center_axis',(0.,0.,1.)); #449179=DIRECTION('ref_axis',(1.,0.,0.)); #449180=DIRECTION('center_axis',(0.,0.,1.)); #449181=DIRECTION('ref_axis',(1.,0.,0.)); #449182=DIRECTION('center_axis',(1.,0.,0.)); #449183=DIRECTION('ref_axis',(0.,1.,0.)); #449184=DIRECTION('',(0.,1.,0.)); #449185=DIRECTION('',(0.,0.,1.)); #449186=DIRECTION('',(0.,1.,0.)); #449187=DIRECTION('',(0.,0.,1.)); #449188=DIRECTION('center_axis',(0.,-1.,0.)); #449189=DIRECTION('ref_axis',(1.,0.,0.)); #449190=DIRECTION('',(1.,0.,0.)); #449191=DIRECTION('',(1.,0.,0.)); #449192=DIRECTION('',(0.,0.,1.)); #449193=DIRECTION('center_axis',(-1.,0.,0.)); #449194=DIRECTION('ref_axis',(0.,-1.,0.)); #449195=DIRECTION('',(0.,-1.,0.)); #449196=DIRECTION('',(0.,-1.,0.)); #449197=DIRECTION('',(0.,0.,1.)); #449198=DIRECTION('center_axis',(0.,1.,0.)); #449199=DIRECTION('ref_axis',(-1.,0.,0.)); #449200=DIRECTION('',(-1.,0.,0.)); #449201=DIRECTION('',(-1.,0.,0.)); #449202=DIRECTION('center_axis',(0.,0.,1.)); #449203=DIRECTION('ref_axis',(1.,0.,0.)); #449204=DIRECTION('center_axis',(0.,0.,1.)); #449205=DIRECTION('ref_axis',(1.,0.,0.)); #449206=DIRECTION('center_axis',(1.,0.,0.)); #449207=DIRECTION('ref_axis',(0.,1.,0.)); #449208=DIRECTION('',(0.,1.,0.)); #449209=DIRECTION('',(0.,0.,1.)); #449210=DIRECTION('',(0.,1.,0.)); #449211=DIRECTION('',(0.,0.,1.)); #449212=DIRECTION('center_axis',(0.,-1.,0.)); #449213=DIRECTION('ref_axis',(1.,0.,0.)); #449214=DIRECTION('',(1.,0.,0.)); #449215=DIRECTION('',(1.,0.,0.)); #449216=DIRECTION('',(0.,0.,1.)); #449217=DIRECTION('center_axis',(-1.,0.,0.)); #449218=DIRECTION('ref_axis',(0.,-1.,0.)); #449219=DIRECTION('',(0.,-1.,0.)); #449220=DIRECTION('',(0.,-1.,0.)); #449221=DIRECTION('',(0.,0.,1.)); #449222=DIRECTION('center_axis',(0.,1.,0.)); #449223=DIRECTION('ref_axis',(-1.,0.,0.)); #449224=DIRECTION('',(-1.,0.,0.)); #449225=DIRECTION('',(-1.,0.,0.)); #449226=DIRECTION('center_axis',(0.,0.,1.)); #449227=DIRECTION('ref_axis',(1.,0.,0.)); #449228=DIRECTION('center_axis',(0.,0.,1.)); #449229=DIRECTION('ref_axis',(1.,0.,0.)); #449230=DIRECTION('center_axis',(1.,0.,0.)); #449231=DIRECTION('ref_axis',(0.,1.,0.)); #449232=DIRECTION('',(0.,1.,0.)); #449233=DIRECTION('',(0.,0.,1.)); #449234=DIRECTION('',(0.,1.,0.)); #449235=DIRECTION('',(0.,0.,1.)); #449236=DIRECTION('center_axis',(0.,-1.,0.)); #449237=DIRECTION('ref_axis',(1.,0.,0.)); #449238=DIRECTION('',(1.,0.,0.)); #449239=DIRECTION('',(1.,0.,0.)); #449240=DIRECTION('',(0.,0.,1.)); #449241=DIRECTION('center_axis',(-1.,0.,0.)); #449242=DIRECTION('ref_axis',(0.,-1.,0.)); #449243=DIRECTION('',(0.,-1.,0.)); #449244=DIRECTION('',(0.,-1.,0.)); #449245=DIRECTION('',(0.,0.,1.)); #449246=DIRECTION('center_axis',(0.,1.,0.)); #449247=DIRECTION('ref_axis',(-1.,0.,0.)); #449248=DIRECTION('',(-1.,0.,0.)); #449249=DIRECTION('',(-1.,0.,0.)); #449250=DIRECTION('center_axis',(0.,0.,1.)); #449251=DIRECTION('ref_axis',(1.,0.,0.)); #449252=DIRECTION('center_axis',(0.,0.,1.)); #449253=DIRECTION('ref_axis',(1.,0.,0.)); #449254=DIRECTION('center_axis',(1.,0.,0.)); #449255=DIRECTION('ref_axis',(0.,1.,0.)); #449256=DIRECTION('',(0.,1.,0.)); #449257=DIRECTION('',(0.,0.,1.)); #449258=DIRECTION('',(0.,1.,0.)); #449259=DIRECTION('',(0.,0.,1.)); #449260=DIRECTION('center_axis',(0.,-1.,0.)); #449261=DIRECTION('ref_axis',(1.,0.,0.)); #449262=DIRECTION('',(1.,0.,0.)); #449263=DIRECTION('',(1.,0.,0.)); #449264=DIRECTION('',(0.,0.,1.)); #449265=DIRECTION('center_axis',(-1.,0.,0.)); #449266=DIRECTION('ref_axis',(0.,-1.,0.)); #449267=DIRECTION('',(0.,-1.,0.)); #449268=DIRECTION('',(0.,-1.,0.)); #449269=DIRECTION('',(0.,0.,1.)); #449270=DIRECTION('center_axis',(0.,1.,0.)); #449271=DIRECTION('ref_axis',(-1.,0.,0.)); #449272=DIRECTION('',(-1.,0.,0.)); #449273=DIRECTION('',(-1.,0.,0.)); #449274=DIRECTION('center_axis',(0.,0.,1.)); #449275=DIRECTION('ref_axis',(1.,0.,0.)); #449276=DIRECTION('center_axis',(0.,0.,1.)); #449277=DIRECTION('ref_axis',(1.,0.,0.)); #449278=DIRECTION('center_axis',(1.,0.,0.)); #449279=DIRECTION('ref_axis',(0.,1.,0.)); #449280=DIRECTION('',(0.,1.,0.)); #449281=DIRECTION('',(0.,0.,1.)); #449282=DIRECTION('',(0.,1.,0.)); #449283=DIRECTION('',(0.,0.,1.)); #449284=DIRECTION('center_axis',(0.,-1.,0.)); #449285=DIRECTION('ref_axis',(1.,0.,0.)); #449286=DIRECTION('',(1.,0.,0.)); #449287=DIRECTION('',(1.,0.,0.)); #449288=DIRECTION('',(0.,0.,1.)); #449289=DIRECTION('center_axis',(-1.,0.,0.)); #449290=DIRECTION('ref_axis',(0.,-1.,0.)); #449291=DIRECTION('',(0.,-1.,0.)); #449292=DIRECTION('',(0.,-1.,0.)); #449293=DIRECTION('',(0.,0.,1.)); #449294=DIRECTION('center_axis',(0.,1.,0.)); #449295=DIRECTION('ref_axis',(-1.,0.,0.)); #449296=DIRECTION('',(-1.,0.,0.)); #449297=DIRECTION('',(-1.,0.,0.)); #449298=DIRECTION('center_axis',(0.,0.,1.)); #449299=DIRECTION('ref_axis',(1.,0.,0.)); #449300=DIRECTION('center_axis',(0.,0.,1.)); #449301=DIRECTION('ref_axis',(1.,0.,0.)); #449302=DIRECTION('center_axis',(1.,0.,0.)); #449303=DIRECTION('ref_axis',(0.,1.,0.)); #449304=DIRECTION('',(0.,1.,0.)); #449305=DIRECTION('',(0.,0.,1.)); #449306=DIRECTION('',(0.,1.,0.)); #449307=DIRECTION('',(0.,0.,1.)); #449308=DIRECTION('center_axis',(0.,-1.,0.)); #449309=DIRECTION('ref_axis',(1.,0.,0.)); #449310=DIRECTION('',(1.,0.,0.)); #449311=DIRECTION('',(1.,0.,0.)); #449312=DIRECTION('',(0.,0.,1.)); #449313=DIRECTION('center_axis',(-1.,0.,0.)); #449314=DIRECTION('ref_axis',(0.,-1.,0.)); #449315=DIRECTION('',(0.,-1.,0.)); #449316=DIRECTION('',(0.,-1.,0.)); #449317=DIRECTION('',(0.,0.,1.)); #449318=DIRECTION('center_axis',(0.,1.,0.)); #449319=DIRECTION('ref_axis',(-1.,0.,0.)); #449320=DIRECTION('',(-1.,0.,0.)); #449321=DIRECTION('',(-1.,0.,0.)); #449322=DIRECTION('center_axis',(0.,0.,1.)); #449323=DIRECTION('ref_axis',(1.,0.,0.)); #449324=DIRECTION('center_axis',(0.,0.,1.)); #449325=DIRECTION('ref_axis',(1.,0.,0.)); #449326=DIRECTION('center_axis',(1.,0.,0.)); #449327=DIRECTION('ref_axis',(0.,1.,0.)); #449328=DIRECTION('',(0.,1.,0.)); #449329=DIRECTION('',(0.,0.,1.)); #449330=DIRECTION('',(0.,1.,0.)); #449331=DIRECTION('',(0.,0.,1.)); #449332=DIRECTION('center_axis',(0.,-1.,0.)); #449333=DIRECTION('ref_axis',(1.,0.,0.)); #449334=DIRECTION('',(1.,0.,0.)); #449335=DIRECTION('',(1.,0.,0.)); #449336=DIRECTION('',(0.,0.,1.)); #449337=DIRECTION('center_axis',(-1.,0.,0.)); #449338=DIRECTION('ref_axis',(0.,-1.,0.)); #449339=DIRECTION('',(0.,-1.,0.)); #449340=DIRECTION('',(0.,-1.,0.)); #449341=DIRECTION('',(0.,0.,1.)); #449342=DIRECTION('center_axis',(0.,1.,0.)); #449343=DIRECTION('ref_axis',(-1.,0.,0.)); #449344=DIRECTION('',(-1.,0.,0.)); #449345=DIRECTION('',(-1.,0.,0.)); #449346=DIRECTION('center_axis',(0.,0.,1.)); #449347=DIRECTION('ref_axis',(1.,0.,0.)); #449348=DIRECTION('center_axis',(0.,0.,1.)); #449349=DIRECTION('ref_axis',(1.,0.,0.)); #449350=DIRECTION('center_axis',(1.,0.,0.)); #449351=DIRECTION('ref_axis',(0.,1.,0.)); #449352=DIRECTION('',(0.,1.,0.)); #449353=DIRECTION('',(0.,0.,1.)); #449354=DIRECTION('',(0.,1.,0.)); #449355=DIRECTION('',(0.,0.,1.)); #449356=DIRECTION('center_axis',(0.,-1.,0.)); #449357=DIRECTION('ref_axis',(1.,0.,0.)); #449358=DIRECTION('',(1.,0.,0.)); #449359=DIRECTION('',(1.,0.,0.)); #449360=DIRECTION('',(0.,0.,1.)); #449361=DIRECTION('center_axis',(-1.,0.,0.)); #449362=DIRECTION('ref_axis',(0.,-1.,0.)); #449363=DIRECTION('',(0.,-1.,0.)); #449364=DIRECTION('',(0.,-1.,0.)); #449365=DIRECTION('',(0.,0.,1.)); #449366=DIRECTION('center_axis',(0.,1.,0.)); #449367=DIRECTION('ref_axis',(-1.,0.,0.)); #449368=DIRECTION('',(-1.,0.,0.)); #449369=DIRECTION('',(-1.,0.,0.)); #449370=DIRECTION('center_axis',(0.,0.,1.)); #449371=DIRECTION('ref_axis',(1.,0.,0.)); #449372=DIRECTION('center_axis',(0.,0.,1.)); #449373=DIRECTION('ref_axis',(1.,0.,0.)); #449374=DIRECTION('center_axis',(1.,0.,0.)); #449375=DIRECTION('ref_axis',(0.,1.,0.)); #449376=DIRECTION('',(0.,1.,0.)); #449377=DIRECTION('',(0.,0.,1.)); #449378=DIRECTION('',(0.,1.,0.)); #449379=DIRECTION('',(0.,0.,1.)); #449380=DIRECTION('center_axis',(0.,-1.,0.)); #449381=DIRECTION('ref_axis',(1.,0.,0.)); #449382=DIRECTION('',(1.,0.,0.)); #449383=DIRECTION('',(1.,0.,0.)); #449384=DIRECTION('',(0.,0.,1.)); #449385=DIRECTION('center_axis',(-1.,0.,0.)); #449386=DIRECTION('ref_axis',(0.,-1.,0.)); #449387=DIRECTION('',(0.,-1.,0.)); #449388=DIRECTION('',(0.,-1.,0.)); #449389=DIRECTION('',(0.,0.,1.)); #449390=DIRECTION('center_axis',(0.,1.,0.)); #449391=DIRECTION('ref_axis',(-1.,0.,0.)); #449392=DIRECTION('',(-1.,0.,0.)); #449393=DIRECTION('',(-1.,0.,0.)); #449394=DIRECTION('center_axis',(0.,0.,1.)); #449395=DIRECTION('ref_axis',(1.,0.,0.)); #449396=DIRECTION('center_axis',(0.,0.,1.)); #449397=DIRECTION('ref_axis',(1.,0.,0.)); #449398=DIRECTION('center_axis',(1.,0.,0.)); #449399=DIRECTION('ref_axis',(0.,1.,0.)); #449400=DIRECTION('',(0.,1.,0.)); #449401=DIRECTION('',(0.,0.,1.)); #449402=DIRECTION('',(0.,1.,0.)); #449403=DIRECTION('',(0.,0.,1.)); #449404=DIRECTION('center_axis',(0.,-1.,0.)); #449405=DIRECTION('ref_axis',(1.,0.,0.)); #449406=DIRECTION('',(1.,0.,0.)); #449407=DIRECTION('',(1.,0.,0.)); #449408=DIRECTION('',(0.,0.,1.)); #449409=DIRECTION('center_axis',(-1.,0.,0.)); #449410=DIRECTION('ref_axis',(0.,-1.,0.)); #449411=DIRECTION('',(0.,-1.,0.)); #449412=DIRECTION('',(0.,-1.,0.)); #449413=DIRECTION('',(0.,0.,1.)); #449414=DIRECTION('center_axis',(0.,1.,0.)); #449415=DIRECTION('ref_axis',(-1.,0.,0.)); #449416=DIRECTION('',(-1.,0.,0.)); #449417=DIRECTION('',(-1.,0.,0.)); #449418=DIRECTION('center_axis',(0.,0.,1.)); #449419=DIRECTION('ref_axis',(1.,0.,0.)); #449420=DIRECTION('center_axis',(0.,0.,1.)); #449421=DIRECTION('ref_axis',(1.,0.,0.)); #449422=DIRECTION('center_axis',(1.,0.,0.)); #449423=DIRECTION('ref_axis',(0.,1.,0.)); #449424=DIRECTION('',(0.,1.,0.)); #449425=DIRECTION('',(0.,0.,1.)); #449426=DIRECTION('',(0.,1.,0.)); #449427=DIRECTION('',(0.,0.,1.)); #449428=DIRECTION('center_axis',(0.,-1.,0.)); #449429=DIRECTION('ref_axis',(1.,0.,0.)); #449430=DIRECTION('',(1.,0.,0.)); #449431=DIRECTION('',(1.,0.,0.)); #449432=DIRECTION('',(0.,0.,1.)); #449433=DIRECTION('center_axis',(-1.,0.,0.)); #449434=DIRECTION('ref_axis',(0.,-1.,0.)); #449435=DIRECTION('',(0.,-1.,0.)); #449436=DIRECTION('',(0.,-1.,0.)); #449437=DIRECTION('',(0.,0.,1.)); #449438=DIRECTION('center_axis',(0.,1.,0.)); #449439=DIRECTION('ref_axis',(-1.,0.,0.)); #449440=DIRECTION('',(-1.,0.,0.)); #449441=DIRECTION('',(-1.,0.,0.)); #449442=DIRECTION('center_axis',(0.,0.,1.)); #449443=DIRECTION('ref_axis',(1.,0.,0.)); #449444=DIRECTION('center_axis',(0.,0.,1.)); #449445=DIRECTION('ref_axis',(1.,0.,0.)); #449446=DIRECTION('center_axis',(1.,0.,0.)); #449447=DIRECTION('ref_axis',(0.,1.,0.)); #449448=DIRECTION('',(0.,1.,0.)); #449449=DIRECTION('',(0.,0.,1.)); #449450=DIRECTION('',(0.,1.,0.)); #449451=DIRECTION('',(0.,0.,1.)); #449452=DIRECTION('center_axis',(0.,-1.,0.)); #449453=DIRECTION('ref_axis',(1.,0.,0.)); #449454=DIRECTION('',(1.,0.,0.)); #449455=DIRECTION('',(1.,0.,0.)); #449456=DIRECTION('',(0.,0.,1.)); #449457=DIRECTION('center_axis',(-1.,0.,0.)); #449458=DIRECTION('ref_axis',(0.,-1.,0.)); #449459=DIRECTION('',(0.,-1.,0.)); #449460=DIRECTION('',(0.,-1.,0.)); #449461=DIRECTION('',(0.,0.,1.)); #449462=DIRECTION('center_axis',(0.,1.,0.)); #449463=DIRECTION('ref_axis',(-1.,0.,0.)); #449464=DIRECTION('',(-1.,0.,0.)); #449465=DIRECTION('',(-1.,0.,0.)); #449466=DIRECTION('center_axis',(0.,0.,1.)); #449467=DIRECTION('ref_axis',(1.,0.,0.)); #449468=DIRECTION('center_axis',(0.,0.,1.)); #449469=DIRECTION('ref_axis',(1.,0.,0.)); #449470=DIRECTION('center_axis',(1.,0.,0.)); #449471=DIRECTION('ref_axis',(0.,1.,0.)); #449472=DIRECTION('',(0.,1.,0.)); #449473=DIRECTION('',(0.,0.,1.)); #449474=DIRECTION('',(0.,1.,0.)); #449475=DIRECTION('',(0.,0.,1.)); #449476=DIRECTION('center_axis',(0.,-1.,0.)); #449477=DIRECTION('ref_axis',(1.,0.,0.)); #449478=DIRECTION('',(1.,0.,0.)); #449479=DIRECTION('',(1.,0.,0.)); #449480=DIRECTION('',(0.,0.,1.)); #449481=DIRECTION('center_axis',(-1.,0.,0.)); #449482=DIRECTION('ref_axis',(0.,-1.,0.)); #449483=DIRECTION('',(0.,-1.,0.)); #449484=DIRECTION('',(0.,-1.,0.)); #449485=DIRECTION('',(0.,0.,1.)); #449486=DIRECTION('center_axis',(0.,1.,0.)); #449487=DIRECTION('ref_axis',(-1.,0.,0.)); #449488=DIRECTION('',(-1.,0.,0.)); #449489=DIRECTION('',(-1.,0.,0.)); #449490=DIRECTION('center_axis',(0.,0.,1.)); #449491=DIRECTION('ref_axis',(1.,0.,0.)); #449492=DIRECTION('center_axis',(0.,0.,1.)); #449493=DIRECTION('ref_axis',(1.,0.,0.)); #449494=DIRECTION('center_axis',(1.,0.,0.)); #449495=DIRECTION('ref_axis',(0.,1.,0.)); #449496=DIRECTION('',(0.,1.,0.)); #449497=DIRECTION('',(0.,0.,1.)); #449498=DIRECTION('',(0.,1.,0.)); #449499=DIRECTION('',(0.,0.,1.)); #449500=DIRECTION('center_axis',(0.,-1.,0.)); #449501=DIRECTION('ref_axis',(1.,0.,0.)); #449502=DIRECTION('',(1.,0.,0.)); #449503=DIRECTION('',(1.,0.,0.)); #449504=DIRECTION('',(0.,0.,1.)); #449505=DIRECTION('center_axis',(-1.,0.,0.)); #449506=DIRECTION('ref_axis',(0.,-1.,0.)); #449507=DIRECTION('',(0.,-1.,0.)); #449508=DIRECTION('',(0.,-1.,0.)); #449509=DIRECTION('',(0.,0.,1.)); #449510=DIRECTION('center_axis',(0.,1.,0.)); #449511=DIRECTION('ref_axis',(-1.,0.,0.)); #449512=DIRECTION('',(-1.,0.,0.)); #449513=DIRECTION('',(-1.,0.,0.)); #449514=DIRECTION('center_axis',(0.,0.,1.)); #449515=DIRECTION('ref_axis',(1.,0.,0.)); #449516=DIRECTION('center_axis',(0.,0.,1.)); #449517=DIRECTION('ref_axis',(1.,0.,0.)); #449518=DIRECTION('center_axis',(1.,0.,0.)); #449519=DIRECTION('ref_axis',(0.,1.,0.)); #449520=DIRECTION('',(0.,1.,0.)); #449521=DIRECTION('',(0.,0.,1.)); #449522=DIRECTION('',(0.,1.,0.)); #449523=DIRECTION('',(0.,0.,1.)); #449524=DIRECTION('center_axis',(0.,-1.,0.)); #449525=DIRECTION('ref_axis',(1.,0.,0.)); #449526=DIRECTION('',(1.,0.,0.)); #449527=DIRECTION('',(1.,0.,0.)); #449528=DIRECTION('',(0.,0.,1.)); #449529=DIRECTION('center_axis',(-1.,0.,0.)); #449530=DIRECTION('ref_axis',(0.,-1.,0.)); #449531=DIRECTION('',(0.,-1.,0.)); #449532=DIRECTION('',(0.,-1.,0.)); #449533=DIRECTION('',(0.,0.,1.)); #449534=DIRECTION('center_axis',(0.,1.,0.)); #449535=DIRECTION('ref_axis',(-1.,0.,0.)); #449536=DIRECTION('',(-1.,0.,0.)); #449537=DIRECTION('',(-1.,0.,0.)); #449538=DIRECTION('center_axis',(0.,0.,1.)); #449539=DIRECTION('ref_axis',(1.,0.,0.)); #449540=DIRECTION('center_axis',(0.,0.,1.)); #449541=DIRECTION('ref_axis',(1.,0.,0.)); #449542=DIRECTION('center_axis',(1.,0.,0.)); #449543=DIRECTION('ref_axis',(0.,1.,0.)); #449544=DIRECTION('',(0.,1.,0.)); #449545=DIRECTION('',(0.,0.,1.)); #449546=DIRECTION('',(0.,1.,0.)); #449547=DIRECTION('',(0.,0.,1.)); #449548=DIRECTION('center_axis',(0.,-1.,0.)); #449549=DIRECTION('ref_axis',(1.,0.,0.)); #449550=DIRECTION('',(1.,0.,0.)); #449551=DIRECTION('',(1.,0.,0.)); #449552=DIRECTION('',(0.,0.,1.)); #449553=DIRECTION('center_axis',(-1.,0.,0.)); #449554=DIRECTION('ref_axis',(0.,-1.,0.)); #449555=DIRECTION('',(0.,-1.,0.)); #449556=DIRECTION('',(0.,-1.,0.)); #449557=DIRECTION('',(0.,0.,1.)); #449558=DIRECTION('center_axis',(0.,1.,0.)); #449559=DIRECTION('ref_axis',(-1.,0.,0.)); #449560=DIRECTION('',(-1.,0.,0.)); #449561=DIRECTION('',(-1.,0.,0.)); #449562=DIRECTION('center_axis',(0.,0.,1.)); #449563=DIRECTION('ref_axis',(1.,0.,0.)); #449564=DIRECTION('center_axis',(0.,0.,1.)); #449565=DIRECTION('ref_axis',(1.,0.,0.)); #449566=DIRECTION('center_axis',(1.,0.,0.)); #449567=DIRECTION('ref_axis',(0.,1.,0.)); #449568=DIRECTION('',(0.,1.,0.)); #449569=DIRECTION('',(0.,0.,1.)); #449570=DIRECTION('',(0.,1.,0.)); #449571=DIRECTION('',(0.,0.,1.)); #449572=DIRECTION('center_axis',(0.,-1.,0.)); #449573=DIRECTION('ref_axis',(1.,0.,0.)); #449574=DIRECTION('',(1.,0.,0.)); #449575=DIRECTION('',(1.,0.,0.)); #449576=DIRECTION('',(0.,0.,1.)); #449577=DIRECTION('center_axis',(-1.,0.,0.)); #449578=DIRECTION('ref_axis',(0.,-1.,0.)); #449579=DIRECTION('',(0.,-1.,0.)); #449580=DIRECTION('',(0.,-1.,0.)); #449581=DIRECTION('',(0.,0.,1.)); #449582=DIRECTION('center_axis',(0.,1.,0.)); #449583=DIRECTION('ref_axis',(-1.,0.,0.)); #449584=DIRECTION('',(-1.,0.,0.)); #449585=DIRECTION('',(-1.,0.,0.)); #449586=DIRECTION('center_axis',(0.,0.,1.)); #449587=DIRECTION('ref_axis',(1.,0.,0.)); #449588=DIRECTION('center_axis',(0.,0.,1.)); #449589=DIRECTION('ref_axis',(1.,0.,0.)); #449590=DIRECTION('center_axis',(1.,0.,0.)); #449591=DIRECTION('ref_axis',(0.,1.,0.)); #449592=DIRECTION('',(0.,1.,0.)); #449593=DIRECTION('',(0.,0.,1.)); #449594=DIRECTION('',(0.,1.,0.)); #449595=DIRECTION('',(0.,0.,1.)); #449596=DIRECTION('center_axis',(0.,-1.,0.)); #449597=DIRECTION('ref_axis',(1.,0.,0.)); #449598=DIRECTION('',(1.,0.,0.)); #449599=DIRECTION('',(1.,0.,0.)); #449600=DIRECTION('',(0.,0.,1.)); #449601=DIRECTION('center_axis',(-1.,0.,0.)); #449602=DIRECTION('ref_axis',(0.,-1.,0.)); #449603=DIRECTION('',(0.,-1.,0.)); #449604=DIRECTION('',(0.,-1.,0.)); #449605=DIRECTION('',(0.,0.,1.)); #449606=DIRECTION('center_axis',(0.,1.,0.)); #449607=DIRECTION('ref_axis',(-1.,0.,0.)); #449608=DIRECTION('',(-1.,0.,0.)); #449609=DIRECTION('',(-1.,0.,0.)); #449610=DIRECTION('center_axis',(0.,0.,1.)); #449611=DIRECTION('ref_axis',(1.,0.,0.)); #449612=DIRECTION('center_axis',(0.,0.,1.)); #449613=DIRECTION('ref_axis',(1.,0.,0.)); #449614=DIRECTION('center_axis',(0.,0.,1.)); #449615=DIRECTION('ref_axis',(1.,0.,0.)); #449616=DIRECTION('center_axis',(0.,0.,1.)); #449617=DIRECTION('ref_axis',(1.,0.,0.)); #449618=DIRECTION('',(0.,0.,1.)); #449619=DIRECTION('center_axis',(0.,0.,-1.)); #449620=DIRECTION('ref_axis',(1.,0.,0.)); #449621=DIRECTION('center_axis',(0.,0.,1.)); #449622=DIRECTION('ref_axis',(1.,0.,0.)); #449623=DIRECTION('center_axis',(0.,0.,1.)); #449624=DIRECTION('ref_axis',(1.,0.,0.)); #449625=DIRECTION('',(0.,0.,1.)); #449626=DIRECTION('center_axis',(0.,0.,-1.)); #449627=DIRECTION('ref_axis',(1.,0.,0.)); #449628=DIRECTION('center_axis',(0.,0.,1.)); #449629=DIRECTION('ref_axis',(1.,0.,0.)); #449630=DIRECTION('center_axis',(0.,0.,1.)); #449631=DIRECTION('ref_axis',(1.,0.,0.)); #449632=DIRECTION('',(0.,0.,1.)); #449633=DIRECTION('center_axis',(0.,0.,-1.)); #449634=DIRECTION('ref_axis',(1.,0.,0.)); #449635=DIRECTION('center_axis',(0.,0.,1.)); #449636=DIRECTION('ref_axis',(1.,0.,0.)); #449637=DIRECTION('center_axis',(0.,0.,1.)); #449638=DIRECTION('ref_axis',(1.,0.,0.)); #449639=DIRECTION('',(0.,0.,1.)); #449640=DIRECTION('center_axis',(0.,0.,-1.)); #449641=DIRECTION('ref_axis',(1.,0.,0.)); #449642=DIRECTION('center_axis',(0.,0.,1.)); #449643=DIRECTION('ref_axis',(1.,0.,0.)); #449644=DIRECTION('center_axis',(0.,0.,1.)); #449645=DIRECTION('ref_axis',(1.,0.,0.)); #449646=DIRECTION('',(0.,0.,1.)); #449647=DIRECTION('center_axis',(0.,0.,-1.)); #449648=DIRECTION('ref_axis',(1.,0.,0.)); #449649=DIRECTION('center_axis',(0.,0.,1.)); #449650=DIRECTION('ref_axis',(1.,0.,0.)); #449651=DIRECTION('center_axis',(0.,0.,1.)); #449652=DIRECTION('ref_axis',(1.,0.,0.)); #449653=DIRECTION('',(0.,0.,1.)); #449654=DIRECTION('center_axis',(0.,0.,-1.)); #449655=DIRECTION('ref_axis',(1.,0.,0.)); #449656=DIRECTION('center_axis',(0.,0.,1.)); #449657=DIRECTION('ref_axis',(1.,0.,0.)); #449658=DIRECTION('center_axis',(0.,0.,1.)); #449659=DIRECTION('ref_axis',(1.,0.,0.)); #449660=DIRECTION('',(0.,0.,1.)); #449661=DIRECTION('center_axis',(0.,0.,-1.)); #449662=DIRECTION('ref_axis',(1.,0.,0.)); #449663=DIRECTION('center_axis',(0.,0.,1.)); #449664=DIRECTION('ref_axis',(1.,0.,0.)); #449665=DIRECTION('center_axis',(0.,0.,1.)); #449666=DIRECTION('ref_axis',(1.,0.,0.)); #449667=DIRECTION('',(0.,0.,1.)); #449668=DIRECTION('center_axis',(0.,0.,-1.)); #449669=DIRECTION('ref_axis',(1.,0.,0.)); #449670=DIRECTION('center_axis',(0.,0.,1.)); #449671=DIRECTION('ref_axis',(1.,0.,0.)); #449672=DIRECTION('center_axis',(0.,0.,1.)); #449673=DIRECTION('ref_axis',(1.,0.,0.)); #449674=DIRECTION('',(0.,0.,1.)); #449675=DIRECTION('center_axis',(0.,0.,-1.)); #449676=DIRECTION('ref_axis',(1.,0.,0.)); #449677=DIRECTION('center_axis',(0.,0.,1.)); #449678=DIRECTION('ref_axis',(1.,0.,0.)); #449679=DIRECTION('center_axis',(0.,0.,1.)); #449680=DIRECTION('ref_axis',(1.,0.,0.)); #449681=DIRECTION('',(0.,0.,1.)); #449682=DIRECTION('center_axis',(0.,0.,-1.)); #449683=DIRECTION('ref_axis',(1.,0.,0.)); #449684=DIRECTION('center_axis',(0.,0.,1.)); #449685=DIRECTION('ref_axis',(1.,0.,0.)); #449686=DIRECTION('center_axis',(0.,0.,1.)); #449687=DIRECTION('ref_axis',(1.,0.,0.)); #449688=DIRECTION('',(0.,0.,1.)); #449689=DIRECTION('center_axis',(0.,0.,-1.)); #449690=DIRECTION('ref_axis',(1.,0.,0.)); #449691=DIRECTION('center_axis',(0.,0.,1.)); #449692=DIRECTION('ref_axis',(1.,0.,0.)); #449693=DIRECTION('center_axis',(0.,0.,1.)); #449694=DIRECTION('ref_axis',(1.,0.,0.)); #449695=DIRECTION('',(0.,0.,1.)); #449696=DIRECTION('center_axis',(0.,0.,-1.)); #449697=DIRECTION('ref_axis',(1.,0.,0.)); #449698=DIRECTION('center_axis',(0.,0.,1.)); #449699=DIRECTION('ref_axis',(1.,0.,0.)); #449700=DIRECTION('center_axis',(0.,0.,1.)); #449701=DIRECTION('ref_axis',(1.,0.,0.)); #449702=DIRECTION('',(0.,0.,1.)); #449703=DIRECTION('center_axis',(0.,0.,-1.)); #449704=DIRECTION('ref_axis',(1.,0.,0.)); #449705=DIRECTION('center_axis',(0.,0.,1.)); #449706=DIRECTION('ref_axis',(1.,0.,0.)); #449707=DIRECTION('center_axis',(0.,0.,1.)); #449708=DIRECTION('ref_axis',(1.,0.,0.)); #449709=DIRECTION('',(0.,0.,1.)); #449710=DIRECTION('center_axis',(0.,0.,-1.)); #449711=DIRECTION('ref_axis',(1.,0.,0.)); #449712=DIRECTION('center_axis',(0.,0.,1.)); #449713=DIRECTION('ref_axis',(1.,0.,0.)); #449714=DIRECTION('center_axis',(0.,0.,1.)); #449715=DIRECTION('ref_axis',(1.,0.,0.)); #449716=DIRECTION('',(0.,0.,1.)); #449717=DIRECTION('center_axis',(0.,0.,-1.)); #449718=DIRECTION('ref_axis',(1.,0.,0.)); #449719=DIRECTION('center_axis',(1.,0.,0.)); #449720=DIRECTION('ref_axis',(0.,1.,0.)); #449721=DIRECTION('',(0.,1.,0.)); #449722=DIRECTION('',(0.,0.,1.)); #449723=DIRECTION('',(0.,1.,0.)); #449724=DIRECTION('',(0.,0.,1.)); #449725=DIRECTION('center_axis',(0.,-1.,0.)); #449726=DIRECTION('ref_axis',(1.,0.,0.)); #449727=DIRECTION('',(1.,0.,0.)); #449728=DIRECTION('',(1.,0.,0.)); #449729=DIRECTION('',(0.,0.,1.)); #449730=DIRECTION('center_axis',(-1.,0.,0.)); #449731=DIRECTION('ref_axis',(0.,-1.,0.)); #449732=DIRECTION('',(0.,-1.,0.)); #449733=DIRECTION('',(0.,-1.,0.)); #449734=DIRECTION('',(0.,0.,1.)); #449735=DIRECTION('center_axis',(0.,1.,0.)); #449736=DIRECTION('ref_axis',(-1.,0.,0.)); #449737=DIRECTION('',(-1.,0.,0.)); #449738=DIRECTION('',(-1.,0.,0.)); #449739=DIRECTION('center_axis',(0.,0.,1.)); #449740=DIRECTION('ref_axis',(1.,0.,0.)); #449741=DIRECTION('center_axis',(0.,0.,1.)); #449742=DIRECTION('ref_axis',(1.,0.,0.)); #449743=DIRECTION('center_axis',(1.,0.,0.)); #449744=DIRECTION('ref_axis',(0.,1.,0.)); #449745=DIRECTION('',(0.,1.,0.)); #449746=DIRECTION('',(0.,0.,1.)); #449747=DIRECTION('',(0.,1.,0.)); #449748=DIRECTION('',(0.,0.,1.)); #449749=DIRECTION('center_axis',(0.,-1.,0.)); #449750=DIRECTION('ref_axis',(1.,0.,0.)); #449751=DIRECTION('',(1.,0.,0.)); #449752=DIRECTION('',(1.,0.,0.)); #449753=DIRECTION('',(0.,0.,1.)); #449754=DIRECTION('center_axis',(-1.,0.,0.)); #449755=DIRECTION('ref_axis',(0.,-1.,0.)); #449756=DIRECTION('',(0.,-1.,0.)); #449757=DIRECTION('',(0.,-1.,0.)); #449758=DIRECTION('',(0.,0.,1.)); #449759=DIRECTION('center_axis',(0.,1.,0.)); #449760=DIRECTION('ref_axis',(-1.,0.,0.)); #449761=DIRECTION('',(-1.,0.,0.)); #449762=DIRECTION('',(-1.,0.,0.)); #449763=DIRECTION('center_axis',(0.,0.,1.)); #449764=DIRECTION('ref_axis',(1.,0.,0.)); #449765=DIRECTION('center_axis',(0.,0.,1.)); #449766=DIRECTION('ref_axis',(1.,0.,0.)); #449767=DIRECTION('center_axis',(1.,0.,0.)); #449768=DIRECTION('ref_axis',(0.,1.,0.)); #449769=DIRECTION('',(0.,1.,0.)); #449770=DIRECTION('',(0.,0.,1.)); #449771=DIRECTION('',(0.,1.,0.)); #449772=DIRECTION('',(0.,0.,1.)); #449773=DIRECTION('center_axis',(0.,-1.,0.)); #449774=DIRECTION('ref_axis',(1.,0.,0.)); #449775=DIRECTION('',(1.,0.,0.)); #449776=DIRECTION('',(1.,0.,0.)); #449777=DIRECTION('',(0.,0.,1.)); #449778=DIRECTION('center_axis',(-1.,0.,0.)); #449779=DIRECTION('ref_axis',(0.,-1.,0.)); #449780=DIRECTION('',(0.,-1.,0.)); #449781=DIRECTION('',(0.,-1.,0.)); #449782=DIRECTION('',(0.,0.,1.)); #449783=DIRECTION('center_axis',(0.,1.,0.)); #449784=DIRECTION('ref_axis',(-1.,0.,0.)); #449785=DIRECTION('',(-1.,0.,0.)); #449786=DIRECTION('',(-1.,0.,0.)); #449787=DIRECTION('center_axis',(0.,0.,1.)); #449788=DIRECTION('ref_axis',(1.,0.,0.)); #449789=DIRECTION('center_axis',(0.,0.,1.)); #449790=DIRECTION('ref_axis',(1.,0.,0.)); #449791=DIRECTION('center_axis',(1.,0.,0.)); #449792=DIRECTION('ref_axis',(0.,1.,0.)); #449793=DIRECTION('',(0.,1.,0.)); #449794=DIRECTION('',(0.,0.,1.)); #449795=DIRECTION('',(0.,1.,0.)); #449796=DIRECTION('',(0.,0.,1.)); #449797=DIRECTION('center_axis',(0.,-1.,0.)); #449798=DIRECTION('ref_axis',(1.,0.,0.)); #449799=DIRECTION('',(1.,0.,0.)); #449800=DIRECTION('',(1.,0.,0.)); #449801=DIRECTION('',(0.,0.,1.)); #449802=DIRECTION('center_axis',(-1.,0.,0.)); #449803=DIRECTION('ref_axis',(0.,-1.,0.)); #449804=DIRECTION('',(0.,-1.,0.)); #449805=DIRECTION('',(0.,-1.,0.)); #449806=DIRECTION('',(0.,0.,1.)); #449807=DIRECTION('center_axis',(0.,1.,0.)); #449808=DIRECTION('ref_axis',(-1.,0.,0.)); #449809=DIRECTION('',(-1.,0.,0.)); #449810=DIRECTION('',(-1.,0.,0.)); #449811=DIRECTION('center_axis',(0.,0.,1.)); #449812=DIRECTION('ref_axis',(1.,0.,0.)); #449813=DIRECTION('center_axis',(0.,0.,1.)); #449814=DIRECTION('ref_axis',(1.,0.,0.)); #449815=DIRECTION('center_axis',(1.,0.,0.)); #449816=DIRECTION('ref_axis',(0.,1.,0.)); #449817=DIRECTION('',(0.,1.,0.)); #449818=DIRECTION('',(0.,0.,1.)); #449819=DIRECTION('',(0.,1.,0.)); #449820=DIRECTION('',(0.,0.,1.)); #449821=DIRECTION('center_axis',(0.,-1.,0.)); #449822=DIRECTION('ref_axis',(1.,0.,0.)); #449823=DIRECTION('',(1.,0.,0.)); #449824=DIRECTION('',(1.,0.,0.)); #449825=DIRECTION('',(0.,0.,1.)); #449826=DIRECTION('center_axis',(-1.,0.,0.)); #449827=DIRECTION('ref_axis',(0.,-1.,0.)); #449828=DIRECTION('',(0.,-1.,0.)); #449829=DIRECTION('',(0.,-1.,0.)); #449830=DIRECTION('',(0.,0.,1.)); #449831=DIRECTION('center_axis',(0.,1.,0.)); #449832=DIRECTION('ref_axis',(-1.,0.,0.)); #449833=DIRECTION('',(-1.,0.,0.)); #449834=DIRECTION('',(-1.,0.,0.)); #449835=DIRECTION('center_axis',(0.,0.,1.)); #449836=DIRECTION('ref_axis',(1.,0.,0.)); #449837=DIRECTION('center_axis',(0.,0.,1.)); #449838=DIRECTION('ref_axis',(1.,0.,0.)); #449839=DIRECTION('center_axis',(1.,0.,0.)); #449840=DIRECTION('ref_axis',(0.,1.,0.)); #449841=DIRECTION('',(0.,1.,0.)); #449842=DIRECTION('',(0.,0.,1.)); #449843=DIRECTION('',(0.,1.,0.)); #449844=DIRECTION('',(0.,0.,1.)); #449845=DIRECTION('center_axis',(0.,-1.,0.)); #449846=DIRECTION('ref_axis',(1.,0.,0.)); #449847=DIRECTION('',(1.,0.,0.)); #449848=DIRECTION('',(1.,0.,0.)); #449849=DIRECTION('',(0.,0.,1.)); #449850=DIRECTION('center_axis',(-1.,0.,0.)); #449851=DIRECTION('ref_axis',(0.,-1.,0.)); #449852=DIRECTION('',(0.,-1.,0.)); #449853=DIRECTION('',(0.,-1.,0.)); #449854=DIRECTION('',(0.,0.,1.)); #449855=DIRECTION('center_axis',(0.,1.,0.)); #449856=DIRECTION('ref_axis',(-1.,0.,0.)); #449857=DIRECTION('',(-1.,0.,0.)); #449858=DIRECTION('',(-1.,0.,0.)); #449859=DIRECTION('center_axis',(0.,0.,1.)); #449860=DIRECTION('ref_axis',(1.,0.,0.)); #449861=DIRECTION('center_axis',(0.,0.,1.)); #449862=DIRECTION('ref_axis',(1.,0.,0.)); #449863=DIRECTION('center_axis',(1.,0.,0.)); #449864=DIRECTION('ref_axis',(0.,1.,0.)); #449865=DIRECTION('',(0.,1.,0.)); #449866=DIRECTION('',(0.,0.,1.)); #449867=DIRECTION('',(0.,1.,0.)); #449868=DIRECTION('',(0.,0.,1.)); #449869=DIRECTION('center_axis',(0.,-1.,0.)); #449870=DIRECTION('ref_axis',(1.,0.,0.)); #449871=DIRECTION('',(1.,0.,0.)); #449872=DIRECTION('',(1.,0.,0.)); #449873=DIRECTION('',(0.,0.,1.)); #449874=DIRECTION('center_axis',(-1.,0.,0.)); #449875=DIRECTION('ref_axis',(0.,-1.,0.)); #449876=DIRECTION('',(0.,-1.,0.)); #449877=DIRECTION('',(0.,-1.,0.)); #449878=DIRECTION('',(0.,0.,1.)); #449879=DIRECTION('center_axis',(0.,1.,0.)); #449880=DIRECTION('ref_axis',(-1.,0.,0.)); #449881=DIRECTION('',(-1.,0.,0.)); #449882=DIRECTION('',(-1.,0.,0.)); #449883=DIRECTION('center_axis',(0.,0.,1.)); #449884=DIRECTION('ref_axis',(1.,0.,0.)); #449885=DIRECTION('center_axis',(0.,0.,1.)); #449886=DIRECTION('ref_axis',(1.,0.,0.)); #449887=DIRECTION('center_axis',(0.,0.,1.)); #449888=DIRECTION('ref_axis',(1.,0.,0.)); #449889=DIRECTION('center_axis',(0.,0.,1.)); #449890=DIRECTION('ref_axis',(1.,0.,0.)); #449891=DIRECTION('',(0.,0.,1.)); #449892=DIRECTION('center_axis',(0.,0.,-1.)); #449893=DIRECTION('ref_axis',(1.,0.,0.)); #449894=DIRECTION('center_axis',(1.,0.,0.)); #449895=DIRECTION('ref_axis',(0.,1.,0.)); #449896=DIRECTION('',(0.,1.,0.)); #449897=DIRECTION('',(0.,0.,1.)); #449898=DIRECTION('',(0.,1.,0.)); #449899=DIRECTION('',(0.,0.,1.)); #449900=DIRECTION('center_axis',(0.,-1.,0.)); #449901=DIRECTION('ref_axis',(1.,0.,0.)); #449902=DIRECTION('',(1.,0.,0.)); #449903=DIRECTION('',(1.,0.,0.)); #449904=DIRECTION('',(0.,0.,1.)); #449905=DIRECTION('center_axis',(-1.,0.,0.)); #449906=DIRECTION('ref_axis',(0.,-1.,0.)); #449907=DIRECTION('',(0.,-1.,0.)); #449908=DIRECTION('',(0.,-1.,0.)); #449909=DIRECTION('',(0.,0.,1.)); #449910=DIRECTION('center_axis',(0.,1.,0.)); #449911=DIRECTION('ref_axis',(-1.,0.,0.)); #449912=DIRECTION('',(-1.,0.,0.)); #449913=DIRECTION('',(-1.,0.,0.)); #449914=DIRECTION('center_axis',(0.,0.,1.)); #449915=DIRECTION('ref_axis',(1.,0.,0.)); #449916=DIRECTION('center_axis',(0.,0.,1.)); #449917=DIRECTION('ref_axis',(1.,0.,0.)); #449918=DIRECTION('center_axis',(0.,0.,1.)); #449919=DIRECTION('ref_axis',(1.,0.,0.)); #449920=DIRECTION('center_axis',(0.,0.,1.)); #449921=DIRECTION('ref_axis',(1.,0.,0.)); #449922=DIRECTION('',(0.,0.,1.)); #449923=DIRECTION('center_axis',(0.,0.,-1.)); #449924=DIRECTION('ref_axis',(1.,0.,0.)); #449925=DIRECTION('center_axis',(0.,0.,1.)); #449926=DIRECTION('ref_axis',(1.,0.,0.)); #449927=DIRECTION('center_axis',(0.,0.,1.)); #449928=DIRECTION('ref_axis',(1.,0.,0.)); #449929=DIRECTION('',(0.,0.,1.)); #449930=DIRECTION('center_axis',(0.,0.,-1.)); #449931=DIRECTION('ref_axis',(1.,0.,0.)); #449932=DIRECTION('center_axis',(-1.,0.,0.)); #449933=DIRECTION('ref_axis',(0.,-1.,0.)); #449934=DIRECTION('',(0.,-1.,0.)); #449935=DIRECTION('',(0.,0.,1.)); #449936=DIRECTION('',(0.,-1.,0.)); #449937=DIRECTION('',(0.,0.,1.)); #449938=DIRECTION('center_axis',(0.,1.,0.)); #449939=DIRECTION('ref_axis',(-1.,0.,0.)); #449940=DIRECTION('',(-1.,0.,0.)); #449941=DIRECTION('',(-1.,0.,0.)); #449942=DIRECTION('',(0.,0.,1.)); #449943=DIRECTION('center_axis',(1.,0.,0.)); #449944=DIRECTION('ref_axis',(0.,1.,0.)); #449945=DIRECTION('',(0.,1.,0.)); #449946=DIRECTION('',(0.,1.,0.)); #449947=DIRECTION('',(0.,0.,1.)); #449948=DIRECTION('center_axis',(0.,-1.,0.)); #449949=DIRECTION('ref_axis',(1.,0.,0.)); #449950=DIRECTION('',(1.,0.,0.)); #449951=DIRECTION('',(1.,0.,0.)); #449952=DIRECTION('center_axis',(0.,0.,1.)); #449953=DIRECTION('ref_axis',(1.,0.,0.)); #449954=DIRECTION('center_axis',(0.,0.,1.)); #449955=DIRECTION('ref_axis',(1.,0.,0.)); #449956=DIRECTION('center_axis',(0.,0.,1.)); #449957=DIRECTION('ref_axis',(1.,0.,0.)); #449958=DIRECTION('center_axis',(0.,0.,1.)); #449959=DIRECTION('ref_axis',(1.,0.,0.)); #449960=DIRECTION('',(0.,0.,1.)); #449961=DIRECTION('center_axis',(0.,0.,-1.)); #449962=DIRECTION('ref_axis',(1.,0.,0.)); #449963=DIRECTION('center_axis',(0.,0.,1.)); #449964=DIRECTION('ref_axis',(1.,0.,0.)); #449965=DIRECTION('center_axis',(0.,0.,1.)); #449966=DIRECTION('ref_axis',(1.,0.,0.)); #449967=DIRECTION('',(0.,0.,1.)); #449968=DIRECTION('center_axis',(0.,0.,-1.)); #449969=DIRECTION('ref_axis',(1.,0.,0.)); #449970=DIRECTION('center_axis',(-1.,0.,0.)); #449971=DIRECTION('ref_axis',(0.,-1.,0.)); #449972=DIRECTION('',(0.,-1.,0.)); #449973=DIRECTION('',(0.,0.,1.)); #449974=DIRECTION('',(0.,-1.,0.)); #449975=DIRECTION('',(0.,0.,1.)); #449976=DIRECTION('center_axis',(0.,1.,0.)); #449977=DIRECTION('ref_axis',(-1.,0.,0.)); #449978=DIRECTION('',(-1.,0.,0.)); #449979=DIRECTION('',(-1.,0.,0.)); #449980=DIRECTION('',(0.,0.,1.)); #449981=DIRECTION('center_axis',(1.,0.,0.)); #449982=DIRECTION('ref_axis',(0.,1.,0.)); #449983=DIRECTION('',(0.,1.,0.)); #449984=DIRECTION('',(0.,1.,0.)); #449985=DIRECTION('',(0.,0.,1.)); #449986=DIRECTION('center_axis',(0.,-1.,0.)); #449987=DIRECTION('ref_axis',(1.,0.,0.)); #449988=DIRECTION('',(1.,0.,0.)); #449989=DIRECTION('',(1.,0.,0.)); #449990=DIRECTION('center_axis',(0.,0.,1.)); #449991=DIRECTION('ref_axis',(1.,0.,0.)); #449992=DIRECTION('center_axis',(0.,0.,1.)); #449993=DIRECTION('ref_axis',(1.,0.,0.)); #449994=DIRECTION('center_axis',(0.,0.,1.)); #449995=DIRECTION('ref_axis',(1.,0.,0.)); #449996=DIRECTION('center_axis',(0.,0.,1.)); #449997=DIRECTION('ref_axis',(1.,0.,0.)); #449998=DIRECTION('',(0.,0.,1.)); #449999=DIRECTION('center_axis',(0.,0.,-1.)); #450000=DIRECTION('ref_axis',(1.,0.,0.)); #450001=DIRECTION('center_axis',(1.,0.,0.)); #450002=DIRECTION('ref_axis',(0.,1.,0.)); #450003=DIRECTION('',(0.,1.,0.)); #450004=DIRECTION('',(0.,0.,1.)); #450005=DIRECTION('',(0.,1.,0.)); #450006=DIRECTION('',(0.,0.,1.)); #450007=DIRECTION('center_axis',(0.,-1.,0.)); #450008=DIRECTION('ref_axis',(1.,0.,0.)); #450009=DIRECTION('',(1.,0.,0.)); #450010=DIRECTION('',(1.,0.,0.)); #450011=DIRECTION('',(0.,0.,1.)); #450012=DIRECTION('center_axis',(-1.,0.,0.)); #450013=DIRECTION('ref_axis',(0.,-1.,0.)); #450014=DIRECTION('',(0.,-1.,0.)); #450015=DIRECTION('',(0.,-1.,0.)); #450016=DIRECTION('',(0.,0.,1.)); #450017=DIRECTION('center_axis',(0.,1.,0.)); #450018=DIRECTION('ref_axis',(-1.,0.,0.)); #450019=DIRECTION('',(-1.,0.,0.)); #450020=DIRECTION('',(-1.,0.,0.)); #450021=DIRECTION('center_axis',(0.,0.,1.)); #450022=DIRECTION('ref_axis',(1.,0.,0.)); #450023=DIRECTION('center_axis',(0.,0.,1.)); #450024=DIRECTION('ref_axis',(1.,0.,0.)); #450025=DIRECTION('axis',(0.,0.,1.)); #450026=DIRECTION('refdir',(1.,0.,0.)); #450027=DIRECTION('center_axis',(0.,0.,-1.)); #450028=DIRECTION('ref_axis',(1.,0.,0.)); #450029=DIRECTION('center_axis',(0.,0.,-1.)); #450030=DIRECTION('ref_axis',(1.,0.,0.)); #450031=DIRECTION('',(0.,0.,-1.)); #450032=DIRECTION('center_axis',(0.,0.,1.)); #450033=DIRECTION('ref_axis',(1.,0.,0.)); #450034=DIRECTION('center_axis',(0.,0.,-1.)); #450035=DIRECTION('ref_axis',(1.,0.,0.)); #450036=DIRECTION('center_axis',(0.,0.,-1.)); #450037=DIRECTION('ref_axis',(1.,0.,0.)); #450038=DIRECTION('',(0.,0.,-1.)); #450039=DIRECTION('center_axis',(0.,0.,1.)); #450040=DIRECTION('ref_axis',(1.,0.,0.)); #450041=DIRECTION('center_axis',(0.,0.,1.)); #450042=DIRECTION('ref_axis',(1.,0.,0.)); #450043=DIRECTION('center_axis',(0.,0.,1.)); #450044=DIRECTION('ref_axis',(1.,0.,0.)); #450045=DIRECTION('',(0.,0.,1.)); #450046=DIRECTION('center_axis',(0.,0.,-1.)); #450047=DIRECTION('ref_axis',(1.,0.,0.)); #450048=DIRECTION('center_axis',(0.,0.,1.)); #450049=DIRECTION('ref_axis',(1.,0.,0.)); #450050=DIRECTION('center_axis',(0.,0.,1.)); #450051=DIRECTION('ref_axis',(1.,0.,0.)); #450052=DIRECTION('',(0.,0.,1.)); #450053=DIRECTION('center_axis',(0.,0.,-1.)); #450054=DIRECTION('ref_axis',(1.,0.,0.)); #450055=DIRECTION('center_axis',(0.,0.,1.)); #450056=DIRECTION('ref_axis',(1.,0.,0.)); #450057=DIRECTION('center_axis',(0.,0.,1.)); #450058=DIRECTION('ref_axis',(1.,0.,0.)); #450059=DIRECTION('',(0.,0.,1.)); #450060=DIRECTION('center_axis',(0.,0.,-1.)); #450061=DIRECTION('ref_axis',(1.,0.,0.)); #450062=DIRECTION('center_axis',(0.,0.,1.)); #450063=DIRECTION('ref_axis',(1.,0.,0.)); #450064=DIRECTION('center_axis',(0.,0.,1.)); #450065=DIRECTION('ref_axis',(1.,0.,0.)); #450066=DIRECTION('',(0.,0.,1.)); #450067=DIRECTION('center_axis',(0.,0.,-1.)); #450068=DIRECTION('ref_axis',(1.,0.,0.)); #450069=DIRECTION('center_axis',(0.,0.,1.)); #450070=DIRECTION('ref_axis',(1.,0.,0.)); #450071=DIRECTION('center_axis',(0.,0.,1.)); #450072=DIRECTION('ref_axis',(1.,0.,0.)); #450073=DIRECTION('',(0.,0.,1.)); #450074=DIRECTION('center_axis',(0.,0.,-1.)); #450075=DIRECTION('ref_axis',(1.,0.,0.)); #450076=DIRECTION('center_axis',(0.,0.,1.)); #450077=DIRECTION('ref_axis',(1.,0.,0.)); #450078=DIRECTION('center_axis',(0.,0.,1.)); #450079=DIRECTION('ref_axis',(1.,0.,0.)); #450080=DIRECTION('',(0.,0.,1.)); #450081=DIRECTION('center_axis',(0.,0.,-1.)); #450082=DIRECTION('ref_axis',(1.,0.,0.)); #450083=DIRECTION('center_axis',(0.,0.,1.)); #450084=DIRECTION('ref_axis',(1.,0.,0.)); #450085=DIRECTION('center_axis',(0.,0.,1.)); #450086=DIRECTION('ref_axis',(1.,0.,0.)); #450087=DIRECTION('',(0.,0.,1.)); #450088=DIRECTION('center_axis',(0.,0.,-1.)); #450089=DIRECTION('ref_axis',(1.,0.,0.)); #450090=DIRECTION('center_axis',(0.,0.,1.)); #450091=DIRECTION('ref_axis',(1.,0.,0.)); #450092=DIRECTION('center_axis',(0.,0.,1.)); #450093=DIRECTION('ref_axis',(1.,0.,0.)); #450094=DIRECTION('',(0.,0.,1.)); #450095=DIRECTION('center_axis',(0.,0.,-1.)); #450096=DIRECTION('ref_axis',(1.,0.,0.)); #450097=DIRECTION('center_axis',(0.,0.,1.)); #450098=DIRECTION('ref_axis',(1.,0.,0.)); #450099=DIRECTION('center_axis',(0.,0.,1.)); #450100=DIRECTION('ref_axis',(1.,0.,0.)); #450101=DIRECTION('',(0.,0.,1.)); #450102=DIRECTION('center_axis',(0.,0.,-1.)); #450103=DIRECTION('ref_axis',(1.,0.,0.)); #450104=DIRECTION('center_axis',(0.,0.,1.)); #450105=DIRECTION('ref_axis',(1.,0.,0.)); #450106=DIRECTION('center_axis',(0.,0.,1.)); #450107=DIRECTION('ref_axis',(1.,0.,0.)); #450108=DIRECTION('',(0.,0.,1.)); #450109=DIRECTION('center_axis',(0.,0.,-1.)); #450110=DIRECTION('ref_axis',(1.,0.,0.)); #450111=DIRECTION('center_axis',(0.,0.,1.)); #450112=DIRECTION('ref_axis',(1.,0.,0.)); #450113=DIRECTION('center_axis',(0.,0.,1.)); #450114=DIRECTION('ref_axis',(1.,0.,0.)); #450115=DIRECTION('',(0.,0.,1.)); #450116=DIRECTION('center_axis',(0.,0.,-1.)); #450117=DIRECTION('ref_axis',(1.,0.,0.)); #450118=DIRECTION('center_axis',(0.,0.,1.)); #450119=DIRECTION('ref_axis',(1.,0.,0.)); #450120=DIRECTION('center_axis',(0.,0.,1.)); #450121=DIRECTION('ref_axis',(1.,0.,0.)); #450122=DIRECTION('',(0.,0.,1.)); #450123=DIRECTION('center_axis',(0.,0.,-1.)); #450124=DIRECTION('ref_axis',(1.,0.,0.)); #450125=DIRECTION('center_axis',(0.,0.,1.)); #450126=DIRECTION('ref_axis',(1.,0.,0.)); #450127=DIRECTION('center_axis',(0.,0.,1.)); #450128=DIRECTION('ref_axis',(1.,0.,0.)); #450129=DIRECTION('',(0.,0.,1.)); #450130=DIRECTION('center_axis',(0.,0.,-1.)); #450131=DIRECTION('ref_axis',(1.,0.,0.)); #450132=DIRECTION('center_axis',(0.,0.,1.)); #450133=DIRECTION('ref_axis',(1.,0.,0.)); #450134=DIRECTION('center_axis',(0.,0.,1.)); #450135=DIRECTION('ref_axis',(1.,0.,0.)); #450136=DIRECTION('',(0.,0.,1.)); #450137=DIRECTION('center_axis',(0.,0.,-1.)); #450138=DIRECTION('ref_axis',(1.,0.,0.)); #450139=DIRECTION('center_axis',(0.,0.,1.)); #450140=DIRECTION('ref_axis',(1.,0.,0.)); #450141=DIRECTION('center_axis',(0.,0.,1.)); #450142=DIRECTION('ref_axis',(1.,0.,0.)); #450143=DIRECTION('',(0.,0.,1.)); #450144=DIRECTION('center_axis',(0.,0.,-1.)); #450145=DIRECTION('ref_axis',(1.,0.,0.)); #450146=DIRECTION('center_axis',(0.,0.,1.)); #450147=DIRECTION('ref_axis',(1.,0.,0.)); #450148=DIRECTION('center_axis',(0.,0.,1.)); #450149=DIRECTION('ref_axis',(1.,0.,0.)); #450150=DIRECTION('',(0.,0.,1.)); #450151=DIRECTION('center_axis',(0.,0.,-1.)); #450152=DIRECTION('ref_axis',(1.,0.,0.)); #450153=DIRECTION('center_axis',(0.,0.,1.)); #450154=DIRECTION('ref_axis',(1.,0.,0.)); #450155=DIRECTION('center_axis',(0.,0.,1.)); #450156=DIRECTION('ref_axis',(1.,0.,0.)); #450157=DIRECTION('',(0.,0.,1.)); #450158=DIRECTION('center_axis',(0.,0.,-1.)); #450159=DIRECTION('ref_axis',(1.,0.,0.)); #450160=DIRECTION('center_axis',(0.,0.,1.)); #450161=DIRECTION('ref_axis',(1.,0.,0.)); #450162=DIRECTION('center_axis',(0.,0.,1.)); #450163=DIRECTION('ref_axis',(1.,0.,0.)); #450164=DIRECTION('',(0.,0.,1.)); #450165=DIRECTION('center_axis',(0.,0.,-1.)); #450166=DIRECTION('ref_axis',(1.,0.,0.)); #450167=DIRECTION('center_axis',(0.,0.,1.)); #450168=DIRECTION('ref_axis',(1.,0.,0.)); #450169=DIRECTION('center_axis',(0.,0.,1.)); #450170=DIRECTION('ref_axis',(1.,0.,0.)); #450171=DIRECTION('',(0.,0.,1.)); #450172=DIRECTION('center_axis',(0.,0.,-1.)); #450173=DIRECTION('ref_axis',(1.,0.,0.)); #450174=DIRECTION('center_axis',(0.,0.,1.)); #450175=DIRECTION('ref_axis',(1.,0.,0.)); #450176=DIRECTION('center_axis',(0.,0.,1.)); #450177=DIRECTION('ref_axis',(1.,0.,0.)); #450178=DIRECTION('',(0.,0.,1.)); #450179=DIRECTION('center_axis',(0.,0.,-1.)); #450180=DIRECTION('ref_axis',(1.,0.,0.)); #450181=DIRECTION('center_axis',(0.,0.,1.)); #450182=DIRECTION('ref_axis',(1.,0.,0.)); #450183=DIRECTION('center_axis',(0.,0.,1.)); #450184=DIRECTION('ref_axis',(1.,0.,0.)); #450185=DIRECTION('',(0.,0.,1.)); #450186=DIRECTION('center_axis',(0.,0.,-1.)); #450187=DIRECTION('ref_axis',(1.,0.,0.)); #450188=DIRECTION('center_axis',(0.,0.,1.)); #450189=DIRECTION('ref_axis',(1.,0.,0.)); #450190=DIRECTION('center_axis',(0.,0.,1.)); #450191=DIRECTION('ref_axis',(1.,0.,0.)); #450192=DIRECTION('',(0.,0.,1.)); #450193=DIRECTION('center_axis',(0.,0.,-1.)); #450194=DIRECTION('ref_axis',(1.,0.,0.)); #450195=DIRECTION('center_axis',(0.,0.,1.)); #450196=DIRECTION('ref_axis',(1.,0.,0.)); #450197=DIRECTION('center_axis',(0.,0.,1.)); #450198=DIRECTION('ref_axis',(1.,0.,0.)); #450199=DIRECTION('',(0.,0.,1.)); #450200=DIRECTION('center_axis',(0.,0.,-1.)); #450201=DIRECTION('ref_axis',(1.,0.,0.)); #450202=DIRECTION('center_axis',(0.,0.,1.)); #450203=DIRECTION('ref_axis',(1.,0.,0.)); #450204=DIRECTION('center_axis',(0.,0.,1.)); #450205=DIRECTION('ref_axis',(1.,0.,0.)); #450206=DIRECTION('',(0.,0.,1.)); #450207=DIRECTION('center_axis',(0.,0.,-1.)); #450208=DIRECTION('ref_axis',(1.,0.,0.)); #450209=DIRECTION('center_axis',(0.,0.,1.)); #450210=DIRECTION('ref_axis',(1.,0.,0.)); #450211=DIRECTION('center_axis',(0.,0.,1.)); #450212=DIRECTION('ref_axis',(1.,0.,0.)); #450213=DIRECTION('',(0.,0.,1.)); #450214=DIRECTION('center_axis',(0.,0.,-1.)); #450215=DIRECTION('ref_axis',(1.,0.,0.)); #450216=DIRECTION('center_axis',(0.,0.,1.)); #450217=DIRECTION('ref_axis',(1.,0.,0.)); #450218=DIRECTION('center_axis',(0.,0.,1.)); #450219=DIRECTION('ref_axis',(1.,0.,0.)); #450220=DIRECTION('',(0.,0.,1.)); #450221=DIRECTION('center_axis',(0.,0.,-1.)); #450222=DIRECTION('ref_axis',(1.,0.,0.)); #450223=DIRECTION('center_axis',(0.,0.,1.)); #450224=DIRECTION('ref_axis',(1.,0.,0.)); #450225=DIRECTION('center_axis',(0.,0.,1.)); #450226=DIRECTION('ref_axis',(1.,0.,0.)); #450227=DIRECTION('',(0.,0.,1.)); #450228=DIRECTION('center_axis',(0.,0.,-1.)); #450229=DIRECTION('ref_axis',(1.,0.,0.)); #450230=DIRECTION('center_axis',(0.,0.,1.)); #450231=DIRECTION('ref_axis',(1.,0.,0.)); #450232=DIRECTION('center_axis',(0.,0.,1.)); #450233=DIRECTION('ref_axis',(1.,0.,0.)); #450234=DIRECTION('',(0.,0.,1.)); #450235=DIRECTION('center_axis',(0.,0.,-1.)); #450236=DIRECTION('ref_axis',(1.,0.,0.)); #450237=DIRECTION('center_axis',(0.,0.,1.)); #450238=DIRECTION('ref_axis',(1.,0.,0.)); #450239=DIRECTION('center_axis',(0.,0.,1.)); #450240=DIRECTION('ref_axis',(1.,0.,0.)); #450241=DIRECTION('',(0.,0.,1.)); #450242=DIRECTION('center_axis',(0.,0.,-1.)); #450243=DIRECTION('ref_axis',(1.,0.,0.)); #450244=DIRECTION('center_axis',(0.,0.,1.)); #450245=DIRECTION('ref_axis',(1.,0.,0.)); #450246=DIRECTION('center_axis',(0.,0.,1.)); #450247=DIRECTION('ref_axis',(1.,0.,0.)); #450248=DIRECTION('',(0.,0.,1.)); #450249=DIRECTION('center_axis',(0.,0.,-1.)); #450250=DIRECTION('ref_axis',(1.,0.,0.)); #450251=DIRECTION('center_axis',(0.,0.,1.)); #450252=DIRECTION('ref_axis',(1.,0.,0.)); #450253=DIRECTION('center_axis',(0.,0.,1.)); #450254=DIRECTION('ref_axis',(1.,0.,0.)); #450255=DIRECTION('',(0.,0.,1.)); #450256=DIRECTION('center_axis',(0.,0.,-1.)); #450257=DIRECTION('ref_axis',(1.,0.,0.)); #450258=DIRECTION('center_axis',(0.,0.,1.)); #450259=DIRECTION('ref_axis',(1.,0.,0.)); #450260=DIRECTION('center_axis',(0.,0.,1.)); #450261=DIRECTION('ref_axis',(1.,0.,0.)); #450262=DIRECTION('',(0.,0.,1.)); #450263=DIRECTION('center_axis',(0.,0.,-1.)); #450264=DIRECTION('ref_axis',(1.,0.,0.)); #450265=DIRECTION('center_axis',(0.,0.,1.)); #450266=DIRECTION('ref_axis',(1.,0.,0.)); #450267=DIRECTION('center_axis',(0.,0.,1.)); #450268=DIRECTION('ref_axis',(1.,0.,0.)); #450269=DIRECTION('',(0.,0.,1.)); #450270=DIRECTION('center_axis',(0.,0.,-1.)); #450271=DIRECTION('ref_axis',(1.,0.,0.)); #450272=DIRECTION('center_axis',(0.,0.,1.)); #450273=DIRECTION('ref_axis',(1.,0.,0.)); #450274=DIRECTION('center_axis',(0.,0.,1.)); #450275=DIRECTION('ref_axis',(1.,0.,0.)); #450276=DIRECTION('',(0.,0.,1.)); #450277=DIRECTION('center_axis',(0.,0.,-1.)); #450278=DIRECTION('ref_axis',(1.,0.,0.)); #450279=DIRECTION('center_axis',(0.,0.,1.)); #450280=DIRECTION('ref_axis',(1.,0.,0.)); #450281=DIRECTION('center_axis',(0.,0.,1.)); #450282=DIRECTION('ref_axis',(1.,0.,0.)); #450283=DIRECTION('',(0.,0.,1.)); #450284=DIRECTION('center_axis',(0.,0.,-1.)); #450285=DIRECTION('ref_axis',(1.,0.,0.)); #450286=DIRECTION('center_axis',(0.,0.,1.)); #450287=DIRECTION('ref_axis',(1.,0.,0.)); #450288=DIRECTION('center_axis',(0.,0.,1.)); #450289=DIRECTION('ref_axis',(1.,0.,0.)); #450290=DIRECTION('',(0.,0.,1.)); #450291=DIRECTION('center_axis',(0.,0.,-1.)); #450292=DIRECTION('ref_axis',(1.,0.,0.)); #450293=DIRECTION('center_axis',(0.,0.,1.)); #450294=DIRECTION('ref_axis',(1.,0.,0.)); #450295=DIRECTION('center_axis',(0.,0.,1.)); #450296=DIRECTION('ref_axis',(1.,0.,0.)); #450297=DIRECTION('',(0.,0.,1.)); #450298=DIRECTION('center_axis',(0.,0.,-1.)); #450299=DIRECTION('ref_axis',(1.,0.,0.)); #450300=DIRECTION('center_axis',(0.,0.,1.)); #450301=DIRECTION('ref_axis',(1.,0.,0.)); #450302=DIRECTION('center_axis',(0.,0.,1.)); #450303=DIRECTION('ref_axis',(1.,0.,0.)); #450304=DIRECTION('',(0.,0.,1.)); #450305=DIRECTION('center_axis',(0.,0.,-1.)); #450306=DIRECTION('ref_axis',(1.,0.,0.)); #450307=DIRECTION('center_axis',(0.,0.,1.)); #450308=DIRECTION('ref_axis',(1.,0.,0.)); #450309=DIRECTION('center_axis',(0.,0.,1.)); #450310=DIRECTION('ref_axis',(1.,0.,0.)); #450311=DIRECTION('',(0.,0.,1.)); #450312=DIRECTION('center_axis',(0.,0.,-1.)); #450313=DIRECTION('ref_axis',(1.,0.,0.)); #450314=DIRECTION('center_axis',(0.,0.,1.)); #450315=DIRECTION('ref_axis',(1.,0.,0.)); #450316=DIRECTION('center_axis',(0.,0.,1.)); #450317=DIRECTION('ref_axis',(1.,0.,0.)); #450318=DIRECTION('',(0.,0.,1.)); #450319=DIRECTION('center_axis',(0.,0.,-1.)); #450320=DIRECTION('ref_axis',(1.,0.,0.)); #450321=DIRECTION('center_axis',(0.,0.,1.)); #450322=DIRECTION('ref_axis',(1.,0.,0.)); #450323=DIRECTION('center_axis',(0.,0.,1.)); #450324=DIRECTION('ref_axis',(1.,0.,0.)); #450325=DIRECTION('',(0.,0.,1.)); #450326=DIRECTION('center_axis',(0.,0.,-1.)); #450327=DIRECTION('ref_axis',(1.,0.,0.)); #450328=DIRECTION('center_axis',(0.,0.,1.)); #450329=DIRECTION('ref_axis',(1.,0.,0.)); #450330=DIRECTION('center_axis',(0.,0.,1.)); #450331=DIRECTION('ref_axis',(1.,0.,0.)); #450332=DIRECTION('',(0.,0.,1.)); #450333=DIRECTION('center_axis',(0.,0.,-1.)); #450334=DIRECTION('ref_axis',(1.,0.,0.)); #450335=DIRECTION('center_axis',(0.,0.,1.)); #450336=DIRECTION('ref_axis',(1.,0.,0.)); #450337=DIRECTION('center_axis',(0.,0.,1.)); #450338=DIRECTION('ref_axis',(1.,0.,0.)); #450339=DIRECTION('',(0.,0.,1.)); #450340=DIRECTION('center_axis',(0.,0.,-1.)); #450341=DIRECTION('ref_axis',(1.,0.,0.)); #450342=DIRECTION('center_axis',(0.,0.,1.)); #450343=DIRECTION('ref_axis',(1.,0.,0.)); #450344=DIRECTION('center_axis',(0.,0.,1.)); #450345=DIRECTION('ref_axis',(1.,0.,0.)); #450346=DIRECTION('',(0.,0.,1.)); #450347=DIRECTION('center_axis',(0.,0.,-1.)); #450348=DIRECTION('ref_axis',(1.,0.,0.)); #450349=DIRECTION('center_axis',(0.,0.,1.)); #450350=DIRECTION('ref_axis',(1.,0.,0.)); #450351=DIRECTION('center_axis',(0.,0.,1.)); #450352=DIRECTION('ref_axis',(1.,0.,0.)); #450353=DIRECTION('',(0.,0.,1.)); #450354=DIRECTION('center_axis',(0.,0.,-1.)); #450355=DIRECTION('ref_axis',(1.,0.,0.)); #450356=DIRECTION('center_axis',(0.,0.,1.)); #450357=DIRECTION('ref_axis',(1.,0.,0.)); #450358=DIRECTION('center_axis',(0.,0.,1.)); #450359=DIRECTION('ref_axis',(1.,0.,0.)); #450360=DIRECTION('',(0.,0.,1.)); #450361=DIRECTION('center_axis',(0.,0.,-1.)); #450362=DIRECTION('ref_axis',(1.,0.,0.)); #450363=DIRECTION('center_axis',(0.,0.,1.)); #450364=DIRECTION('ref_axis',(1.,0.,0.)); #450365=DIRECTION('center_axis',(0.,0.,1.)); #450366=DIRECTION('ref_axis',(1.,0.,0.)); #450367=DIRECTION('',(0.,0.,1.)); #450368=DIRECTION('center_axis',(0.,0.,-1.)); #450369=DIRECTION('ref_axis',(1.,0.,0.)); #450370=DIRECTION('center_axis',(0.,0.,1.)); #450371=DIRECTION('ref_axis',(1.,0.,0.)); #450372=DIRECTION('center_axis',(0.,0.,1.)); #450373=DIRECTION('ref_axis',(1.,0.,0.)); #450374=DIRECTION('',(0.,0.,1.)); #450375=DIRECTION('center_axis',(0.,0.,-1.)); #450376=DIRECTION('ref_axis',(1.,0.,0.)); #450377=DIRECTION('center_axis',(0.,0.,1.)); #450378=DIRECTION('ref_axis',(1.,0.,0.)); #450379=DIRECTION('center_axis',(0.,0.,1.)); #450380=DIRECTION('ref_axis',(1.,0.,0.)); #450381=DIRECTION('',(0.,0.,1.)); #450382=DIRECTION('center_axis',(0.,0.,-1.)); #450383=DIRECTION('ref_axis',(1.,0.,0.)); #450384=DIRECTION('center_axis',(0.,0.,1.)); #450385=DIRECTION('ref_axis',(1.,0.,0.)); #450386=DIRECTION('center_axis',(0.,0.,1.)); #450387=DIRECTION('ref_axis',(1.,0.,0.)); #450388=DIRECTION('',(0.,0.,1.)); #450389=DIRECTION('center_axis',(0.,0.,-1.)); #450390=DIRECTION('ref_axis',(1.,0.,0.)); #450391=DIRECTION('center_axis',(0.,0.,1.)); #450392=DIRECTION('ref_axis',(1.,0.,0.)); #450393=DIRECTION('center_axis',(0.,0.,1.)); #450394=DIRECTION('ref_axis',(1.,0.,0.)); #450395=DIRECTION('',(0.,0.,1.)); #450396=DIRECTION('center_axis',(0.,0.,-1.)); #450397=DIRECTION('ref_axis',(1.,0.,0.)); #450398=DIRECTION('center_axis',(0.,0.,1.)); #450399=DIRECTION('ref_axis',(1.,0.,0.)); #450400=DIRECTION('center_axis',(0.,0.,1.)); #450401=DIRECTION('ref_axis',(1.,0.,0.)); #450402=DIRECTION('',(0.,0.,1.)); #450403=DIRECTION('center_axis',(0.,0.,-1.)); #450404=DIRECTION('ref_axis',(1.,0.,0.)); #450405=DIRECTION('center_axis',(0.,0.,1.)); #450406=DIRECTION('ref_axis',(1.,0.,0.)); #450407=DIRECTION('center_axis',(0.,0.,1.)); #450408=DIRECTION('ref_axis',(1.,0.,0.)); #450409=DIRECTION('',(0.,0.,1.)); #450410=DIRECTION('center_axis',(0.,0.,-1.)); #450411=DIRECTION('ref_axis',(1.,0.,0.)); #450412=DIRECTION('center_axis',(0.,0.,1.)); #450413=DIRECTION('ref_axis',(1.,0.,0.)); #450414=DIRECTION('center_axis',(0.,0.,1.)); #450415=DIRECTION('ref_axis',(1.,0.,0.)); #450416=DIRECTION('',(0.,0.,1.)); #450417=DIRECTION('center_axis',(0.,0.,-1.)); #450418=DIRECTION('ref_axis',(1.,0.,0.)); #450419=DIRECTION('center_axis',(0.,0.,1.)); #450420=DIRECTION('ref_axis',(1.,0.,0.)); #450421=DIRECTION('center_axis',(0.,0.,1.)); #450422=DIRECTION('ref_axis',(1.,0.,0.)); #450423=DIRECTION('',(0.,0.,1.)); #450424=DIRECTION('center_axis',(0.,0.,-1.)); #450425=DIRECTION('ref_axis',(1.,0.,0.)); #450426=DIRECTION('center_axis',(0.,0.,1.)); #450427=DIRECTION('ref_axis',(1.,0.,0.)); #450428=DIRECTION('center_axis',(0.,0.,1.)); #450429=DIRECTION('ref_axis',(1.,0.,0.)); #450430=DIRECTION('',(0.,0.,1.)); #450431=DIRECTION('center_axis',(0.,0.,-1.)); #450432=DIRECTION('ref_axis',(1.,0.,0.)); #450433=DIRECTION('center_axis',(0.,0.,1.)); #450434=DIRECTION('ref_axis',(1.,0.,0.)); #450435=DIRECTION('center_axis',(0.,0.,1.)); #450436=DIRECTION('ref_axis',(1.,0.,0.)); #450437=DIRECTION('',(0.,0.,1.)); #450438=DIRECTION('center_axis',(0.,0.,-1.)); #450439=DIRECTION('ref_axis',(1.,0.,0.)); #450440=DIRECTION('center_axis',(0.,0.,1.)); #450441=DIRECTION('ref_axis',(1.,0.,0.)); #450442=DIRECTION('center_axis',(0.,0.,1.)); #450443=DIRECTION('ref_axis',(1.,0.,0.)); #450444=DIRECTION('',(0.,0.,1.)); #450445=DIRECTION('center_axis',(0.,0.,-1.)); #450446=DIRECTION('ref_axis',(1.,0.,0.)); #450447=DIRECTION('center_axis',(0.,0.,1.)); #450448=DIRECTION('ref_axis',(1.,0.,0.)); #450449=DIRECTION('center_axis',(0.,0.,1.)); #450450=DIRECTION('ref_axis',(1.,0.,0.)); #450451=DIRECTION('',(0.,0.,1.)); #450452=DIRECTION('center_axis',(0.,0.,-1.)); #450453=DIRECTION('ref_axis',(1.,0.,0.)); #450454=DIRECTION('center_axis',(0.,0.,1.)); #450455=DIRECTION('ref_axis',(1.,0.,0.)); #450456=DIRECTION('center_axis',(0.,0.,1.)); #450457=DIRECTION('ref_axis',(1.,0.,0.)); #450458=DIRECTION('',(0.,0.,1.)); #450459=DIRECTION('center_axis',(0.,0.,-1.)); #450460=DIRECTION('ref_axis',(1.,0.,0.)); #450461=DIRECTION('center_axis',(0.,0.,1.)); #450462=DIRECTION('ref_axis',(1.,0.,0.)); #450463=DIRECTION('center_axis',(0.,0.,1.)); #450464=DIRECTION('ref_axis',(1.,0.,0.)); #450465=DIRECTION('',(0.,0.,1.)); #450466=DIRECTION('center_axis',(0.,0.,-1.)); #450467=DIRECTION('ref_axis',(1.,0.,0.)); #450468=DIRECTION('center_axis',(0.,0.,1.)); #450469=DIRECTION('ref_axis',(1.,0.,0.)); #450470=DIRECTION('center_axis',(0.,0.,1.)); #450471=DIRECTION('ref_axis',(1.,0.,0.)); #450472=DIRECTION('',(0.,0.,1.)); #450473=DIRECTION('center_axis',(0.,0.,-1.)); #450474=DIRECTION('ref_axis',(1.,0.,0.)); #450475=DIRECTION('center_axis',(0.,0.,1.)); #450476=DIRECTION('ref_axis',(1.,0.,0.)); #450477=DIRECTION('center_axis',(0.,0.,1.)); #450478=DIRECTION('ref_axis',(1.,0.,0.)); #450479=DIRECTION('',(0.,0.,1.)); #450480=DIRECTION('center_axis',(0.,0.,-1.)); #450481=DIRECTION('ref_axis',(1.,0.,0.)); #450482=DIRECTION('center_axis',(0.,0.,1.)); #450483=DIRECTION('ref_axis',(1.,0.,0.)); #450484=DIRECTION('center_axis',(0.,0.,1.)); #450485=DIRECTION('ref_axis',(1.,0.,0.)); #450486=DIRECTION('',(0.,0.,1.)); #450487=DIRECTION('center_axis',(0.,0.,-1.)); #450488=DIRECTION('ref_axis',(1.,0.,0.)); #450489=DIRECTION('center_axis',(0.,0.,1.)); #450490=DIRECTION('ref_axis',(1.,0.,0.)); #450491=DIRECTION('center_axis',(0.,0.,1.)); #450492=DIRECTION('ref_axis',(1.,0.,0.)); #450493=DIRECTION('',(0.,0.,1.)); #450494=DIRECTION('center_axis',(0.,0.,-1.)); #450495=DIRECTION('ref_axis',(1.,0.,0.)); #450496=DIRECTION('center_axis',(0.,0.,1.)); #450497=DIRECTION('ref_axis',(1.,0.,0.)); #450498=DIRECTION('center_axis',(0.,0.,1.)); #450499=DIRECTION('ref_axis',(1.,0.,0.)); #450500=DIRECTION('',(0.,0.,1.)); #450501=DIRECTION('center_axis',(0.,0.,-1.)); #450502=DIRECTION('ref_axis',(1.,0.,0.)); #450503=DIRECTION('center_axis',(0.,0.,1.)); #450504=DIRECTION('ref_axis',(1.,0.,0.)); #450505=DIRECTION('center_axis',(0.,0.,1.)); #450506=DIRECTION('ref_axis',(1.,0.,0.)); #450507=DIRECTION('',(0.,0.,1.)); #450508=DIRECTION('center_axis',(0.,0.,-1.)); #450509=DIRECTION('ref_axis',(1.,0.,0.)); #450510=DIRECTION('center_axis',(0.,0.,1.)); #450511=DIRECTION('ref_axis',(1.,0.,0.)); #450512=DIRECTION('center_axis',(0.,0.,1.)); #450513=DIRECTION('ref_axis',(1.,0.,0.)); #450514=DIRECTION('',(0.,0.,1.)); #450515=DIRECTION('center_axis',(0.,0.,-1.)); #450516=DIRECTION('ref_axis',(1.,0.,0.)); #450517=DIRECTION('center_axis',(0.,0.,1.)); #450518=DIRECTION('ref_axis',(1.,0.,0.)); #450519=DIRECTION('center_axis',(0.,0.,1.)); #450520=DIRECTION('ref_axis',(1.,0.,0.)); #450521=DIRECTION('',(0.,0.,1.)); #450522=DIRECTION('center_axis',(0.,0.,-1.)); #450523=DIRECTION('ref_axis',(1.,0.,0.)); #450524=DIRECTION('center_axis',(0.,0.,1.)); #450525=DIRECTION('ref_axis',(1.,0.,0.)); #450526=DIRECTION('center_axis',(0.,0.,1.)); #450527=DIRECTION('ref_axis',(1.,0.,0.)); #450528=DIRECTION('',(0.,0.,1.)); #450529=DIRECTION('center_axis',(0.,0.,-1.)); #450530=DIRECTION('ref_axis',(1.,0.,0.)); #450531=DIRECTION('center_axis',(0.,0.,1.)); #450532=DIRECTION('ref_axis',(1.,0.,0.)); #450533=DIRECTION('center_axis',(0.,0.,1.)); #450534=DIRECTION('ref_axis',(1.,0.,0.)); #450535=DIRECTION('',(0.,0.,1.)); #450536=DIRECTION('center_axis',(0.,0.,-1.)); #450537=DIRECTION('ref_axis',(1.,0.,0.)); #450538=DIRECTION('center_axis',(0.,0.,1.)); #450539=DIRECTION('ref_axis',(1.,0.,0.)); #450540=DIRECTION('center_axis',(0.,0.,1.)); #450541=DIRECTION('ref_axis',(1.,0.,0.)); #450542=DIRECTION('',(0.,0.,1.)); #450543=DIRECTION('center_axis',(0.,0.,-1.)); #450544=DIRECTION('ref_axis',(1.,0.,0.)); #450545=DIRECTION('center_axis',(0.,0.,1.)); #450546=DIRECTION('ref_axis',(1.,0.,0.)); #450547=DIRECTION('center_axis',(0.,0.,1.)); #450548=DIRECTION('ref_axis',(1.,0.,0.)); #450549=DIRECTION('',(0.,0.,1.)); #450550=DIRECTION('center_axis',(0.,0.,-1.)); #450551=DIRECTION('ref_axis',(1.,0.,0.)); #450552=DIRECTION('center_axis',(0.,0.,1.)); #450553=DIRECTION('ref_axis',(1.,0.,0.)); #450554=DIRECTION('center_axis',(0.,0.,1.)); #450555=DIRECTION('ref_axis',(1.,0.,0.)); #450556=DIRECTION('',(0.,0.,1.)); #450557=DIRECTION('center_axis',(0.,0.,-1.)); #450558=DIRECTION('ref_axis',(1.,0.,0.)); #450559=DIRECTION('center_axis',(0.,0.,1.)); #450560=DIRECTION('ref_axis',(1.,0.,0.)); #450561=DIRECTION('center_axis',(0.,0.,1.)); #450562=DIRECTION('ref_axis',(1.,0.,0.)); #450563=DIRECTION('',(0.,0.,1.)); #450564=DIRECTION('center_axis',(0.,0.,-1.)); #450565=DIRECTION('ref_axis',(1.,0.,0.)); #450566=DIRECTION('center_axis',(0.,0.,1.)); #450567=DIRECTION('ref_axis',(1.,0.,0.)); #450568=DIRECTION('center_axis',(0.,0.,1.)); #450569=DIRECTION('ref_axis',(1.,0.,0.)); #450570=DIRECTION('',(0.,0.,1.)); #450571=DIRECTION('center_axis',(0.,0.,-1.)); #450572=DIRECTION('ref_axis',(1.,0.,0.)); #450573=DIRECTION('center_axis',(0.,0.,1.)); #450574=DIRECTION('ref_axis',(1.,0.,0.)); #450575=DIRECTION('center_axis',(0.,0.,1.)); #450576=DIRECTION('ref_axis',(1.,0.,0.)); #450577=DIRECTION('',(0.,0.,1.)); #450578=DIRECTION('center_axis',(0.,0.,-1.)); #450579=DIRECTION('ref_axis',(1.,0.,0.)); #450580=DIRECTION('center_axis',(0.,0.,1.)); #450581=DIRECTION('ref_axis',(1.,0.,0.)); #450582=DIRECTION('center_axis',(0.,0.,1.)); #450583=DIRECTION('ref_axis',(1.,0.,0.)); #450584=DIRECTION('',(0.,0.,1.)); #450585=DIRECTION('center_axis',(0.,0.,-1.)); #450586=DIRECTION('ref_axis',(1.,0.,0.)); #450587=DIRECTION('center_axis',(0.,0.,1.)); #450588=DIRECTION('ref_axis',(1.,0.,0.)); #450589=DIRECTION('center_axis',(0.,0.,1.)); #450590=DIRECTION('ref_axis',(1.,0.,0.)); #450591=DIRECTION('',(0.,0.,1.)); #450592=DIRECTION('center_axis',(0.,0.,-1.)); #450593=DIRECTION('ref_axis',(1.,0.,0.)); #450594=DIRECTION('center_axis',(0.,0.,1.)); #450595=DIRECTION('ref_axis',(1.,0.,0.)); #450596=DIRECTION('center_axis',(0.,0.,1.)); #450597=DIRECTION('ref_axis',(1.,0.,0.)); #450598=DIRECTION('',(0.,0.,1.)); #450599=DIRECTION('center_axis',(0.,0.,-1.)); #450600=DIRECTION('ref_axis',(1.,0.,0.)); #450601=DIRECTION('center_axis',(0.,0.,1.)); #450602=DIRECTION('ref_axis',(1.,0.,0.)); #450603=DIRECTION('center_axis',(0.,0.,1.)); #450604=DIRECTION('ref_axis',(1.,0.,0.)); #450605=DIRECTION('',(0.,0.,1.)); #450606=DIRECTION('center_axis',(0.,0.,-1.)); #450607=DIRECTION('ref_axis',(1.,0.,0.)); #450608=DIRECTION('center_axis',(0.,0.,1.)); #450609=DIRECTION('ref_axis',(1.,0.,0.)); #450610=DIRECTION('center_axis',(0.,0.,1.)); #450611=DIRECTION('ref_axis',(1.,0.,0.)); #450612=DIRECTION('',(0.,0.,1.)); #450613=DIRECTION('center_axis',(0.,0.,-1.)); #450614=DIRECTION('ref_axis',(1.,0.,0.)); #450615=DIRECTION('center_axis',(0.,0.,1.)); #450616=DIRECTION('ref_axis',(1.,0.,0.)); #450617=DIRECTION('center_axis',(0.,0.,1.)); #450618=DIRECTION('ref_axis',(1.,0.,0.)); #450619=DIRECTION('',(0.,0.,1.)); #450620=DIRECTION('center_axis',(0.,0.,-1.)); #450621=DIRECTION('ref_axis',(1.,0.,0.)); #450622=DIRECTION('center_axis',(0.,0.,1.)); #450623=DIRECTION('ref_axis',(1.,0.,0.)); #450624=DIRECTION('center_axis',(0.,0.,1.)); #450625=DIRECTION('ref_axis',(1.,0.,0.)); #450626=DIRECTION('',(0.,0.,1.)); #450627=DIRECTION('center_axis',(0.,0.,-1.)); #450628=DIRECTION('ref_axis',(1.,0.,0.)); #450629=DIRECTION('center_axis',(0.,0.,1.)); #450630=DIRECTION('ref_axis',(1.,0.,0.)); #450631=DIRECTION('center_axis',(0.,0.,1.)); #450632=DIRECTION('ref_axis',(1.,0.,0.)); #450633=DIRECTION('',(0.,0.,1.)); #450634=DIRECTION('center_axis',(0.,0.,-1.)); #450635=DIRECTION('ref_axis',(1.,0.,0.)); #450636=DIRECTION('center_axis',(0.,0.,1.)); #450637=DIRECTION('ref_axis',(1.,0.,0.)); #450638=DIRECTION('center_axis',(0.,0.,1.)); #450639=DIRECTION('ref_axis',(1.,0.,0.)); #450640=DIRECTION('',(0.,0.,1.)); #450641=DIRECTION('center_axis',(0.,0.,-1.)); #450642=DIRECTION('ref_axis',(1.,0.,0.)); #450643=DIRECTION('center_axis',(0.,0.,1.)); #450644=DIRECTION('ref_axis',(1.,0.,0.)); #450645=DIRECTION('center_axis',(0.,0.,1.)); #450646=DIRECTION('ref_axis',(1.,0.,0.)); #450647=DIRECTION('',(0.,0.,1.)); #450648=DIRECTION('center_axis',(0.,0.,-1.)); #450649=DIRECTION('ref_axis',(1.,0.,0.)); #450650=DIRECTION('center_axis',(0.,0.,1.)); #450651=DIRECTION('ref_axis',(1.,0.,0.)); #450652=DIRECTION('center_axis',(0.,0.,1.)); #450653=DIRECTION('ref_axis',(1.,0.,0.)); #450654=DIRECTION('',(0.,0.,1.)); #450655=DIRECTION('center_axis',(0.,0.,-1.)); #450656=DIRECTION('ref_axis',(1.,0.,0.)); #450657=DIRECTION('center_axis',(0.,0.,1.)); #450658=DIRECTION('ref_axis',(1.,0.,0.)); #450659=DIRECTION('center_axis',(0.,0.,1.)); #450660=DIRECTION('ref_axis',(1.,0.,0.)); #450661=DIRECTION('',(0.,0.,1.)); #450662=DIRECTION('center_axis',(0.,0.,-1.)); #450663=DIRECTION('ref_axis',(1.,0.,0.)); #450664=DIRECTION('center_axis',(0.,0.,1.)); #450665=DIRECTION('ref_axis',(1.,0.,0.)); #450666=DIRECTION('center_axis',(0.,0.,1.)); #450667=DIRECTION('ref_axis',(1.,0.,0.)); #450668=DIRECTION('',(0.,0.,1.)); #450669=DIRECTION('center_axis',(0.,0.,-1.)); #450670=DIRECTION('ref_axis',(1.,0.,0.)); #450671=DIRECTION('center_axis',(0.,0.,1.)); #450672=DIRECTION('ref_axis',(1.,0.,0.)); #450673=DIRECTION('center_axis',(0.,0.,1.)); #450674=DIRECTION('ref_axis',(1.,0.,0.)); #450675=DIRECTION('',(0.,0.,1.)); #450676=DIRECTION('center_axis',(0.,0.,-1.)); #450677=DIRECTION('ref_axis',(1.,0.,0.)); #450678=DIRECTION('center_axis',(0.,0.,1.)); #450679=DIRECTION('ref_axis',(1.,0.,0.)); #450680=DIRECTION('center_axis',(0.,0.,1.)); #450681=DIRECTION('ref_axis',(1.,0.,0.)); #450682=DIRECTION('',(0.,0.,1.)); #450683=DIRECTION('center_axis',(0.,0.,-1.)); #450684=DIRECTION('ref_axis',(1.,0.,0.)); #450685=DIRECTION('center_axis',(0.,0.,1.)); #450686=DIRECTION('ref_axis',(1.,0.,0.)); #450687=DIRECTION('center_axis',(0.,0.,1.)); #450688=DIRECTION('ref_axis',(1.,0.,0.)); #450689=DIRECTION('',(0.,0.,1.)); #450690=DIRECTION('center_axis',(0.,0.,-1.)); #450691=DIRECTION('ref_axis',(1.,0.,0.)); #450692=DIRECTION('center_axis',(0.,0.,1.)); #450693=DIRECTION('ref_axis',(1.,0.,0.)); #450694=DIRECTION('center_axis',(0.,0.,1.)); #450695=DIRECTION('ref_axis',(1.,0.,0.)); #450696=DIRECTION('',(0.,0.,1.)); #450697=DIRECTION('center_axis',(0.,0.,-1.)); #450698=DIRECTION('ref_axis',(1.,0.,0.)); #450699=DIRECTION('center_axis',(0.,0.,1.)); #450700=DIRECTION('ref_axis',(1.,0.,0.)); #450701=DIRECTION('center_axis',(0.,0.,1.)); #450702=DIRECTION('ref_axis',(1.,0.,0.)); #450703=DIRECTION('',(0.,0.,1.)); #450704=DIRECTION('center_axis',(0.,0.,-1.)); #450705=DIRECTION('ref_axis',(1.,0.,0.)); #450706=DIRECTION('center_axis',(0.,0.,1.)); #450707=DIRECTION('ref_axis',(1.,0.,0.)); #450708=DIRECTION('center_axis',(0.,0.,1.)); #450709=DIRECTION('ref_axis',(1.,0.,0.)); #450710=DIRECTION('',(0.,0.,1.)); #450711=DIRECTION('center_axis',(0.,0.,-1.)); #450712=DIRECTION('ref_axis',(1.,0.,0.)); #450713=DIRECTION('center_axis',(0.,0.,1.)); #450714=DIRECTION('ref_axis',(1.,0.,0.)); #450715=DIRECTION('center_axis',(0.,0.,1.)); #450716=DIRECTION('ref_axis',(1.,0.,0.)); #450717=DIRECTION('',(0.,0.,1.)); #450718=DIRECTION('center_axis',(0.,0.,-1.)); #450719=DIRECTION('ref_axis',(1.,0.,0.)); #450720=DIRECTION('center_axis',(0.,0.,1.)); #450721=DIRECTION('ref_axis',(1.,0.,0.)); #450722=DIRECTION('center_axis',(0.,0.,1.)); #450723=DIRECTION('ref_axis',(1.,0.,0.)); #450724=DIRECTION('',(0.,0.,1.)); #450725=DIRECTION('center_axis',(0.,0.,-1.)); #450726=DIRECTION('ref_axis',(1.,0.,0.)); #450727=DIRECTION('center_axis',(0.,0.,1.)); #450728=DIRECTION('ref_axis',(1.,0.,0.)); #450729=DIRECTION('center_axis',(0.,0.,1.)); #450730=DIRECTION('ref_axis',(1.,0.,0.)); #450731=DIRECTION('',(0.,0.,1.)); #450732=DIRECTION('center_axis',(0.,0.,-1.)); #450733=DIRECTION('ref_axis',(1.,0.,0.)); #450734=DIRECTION('center_axis',(0.,0.,1.)); #450735=DIRECTION('ref_axis',(1.,0.,0.)); #450736=DIRECTION('center_axis',(0.,0.,1.)); #450737=DIRECTION('ref_axis',(1.,0.,0.)); #450738=DIRECTION('',(0.,0.,1.)); #450739=DIRECTION('center_axis',(0.,0.,-1.)); #450740=DIRECTION('ref_axis',(1.,0.,0.)); #450741=DIRECTION('center_axis',(0.,0.,1.)); #450742=DIRECTION('ref_axis',(1.,0.,0.)); #450743=DIRECTION('center_axis',(0.,0.,1.)); #450744=DIRECTION('ref_axis',(1.,0.,0.)); #450745=DIRECTION('',(0.,0.,1.)); #450746=DIRECTION('center_axis',(0.,0.,-1.)); #450747=DIRECTION('ref_axis',(1.,0.,0.)); #450748=DIRECTION('center_axis',(0.,0.,1.)); #450749=DIRECTION('ref_axis',(1.,0.,0.)); #450750=DIRECTION('center_axis',(0.,0.,1.)); #450751=DIRECTION('ref_axis',(1.,0.,0.)); #450752=DIRECTION('',(0.,0.,1.)); #450753=DIRECTION('center_axis',(0.,0.,-1.)); #450754=DIRECTION('ref_axis',(1.,0.,0.)); #450755=DIRECTION('center_axis',(0.,0.,1.)); #450756=DIRECTION('ref_axis',(1.,0.,0.)); #450757=DIRECTION('center_axis',(0.,0.,1.)); #450758=DIRECTION('ref_axis',(1.,0.,0.)); #450759=DIRECTION('',(0.,0.,1.)); #450760=DIRECTION('center_axis',(0.,0.,-1.)); #450761=DIRECTION('ref_axis',(1.,0.,0.)); #450762=DIRECTION('center_axis',(0.,0.,1.)); #450763=DIRECTION('ref_axis',(1.,0.,0.)); #450764=DIRECTION('center_axis',(0.,0.,1.)); #450765=DIRECTION('ref_axis',(1.,0.,0.)); #450766=DIRECTION('',(0.,0.,1.)); #450767=DIRECTION('center_axis',(0.,0.,-1.)); #450768=DIRECTION('ref_axis',(1.,0.,0.)); #450769=DIRECTION('center_axis',(0.,0.,1.)); #450770=DIRECTION('ref_axis',(1.,0.,0.)); #450771=DIRECTION('center_axis',(0.,0.,1.)); #450772=DIRECTION('ref_axis',(1.,0.,0.)); #450773=DIRECTION('',(0.,0.,1.)); #450774=DIRECTION('center_axis',(0.,0.,-1.)); #450775=DIRECTION('ref_axis',(1.,0.,0.)); #450776=DIRECTION('center_axis',(0.,0.,1.)); #450777=DIRECTION('ref_axis',(1.,0.,0.)); #450778=DIRECTION('center_axis',(0.,0.,1.)); #450779=DIRECTION('ref_axis',(1.,0.,0.)); #450780=DIRECTION('',(0.,0.,1.)); #450781=DIRECTION('center_axis',(0.,0.,-1.)); #450782=DIRECTION('ref_axis',(1.,0.,0.)); #450783=DIRECTION('center_axis',(0.,0.,1.)); #450784=DIRECTION('ref_axis',(1.,0.,0.)); #450785=DIRECTION('center_axis',(0.,0.,1.)); #450786=DIRECTION('ref_axis',(1.,0.,0.)); #450787=DIRECTION('',(0.,0.,1.)); #450788=DIRECTION('center_axis',(0.,0.,-1.)); #450789=DIRECTION('ref_axis',(1.,0.,0.)); #450790=DIRECTION('center_axis',(0.,0.,1.)); #450791=DIRECTION('ref_axis',(1.,0.,0.)); #450792=DIRECTION('center_axis',(0.,0.,1.)); #450793=DIRECTION('ref_axis',(1.,0.,0.)); #450794=DIRECTION('',(0.,0.,1.)); #450795=DIRECTION('center_axis',(0.,0.,-1.)); #450796=DIRECTION('ref_axis',(1.,0.,0.)); #450797=DIRECTION('center_axis',(0.,0.,1.)); #450798=DIRECTION('ref_axis',(1.,0.,0.)); #450799=DIRECTION('center_axis',(0.,0.,1.)); #450800=DIRECTION('ref_axis',(1.,0.,0.)); #450801=DIRECTION('',(0.,0.,1.)); #450802=DIRECTION('center_axis',(0.,0.,-1.)); #450803=DIRECTION('ref_axis',(1.,0.,0.)); #450804=DIRECTION('center_axis',(0.,0.,1.)); #450805=DIRECTION('ref_axis',(1.,0.,0.)); #450806=DIRECTION('center_axis',(0.,0.,1.)); #450807=DIRECTION('ref_axis',(1.,0.,0.)); #450808=DIRECTION('',(0.,0.,1.)); #450809=DIRECTION('center_axis',(0.,0.,-1.)); #450810=DIRECTION('ref_axis',(1.,0.,0.)); #450811=DIRECTION('center_axis',(0.,0.,1.)); #450812=DIRECTION('ref_axis',(1.,0.,0.)); #450813=DIRECTION('center_axis',(0.,0.,1.)); #450814=DIRECTION('ref_axis',(1.,0.,0.)); #450815=DIRECTION('',(0.,0.,1.)); #450816=DIRECTION('center_axis',(0.,0.,-1.)); #450817=DIRECTION('ref_axis',(1.,0.,0.)); #450818=DIRECTION('center_axis',(0.,0.,1.)); #450819=DIRECTION('ref_axis',(1.,0.,0.)); #450820=DIRECTION('center_axis',(0.,0.,1.)); #450821=DIRECTION('ref_axis',(1.,0.,0.)); #450822=DIRECTION('',(0.,0.,1.)); #450823=DIRECTION('center_axis',(0.,0.,-1.)); #450824=DIRECTION('ref_axis',(1.,0.,0.)); #450825=DIRECTION('center_axis',(0.,0.,1.)); #450826=DIRECTION('ref_axis',(1.,0.,0.)); #450827=DIRECTION('center_axis',(0.,0.,1.)); #450828=DIRECTION('ref_axis',(1.,0.,0.)); #450829=DIRECTION('',(0.,0.,1.)); #450830=DIRECTION('center_axis',(0.,0.,-1.)); #450831=DIRECTION('ref_axis',(1.,0.,0.)); #450832=DIRECTION('center_axis',(0.,0.,1.)); #450833=DIRECTION('ref_axis',(1.,0.,0.)); #450834=DIRECTION('center_axis',(0.,0.,1.)); #450835=DIRECTION('ref_axis',(1.,0.,0.)); #450836=DIRECTION('',(0.,0.,1.)); #450837=DIRECTION('center_axis',(0.,0.,-1.)); #450838=DIRECTION('ref_axis',(1.,0.,0.)); #450839=DIRECTION('center_axis',(0.,0.,1.)); #450840=DIRECTION('ref_axis',(1.,0.,0.)); #450841=DIRECTION('center_axis',(0.,0.,1.)); #450842=DIRECTION('ref_axis',(1.,0.,0.)); #450843=DIRECTION('',(0.,0.,1.)); #450844=DIRECTION('center_axis',(0.,0.,-1.)); #450845=DIRECTION('ref_axis',(1.,0.,0.)); #450846=DIRECTION('center_axis',(0.,0.,1.)); #450847=DIRECTION('ref_axis',(1.,0.,0.)); #450848=DIRECTION('center_axis',(0.,0.,1.)); #450849=DIRECTION('ref_axis',(1.,0.,0.)); #450850=DIRECTION('',(0.,0.,1.)); #450851=DIRECTION('center_axis',(0.,0.,-1.)); #450852=DIRECTION('ref_axis',(1.,0.,0.)); #450853=DIRECTION('center_axis',(0.,0.,1.)); #450854=DIRECTION('ref_axis',(1.,0.,0.)); #450855=DIRECTION('center_axis',(0.,0.,1.)); #450856=DIRECTION('ref_axis',(1.,0.,0.)); #450857=DIRECTION('',(0.,0.,1.)); #450858=DIRECTION('center_axis',(0.,0.,-1.)); #450859=DIRECTION('ref_axis',(1.,0.,0.)); #450860=DIRECTION('center_axis',(0.,0.,1.)); #450861=DIRECTION('ref_axis',(1.,0.,0.)); #450862=DIRECTION('center_axis',(0.,0.,1.)); #450863=DIRECTION('ref_axis',(1.,0.,0.)); #450864=DIRECTION('',(0.,0.,1.)); #450865=DIRECTION('center_axis',(0.,0.,-1.)); #450866=DIRECTION('ref_axis',(1.,0.,0.)); #450867=DIRECTION('center_axis',(0.,0.,1.)); #450868=DIRECTION('ref_axis',(1.,0.,0.)); #450869=DIRECTION('center_axis',(0.,0.,1.)); #450870=DIRECTION('ref_axis',(1.,0.,0.)); #450871=DIRECTION('',(0.,0.,1.)); #450872=DIRECTION('center_axis',(0.,0.,-1.)); #450873=DIRECTION('ref_axis',(1.,0.,0.)); #450874=DIRECTION('center_axis',(0.,0.,1.)); #450875=DIRECTION('ref_axis',(1.,0.,0.)); #450876=DIRECTION('center_axis',(0.,0.,1.)); #450877=DIRECTION('ref_axis',(1.,0.,0.)); #450878=DIRECTION('',(0.,0.,1.)); #450879=DIRECTION('center_axis',(0.,0.,-1.)); #450880=DIRECTION('ref_axis',(1.,0.,0.)); #450881=DIRECTION('center_axis',(0.,0.,1.)); #450882=DIRECTION('ref_axis',(1.,0.,0.)); #450883=DIRECTION('center_axis',(0.,0.,1.)); #450884=DIRECTION('ref_axis',(1.,0.,0.)); #450885=DIRECTION('',(0.,0.,1.)); #450886=DIRECTION('center_axis',(0.,0.,-1.)); #450887=DIRECTION('ref_axis',(1.,0.,0.)); #450888=DIRECTION('center_axis',(0.,0.,1.)); #450889=DIRECTION('ref_axis',(1.,0.,0.)); #450890=DIRECTION('center_axis',(0.,0.,1.)); #450891=DIRECTION('ref_axis',(1.,0.,0.)); #450892=DIRECTION('',(0.,0.,1.)); #450893=DIRECTION('center_axis',(0.,0.,-1.)); #450894=DIRECTION('ref_axis',(1.,0.,0.)); #450895=DIRECTION('center_axis',(0.,0.,1.)); #450896=DIRECTION('ref_axis',(1.,0.,0.)); #450897=DIRECTION('center_axis',(0.,0.,1.)); #450898=DIRECTION('ref_axis',(1.,0.,0.)); #450899=DIRECTION('',(0.,0.,1.)); #450900=DIRECTION('center_axis',(0.,0.,-1.)); #450901=DIRECTION('ref_axis',(1.,0.,0.)); #450902=DIRECTION('center_axis',(0.,0.,1.)); #450903=DIRECTION('ref_axis',(1.,0.,0.)); #450904=DIRECTION('center_axis',(0.,0.,1.)); #450905=DIRECTION('ref_axis',(1.,0.,0.)); #450906=DIRECTION('',(0.,0.,1.)); #450907=DIRECTION('center_axis',(0.,0.,-1.)); #450908=DIRECTION('ref_axis',(1.,0.,0.)); #450909=DIRECTION('center_axis',(0.,0.,1.)); #450910=DIRECTION('ref_axis',(1.,0.,0.)); #450911=DIRECTION('center_axis',(0.,0.,1.)); #450912=DIRECTION('ref_axis',(1.,0.,0.)); #450913=DIRECTION('',(0.,0.,1.)); #450914=DIRECTION('center_axis',(0.,0.,-1.)); #450915=DIRECTION('ref_axis',(1.,0.,0.)); #450916=DIRECTION('center_axis',(0.,0.,1.)); #450917=DIRECTION('ref_axis',(1.,0.,0.)); #450918=DIRECTION('center_axis',(0.,0.,1.)); #450919=DIRECTION('ref_axis',(1.,0.,0.)); #450920=DIRECTION('',(0.,0.,1.)); #450921=DIRECTION('center_axis',(0.,0.,-1.)); #450922=DIRECTION('ref_axis',(1.,0.,0.)); #450923=DIRECTION('center_axis',(0.,0.,1.)); #450924=DIRECTION('ref_axis',(1.,0.,0.)); #450925=DIRECTION('center_axis',(0.,0.,1.)); #450926=DIRECTION('ref_axis',(1.,0.,0.)); #450927=DIRECTION('',(0.,0.,1.)); #450928=DIRECTION('center_axis',(0.,0.,-1.)); #450929=DIRECTION('ref_axis',(1.,0.,0.)); #450930=DIRECTION('center_axis',(0.,0.,1.)); #450931=DIRECTION('ref_axis',(1.,0.,0.)); #450932=DIRECTION('center_axis',(0.,0.,1.)); #450933=DIRECTION('ref_axis',(1.,0.,0.)); #450934=DIRECTION('',(0.,0.,1.)); #450935=DIRECTION('center_axis',(0.,0.,-1.)); #450936=DIRECTION('ref_axis',(1.,0.,0.)); #450937=DIRECTION('center_axis',(0.,0.,1.)); #450938=DIRECTION('ref_axis',(1.,0.,0.)); #450939=DIRECTION('center_axis',(0.,0.,1.)); #450940=DIRECTION('ref_axis',(1.,0.,0.)); #450941=DIRECTION('',(0.,0.,1.)); #450942=DIRECTION('center_axis',(0.,0.,-1.)); #450943=DIRECTION('ref_axis',(1.,0.,0.)); #450944=DIRECTION('center_axis',(0.,0.,1.)); #450945=DIRECTION('ref_axis',(1.,0.,0.)); #450946=DIRECTION('center_axis',(0.,0.,1.)); #450947=DIRECTION('ref_axis',(1.,0.,0.)); #450948=DIRECTION('',(0.,0.,1.)); #450949=DIRECTION('center_axis',(0.,0.,-1.)); #450950=DIRECTION('ref_axis',(1.,0.,0.)); #450951=DIRECTION('center_axis',(0.,0.,1.)); #450952=DIRECTION('ref_axis',(1.,0.,0.)); #450953=DIRECTION('center_axis',(0.,0.,1.)); #450954=DIRECTION('ref_axis',(1.,0.,0.)); #450955=DIRECTION('',(0.,0.,1.)); #450956=DIRECTION('center_axis',(0.,0.,-1.)); #450957=DIRECTION('ref_axis',(1.,0.,0.)); #450958=DIRECTION('center_axis',(0.,0.,1.)); #450959=DIRECTION('ref_axis',(1.,0.,0.)); #450960=DIRECTION('center_axis',(0.,0.,1.)); #450961=DIRECTION('ref_axis',(1.,0.,0.)); #450962=DIRECTION('',(0.,0.,1.)); #450963=DIRECTION('center_axis',(0.,0.,-1.)); #450964=DIRECTION('ref_axis',(1.,0.,0.)); #450965=DIRECTION('center_axis',(0.,0.,1.)); #450966=DIRECTION('ref_axis',(1.,0.,0.)); #450967=DIRECTION('center_axis',(0.,0.,1.)); #450968=DIRECTION('ref_axis',(1.,0.,0.)); #450969=DIRECTION('',(0.,0.,1.)); #450970=DIRECTION('center_axis',(0.,0.,-1.)); #450971=DIRECTION('ref_axis',(1.,0.,0.)); #450972=DIRECTION('center_axis',(0.,0.,1.)); #450973=DIRECTION('ref_axis',(1.,0.,0.)); #450974=DIRECTION('center_axis',(0.,0.,1.)); #450975=DIRECTION('ref_axis',(1.,0.,0.)); #450976=DIRECTION('',(0.,0.,1.)); #450977=DIRECTION('center_axis',(0.,0.,-1.)); #450978=DIRECTION('ref_axis',(1.,0.,0.)); #450979=DIRECTION('center_axis',(0.,0.,1.)); #450980=DIRECTION('ref_axis',(1.,0.,0.)); #450981=DIRECTION('center_axis',(0.,0.,1.)); #450982=DIRECTION('ref_axis',(1.,0.,0.)); #450983=DIRECTION('',(0.,0.,1.)); #450984=DIRECTION('center_axis',(0.,0.,-1.)); #450985=DIRECTION('ref_axis',(1.,0.,0.)); #450986=DIRECTION('center_axis',(0.,0.,1.)); #450987=DIRECTION('ref_axis',(1.,0.,0.)); #450988=DIRECTION('center_axis',(0.,0.,1.)); #450989=DIRECTION('ref_axis',(1.,0.,0.)); #450990=DIRECTION('',(0.,0.,1.)); #450991=DIRECTION('center_axis',(0.,0.,-1.)); #450992=DIRECTION('ref_axis',(1.,0.,0.)); #450993=DIRECTION('center_axis',(0.,0.,1.)); #450994=DIRECTION('ref_axis',(1.,0.,0.)); #450995=DIRECTION('center_axis',(0.,0.,1.)); #450996=DIRECTION('ref_axis',(1.,0.,0.)); #450997=DIRECTION('',(0.,0.,1.)); #450998=DIRECTION('center_axis',(0.,0.,-1.)); #450999=DIRECTION('ref_axis',(1.,0.,0.)); #451000=DIRECTION('center_axis',(0.,0.,1.)); #451001=DIRECTION('ref_axis',(1.,0.,0.)); #451002=DIRECTION('center_axis',(0.,0.,1.)); #451003=DIRECTION('ref_axis',(1.,0.,0.)); #451004=DIRECTION('',(0.,0.,1.)); #451005=DIRECTION('center_axis',(0.,0.,-1.)); #451006=DIRECTION('ref_axis',(1.,0.,0.)); #451007=DIRECTION('center_axis',(0.,0.,1.)); #451008=DIRECTION('ref_axis',(1.,0.,0.)); #451009=DIRECTION('center_axis',(0.,0.,1.)); #451010=DIRECTION('ref_axis',(1.,0.,0.)); #451011=DIRECTION('',(0.,0.,1.)); #451012=DIRECTION('center_axis',(0.,0.,-1.)); #451013=DIRECTION('ref_axis',(1.,0.,0.)); #451014=DIRECTION('center_axis',(0.,0.,1.)); #451015=DIRECTION('ref_axis',(1.,0.,0.)); #451016=DIRECTION('center_axis',(0.,0.,1.)); #451017=DIRECTION('ref_axis',(1.,0.,0.)); #451018=DIRECTION('',(0.,0.,1.)); #451019=DIRECTION('center_axis',(0.,0.,-1.)); #451020=DIRECTION('ref_axis',(1.,0.,0.)); #451021=DIRECTION('center_axis',(0.,0.,1.)); #451022=DIRECTION('ref_axis',(1.,0.,0.)); #451023=DIRECTION('center_axis',(0.,0.,1.)); #451024=DIRECTION('ref_axis',(1.,0.,0.)); #451025=DIRECTION('',(0.,0.,1.)); #451026=DIRECTION('center_axis',(0.,0.,-1.)); #451027=DIRECTION('ref_axis',(1.,0.,0.)); #451028=DIRECTION('center_axis',(0.,0.,1.)); #451029=DIRECTION('ref_axis',(1.,0.,0.)); #451030=DIRECTION('center_axis',(0.,0.,1.)); #451031=DIRECTION('ref_axis',(1.,0.,0.)); #451032=DIRECTION('',(0.,0.,1.)); #451033=DIRECTION('center_axis',(0.,0.,-1.)); #451034=DIRECTION('ref_axis',(1.,0.,0.)); #451035=DIRECTION('center_axis',(0.,0.,1.)); #451036=DIRECTION('ref_axis',(1.,0.,0.)); #451037=DIRECTION('center_axis',(0.,0.,1.)); #451038=DIRECTION('ref_axis',(1.,0.,0.)); #451039=DIRECTION('',(0.,0.,1.)); #451040=DIRECTION('center_axis',(0.,0.,-1.)); #451041=DIRECTION('ref_axis',(1.,0.,0.)); #451042=DIRECTION('center_axis',(0.,0.,1.)); #451043=DIRECTION('ref_axis',(1.,0.,0.)); #451044=DIRECTION('center_axis',(0.,0.,1.)); #451045=DIRECTION('ref_axis',(1.,0.,0.)); #451046=DIRECTION('',(0.,0.,1.)); #451047=DIRECTION('center_axis',(0.,0.,-1.)); #451048=DIRECTION('ref_axis',(1.,0.,0.)); #451049=DIRECTION('center_axis',(0.,0.,1.)); #451050=DIRECTION('ref_axis',(1.,0.,0.)); #451051=DIRECTION('center_axis',(0.,0.,1.)); #451052=DIRECTION('ref_axis',(1.,0.,0.)); #451053=DIRECTION('',(0.,0.,1.)); #451054=DIRECTION('center_axis',(0.,0.,-1.)); #451055=DIRECTION('ref_axis',(1.,0.,0.)); #451056=DIRECTION('center_axis',(0.,0.,1.)); #451057=DIRECTION('ref_axis',(1.,0.,0.)); #451058=DIRECTION('center_axis',(0.,0.,1.)); #451059=DIRECTION('ref_axis',(1.,0.,0.)); #451060=DIRECTION('',(0.,0.,1.)); #451061=DIRECTION('center_axis',(0.,0.,-1.)); #451062=DIRECTION('ref_axis',(1.,0.,0.)); #451063=DIRECTION('center_axis',(0.,0.,1.)); #451064=DIRECTION('ref_axis',(1.,0.,0.)); #451065=DIRECTION('center_axis',(0.,0.,1.)); #451066=DIRECTION('ref_axis',(1.,0.,0.)); #451067=DIRECTION('',(0.,0.,1.)); #451068=DIRECTION('center_axis',(0.,0.,-1.)); #451069=DIRECTION('ref_axis',(1.,0.,0.)); #451070=DIRECTION('center_axis',(0.,0.,1.)); #451071=DIRECTION('ref_axis',(1.,0.,0.)); #451072=DIRECTION('center_axis',(0.,0.,1.)); #451073=DIRECTION('ref_axis',(1.,0.,0.)); #451074=DIRECTION('',(0.,0.,1.)); #451075=DIRECTION('center_axis',(0.,0.,-1.)); #451076=DIRECTION('ref_axis',(1.,0.,0.)); #451077=DIRECTION('center_axis',(0.,0.,1.)); #451078=DIRECTION('ref_axis',(1.,0.,0.)); #451079=DIRECTION('center_axis',(0.,0.,1.)); #451080=DIRECTION('ref_axis',(1.,0.,0.)); #451081=DIRECTION('',(0.,0.,1.)); #451082=DIRECTION('center_axis',(0.,0.,-1.)); #451083=DIRECTION('ref_axis',(1.,0.,0.)); #451084=DIRECTION('center_axis',(0.,0.,1.)); #451085=DIRECTION('ref_axis',(1.,0.,0.)); #451086=DIRECTION('center_axis',(0.,0.,1.)); #451087=DIRECTION('ref_axis',(1.,0.,0.)); #451088=DIRECTION('',(0.,0.,1.)); #451089=DIRECTION('center_axis',(0.,0.,-1.)); #451090=DIRECTION('ref_axis',(1.,0.,0.)); #451091=DIRECTION('center_axis',(0.,0.,1.)); #451092=DIRECTION('ref_axis',(1.,0.,0.)); #451093=DIRECTION('center_axis',(0.,0.,1.)); #451094=DIRECTION('ref_axis',(1.,0.,0.)); #451095=DIRECTION('',(0.,0.,1.)); #451096=DIRECTION('center_axis',(0.,0.,-1.)); #451097=DIRECTION('ref_axis',(1.,0.,0.)); #451098=DIRECTION('center_axis',(0.,0.,1.)); #451099=DIRECTION('ref_axis',(1.,0.,0.)); #451100=DIRECTION('center_axis',(0.,0.,1.)); #451101=DIRECTION('ref_axis',(1.,0.,0.)); #451102=DIRECTION('',(0.,0.,1.)); #451103=DIRECTION('center_axis',(0.,0.,-1.)); #451104=DIRECTION('ref_axis',(1.,0.,0.)); #451105=DIRECTION('center_axis',(0.,0.,1.)); #451106=DIRECTION('ref_axis',(1.,0.,0.)); #451107=DIRECTION('center_axis',(0.,0.,1.)); #451108=DIRECTION('ref_axis',(1.,0.,0.)); #451109=DIRECTION('',(0.,0.,1.)); #451110=DIRECTION('center_axis',(0.,0.,-1.)); #451111=DIRECTION('ref_axis',(1.,0.,0.)); #451112=DIRECTION('center_axis',(0.,0.,1.)); #451113=DIRECTION('ref_axis',(1.,0.,0.)); #451114=DIRECTION('center_axis',(0.,0.,1.)); #451115=DIRECTION('ref_axis',(1.,0.,0.)); #451116=DIRECTION('',(0.,0.,1.)); #451117=DIRECTION('center_axis',(0.,0.,-1.)); #451118=DIRECTION('ref_axis',(1.,0.,0.)); #451119=DIRECTION('center_axis',(0.,0.,1.)); #451120=DIRECTION('ref_axis',(1.,0.,0.)); #451121=DIRECTION('center_axis',(0.,0.,1.)); #451122=DIRECTION('ref_axis',(1.,0.,0.)); #451123=DIRECTION('',(0.,0.,1.)); #451124=DIRECTION('center_axis',(0.,0.,-1.)); #451125=DIRECTION('ref_axis',(1.,0.,0.)); #451126=DIRECTION('center_axis',(0.,0.,1.)); #451127=DIRECTION('ref_axis',(1.,0.,0.)); #451128=DIRECTION('center_axis',(0.,0.,1.)); #451129=DIRECTION('ref_axis',(1.,0.,0.)); #451130=DIRECTION('',(0.,0.,1.)); #451131=DIRECTION('center_axis',(0.,0.,-1.)); #451132=DIRECTION('ref_axis',(1.,0.,0.)); #451133=DIRECTION('center_axis',(0.,0.,1.)); #451134=DIRECTION('ref_axis',(1.,0.,0.)); #451135=DIRECTION('center_axis',(0.,0.,1.)); #451136=DIRECTION('ref_axis',(1.,0.,0.)); #451137=DIRECTION('',(0.,0.,1.)); #451138=DIRECTION('center_axis',(0.,0.,-1.)); #451139=DIRECTION('ref_axis',(1.,0.,0.)); #451140=DIRECTION('center_axis',(0.,0.,1.)); #451141=DIRECTION('ref_axis',(1.,0.,0.)); #451142=DIRECTION('center_axis',(0.,0.,1.)); #451143=DIRECTION('ref_axis',(1.,0.,0.)); #451144=DIRECTION('',(0.,0.,1.)); #451145=DIRECTION('center_axis',(0.,0.,-1.)); #451146=DIRECTION('ref_axis',(1.,0.,0.)); #451147=DIRECTION('center_axis',(0.,0.,1.)); #451148=DIRECTION('ref_axis',(1.,0.,0.)); #451149=DIRECTION('center_axis',(0.,0.,1.)); #451150=DIRECTION('ref_axis',(1.,0.,0.)); #451151=DIRECTION('',(0.,0.,1.)); #451152=DIRECTION('center_axis',(0.,0.,-1.)); #451153=DIRECTION('ref_axis',(1.,0.,0.)); #451154=DIRECTION('center_axis',(0.,0.,1.)); #451155=DIRECTION('ref_axis',(1.,0.,0.)); #451156=DIRECTION('center_axis',(0.,0.,1.)); #451157=DIRECTION('ref_axis',(1.,0.,0.)); #451158=DIRECTION('',(0.,0.,1.)); #451159=DIRECTION('center_axis',(0.,0.,-1.)); #451160=DIRECTION('ref_axis',(1.,0.,0.)); #451161=DIRECTION('center_axis',(0.,0.,1.)); #451162=DIRECTION('ref_axis',(1.,0.,0.)); #451163=DIRECTION('center_axis',(0.,0.,1.)); #451164=DIRECTION('ref_axis',(1.,0.,0.)); #451165=DIRECTION('',(0.,0.,1.)); #451166=DIRECTION('center_axis',(0.,0.,-1.)); #451167=DIRECTION('ref_axis',(1.,0.,0.)); #451168=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #451169=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #451170=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451171=DIRECTION('',(0.,0.,1.)); #451172=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451173=DIRECTION('',(0.,0.,1.)); #451174=DIRECTION('center_axis',(0.,0.,1.)); #451175=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451176=DIRECTION('center_axis',(0.,0.,-1.)); #451177=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451178=DIRECTION('center_axis',(0.,0.,1.)); #451179=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451180=DIRECTION('',(0.,0.,1.)); #451181=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #451182=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #451183=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451184=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451185=DIRECTION('',(0.,0.,1.)); #451186=DIRECTION('center_axis',(0.,0.,1.)); #451187=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451188=DIRECTION('center_axis',(0.,0.,-1.)); #451189=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451190=DIRECTION('center_axis',(0.,0.,1.)); #451191=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451192=DIRECTION('center_axis',(0.,0.,1.)); #451193=DIRECTION('ref_axis',(1.,0.,0.)); #451194=DIRECTION('center_axis',(0.,0.,1.)); #451195=DIRECTION('ref_axis',(1.,0.,0.)); #451196=DIRECTION('',(0.,0.,1.)); #451197=DIRECTION('center_axis',(0.,0.,-1.)); #451198=DIRECTION('ref_axis',(1.,0.,0.)); #451199=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #451200=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #451201=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451202=DIRECTION('',(0.,0.,1.)); #451203=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451204=DIRECTION('',(0.,0.,1.)); #451205=DIRECTION('center_axis',(0.,0.,1.)); #451206=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451207=DIRECTION('center_axis',(0.,0.,-1.)); #451208=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451209=DIRECTION('center_axis',(0.,0.,1.)); #451210=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451211=DIRECTION('',(0.,0.,1.)); #451212=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #451213=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #451214=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451215=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451216=DIRECTION('',(0.,0.,1.)); #451217=DIRECTION('center_axis',(0.,0.,1.)); #451218=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451219=DIRECTION('center_axis',(0.,0.,-1.)); #451220=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451221=DIRECTION('center_axis',(0.,0.,1.)); #451222=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451223=DIRECTION('center_axis',(0.,0.,1.)); #451224=DIRECTION('ref_axis',(1.,0.,0.)); #451225=DIRECTION('center_axis',(0.,0.,1.)); #451226=DIRECTION('ref_axis',(1.,0.,0.)); #451227=DIRECTION('',(0.,0.,1.)); #451228=DIRECTION('center_axis',(0.,0.,-1.)); #451229=DIRECTION('ref_axis',(1.,0.,0.)); #451230=DIRECTION('center_axis',(0.,0.,1.)); #451231=DIRECTION('ref_axis',(1.,0.,0.)); #451232=DIRECTION('center_axis',(0.,0.,1.)); #451233=DIRECTION('ref_axis',(1.,0.,0.)); #451234=DIRECTION('',(0.,0.,1.)); #451235=DIRECTION('center_axis',(0.,0.,-1.)); #451236=DIRECTION('ref_axis',(1.,0.,0.)); #451237=DIRECTION('center_axis',(0.,0.,1.)); #451238=DIRECTION('ref_axis',(1.,0.,0.)); #451239=DIRECTION('center_axis',(0.,0.,1.)); #451240=DIRECTION('ref_axis',(1.,0.,0.)); #451241=DIRECTION('',(0.,0.,1.)); #451242=DIRECTION('center_axis',(0.,0.,-1.)); #451243=DIRECTION('ref_axis',(1.,0.,0.)); #451244=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #451245=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #451246=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451247=DIRECTION('',(0.,0.,1.)); #451248=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451249=DIRECTION('',(0.,0.,1.)); #451250=DIRECTION('center_axis',(0.,0.,1.)); #451251=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451252=DIRECTION('center_axis',(0.,0.,-1.)); #451253=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451254=DIRECTION('center_axis',(0.,0.,1.)); #451255=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #451256=DIRECTION('',(0.,0.,1.)); #451257=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #451258=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #451259=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #451260=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #451261=DIRECTION('',(0.,0.,1.)); #451262=DIRECTION('center_axis',(0.,0.,1.)); #451263=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451264=DIRECTION('center_axis',(0.,0.,-1.)); #451265=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451266=DIRECTION('center_axis',(0.,0.,1.)); #451267=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #451268=DIRECTION('center_axis',(0.,0.,1.)); #451269=DIRECTION('ref_axis',(1.,0.,0.)); #451270=DIRECTION('center_axis',(0.,0.,1.)); #451271=DIRECTION('ref_axis',(1.,0.,0.)); #451272=DIRECTION('',(0.,0.,1.)); #451273=DIRECTION('center_axis',(0.,0.,-1.)); #451274=DIRECTION('ref_axis',(1.,0.,0.)); #451275=DIRECTION('center_axis',(0.,0.,1.)); #451276=DIRECTION('ref_axis',(1.,0.,0.)); #451277=DIRECTION('center_axis',(0.,0.,1.)); #451278=DIRECTION('ref_axis',(1.,0.,0.)); #451279=DIRECTION('',(0.,0.,1.)); #451280=DIRECTION('center_axis',(0.,0.,-1.)); #451281=DIRECTION('ref_axis',(1.,0.,0.)); #451282=DIRECTION('center_axis',(0.,0.,1.)); #451283=DIRECTION('ref_axis',(1.,0.,0.)); #451284=DIRECTION('center_axis',(0.,0.,1.)); #451285=DIRECTION('ref_axis',(1.,0.,0.)); #451286=DIRECTION('',(0.,0.,1.)); #451287=DIRECTION('center_axis',(0.,0.,-1.)); #451288=DIRECTION('ref_axis',(1.,0.,0.)); #451289=DIRECTION('center_axis',(0.,0.,1.)); #451290=DIRECTION('ref_axis',(1.,0.,0.)); #451291=DIRECTION('center_axis',(0.,0.,1.)); #451292=DIRECTION('ref_axis',(1.,0.,0.)); #451293=DIRECTION('',(0.,0.,1.)); #451294=DIRECTION('center_axis',(0.,0.,-1.)); #451295=DIRECTION('ref_axis',(1.,0.,0.)); #451296=DIRECTION('center_axis',(0.,0.,1.)); #451297=DIRECTION('ref_axis',(1.,0.,0.)); #451298=DIRECTION('center_axis',(0.,0.,1.)); #451299=DIRECTION('ref_axis',(1.,0.,0.)); #451300=DIRECTION('',(0.,0.,1.)); #451301=DIRECTION('center_axis',(0.,0.,-1.)); #451302=DIRECTION('ref_axis',(1.,0.,0.)); #451303=DIRECTION('center_axis',(0.,0.,1.)); #451304=DIRECTION('ref_axis',(1.,0.,0.)); #451305=DIRECTION('center_axis',(0.,0.,1.)); #451306=DIRECTION('ref_axis',(1.,0.,0.)); #451307=DIRECTION('',(0.,0.,1.)); #451308=DIRECTION('center_axis',(0.,0.,-1.)); #451309=DIRECTION('ref_axis',(1.,0.,0.)); #451310=DIRECTION('center_axis',(0.,0.,1.)); #451311=DIRECTION('ref_axis',(1.,0.,0.)); #451312=DIRECTION('center_axis',(0.,0.,1.)); #451313=DIRECTION('ref_axis',(1.,0.,0.)); #451314=DIRECTION('',(0.,0.,1.)); #451315=DIRECTION('center_axis',(0.,0.,-1.)); #451316=DIRECTION('ref_axis',(1.,0.,0.)); #451317=DIRECTION('center_axis',(0.,0.,1.)); #451318=DIRECTION('ref_axis',(1.,0.,0.)); #451319=DIRECTION('center_axis',(0.,0.,1.)); #451320=DIRECTION('ref_axis',(1.,0.,0.)); #451321=DIRECTION('',(0.,0.,1.)); #451322=DIRECTION('center_axis',(0.,0.,-1.)); #451323=DIRECTION('ref_axis',(1.,0.,0.)); #451324=DIRECTION('center_axis',(0.,0.,1.)); #451325=DIRECTION('ref_axis',(1.,0.,0.)); #451326=DIRECTION('center_axis',(0.,0.,1.)); #451327=DIRECTION('ref_axis',(1.,0.,0.)); #451328=DIRECTION('',(0.,0.,1.)); #451329=DIRECTION('center_axis',(0.,0.,-1.)); #451330=DIRECTION('ref_axis',(1.,0.,0.)); #451331=DIRECTION('center_axis',(0.,0.,1.)); #451332=DIRECTION('ref_axis',(1.,0.,0.)); #451333=DIRECTION('center_axis',(0.,0.,1.)); #451334=DIRECTION('ref_axis',(1.,0.,0.)); #451335=DIRECTION('',(0.,0.,1.)); #451336=DIRECTION('center_axis',(0.,0.,-1.)); #451337=DIRECTION('ref_axis',(1.,0.,0.)); #451338=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #451339=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #451340=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451341=DIRECTION('',(0.,0.,1.)); #451342=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451343=DIRECTION('',(0.,0.,1.)); #451344=DIRECTION('center_axis',(0.,0.,1.)); #451345=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451346=DIRECTION('center_axis',(0.,0.,-1.)); #451347=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451348=DIRECTION('center_axis',(0.,0.,1.)); #451349=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451350=DIRECTION('',(0.,0.,1.)); #451351=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #451352=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #451353=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451354=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451355=DIRECTION('',(0.,0.,1.)); #451356=DIRECTION('center_axis',(0.,0.,1.)); #451357=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451358=DIRECTION('center_axis',(0.,0.,-1.)); #451359=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451360=DIRECTION('center_axis',(0.,0.,1.)); #451361=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451362=DIRECTION('center_axis',(0.,0.,1.)); #451363=DIRECTION('ref_axis',(1.,0.,0.)); #451364=DIRECTION('center_axis',(0.,0.,1.)); #451365=DIRECTION('ref_axis',(1.,0.,0.)); #451366=DIRECTION('',(0.,0.,1.)); #451367=DIRECTION('center_axis',(0.,0.,-1.)); #451368=DIRECTION('ref_axis',(1.,0.,0.)); #451369=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #451370=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #451371=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451372=DIRECTION('',(0.,0.,1.)); #451373=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451374=DIRECTION('',(0.,0.,1.)); #451375=DIRECTION('center_axis',(0.,0.,1.)); #451376=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451377=DIRECTION('center_axis',(0.,0.,-1.)); #451378=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451379=DIRECTION('center_axis',(0.,0.,1.)); #451380=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451381=DIRECTION('',(0.,0.,1.)); #451382=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #451383=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #451384=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451385=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451386=DIRECTION('',(0.,0.,1.)); #451387=DIRECTION('center_axis',(0.,0.,1.)); #451388=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451389=DIRECTION('center_axis',(0.,0.,-1.)); #451390=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451391=DIRECTION('center_axis',(0.,0.,1.)); #451392=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451393=DIRECTION('center_axis',(0.,0.,1.)); #451394=DIRECTION('ref_axis',(1.,0.,0.)); #451395=DIRECTION('center_axis',(0.,0.,1.)); #451396=DIRECTION('ref_axis',(1.,0.,0.)); #451397=DIRECTION('',(0.,0.,1.)); #451398=DIRECTION('center_axis',(0.,0.,-1.)); #451399=DIRECTION('ref_axis',(1.,0.,0.)); #451400=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #451401=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #451402=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451403=DIRECTION('',(0.,0.,1.)); #451404=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451405=DIRECTION('',(0.,0.,1.)); #451406=DIRECTION('center_axis',(0.,0.,1.)); #451407=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451408=DIRECTION('center_axis',(0.,0.,-1.)); #451409=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451410=DIRECTION('center_axis',(0.,0.,1.)); #451411=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #451412=DIRECTION('',(0.,0.,1.)); #451413=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #451414=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #451415=DIRECTION('',(5.55111499297693E-15,1.,0.)); #451416=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #451417=DIRECTION('',(0.,0.,1.)); #451418=DIRECTION('center_axis',(0.,0.,1.)); #451419=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451420=DIRECTION('center_axis',(0.,0.,-1.)); #451421=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451422=DIRECTION('center_axis',(0.,0.,1.)); #451423=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #451424=DIRECTION('center_axis',(0.,0.,1.)); #451425=DIRECTION('ref_axis',(1.,0.,0.)); #451426=DIRECTION('center_axis',(0.,0.,1.)); #451427=DIRECTION('ref_axis',(1.,0.,0.)); #451428=DIRECTION('',(0.,0.,1.)); #451429=DIRECTION('center_axis',(0.,0.,-1.)); #451430=DIRECTION('ref_axis',(1.,0.,0.)); #451431=DIRECTION('center_axis',(0.,0.,1.)); #451432=DIRECTION('ref_axis',(1.,0.,0.)); #451433=DIRECTION('center_axis',(0.,0.,1.)); #451434=DIRECTION('ref_axis',(1.,0.,0.)); #451435=DIRECTION('',(0.,0.,1.)); #451436=DIRECTION('center_axis',(0.,0.,-1.)); #451437=DIRECTION('ref_axis',(1.,0.,0.)); #451438=DIRECTION('center_axis',(0.,0.,1.)); #451439=DIRECTION('ref_axis',(1.,0.,0.)); #451440=DIRECTION('center_axis',(0.,0.,1.)); #451441=DIRECTION('ref_axis',(1.,0.,0.)); #451442=DIRECTION('',(0.,0.,1.)); #451443=DIRECTION('center_axis',(0.,0.,-1.)); #451444=DIRECTION('ref_axis',(1.,0.,0.)); #451445=DIRECTION('center_axis',(0.,0.,1.)); #451446=DIRECTION('ref_axis',(1.,0.,0.)); #451447=DIRECTION('center_axis',(0.,0.,1.)); #451448=DIRECTION('ref_axis',(1.,0.,0.)); #451449=DIRECTION('',(0.,0.,1.)); #451450=DIRECTION('center_axis',(0.,0.,-1.)); #451451=DIRECTION('ref_axis',(1.,0.,0.)); #451452=DIRECTION('center_axis',(0.,0.,1.)); #451453=DIRECTION('ref_axis',(1.,0.,0.)); #451454=DIRECTION('center_axis',(0.,0.,1.)); #451455=DIRECTION('ref_axis',(1.,0.,0.)); #451456=DIRECTION('',(0.,0.,1.)); #451457=DIRECTION('center_axis',(0.,0.,-1.)); #451458=DIRECTION('ref_axis',(1.,0.,0.)); #451459=DIRECTION('center_axis',(0.,0.,1.)); #451460=DIRECTION('ref_axis',(1.,0.,0.)); #451461=DIRECTION('center_axis',(0.,0.,1.)); #451462=DIRECTION('ref_axis',(1.,0.,0.)); #451463=DIRECTION('',(0.,0.,1.)); #451464=DIRECTION('center_axis',(0.,0.,-1.)); #451465=DIRECTION('ref_axis',(1.,0.,0.)); #451466=DIRECTION('center_axis',(0.,0.,1.)); #451467=DIRECTION('ref_axis',(1.,0.,0.)); #451468=DIRECTION('center_axis',(0.,0.,1.)); #451469=DIRECTION('ref_axis',(1.,0.,0.)); #451470=DIRECTION('',(0.,0.,1.)); #451471=DIRECTION('center_axis',(0.,0.,-1.)); #451472=DIRECTION('ref_axis',(1.,0.,0.)); #451473=DIRECTION('center_axis',(0.,0.,1.)); #451474=DIRECTION('ref_axis',(1.,0.,0.)); #451475=DIRECTION('center_axis',(0.,0.,1.)); #451476=DIRECTION('ref_axis',(1.,0.,0.)); #451477=DIRECTION('',(0.,0.,1.)); #451478=DIRECTION('center_axis',(0.,0.,-1.)); #451479=DIRECTION('ref_axis',(1.,0.,0.)); #451480=DIRECTION('center_axis',(0.,0.,1.)); #451481=DIRECTION('ref_axis',(1.,0.,0.)); #451482=DIRECTION('center_axis',(0.,0.,1.)); #451483=DIRECTION('ref_axis',(1.,0.,0.)); #451484=DIRECTION('',(0.,0.,1.)); #451485=DIRECTION('center_axis',(0.,0.,-1.)); #451486=DIRECTION('ref_axis',(1.,0.,0.)); #451487=DIRECTION('center_axis',(0.,0.,1.)); #451488=DIRECTION('ref_axis',(1.,0.,0.)); #451489=DIRECTION('center_axis',(0.,0.,1.)); #451490=DIRECTION('ref_axis',(1.,0.,0.)); #451491=DIRECTION('',(0.,0.,1.)); #451492=DIRECTION('center_axis',(0.,0.,-1.)); #451493=DIRECTION('ref_axis',(1.,0.,0.)); #451494=DIRECTION('center_axis',(0.,0.,1.)); #451495=DIRECTION('ref_axis',(1.,0.,0.)); #451496=DIRECTION('center_axis',(0.,0.,1.)); #451497=DIRECTION('ref_axis',(1.,0.,0.)); #451498=DIRECTION('',(0.,0.,1.)); #451499=DIRECTION('center_axis',(0.,0.,-1.)); #451500=DIRECTION('ref_axis',(1.,0.,0.)); #451501=DIRECTION('center_axis',(0.,0.,1.)); #451502=DIRECTION('ref_axis',(1.,0.,0.)); #451503=DIRECTION('center_axis',(0.,0.,1.)); #451504=DIRECTION('ref_axis',(1.,0.,0.)); #451505=DIRECTION('',(0.,0.,1.)); #451506=DIRECTION('center_axis',(0.,0.,-1.)); #451507=DIRECTION('ref_axis',(1.,0.,0.)); #451508=DIRECTION('center_axis',(0.,0.,1.)); #451509=DIRECTION('ref_axis',(1.,0.,0.)); #451510=DIRECTION('center_axis',(0.,0.,1.)); #451511=DIRECTION('ref_axis',(1.,0.,0.)); #451512=DIRECTION('',(0.,0.,1.)); #451513=DIRECTION('center_axis',(0.,0.,-1.)); #451514=DIRECTION('ref_axis',(1.,0.,0.)); #451515=DIRECTION('center_axis',(0.,0.,1.)); #451516=DIRECTION('ref_axis',(1.,0.,0.)); #451517=DIRECTION('center_axis',(0.,0.,1.)); #451518=DIRECTION('ref_axis',(1.,0.,0.)); #451519=DIRECTION('',(0.,0.,1.)); #451520=DIRECTION('center_axis',(0.,0.,-1.)); #451521=DIRECTION('ref_axis',(1.,0.,0.)); #451522=DIRECTION('center_axis',(0.,0.,1.)); #451523=DIRECTION('ref_axis',(1.,0.,0.)); #451524=DIRECTION('center_axis',(0.,0.,1.)); #451525=DIRECTION('ref_axis',(1.,0.,0.)); #451526=DIRECTION('',(0.,0.,1.)); #451527=DIRECTION('center_axis',(0.,0.,-1.)); #451528=DIRECTION('ref_axis',(1.,0.,0.)); #451529=DIRECTION('center_axis',(0.,0.,1.)); #451530=DIRECTION('ref_axis',(1.,0.,0.)); #451531=DIRECTION('center_axis',(0.,0.,1.)); #451532=DIRECTION('ref_axis',(1.,0.,0.)); #451533=DIRECTION('',(0.,0.,1.)); #451534=DIRECTION('center_axis',(0.,0.,-1.)); #451535=DIRECTION('ref_axis',(1.,0.,0.)); #451536=DIRECTION('center_axis',(0.,0.,1.)); #451537=DIRECTION('ref_axis',(1.,0.,0.)); #451538=DIRECTION('center_axis',(0.,0.,1.)); #451539=DIRECTION('ref_axis',(1.,0.,0.)); #451540=DIRECTION('',(0.,0.,1.)); #451541=DIRECTION('center_axis',(0.,0.,-1.)); #451542=DIRECTION('ref_axis',(1.,0.,0.)); #451543=DIRECTION('center_axis',(0.,0.,1.)); #451544=DIRECTION('ref_axis',(1.,0.,0.)); #451545=DIRECTION('center_axis',(0.,0.,1.)); #451546=DIRECTION('ref_axis',(1.,0.,0.)); #451547=DIRECTION('',(0.,0.,1.)); #451548=DIRECTION('center_axis',(0.,0.,-1.)); #451549=DIRECTION('ref_axis',(1.,0.,0.)); #451550=DIRECTION('center_axis',(0.,0.,1.)); #451551=DIRECTION('ref_axis',(1.,0.,0.)); #451552=DIRECTION('center_axis',(0.,0.,1.)); #451553=DIRECTION('ref_axis',(1.,0.,0.)); #451554=DIRECTION('',(0.,0.,1.)); #451555=DIRECTION('center_axis',(0.,0.,-1.)); #451556=DIRECTION('ref_axis',(1.,0.,0.)); #451557=DIRECTION('center_axis',(0.,0.,1.)); #451558=DIRECTION('ref_axis',(1.,0.,0.)); #451559=DIRECTION('center_axis',(0.,0.,1.)); #451560=DIRECTION('ref_axis',(1.,0.,0.)); #451561=DIRECTION('',(0.,0.,1.)); #451562=DIRECTION('center_axis',(0.,0.,-1.)); #451563=DIRECTION('ref_axis',(1.,0.,0.)); #451564=DIRECTION('center_axis',(0.,0.,1.)); #451565=DIRECTION('ref_axis',(1.,0.,0.)); #451566=DIRECTION('center_axis',(0.,0.,1.)); #451567=DIRECTION('ref_axis',(1.,0.,0.)); #451568=DIRECTION('',(0.,0.,1.)); #451569=DIRECTION('center_axis',(0.,0.,-1.)); #451570=DIRECTION('ref_axis',(1.,0.,0.)); #451571=DIRECTION('center_axis',(0.,0.,1.)); #451572=DIRECTION('ref_axis',(1.,0.,0.)); #451573=DIRECTION('center_axis',(0.,0.,1.)); #451574=DIRECTION('ref_axis',(1.,0.,0.)); #451575=DIRECTION('',(0.,0.,1.)); #451576=DIRECTION('center_axis',(0.,0.,-1.)); #451577=DIRECTION('ref_axis',(1.,0.,0.)); #451578=DIRECTION('center_axis',(0.,0.,1.)); #451579=DIRECTION('ref_axis',(1.,0.,0.)); #451580=DIRECTION('center_axis',(0.,0.,1.)); #451581=DIRECTION('ref_axis',(1.,0.,0.)); #451582=DIRECTION('',(0.,0.,1.)); #451583=DIRECTION('center_axis',(0.,0.,-1.)); #451584=DIRECTION('ref_axis',(1.,0.,0.)); #451585=DIRECTION('center_axis',(0.,0.,1.)); #451586=DIRECTION('ref_axis',(1.,0.,0.)); #451587=DIRECTION('center_axis',(0.,0.,1.)); #451588=DIRECTION('ref_axis',(1.,0.,0.)); #451589=DIRECTION('',(0.,0.,1.)); #451590=DIRECTION('center_axis',(0.,0.,-1.)); #451591=DIRECTION('ref_axis',(1.,0.,0.)); #451592=DIRECTION('center_axis',(0.,0.,1.)); #451593=DIRECTION('ref_axis',(1.,0.,0.)); #451594=DIRECTION('center_axis',(0.,0.,1.)); #451595=DIRECTION('ref_axis',(1.,0.,0.)); #451596=DIRECTION('',(0.,0.,1.)); #451597=DIRECTION('center_axis',(0.,0.,-1.)); #451598=DIRECTION('ref_axis',(1.,0.,0.)); #451599=DIRECTION('center_axis',(0.,0.,1.)); #451600=DIRECTION('ref_axis',(1.,0.,0.)); #451601=DIRECTION('center_axis',(0.,0.,1.)); #451602=DIRECTION('ref_axis',(1.,0.,0.)); #451603=DIRECTION('',(0.,0.,1.)); #451604=DIRECTION('center_axis',(0.,0.,-1.)); #451605=DIRECTION('ref_axis',(1.,0.,0.)); #451606=DIRECTION('center_axis',(0.,0.,1.)); #451607=DIRECTION('ref_axis',(1.,0.,0.)); #451608=DIRECTION('center_axis',(0.,0.,1.)); #451609=DIRECTION('ref_axis',(1.,0.,0.)); #451610=DIRECTION('',(0.,0.,1.)); #451611=DIRECTION('center_axis',(0.,0.,-1.)); #451612=DIRECTION('ref_axis',(1.,0.,0.)); #451613=DIRECTION('center_axis',(0.,0.,1.)); #451614=DIRECTION('ref_axis',(1.,0.,0.)); #451615=DIRECTION('center_axis',(0.,0.,1.)); #451616=DIRECTION('ref_axis',(1.,0.,0.)); #451617=DIRECTION('',(0.,0.,1.)); #451618=DIRECTION('center_axis',(0.,0.,-1.)); #451619=DIRECTION('ref_axis',(1.,0.,0.)); #451620=DIRECTION('center_axis',(0.,0.,1.)); #451621=DIRECTION('ref_axis',(1.,0.,0.)); #451622=DIRECTION('center_axis',(0.,0.,1.)); #451623=DIRECTION('ref_axis',(1.,0.,0.)); #451624=DIRECTION('',(0.,0.,1.)); #451625=DIRECTION('center_axis',(0.,0.,-1.)); #451626=DIRECTION('ref_axis',(1.,0.,0.)); #451627=DIRECTION('center_axis',(0.,0.,1.)); #451628=DIRECTION('ref_axis',(1.,0.,0.)); #451629=DIRECTION('center_axis',(0.,0.,1.)); #451630=DIRECTION('ref_axis',(1.,0.,0.)); #451631=DIRECTION('',(0.,0.,1.)); #451632=DIRECTION('center_axis',(0.,0.,-1.)); #451633=DIRECTION('ref_axis',(1.,0.,0.)); #451634=DIRECTION('center_axis',(0.,0.,1.)); #451635=DIRECTION('ref_axis',(1.,0.,0.)); #451636=DIRECTION('center_axis',(0.,0.,1.)); #451637=DIRECTION('ref_axis',(1.,0.,0.)); #451638=DIRECTION('',(0.,0.,1.)); #451639=DIRECTION('center_axis',(0.,0.,-1.)); #451640=DIRECTION('ref_axis',(1.,0.,0.)); #451641=DIRECTION('center_axis',(0.,0.,1.)); #451642=DIRECTION('ref_axis',(1.,0.,0.)); #451643=DIRECTION('center_axis',(0.,0.,1.)); #451644=DIRECTION('ref_axis',(1.,0.,0.)); #451645=DIRECTION('',(0.,0.,1.)); #451646=DIRECTION('center_axis',(0.,0.,-1.)); #451647=DIRECTION('ref_axis',(1.,0.,0.)); #451648=DIRECTION('center_axis',(0.,0.,1.)); #451649=DIRECTION('ref_axis',(1.,0.,0.)); #451650=DIRECTION('center_axis',(0.,0.,1.)); #451651=DIRECTION('ref_axis',(1.,0.,0.)); #451652=DIRECTION('',(0.,0.,1.)); #451653=DIRECTION('center_axis',(0.,0.,-1.)); #451654=DIRECTION('ref_axis',(1.,0.,0.)); #451655=DIRECTION('center_axis',(0.,0.,1.)); #451656=DIRECTION('ref_axis',(1.,0.,0.)); #451657=DIRECTION('center_axis',(0.,0.,1.)); #451658=DIRECTION('ref_axis',(1.,0.,0.)); #451659=DIRECTION('',(0.,0.,1.)); #451660=DIRECTION('center_axis',(0.,0.,-1.)); #451661=DIRECTION('ref_axis',(1.,0.,0.)); #451662=DIRECTION('center_axis',(0.,0.,1.)); #451663=DIRECTION('ref_axis',(1.,0.,0.)); #451664=DIRECTION('center_axis',(0.,0.,1.)); #451665=DIRECTION('ref_axis',(1.,0.,0.)); #451666=DIRECTION('',(0.,0.,1.)); #451667=DIRECTION('center_axis',(0.,0.,-1.)); #451668=DIRECTION('ref_axis',(1.,0.,0.)); #451669=DIRECTION('center_axis',(0.,0.,1.)); #451670=DIRECTION('ref_axis',(1.,0.,0.)); #451671=DIRECTION('center_axis',(0.,0.,1.)); #451672=DIRECTION('ref_axis',(1.,0.,0.)); #451673=DIRECTION('',(0.,0.,1.)); #451674=DIRECTION('center_axis',(0.,0.,-1.)); #451675=DIRECTION('ref_axis',(1.,0.,0.)); #451676=DIRECTION('center_axis',(0.,0.,1.)); #451677=DIRECTION('ref_axis',(1.,0.,0.)); #451678=DIRECTION('center_axis',(0.,0.,1.)); #451679=DIRECTION('ref_axis',(1.,0.,0.)); #451680=DIRECTION('',(0.,0.,1.)); #451681=DIRECTION('center_axis',(0.,0.,-1.)); #451682=DIRECTION('ref_axis',(1.,0.,0.)); #451683=DIRECTION('center_axis',(0.,0.,1.)); #451684=DIRECTION('ref_axis',(1.,0.,0.)); #451685=DIRECTION('center_axis',(0.,0.,1.)); #451686=DIRECTION('ref_axis',(1.,0.,0.)); #451687=DIRECTION('',(0.,0.,1.)); #451688=DIRECTION('center_axis',(0.,0.,-1.)); #451689=DIRECTION('ref_axis',(1.,0.,0.)); #451690=DIRECTION('center_axis',(0.,0.,1.)); #451691=DIRECTION('ref_axis',(1.,0.,0.)); #451692=DIRECTION('center_axis',(0.,0.,1.)); #451693=DIRECTION('ref_axis',(1.,0.,0.)); #451694=DIRECTION('',(0.,0.,1.)); #451695=DIRECTION('center_axis',(0.,0.,-1.)); #451696=DIRECTION('ref_axis',(1.,0.,0.)); #451697=DIRECTION('center_axis',(0.,0.,1.)); #451698=DIRECTION('ref_axis',(1.,0.,0.)); #451699=DIRECTION('center_axis',(0.,0.,1.)); #451700=DIRECTION('ref_axis',(1.,0.,0.)); #451701=DIRECTION('',(0.,0.,1.)); #451702=DIRECTION('center_axis',(0.,0.,-1.)); #451703=DIRECTION('ref_axis',(1.,0.,0.)); #451704=DIRECTION('center_axis',(0.,0.,1.)); #451705=DIRECTION('ref_axis',(1.,0.,0.)); #451706=DIRECTION('center_axis',(0.,0.,1.)); #451707=DIRECTION('ref_axis',(1.,0.,0.)); #451708=DIRECTION('',(0.,0.,1.)); #451709=DIRECTION('center_axis',(0.,0.,-1.)); #451710=DIRECTION('ref_axis',(1.,0.,0.)); #451711=DIRECTION('center_axis',(0.,0.,1.)); #451712=DIRECTION('ref_axis',(1.,0.,0.)); #451713=DIRECTION('center_axis',(0.,0.,1.)); #451714=DIRECTION('ref_axis',(1.,0.,0.)); #451715=DIRECTION('',(0.,0.,1.)); #451716=DIRECTION('center_axis',(0.,0.,-1.)); #451717=DIRECTION('ref_axis',(1.,0.,0.)); #451718=DIRECTION('center_axis',(0.,0.,1.)); #451719=DIRECTION('ref_axis',(1.,0.,0.)); #451720=DIRECTION('center_axis',(0.,0.,1.)); #451721=DIRECTION('ref_axis',(1.,0.,0.)); #451722=DIRECTION('',(0.,0.,1.)); #451723=DIRECTION('center_axis',(0.,0.,-1.)); #451724=DIRECTION('ref_axis',(1.,0.,0.)); #451725=DIRECTION('center_axis',(0.,0.,1.)); #451726=DIRECTION('ref_axis',(1.,0.,0.)); #451727=DIRECTION('center_axis',(0.,0.,1.)); #451728=DIRECTION('ref_axis',(1.,0.,0.)); #451729=DIRECTION('',(0.,0.,1.)); #451730=DIRECTION('center_axis',(0.,0.,-1.)); #451731=DIRECTION('ref_axis',(1.,0.,0.)); #451732=DIRECTION('center_axis',(0.,0.,1.)); #451733=DIRECTION('ref_axis',(1.,0.,0.)); #451734=DIRECTION('center_axis',(0.,0.,1.)); #451735=DIRECTION('ref_axis',(1.,0.,0.)); #451736=DIRECTION('',(0.,0.,1.)); #451737=DIRECTION('center_axis',(0.,0.,-1.)); #451738=DIRECTION('ref_axis',(1.,0.,0.)); #451739=DIRECTION('center_axis',(0.,0.,1.)); #451740=DIRECTION('ref_axis',(1.,0.,0.)); #451741=DIRECTION('center_axis',(0.,0.,1.)); #451742=DIRECTION('ref_axis',(1.,0.,0.)); #451743=DIRECTION('',(0.,0.,1.)); #451744=DIRECTION('center_axis',(0.,0.,-1.)); #451745=DIRECTION('ref_axis',(1.,0.,0.)); #451746=DIRECTION('center_axis',(0.,0.,1.)); #451747=DIRECTION('ref_axis',(1.,0.,0.)); #451748=DIRECTION('center_axis',(0.,0.,1.)); #451749=DIRECTION('ref_axis',(1.,0.,0.)); #451750=DIRECTION('',(0.,0.,1.)); #451751=DIRECTION('center_axis',(0.,0.,-1.)); #451752=DIRECTION('ref_axis',(1.,0.,0.)); #451753=DIRECTION('center_axis',(0.,0.,1.)); #451754=DIRECTION('ref_axis',(1.,0.,0.)); #451755=DIRECTION('center_axis',(0.,0.,1.)); #451756=DIRECTION('ref_axis',(1.,0.,0.)); #451757=DIRECTION('',(0.,0.,1.)); #451758=DIRECTION('center_axis',(0.,0.,-1.)); #451759=DIRECTION('ref_axis',(1.,0.,0.)); #451760=DIRECTION('center_axis',(0.,0.,1.)); #451761=DIRECTION('ref_axis',(1.,0.,0.)); #451762=DIRECTION('center_axis',(0.,0.,1.)); #451763=DIRECTION('ref_axis',(1.,0.,0.)); #451764=DIRECTION('',(0.,0.,1.)); #451765=DIRECTION('center_axis',(0.,0.,-1.)); #451766=DIRECTION('ref_axis',(1.,0.,0.)); #451767=DIRECTION('center_axis',(0.,0.,1.)); #451768=DIRECTION('ref_axis',(1.,0.,0.)); #451769=DIRECTION('center_axis',(0.,0.,1.)); #451770=DIRECTION('ref_axis',(1.,0.,0.)); #451771=DIRECTION('',(0.,0.,1.)); #451772=DIRECTION('center_axis',(0.,0.,-1.)); #451773=DIRECTION('ref_axis',(1.,0.,0.)); #451774=DIRECTION('center_axis',(0.,0.,1.)); #451775=DIRECTION('ref_axis',(1.,0.,0.)); #451776=DIRECTION('center_axis',(0.,0.,1.)); #451777=DIRECTION('ref_axis',(1.,0.,0.)); #451778=DIRECTION('',(0.,0.,1.)); #451779=DIRECTION('center_axis',(0.,0.,-1.)); #451780=DIRECTION('ref_axis',(1.,0.,0.)); #451781=DIRECTION('center_axis',(0.,0.,1.)); #451782=DIRECTION('ref_axis',(1.,0.,0.)); #451783=DIRECTION('center_axis',(0.,0.,1.)); #451784=DIRECTION('ref_axis',(1.,0.,0.)); #451785=DIRECTION('',(0.,0.,1.)); #451786=DIRECTION('center_axis',(0.,0.,-1.)); #451787=DIRECTION('ref_axis',(1.,0.,0.)); #451788=DIRECTION('center_axis',(0.,0.,1.)); #451789=DIRECTION('ref_axis',(1.,0.,0.)); #451790=DIRECTION('center_axis',(0.,0.,1.)); #451791=DIRECTION('ref_axis',(1.,0.,0.)); #451792=DIRECTION('',(0.,0.,1.)); #451793=DIRECTION('center_axis',(0.,0.,-1.)); #451794=DIRECTION('ref_axis',(1.,0.,0.)); #451795=DIRECTION('center_axis',(0.,0.,1.)); #451796=DIRECTION('ref_axis',(1.,0.,0.)); #451797=DIRECTION('center_axis',(0.,0.,1.)); #451798=DIRECTION('ref_axis',(1.,0.,0.)); #451799=DIRECTION('',(0.,0.,1.)); #451800=DIRECTION('center_axis',(0.,0.,-1.)); #451801=DIRECTION('ref_axis',(1.,0.,0.)); #451802=DIRECTION('center_axis',(0.,0.,1.)); #451803=DIRECTION('ref_axis',(1.,0.,0.)); #451804=DIRECTION('center_axis',(0.,0.,1.)); #451805=DIRECTION('ref_axis',(1.,0.,0.)); #451806=DIRECTION('',(0.,0.,1.)); #451807=DIRECTION('center_axis',(0.,0.,-1.)); #451808=DIRECTION('ref_axis',(1.,0.,0.)); #451809=DIRECTION('center_axis',(0.,0.,1.)); #451810=DIRECTION('ref_axis',(1.,0.,0.)); #451811=DIRECTION('center_axis',(0.,0.,1.)); #451812=DIRECTION('ref_axis',(1.,0.,0.)); #451813=DIRECTION('',(0.,0.,1.)); #451814=DIRECTION('center_axis',(0.,0.,-1.)); #451815=DIRECTION('ref_axis',(1.,0.,0.)); #451816=DIRECTION('center_axis',(0.,0.,1.)); #451817=DIRECTION('ref_axis',(1.,0.,0.)); #451818=DIRECTION('center_axis',(0.,0.,1.)); #451819=DIRECTION('ref_axis',(1.,0.,0.)); #451820=DIRECTION('',(0.,0.,1.)); #451821=DIRECTION('center_axis',(0.,0.,-1.)); #451822=DIRECTION('ref_axis',(1.,0.,0.)); #451823=DIRECTION('center_axis',(0.,0.,1.)); #451824=DIRECTION('ref_axis',(1.,0.,0.)); #451825=DIRECTION('center_axis',(0.,0.,1.)); #451826=DIRECTION('ref_axis',(1.,0.,0.)); #451827=DIRECTION('',(0.,0.,1.)); #451828=DIRECTION('center_axis',(0.,0.,-1.)); #451829=DIRECTION('ref_axis',(1.,0.,0.)); #451830=DIRECTION('center_axis',(0.,0.,1.)); #451831=DIRECTION('ref_axis',(1.,0.,0.)); #451832=DIRECTION('center_axis',(0.,0.,1.)); #451833=DIRECTION('ref_axis',(1.,0.,0.)); #451834=DIRECTION('',(0.,0.,1.)); #451835=DIRECTION('center_axis',(0.,0.,-1.)); #451836=DIRECTION('ref_axis',(1.,0.,0.)); #451837=DIRECTION('center_axis',(0.,0.,1.)); #451838=DIRECTION('ref_axis',(1.,0.,0.)); #451839=DIRECTION('center_axis',(0.,0.,1.)); #451840=DIRECTION('ref_axis',(1.,0.,0.)); #451841=DIRECTION('',(0.,0.,1.)); #451842=DIRECTION('center_axis',(0.,0.,-1.)); #451843=DIRECTION('ref_axis',(1.,0.,0.)); #451844=DIRECTION('center_axis',(0.,0.,1.)); #451845=DIRECTION('ref_axis',(1.,0.,0.)); #451846=DIRECTION('center_axis',(0.,0.,1.)); #451847=DIRECTION('ref_axis',(1.,0.,0.)); #451848=DIRECTION('',(0.,0.,1.)); #451849=DIRECTION('center_axis',(0.,0.,-1.)); #451850=DIRECTION('ref_axis',(1.,0.,0.)); #451851=DIRECTION('center_axis',(0.,0.,1.)); #451852=DIRECTION('ref_axis',(1.,0.,0.)); #451853=DIRECTION('center_axis',(0.,0.,1.)); #451854=DIRECTION('ref_axis',(1.,0.,0.)); #451855=DIRECTION('',(0.,0.,1.)); #451856=DIRECTION('center_axis',(0.,0.,-1.)); #451857=DIRECTION('ref_axis',(1.,0.,0.)); #451858=DIRECTION('center_axis',(0.,0.,1.)); #451859=DIRECTION('ref_axis',(1.,0.,0.)); #451860=DIRECTION('center_axis',(0.,0.,1.)); #451861=DIRECTION('ref_axis',(1.,0.,0.)); #451862=DIRECTION('',(0.,0.,1.)); #451863=DIRECTION('center_axis',(0.,0.,-1.)); #451864=DIRECTION('ref_axis',(1.,0.,0.)); #451865=DIRECTION('center_axis',(0.,0.,1.)); #451866=DIRECTION('ref_axis',(1.,0.,0.)); #451867=DIRECTION('center_axis',(0.,0.,1.)); #451868=DIRECTION('ref_axis',(1.,0.,0.)); #451869=DIRECTION('',(0.,0.,1.)); #451870=DIRECTION('center_axis',(0.,0.,-1.)); #451871=DIRECTION('ref_axis',(1.,0.,0.)); #451872=DIRECTION('center_axis',(0.,0.,1.)); #451873=DIRECTION('ref_axis',(1.,0.,0.)); #451874=DIRECTION('center_axis',(0.,0.,1.)); #451875=DIRECTION('ref_axis',(1.,0.,0.)); #451876=DIRECTION('',(0.,0.,1.)); #451877=DIRECTION('center_axis',(0.,0.,-1.)); #451878=DIRECTION('ref_axis',(1.,0.,0.)); #451879=DIRECTION('center_axis',(0.,0.,1.)); #451880=DIRECTION('ref_axis',(1.,0.,0.)); #451881=DIRECTION('center_axis',(0.,0.,1.)); #451882=DIRECTION('ref_axis',(1.,0.,0.)); #451883=DIRECTION('',(0.,0.,1.)); #451884=DIRECTION('center_axis',(0.,0.,-1.)); #451885=DIRECTION('ref_axis',(1.,0.,0.)); #451886=DIRECTION('center_axis',(0.,0.,1.)); #451887=DIRECTION('ref_axis',(1.,0.,0.)); #451888=DIRECTION('center_axis',(0.,0.,1.)); #451889=DIRECTION('ref_axis',(1.,0.,0.)); #451890=DIRECTION('',(0.,0.,1.)); #451891=DIRECTION('center_axis',(0.,0.,-1.)); #451892=DIRECTION('ref_axis',(1.,0.,0.)); #451893=DIRECTION('center_axis',(0.,0.,1.)); #451894=DIRECTION('ref_axis',(1.,0.,0.)); #451895=DIRECTION('center_axis',(0.,0.,1.)); #451896=DIRECTION('ref_axis',(1.,0.,0.)); #451897=DIRECTION('',(0.,0.,1.)); #451898=DIRECTION('center_axis',(0.,0.,-1.)); #451899=DIRECTION('ref_axis',(1.,0.,0.)); #451900=DIRECTION('center_axis',(0.,0.,1.)); #451901=DIRECTION('ref_axis',(1.,0.,0.)); #451902=DIRECTION('center_axis',(0.,0.,1.)); #451903=DIRECTION('ref_axis',(1.,0.,0.)); #451904=DIRECTION('',(0.,0.,1.)); #451905=DIRECTION('center_axis',(0.,0.,-1.)); #451906=DIRECTION('ref_axis',(1.,0.,0.)); #451907=DIRECTION('center_axis',(0.,0.,1.)); #451908=DIRECTION('ref_axis',(1.,0.,0.)); #451909=DIRECTION('center_axis',(0.,0.,1.)); #451910=DIRECTION('ref_axis',(1.,0.,0.)); #451911=DIRECTION('',(0.,0.,1.)); #451912=DIRECTION('center_axis',(0.,0.,-1.)); #451913=DIRECTION('ref_axis',(1.,0.,0.)); #451914=DIRECTION('center_axis',(0.,0.,1.)); #451915=DIRECTION('ref_axis',(1.,0.,0.)); #451916=DIRECTION('center_axis',(0.,0.,1.)); #451917=DIRECTION('ref_axis',(1.,0.,0.)); #451918=DIRECTION('',(0.,0.,1.)); #451919=DIRECTION('center_axis',(0.,0.,-1.)); #451920=DIRECTION('ref_axis',(1.,0.,0.)); #451921=DIRECTION('center_axis',(0.,0.,1.)); #451922=DIRECTION('ref_axis',(1.,0.,0.)); #451923=DIRECTION('center_axis',(0.,0.,1.)); #451924=DIRECTION('ref_axis',(1.,0.,0.)); #451925=DIRECTION('',(0.,0.,1.)); #451926=DIRECTION('center_axis',(0.,0.,-1.)); #451927=DIRECTION('ref_axis',(1.,0.,0.)); #451928=DIRECTION('center_axis',(0.,0.,1.)); #451929=DIRECTION('ref_axis',(1.,0.,0.)); #451930=DIRECTION('center_axis',(0.,0.,1.)); #451931=DIRECTION('ref_axis',(1.,0.,0.)); #451932=DIRECTION('',(0.,0.,1.)); #451933=DIRECTION('center_axis',(0.,0.,-1.)); #451934=DIRECTION('ref_axis',(1.,0.,0.)); #451935=DIRECTION('center_axis',(0.,0.,1.)); #451936=DIRECTION('ref_axis',(1.,0.,0.)); #451937=DIRECTION('center_axis',(0.,0.,1.)); #451938=DIRECTION('ref_axis',(1.,0.,0.)); #451939=DIRECTION('',(0.,0.,1.)); #451940=DIRECTION('center_axis',(0.,0.,-1.)); #451941=DIRECTION('ref_axis',(1.,0.,0.)); #451942=DIRECTION('center_axis',(0.,0.,1.)); #451943=DIRECTION('ref_axis',(1.,0.,0.)); #451944=DIRECTION('center_axis',(0.,0.,1.)); #451945=DIRECTION('ref_axis',(1.,0.,0.)); #451946=DIRECTION('',(0.,0.,1.)); #451947=DIRECTION('center_axis',(0.,0.,-1.)); #451948=DIRECTION('ref_axis',(1.,0.,0.)); #451949=DIRECTION('center_axis',(0.,0.,1.)); #451950=DIRECTION('ref_axis',(1.,0.,0.)); #451951=DIRECTION('center_axis',(0.,0.,1.)); #451952=DIRECTION('ref_axis',(1.,0.,0.)); #451953=DIRECTION('',(0.,0.,1.)); #451954=DIRECTION('center_axis',(0.,0.,-1.)); #451955=DIRECTION('ref_axis',(1.,0.,0.)); #451956=DIRECTION('center_axis',(0.,0.,1.)); #451957=DIRECTION('ref_axis',(1.,0.,0.)); #451958=DIRECTION('center_axis',(0.,0.,1.)); #451959=DIRECTION('ref_axis',(1.,0.,0.)); #451960=DIRECTION('',(0.,0.,1.)); #451961=DIRECTION('center_axis',(0.,0.,-1.)); #451962=DIRECTION('ref_axis',(1.,0.,0.)); #451963=DIRECTION('center_axis',(0.,0.,1.)); #451964=DIRECTION('ref_axis',(1.,0.,0.)); #451965=DIRECTION('center_axis',(0.,0.,1.)); #451966=DIRECTION('ref_axis',(1.,0.,0.)); #451967=DIRECTION('',(0.,0.,1.)); #451968=DIRECTION('center_axis',(0.,0.,-1.)); #451969=DIRECTION('ref_axis',(1.,0.,0.)); #451970=DIRECTION('center_axis',(0.,0.,1.)); #451971=DIRECTION('ref_axis',(1.,0.,0.)); #451972=DIRECTION('center_axis',(0.,0.,1.)); #451973=DIRECTION('ref_axis',(1.,0.,0.)); #451974=DIRECTION('',(0.,0.,1.)); #451975=DIRECTION('center_axis',(0.,0.,-1.)); #451976=DIRECTION('ref_axis',(1.,0.,0.)); #451977=DIRECTION('center_axis',(0.,0.,1.)); #451978=DIRECTION('ref_axis',(1.,0.,0.)); #451979=DIRECTION('center_axis',(0.,0.,1.)); #451980=DIRECTION('ref_axis',(1.,0.,0.)); #451981=DIRECTION('',(0.,0.,1.)); #451982=DIRECTION('center_axis',(0.,0.,-1.)); #451983=DIRECTION('ref_axis',(1.,0.,0.)); #451984=DIRECTION('center_axis',(0.,0.,1.)); #451985=DIRECTION('ref_axis',(1.,0.,0.)); #451986=DIRECTION('center_axis',(0.,0.,1.)); #451987=DIRECTION('ref_axis',(1.,0.,0.)); #451988=DIRECTION('',(0.,0.,1.)); #451989=DIRECTION('center_axis',(0.,0.,-1.)); #451990=DIRECTION('ref_axis',(1.,0.,0.)); #451991=DIRECTION('center_axis',(0.,0.,1.)); #451992=DIRECTION('ref_axis',(1.,0.,0.)); #451993=DIRECTION('center_axis',(0.,0.,1.)); #451994=DIRECTION('ref_axis',(1.,0.,0.)); #451995=DIRECTION('',(0.,0.,1.)); #451996=DIRECTION('center_axis',(0.,0.,-1.)); #451997=DIRECTION('ref_axis',(1.,0.,0.)); #451998=DIRECTION('center_axis',(0.,0.,1.)); #451999=DIRECTION('ref_axis',(1.,0.,0.)); #452000=DIRECTION('center_axis',(0.,0.,1.)); #452001=DIRECTION('ref_axis',(1.,0.,0.)); #452002=DIRECTION('',(0.,0.,1.)); #452003=DIRECTION('center_axis',(0.,0.,-1.)); #452004=DIRECTION('ref_axis',(1.,0.,0.)); #452005=DIRECTION('center_axis',(0.,0.,1.)); #452006=DIRECTION('ref_axis',(1.,0.,0.)); #452007=DIRECTION('center_axis',(0.,0.,1.)); #452008=DIRECTION('ref_axis',(1.,0.,0.)); #452009=DIRECTION('',(0.,0.,1.)); #452010=DIRECTION('center_axis',(0.,0.,-1.)); #452011=DIRECTION('ref_axis',(1.,0.,0.)); #452012=DIRECTION('center_axis',(0.,0.,1.)); #452013=DIRECTION('ref_axis',(1.,0.,0.)); #452014=DIRECTION('center_axis',(0.,0.,1.)); #452015=DIRECTION('ref_axis',(1.,0.,0.)); #452016=DIRECTION('',(0.,0.,1.)); #452017=DIRECTION('center_axis',(0.,0.,-1.)); #452018=DIRECTION('ref_axis',(1.,0.,0.)); #452019=DIRECTION('center_axis',(0.,0.,1.)); #452020=DIRECTION('ref_axis',(1.,0.,0.)); #452021=DIRECTION('center_axis',(0.,0.,1.)); #452022=DIRECTION('ref_axis',(1.,0.,0.)); #452023=DIRECTION('',(0.,0.,1.)); #452024=DIRECTION('center_axis',(0.,0.,-1.)); #452025=DIRECTION('ref_axis',(1.,0.,0.)); #452026=DIRECTION('center_axis',(0.,0.,1.)); #452027=DIRECTION('ref_axis',(1.,0.,0.)); #452028=DIRECTION('center_axis',(0.,0.,1.)); #452029=DIRECTION('ref_axis',(1.,0.,0.)); #452030=DIRECTION('',(0.,0.,1.)); #452031=DIRECTION('center_axis',(0.,0.,-1.)); #452032=DIRECTION('ref_axis',(1.,0.,0.)); #452033=DIRECTION('center_axis',(0.,0.,1.)); #452034=DIRECTION('ref_axis',(1.,0.,0.)); #452035=DIRECTION('center_axis',(0.,0.,1.)); #452036=DIRECTION('ref_axis',(1.,0.,0.)); #452037=DIRECTION('',(0.,0.,1.)); #452038=DIRECTION('center_axis',(0.,0.,-1.)); #452039=DIRECTION('ref_axis',(1.,0.,0.)); #452040=DIRECTION('center_axis',(0.,0.,1.)); #452041=DIRECTION('ref_axis',(1.,0.,0.)); #452042=DIRECTION('center_axis',(0.,0.,1.)); #452043=DIRECTION('ref_axis',(1.,0.,0.)); #452044=DIRECTION('',(0.,0.,1.)); #452045=DIRECTION('center_axis',(0.,0.,-1.)); #452046=DIRECTION('ref_axis',(1.,0.,0.)); #452047=DIRECTION('center_axis',(0.,0.,1.)); #452048=DIRECTION('ref_axis',(1.,0.,0.)); #452049=DIRECTION('center_axis',(0.,0.,1.)); #452050=DIRECTION('ref_axis',(1.,0.,0.)); #452051=DIRECTION('',(0.,0.,1.)); #452052=DIRECTION('center_axis',(0.,0.,-1.)); #452053=DIRECTION('ref_axis',(1.,0.,0.)); #452054=DIRECTION('center_axis',(0.,0.,1.)); #452055=DIRECTION('ref_axis',(1.,0.,0.)); #452056=DIRECTION('center_axis',(0.,0.,1.)); #452057=DIRECTION('ref_axis',(1.,0.,0.)); #452058=DIRECTION('',(0.,0.,1.)); #452059=DIRECTION('center_axis',(0.,0.,-1.)); #452060=DIRECTION('ref_axis',(1.,0.,0.)); #452061=DIRECTION('center_axis',(0.,0.,1.)); #452062=DIRECTION('ref_axis',(1.,0.,0.)); #452063=DIRECTION('center_axis',(0.,0.,1.)); #452064=DIRECTION('ref_axis',(1.,0.,0.)); #452065=DIRECTION('',(0.,0.,1.)); #452066=DIRECTION('center_axis',(0.,0.,-1.)); #452067=DIRECTION('ref_axis',(1.,0.,0.)); #452068=DIRECTION('center_axis',(0.,0.,1.)); #452069=DIRECTION('ref_axis',(1.,0.,0.)); #452070=DIRECTION('center_axis',(0.,0.,1.)); #452071=DIRECTION('ref_axis',(1.,0.,0.)); #452072=DIRECTION('',(0.,0.,1.)); #452073=DIRECTION('center_axis',(0.,0.,-1.)); #452074=DIRECTION('ref_axis',(1.,0.,0.)); #452075=DIRECTION('center_axis',(0.,0.,1.)); #452076=DIRECTION('ref_axis',(1.,0.,0.)); #452077=DIRECTION('center_axis',(0.,0.,1.)); #452078=DIRECTION('ref_axis',(1.,0.,0.)); #452079=DIRECTION('',(0.,0.,1.)); #452080=DIRECTION('center_axis',(0.,0.,-1.)); #452081=DIRECTION('ref_axis',(1.,0.,0.)); #452082=DIRECTION('center_axis',(0.,0.,1.)); #452083=DIRECTION('ref_axis',(1.,0.,0.)); #452084=DIRECTION('center_axis',(0.,0.,1.)); #452085=DIRECTION('ref_axis',(1.,0.,0.)); #452086=DIRECTION('',(0.,0.,1.)); #452087=DIRECTION('center_axis',(0.,0.,-1.)); #452088=DIRECTION('ref_axis',(1.,0.,0.)); #452089=DIRECTION('center_axis',(0.,0.,1.)); #452090=DIRECTION('ref_axis',(1.,0.,0.)); #452091=DIRECTION('center_axis',(0.,0.,1.)); #452092=DIRECTION('ref_axis',(1.,0.,0.)); #452093=DIRECTION('',(0.,0.,1.)); #452094=DIRECTION('center_axis',(0.,0.,-1.)); #452095=DIRECTION('ref_axis',(1.,0.,0.)); #452096=DIRECTION('center_axis',(0.,0.,1.)); #452097=DIRECTION('ref_axis',(1.,0.,0.)); #452098=DIRECTION('center_axis',(0.,0.,1.)); #452099=DIRECTION('ref_axis',(1.,0.,0.)); #452100=DIRECTION('',(0.,0.,1.)); #452101=DIRECTION('center_axis',(0.,0.,-1.)); #452102=DIRECTION('ref_axis',(1.,0.,0.)); #452103=DIRECTION('center_axis',(0.,0.,1.)); #452104=DIRECTION('ref_axis',(1.,0.,0.)); #452105=DIRECTION('center_axis',(0.,0.,1.)); #452106=DIRECTION('ref_axis',(1.,0.,0.)); #452107=DIRECTION('',(0.,0.,1.)); #452108=DIRECTION('center_axis',(0.,0.,-1.)); #452109=DIRECTION('ref_axis',(1.,0.,0.)); #452110=DIRECTION('center_axis',(0.,0.,1.)); #452111=DIRECTION('ref_axis',(1.,0.,0.)); #452112=DIRECTION('center_axis',(0.,0.,1.)); #452113=DIRECTION('ref_axis',(1.,0.,0.)); #452114=DIRECTION('',(0.,0.,1.)); #452115=DIRECTION('center_axis',(0.,0.,-1.)); #452116=DIRECTION('ref_axis',(1.,0.,0.)); #452117=DIRECTION('center_axis',(0.,0.,1.)); #452118=DIRECTION('ref_axis',(1.,0.,0.)); #452119=DIRECTION('center_axis',(0.,0.,1.)); #452120=DIRECTION('ref_axis',(1.,0.,0.)); #452121=DIRECTION('',(0.,0.,1.)); #452122=DIRECTION('center_axis',(0.,0.,-1.)); #452123=DIRECTION('ref_axis',(1.,0.,0.)); #452124=DIRECTION('center_axis',(0.,0.,1.)); #452125=DIRECTION('ref_axis',(1.,0.,0.)); #452126=DIRECTION('center_axis',(0.,0.,1.)); #452127=DIRECTION('ref_axis',(1.,0.,0.)); #452128=DIRECTION('',(0.,0.,1.)); #452129=DIRECTION('center_axis',(0.,0.,-1.)); #452130=DIRECTION('ref_axis',(1.,0.,0.)); #452131=DIRECTION('center_axis',(0.,0.,1.)); #452132=DIRECTION('ref_axis',(1.,0.,0.)); #452133=DIRECTION('center_axis',(0.,0.,1.)); #452134=DIRECTION('ref_axis',(1.,0.,0.)); #452135=DIRECTION('',(0.,0.,1.)); #452136=DIRECTION('center_axis',(0.,0.,-1.)); #452137=DIRECTION('ref_axis',(1.,0.,0.)); #452138=DIRECTION('center_axis',(0.,0.,1.)); #452139=DIRECTION('ref_axis',(1.,0.,0.)); #452140=DIRECTION('center_axis',(0.,0.,1.)); #452141=DIRECTION('ref_axis',(1.,0.,0.)); #452142=DIRECTION('',(0.,0.,1.)); #452143=DIRECTION('center_axis',(0.,0.,-1.)); #452144=DIRECTION('ref_axis',(1.,0.,0.)); #452145=DIRECTION('center_axis',(0.,0.,1.)); #452146=DIRECTION('ref_axis',(1.,0.,0.)); #452147=DIRECTION('center_axis',(0.,0.,1.)); #452148=DIRECTION('ref_axis',(1.,0.,0.)); #452149=DIRECTION('',(0.,0.,1.)); #452150=DIRECTION('center_axis',(0.,0.,-1.)); #452151=DIRECTION('ref_axis',(1.,0.,0.)); #452152=DIRECTION('center_axis',(0.,0.,1.)); #452153=DIRECTION('ref_axis',(1.,0.,0.)); #452154=DIRECTION('center_axis',(0.,0.,1.)); #452155=DIRECTION('ref_axis',(1.,0.,0.)); #452156=DIRECTION('',(0.,0.,1.)); #452157=DIRECTION('center_axis',(0.,0.,-1.)); #452158=DIRECTION('ref_axis',(1.,0.,0.)); #452159=DIRECTION('center_axis',(0.,0.,1.)); #452160=DIRECTION('ref_axis',(1.,0.,0.)); #452161=DIRECTION('center_axis',(0.,0.,1.)); #452162=DIRECTION('ref_axis',(1.,0.,0.)); #452163=DIRECTION('',(0.,0.,1.)); #452164=DIRECTION('center_axis',(0.,0.,-1.)); #452165=DIRECTION('ref_axis',(1.,0.,0.)); #452166=DIRECTION('center_axis',(0.,0.,1.)); #452167=DIRECTION('ref_axis',(1.,0.,0.)); #452168=DIRECTION('center_axis',(0.,0.,1.)); #452169=DIRECTION('ref_axis',(1.,0.,0.)); #452170=DIRECTION('',(0.,0.,1.)); #452171=DIRECTION('center_axis',(0.,0.,-1.)); #452172=DIRECTION('ref_axis',(1.,0.,0.)); #452173=DIRECTION('center_axis',(0.,0.,1.)); #452174=DIRECTION('ref_axis',(1.,0.,0.)); #452175=DIRECTION('center_axis',(0.,0.,1.)); #452176=DIRECTION('ref_axis',(1.,0.,0.)); #452177=DIRECTION('',(0.,0.,1.)); #452178=DIRECTION('center_axis',(0.,0.,-1.)); #452179=DIRECTION('ref_axis',(1.,0.,0.)); #452180=DIRECTION('center_axis',(0.,0.,1.)); #452181=DIRECTION('ref_axis',(1.,0.,0.)); #452182=DIRECTION('center_axis',(0.,0.,1.)); #452183=DIRECTION('ref_axis',(1.,0.,0.)); #452184=DIRECTION('',(0.,0.,1.)); #452185=DIRECTION('center_axis',(0.,0.,-1.)); #452186=DIRECTION('ref_axis',(1.,0.,0.)); #452187=DIRECTION('center_axis',(0.,0.,1.)); #452188=DIRECTION('ref_axis',(1.,0.,0.)); #452189=DIRECTION('center_axis',(0.,0.,1.)); #452190=DIRECTION('ref_axis',(1.,0.,0.)); #452191=DIRECTION('',(0.,0.,1.)); #452192=DIRECTION('center_axis',(0.,0.,-1.)); #452193=DIRECTION('ref_axis',(1.,0.,0.)); #452194=DIRECTION('center_axis',(0.,0.,1.)); #452195=DIRECTION('ref_axis',(1.,0.,0.)); #452196=DIRECTION('center_axis',(0.,0.,1.)); #452197=DIRECTION('ref_axis',(1.,0.,0.)); #452198=DIRECTION('',(0.,0.,1.)); #452199=DIRECTION('center_axis',(0.,0.,-1.)); #452200=DIRECTION('ref_axis',(1.,0.,0.)); #452201=DIRECTION('center_axis',(0.,0.,1.)); #452202=DIRECTION('ref_axis',(1.,0.,0.)); #452203=DIRECTION('center_axis',(0.,0.,1.)); #452204=DIRECTION('ref_axis',(1.,0.,0.)); #452205=DIRECTION('',(0.,0.,1.)); #452206=DIRECTION('center_axis',(0.,0.,-1.)); #452207=DIRECTION('ref_axis',(1.,0.,0.)); #452208=DIRECTION('center_axis',(0.,0.,1.)); #452209=DIRECTION('ref_axis',(1.,0.,0.)); #452210=DIRECTION('center_axis',(0.,0.,1.)); #452211=DIRECTION('ref_axis',(1.,0.,0.)); #452212=DIRECTION('',(0.,0.,1.)); #452213=DIRECTION('center_axis',(0.,0.,-1.)); #452214=DIRECTION('ref_axis',(1.,0.,0.)); #452215=DIRECTION('center_axis',(0.,0.,1.)); #452216=DIRECTION('ref_axis',(1.,0.,0.)); #452217=DIRECTION('center_axis',(0.,0.,1.)); #452218=DIRECTION('ref_axis',(1.,0.,0.)); #452219=DIRECTION('',(0.,0.,1.)); #452220=DIRECTION('center_axis',(0.,0.,-1.)); #452221=DIRECTION('ref_axis',(1.,0.,0.)); #452222=DIRECTION('center_axis',(0.,0.,1.)); #452223=DIRECTION('ref_axis',(1.,0.,0.)); #452224=DIRECTION('center_axis',(0.,0.,1.)); #452225=DIRECTION('ref_axis',(1.,0.,0.)); #452226=DIRECTION('',(0.,0.,1.)); #452227=DIRECTION('center_axis',(0.,0.,-1.)); #452228=DIRECTION('ref_axis',(1.,0.,0.)); #452229=DIRECTION('center_axis',(0.,0.,1.)); #452230=DIRECTION('ref_axis',(1.,0.,0.)); #452231=DIRECTION('center_axis',(0.,0.,1.)); #452232=DIRECTION('ref_axis',(1.,0.,0.)); #452233=DIRECTION('',(0.,0.,1.)); #452234=DIRECTION('center_axis',(0.,0.,-1.)); #452235=DIRECTION('ref_axis',(1.,0.,0.)); #452236=DIRECTION('center_axis',(0.,0.,1.)); #452237=DIRECTION('ref_axis',(1.,0.,0.)); #452238=DIRECTION('center_axis',(0.,0.,1.)); #452239=DIRECTION('ref_axis',(1.,0.,0.)); #452240=DIRECTION('',(0.,0.,1.)); #452241=DIRECTION('center_axis',(0.,0.,-1.)); #452242=DIRECTION('ref_axis',(1.,0.,0.)); #452243=DIRECTION('center_axis',(0.,0.,1.)); #452244=DIRECTION('ref_axis',(1.,0.,0.)); #452245=DIRECTION('center_axis',(0.,0.,1.)); #452246=DIRECTION('ref_axis',(1.,0.,0.)); #452247=DIRECTION('',(0.,0.,1.)); #452248=DIRECTION('center_axis',(0.,0.,-1.)); #452249=DIRECTION('ref_axis',(1.,0.,0.)); #452250=DIRECTION('center_axis',(0.,0.,1.)); #452251=DIRECTION('ref_axis',(1.,0.,0.)); #452252=DIRECTION('center_axis',(0.,0.,1.)); #452253=DIRECTION('ref_axis',(1.,0.,0.)); #452254=DIRECTION('',(0.,0.,1.)); #452255=DIRECTION('center_axis',(0.,0.,-1.)); #452256=DIRECTION('ref_axis',(1.,0.,0.)); #452257=DIRECTION('center_axis',(0.,0.,1.)); #452258=DIRECTION('ref_axis',(1.,0.,0.)); #452259=DIRECTION('center_axis',(0.,0.,1.)); #452260=DIRECTION('ref_axis',(1.,0.,0.)); #452261=DIRECTION('',(0.,0.,1.)); #452262=DIRECTION('center_axis',(0.,0.,-1.)); #452263=DIRECTION('ref_axis',(1.,0.,0.)); #452264=DIRECTION('center_axis',(0.,0.,1.)); #452265=DIRECTION('ref_axis',(1.,0.,0.)); #452266=DIRECTION('center_axis',(0.,0.,1.)); #452267=DIRECTION('ref_axis',(1.,0.,0.)); #452268=DIRECTION('',(0.,0.,1.)); #452269=DIRECTION('center_axis',(0.,0.,-1.)); #452270=DIRECTION('ref_axis',(1.,0.,0.)); #452271=DIRECTION('center_axis',(0.,0.,1.)); #452272=DIRECTION('ref_axis',(1.,0.,0.)); #452273=DIRECTION('center_axis',(0.,0.,1.)); #452274=DIRECTION('ref_axis',(1.,0.,0.)); #452275=DIRECTION('',(0.,0.,1.)); #452276=DIRECTION('center_axis',(0.,0.,-1.)); #452277=DIRECTION('ref_axis',(1.,0.,0.)); #452278=DIRECTION('center_axis',(0.,0.,1.)); #452279=DIRECTION('ref_axis',(1.,0.,0.)); #452280=DIRECTION('center_axis',(0.,0.,1.)); #452281=DIRECTION('ref_axis',(1.,0.,0.)); #452282=DIRECTION('',(0.,0.,1.)); #452283=DIRECTION('center_axis',(0.,0.,-1.)); #452284=DIRECTION('ref_axis',(1.,0.,0.)); #452285=DIRECTION('center_axis',(0.,0.,1.)); #452286=DIRECTION('ref_axis',(1.,0.,0.)); #452287=DIRECTION('center_axis',(0.,0.,1.)); #452288=DIRECTION('ref_axis',(1.,0.,0.)); #452289=DIRECTION('',(0.,0.,1.)); #452290=DIRECTION('center_axis',(0.,0.,-1.)); #452291=DIRECTION('ref_axis',(1.,0.,0.)); #452292=DIRECTION('center_axis',(0.,0.,1.)); #452293=DIRECTION('ref_axis',(1.,0.,0.)); #452294=DIRECTION('center_axis',(0.,0.,1.)); #452295=DIRECTION('ref_axis',(1.,0.,0.)); #452296=DIRECTION('',(0.,0.,1.)); #452297=DIRECTION('center_axis',(0.,0.,-1.)); #452298=DIRECTION('ref_axis',(1.,0.,0.)); #452299=DIRECTION('center_axis',(0.,0.,1.)); #452300=DIRECTION('ref_axis',(1.,0.,0.)); #452301=DIRECTION('center_axis',(0.,0.,1.)); #452302=DIRECTION('ref_axis',(1.,0.,0.)); #452303=DIRECTION('',(0.,0.,1.)); #452304=DIRECTION('center_axis',(0.,0.,-1.)); #452305=DIRECTION('ref_axis',(1.,0.,0.)); #452306=DIRECTION('center_axis',(0.,0.,1.)); #452307=DIRECTION('ref_axis',(1.,0.,0.)); #452308=DIRECTION('center_axis',(0.,0.,1.)); #452309=DIRECTION('ref_axis',(1.,0.,0.)); #452310=DIRECTION('',(0.,0.,1.)); #452311=DIRECTION('center_axis',(0.,0.,-1.)); #452312=DIRECTION('ref_axis',(1.,0.,0.)); #452313=DIRECTION('center_axis',(0.,0.,1.)); #452314=DIRECTION('ref_axis',(1.,0.,0.)); #452315=DIRECTION('center_axis',(0.,0.,1.)); #452316=DIRECTION('ref_axis',(1.,0.,0.)); #452317=DIRECTION('',(0.,0.,1.)); #452318=DIRECTION('center_axis',(0.,0.,-1.)); #452319=DIRECTION('ref_axis',(1.,0.,0.)); #452320=DIRECTION('center_axis',(0.,0.,1.)); #452321=DIRECTION('ref_axis',(1.,0.,0.)); #452322=DIRECTION('center_axis',(0.,0.,1.)); #452323=DIRECTION('ref_axis',(1.,0.,0.)); #452324=DIRECTION('',(0.,0.,1.)); #452325=DIRECTION('center_axis',(0.,0.,-1.)); #452326=DIRECTION('ref_axis',(1.,0.,0.)); #452327=DIRECTION('center_axis',(0.,0.,1.)); #452328=DIRECTION('ref_axis',(1.,0.,0.)); #452329=DIRECTION('center_axis',(0.,0.,1.)); #452330=DIRECTION('ref_axis',(1.,0.,0.)); #452331=DIRECTION('',(0.,0.,1.)); #452332=DIRECTION('center_axis',(0.,0.,-1.)); #452333=DIRECTION('ref_axis',(1.,0.,0.)); #452334=DIRECTION('center_axis',(0.,0.,1.)); #452335=DIRECTION('ref_axis',(1.,0.,0.)); #452336=DIRECTION('center_axis',(0.,0.,1.)); #452337=DIRECTION('ref_axis',(1.,0.,0.)); #452338=DIRECTION('',(0.,0.,1.)); #452339=DIRECTION('center_axis',(0.,0.,-1.)); #452340=DIRECTION('ref_axis',(1.,0.,0.)); #452341=DIRECTION('center_axis',(0.,0.,1.)); #452342=DIRECTION('ref_axis',(1.,0.,0.)); #452343=DIRECTION('center_axis',(0.,0.,1.)); #452344=DIRECTION('ref_axis',(1.,0.,0.)); #452345=DIRECTION('',(0.,0.,1.)); #452346=DIRECTION('center_axis',(0.,0.,-1.)); #452347=DIRECTION('ref_axis',(1.,0.,0.)); #452348=DIRECTION('center_axis',(0.,0.,1.)); #452349=DIRECTION('ref_axis',(1.,0.,0.)); #452350=DIRECTION('center_axis',(0.,0.,1.)); #452351=DIRECTION('ref_axis',(1.,0.,0.)); #452352=DIRECTION('',(0.,0.,-1.)); #452353=DIRECTION('center_axis',(0.,0.,1.)); #452354=DIRECTION('ref_axis',(1.,0.,0.)); #452355=DIRECTION('center_axis',(0.,0.,1.)); #452356=DIRECTION('ref_axis',(1.,0.,0.)); #452357=DIRECTION('center_axis',(0.,0.,1.)); #452358=DIRECTION('ref_axis',(1.,0.,0.)); #452359=DIRECTION('axis',(0.,0.,1.)); #452360=DIRECTION('refdir',(1.,0.,0.)); #452361=DIRECTION('center_axis',(0.,0.,-1.)); #452362=DIRECTION('ref_axis',(1.,0.,0.)); #452363=DIRECTION('center_axis',(0.,0.,-1.)); #452364=DIRECTION('ref_axis',(1.,0.,0.)); #452365=DIRECTION('',(0.,0.,-1.)); #452366=DIRECTION('center_axis',(0.,0.,1.)); #452367=DIRECTION('ref_axis',(1.,0.,0.)); #452368=DIRECTION('center_axis',(0.,0.,-1.)); #452369=DIRECTION('ref_axis',(1.,0.,0.)); #452370=DIRECTION('center_axis',(0.,0.,-1.)); #452371=DIRECTION('ref_axis',(1.,0.,0.)); #452372=DIRECTION('',(0.,0.,-1.)); #452373=DIRECTION('center_axis',(0.,0.,1.)); #452374=DIRECTION('ref_axis',(1.,0.,0.)); #452375=DIRECTION('center_axis',(0.,0.,1.)); #452376=DIRECTION('ref_axis',(1.,0.,0.)); #452377=DIRECTION('center_axis',(0.,0.,1.)); #452378=DIRECTION('ref_axis',(1.,0.,0.)); #452379=DIRECTION('',(0.,0.,1.)); #452380=DIRECTION('center_axis',(0.,0.,-1.)); #452381=DIRECTION('ref_axis',(1.,0.,0.)); #452382=DIRECTION('center_axis',(0.,0.,1.)); #452383=DIRECTION('ref_axis',(1.,0.,0.)); #452384=DIRECTION('center_axis',(0.,0.,1.)); #452385=DIRECTION('ref_axis',(1.,0.,0.)); #452386=DIRECTION('',(0.,0.,1.)); #452387=DIRECTION('center_axis',(0.,0.,-1.)); #452388=DIRECTION('ref_axis',(1.,0.,0.)); #452389=DIRECTION('center_axis',(0.,0.,1.)); #452390=DIRECTION('ref_axis',(1.,0.,0.)); #452391=DIRECTION('center_axis',(0.,0.,1.)); #452392=DIRECTION('ref_axis',(1.,0.,0.)); #452393=DIRECTION('',(0.,0.,1.)); #452394=DIRECTION('center_axis',(0.,0.,-1.)); #452395=DIRECTION('ref_axis',(1.,0.,0.)); #452396=DIRECTION('center_axis',(0.,0.,1.)); #452397=DIRECTION('ref_axis',(1.,0.,0.)); #452398=DIRECTION('center_axis',(0.,0.,1.)); #452399=DIRECTION('ref_axis',(1.,0.,0.)); #452400=DIRECTION('',(0.,0.,1.)); #452401=DIRECTION('center_axis',(0.,0.,-1.)); #452402=DIRECTION('ref_axis',(1.,0.,0.)); #452403=DIRECTION('center_axis',(0.,0.,1.)); #452404=DIRECTION('ref_axis',(1.,0.,0.)); #452405=DIRECTION('center_axis',(0.,0.,1.)); #452406=DIRECTION('ref_axis',(1.,0.,0.)); #452407=DIRECTION('',(0.,0.,1.)); #452408=DIRECTION('center_axis',(0.,0.,-1.)); #452409=DIRECTION('ref_axis',(1.,0.,0.)); #452410=DIRECTION('center_axis',(0.,0.,1.)); #452411=DIRECTION('ref_axis',(1.,0.,0.)); #452412=DIRECTION('center_axis',(0.,0.,1.)); #452413=DIRECTION('ref_axis',(1.,0.,0.)); #452414=DIRECTION('',(0.,0.,1.)); #452415=DIRECTION('center_axis',(0.,0.,-1.)); #452416=DIRECTION('ref_axis',(1.,0.,0.)); #452417=DIRECTION('center_axis',(0.,0.,1.)); #452418=DIRECTION('ref_axis',(1.,0.,0.)); #452419=DIRECTION('center_axis',(0.,0.,1.)); #452420=DIRECTION('ref_axis',(1.,0.,0.)); #452421=DIRECTION('',(0.,0.,1.)); #452422=DIRECTION('center_axis',(0.,0.,-1.)); #452423=DIRECTION('ref_axis',(1.,0.,0.)); #452424=DIRECTION('center_axis',(0.,0.,1.)); #452425=DIRECTION('ref_axis',(1.,0.,0.)); #452426=DIRECTION('center_axis',(0.,0.,1.)); #452427=DIRECTION('ref_axis',(1.,0.,0.)); #452428=DIRECTION('',(0.,0.,1.)); #452429=DIRECTION('center_axis',(0.,0.,-1.)); #452430=DIRECTION('ref_axis',(1.,0.,0.)); #452431=DIRECTION('center_axis',(0.,0.,1.)); #452432=DIRECTION('ref_axis',(1.,0.,0.)); #452433=DIRECTION('center_axis',(0.,0.,1.)); #452434=DIRECTION('ref_axis',(1.,0.,0.)); #452435=DIRECTION('',(0.,0.,1.)); #452436=DIRECTION('center_axis',(0.,0.,-1.)); #452437=DIRECTION('ref_axis',(1.,0.,0.)); #452438=DIRECTION('center_axis',(0.,0.,1.)); #452439=DIRECTION('ref_axis',(1.,0.,0.)); #452440=DIRECTION('center_axis',(0.,0.,1.)); #452441=DIRECTION('ref_axis',(1.,0.,0.)); #452442=DIRECTION('',(0.,0.,1.)); #452443=DIRECTION('center_axis',(0.,0.,-1.)); #452444=DIRECTION('ref_axis',(1.,0.,0.)); #452445=DIRECTION('center_axis',(0.,0.,1.)); #452446=DIRECTION('ref_axis',(1.,0.,0.)); #452447=DIRECTION('center_axis',(0.,0.,1.)); #452448=DIRECTION('ref_axis',(1.,0.,0.)); #452449=DIRECTION('',(0.,0.,1.)); #452450=DIRECTION('center_axis',(0.,0.,-1.)); #452451=DIRECTION('ref_axis',(1.,0.,0.)); #452452=DIRECTION('center_axis',(0.,0.,1.)); #452453=DIRECTION('ref_axis',(1.,0.,0.)); #452454=DIRECTION('center_axis',(0.,0.,1.)); #452455=DIRECTION('ref_axis',(1.,0.,0.)); #452456=DIRECTION('',(0.,0.,1.)); #452457=DIRECTION('center_axis',(0.,0.,-1.)); #452458=DIRECTION('ref_axis',(1.,0.,0.)); #452459=DIRECTION('center_axis',(0.,0.,1.)); #452460=DIRECTION('ref_axis',(1.,0.,0.)); #452461=DIRECTION('center_axis',(0.,0.,1.)); #452462=DIRECTION('ref_axis',(1.,0.,0.)); #452463=DIRECTION('',(0.,0.,1.)); #452464=DIRECTION('center_axis',(0.,0.,-1.)); #452465=DIRECTION('ref_axis',(1.,0.,0.)); #452466=DIRECTION('center_axis',(0.,0.,1.)); #452467=DIRECTION('ref_axis',(1.,0.,0.)); #452468=DIRECTION('center_axis',(0.,0.,1.)); #452469=DIRECTION('ref_axis',(1.,0.,0.)); #452470=DIRECTION('',(0.,0.,1.)); #452471=DIRECTION('center_axis',(0.,0.,-1.)); #452472=DIRECTION('ref_axis',(1.,0.,0.)); #452473=DIRECTION('center_axis',(0.,0.,1.)); #452474=DIRECTION('ref_axis',(1.,0.,0.)); #452475=DIRECTION('center_axis',(0.,0.,1.)); #452476=DIRECTION('ref_axis',(1.,0.,0.)); #452477=DIRECTION('',(0.,0.,1.)); #452478=DIRECTION('center_axis',(0.,0.,-1.)); #452479=DIRECTION('ref_axis',(1.,0.,0.)); #452480=DIRECTION('center_axis',(0.,0.,1.)); #452481=DIRECTION('ref_axis',(1.,0.,0.)); #452482=DIRECTION('center_axis',(0.,0.,1.)); #452483=DIRECTION('ref_axis',(1.,0.,0.)); #452484=DIRECTION('',(0.,0.,1.)); #452485=DIRECTION('center_axis',(0.,0.,-1.)); #452486=DIRECTION('ref_axis',(1.,0.,0.)); #452487=DIRECTION('center_axis',(0.,0.,1.)); #452488=DIRECTION('ref_axis',(1.,0.,0.)); #452489=DIRECTION('center_axis',(0.,0.,1.)); #452490=DIRECTION('ref_axis',(1.,0.,0.)); #452491=DIRECTION('',(0.,0.,1.)); #452492=DIRECTION('center_axis',(0.,0.,-1.)); #452493=DIRECTION('ref_axis',(1.,0.,0.)); #452494=DIRECTION('center_axis',(0.,0.,1.)); #452495=DIRECTION('ref_axis',(1.,0.,0.)); #452496=DIRECTION('center_axis',(0.,0.,1.)); #452497=DIRECTION('ref_axis',(1.,0.,0.)); #452498=DIRECTION('',(0.,0.,1.)); #452499=DIRECTION('center_axis',(0.,0.,-1.)); #452500=DIRECTION('ref_axis',(1.,0.,0.)); #452501=DIRECTION('center_axis',(0.,0.,1.)); #452502=DIRECTION('ref_axis',(1.,0.,0.)); #452503=DIRECTION('center_axis',(0.,0.,1.)); #452504=DIRECTION('ref_axis',(1.,0.,0.)); #452505=DIRECTION('',(0.,0.,1.)); #452506=DIRECTION('center_axis',(0.,0.,-1.)); #452507=DIRECTION('ref_axis',(1.,0.,0.)); #452508=DIRECTION('center_axis',(0.,0.,1.)); #452509=DIRECTION('ref_axis',(1.,0.,0.)); #452510=DIRECTION('center_axis',(0.,0.,1.)); #452511=DIRECTION('ref_axis',(1.,0.,0.)); #452512=DIRECTION('',(0.,0.,1.)); #452513=DIRECTION('center_axis',(0.,0.,-1.)); #452514=DIRECTION('ref_axis',(1.,0.,0.)); #452515=DIRECTION('center_axis',(0.,0.,1.)); #452516=DIRECTION('ref_axis',(1.,0.,0.)); #452517=DIRECTION('center_axis',(0.,0.,1.)); #452518=DIRECTION('ref_axis',(1.,0.,0.)); #452519=DIRECTION('',(0.,0.,1.)); #452520=DIRECTION('center_axis',(0.,0.,-1.)); #452521=DIRECTION('ref_axis',(1.,0.,0.)); #452522=DIRECTION('center_axis',(0.,0.,1.)); #452523=DIRECTION('ref_axis',(1.,0.,0.)); #452524=DIRECTION('center_axis',(0.,0.,1.)); #452525=DIRECTION('ref_axis',(1.,0.,0.)); #452526=DIRECTION('',(0.,0.,1.)); #452527=DIRECTION('center_axis',(0.,0.,-1.)); #452528=DIRECTION('ref_axis',(1.,0.,0.)); #452529=DIRECTION('center_axis',(0.,0.,1.)); #452530=DIRECTION('ref_axis',(1.,0.,0.)); #452531=DIRECTION('center_axis',(0.,0.,1.)); #452532=DIRECTION('ref_axis',(1.,0.,0.)); #452533=DIRECTION('',(0.,0.,1.)); #452534=DIRECTION('center_axis',(0.,0.,-1.)); #452535=DIRECTION('ref_axis',(1.,0.,0.)); #452536=DIRECTION('center_axis',(0.,0.,1.)); #452537=DIRECTION('ref_axis',(1.,0.,0.)); #452538=DIRECTION('center_axis',(0.,0.,1.)); #452539=DIRECTION('ref_axis',(1.,0.,0.)); #452540=DIRECTION('',(0.,0.,1.)); #452541=DIRECTION('center_axis',(0.,0.,-1.)); #452542=DIRECTION('ref_axis',(1.,0.,0.)); #452543=DIRECTION('center_axis',(0.,0.,1.)); #452544=DIRECTION('ref_axis',(1.,0.,0.)); #452545=DIRECTION('center_axis',(0.,0.,1.)); #452546=DIRECTION('ref_axis',(1.,0.,0.)); #452547=DIRECTION('',(0.,0.,1.)); #452548=DIRECTION('center_axis',(0.,0.,-1.)); #452549=DIRECTION('ref_axis',(1.,0.,0.)); #452550=DIRECTION('center_axis',(0.,0.,1.)); #452551=DIRECTION('ref_axis',(1.,0.,0.)); #452552=DIRECTION('center_axis',(0.,0.,1.)); #452553=DIRECTION('ref_axis',(1.,0.,0.)); #452554=DIRECTION('',(0.,0.,1.)); #452555=DIRECTION('center_axis',(0.,0.,-1.)); #452556=DIRECTION('ref_axis',(1.,0.,0.)); #452557=DIRECTION('center_axis',(0.,0.,1.)); #452558=DIRECTION('ref_axis',(1.,0.,0.)); #452559=DIRECTION('center_axis',(0.,0.,1.)); #452560=DIRECTION('ref_axis',(1.,0.,0.)); #452561=DIRECTION('',(0.,0.,1.)); #452562=DIRECTION('center_axis',(0.,0.,-1.)); #452563=DIRECTION('ref_axis',(1.,0.,0.)); #452564=DIRECTION('center_axis',(0.,0.,1.)); #452565=DIRECTION('ref_axis',(1.,0.,0.)); #452566=DIRECTION('center_axis',(0.,0.,1.)); #452567=DIRECTION('ref_axis',(1.,0.,0.)); #452568=DIRECTION('',(0.,0.,1.)); #452569=DIRECTION('center_axis',(0.,0.,-1.)); #452570=DIRECTION('ref_axis',(1.,0.,0.)); #452571=DIRECTION('center_axis',(0.,0.,1.)); #452572=DIRECTION('ref_axis',(1.,0.,0.)); #452573=DIRECTION('center_axis',(0.,0.,1.)); #452574=DIRECTION('ref_axis',(1.,0.,0.)); #452575=DIRECTION('',(0.,0.,1.)); #452576=DIRECTION('center_axis',(0.,0.,-1.)); #452577=DIRECTION('ref_axis',(1.,0.,0.)); #452578=DIRECTION('center_axis',(0.,0.,1.)); #452579=DIRECTION('ref_axis',(1.,0.,0.)); #452580=DIRECTION('center_axis',(0.,0.,1.)); #452581=DIRECTION('ref_axis',(1.,0.,0.)); #452582=DIRECTION('',(0.,0.,1.)); #452583=DIRECTION('center_axis',(0.,0.,-1.)); #452584=DIRECTION('ref_axis',(1.,0.,0.)); #452585=DIRECTION('center_axis',(0.,0.,1.)); #452586=DIRECTION('ref_axis',(1.,0.,0.)); #452587=DIRECTION('center_axis',(0.,0.,1.)); #452588=DIRECTION('ref_axis',(1.,0.,0.)); #452589=DIRECTION('',(0.,0.,1.)); #452590=DIRECTION('center_axis',(0.,0.,-1.)); #452591=DIRECTION('ref_axis',(1.,0.,0.)); #452592=DIRECTION('center_axis',(0.,0.,1.)); #452593=DIRECTION('ref_axis',(1.,0.,0.)); #452594=DIRECTION('center_axis',(0.,0.,1.)); #452595=DIRECTION('ref_axis',(1.,0.,0.)); #452596=DIRECTION('',(0.,0.,1.)); #452597=DIRECTION('center_axis',(0.,0.,-1.)); #452598=DIRECTION('ref_axis',(1.,0.,0.)); #452599=DIRECTION('center_axis',(0.,0.,1.)); #452600=DIRECTION('ref_axis',(1.,0.,0.)); #452601=DIRECTION('center_axis',(0.,0.,1.)); #452602=DIRECTION('ref_axis',(1.,0.,0.)); #452603=DIRECTION('',(0.,0.,1.)); #452604=DIRECTION('center_axis',(0.,0.,-1.)); #452605=DIRECTION('ref_axis',(1.,0.,0.)); #452606=DIRECTION('center_axis',(0.,0.,1.)); #452607=DIRECTION('ref_axis',(1.,0.,0.)); #452608=DIRECTION('center_axis',(0.,0.,1.)); #452609=DIRECTION('ref_axis',(1.,0.,0.)); #452610=DIRECTION('',(0.,0.,1.)); #452611=DIRECTION('center_axis',(0.,0.,-1.)); #452612=DIRECTION('ref_axis',(1.,0.,0.)); #452613=DIRECTION('center_axis',(0.,0.,1.)); #452614=DIRECTION('ref_axis',(1.,0.,0.)); #452615=DIRECTION('center_axis',(0.,0.,1.)); #452616=DIRECTION('ref_axis',(1.,0.,0.)); #452617=DIRECTION('',(0.,0.,1.)); #452618=DIRECTION('center_axis',(0.,0.,-1.)); #452619=DIRECTION('ref_axis',(1.,0.,0.)); #452620=DIRECTION('center_axis',(0.,0.,1.)); #452621=DIRECTION('ref_axis',(1.,0.,0.)); #452622=DIRECTION('center_axis',(0.,0.,1.)); #452623=DIRECTION('ref_axis',(1.,0.,0.)); #452624=DIRECTION('',(0.,0.,1.)); #452625=DIRECTION('center_axis',(0.,0.,-1.)); #452626=DIRECTION('ref_axis',(1.,0.,0.)); #452627=DIRECTION('center_axis',(0.,0.,1.)); #452628=DIRECTION('ref_axis',(1.,0.,0.)); #452629=DIRECTION('center_axis',(0.,0.,1.)); #452630=DIRECTION('ref_axis',(1.,0.,0.)); #452631=DIRECTION('',(0.,0.,1.)); #452632=DIRECTION('center_axis',(0.,0.,-1.)); #452633=DIRECTION('ref_axis',(1.,0.,0.)); #452634=DIRECTION('center_axis',(0.,0.,1.)); #452635=DIRECTION('ref_axis',(1.,0.,0.)); #452636=DIRECTION('center_axis',(0.,0.,1.)); #452637=DIRECTION('ref_axis',(1.,0.,0.)); #452638=DIRECTION('',(0.,0.,1.)); #452639=DIRECTION('center_axis',(0.,0.,-1.)); #452640=DIRECTION('ref_axis',(1.,0.,0.)); #452641=DIRECTION('center_axis',(0.,0.,1.)); #452642=DIRECTION('ref_axis',(1.,0.,0.)); #452643=DIRECTION('center_axis',(0.,0.,1.)); #452644=DIRECTION('ref_axis',(1.,0.,0.)); #452645=DIRECTION('',(0.,0.,1.)); #452646=DIRECTION('center_axis',(0.,0.,-1.)); #452647=DIRECTION('ref_axis',(1.,0.,0.)); #452648=DIRECTION('center_axis',(0.,0.,1.)); #452649=DIRECTION('ref_axis',(1.,0.,0.)); #452650=DIRECTION('center_axis',(0.,0.,1.)); #452651=DIRECTION('ref_axis',(1.,0.,0.)); #452652=DIRECTION('',(0.,0.,1.)); #452653=DIRECTION('center_axis',(0.,0.,-1.)); #452654=DIRECTION('ref_axis',(1.,0.,0.)); #452655=DIRECTION('center_axis',(0.,0.,1.)); #452656=DIRECTION('ref_axis',(1.,0.,0.)); #452657=DIRECTION('center_axis',(0.,0.,1.)); #452658=DIRECTION('ref_axis',(1.,0.,0.)); #452659=DIRECTION('',(0.,0.,1.)); #452660=DIRECTION('center_axis',(0.,0.,-1.)); #452661=DIRECTION('ref_axis',(1.,0.,0.)); #452662=DIRECTION('center_axis',(0.,0.,1.)); #452663=DIRECTION('ref_axis',(1.,0.,0.)); #452664=DIRECTION('center_axis',(0.,0.,1.)); #452665=DIRECTION('ref_axis',(1.,0.,0.)); #452666=DIRECTION('',(0.,0.,1.)); #452667=DIRECTION('center_axis',(0.,0.,-1.)); #452668=DIRECTION('ref_axis',(1.,0.,0.)); #452669=DIRECTION('center_axis',(0.,0.,1.)); #452670=DIRECTION('ref_axis',(1.,0.,0.)); #452671=DIRECTION('center_axis',(0.,0.,1.)); #452672=DIRECTION('ref_axis',(1.,0.,0.)); #452673=DIRECTION('',(0.,0.,1.)); #452674=DIRECTION('center_axis',(0.,0.,-1.)); #452675=DIRECTION('ref_axis',(1.,0.,0.)); #452676=DIRECTION('center_axis',(0.,0.,1.)); #452677=DIRECTION('ref_axis',(1.,0.,0.)); #452678=DIRECTION('center_axis',(0.,0.,1.)); #452679=DIRECTION('ref_axis',(1.,0.,0.)); #452680=DIRECTION('',(0.,0.,1.)); #452681=DIRECTION('center_axis',(0.,0.,-1.)); #452682=DIRECTION('ref_axis',(1.,0.,0.)); #452683=DIRECTION('center_axis',(0.,0.,1.)); #452684=DIRECTION('ref_axis',(1.,0.,0.)); #452685=DIRECTION('center_axis',(0.,0.,1.)); #452686=DIRECTION('ref_axis',(1.,0.,0.)); #452687=DIRECTION('',(0.,0.,1.)); #452688=DIRECTION('center_axis',(0.,0.,-1.)); #452689=DIRECTION('ref_axis',(1.,0.,0.)); #452690=DIRECTION('center_axis',(0.,0.,1.)); #452691=DIRECTION('ref_axis',(1.,0.,0.)); #452692=DIRECTION('center_axis',(0.,0.,1.)); #452693=DIRECTION('ref_axis',(1.,0.,0.)); #452694=DIRECTION('',(0.,0.,1.)); #452695=DIRECTION('center_axis',(0.,0.,-1.)); #452696=DIRECTION('ref_axis',(1.,0.,0.)); #452697=DIRECTION('center_axis',(0.,0.,1.)); #452698=DIRECTION('ref_axis',(1.,0.,0.)); #452699=DIRECTION('center_axis',(0.,0.,1.)); #452700=DIRECTION('ref_axis',(1.,0.,0.)); #452701=DIRECTION('',(0.,0.,1.)); #452702=DIRECTION('center_axis',(0.,0.,-1.)); #452703=DIRECTION('ref_axis',(1.,0.,0.)); #452704=DIRECTION('center_axis',(0.,0.,1.)); #452705=DIRECTION('ref_axis',(1.,0.,0.)); #452706=DIRECTION('center_axis',(0.,0.,1.)); #452707=DIRECTION('ref_axis',(1.,0.,0.)); #452708=DIRECTION('',(0.,0.,1.)); #452709=DIRECTION('center_axis',(0.,0.,-1.)); #452710=DIRECTION('ref_axis',(1.,0.,0.)); #452711=DIRECTION('center_axis',(0.,0.,1.)); #452712=DIRECTION('ref_axis',(1.,0.,0.)); #452713=DIRECTION('center_axis',(0.,0.,1.)); #452714=DIRECTION('ref_axis',(1.,0.,0.)); #452715=DIRECTION('',(0.,0.,1.)); #452716=DIRECTION('center_axis',(0.,0.,-1.)); #452717=DIRECTION('ref_axis',(1.,0.,0.)); #452718=DIRECTION('center_axis',(0.,0.,1.)); #452719=DIRECTION('ref_axis',(1.,0.,0.)); #452720=DIRECTION('center_axis',(0.,0.,1.)); #452721=DIRECTION('ref_axis',(1.,0.,0.)); #452722=DIRECTION('',(0.,0.,1.)); #452723=DIRECTION('center_axis',(0.,0.,-1.)); #452724=DIRECTION('ref_axis',(1.,0.,0.)); #452725=DIRECTION('center_axis',(0.,0.,1.)); #452726=DIRECTION('ref_axis',(1.,0.,0.)); #452727=DIRECTION('center_axis',(0.,0.,1.)); #452728=DIRECTION('ref_axis',(1.,0.,0.)); #452729=DIRECTION('',(0.,0.,1.)); #452730=DIRECTION('center_axis',(0.,0.,-1.)); #452731=DIRECTION('ref_axis',(1.,0.,0.)); #452732=DIRECTION('center_axis',(0.,0.,1.)); #452733=DIRECTION('ref_axis',(1.,0.,0.)); #452734=DIRECTION('center_axis',(0.,0.,1.)); #452735=DIRECTION('ref_axis',(1.,0.,0.)); #452736=DIRECTION('',(0.,0.,1.)); #452737=DIRECTION('center_axis',(0.,0.,-1.)); #452738=DIRECTION('ref_axis',(1.,0.,0.)); #452739=DIRECTION('center_axis',(0.,0.,1.)); #452740=DIRECTION('ref_axis',(1.,0.,0.)); #452741=DIRECTION('center_axis',(0.,0.,1.)); #452742=DIRECTION('ref_axis',(1.,0.,0.)); #452743=DIRECTION('',(0.,0.,1.)); #452744=DIRECTION('center_axis',(0.,0.,-1.)); #452745=DIRECTION('ref_axis',(1.,0.,0.)); #452746=DIRECTION('center_axis',(0.,0.,1.)); #452747=DIRECTION('ref_axis',(1.,0.,0.)); #452748=DIRECTION('center_axis',(0.,0.,1.)); #452749=DIRECTION('ref_axis',(1.,0.,0.)); #452750=DIRECTION('',(0.,0.,1.)); #452751=DIRECTION('center_axis',(0.,0.,-1.)); #452752=DIRECTION('ref_axis',(1.,0.,0.)); #452753=DIRECTION('center_axis',(0.,0.,1.)); #452754=DIRECTION('ref_axis',(1.,0.,0.)); #452755=DIRECTION('center_axis',(0.,0.,1.)); #452756=DIRECTION('ref_axis',(1.,0.,0.)); #452757=DIRECTION('',(0.,0.,1.)); #452758=DIRECTION('center_axis',(0.,0.,-1.)); #452759=DIRECTION('ref_axis',(1.,0.,0.)); #452760=DIRECTION('center_axis',(0.,0.,1.)); #452761=DIRECTION('ref_axis',(1.,0.,0.)); #452762=DIRECTION('center_axis',(0.,0.,1.)); #452763=DIRECTION('ref_axis',(1.,0.,0.)); #452764=DIRECTION('',(0.,0.,1.)); #452765=DIRECTION('center_axis',(0.,0.,-1.)); #452766=DIRECTION('ref_axis',(1.,0.,0.)); #452767=DIRECTION('center_axis',(0.,0.,1.)); #452768=DIRECTION('ref_axis',(1.,0.,0.)); #452769=DIRECTION('center_axis',(0.,0.,1.)); #452770=DIRECTION('ref_axis',(1.,0.,0.)); #452771=DIRECTION('',(0.,0.,1.)); #452772=DIRECTION('center_axis',(0.,0.,-1.)); #452773=DIRECTION('ref_axis',(1.,0.,0.)); #452774=DIRECTION('center_axis',(0.,0.,1.)); #452775=DIRECTION('ref_axis',(1.,0.,0.)); #452776=DIRECTION('center_axis',(0.,0.,1.)); #452777=DIRECTION('ref_axis',(1.,0.,0.)); #452778=DIRECTION('',(0.,0.,1.)); #452779=DIRECTION('center_axis',(0.,0.,-1.)); #452780=DIRECTION('ref_axis',(1.,0.,0.)); #452781=DIRECTION('center_axis',(0.,0.,1.)); #452782=DIRECTION('ref_axis',(1.,0.,0.)); #452783=DIRECTION('center_axis',(0.,0.,1.)); #452784=DIRECTION('ref_axis',(1.,0.,0.)); #452785=DIRECTION('',(0.,0.,1.)); #452786=DIRECTION('center_axis',(0.,0.,-1.)); #452787=DIRECTION('ref_axis',(1.,0.,0.)); #452788=DIRECTION('center_axis',(0.,0.,1.)); #452789=DIRECTION('ref_axis',(1.,0.,0.)); #452790=DIRECTION('center_axis',(0.,0.,1.)); #452791=DIRECTION('ref_axis',(1.,0.,0.)); #452792=DIRECTION('',(0.,0.,1.)); #452793=DIRECTION('center_axis',(0.,0.,-1.)); #452794=DIRECTION('ref_axis',(1.,0.,0.)); #452795=DIRECTION('center_axis',(0.,0.,1.)); #452796=DIRECTION('ref_axis',(1.,0.,0.)); #452797=DIRECTION('center_axis',(0.,0.,1.)); #452798=DIRECTION('ref_axis',(1.,0.,0.)); #452799=DIRECTION('',(0.,0.,1.)); #452800=DIRECTION('center_axis',(0.,0.,-1.)); #452801=DIRECTION('ref_axis',(1.,0.,0.)); #452802=DIRECTION('center_axis',(0.,0.,1.)); #452803=DIRECTION('ref_axis',(1.,0.,0.)); #452804=DIRECTION('center_axis',(0.,0.,1.)); #452805=DIRECTION('ref_axis',(1.,0.,0.)); #452806=DIRECTION('',(0.,0.,1.)); #452807=DIRECTION('center_axis',(0.,0.,-1.)); #452808=DIRECTION('ref_axis',(1.,0.,0.)); #452809=DIRECTION('center_axis',(0.,0.,1.)); #452810=DIRECTION('ref_axis',(1.,0.,0.)); #452811=DIRECTION('center_axis',(0.,0.,1.)); #452812=DIRECTION('ref_axis',(1.,0.,0.)); #452813=DIRECTION('',(0.,0.,1.)); #452814=DIRECTION('center_axis',(0.,0.,-1.)); #452815=DIRECTION('ref_axis',(1.,0.,0.)); #452816=DIRECTION('center_axis',(0.,0.,1.)); #452817=DIRECTION('ref_axis',(1.,0.,0.)); #452818=DIRECTION('center_axis',(0.,0.,1.)); #452819=DIRECTION('ref_axis',(1.,0.,0.)); #452820=DIRECTION('',(0.,0.,1.)); #452821=DIRECTION('center_axis',(0.,0.,-1.)); #452822=DIRECTION('ref_axis',(1.,0.,0.)); #452823=DIRECTION('center_axis',(0.,0.,1.)); #452824=DIRECTION('ref_axis',(1.,0.,0.)); #452825=DIRECTION('center_axis',(0.,0.,1.)); #452826=DIRECTION('ref_axis',(1.,0.,0.)); #452827=DIRECTION('',(0.,0.,1.)); #452828=DIRECTION('center_axis',(0.,0.,-1.)); #452829=DIRECTION('ref_axis',(1.,0.,0.)); #452830=DIRECTION('center_axis',(0.,0.,1.)); #452831=DIRECTION('ref_axis',(1.,0.,0.)); #452832=DIRECTION('center_axis',(0.,0.,1.)); #452833=DIRECTION('ref_axis',(1.,0.,0.)); #452834=DIRECTION('',(0.,0.,1.)); #452835=DIRECTION('center_axis',(0.,0.,-1.)); #452836=DIRECTION('ref_axis',(1.,0.,0.)); #452837=DIRECTION('center_axis',(0.,0.,1.)); #452838=DIRECTION('ref_axis',(1.,0.,0.)); #452839=DIRECTION('center_axis',(0.,0.,1.)); #452840=DIRECTION('ref_axis',(1.,0.,0.)); #452841=DIRECTION('',(0.,0.,1.)); #452842=DIRECTION('center_axis',(0.,0.,-1.)); #452843=DIRECTION('ref_axis',(1.,0.,0.)); #452844=DIRECTION('center_axis',(0.,0.,1.)); #452845=DIRECTION('ref_axis',(1.,0.,0.)); #452846=DIRECTION('center_axis',(0.,0.,1.)); #452847=DIRECTION('ref_axis',(1.,0.,0.)); #452848=DIRECTION('',(0.,0.,1.)); #452849=DIRECTION('center_axis',(0.,0.,-1.)); #452850=DIRECTION('ref_axis',(1.,0.,0.)); #452851=DIRECTION('center_axis',(0.,0.,1.)); #452852=DIRECTION('ref_axis',(1.,0.,0.)); #452853=DIRECTION('center_axis',(0.,0.,1.)); #452854=DIRECTION('ref_axis',(1.,0.,0.)); #452855=DIRECTION('',(0.,0.,1.)); #452856=DIRECTION('center_axis',(0.,0.,-1.)); #452857=DIRECTION('ref_axis',(1.,0.,0.)); #452858=DIRECTION('center_axis',(0.,0.,1.)); #452859=DIRECTION('ref_axis',(1.,0.,0.)); #452860=DIRECTION('center_axis',(0.,0.,1.)); #452861=DIRECTION('ref_axis',(1.,0.,0.)); #452862=DIRECTION('',(0.,0.,1.)); #452863=DIRECTION('center_axis',(0.,0.,-1.)); #452864=DIRECTION('ref_axis',(1.,0.,0.)); #452865=DIRECTION('center_axis',(0.,0.,1.)); #452866=DIRECTION('ref_axis',(1.,0.,0.)); #452867=DIRECTION('center_axis',(0.,0.,1.)); #452868=DIRECTION('ref_axis',(1.,0.,0.)); #452869=DIRECTION('',(0.,0.,1.)); #452870=DIRECTION('center_axis',(0.,0.,-1.)); #452871=DIRECTION('ref_axis',(1.,0.,0.)); #452872=DIRECTION('center_axis',(0.,0.,1.)); #452873=DIRECTION('ref_axis',(1.,0.,0.)); #452874=DIRECTION('center_axis',(0.,0.,1.)); #452875=DIRECTION('ref_axis',(1.,0.,0.)); #452876=DIRECTION('',(0.,0.,1.)); #452877=DIRECTION('center_axis',(0.,0.,-1.)); #452878=DIRECTION('ref_axis',(1.,0.,0.)); #452879=DIRECTION('center_axis',(0.,0.,1.)); #452880=DIRECTION('ref_axis',(1.,0.,0.)); #452881=DIRECTION('center_axis',(0.,0.,1.)); #452882=DIRECTION('ref_axis',(1.,0.,0.)); #452883=DIRECTION('',(0.,0.,1.)); #452884=DIRECTION('center_axis',(0.,0.,-1.)); #452885=DIRECTION('ref_axis',(1.,0.,0.)); #452886=DIRECTION('center_axis',(0.,0.,1.)); #452887=DIRECTION('ref_axis',(1.,0.,0.)); #452888=DIRECTION('center_axis',(0.,0.,1.)); #452889=DIRECTION('ref_axis',(1.,0.,0.)); #452890=DIRECTION('',(0.,0.,1.)); #452891=DIRECTION('center_axis',(0.,0.,-1.)); #452892=DIRECTION('ref_axis',(1.,0.,0.)); #452893=DIRECTION('center_axis',(0.,0.,1.)); #452894=DIRECTION('ref_axis',(1.,0.,0.)); #452895=DIRECTION('center_axis',(0.,0.,1.)); #452896=DIRECTION('ref_axis',(1.,0.,0.)); #452897=DIRECTION('',(0.,0.,1.)); #452898=DIRECTION('center_axis',(0.,0.,-1.)); #452899=DIRECTION('ref_axis',(1.,0.,0.)); #452900=DIRECTION('center_axis',(0.,0.,1.)); #452901=DIRECTION('ref_axis',(1.,0.,0.)); #452902=DIRECTION('center_axis',(0.,0.,1.)); #452903=DIRECTION('ref_axis',(1.,0.,0.)); #452904=DIRECTION('',(0.,0.,1.)); #452905=DIRECTION('center_axis',(0.,0.,-1.)); #452906=DIRECTION('ref_axis',(1.,0.,0.)); #452907=DIRECTION('center_axis',(0.,0.,1.)); #452908=DIRECTION('ref_axis',(1.,0.,0.)); #452909=DIRECTION('center_axis',(0.,0.,1.)); #452910=DIRECTION('ref_axis',(1.,0.,0.)); #452911=DIRECTION('',(0.,0.,1.)); #452912=DIRECTION('center_axis',(0.,0.,-1.)); #452913=DIRECTION('ref_axis',(1.,0.,0.)); #452914=DIRECTION('center_axis',(0.,0.,1.)); #452915=DIRECTION('ref_axis',(1.,0.,0.)); #452916=DIRECTION('center_axis',(0.,0.,1.)); #452917=DIRECTION('ref_axis',(1.,0.,0.)); #452918=DIRECTION('',(0.,0.,1.)); #452919=DIRECTION('center_axis',(0.,0.,-1.)); #452920=DIRECTION('ref_axis',(1.,0.,0.)); #452921=DIRECTION('center_axis',(0.,0.,1.)); #452922=DIRECTION('ref_axis',(1.,0.,0.)); #452923=DIRECTION('center_axis',(0.,0.,1.)); #452924=DIRECTION('ref_axis',(1.,0.,0.)); #452925=DIRECTION('',(0.,0.,1.)); #452926=DIRECTION('center_axis',(0.,0.,-1.)); #452927=DIRECTION('ref_axis',(1.,0.,0.)); #452928=DIRECTION('center_axis',(0.,0.,1.)); #452929=DIRECTION('ref_axis',(1.,0.,0.)); #452930=DIRECTION('center_axis',(0.,0.,1.)); #452931=DIRECTION('ref_axis',(1.,0.,0.)); #452932=DIRECTION('',(0.,0.,1.)); #452933=DIRECTION('center_axis',(0.,0.,-1.)); #452934=DIRECTION('ref_axis',(1.,0.,0.)); #452935=DIRECTION('center_axis',(0.,0.,1.)); #452936=DIRECTION('ref_axis',(1.,0.,0.)); #452937=DIRECTION('center_axis',(0.,0.,1.)); #452938=DIRECTION('ref_axis',(1.,0.,0.)); #452939=DIRECTION('',(0.,0.,1.)); #452940=DIRECTION('center_axis',(0.,0.,-1.)); #452941=DIRECTION('ref_axis',(1.,0.,0.)); #452942=DIRECTION('center_axis',(0.,0.,1.)); #452943=DIRECTION('ref_axis',(1.,0.,0.)); #452944=DIRECTION('center_axis',(0.,0.,1.)); #452945=DIRECTION('ref_axis',(1.,0.,0.)); #452946=DIRECTION('',(0.,0.,1.)); #452947=DIRECTION('center_axis',(0.,0.,-1.)); #452948=DIRECTION('ref_axis',(1.,0.,0.)); #452949=DIRECTION('center_axis',(0.,0.,1.)); #452950=DIRECTION('ref_axis',(1.,0.,0.)); #452951=DIRECTION('center_axis',(0.,0.,1.)); #452952=DIRECTION('ref_axis',(1.,0.,0.)); #452953=DIRECTION('',(0.,0.,1.)); #452954=DIRECTION('center_axis',(0.,0.,-1.)); #452955=DIRECTION('ref_axis',(1.,0.,0.)); #452956=DIRECTION('center_axis',(0.,0.,1.)); #452957=DIRECTION('ref_axis',(1.,0.,0.)); #452958=DIRECTION('center_axis',(0.,0.,1.)); #452959=DIRECTION('ref_axis',(1.,0.,0.)); #452960=DIRECTION('',(0.,0.,1.)); #452961=DIRECTION('center_axis',(0.,0.,-1.)); #452962=DIRECTION('ref_axis',(1.,0.,0.)); #452963=DIRECTION('center_axis',(0.,0.,1.)); #452964=DIRECTION('ref_axis',(1.,0.,0.)); #452965=DIRECTION('center_axis',(0.,0.,1.)); #452966=DIRECTION('ref_axis',(1.,0.,0.)); #452967=DIRECTION('',(0.,0.,1.)); #452968=DIRECTION('center_axis',(0.,0.,-1.)); #452969=DIRECTION('ref_axis',(1.,0.,0.)); #452970=DIRECTION('center_axis',(0.,0.,1.)); #452971=DIRECTION('ref_axis',(1.,0.,0.)); #452972=DIRECTION('center_axis',(0.,0.,1.)); #452973=DIRECTION('ref_axis',(1.,0.,0.)); #452974=DIRECTION('',(0.,0.,1.)); #452975=DIRECTION('center_axis',(0.,0.,-1.)); #452976=DIRECTION('ref_axis',(1.,0.,0.)); #452977=DIRECTION('center_axis',(0.,0.,1.)); #452978=DIRECTION('ref_axis',(1.,0.,0.)); #452979=DIRECTION('center_axis',(0.,0.,1.)); #452980=DIRECTION('ref_axis',(1.,0.,0.)); #452981=DIRECTION('',(0.,0.,1.)); #452982=DIRECTION('center_axis',(0.,0.,-1.)); #452983=DIRECTION('ref_axis',(1.,0.,0.)); #452984=DIRECTION('center_axis',(0.,0.,1.)); #452985=DIRECTION('ref_axis',(1.,0.,0.)); #452986=DIRECTION('center_axis',(0.,0.,1.)); #452987=DIRECTION('ref_axis',(1.,0.,0.)); #452988=DIRECTION('',(0.,0.,1.)); #452989=DIRECTION('center_axis',(0.,0.,-1.)); #452990=DIRECTION('ref_axis',(1.,0.,0.)); #452991=DIRECTION('center_axis',(0.,0.,1.)); #452992=DIRECTION('ref_axis',(1.,0.,0.)); #452993=DIRECTION('center_axis',(0.,0.,1.)); #452994=DIRECTION('ref_axis',(1.,0.,0.)); #452995=DIRECTION('',(0.,0.,1.)); #452996=DIRECTION('center_axis',(0.,0.,-1.)); #452997=DIRECTION('ref_axis',(1.,0.,0.)); #452998=DIRECTION('center_axis',(0.,0.,1.)); #452999=DIRECTION('ref_axis',(1.,0.,0.)); #453000=DIRECTION('center_axis',(0.,0.,1.)); #453001=DIRECTION('ref_axis',(1.,0.,0.)); #453002=DIRECTION('',(0.,0.,1.)); #453003=DIRECTION('center_axis',(0.,0.,-1.)); #453004=DIRECTION('ref_axis',(1.,0.,0.)); #453005=DIRECTION('center_axis',(0.,0.,1.)); #453006=DIRECTION('ref_axis',(1.,0.,0.)); #453007=DIRECTION('center_axis',(0.,0.,1.)); #453008=DIRECTION('ref_axis',(1.,0.,0.)); #453009=DIRECTION('',(0.,0.,1.)); #453010=DIRECTION('center_axis',(0.,0.,-1.)); #453011=DIRECTION('ref_axis',(1.,0.,0.)); #453012=DIRECTION('center_axis',(0.,0.,1.)); #453013=DIRECTION('ref_axis',(1.,0.,0.)); #453014=DIRECTION('center_axis',(0.,0.,1.)); #453015=DIRECTION('ref_axis',(1.,0.,0.)); #453016=DIRECTION('',(0.,0.,1.)); #453017=DIRECTION('center_axis',(0.,0.,-1.)); #453018=DIRECTION('ref_axis',(1.,0.,0.)); #453019=DIRECTION('center_axis',(0.,0.,1.)); #453020=DIRECTION('ref_axis',(1.,0.,0.)); #453021=DIRECTION('center_axis',(0.,0.,1.)); #453022=DIRECTION('ref_axis',(1.,0.,0.)); #453023=DIRECTION('',(0.,0.,1.)); #453024=DIRECTION('center_axis',(0.,0.,-1.)); #453025=DIRECTION('ref_axis',(1.,0.,0.)); #453026=DIRECTION('center_axis',(0.,0.,1.)); #453027=DIRECTION('ref_axis',(1.,0.,0.)); #453028=DIRECTION('center_axis',(0.,0.,1.)); #453029=DIRECTION('ref_axis',(1.,0.,0.)); #453030=DIRECTION('',(0.,0.,1.)); #453031=DIRECTION('center_axis',(0.,0.,-1.)); #453032=DIRECTION('ref_axis',(1.,0.,0.)); #453033=DIRECTION('center_axis',(0.,0.,1.)); #453034=DIRECTION('ref_axis',(1.,0.,0.)); #453035=DIRECTION('center_axis',(0.,0.,1.)); #453036=DIRECTION('ref_axis',(1.,0.,0.)); #453037=DIRECTION('',(0.,0.,1.)); #453038=DIRECTION('center_axis',(0.,0.,-1.)); #453039=DIRECTION('ref_axis',(1.,0.,0.)); #453040=DIRECTION('center_axis',(0.,0.,1.)); #453041=DIRECTION('ref_axis',(1.,0.,0.)); #453042=DIRECTION('center_axis',(0.,0.,1.)); #453043=DIRECTION('ref_axis',(1.,0.,0.)); #453044=DIRECTION('',(0.,0.,1.)); #453045=DIRECTION('center_axis',(0.,0.,-1.)); #453046=DIRECTION('ref_axis',(1.,0.,0.)); #453047=DIRECTION('center_axis',(0.,0.,1.)); #453048=DIRECTION('ref_axis',(1.,0.,0.)); #453049=DIRECTION('center_axis',(0.,0.,1.)); #453050=DIRECTION('ref_axis',(1.,0.,0.)); #453051=DIRECTION('',(0.,0.,1.)); #453052=DIRECTION('center_axis',(0.,0.,-1.)); #453053=DIRECTION('ref_axis',(1.,0.,0.)); #453054=DIRECTION('center_axis',(0.,0.,1.)); #453055=DIRECTION('ref_axis',(1.,0.,0.)); #453056=DIRECTION('center_axis',(0.,0.,1.)); #453057=DIRECTION('ref_axis',(1.,0.,0.)); #453058=DIRECTION('',(0.,0.,1.)); #453059=DIRECTION('center_axis',(0.,0.,-1.)); #453060=DIRECTION('ref_axis',(1.,0.,0.)); #453061=DIRECTION('center_axis',(0.,0.,1.)); #453062=DIRECTION('ref_axis',(1.,0.,0.)); #453063=DIRECTION('center_axis',(0.,0.,1.)); #453064=DIRECTION('ref_axis',(1.,0.,0.)); #453065=DIRECTION('',(0.,0.,1.)); #453066=DIRECTION('center_axis',(0.,0.,-1.)); #453067=DIRECTION('ref_axis',(1.,0.,0.)); #453068=DIRECTION('center_axis',(0.,0.,1.)); #453069=DIRECTION('ref_axis',(1.,0.,0.)); #453070=DIRECTION('center_axis',(0.,0.,1.)); #453071=DIRECTION('ref_axis',(1.,0.,0.)); #453072=DIRECTION('',(0.,0.,1.)); #453073=DIRECTION('center_axis',(0.,0.,-1.)); #453074=DIRECTION('ref_axis',(1.,0.,0.)); #453075=DIRECTION('center_axis',(0.,0.,1.)); #453076=DIRECTION('ref_axis',(1.,0.,0.)); #453077=DIRECTION('center_axis',(0.,0.,1.)); #453078=DIRECTION('ref_axis',(1.,0.,0.)); #453079=DIRECTION('',(0.,0.,1.)); #453080=DIRECTION('center_axis',(0.,0.,-1.)); #453081=DIRECTION('ref_axis',(1.,0.,0.)); #453082=DIRECTION('center_axis',(0.,0.,1.)); #453083=DIRECTION('ref_axis',(1.,0.,0.)); #453084=DIRECTION('center_axis',(0.,0.,1.)); #453085=DIRECTION('ref_axis',(1.,0.,0.)); #453086=DIRECTION('',(0.,0.,1.)); #453087=DIRECTION('center_axis',(0.,0.,-1.)); #453088=DIRECTION('ref_axis',(1.,0.,0.)); #453089=DIRECTION('center_axis',(0.,0.,1.)); #453090=DIRECTION('ref_axis',(1.,0.,0.)); #453091=DIRECTION('center_axis',(0.,0.,1.)); #453092=DIRECTION('ref_axis',(1.,0.,0.)); #453093=DIRECTION('',(0.,0.,1.)); #453094=DIRECTION('center_axis',(0.,0.,-1.)); #453095=DIRECTION('ref_axis',(1.,0.,0.)); #453096=DIRECTION('center_axis',(0.,0.,1.)); #453097=DIRECTION('ref_axis',(1.,0.,0.)); #453098=DIRECTION('center_axis',(0.,0.,1.)); #453099=DIRECTION('ref_axis',(1.,0.,0.)); #453100=DIRECTION('',(0.,0.,1.)); #453101=DIRECTION('center_axis',(0.,0.,-1.)); #453102=DIRECTION('ref_axis',(1.,0.,0.)); #453103=DIRECTION('center_axis',(0.,0.,1.)); #453104=DIRECTION('ref_axis',(1.,0.,0.)); #453105=DIRECTION('center_axis',(0.,0.,1.)); #453106=DIRECTION('ref_axis',(1.,0.,0.)); #453107=DIRECTION('',(0.,0.,1.)); #453108=DIRECTION('center_axis',(0.,0.,-1.)); #453109=DIRECTION('ref_axis',(1.,0.,0.)); #453110=DIRECTION('center_axis',(0.,0.,1.)); #453111=DIRECTION('ref_axis',(1.,0.,0.)); #453112=DIRECTION('center_axis',(0.,0.,1.)); #453113=DIRECTION('ref_axis',(1.,0.,0.)); #453114=DIRECTION('',(0.,0.,1.)); #453115=DIRECTION('center_axis',(0.,0.,-1.)); #453116=DIRECTION('ref_axis',(1.,0.,0.)); #453117=DIRECTION('center_axis',(0.,0.,1.)); #453118=DIRECTION('ref_axis',(1.,0.,0.)); #453119=DIRECTION('center_axis',(0.,0.,1.)); #453120=DIRECTION('ref_axis',(1.,0.,0.)); #453121=DIRECTION('',(0.,0.,1.)); #453122=DIRECTION('center_axis',(0.,0.,-1.)); #453123=DIRECTION('ref_axis',(1.,0.,0.)); #453124=DIRECTION('center_axis',(0.,0.,1.)); #453125=DIRECTION('ref_axis',(1.,0.,0.)); #453126=DIRECTION('center_axis',(0.,0.,1.)); #453127=DIRECTION('ref_axis',(1.,0.,0.)); #453128=DIRECTION('',(0.,0.,1.)); #453129=DIRECTION('center_axis',(0.,0.,-1.)); #453130=DIRECTION('ref_axis',(1.,0.,0.)); #453131=DIRECTION('center_axis',(0.,0.,1.)); #453132=DIRECTION('ref_axis',(1.,0.,0.)); #453133=DIRECTION('center_axis',(0.,0.,1.)); #453134=DIRECTION('ref_axis',(1.,0.,0.)); #453135=DIRECTION('',(0.,0.,1.)); #453136=DIRECTION('center_axis',(0.,0.,-1.)); #453137=DIRECTION('ref_axis',(1.,0.,0.)); #453138=DIRECTION('center_axis',(0.,0.,1.)); #453139=DIRECTION('ref_axis',(1.,0.,0.)); #453140=DIRECTION('center_axis',(0.,0.,1.)); #453141=DIRECTION('ref_axis',(1.,0.,0.)); #453142=DIRECTION('',(0.,0.,1.)); #453143=DIRECTION('center_axis',(0.,0.,-1.)); #453144=DIRECTION('ref_axis',(1.,0.,0.)); #453145=DIRECTION('center_axis',(0.,0.,1.)); #453146=DIRECTION('ref_axis',(1.,0.,0.)); #453147=DIRECTION('center_axis',(0.,0.,1.)); #453148=DIRECTION('ref_axis',(1.,0.,0.)); #453149=DIRECTION('',(0.,0.,1.)); #453150=DIRECTION('center_axis',(0.,0.,-1.)); #453151=DIRECTION('ref_axis',(1.,0.,0.)); #453152=DIRECTION('center_axis',(0.,0.,1.)); #453153=DIRECTION('ref_axis',(1.,0.,0.)); #453154=DIRECTION('center_axis',(0.,0.,1.)); #453155=DIRECTION('ref_axis',(1.,0.,0.)); #453156=DIRECTION('',(0.,0.,1.)); #453157=DIRECTION('center_axis',(0.,0.,-1.)); #453158=DIRECTION('ref_axis',(1.,0.,0.)); #453159=DIRECTION('center_axis',(0.,0.,1.)); #453160=DIRECTION('ref_axis',(1.,0.,0.)); #453161=DIRECTION('center_axis',(0.,0.,1.)); #453162=DIRECTION('ref_axis',(1.,0.,0.)); #453163=DIRECTION('',(0.,0.,1.)); #453164=DIRECTION('center_axis',(0.,0.,-1.)); #453165=DIRECTION('ref_axis',(1.,0.,0.)); #453166=DIRECTION('center_axis',(0.,0.,1.)); #453167=DIRECTION('ref_axis',(1.,0.,0.)); #453168=DIRECTION('center_axis',(0.,0.,1.)); #453169=DIRECTION('ref_axis',(1.,0.,0.)); #453170=DIRECTION('',(0.,0.,1.)); #453171=DIRECTION('center_axis',(0.,0.,-1.)); #453172=DIRECTION('ref_axis',(1.,0.,0.)); #453173=DIRECTION('center_axis',(0.,0.,1.)); #453174=DIRECTION('ref_axis',(1.,0.,0.)); #453175=DIRECTION('center_axis',(0.,0.,1.)); #453176=DIRECTION('ref_axis',(1.,0.,0.)); #453177=DIRECTION('',(0.,0.,1.)); #453178=DIRECTION('center_axis',(0.,0.,-1.)); #453179=DIRECTION('ref_axis',(1.,0.,0.)); #453180=DIRECTION('center_axis',(0.,0.,1.)); #453181=DIRECTION('ref_axis',(1.,0.,0.)); #453182=DIRECTION('center_axis',(0.,0.,1.)); #453183=DIRECTION('ref_axis',(1.,0.,0.)); #453184=DIRECTION('',(0.,0.,1.)); #453185=DIRECTION('center_axis',(0.,0.,-1.)); #453186=DIRECTION('ref_axis',(1.,0.,0.)); #453187=DIRECTION('center_axis',(0.,0.,1.)); #453188=DIRECTION('ref_axis',(1.,0.,0.)); #453189=DIRECTION('center_axis',(0.,0.,1.)); #453190=DIRECTION('ref_axis',(1.,0.,0.)); #453191=DIRECTION('',(0.,0.,1.)); #453192=DIRECTION('center_axis',(0.,0.,-1.)); #453193=DIRECTION('ref_axis',(1.,0.,0.)); #453194=DIRECTION('center_axis',(0.,0.,1.)); #453195=DIRECTION('ref_axis',(1.,0.,0.)); #453196=DIRECTION('center_axis',(0.,0.,1.)); #453197=DIRECTION('ref_axis',(1.,0.,0.)); #453198=DIRECTION('',(0.,0.,1.)); #453199=DIRECTION('center_axis',(0.,0.,-1.)); #453200=DIRECTION('ref_axis',(1.,0.,0.)); #453201=DIRECTION('center_axis',(0.,0.,1.)); #453202=DIRECTION('ref_axis',(1.,0.,0.)); #453203=DIRECTION('center_axis',(0.,0.,1.)); #453204=DIRECTION('ref_axis',(1.,0.,0.)); #453205=DIRECTION('',(0.,0.,1.)); #453206=DIRECTION('center_axis',(0.,0.,-1.)); #453207=DIRECTION('ref_axis',(1.,0.,0.)); #453208=DIRECTION('center_axis',(0.,0.,1.)); #453209=DIRECTION('ref_axis',(1.,0.,0.)); #453210=DIRECTION('center_axis',(0.,0.,1.)); #453211=DIRECTION('ref_axis',(1.,0.,0.)); #453212=DIRECTION('',(0.,0.,1.)); #453213=DIRECTION('center_axis',(0.,0.,-1.)); #453214=DIRECTION('ref_axis',(1.,0.,0.)); #453215=DIRECTION('center_axis',(0.,0.,1.)); #453216=DIRECTION('ref_axis',(1.,0.,0.)); #453217=DIRECTION('center_axis',(0.,0.,1.)); #453218=DIRECTION('ref_axis',(1.,0.,0.)); #453219=DIRECTION('',(0.,0.,1.)); #453220=DIRECTION('center_axis',(0.,0.,-1.)); #453221=DIRECTION('ref_axis',(1.,0.,0.)); #453222=DIRECTION('center_axis',(0.,0.,1.)); #453223=DIRECTION('ref_axis',(1.,0.,0.)); #453224=DIRECTION('center_axis',(0.,0.,1.)); #453225=DIRECTION('ref_axis',(1.,0.,0.)); #453226=DIRECTION('',(0.,0.,1.)); #453227=DIRECTION('center_axis',(0.,0.,-1.)); #453228=DIRECTION('ref_axis',(1.,0.,0.)); #453229=DIRECTION('center_axis',(0.,0.,1.)); #453230=DIRECTION('ref_axis',(1.,0.,0.)); #453231=DIRECTION('center_axis',(0.,0.,1.)); #453232=DIRECTION('ref_axis',(1.,0.,0.)); #453233=DIRECTION('',(0.,0.,1.)); #453234=DIRECTION('center_axis',(0.,0.,-1.)); #453235=DIRECTION('ref_axis',(1.,0.,0.)); #453236=DIRECTION('center_axis',(0.,0.,1.)); #453237=DIRECTION('ref_axis',(1.,0.,0.)); #453238=DIRECTION('center_axis',(0.,0.,1.)); #453239=DIRECTION('ref_axis',(1.,0.,0.)); #453240=DIRECTION('',(0.,0.,1.)); #453241=DIRECTION('center_axis',(0.,0.,-1.)); #453242=DIRECTION('ref_axis',(1.,0.,0.)); #453243=DIRECTION('center_axis',(0.,0.,1.)); #453244=DIRECTION('ref_axis',(1.,0.,0.)); #453245=DIRECTION('center_axis',(0.,0.,1.)); #453246=DIRECTION('ref_axis',(1.,0.,0.)); #453247=DIRECTION('',(0.,0.,1.)); #453248=DIRECTION('center_axis',(0.,0.,-1.)); #453249=DIRECTION('ref_axis',(1.,0.,0.)); #453250=DIRECTION('center_axis',(0.,0.,1.)); #453251=DIRECTION('ref_axis',(1.,0.,0.)); #453252=DIRECTION('center_axis',(0.,0.,1.)); #453253=DIRECTION('ref_axis',(1.,0.,0.)); #453254=DIRECTION('',(0.,0.,1.)); #453255=DIRECTION('center_axis',(0.,0.,-1.)); #453256=DIRECTION('ref_axis',(1.,0.,0.)); #453257=DIRECTION('center_axis',(0.,0.,1.)); #453258=DIRECTION('ref_axis',(1.,0.,0.)); #453259=DIRECTION('center_axis',(0.,0.,1.)); #453260=DIRECTION('ref_axis',(1.,0.,0.)); #453261=DIRECTION('',(0.,0.,1.)); #453262=DIRECTION('center_axis',(0.,0.,-1.)); #453263=DIRECTION('ref_axis',(1.,0.,0.)); #453264=DIRECTION('center_axis',(0.,0.,1.)); #453265=DIRECTION('ref_axis',(1.,0.,0.)); #453266=DIRECTION('center_axis',(0.,0.,1.)); #453267=DIRECTION('ref_axis',(1.,0.,0.)); #453268=DIRECTION('',(0.,0.,1.)); #453269=DIRECTION('center_axis',(0.,0.,-1.)); #453270=DIRECTION('ref_axis',(1.,0.,0.)); #453271=DIRECTION('center_axis',(0.,0.,1.)); #453272=DIRECTION('ref_axis',(1.,0.,0.)); #453273=DIRECTION('center_axis',(0.,0.,1.)); #453274=DIRECTION('ref_axis',(1.,0.,0.)); #453275=DIRECTION('',(0.,0.,1.)); #453276=DIRECTION('center_axis',(0.,0.,-1.)); #453277=DIRECTION('ref_axis',(1.,0.,0.)); #453278=DIRECTION('center_axis',(0.,0.,1.)); #453279=DIRECTION('ref_axis',(1.,0.,0.)); #453280=DIRECTION('center_axis',(0.,0.,1.)); #453281=DIRECTION('ref_axis',(1.,0.,0.)); #453282=DIRECTION('',(0.,0.,1.)); #453283=DIRECTION('center_axis',(0.,0.,-1.)); #453284=DIRECTION('ref_axis',(1.,0.,0.)); #453285=DIRECTION('center_axis',(0.,0.,1.)); #453286=DIRECTION('ref_axis',(1.,0.,0.)); #453287=DIRECTION('center_axis',(0.,0.,1.)); #453288=DIRECTION('ref_axis',(1.,0.,0.)); #453289=DIRECTION('',(0.,0.,1.)); #453290=DIRECTION('center_axis',(0.,0.,-1.)); #453291=DIRECTION('ref_axis',(1.,0.,0.)); #453292=DIRECTION('center_axis',(0.,0.,1.)); #453293=DIRECTION('ref_axis',(1.,0.,0.)); #453294=DIRECTION('center_axis',(0.,0.,1.)); #453295=DIRECTION('ref_axis',(1.,0.,0.)); #453296=DIRECTION('',(0.,0.,1.)); #453297=DIRECTION('center_axis',(0.,0.,-1.)); #453298=DIRECTION('ref_axis',(1.,0.,0.)); #453299=DIRECTION('center_axis',(0.,0.,1.)); #453300=DIRECTION('ref_axis',(1.,0.,0.)); #453301=DIRECTION('center_axis',(0.,0.,1.)); #453302=DIRECTION('ref_axis',(1.,0.,0.)); #453303=DIRECTION('',(0.,0.,1.)); #453304=DIRECTION('center_axis',(0.,0.,-1.)); #453305=DIRECTION('ref_axis',(1.,0.,0.)); #453306=DIRECTION('center_axis',(0.,0.,1.)); #453307=DIRECTION('ref_axis',(1.,0.,0.)); #453308=DIRECTION('center_axis',(0.,0.,1.)); #453309=DIRECTION('ref_axis',(1.,0.,0.)); #453310=DIRECTION('',(0.,0.,1.)); #453311=DIRECTION('center_axis',(0.,0.,-1.)); #453312=DIRECTION('ref_axis',(1.,0.,0.)); #453313=DIRECTION('center_axis',(0.,0.,1.)); #453314=DIRECTION('ref_axis',(1.,0.,0.)); #453315=DIRECTION('center_axis',(0.,0.,1.)); #453316=DIRECTION('ref_axis',(1.,0.,0.)); #453317=DIRECTION('',(0.,0.,1.)); #453318=DIRECTION('center_axis',(0.,0.,-1.)); #453319=DIRECTION('ref_axis',(1.,0.,0.)); #453320=DIRECTION('center_axis',(0.,0.,1.)); #453321=DIRECTION('ref_axis',(1.,0.,0.)); #453322=DIRECTION('center_axis',(0.,0.,1.)); #453323=DIRECTION('ref_axis',(1.,0.,0.)); #453324=DIRECTION('',(0.,0.,1.)); #453325=DIRECTION('center_axis',(0.,0.,-1.)); #453326=DIRECTION('ref_axis',(1.,0.,0.)); #453327=DIRECTION('center_axis',(0.,0.,1.)); #453328=DIRECTION('ref_axis',(1.,0.,0.)); #453329=DIRECTION('center_axis',(0.,0.,1.)); #453330=DIRECTION('ref_axis',(1.,0.,0.)); #453331=DIRECTION('',(0.,0.,1.)); #453332=DIRECTION('center_axis',(0.,0.,-1.)); #453333=DIRECTION('ref_axis',(1.,0.,0.)); #453334=DIRECTION('center_axis',(0.,0.,1.)); #453335=DIRECTION('ref_axis',(1.,0.,0.)); #453336=DIRECTION('center_axis',(0.,0.,1.)); #453337=DIRECTION('ref_axis',(1.,0.,0.)); #453338=DIRECTION('',(0.,0.,1.)); #453339=DIRECTION('center_axis',(0.,0.,-1.)); #453340=DIRECTION('ref_axis',(1.,0.,0.)); #453341=DIRECTION('center_axis',(0.,0.,1.)); #453342=DIRECTION('ref_axis',(1.,0.,0.)); #453343=DIRECTION('center_axis',(0.,0.,1.)); #453344=DIRECTION('ref_axis',(1.,0.,0.)); #453345=DIRECTION('',(0.,0.,1.)); #453346=DIRECTION('center_axis',(0.,0.,-1.)); #453347=DIRECTION('ref_axis',(1.,0.,0.)); #453348=DIRECTION('center_axis',(0.,0.,1.)); #453349=DIRECTION('ref_axis',(1.,0.,0.)); #453350=DIRECTION('center_axis',(0.,0.,1.)); #453351=DIRECTION('ref_axis',(1.,0.,0.)); #453352=DIRECTION('',(0.,0.,1.)); #453353=DIRECTION('center_axis',(0.,0.,-1.)); #453354=DIRECTION('ref_axis',(1.,0.,0.)); #453355=DIRECTION('center_axis',(0.,0.,1.)); #453356=DIRECTION('ref_axis',(1.,0.,0.)); #453357=DIRECTION('center_axis',(0.,0.,1.)); #453358=DIRECTION('ref_axis',(1.,0.,0.)); #453359=DIRECTION('',(0.,0.,1.)); #453360=DIRECTION('center_axis',(0.,0.,-1.)); #453361=DIRECTION('ref_axis',(1.,0.,0.)); #453362=DIRECTION('center_axis',(0.,0.,1.)); #453363=DIRECTION('ref_axis',(1.,0.,0.)); #453364=DIRECTION('center_axis',(0.,0.,1.)); #453365=DIRECTION('ref_axis',(1.,0.,0.)); #453366=DIRECTION('',(0.,0.,1.)); #453367=DIRECTION('center_axis',(0.,0.,-1.)); #453368=DIRECTION('ref_axis',(1.,0.,0.)); #453369=DIRECTION('center_axis',(0.,0.,1.)); #453370=DIRECTION('ref_axis',(1.,0.,0.)); #453371=DIRECTION('center_axis',(0.,0.,1.)); #453372=DIRECTION('ref_axis',(1.,0.,0.)); #453373=DIRECTION('',(0.,0.,1.)); #453374=DIRECTION('center_axis',(0.,0.,-1.)); #453375=DIRECTION('ref_axis',(1.,0.,0.)); #453376=DIRECTION('center_axis',(0.,0.,1.)); #453377=DIRECTION('ref_axis',(1.,0.,0.)); #453378=DIRECTION('center_axis',(0.,0.,1.)); #453379=DIRECTION('ref_axis',(1.,0.,0.)); #453380=DIRECTION('',(0.,0.,1.)); #453381=DIRECTION('center_axis',(0.,0.,-1.)); #453382=DIRECTION('ref_axis',(1.,0.,0.)); #453383=DIRECTION('center_axis',(0.,0.,1.)); #453384=DIRECTION('ref_axis',(1.,0.,0.)); #453385=DIRECTION('center_axis',(0.,0.,1.)); #453386=DIRECTION('ref_axis',(1.,0.,0.)); #453387=DIRECTION('',(0.,0.,1.)); #453388=DIRECTION('center_axis',(0.,0.,-1.)); #453389=DIRECTION('ref_axis',(1.,0.,0.)); #453390=DIRECTION('center_axis',(0.,0.,1.)); #453391=DIRECTION('ref_axis',(1.,0.,0.)); #453392=DIRECTION('center_axis',(0.,0.,1.)); #453393=DIRECTION('ref_axis',(1.,0.,0.)); #453394=DIRECTION('',(0.,0.,1.)); #453395=DIRECTION('center_axis',(0.,0.,-1.)); #453396=DIRECTION('ref_axis',(1.,0.,0.)); #453397=DIRECTION('center_axis',(0.,0.,1.)); #453398=DIRECTION('ref_axis',(1.,0.,0.)); #453399=DIRECTION('center_axis',(0.,0.,1.)); #453400=DIRECTION('ref_axis',(1.,0.,0.)); #453401=DIRECTION('',(0.,0.,1.)); #453402=DIRECTION('center_axis',(0.,0.,-1.)); #453403=DIRECTION('ref_axis',(1.,0.,0.)); #453404=DIRECTION('center_axis',(0.,0.,1.)); #453405=DIRECTION('ref_axis',(1.,0.,0.)); #453406=DIRECTION('center_axis',(0.,0.,1.)); #453407=DIRECTION('ref_axis',(1.,0.,0.)); #453408=DIRECTION('',(0.,0.,1.)); #453409=DIRECTION('center_axis',(0.,0.,-1.)); #453410=DIRECTION('ref_axis',(1.,0.,0.)); #453411=DIRECTION('center_axis',(0.,0.,1.)); #453412=DIRECTION('ref_axis',(1.,0.,0.)); #453413=DIRECTION('center_axis',(0.,0.,1.)); #453414=DIRECTION('ref_axis',(1.,0.,0.)); #453415=DIRECTION('',(0.,0.,1.)); #453416=DIRECTION('center_axis',(0.,0.,-1.)); #453417=DIRECTION('ref_axis',(1.,0.,0.)); #453418=DIRECTION('center_axis',(0.,0.,1.)); #453419=DIRECTION('ref_axis',(1.,0.,0.)); #453420=DIRECTION('center_axis',(0.,0.,1.)); #453421=DIRECTION('ref_axis',(1.,0.,0.)); #453422=DIRECTION('',(0.,0.,1.)); #453423=DIRECTION('center_axis',(0.,0.,-1.)); #453424=DIRECTION('ref_axis',(1.,0.,0.)); #453425=DIRECTION('center_axis',(0.,0.,1.)); #453426=DIRECTION('ref_axis',(1.,0.,0.)); #453427=DIRECTION('center_axis',(0.,0.,1.)); #453428=DIRECTION('ref_axis',(1.,0.,0.)); #453429=DIRECTION('',(0.,0.,1.)); #453430=DIRECTION('center_axis',(0.,0.,-1.)); #453431=DIRECTION('ref_axis',(1.,0.,0.)); #453432=DIRECTION('center_axis',(0.,0.,1.)); #453433=DIRECTION('ref_axis',(1.,0.,0.)); #453434=DIRECTION('center_axis',(0.,0.,1.)); #453435=DIRECTION('ref_axis',(1.,0.,0.)); #453436=DIRECTION('',(0.,0.,1.)); #453437=DIRECTION('center_axis',(0.,0.,-1.)); #453438=DIRECTION('ref_axis',(1.,0.,0.)); #453439=DIRECTION('center_axis',(0.,0.,1.)); #453440=DIRECTION('ref_axis',(1.,0.,0.)); #453441=DIRECTION('center_axis',(0.,0.,1.)); #453442=DIRECTION('ref_axis',(1.,0.,0.)); #453443=DIRECTION('',(0.,0.,1.)); #453444=DIRECTION('center_axis',(0.,0.,-1.)); #453445=DIRECTION('ref_axis',(1.,0.,0.)); #453446=DIRECTION('center_axis',(0.,0.,1.)); #453447=DIRECTION('ref_axis',(1.,0.,0.)); #453448=DIRECTION('center_axis',(0.,0.,1.)); #453449=DIRECTION('ref_axis',(1.,0.,0.)); #453450=DIRECTION('',(0.,0.,1.)); #453451=DIRECTION('center_axis',(0.,0.,-1.)); #453452=DIRECTION('ref_axis',(1.,0.,0.)); #453453=DIRECTION('center_axis',(0.,0.,1.)); #453454=DIRECTION('ref_axis',(1.,0.,0.)); #453455=DIRECTION('center_axis',(0.,0.,1.)); #453456=DIRECTION('ref_axis',(1.,0.,0.)); #453457=DIRECTION('',(0.,0.,1.)); #453458=DIRECTION('center_axis',(0.,0.,-1.)); #453459=DIRECTION('ref_axis',(1.,0.,0.)); #453460=DIRECTION('center_axis',(0.,0.,1.)); #453461=DIRECTION('ref_axis',(1.,0.,0.)); #453462=DIRECTION('center_axis',(0.,0.,1.)); #453463=DIRECTION('ref_axis',(1.,0.,0.)); #453464=DIRECTION('',(0.,0.,1.)); #453465=DIRECTION('center_axis',(0.,0.,-1.)); #453466=DIRECTION('ref_axis',(1.,0.,0.)); #453467=DIRECTION('center_axis',(0.,0.,1.)); #453468=DIRECTION('ref_axis',(1.,0.,0.)); #453469=DIRECTION('center_axis',(0.,0.,1.)); #453470=DIRECTION('ref_axis',(1.,0.,0.)); #453471=DIRECTION('',(0.,0.,1.)); #453472=DIRECTION('center_axis',(0.,0.,-1.)); #453473=DIRECTION('ref_axis',(1.,0.,0.)); #453474=DIRECTION('center_axis',(0.,0.,1.)); #453475=DIRECTION('ref_axis',(1.,0.,0.)); #453476=DIRECTION('center_axis',(0.,0.,1.)); #453477=DIRECTION('ref_axis',(1.,0.,0.)); #453478=DIRECTION('',(0.,0.,1.)); #453479=DIRECTION('center_axis',(0.,0.,-1.)); #453480=DIRECTION('ref_axis',(1.,0.,0.)); #453481=DIRECTION('center_axis',(0.,0.,1.)); #453482=DIRECTION('ref_axis',(1.,0.,0.)); #453483=DIRECTION('center_axis',(0.,0.,1.)); #453484=DIRECTION('ref_axis',(1.,0.,0.)); #453485=DIRECTION('',(0.,0.,1.)); #453486=DIRECTION('center_axis',(0.,0.,-1.)); #453487=DIRECTION('ref_axis',(1.,0.,0.)); #453488=DIRECTION('center_axis',(0.,0.,1.)); #453489=DIRECTION('ref_axis',(1.,0.,0.)); #453490=DIRECTION('center_axis',(0.,0.,1.)); #453491=DIRECTION('ref_axis',(1.,0.,0.)); #453492=DIRECTION('',(0.,0.,1.)); #453493=DIRECTION('center_axis',(0.,0.,-1.)); #453494=DIRECTION('ref_axis',(1.,0.,0.)); #453495=DIRECTION('center_axis',(0.,0.,1.)); #453496=DIRECTION('ref_axis',(1.,0.,0.)); #453497=DIRECTION('center_axis',(0.,0.,1.)); #453498=DIRECTION('ref_axis',(1.,0.,0.)); #453499=DIRECTION('',(0.,0.,1.)); #453500=DIRECTION('center_axis',(0.,0.,-1.)); #453501=DIRECTION('ref_axis',(1.,0.,0.)); #453502=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #453503=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #453504=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453505=DIRECTION('',(0.,0.,1.)); #453506=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453507=DIRECTION('',(0.,0.,1.)); #453508=DIRECTION('center_axis',(0.,0.,1.)); #453509=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453510=DIRECTION('center_axis',(0.,0.,-1.)); #453511=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453512=DIRECTION('center_axis',(0.,0.,1.)); #453513=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453514=DIRECTION('',(0.,0.,1.)); #453515=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #453516=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #453517=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453518=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453519=DIRECTION('',(0.,0.,1.)); #453520=DIRECTION('center_axis',(0.,0.,1.)); #453521=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453522=DIRECTION('center_axis',(0.,0.,-1.)); #453523=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453524=DIRECTION('center_axis',(0.,0.,1.)); #453525=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453526=DIRECTION('center_axis',(0.,0.,1.)); #453527=DIRECTION('ref_axis',(1.,0.,0.)); #453528=DIRECTION('center_axis',(0.,0.,1.)); #453529=DIRECTION('ref_axis',(1.,0.,0.)); #453530=DIRECTION('',(0.,0.,1.)); #453531=DIRECTION('center_axis',(0.,0.,-1.)); #453532=DIRECTION('ref_axis',(1.,0.,0.)); #453533=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #453534=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #453535=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #453536=DIRECTION('',(0.,0.,1.)); #453537=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #453538=DIRECTION('',(0.,0.,1.)); #453539=DIRECTION('center_axis',(0.,0.,1.)); #453540=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #453541=DIRECTION('center_axis',(0.,0.,-1.)); #453542=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #453543=DIRECTION('center_axis',(0.,0.,1.)); #453544=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #453545=DIRECTION('',(0.,0.,1.)); #453546=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #453547=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #453548=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #453549=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #453550=DIRECTION('',(0.,0.,1.)); #453551=DIRECTION('center_axis',(0.,0.,1.)); #453552=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #453553=DIRECTION('center_axis',(0.,0.,-1.)); #453554=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #453555=DIRECTION('center_axis',(0.,0.,1.)); #453556=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #453557=DIRECTION('center_axis',(0.,0.,1.)); #453558=DIRECTION('ref_axis',(1.,0.,0.)); #453559=DIRECTION('center_axis',(0.,0.,1.)); #453560=DIRECTION('ref_axis',(1.,0.,0.)); #453561=DIRECTION('',(0.,0.,1.)); #453562=DIRECTION('center_axis',(0.,0.,-1.)); #453563=DIRECTION('ref_axis',(1.,0.,0.)); #453564=DIRECTION('center_axis',(0.,0.,1.)); #453565=DIRECTION('ref_axis',(1.,0.,0.)); #453566=DIRECTION('center_axis',(0.,0.,1.)); #453567=DIRECTION('ref_axis',(1.,0.,0.)); #453568=DIRECTION('',(0.,0.,1.)); #453569=DIRECTION('center_axis',(0.,0.,-1.)); #453570=DIRECTION('ref_axis',(1.,0.,0.)); #453571=DIRECTION('center_axis',(0.,0.,1.)); #453572=DIRECTION('ref_axis',(1.,0.,0.)); #453573=DIRECTION('center_axis',(0.,0.,1.)); #453574=DIRECTION('ref_axis',(1.,0.,0.)); #453575=DIRECTION('',(0.,0.,1.)); #453576=DIRECTION('center_axis',(0.,0.,-1.)); #453577=DIRECTION('ref_axis',(1.,0.,0.)); #453578=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #453579=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #453580=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #453581=DIRECTION('',(0.,0.,1.)); #453582=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #453583=DIRECTION('',(0.,0.,1.)); #453584=DIRECTION('center_axis',(0.,0.,1.)); #453585=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #453586=DIRECTION('center_axis',(0.,0.,-1.)); #453587=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #453588=DIRECTION('center_axis',(0.,0.,1.)); #453589=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #453590=DIRECTION('',(0.,0.,1.)); #453591=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #453592=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #453593=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #453594=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #453595=DIRECTION('',(0.,0.,1.)); #453596=DIRECTION('center_axis',(0.,0.,1.)); #453597=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #453598=DIRECTION('center_axis',(0.,0.,-1.)); #453599=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #453600=DIRECTION('center_axis',(0.,0.,1.)); #453601=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #453602=DIRECTION('center_axis',(0.,0.,1.)); #453603=DIRECTION('ref_axis',(1.,0.,0.)); #453604=DIRECTION('center_axis',(0.,0.,1.)); #453605=DIRECTION('ref_axis',(1.,0.,0.)); #453606=DIRECTION('',(0.,0.,1.)); #453607=DIRECTION('center_axis',(0.,0.,-1.)); #453608=DIRECTION('ref_axis',(1.,0.,0.)); #453609=DIRECTION('center_axis',(0.,0.,1.)); #453610=DIRECTION('ref_axis',(1.,0.,0.)); #453611=DIRECTION('center_axis',(0.,0.,1.)); #453612=DIRECTION('ref_axis',(1.,0.,0.)); #453613=DIRECTION('',(0.,0.,1.)); #453614=DIRECTION('center_axis',(0.,0.,-1.)); #453615=DIRECTION('ref_axis',(1.,0.,0.)); #453616=DIRECTION('center_axis',(0.,0.,1.)); #453617=DIRECTION('ref_axis',(1.,0.,0.)); #453618=DIRECTION('center_axis',(0.,0.,1.)); #453619=DIRECTION('ref_axis',(1.,0.,0.)); #453620=DIRECTION('',(0.,0.,1.)); #453621=DIRECTION('center_axis',(0.,0.,-1.)); #453622=DIRECTION('ref_axis',(1.,0.,0.)); #453623=DIRECTION('center_axis',(0.,0.,1.)); #453624=DIRECTION('ref_axis',(1.,0.,0.)); #453625=DIRECTION('center_axis',(0.,0.,1.)); #453626=DIRECTION('ref_axis',(1.,0.,0.)); #453627=DIRECTION('',(0.,0.,1.)); #453628=DIRECTION('center_axis',(0.,0.,-1.)); #453629=DIRECTION('ref_axis',(1.,0.,0.)); #453630=DIRECTION('center_axis',(0.,0.,1.)); #453631=DIRECTION('ref_axis',(1.,0.,0.)); #453632=DIRECTION('center_axis',(0.,0.,1.)); #453633=DIRECTION('ref_axis',(1.,0.,0.)); #453634=DIRECTION('',(0.,0.,1.)); #453635=DIRECTION('center_axis',(0.,0.,-1.)); #453636=DIRECTION('ref_axis',(1.,0.,0.)); #453637=DIRECTION('center_axis',(0.,0.,1.)); #453638=DIRECTION('ref_axis',(1.,0.,0.)); #453639=DIRECTION('center_axis',(0.,0.,1.)); #453640=DIRECTION('ref_axis',(1.,0.,0.)); #453641=DIRECTION('',(0.,0.,1.)); #453642=DIRECTION('center_axis',(0.,0.,-1.)); #453643=DIRECTION('ref_axis',(1.,0.,0.)); #453644=DIRECTION('center_axis',(0.,0.,1.)); #453645=DIRECTION('ref_axis',(1.,0.,0.)); #453646=DIRECTION('center_axis',(0.,0.,1.)); #453647=DIRECTION('ref_axis',(1.,0.,0.)); #453648=DIRECTION('',(0.,0.,1.)); #453649=DIRECTION('center_axis',(0.,0.,-1.)); #453650=DIRECTION('ref_axis',(1.,0.,0.)); #453651=DIRECTION('center_axis',(0.,0.,1.)); #453652=DIRECTION('ref_axis',(1.,0.,0.)); #453653=DIRECTION('center_axis',(0.,0.,1.)); #453654=DIRECTION('ref_axis',(1.,0.,0.)); #453655=DIRECTION('',(0.,0.,1.)); #453656=DIRECTION('center_axis',(0.,0.,-1.)); #453657=DIRECTION('ref_axis',(1.,0.,0.)); #453658=DIRECTION('center_axis',(0.,0.,1.)); #453659=DIRECTION('ref_axis',(1.,0.,0.)); #453660=DIRECTION('center_axis',(0.,0.,1.)); #453661=DIRECTION('ref_axis',(1.,0.,0.)); #453662=DIRECTION('',(0.,0.,1.)); #453663=DIRECTION('center_axis',(0.,0.,-1.)); #453664=DIRECTION('ref_axis',(1.,0.,0.)); #453665=DIRECTION('center_axis',(0.,0.,1.)); #453666=DIRECTION('ref_axis',(1.,0.,0.)); #453667=DIRECTION('center_axis',(0.,0.,1.)); #453668=DIRECTION('ref_axis',(1.,0.,0.)); #453669=DIRECTION('',(0.,0.,1.)); #453670=DIRECTION('center_axis',(0.,0.,-1.)); #453671=DIRECTION('ref_axis',(1.,0.,0.)); #453672=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #453673=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #453674=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453675=DIRECTION('',(0.,0.,1.)); #453676=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453677=DIRECTION('',(0.,0.,1.)); #453678=DIRECTION('center_axis',(0.,0.,1.)); #453679=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453680=DIRECTION('center_axis',(0.,0.,-1.)); #453681=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453682=DIRECTION('center_axis',(0.,0.,1.)); #453683=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453684=DIRECTION('',(0.,0.,1.)); #453685=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #453686=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #453687=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453688=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453689=DIRECTION('',(0.,0.,1.)); #453690=DIRECTION('center_axis',(0.,0.,1.)); #453691=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453692=DIRECTION('center_axis',(0.,0.,-1.)); #453693=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453694=DIRECTION('center_axis',(0.,0.,1.)); #453695=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453696=DIRECTION('center_axis',(0.,0.,1.)); #453697=DIRECTION('ref_axis',(1.,0.,0.)); #453698=DIRECTION('center_axis',(0.,0.,1.)); #453699=DIRECTION('ref_axis',(1.,0.,0.)); #453700=DIRECTION('',(0.,0.,1.)); #453701=DIRECTION('center_axis',(0.,0.,-1.)); #453702=DIRECTION('ref_axis',(1.,0.,0.)); #453703=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #453704=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #453705=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453706=DIRECTION('',(0.,0.,1.)); #453707=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453708=DIRECTION('',(0.,0.,1.)); #453709=DIRECTION('center_axis',(0.,0.,1.)); #453710=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453711=DIRECTION('center_axis',(0.,0.,-1.)); #453712=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453713=DIRECTION('center_axis',(0.,0.,1.)); #453714=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453715=DIRECTION('',(0.,0.,1.)); #453716=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #453717=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #453718=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453719=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453720=DIRECTION('',(0.,0.,1.)); #453721=DIRECTION('center_axis',(0.,0.,1.)); #453722=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453723=DIRECTION('center_axis',(0.,0.,-1.)); #453724=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453725=DIRECTION('center_axis',(0.,0.,1.)); #453726=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453727=DIRECTION('center_axis',(0.,0.,1.)); #453728=DIRECTION('ref_axis',(1.,0.,0.)); #453729=DIRECTION('center_axis',(0.,0.,1.)); #453730=DIRECTION('ref_axis',(1.,0.,0.)); #453731=DIRECTION('',(0.,0.,1.)); #453732=DIRECTION('center_axis',(0.,0.,-1.)); #453733=DIRECTION('ref_axis',(1.,0.,0.)); #453734=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #453735=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #453736=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453737=DIRECTION('',(0.,0.,1.)); #453738=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453739=DIRECTION('',(0.,0.,1.)); #453740=DIRECTION('center_axis',(0.,0.,1.)); #453741=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453742=DIRECTION('center_axis',(0.,0.,-1.)); #453743=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453744=DIRECTION('center_axis',(0.,0.,1.)); #453745=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #453746=DIRECTION('',(0.,0.,1.)); #453747=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #453748=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #453749=DIRECTION('',(5.55111499297693E-15,1.,0.)); #453750=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #453751=DIRECTION('',(0.,0.,1.)); #453752=DIRECTION('center_axis',(0.,0.,1.)); #453753=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453754=DIRECTION('center_axis',(0.,0.,-1.)); #453755=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453756=DIRECTION('center_axis',(0.,0.,1.)); #453757=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #453758=DIRECTION('center_axis',(0.,0.,1.)); #453759=DIRECTION('ref_axis',(1.,0.,0.)); #453760=DIRECTION('center_axis',(0.,0.,1.)); #453761=DIRECTION('ref_axis',(1.,0.,0.)); #453762=DIRECTION('',(0.,0.,1.)); #453763=DIRECTION('center_axis',(0.,0.,-1.)); #453764=DIRECTION('ref_axis',(1.,0.,0.)); #453765=DIRECTION('center_axis',(0.,0.,1.)); #453766=DIRECTION('ref_axis',(1.,0.,0.)); #453767=DIRECTION('center_axis',(0.,0.,1.)); #453768=DIRECTION('ref_axis',(1.,0.,0.)); #453769=DIRECTION('',(0.,0.,1.)); #453770=DIRECTION('center_axis',(0.,0.,-1.)); #453771=DIRECTION('ref_axis',(1.,0.,0.)); #453772=DIRECTION('center_axis',(0.,0.,1.)); #453773=DIRECTION('ref_axis',(1.,0.,0.)); #453774=DIRECTION('center_axis',(0.,0.,1.)); #453775=DIRECTION('ref_axis',(1.,0.,0.)); #453776=DIRECTION('',(0.,0.,1.)); #453777=DIRECTION('center_axis',(0.,0.,-1.)); #453778=DIRECTION('ref_axis',(1.,0.,0.)); #453779=DIRECTION('center_axis',(0.,0.,1.)); #453780=DIRECTION('ref_axis',(1.,0.,0.)); #453781=DIRECTION('center_axis',(0.,0.,1.)); #453782=DIRECTION('ref_axis',(1.,0.,0.)); #453783=DIRECTION('',(0.,0.,1.)); #453784=DIRECTION('center_axis',(0.,0.,-1.)); #453785=DIRECTION('ref_axis',(1.,0.,0.)); #453786=DIRECTION('center_axis',(0.,0.,1.)); #453787=DIRECTION('ref_axis',(1.,0.,0.)); #453788=DIRECTION('center_axis',(0.,0.,1.)); #453789=DIRECTION('ref_axis',(1.,0.,0.)); #453790=DIRECTION('',(0.,0.,1.)); #453791=DIRECTION('center_axis',(0.,0.,-1.)); #453792=DIRECTION('ref_axis',(1.,0.,0.)); #453793=DIRECTION('center_axis',(0.,0.,1.)); #453794=DIRECTION('ref_axis',(1.,0.,0.)); #453795=DIRECTION('center_axis',(0.,0.,1.)); #453796=DIRECTION('ref_axis',(1.,0.,0.)); #453797=DIRECTION('',(0.,0.,1.)); #453798=DIRECTION('center_axis',(0.,0.,-1.)); #453799=DIRECTION('ref_axis',(1.,0.,0.)); #453800=DIRECTION('center_axis',(0.,0.,1.)); #453801=DIRECTION('ref_axis',(1.,0.,0.)); #453802=DIRECTION('center_axis',(0.,0.,1.)); #453803=DIRECTION('ref_axis',(1.,0.,0.)); #453804=DIRECTION('',(0.,0.,1.)); #453805=DIRECTION('center_axis',(0.,0.,-1.)); #453806=DIRECTION('ref_axis',(1.,0.,0.)); #453807=DIRECTION('center_axis',(0.,0.,1.)); #453808=DIRECTION('ref_axis',(1.,0.,0.)); #453809=DIRECTION('center_axis',(0.,0.,1.)); #453810=DIRECTION('ref_axis',(1.,0.,0.)); #453811=DIRECTION('',(0.,0.,1.)); #453812=DIRECTION('center_axis',(0.,0.,-1.)); #453813=DIRECTION('ref_axis',(1.,0.,0.)); #453814=DIRECTION('center_axis',(0.,0.,1.)); #453815=DIRECTION('ref_axis',(1.,0.,0.)); #453816=DIRECTION('center_axis',(0.,0.,1.)); #453817=DIRECTION('ref_axis',(1.,0.,0.)); #453818=DIRECTION('',(0.,0.,1.)); #453819=DIRECTION('center_axis',(0.,0.,-1.)); #453820=DIRECTION('ref_axis',(1.,0.,0.)); #453821=DIRECTION('center_axis',(0.,0.,1.)); #453822=DIRECTION('ref_axis',(1.,0.,0.)); #453823=DIRECTION('center_axis',(0.,0.,1.)); #453824=DIRECTION('ref_axis',(1.,0.,0.)); #453825=DIRECTION('',(0.,0.,1.)); #453826=DIRECTION('center_axis',(0.,0.,-1.)); #453827=DIRECTION('ref_axis',(1.,0.,0.)); #453828=DIRECTION('center_axis',(0.,0.,1.)); #453829=DIRECTION('ref_axis',(1.,0.,0.)); #453830=DIRECTION('center_axis',(0.,0.,1.)); #453831=DIRECTION('ref_axis',(1.,0.,0.)); #453832=DIRECTION('',(0.,0.,1.)); #453833=DIRECTION('center_axis',(0.,0.,-1.)); #453834=DIRECTION('ref_axis',(1.,0.,0.)); #453835=DIRECTION('center_axis',(0.,0.,1.)); #453836=DIRECTION('ref_axis',(1.,0.,0.)); #453837=DIRECTION('center_axis',(0.,0.,1.)); #453838=DIRECTION('ref_axis',(1.,0.,0.)); #453839=DIRECTION('',(0.,0.,1.)); #453840=DIRECTION('center_axis',(0.,0.,-1.)); #453841=DIRECTION('ref_axis',(1.,0.,0.)); #453842=DIRECTION('center_axis',(0.,0.,1.)); #453843=DIRECTION('ref_axis',(1.,0.,0.)); #453844=DIRECTION('center_axis',(0.,0.,1.)); #453845=DIRECTION('ref_axis',(1.,0.,0.)); #453846=DIRECTION('',(0.,0.,1.)); #453847=DIRECTION('center_axis',(0.,0.,-1.)); #453848=DIRECTION('ref_axis',(1.,0.,0.)); #453849=DIRECTION('center_axis',(0.,0.,1.)); #453850=DIRECTION('ref_axis',(1.,0.,0.)); #453851=DIRECTION('center_axis',(0.,0.,1.)); #453852=DIRECTION('ref_axis',(1.,0.,0.)); #453853=DIRECTION('',(0.,0.,1.)); #453854=DIRECTION('center_axis',(0.,0.,-1.)); #453855=DIRECTION('ref_axis',(1.,0.,0.)); #453856=DIRECTION('center_axis',(0.,0.,1.)); #453857=DIRECTION('ref_axis',(1.,0.,0.)); #453858=DIRECTION('center_axis',(0.,0.,1.)); #453859=DIRECTION('ref_axis',(1.,0.,0.)); #453860=DIRECTION('',(0.,0.,1.)); #453861=DIRECTION('center_axis',(0.,0.,-1.)); #453862=DIRECTION('ref_axis',(1.,0.,0.)); #453863=DIRECTION('center_axis',(0.,0.,1.)); #453864=DIRECTION('ref_axis',(1.,0.,0.)); #453865=DIRECTION('center_axis',(0.,0.,1.)); #453866=DIRECTION('ref_axis',(1.,0.,0.)); #453867=DIRECTION('',(0.,0.,1.)); #453868=DIRECTION('center_axis',(0.,0.,-1.)); #453869=DIRECTION('ref_axis',(1.,0.,0.)); #453870=DIRECTION('center_axis',(0.,0.,1.)); #453871=DIRECTION('ref_axis',(1.,0.,0.)); #453872=DIRECTION('center_axis',(0.,0.,1.)); #453873=DIRECTION('ref_axis',(1.,0.,0.)); #453874=DIRECTION('',(0.,0.,1.)); #453875=DIRECTION('center_axis',(0.,0.,-1.)); #453876=DIRECTION('ref_axis',(1.,0.,0.)); #453877=DIRECTION('center_axis',(0.,0.,1.)); #453878=DIRECTION('ref_axis',(1.,0.,0.)); #453879=DIRECTION('center_axis',(0.,0.,1.)); #453880=DIRECTION('ref_axis',(1.,0.,0.)); #453881=DIRECTION('',(0.,0.,1.)); #453882=DIRECTION('center_axis',(0.,0.,-1.)); #453883=DIRECTION('ref_axis',(1.,0.,0.)); #453884=DIRECTION('center_axis',(0.,0.,1.)); #453885=DIRECTION('ref_axis',(1.,0.,0.)); #453886=DIRECTION('center_axis',(0.,0.,1.)); #453887=DIRECTION('ref_axis',(1.,0.,0.)); #453888=DIRECTION('',(0.,0.,1.)); #453889=DIRECTION('center_axis',(0.,0.,-1.)); #453890=DIRECTION('ref_axis',(1.,0.,0.)); #453891=DIRECTION('center_axis',(0.,0.,1.)); #453892=DIRECTION('ref_axis',(1.,0.,0.)); #453893=DIRECTION('center_axis',(0.,0.,1.)); #453894=DIRECTION('ref_axis',(1.,0.,0.)); #453895=DIRECTION('',(0.,0.,1.)); #453896=DIRECTION('center_axis',(0.,0.,-1.)); #453897=DIRECTION('ref_axis',(1.,0.,0.)); #453898=DIRECTION('center_axis',(0.,0.,1.)); #453899=DIRECTION('ref_axis',(1.,0.,0.)); #453900=DIRECTION('center_axis',(0.,0.,1.)); #453901=DIRECTION('ref_axis',(1.,0.,0.)); #453902=DIRECTION('',(0.,0.,1.)); #453903=DIRECTION('center_axis',(0.,0.,-1.)); #453904=DIRECTION('ref_axis',(1.,0.,0.)); #453905=DIRECTION('center_axis',(0.,0.,1.)); #453906=DIRECTION('ref_axis',(1.,0.,0.)); #453907=DIRECTION('center_axis',(0.,0.,1.)); #453908=DIRECTION('ref_axis',(1.,0.,0.)); #453909=DIRECTION('',(0.,0.,1.)); #453910=DIRECTION('center_axis',(0.,0.,-1.)); #453911=DIRECTION('ref_axis',(1.,0.,0.)); #453912=DIRECTION('center_axis',(0.,0.,1.)); #453913=DIRECTION('ref_axis',(1.,0.,0.)); #453914=DIRECTION('center_axis',(0.,0.,1.)); #453915=DIRECTION('ref_axis',(1.,0.,0.)); #453916=DIRECTION('',(0.,0.,1.)); #453917=DIRECTION('center_axis',(0.,0.,-1.)); #453918=DIRECTION('ref_axis',(1.,0.,0.)); #453919=DIRECTION('center_axis',(0.,0.,1.)); #453920=DIRECTION('ref_axis',(1.,0.,0.)); #453921=DIRECTION('center_axis',(0.,0.,1.)); #453922=DIRECTION('ref_axis',(1.,0.,0.)); #453923=DIRECTION('',(0.,0.,1.)); #453924=DIRECTION('center_axis',(0.,0.,-1.)); #453925=DIRECTION('ref_axis',(1.,0.,0.)); #453926=DIRECTION('center_axis',(0.,0.,1.)); #453927=DIRECTION('ref_axis',(1.,0.,0.)); #453928=DIRECTION('center_axis',(0.,0.,1.)); #453929=DIRECTION('ref_axis',(1.,0.,0.)); #453930=DIRECTION('',(0.,0.,1.)); #453931=DIRECTION('center_axis',(0.,0.,-1.)); #453932=DIRECTION('ref_axis',(1.,0.,0.)); #453933=DIRECTION('center_axis',(0.,0.,1.)); #453934=DIRECTION('ref_axis',(1.,0.,0.)); #453935=DIRECTION('center_axis',(0.,0.,1.)); #453936=DIRECTION('ref_axis',(1.,0.,0.)); #453937=DIRECTION('',(0.,0.,1.)); #453938=DIRECTION('center_axis',(0.,0.,-1.)); #453939=DIRECTION('ref_axis',(1.,0.,0.)); #453940=DIRECTION('center_axis',(0.,0.,1.)); #453941=DIRECTION('ref_axis',(1.,0.,0.)); #453942=DIRECTION('center_axis',(0.,0.,1.)); #453943=DIRECTION('ref_axis',(1.,0.,0.)); #453944=DIRECTION('',(0.,0.,1.)); #453945=DIRECTION('center_axis',(0.,0.,-1.)); #453946=DIRECTION('ref_axis',(1.,0.,0.)); #453947=DIRECTION('center_axis',(0.,0.,1.)); #453948=DIRECTION('ref_axis',(1.,0.,0.)); #453949=DIRECTION('center_axis',(0.,0.,1.)); #453950=DIRECTION('ref_axis',(1.,0.,0.)); #453951=DIRECTION('',(0.,0.,1.)); #453952=DIRECTION('center_axis',(0.,0.,-1.)); #453953=DIRECTION('ref_axis',(1.,0.,0.)); #453954=DIRECTION('center_axis',(0.,0.,1.)); #453955=DIRECTION('ref_axis',(1.,0.,0.)); #453956=DIRECTION('center_axis',(0.,0.,1.)); #453957=DIRECTION('ref_axis',(1.,0.,0.)); #453958=DIRECTION('',(0.,0.,1.)); #453959=DIRECTION('center_axis',(0.,0.,-1.)); #453960=DIRECTION('ref_axis',(1.,0.,0.)); #453961=DIRECTION('center_axis',(0.,0.,1.)); #453962=DIRECTION('ref_axis',(1.,0.,0.)); #453963=DIRECTION('center_axis',(0.,0.,1.)); #453964=DIRECTION('ref_axis',(1.,0.,0.)); #453965=DIRECTION('',(0.,0.,1.)); #453966=DIRECTION('center_axis',(0.,0.,-1.)); #453967=DIRECTION('ref_axis',(1.,0.,0.)); #453968=DIRECTION('center_axis',(0.,0.,1.)); #453969=DIRECTION('ref_axis',(1.,0.,0.)); #453970=DIRECTION('center_axis',(0.,0.,1.)); #453971=DIRECTION('ref_axis',(1.,0.,0.)); #453972=DIRECTION('',(0.,0.,1.)); #453973=DIRECTION('center_axis',(0.,0.,-1.)); #453974=DIRECTION('ref_axis',(1.,0.,0.)); #453975=DIRECTION('center_axis',(0.,0.,1.)); #453976=DIRECTION('ref_axis',(1.,0.,0.)); #453977=DIRECTION('center_axis',(0.,0.,1.)); #453978=DIRECTION('ref_axis',(1.,0.,0.)); #453979=DIRECTION('',(0.,0.,1.)); #453980=DIRECTION('center_axis',(0.,0.,-1.)); #453981=DIRECTION('ref_axis',(1.,0.,0.)); #453982=DIRECTION('center_axis',(0.,0.,1.)); #453983=DIRECTION('ref_axis',(1.,0.,0.)); #453984=DIRECTION('center_axis',(0.,0.,1.)); #453985=DIRECTION('ref_axis',(1.,0.,0.)); #453986=DIRECTION('',(0.,0.,1.)); #453987=DIRECTION('center_axis',(0.,0.,-1.)); #453988=DIRECTION('ref_axis',(1.,0.,0.)); #453989=DIRECTION('center_axis',(0.,0.,1.)); #453990=DIRECTION('ref_axis',(1.,0.,0.)); #453991=DIRECTION('center_axis',(0.,0.,1.)); #453992=DIRECTION('ref_axis',(1.,0.,0.)); #453993=DIRECTION('',(0.,0.,1.)); #453994=DIRECTION('center_axis',(0.,0.,-1.)); #453995=DIRECTION('ref_axis',(1.,0.,0.)); #453996=DIRECTION('center_axis',(0.,0.,1.)); #453997=DIRECTION('ref_axis',(1.,0.,0.)); #453998=DIRECTION('center_axis',(0.,0.,1.)); #453999=DIRECTION('ref_axis',(1.,0.,0.)); #454000=DIRECTION('',(0.,0.,1.)); #454001=DIRECTION('center_axis',(0.,0.,-1.)); #454002=DIRECTION('ref_axis',(1.,0.,0.)); #454003=DIRECTION('center_axis',(0.,0.,1.)); #454004=DIRECTION('ref_axis',(1.,0.,0.)); #454005=DIRECTION('center_axis',(0.,0.,1.)); #454006=DIRECTION('ref_axis',(1.,0.,0.)); #454007=DIRECTION('',(0.,0.,1.)); #454008=DIRECTION('center_axis',(0.,0.,-1.)); #454009=DIRECTION('ref_axis',(1.,0.,0.)); #454010=DIRECTION('center_axis',(0.,0.,1.)); #454011=DIRECTION('ref_axis',(1.,0.,0.)); #454012=DIRECTION('center_axis',(0.,0.,1.)); #454013=DIRECTION('ref_axis',(1.,0.,0.)); #454014=DIRECTION('',(0.,0.,1.)); #454015=DIRECTION('center_axis',(0.,0.,-1.)); #454016=DIRECTION('ref_axis',(1.,0.,0.)); #454017=DIRECTION('center_axis',(0.,0.,1.)); #454018=DIRECTION('ref_axis',(1.,0.,0.)); #454019=DIRECTION('center_axis',(0.,0.,1.)); #454020=DIRECTION('ref_axis',(1.,0.,0.)); #454021=DIRECTION('',(0.,0.,1.)); #454022=DIRECTION('center_axis',(0.,0.,-1.)); #454023=DIRECTION('ref_axis',(1.,0.,0.)); #454024=DIRECTION('center_axis',(0.,0.,1.)); #454025=DIRECTION('ref_axis',(1.,0.,0.)); #454026=DIRECTION('center_axis',(0.,0.,1.)); #454027=DIRECTION('ref_axis',(1.,0.,0.)); #454028=DIRECTION('',(0.,0.,1.)); #454029=DIRECTION('center_axis',(0.,0.,-1.)); #454030=DIRECTION('ref_axis',(1.,0.,0.)); #454031=DIRECTION('center_axis',(0.,0.,1.)); #454032=DIRECTION('ref_axis',(1.,0.,0.)); #454033=DIRECTION('center_axis',(0.,0.,1.)); #454034=DIRECTION('ref_axis',(1.,0.,0.)); #454035=DIRECTION('',(0.,0.,1.)); #454036=DIRECTION('center_axis',(0.,0.,-1.)); #454037=DIRECTION('ref_axis',(1.,0.,0.)); #454038=DIRECTION('center_axis',(0.,0.,1.)); #454039=DIRECTION('ref_axis',(1.,0.,0.)); #454040=DIRECTION('center_axis',(0.,0.,1.)); #454041=DIRECTION('ref_axis',(1.,0.,0.)); #454042=DIRECTION('',(0.,0.,1.)); #454043=DIRECTION('center_axis',(0.,0.,-1.)); #454044=DIRECTION('ref_axis',(1.,0.,0.)); #454045=DIRECTION('center_axis',(0.,0.,1.)); #454046=DIRECTION('ref_axis',(1.,0.,0.)); #454047=DIRECTION('center_axis',(0.,0.,1.)); #454048=DIRECTION('ref_axis',(1.,0.,0.)); #454049=DIRECTION('',(0.,0.,1.)); #454050=DIRECTION('center_axis',(0.,0.,-1.)); #454051=DIRECTION('ref_axis',(1.,0.,0.)); #454052=DIRECTION('center_axis',(0.,0.,1.)); #454053=DIRECTION('ref_axis',(1.,0.,0.)); #454054=DIRECTION('center_axis',(0.,0.,1.)); #454055=DIRECTION('ref_axis',(1.,0.,0.)); #454056=DIRECTION('',(0.,0.,1.)); #454057=DIRECTION('center_axis',(0.,0.,-1.)); #454058=DIRECTION('ref_axis',(1.,0.,0.)); #454059=DIRECTION('center_axis',(0.,0.,1.)); #454060=DIRECTION('ref_axis',(1.,0.,0.)); #454061=DIRECTION('center_axis',(0.,0.,1.)); #454062=DIRECTION('ref_axis',(1.,0.,0.)); #454063=DIRECTION('',(0.,0.,1.)); #454064=DIRECTION('center_axis',(0.,0.,-1.)); #454065=DIRECTION('ref_axis',(1.,0.,0.)); #454066=DIRECTION('center_axis',(0.,0.,1.)); #454067=DIRECTION('ref_axis',(1.,0.,0.)); #454068=DIRECTION('center_axis',(0.,0.,1.)); #454069=DIRECTION('ref_axis',(1.,0.,0.)); #454070=DIRECTION('',(0.,0.,1.)); #454071=DIRECTION('center_axis',(0.,0.,-1.)); #454072=DIRECTION('ref_axis',(1.,0.,0.)); #454073=DIRECTION('center_axis',(0.,0.,1.)); #454074=DIRECTION('ref_axis',(1.,0.,0.)); #454075=DIRECTION('center_axis',(0.,0.,1.)); #454076=DIRECTION('ref_axis',(1.,0.,0.)); #454077=DIRECTION('',(0.,0.,1.)); #454078=DIRECTION('center_axis',(0.,0.,-1.)); #454079=DIRECTION('ref_axis',(1.,0.,0.)); #454080=DIRECTION('center_axis',(0.,0.,1.)); #454081=DIRECTION('ref_axis',(1.,0.,0.)); #454082=DIRECTION('center_axis',(0.,0.,1.)); #454083=DIRECTION('ref_axis',(1.,0.,0.)); #454084=DIRECTION('',(0.,0.,1.)); #454085=DIRECTION('center_axis',(0.,0.,-1.)); #454086=DIRECTION('ref_axis',(1.,0.,0.)); #454087=DIRECTION('center_axis',(0.,0.,1.)); #454088=DIRECTION('ref_axis',(1.,0.,0.)); #454089=DIRECTION('center_axis',(0.,0.,1.)); #454090=DIRECTION('ref_axis',(1.,0.,0.)); #454091=DIRECTION('',(0.,0.,1.)); #454092=DIRECTION('center_axis',(0.,0.,-1.)); #454093=DIRECTION('ref_axis',(1.,0.,0.)); #454094=DIRECTION('center_axis',(0.,0.,1.)); #454095=DIRECTION('ref_axis',(1.,0.,0.)); #454096=DIRECTION('center_axis',(0.,0.,1.)); #454097=DIRECTION('ref_axis',(1.,0.,0.)); #454098=DIRECTION('',(0.,0.,1.)); #454099=DIRECTION('center_axis',(0.,0.,-1.)); #454100=DIRECTION('ref_axis',(1.,0.,0.)); #454101=DIRECTION('center_axis',(0.,0.,1.)); #454102=DIRECTION('ref_axis',(1.,0.,0.)); #454103=DIRECTION('center_axis',(0.,0.,1.)); #454104=DIRECTION('ref_axis',(1.,0.,0.)); #454105=DIRECTION('',(0.,0.,1.)); #454106=DIRECTION('center_axis',(0.,0.,-1.)); #454107=DIRECTION('ref_axis',(1.,0.,0.)); #454108=DIRECTION('center_axis',(0.,0.,1.)); #454109=DIRECTION('ref_axis',(1.,0.,0.)); #454110=DIRECTION('center_axis',(0.,0.,1.)); #454111=DIRECTION('ref_axis',(1.,0.,0.)); #454112=DIRECTION('',(0.,0.,1.)); #454113=DIRECTION('center_axis',(0.,0.,-1.)); #454114=DIRECTION('ref_axis',(1.,0.,0.)); #454115=DIRECTION('center_axis',(0.,0.,1.)); #454116=DIRECTION('ref_axis',(1.,0.,0.)); #454117=DIRECTION('center_axis',(0.,0.,1.)); #454118=DIRECTION('ref_axis',(1.,0.,0.)); #454119=DIRECTION('',(0.,0.,1.)); #454120=DIRECTION('center_axis',(0.,0.,-1.)); #454121=DIRECTION('ref_axis',(1.,0.,0.)); #454122=DIRECTION('center_axis',(0.,0.,1.)); #454123=DIRECTION('ref_axis',(1.,0.,0.)); #454124=DIRECTION('center_axis',(0.,0.,1.)); #454125=DIRECTION('ref_axis',(1.,0.,0.)); #454126=DIRECTION('',(0.,0.,1.)); #454127=DIRECTION('center_axis',(0.,0.,-1.)); #454128=DIRECTION('ref_axis',(1.,0.,0.)); #454129=DIRECTION('center_axis',(0.,0.,1.)); #454130=DIRECTION('ref_axis',(1.,0.,0.)); #454131=DIRECTION('center_axis',(0.,0.,1.)); #454132=DIRECTION('ref_axis',(1.,0.,0.)); #454133=DIRECTION('',(0.,0.,1.)); #454134=DIRECTION('center_axis',(0.,0.,-1.)); #454135=DIRECTION('ref_axis',(1.,0.,0.)); #454136=DIRECTION('center_axis',(0.,0.,1.)); #454137=DIRECTION('ref_axis',(1.,0.,0.)); #454138=DIRECTION('center_axis',(0.,0.,1.)); #454139=DIRECTION('ref_axis',(1.,0.,0.)); #454140=DIRECTION('',(0.,0.,1.)); #454141=DIRECTION('center_axis',(0.,0.,-1.)); #454142=DIRECTION('ref_axis',(1.,0.,0.)); #454143=DIRECTION('center_axis',(0.,0.,1.)); #454144=DIRECTION('ref_axis',(1.,0.,0.)); #454145=DIRECTION('center_axis',(0.,0.,1.)); #454146=DIRECTION('ref_axis',(1.,0.,0.)); #454147=DIRECTION('',(0.,0.,1.)); #454148=DIRECTION('center_axis',(0.,0.,-1.)); #454149=DIRECTION('ref_axis',(1.,0.,0.)); #454150=DIRECTION('center_axis',(0.,0.,1.)); #454151=DIRECTION('ref_axis',(1.,0.,0.)); #454152=DIRECTION('center_axis',(0.,0.,1.)); #454153=DIRECTION('ref_axis',(1.,0.,0.)); #454154=DIRECTION('',(0.,0.,1.)); #454155=DIRECTION('center_axis',(0.,0.,-1.)); #454156=DIRECTION('ref_axis',(1.,0.,0.)); #454157=DIRECTION('center_axis',(0.,0.,1.)); #454158=DIRECTION('ref_axis',(1.,0.,0.)); #454159=DIRECTION('center_axis',(0.,0.,1.)); #454160=DIRECTION('ref_axis',(1.,0.,0.)); #454161=DIRECTION('',(0.,0.,1.)); #454162=DIRECTION('center_axis',(0.,0.,-1.)); #454163=DIRECTION('ref_axis',(1.,0.,0.)); #454164=DIRECTION('center_axis',(0.,0.,1.)); #454165=DIRECTION('ref_axis',(1.,0.,0.)); #454166=DIRECTION('center_axis',(0.,0.,1.)); #454167=DIRECTION('ref_axis',(1.,0.,0.)); #454168=DIRECTION('',(0.,0.,1.)); #454169=DIRECTION('center_axis',(0.,0.,-1.)); #454170=DIRECTION('ref_axis',(1.,0.,0.)); #454171=DIRECTION('center_axis',(0.,0.,1.)); #454172=DIRECTION('ref_axis',(1.,0.,0.)); #454173=DIRECTION('center_axis',(0.,0.,1.)); #454174=DIRECTION('ref_axis',(1.,0.,0.)); #454175=DIRECTION('',(0.,0.,1.)); #454176=DIRECTION('center_axis',(0.,0.,-1.)); #454177=DIRECTION('ref_axis',(1.,0.,0.)); #454178=DIRECTION('center_axis',(0.,0.,1.)); #454179=DIRECTION('ref_axis',(1.,0.,0.)); #454180=DIRECTION('center_axis',(0.,0.,1.)); #454181=DIRECTION('ref_axis',(1.,0.,0.)); #454182=DIRECTION('',(0.,0.,1.)); #454183=DIRECTION('center_axis',(0.,0.,-1.)); #454184=DIRECTION('ref_axis',(1.,0.,0.)); #454185=DIRECTION('center_axis',(0.,0.,1.)); #454186=DIRECTION('ref_axis',(1.,0.,0.)); #454187=DIRECTION('center_axis',(0.,0.,1.)); #454188=DIRECTION('ref_axis',(1.,0.,0.)); #454189=DIRECTION('',(0.,0.,1.)); #454190=DIRECTION('center_axis',(0.,0.,-1.)); #454191=DIRECTION('ref_axis',(1.,0.,0.)); #454192=DIRECTION('center_axis',(0.,0.,1.)); #454193=DIRECTION('ref_axis',(1.,0.,0.)); #454194=DIRECTION('center_axis',(0.,0.,1.)); #454195=DIRECTION('ref_axis',(1.,0.,0.)); #454196=DIRECTION('',(0.,0.,1.)); #454197=DIRECTION('center_axis',(0.,0.,-1.)); #454198=DIRECTION('ref_axis',(1.,0.,0.)); #454199=DIRECTION('center_axis',(0.,0.,1.)); #454200=DIRECTION('ref_axis',(1.,0.,0.)); #454201=DIRECTION('center_axis',(0.,0.,1.)); #454202=DIRECTION('ref_axis',(1.,0.,0.)); #454203=DIRECTION('',(0.,0.,1.)); #454204=DIRECTION('center_axis',(0.,0.,-1.)); #454205=DIRECTION('ref_axis',(1.,0.,0.)); #454206=DIRECTION('center_axis',(0.,0.,1.)); #454207=DIRECTION('ref_axis',(1.,0.,0.)); #454208=DIRECTION('center_axis',(0.,0.,1.)); #454209=DIRECTION('ref_axis',(1.,0.,0.)); #454210=DIRECTION('',(0.,0.,1.)); #454211=DIRECTION('center_axis',(0.,0.,-1.)); #454212=DIRECTION('ref_axis',(1.,0.,0.)); #454213=DIRECTION('center_axis',(0.,0.,1.)); #454214=DIRECTION('ref_axis',(1.,0.,0.)); #454215=DIRECTION('center_axis',(0.,0.,1.)); #454216=DIRECTION('ref_axis',(1.,0.,0.)); #454217=DIRECTION('',(0.,0.,1.)); #454218=DIRECTION('center_axis',(0.,0.,-1.)); #454219=DIRECTION('ref_axis',(1.,0.,0.)); #454220=DIRECTION('center_axis',(0.,0.,1.)); #454221=DIRECTION('ref_axis',(1.,0.,0.)); #454222=DIRECTION('center_axis',(0.,0.,1.)); #454223=DIRECTION('ref_axis',(1.,0.,0.)); #454224=DIRECTION('',(0.,0.,1.)); #454225=DIRECTION('center_axis',(0.,0.,-1.)); #454226=DIRECTION('ref_axis',(1.,0.,0.)); #454227=DIRECTION('center_axis',(0.,0.,1.)); #454228=DIRECTION('ref_axis',(1.,0.,0.)); #454229=DIRECTION('center_axis',(0.,0.,1.)); #454230=DIRECTION('ref_axis',(1.,0.,0.)); #454231=DIRECTION('',(0.,0.,1.)); #454232=DIRECTION('center_axis',(0.,0.,-1.)); #454233=DIRECTION('ref_axis',(1.,0.,0.)); #454234=DIRECTION('center_axis',(0.,0.,1.)); #454235=DIRECTION('ref_axis',(1.,0.,0.)); #454236=DIRECTION('center_axis',(0.,0.,1.)); #454237=DIRECTION('ref_axis',(1.,0.,0.)); #454238=DIRECTION('',(0.,0.,1.)); #454239=DIRECTION('center_axis',(0.,0.,-1.)); #454240=DIRECTION('ref_axis',(1.,0.,0.)); #454241=DIRECTION('center_axis',(0.,0.,1.)); #454242=DIRECTION('ref_axis',(1.,0.,0.)); #454243=DIRECTION('center_axis',(0.,0.,1.)); #454244=DIRECTION('ref_axis',(1.,0.,0.)); #454245=DIRECTION('',(0.,0.,1.)); #454246=DIRECTION('center_axis',(0.,0.,-1.)); #454247=DIRECTION('ref_axis',(1.,0.,0.)); #454248=DIRECTION('center_axis',(0.,0.,1.)); #454249=DIRECTION('ref_axis',(1.,0.,0.)); #454250=DIRECTION('center_axis',(0.,0.,1.)); #454251=DIRECTION('ref_axis',(1.,0.,0.)); #454252=DIRECTION('',(0.,0.,1.)); #454253=DIRECTION('center_axis',(0.,0.,-1.)); #454254=DIRECTION('ref_axis',(1.,0.,0.)); #454255=DIRECTION('center_axis',(0.,0.,1.)); #454256=DIRECTION('ref_axis',(1.,0.,0.)); #454257=DIRECTION('center_axis',(0.,0.,1.)); #454258=DIRECTION('ref_axis',(1.,0.,0.)); #454259=DIRECTION('',(0.,0.,1.)); #454260=DIRECTION('center_axis',(0.,0.,-1.)); #454261=DIRECTION('ref_axis',(1.,0.,0.)); #454262=DIRECTION('center_axis',(0.,0.,1.)); #454263=DIRECTION('ref_axis',(1.,0.,0.)); #454264=DIRECTION('center_axis',(0.,0.,1.)); #454265=DIRECTION('ref_axis',(1.,0.,0.)); #454266=DIRECTION('',(0.,0.,1.)); #454267=DIRECTION('center_axis',(0.,0.,-1.)); #454268=DIRECTION('ref_axis',(1.,0.,0.)); #454269=DIRECTION('center_axis',(0.,0.,1.)); #454270=DIRECTION('ref_axis',(1.,0.,0.)); #454271=DIRECTION('center_axis',(0.,0.,1.)); #454272=DIRECTION('ref_axis',(1.,0.,0.)); #454273=DIRECTION('',(0.,0.,1.)); #454274=DIRECTION('center_axis',(0.,0.,-1.)); #454275=DIRECTION('ref_axis',(1.,0.,0.)); #454276=DIRECTION('center_axis',(0.,0.,1.)); #454277=DIRECTION('ref_axis',(1.,0.,0.)); #454278=DIRECTION('center_axis',(0.,0.,1.)); #454279=DIRECTION('ref_axis',(1.,0.,0.)); #454280=DIRECTION('',(0.,0.,1.)); #454281=DIRECTION('center_axis',(0.,0.,-1.)); #454282=DIRECTION('ref_axis',(1.,0.,0.)); #454283=DIRECTION('center_axis',(0.,0.,1.)); #454284=DIRECTION('ref_axis',(1.,0.,0.)); #454285=DIRECTION('center_axis',(0.,0.,1.)); #454286=DIRECTION('ref_axis',(1.,0.,0.)); #454287=DIRECTION('',(0.,0.,1.)); #454288=DIRECTION('center_axis',(0.,0.,-1.)); #454289=DIRECTION('ref_axis',(1.,0.,0.)); #454290=DIRECTION('center_axis',(0.,0.,1.)); #454291=DIRECTION('ref_axis',(1.,0.,0.)); #454292=DIRECTION('center_axis',(0.,0.,1.)); #454293=DIRECTION('ref_axis',(1.,0.,0.)); #454294=DIRECTION('',(0.,0.,1.)); #454295=DIRECTION('center_axis',(0.,0.,-1.)); #454296=DIRECTION('ref_axis',(1.,0.,0.)); #454297=DIRECTION('center_axis',(0.,0.,1.)); #454298=DIRECTION('ref_axis',(1.,0.,0.)); #454299=DIRECTION('center_axis',(0.,0.,1.)); #454300=DIRECTION('ref_axis',(1.,0.,0.)); #454301=DIRECTION('',(0.,0.,1.)); #454302=DIRECTION('center_axis',(0.,0.,-1.)); #454303=DIRECTION('ref_axis',(1.,0.,0.)); #454304=DIRECTION('center_axis',(0.,0.,1.)); #454305=DIRECTION('ref_axis',(1.,0.,0.)); #454306=DIRECTION('center_axis',(0.,0.,1.)); #454307=DIRECTION('ref_axis',(1.,0.,0.)); #454308=DIRECTION('',(0.,0.,1.)); #454309=DIRECTION('center_axis',(0.,0.,-1.)); #454310=DIRECTION('ref_axis',(1.,0.,0.)); #454311=DIRECTION('center_axis',(0.,0.,1.)); #454312=DIRECTION('ref_axis',(1.,0.,0.)); #454313=DIRECTION('center_axis',(0.,0.,1.)); #454314=DIRECTION('ref_axis',(1.,0.,0.)); #454315=DIRECTION('',(0.,0.,1.)); #454316=DIRECTION('center_axis',(0.,0.,-1.)); #454317=DIRECTION('ref_axis',(1.,0.,0.)); #454318=DIRECTION('center_axis',(0.,0.,1.)); #454319=DIRECTION('ref_axis',(1.,0.,0.)); #454320=DIRECTION('center_axis',(0.,0.,1.)); #454321=DIRECTION('ref_axis',(1.,0.,0.)); #454322=DIRECTION('',(0.,0.,1.)); #454323=DIRECTION('center_axis',(0.,0.,-1.)); #454324=DIRECTION('ref_axis',(1.,0.,0.)); #454325=DIRECTION('center_axis',(0.,0.,1.)); #454326=DIRECTION('ref_axis',(1.,0.,0.)); #454327=DIRECTION('center_axis',(0.,0.,1.)); #454328=DIRECTION('ref_axis',(1.,0.,0.)); #454329=DIRECTION('',(0.,0.,1.)); #454330=DIRECTION('center_axis',(0.,0.,-1.)); #454331=DIRECTION('ref_axis',(1.,0.,0.)); #454332=DIRECTION('center_axis',(0.,0.,1.)); #454333=DIRECTION('ref_axis',(1.,0.,0.)); #454334=DIRECTION('center_axis',(0.,0.,1.)); #454335=DIRECTION('ref_axis',(1.,0.,0.)); #454336=DIRECTION('',(0.,0.,1.)); #454337=DIRECTION('center_axis',(0.,0.,-1.)); #454338=DIRECTION('ref_axis',(1.,0.,0.)); #454339=DIRECTION('center_axis',(0.,0.,1.)); #454340=DIRECTION('ref_axis',(1.,0.,0.)); #454341=DIRECTION('center_axis',(0.,0.,1.)); #454342=DIRECTION('ref_axis',(1.,0.,0.)); #454343=DIRECTION('',(0.,0.,1.)); #454344=DIRECTION('center_axis',(0.,0.,-1.)); #454345=DIRECTION('ref_axis',(1.,0.,0.)); #454346=DIRECTION('center_axis',(0.,0.,1.)); #454347=DIRECTION('ref_axis',(1.,0.,0.)); #454348=DIRECTION('center_axis',(0.,0.,1.)); #454349=DIRECTION('ref_axis',(1.,0.,0.)); #454350=DIRECTION('',(0.,0.,1.)); #454351=DIRECTION('center_axis',(0.,0.,-1.)); #454352=DIRECTION('ref_axis',(1.,0.,0.)); #454353=DIRECTION('center_axis',(0.,0.,1.)); #454354=DIRECTION('ref_axis',(1.,0.,0.)); #454355=DIRECTION('center_axis',(0.,0.,1.)); #454356=DIRECTION('ref_axis',(1.,0.,0.)); #454357=DIRECTION('',(0.,0.,1.)); #454358=DIRECTION('center_axis',(0.,0.,-1.)); #454359=DIRECTION('ref_axis',(1.,0.,0.)); #454360=DIRECTION('center_axis',(0.,0.,1.)); #454361=DIRECTION('ref_axis',(1.,0.,0.)); #454362=DIRECTION('center_axis',(0.,0.,1.)); #454363=DIRECTION('ref_axis',(1.,0.,0.)); #454364=DIRECTION('',(0.,0.,1.)); #454365=DIRECTION('center_axis',(0.,0.,-1.)); #454366=DIRECTION('ref_axis',(1.,0.,0.)); #454367=DIRECTION('center_axis',(0.,0.,1.)); #454368=DIRECTION('ref_axis',(1.,0.,0.)); #454369=DIRECTION('center_axis',(0.,0.,1.)); #454370=DIRECTION('ref_axis',(1.,0.,0.)); #454371=DIRECTION('',(0.,0.,1.)); #454372=DIRECTION('center_axis',(0.,0.,-1.)); #454373=DIRECTION('ref_axis',(1.,0.,0.)); #454374=DIRECTION('center_axis',(0.,0.,1.)); #454375=DIRECTION('ref_axis',(1.,0.,0.)); #454376=DIRECTION('center_axis',(0.,0.,1.)); #454377=DIRECTION('ref_axis',(1.,0.,0.)); #454378=DIRECTION('',(0.,0.,1.)); #454379=DIRECTION('center_axis',(0.,0.,-1.)); #454380=DIRECTION('ref_axis',(1.,0.,0.)); #454381=DIRECTION('center_axis',(0.,0.,1.)); #454382=DIRECTION('ref_axis',(1.,0.,0.)); #454383=DIRECTION('center_axis',(0.,0.,1.)); #454384=DIRECTION('ref_axis',(1.,0.,0.)); #454385=DIRECTION('',(0.,0.,1.)); #454386=DIRECTION('center_axis',(0.,0.,-1.)); #454387=DIRECTION('ref_axis',(1.,0.,0.)); #454388=DIRECTION('center_axis',(0.,0.,1.)); #454389=DIRECTION('ref_axis',(1.,0.,0.)); #454390=DIRECTION('center_axis',(0.,0.,1.)); #454391=DIRECTION('ref_axis',(1.,0.,0.)); #454392=DIRECTION('',(0.,0.,1.)); #454393=DIRECTION('center_axis',(0.,0.,-1.)); #454394=DIRECTION('ref_axis',(1.,0.,0.)); #454395=DIRECTION('center_axis',(0.,0.,1.)); #454396=DIRECTION('ref_axis',(1.,0.,0.)); #454397=DIRECTION('center_axis',(0.,0.,1.)); #454398=DIRECTION('ref_axis',(1.,0.,0.)); #454399=DIRECTION('',(0.,0.,1.)); #454400=DIRECTION('center_axis',(0.,0.,-1.)); #454401=DIRECTION('ref_axis',(1.,0.,0.)); #454402=DIRECTION('center_axis',(0.,0.,1.)); #454403=DIRECTION('ref_axis',(1.,0.,0.)); #454404=DIRECTION('center_axis',(0.,0.,1.)); #454405=DIRECTION('ref_axis',(1.,0.,0.)); #454406=DIRECTION('',(0.,0.,1.)); #454407=DIRECTION('center_axis',(0.,0.,-1.)); #454408=DIRECTION('ref_axis',(1.,0.,0.)); #454409=DIRECTION('center_axis',(0.,0.,1.)); #454410=DIRECTION('ref_axis',(1.,0.,0.)); #454411=DIRECTION('center_axis',(0.,0.,1.)); #454412=DIRECTION('ref_axis',(1.,0.,0.)); #454413=DIRECTION('',(0.,0.,1.)); #454414=DIRECTION('center_axis',(0.,0.,-1.)); #454415=DIRECTION('ref_axis',(1.,0.,0.)); #454416=DIRECTION('center_axis',(0.,0.,1.)); #454417=DIRECTION('ref_axis',(1.,0.,0.)); #454418=DIRECTION('center_axis',(0.,0.,1.)); #454419=DIRECTION('ref_axis',(1.,0.,0.)); #454420=DIRECTION('',(0.,0.,1.)); #454421=DIRECTION('center_axis',(0.,0.,-1.)); #454422=DIRECTION('ref_axis',(1.,0.,0.)); #454423=DIRECTION('center_axis',(0.,0.,1.)); #454424=DIRECTION('ref_axis',(1.,0.,0.)); #454425=DIRECTION('center_axis',(0.,0.,1.)); #454426=DIRECTION('ref_axis',(1.,0.,0.)); #454427=DIRECTION('',(0.,0.,1.)); #454428=DIRECTION('center_axis',(0.,0.,-1.)); #454429=DIRECTION('ref_axis',(1.,0.,0.)); #454430=DIRECTION('center_axis',(0.,0.,1.)); #454431=DIRECTION('ref_axis',(1.,0.,0.)); #454432=DIRECTION('center_axis',(0.,0.,1.)); #454433=DIRECTION('ref_axis',(1.,0.,0.)); #454434=DIRECTION('',(0.,0.,1.)); #454435=DIRECTION('center_axis',(0.,0.,-1.)); #454436=DIRECTION('ref_axis',(1.,0.,0.)); #454437=DIRECTION('center_axis',(0.,0.,1.)); #454438=DIRECTION('ref_axis',(1.,0.,0.)); #454439=DIRECTION('center_axis',(0.,0.,1.)); #454440=DIRECTION('ref_axis',(1.,0.,0.)); #454441=DIRECTION('',(0.,0.,1.)); #454442=DIRECTION('center_axis',(0.,0.,-1.)); #454443=DIRECTION('ref_axis',(1.,0.,0.)); #454444=DIRECTION('center_axis',(0.,0.,1.)); #454445=DIRECTION('ref_axis',(1.,0.,0.)); #454446=DIRECTION('center_axis',(0.,0.,1.)); #454447=DIRECTION('ref_axis',(1.,0.,0.)); #454448=DIRECTION('',(0.,0.,1.)); #454449=DIRECTION('center_axis',(0.,0.,-1.)); #454450=DIRECTION('ref_axis',(1.,0.,0.)); #454451=DIRECTION('center_axis',(0.,0.,1.)); #454452=DIRECTION('ref_axis',(1.,0.,0.)); #454453=DIRECTION('center_axis',(0.,0.,1.)); #454454=DIRECTION('ref_axis',(1.,0.,0.)); #454455=DIRECTION('',(0.,0.,1.)); #454456=DIRECTION('center_axis',(0.,0.,-1.)); #454457=DIRECTION('ref_axis',(1.,0.,0.)); #454458=DIRECTION('center_axis',(0.,0.,1.)); #454459=DIRECTION('ref_axis',(1.,0.,0.)); #454460=DIRECTION('center_axis',(0.,0.,1.)); #454461=DIRECTION('ref_axis',(1.,0.,0.)); #454462=DIRECTION('',(0.,0.,1.)); #454463=DIRECTION('center_axis',(0.,0.,-1.)); #454464=DIRECTION('ref_axis',(1.,0.,0.)); #454465=DIRECTION('center_axis',(0.,0.,1.)); #454466=DIRECTION('ref_axis',(1.,0.,0.)); #454467=DIRECTION('center_axis',(0.,0.,1.)); #454468=DIRECTION('ref_axis',(1.,0.,0.)); #454469=DIRECTION('',(0.,0.,1.)); #454470=DIRECTION('center_axis',(0.,0.,-1.)); #454471=DIRECTION('ref_axis',(1.,0.,0.)); #454472=DIRECTION('center_axis',(0.,0.,1.)); #454473=DIRECTION('ref_axis',(1.,0.,0.)); #454474=DIRECTION('center_axis',(0.,0.,1.)); #454475=DIRECTION('ref_axis',(1.,0.,0.)); #454476=DIRECTION('',(0.,0.,1.)); #454477=DIRECTION('center_axis',(0.,0.,-1.)); #454478=DIRECTION('ref_axis',(1.,0.,0.)); #454479=DIRECTION('center_axis',(0.,0.,1.)); #454480=DIRECTION('ref_axis',(1.,0.,0.)); #454481=DIRECTION('center_axis',(0.,0.,1.)); #454482=DIRECTION('ref_axis',(1.,0.,0.)); #454483=DIRECTION('',(0.,0.,1.)); #454484=DIRECTION('center_axis',(0.,0.,-1.)); #454485=DIRECTION('ref_axis',(1.,0.,0.)); #454486=DIRECTION('center_axis',(0.,0.,1.)); #454487=DIRECTION('ref_axis',(1.,0.,0.)); #454488=DIRECTION('center_axis',(0.,0.,1.)); #454489=DIRECTION('ref_axis',(1.,0.,0.)); #454490=DIRECTION('',(0.,0.,1.)); #454491=DIRECTION('center_axis',(0.,0.,-1.)); #454492=DIRECTION('ref_axis',(1.,0.,0.)); #454493=DIRECTION('center_axis',(0.,0.,1.)); #454494=DIRECTION('ref_axis',(1.,0.,0.)); #454495=DIRECTION('center_axis',(0.,0.,1.)); #454496=DIRECTION('ref_axis',(1.,0.,0.)); #454497=DIRECTION('',(0.,0.,1.)); #454498=DIRECTION('center_axis',(0.,0.,-1.)); #454499=DIRECTION('ref_axis',(1.,0.,0.)); #454500=DIRECTION('center_axis',(0.,0.,1.)); #454501=DIRECTION('ref_axis',(1.,0.,0.)); #454502=DIRECTION('center_axis',(0.,0.,1.)); #454503=DIRECTION('ref_axis',(1.,0.,0.)); #454504=DIRECTION('',(0.,0.,1.)); #454505=DIRECTION('center_axis',(0.,0.,-1.)); #454506=DIRECTION('ref_axis',(1.,0.,0.)); #454507=DIRECTION('center_axis',(0.,0.,1.)); #454508=DIRECTION('ref_axis',(1.,0.,0.)); #454509=DIRECTION('center_axis',(0.,0.,1.)); #454510=DIRECTION('ref_axis',(1.,0.,0.)); #454511=DIRECTION('',(0.,0.,1.)); #454512=DIRECTION('center_axis',(0.,0.,-1.)); #454513=DIRECTION('ref_axis',(1.,0.,0.)); #454514=DIRECTION('center_axis',(0.,0.,1.)); #454515=DIRECTION('ref_axis',(1.,0.,0.)); #454516=DIRECTION('center_axis',(0.,0.,1.)); #454517=DIRECTION('ref_axis',(1.,0.,0.)); #454518=DIRECTION('',(0.,0.,1.)); #454519=DIRECTION('center_axis',(0.,0.,-1.)); #454520=DIRECTION('ref_axis',(1.,0.,0.)); #454521=DIRECTION('center_axis',(0.,0.,1.)); #454522=DIRECTION('ref_axis',(1.,0.,0.)); #454523=DIRECTION('center_axis',(0.,0.,1.)); #454524=DIRECTION('ref_axis',(1.,0.,0.)); #454525=DIRECTION('',(0.,0.,1.)); #454526=DIRECTION('center_axis',(0.,0.,-1.)); #454527=DIRECTION('ref_axis',(1.,0.,0.)); #454528=DIRECTION('center_axis',(0.,0.,1.)); #454529=DIRECTION('ref_axis',(1.,0.,0.)); #454530=DIRECTION('center_axis',(0.,0.,1.)); #454531=DIRECTION('ref_axis',(1.,0.,0.)); #454532=DIRECTION('',(0.,0.,1.)); #454533=DIRECTION('center_axis',(0.,0.,-1.)); #454534=DIRECTION('ref_axis',(1.,0.,0.)); #454535=DIRECTION('center_axis',(0.,0.,1.)); #454536=DIRECTION('ref_axis',(1.,0.,0.)); #454537=DIRECTION('center_axis',(0.,0.,1.)); #454538=DIRECTION('ref_axis',(1.,0.,0.)); #454539=DIRECTION('',(0.,0.,1.)); #454540=DIRECTION('center_axis',(0.,0.,-1.)); #454541=DIRECTION('ref_axis',(1.,0.,0.)); #454542=DIRECTION('center_axis',(0.,0.,1.)); #454543=DIRECTION('ref_axis',(1.,0.,0.)); #454544=DIRECTION('center_axis',(0.,0.,1.)); #454545=DIRECTION('ref_axis',(1.,0.,0.)); #454546=DIRECTION('',(0.,0.,1.)); #454547=DIRECTION('center_axis',(0.,0.,-1.)); #454548=DIRECTION('ref_axis',(1.,0.,0.)); #454549=DIRECTION('center_axis',(0.,0.,1.)); #454550=DIRECTION('ref_axis',(1.,0.,0.)); #454551=DIRECTION('center_axis',(0.,0.,1.)); #454552=DIRECTION('ref_axis',(1.,0.,0.)); #454553=DIRECTION('',(0.,0.,1.)); #454554=DIRECTION('center_axis',(0.,0.,-1.)); #454555=DIRECTION('ref_axis',(1.,0.,0.)); #454556=DIRECTION('center_axis',(0.,0.,1.)); #454557=DIRECTION('ref_axis',(1.,0.,0.)); #454558=DIRECTION('center_axis',(0.,0.,1.)); #454559=DIRECTION('ref_axis',(1.,0.,0.)); #454560=DIRECTION('',(0.,0.,1.)); #454561=DIRECTION('center_axis',(0.,0.,-1.)); #454562=DIRECTION('ref_axis',(1.,0.,0.)); #454563=DIRECTION('center_axis',(0.,0.,1.)); #454564=DIRECTION('ref_axis',(1.,0.,0.)); #454565=DIRECTION('center_axis',(0.,0.,1.)); #454566=DIRECTION('ref_axis',(1.,0.,0.)); #454567=DIRECTION('',(0.,0.,1.)); #454568=DIRECTION('center_axis',(0.,0.,-1.)); #454569=DIRECTION('ref_axis',(1.,0.,0.)); #454570=DIRECTION('center_axis',(0.,0.,1.)); #454571=DIRECTION('ref_axis',(1.,0.,0.)); #454572=DIRECTION('center_axis',(0.,0.,1.)); #454573=DIRECTION('ref_axis',(1.,0.,0.)); #454574=DIRECTION('',(0.,0.,1.)); #454575=DIRECTION('center_axis',(0.,0.,-1.)); #454576=DIRECTION('ref_axis',(1.,0.,0.)); #454577=DIRECTION('center_axis',(0.,0.,1.)); #454578=DIRECTION('ref_axis',(1.,0.,0.)); #454579=DIRECTION('center_axis',(0.,0.,1.)); #454580=DIRECTION('ref_axis',(1.,0.,0.)); #454581=DIRECTION('',(0.,0.,1.)); #454582=DIRECTION('center_axis',(0.,0.,-1.)); #454583=DIRECTION('ref_axis',(1.,0.,0.)); #454584=DIRECTION('center_axis',(0.,0.,1.)); #454585=DIRECTION('ref_axis',(1.,0.,0.)); #454586=DIRECTION('center_axis',(0.,0.,1.)); #454587=DIRECTION('ref_axis',(1.,0.,0.)); #454588=DIRECTION('',(0.,0.,1.)); #454589=DIRECTION('center_axis',(0.,0.,-1.)); #454590=DIRECTION('ref_axis',(1.,0.,0.)); #454591=DIRECTION('center_axis',(0.,0.,1.)); #454592=DIRECTION('ref_axis',(1.,0.,0.)); #454593=DIRECTION('center_axis',(0.,0.,1.)); #454594=DIRECTION('ref_axis',(1.,0.,0.)); #454595=DIRECTION('',(0.,0.,1.)); #454596=DIRECTION('center_axis',(0.,0.,-1.)); #454597=DIRECTION('ref_axis',(1.,0.,0.)); #454598=DIRECTION('center_axis',(0.,0.,1.)); #454599=DIRECTION('ref_axis',(1.,0.,0.)); #454600=DIRECTION('center_axis',(0.,0.,1.)); #454601=DIRECTION('ref_axis',(1.,0.,0.)); #454602=DIRECTION('',(0.,0.,1.)); #454603=DIRECTION('center_axis',(0.,0.,-1.)); #454604=DIRECTION('ref_axis',(1.,0.,0.)); #454605=DIRECTION('center_axis',(0.,0.,1.)); #454606=DIRECTION('ref_axis',(1.,0.,0.)); #454607=DIRECTION('center_axis',(0.,0.,1.)); #454608=DIRECTION('ref_axis',(1.,0.,0.)); #454609=DIRECTION('',(0.,0.,1.)); #454610=DIRECTION('center_axis',(0.,0.,-1.)); #454611=DIRECTION('ref_axis',(1.,0.,0.)); #454612=DIRECTION('center_axis',(0.,0.,1.)); #454613=DIRECTION('ref_axis',(1.,0.,0.)); #454614=DIRECTION('center_axis',(0.,0.,1.)); #454615=DIRECTION('ref_axis',(1.,0.,0.)); #454616=DIRECTION('',(0.,0.,1.)); #454617=DIRECTION('center_axis',(0.,0.,-1.)); #454618=DIRECTION('ref_axis',(1.,0.,0.)); #454619=DIRECTION('center_axis',(0.,0.,1.)); #454620=DIRECTION('ref_axis',(1.,0.,0.)); #454621=DIRECTION('center_axis',(0.,0.,1.)); #454622=DIRECTION('ref_axis',(1.,0.,0.)); #454623=DIRECTION('',(0.,0.,1.)); #454624=DIRECTION('center_axis',(0.,0.,-1.)); #454625=DIRECTION('ref_axis',(1.,0.,0.)); #454626=DIRECTION('center_axis',(0.,0.,1.)); #454627=DIRECTION('ref_axis',(1.,0.,0.)); #454628=DIRECTION('center_axis',(0.,0.,1.)); #454629=DIRECTION('ref_axis',(1.,0.,0.)); #454630=DIRECTION('',(0.,0.,1.)); #454631=DIRECTION('center_axis',(0.,0.,-1.)); #454632=DIRECTION('ref_axis',(1.,0.,0.)); #454633=DIRECTION('center_axis',(0.,0.,1.)); #454634=DIRECTION('ref_axis',(1.,0.,0.)); #454635=DIRECTION('center_axis',(0.,0.,1.)); #454636=DIRECTION('ref_axis',(1.,0.,0.)); #454637=DIRECTION('',(0.,0.,1.)); #454638=DIRECTION('center_axis',(0.,0.,-1.)); #454639=DIRECTION('ref_axis',(1.,0.,0.)); #454640=DIRECTION('center_axis',(0.,0.,1.)); #454641=DIRECTION('ref_axis',(1.,0.,0.)); #454642=DIRECTION('center_axis',(0.,0.,1.)); #454643=DIRECTION('ref_axis',(1.,0.,0.)); #454644=DIRECTION('',(0.,0.,1.)); #454645=DIRECTION('center_axis',(0.,0.,-1.)); #454646=DIRECTION('ref_axis',(1.,0.,0.)); #454647=DIRECTION('center_axis',(0.,0.,1.)); #454648=DIRECTION('ref_axis',(1.,0.,0.)); #454649=DIRECTION('center_axis',(0.,0.,1.)); #454650=DIRECTION('ref_axis',(1.,0.,0.)); #454651=DIRECTION('',(0.,0.,1.)); #454652=DIRECTION('center_axis',(0.,0.,-1.)); #454653=DIRECTION('ref_axis',(1.,0.,0.)); #454654=DIRECTION('center_axis',(0.,0.,1.)); #454655=DIRECTION('ref_axis',(1.,0.,0.)); #454656=DIRECTION('center_axis',(0.,0.,1.)); #454657=DIRECTION('ref_axis',(1.,0.,0.)); #454658=DIRECTION('',(0.,0.,1.)); #454659=DIRECTION('center_axis',(0.,0.,-1.)); #454660=DIRECTION('ref_axis',(1.,0.,0.)); #454661=DIRECTION('center_axis',(0.,0.,1.)); #454662=DIRECTION('ref_axis',(1.,0.,0.)); #454663=DIRECTION('center_axis',(0.,0.,1.)); #454664=DIRECTION('ref_axis',(1.,0.,0.)); #454665=DIRECTION('',(0.,0.,1.)); #454666=DIRECTION('center_axis',(0.,0.,-1.)); #454667=DIRECTION('ref_axis',(1.,0.,0.)); #454668=DIRECTION('center_axis',(0.,0.,1.)); #454669=DIRECTION('ref_axis',(1.,0.,0.)); #454670=DIRECTION('center_axis',(0.,0.,1.)); #454671=DIRECTION('ref_axis',(1.,0.,0.)); #454672=DIRECTION('',(0.,0.,1.)); #454673=DIRECTION('center_axis',(0.,0.,-1.)); #454674=DIRECTION('ref_axis',(1.,0.,0.)); #454675=DIRECTION('center_axis',(0.,0.,1.)); #454676=DIRECTION('ref_axis',(1.,0.,0.)); #454677=DIRECTION('center_axis',(0.,0.,1.)); #454678=DIRECTION('ref_axis',(1.,0.,0.)); #454679=DIRECTION('',(0.,0.,1.)); #454680=DIRECTION('center_axis',(0.,0.,-1.)); #454681=DIRECTION('ref_axis',(1.,0.,0.)); #454682=DIRECTION('center_axis',(0.,0.,-1.)); #454683=DIRECTION('ref_axis',(1.,0.,0.)); #454684=DIRECTION('center_axis',(0.,0.,-1.)); #454685=DIRECTION('ref_axis',(1.,0.,0.)); #454686=DIRECTION('',(0.,0.,1.)); #454687=DIRECTION('center_axis',(0.,0.,1.)); #454688=DIRECTION('ref_axis',(1.,0.,0.)); #454689=DIRECTION('center_axis',(0.,0.,1.)); #454690=DIRECTION('ref_axis',(1.,0.,0.)); #454691=DIRECTION('center_axis',(0.,0.,1.)); #454692=DIRECTION('ref_axis',(1.,0.,0.)); #454693=DIRECTION('axis',(0.,0.,1.)); #454694=DIRECTION('refdir',(1.,0.,0.)); #454695=DIRECTION('axis',(0.,0.,1.)); #454696=DIRECTION('refdir',(1.,0.,0.)); #454697=DIRECTION('axis',(0.,0.,1.)); #454698=DIRECTION('refdir',(1.,0.,0.)); #454699=DIRECTION('center_axis',(0.707106781186548,7.40655039324652E-15, 0.707106781186547)); #454700=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #454701=DIRECTION('',(-0.707106781186547,-2.46885013108216E-15,0.707106781186548)); #454702=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454703=DIRECTION('',(0.707106781186547,2.46885013108216E-15,-0.707106781186548)); #454704=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454705=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #454706=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454707=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454708=DIRECTION('',(-6.99353086378051E-15,1.,-3.491481338843E-15)); #454709=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454710=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454711=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #454712=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454713=DIRECTION('',(1.,6.982962677686E-15,0.)); #454714=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454715=DIRECTION('',(1.,6.982962677686E-15,0.)); #454716=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454717=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454718=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454719=DIRECTION('',(1.,6.982962677686E-15,0.)); #454720=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454721=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454722=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454723=DIRECTION('',(1.,6.982962677686E-15,0.)); #454724=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454725=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454726=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454727=DIRECTION('',(1.,6.982962677686E-15,0.)); #454728=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454729=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454730=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454731=DIRECTION('',(1.,6.982962677686E-15,0.)); #454732=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454733=DIRECTION('',(1.,6.982962677686E-15,0.)); #454734=DIRECTION('',(-2.44177825035122E-29,3.491481338843E-15,1.)); #454735=DIRECTION('',(-0.707106781186548,-7.40655039324652E-15,-0.707106781186548)); #454736=DIRECTION('center_axis',(-0.707106781186548,-2.47632296713444E-15, 0.707106781186548)); #454737=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #454738=DIRECTION('',(0.707106781186548,7.40655039324652E-15,0.707106781186548)); #454739=DIRECTION('',(6.99353086378051E-15,-1.,3.491481338843E-15)); #454740=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454741=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #454742=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #454743=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454744=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454745=DIRECTION('center_axis',(1.,6.99353086378051E-15,0.)); #454746=DIRECTION('ref_axis',(0.,0.,-1.)); #454747=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454748=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #454749=DIRECTION('',(-4.94517309821662E-15,0.707106781186548,-0.707106781186548)); #454750=DIRECTION('',(2.50496492831706E-29,-3.581831519884E-15,-1.)); #454751=DIRECTION('',(4.94517309821662E-15,-0.707106781186548,-0.707106781186548)); #454752=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #454753=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454754=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454755=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454756=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454757=DIRECTION('',(1.,6.982962677686E-15,0.)); #454758=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454759=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454760=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454761=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454762=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454763=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454764=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454765=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454766=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454767=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454768=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454769=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454770=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454771=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454772=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454773=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454774=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454775=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454776=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454777=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454778=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454779=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454780=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454781=DIRECTION('',(1.,6.982962677686E-15,0.)); #454782=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454783=DIRECTION('',(1.,6.982962677686E-15,0.)); #454784=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454785=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454786=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454787=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454788=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454789=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454790=DIRECTION('',(1.,6.982962677686E-15,0.)); #454791=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454792=DIRECTION('',(1.,6.982962677686E-15,0.)); #454793=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454794=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454795=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454796=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454797=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454798=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454799=DIRECTION('',(1.,6.982962677686E-15,0.)); #454800=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454801=DIRECTION('',(1.,6.982962677686E-15,0.)); #454802=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454803=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454804=DIRECTION('',(1.,6.982962677686E-15,0.)); #454805=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454806=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454807=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454808=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454809=DIRECTION('',(1.,6.982962677686E-15,0.)); #454810=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454811=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454812=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454813=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454814=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454815=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454816=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454817=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454818=DIRECTION('',(1.,6.982962677686E-15,0.)); #454819=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454820=DIRECTION('',(1.,6.982962677686E-15,0.)); #454821=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454822=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454823=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454824=DIRECTION('',(1.,6.982962677686E-15,0.)); #454825=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454826=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454827=DIRECTION('ref_axis',(-1.,-6.982962677686E-15,0.)); #454828=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454829=DIRECTION('',(1.,6.982962677686E-15,0.)); #454830=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454831=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454832=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454833=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454834=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454835=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454836=DIRECTION('',(1.,6.982962677686E-15,0.)); #454837=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454838=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454839=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #454840=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454841=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454842=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454843=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454844=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454845=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454846=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454847=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454848=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454849=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454850=DIRECTION('',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #454851=DIRECTION('',(2.501179582111E-29,-3.581831519884E-15,-1.)); #454852=DIRECTION('',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #454853=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #454854=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454855=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #454856=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454857=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, 0.707106781186548)); #454858=DIRECTION('ref_axis',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #454859=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454860=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.581831519884E-15)); #454861=DIRECTION('ref_axis',(2.501179582111E-29,-3.581831519884E-15,-1.)); #454862=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454863=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, -0.707106781186548)); #454864=DIRECTION('ref_axis',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #454865=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454866=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454867=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #454868=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454869=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #454870=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #454871=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #454872=DIRECTION('',(1.,6.982962677686E-15,0.)); #454873=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #454874=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454875=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454876=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454877=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454878=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #454879=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454880=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454881=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454882=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454883=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #454884=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454885=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454886=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454887=DIRECTION('',(1.,6.982962677686E-15,0.)); #454888=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454889=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454890=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454891=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454892=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454893=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454894=DIRECTION('',(1.,6.982962677686E-15,0.)); #454895=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454896=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454897=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454898=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454899=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454900=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454901=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454902=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454903=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454904=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454905=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454906=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454907=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454908=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454909=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454910=DIRECTION('',(1.,6.982962677686E-15,0.)); #454911=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454912=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454913=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #454914=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #454915=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #454916=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #454917=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #454918=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #454919=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #454920=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #454921=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #454922=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #454923=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #454924=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #454925=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #454926=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #454927=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #454928=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #454929=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #454930=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #454931=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #454932=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #454933=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #454934=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #454935=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #454936=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #454937=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454938=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454939=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #454940=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454941=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454942=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454943=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #454944=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454945=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454946=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454947=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454948=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454949=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #454950=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #454951=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #454952=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #454953=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #454954=DIRECTION('',(1.,6.982962677686E-15,0.)); #454955=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #454956=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454957=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454958=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454959=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454960=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #454961=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454962=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454963=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454964=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454965=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #454966=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454967=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454968=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454969=DIRECTION('',(1.,6.982962677686E-15,0.)); #454970=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454971=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454972=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454973=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454974=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454975=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454976=DIRECTION('',(1.,6.982962677686E-15,0.)); #454977=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454978=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #454979=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #454980=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454981=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454982=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #454983=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454984=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454985=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454986=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #454987=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454988=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454989=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #454990=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454991=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #454992=DIRECTION('',(1.,6.982962677686E-15,0.)); #454993=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #454994=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #454995=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #454996=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #454997=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #454998=DIRECTION('',(1.,6.982962677686E-15,0.)); #454999=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #455000=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455001=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455002=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455003=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455004=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #455005=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455006=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455007=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455008=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455009=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #455010=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455011=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455012=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455013=DIRECTION('',(1.,6.982962677686E-15,0.)); #455014=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455015=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455016=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455017=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455018=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455019=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455020=DIRECTION('',(1.,6.982962677686E-15,0.)); #455021=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455022=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455023=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455024=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455025=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455026=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455027=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455028=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455029=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455030=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455031=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455032=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #455033=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #455034=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455035=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #455036=DIRECTION('',(1.,6.982962677686E-15,0.)); #455037=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455038=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455039=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #455040=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #455041=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #455042=DIRECTION('',(1.,6.982962677686E-15,0.)); #455043=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #455044=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455045=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455046=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455047=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455048=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #455049=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455050=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455051=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455052=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455053=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #455054=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455055=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455056=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455057=DIRECTION('',(1.,6.982962677686E-15,0.)); #455058=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455059=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455060=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455061=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455062=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455063=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455064=DIRECTION('',(1.,6.982962677686E-15,0.)); #455065=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455066=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #455067=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #455068=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455069=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455070=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #455071=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455072=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455073=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455074=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #455075=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455076=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #455077=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #455078=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455079=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #455080=DIRECTION('',(1.,6.982962677686E-15,0.)); #455081=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455082=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #455083=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #455084=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #455085=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #455086=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #455087=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #455088=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #455089=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #455090=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #455091=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #455092=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #455093=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #455094=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #455095=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #455096=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #455097=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #455098=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #455099=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #455100=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #455101=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #455102=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #455103=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #455104=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #455105=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #455106=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #455107=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #455108=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #455109=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #455110=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #455111=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #455112=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #455113=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #455114=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #455115=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #455116=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #455117=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #455118=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #455119=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #455120=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #455121=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #455122=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #455123=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #455124=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #455125=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #455126=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #455127=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #455128=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #455129=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #455130=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #455131=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #455132=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #455133=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #455134=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #455135=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #455136=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #455137=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #455138=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #455139=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #455140=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #455141=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #455142=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #455143=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #455144=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #455145=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #455146=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #455147=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #455148=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #455149=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #455150=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #455151=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #455152=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #455153=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #455154=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #455155=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #455156=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #455157=DIRECTION('',(0.,0.,1.)); #455158=DIRECTION('',(1.,0.,0.)); #455159=DIRECTION('axis',(0.,0.,1.)); #455160=DIRECTION('refdir',(1.,0.,0.)); #455161=DIRECTION('axis',(0.,0.,1.)); #455162=DIRECTION('refdir',(1.,0.,0.)); #455163=DIRECTION('axis',(0.,0.,1.)); #455164=DIRECTION('refdir',(1.,0.,0.)); #455165=DIRECTION('axis',(0.,0.,1.)); #455166=DIRECTION('refdir',(1.,0.,0.)); #455167=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #455168=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #455169=DIRECTION('center_axis',(0.,-1.,0.)); #455170=DIRECTION('ref_axis',(-1.,0.,0.)); #455171=DIRECTION('center_axis',(0.,0.,1.)); #455172=DIRECTION('ref_axis',(0.,-1.,0.)); #455173=DIRECTION('center_axis',(-1.,0.,0.)); #455174=DIRECTION('ref_axis',(0.,0.,1.)); #455175=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #455176=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #455177=DIRECTION('center_axis',(1.,0.,0.)); #455178=DIRECTION('ref_axis',(0.,-1.,0.)); #455179=DIRECTION('center_axis',(0.,0.,1.)); #455180=DIRECTION('ref_axis',(1.,0.,0.)); #455181=DIRECTION('center_axis',(0.,-1.,0.)); #455182=DIRECTION('ref_axis',(0.,0.,1.)); #455183=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #455184=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189625)); #455185=DIRECTION('center_axis',(0.,0.,-1.)); #455186=DIRECTION('ref_axis',(-1.,0.,0.)); #455187=DIRECTION('center_axis',(0.,-1.,0.)); #455188=DIRECTION('ref_axis',(0.,0.,-1.)); #455189=DIRECTION('center_axis',(-1.,0.,0.)); #455190=DIRECTION('ref_axis',(0.,-1.,0.)); #455191=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #455192=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #455193=DIRECTION('center_axis',(-1.,0.,0.)); #455194=DIRECTION('ref_axis',(0.,1.,0.)); #455195=DIRECTION('center_axis',(0.,0.,1.)); #455196=DIRECTION('ref_axis',(-1.,0.,0.)); #455197=DIRECTION('center_axis',(0.,1.,0.)); #455198=DIRECTION('ref_axis',(0.,0.,1.)); #455199=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #455200=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #455201=DIRECTION('center_axis',(0.,0.,-1.)); #455202=DIRECTION('ref_axis',(0.,-1.,0.)); #455203=DIRECTION('center_axis',(1.,0.,0.)); #455204=DIRECTION('ref_axis',(0.,0.,-1.)); #455205=DIRECTION('center_axis',(0.,-1.,0.)); #455206=DIRECTION('ref_axis',(1.,0.,0.)); #455207=DIRECTION('center_axis',(1.,0.,0.)); #455208=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #455209=DIRECTION('',(-1.,0.,0.)); #455210=DIRECTION('',(1.,0.,0.)); #455211=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #455212=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #455213=DIRECTION('center_axis',(0.,1.,0.)); #455214=DIRECTION('ref_axis',(1.,0.,0.)); #455215=DIRECTION('center_axis',(0.,0.,1.)); #455216=DIRECTION('ref_axis',(0.,1.,0.)); #455217=DIRECTION('center_axis',(1.,0.,0.)); #455218=DIRECTION('ref_axis',(0.,0.,1.)); #455219=DIRECTION('center_axis',(0.408248290463866,-0.408248290463863,-0.816496580927725)); #455220=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #455221=DIRECTION('center_axis',(-1.,0.,0.)); #455222=DIRECTION('ref_axis',(0.,0.,-1.)); #455223=DIRECTION('center_axis',(0.,1.,0.)); #455224=DIRECTION('ref_axis',(-1.,0.,0.)); #455225=DIRECTION('center_axis',(0.,0.,-1.)); #455226=DIRECTION('ref_axis',(0.,1.,0.)); #455227=DIRECTION('center_axis',(0.,-1.,0.)); #455228=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #455229=DIRECTION('',(0.,1.,0.)); #455230=DIRECTION('',(0.,-1.,0.)); #455231=DIRECTION('center_axis',(0.,0.,1.)); #455232=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #455233=DIRECTION('',(0.,0.,-1.)); #455234=DIRECTION('',(0.,0.,1.)); #455235=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #455236=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #455237=DIRECTION('center_axis',(0.,0.,-1.)); #455238=DIRECTION('ref_axis',(1.,0.,0.)); #455239=DIRECTION('center_axis',(0.,1.,0.)); #455240=DIRECTION('ref_axis',(0.,0.,-1.)); #455241=DIRECTION('center_axis',(1.,0.,0.)); #455242=DIRECTION('ref_axis',(0.,1.,0.)); #455243=DIRECTION('center_axis',(0.,-1.,0.)); #455244=DIRECTION('ref_axis',(0.707106781186552,0.,-0.707106781186544)); #455245=DIRECTION('',(0.,1.,0.)); #455246=DIRECTION('',(0.,-1.,0.)); #455247=DIRECTION('center_axis',(-1.,0.,0.)); #455248=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #455249=DIRECTION('',(1.,0.,0.)); #455250=DIRECTION('',(-1.,0.,0.)); #455251=DIRECTION('center_axis',(0.,0.,1.)); #455252=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #455253=DIRECTION('',(0.,0.,-1.)); #455254=DIRECTION('',(0.,0.,1.)); #455255=DIRECTION('center_axis',(-1.,0.,0.)); #455256=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #455257=DIRECTION('',(1.,0.,0.)); #455258=DIRECTION('',(-1.,0.,0.)); #455259=DIRECTION('center_axis',(0.,1.,0.)); #455260=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186545)); #455261=DIRECTION('',(0.,-1.,0.)); #455262=DIRECTION('',(0.,1.,0.)); #455263=DIRECTION('center_axis',(0.,0.,1.)); #455264=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #455265=DIRECTION('',(0.,0.,-1.)); #455266=DIRECTION('',(0.,0.,1.)); #455267=DIRECTION('center_axis',(0.,-1.,0.)); #455268=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #455269=DIRECTION('',(0.,1.,0.)); #455270=DIRECTION('',(0.,-1.,0.)); #455271=DIRECTION('center_axis',(0.,0.,1.)); #455272=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #455273=DIRECTION('',(0.,0.,-1.)); #455274=DIRECTION('',(0.,0.,1.)); #455275=DIRECTION('center_axis',(1.,0.,0.)); #455276=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #455277=DIRECTION('',(-1.,0.,0.)); #455278=DIRECTION('',(1.,0.,0.)); #455279=DIRECTION('center_axis',(0.,-1.,0.)); #455280=DIRECTION('ref_axis',(1.,0.,0.)); #455281=DIRECTION('center_axis',(0.,0.,1.)); #455282=DIRECTION('ref_axis',(1.,0.,0.)); #455283=DIRECTION('center_axis',(-1.,0.,0.)); #455284=DIRECTION('ref_axis',(0.,-1.,0.)); #455285=DIRECTION('center_axis',(0.,1.,0.)); #455286=DIRECTION('ref_axis',(-1.,0.,0.)); #455287=DIRECTION('center_axis',(1.,0.,0.)); #455288=DIRECTION('ref_axis',(0.,1.,0.)); #455289=DIRECTION('center_axis',(0.,0.,1.)); #455290=DIRECTION('ref_axis',(1.,0.,0.)); #455291=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #455292=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #455293=DIRECTION('center_axis',(0.,-1.,0.)); #455294=DIRECTION('ref_axis',(-1.,0.,0.)); #455295=DIRECTION('center_axis',(0.,0.,1.)); #455296=DIRECTION('ref_axis',(0.,-1.,0.)); #455297=DIRECTION('center_axis',(-1.,0.,0.)); #455298=DIRECTION('ref_axis',(0.,0.,1.)); #455299=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #455300=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #455301=DIRECTION('center_axis',(1.,0.,0.)); #455302=DIRECTION('ref_axis',(0.,-1.,0.)); #455303=DIRECTION('center_axis',(0.,0.,1.)); #455304=DIRECTION('ref_axis',(1.,0.,0.)); #455305=DIRECTION('center_axis',(0.,-1.,0.)); #455306=DIRECTION('ref_axis',(0.,0.,1.)); #455307=DIRECTION('center_axis',(0.408248290463861,-0.816496580927725,0.408248290463867)); #455308=DIRECTION('ref_axis',(-0.57735026918962,-0.577350269189627,-0.57735026918963)); #455309=DIRECTION('center_axis',(0.,0.,-1.)); #455310=DIRECTION('ref_axis',(-1.,0.,0.)); #455311=DIRECTION('center_axis',(0.,-1.,0.)); #455312=DIRECTION('ref_axis',(0.,0.,-1.)); #455313=DIRECTION('center_axis',(-1.,0.,8.67361737988404E-15)); #455314=DIRECTION('ref_axis',(0.,-1.,0.)); #455315=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #455316=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #455317=DIRECTION('center_axis',(-1.,0.,0.)); #455318=DIRECTION('ref_axis',(0.,1.,0.)); #455319=DIRECTION('center_axis',(0.,0.,1.)); #455320=DIRECTION('ref_axis',(-1.,0.,0.)); #455321=DIRECTION('center_axis',(0.,1.,0.)); #455322=DIRECTION('ref_axis',(0.,0.,1.)); #455323=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #455324=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #455325=DIRECTION('center_axis',(0.,0.,-1.)); #455326=DIRECTION('ref_axis',(0.,-1.,0.)); #455327=DIRECTION('center_axis',(1.,0.,0.)); #455328=DIRECTION('ref_axis',(0.,0.,-1.)); #455329=DIRECTION('center_axis',(0.,-1.,0.)); #455330=DIRECTION('ref_axis',(1.,0.,0.)); #455331=DIRECTION('center_axis',(1.,0.,0.)); #455332=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #455333=DIRECTION('',(-1.,0.,0.)); #455334=DIRECTION('',(1.,0.,0.)); #455335=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #455336=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #455337=DIRECTION('center_axis',(0.,1.,0.)); #455338=DIRECTION('ref_axis',(1.,0.,0.)); #455339=DIRECTION('center_axis',(0.,0.,1.)); #455340=DIRECTION('ref_axis',(0.,1.,0.)); #455341=DIRECTION('center_axis',(1.,0.,0.)); #455342=DIRECTION('ref_axis',(0.,0.,1.)); #455343=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927724, -0.408248290463867)); #455344=DIRECTION('ref_axis',(-0.577350269189621,0.577350269189628,-0.577350269189628)); #455345=DIRECTION('center_axis',(-1.,8.67361737988405E-15,0.)); #455346=DIRECTION('ref_axis',(0.,0.,-1.)); #455347=DIRECTION('center_axis',(0.,1.,0.)); #455348=DIRECTION('ref_axis',(-1.,0.,0.)); #455349=DIRECTION('center_axis',(0.,0.,-1.)); #455350=DIRECTION('ref_axis',(0.,1.,0.)); #455351=DIRECTION('center_axis',(0.,-1.,0.)); #455352=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #455353=DIRECTION('',(0.,1.,0.)); #455354=DIRECTION('',(0.,-1.,0.)); #455355=DIRECTION('center_axis',(0.,0.,1.)); #455356=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #455357=DIRECTION('',(0.,0.,-1.)); #455358=DIRECTION('',(0.,0.,1.)); #455359=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #455360=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #455361=DIRECTION('center_axis',(0.,0.,-1.)); #455362=DIRECTION('ref_axis',(1.,0.,0.)); #455363=DIRECTION('center_axis',(0.,1.,0.)); #455364=DIRECTION('ref_axis',(0.,0.,-1.)); #455365=DIRECTION('center_axis',(1.,0.,0.)); #455366=DIRECTION('ref_axis',(0.,1.,0.)); #455367=DIRECTION('center_axis',(0.,1.,0.)); #455368=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #455369=DIRECTION('',(0.,-1.,0.)); #455370=DIRECTION('',(0.,1.,0.)); #455371=DIRECTION('center_axis',(-1.,0.,0.)); #455372=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #455373=DIRECTION('',(1.,0.,0.)); #455374=DIRECTION('',(-1.,0.,0.)); #455375=DIRECTION('center_axis',(0.,0.,1.)); #455376=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #455377=DIRECTION('',(0.,0.,-1.)); #455378=DIRECTION('',(0.,0.,1.)); #455379=DIRECTION('center_axis',(-1.,0.,0.)); #455380=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #455381=DIRECTION('',(1.,0.,0.)); #455382=DIRECTION('',(-1.,0.,0.)); #455383=DIRECTION('center_axis',(0.,1.,0.)); #455384=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #455385=DIRECTION('',(0.,-1.,0.)); #455386=DIRECTION('',(0.,1.,0.)); #455387=DIRECTION('center_axis',(0.,0.,1.)); #455388=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #455389=DIRECTION('',(0.,0.,-1.)); #455390=DIRECTION('',(0.,0.,1.)); #455391=DIRECTION('center_axis',(0.,-1.,0.)); #455392=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186545)); #455393=DIRECTION('',(0.,1.,0.)); #455394=DIRECTION('',(0.,-1.,0.)); #455395=DIRECTION('center_axis',(0.,0.,1.)); #455396=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #455397=DIRECTION('',(0.,0.,-1.)); #455398=DIRECTION('',(0.,0.,1.)); #455399=DIRECTION('center_axis',(1.,0.,0.)); #455400=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #455401=DIRECTION('',(-1.,0.,0.)); #455402=DIRECTION('',(1.,0.,0.)); #455403=DIRECTION('center_axis',(0.,-1.,0.)); #455404=DIRECTION('ref_axis',(1.,0.,0.)); #455405=DIRECTION('center_axis',(0.,0.,1.)); #455406=DIRECTION('ref_axis',(1.,0.,0.)); #455407=DIRECTION('center_axis',(-1.,0.,0.)); #455408=DIRECTION('ref_axis',(0.,-1.,0.)); #455409=DIRECTION('center_axis',(0.,1.,0.)); #455410=DIRECTION('ref_axis',(-1.,0.,0.)); #455411=DIRECTION('center_axis',(1.,0.,0.)); #455412=DIRECTION('ref_axis',(0.,1.,0.)); #455413=DIRECTION('center_axis',(0.,0.,1.)); #455414=DIRECTION('ref_axis',(1.,0.,0.)); #455415=DIRECTION('center_axis',(1.,0.,0.)); #455416=DIRECTION('ref_axis',(0.,1.,0.)); #455417=DIRECTION('',(0.,-1.,0.)); #455418=DIRECTION('',(0.,0.,1.)); #455419=DIRECTION('',(0.,1.,0.)); #455420=DIRECTION('',(0.,0.,1.)); #455421=DIRECTION('center_axis',(0.,-1.,0.)); #455422=DIRECTION('ref_axis',(1.,0.,0.)); #455423=DIRECTION('',(1.,0.,0.)); #455424=DIRECTION('',(1.,0.,0.)); #455425=DIRECTION('',(0.,0.,1.)); #455426=DIRECTION('center_axis',(-1.,0.,0.)); #455427=DIRECTION('ref_axis',(0.,-1.,0.)); #455428=DIRECTION('',(0.,-1.,0.)); #455429=DIRECTION('',(0.,-1.,0.)); #455430=DIRECTION('',(0.,0.,1.)); #455431=DIRECTION('center_axis',(0.,1.,0.)); #455432=DIRECTION('ref_axis',(-1.,0.,0.)); #455433=DIRECTION('',(-1.,0.,0.)); #455434=DIRECTION('',(-1.,0.,0.)); #455435=DIRECTION('center_axis',(0.,0.,1.)); #455436=DIRECTION('ref_axis',(1.,0.,0.)); #455437=DIRECTION('center_axis',(0.,0.,1.)); #455438=DIRECTION('ref_axis',(1.,0.,0.)); #455439=DIRECTION('center_axis',(-2.02605534853945E-32,3.94310329911601E-32, 1.)); #455440=DIRECTION('ref_axis',(1.,0.,2.02605534853945E-32)); #455441=DIRECTION('',(0.,-1.,0.)); #455442=DIRECTION('',(1.,0.,0.)); #455443=DIRECTION('',(0.,1.,0.)); #455444=DIRECTION('',(-1.,0.,0.)); #455445=DIRECTION('center_axis',(8.50450386111117E-33,1.35921895148378E-48, 1.)); #455446=DIRECTION('ref_axis',(1.,0.,-8.50450386111117E-33)); #455447=DIRECTION('',(0.,-1.,0.)); #455448=DIRECTION('',(-1.,0.,0.)); #455449=DIRECTION('',(0.,1.,0.)); #455450=DIRECTION('',(1.,0.,0.)); #455451=DIRECTION('center_axis',(-0.932798134405597,-1.98402869123086E-16, -0.360399279202162)); #455452=DIRECTION('ref_axis',(-0.360399279202162,0.,0.932798134405597)); #455453=DIRECTION('',(-0.354013048499967,-0.187418672735276,0.916269066705794)); #455454=DIRECTION('',(-0.354013048499967,0.187418672735276,0.916269066705794)); #455455=DIRECTION('center_axis',(0.,0.979714932282565,-0.200396236148706)); #455456=DIRECTION('ref_axis',(0.,0.200396236148706,0.979714932282566)); #455457=DIRECTION('',(0.354013048499966,0.187418672735276,0.916269066705795)); #455458=DIRECTION('center_axis',(0.932798134405597,1.98402869123086E-16, -0.360399279202162)); #455459=DIRECTION('ref_axis',(-0.360399279202162,0.,-0.932798134405597)); #455460=DIRECTION('',(0.354013048499967,-0.187418672735276,0.916269066705794)); #455461=DIRECTION('center_axis',(0.,-0.979714932282565,-0.200396236148706)); #455462=DIRECTION('ref_axis',(0.,0.200396236148706,-0.979714932282566)); #455463=DIRECTION('center_axis',(0.,-1.,0.)); #455464=DIRECTION('ref_axis',(1.,0.,0.)); #455465=DIRECTION('',(1.,0.,0.)); #455466=DIRECTION('',(0.,0.,1.)); #455467=DIRECTION('',(1.,0.,0.)); #455468=DIRECTION('',(0.,0.,1.)); #455469=DIRECTION('center_axis',(-1.,0.,0.)); #455470=DIRECTION('ref_axis',(0.,-1.,0.)); #455471=DIRECTION('',(0.,-1.,0.)); #455472=DIRECTION('',(0.,-1.,0.)); #455473=DIRECTION('',(0.,0.,1.)); #455474=DIRECTION('center_axis',(0.,1.,0.)); #455475=DIRECTION('ref_axis',(-1.,0.,0.)); #455476=DIRECTION('',(-1.,0.,0.)); #455477=DIRECTION('',(-1.,0.,0.)); #455478=DIRECTION('',(0.,0.,1.)); #455479=DIRECTION('center_axis',(1.,0.,0.)); #455480=DIRECTION('ref_axis',(0.,1.,0.)); #455481=DIRECTION('',(0.,1.,0.)); #455482=DIRECTION('',(0.,1.,0.)); #455483=DIRECTION('center_axis',(0.,0.,1.)); #455484=DIRECTION('ref_axis',(1.,0.,0.)); #455485=DIRECTION('center_axis',(0.,0.,1.)); #455486=DIRECTION('ref_axis',(1.,0.,0.)); #455487=DIRECTION('',(0.,0.,1.)); #455488=DIRECTION('',(1.,1.83667601732677E-48,0.)); #455489=DIRECTION('',(0.,0.,1.)); #455490=DIRECTION('',(1.,1.83667601732677E-48,0.)); #455491=DIRECTION('',(0.,0.,1.)); #455492=DIRECTION('',(1.,1.83667601732677E-48,0.)); #455493=DIRECTION('axis',(0.,0.,1.)); #455494=DIRECTION('refdir',(1.,0.,0.)); #455495=DIRECTION('axis',(0.,0.,1.)); #455496=DIRECTION('refdir',(1.,0.,0.)); #455497=DIRECTION('axis',(0.,0.,1.)); #455498=DIRECTION('refdir',(1.,0.,0.)); #455499=DIRECTION('axis',(0.,0.,1.)); #455500=DIRECTION('refdir',(1.,0.,0.)); #455501=DIRECTION('axis',(0.,0.,1.)); #455502=DIRECTION('refdir',(1.,0.,0.)); #455503=DIRECTION('axis',(0.,0.,1.)); #455504=DIRECTION('refdir',(1.,0.,0.)); #455505=DIRECTION('axis',(0.,0.,1.)); #455506=DIRECTION('refdir',(1.,0.,0.)); #455507=DIRECTION('axis',(0.,0.,1.)); #455508=DIRECTION('refdir',(1.,0.,0.)); #455509=DIRECTION('axis',(0.,0.,1.)); #455510=DIRECTION('refdir',(1.,0.,0.)); #455511=DIRECTION('axis',(0.,0.,1.)); #455512=DIRECTION('refdir',(1.,0.,0.)); #455513=DIRECTION('axis',(0.,0.,1.)); #455514=DIRECTION('refdir',(1.,0.,0.)); #455515=DIRECTION('axis',(0.,0.,1.)); #455516=DIRECTION('refdir',(1.,0.,0.)); #455517=DIRECTION('axis',(0.,0.,1.)); #455518=DIRECTION('refdir',(1.,0.,0.)); #455519=DIRECTION('axis',(0.,0.,1.)); #455520=DIRECTION('refdir',(1.,0.,0.)); #455521=DIRECTION('axis',(0.,0.,1.)); #455522=DIRECTION('refdir',(1.,0.,0.)); #455523=DIRECTION('axis',(0.,0.,1.)); #455524=DIRECTION('refdir',(1.,0.,0.)); #455525=DIRECTION('axis',(0.,0.,1.)); #455526=DIRECTION('refdir',(1.,0.,0.)); #455527=DIRECTION('axis',(0.,0.,1.)); #455528=DIRECTION('refdir',(1.,0.,0.)); #455529=DIRECTION('axis',(0.,0.,1.)); #455530=DIRECTION('refdir',(1.,0.,0.)); #455531=DIRECTION('axis',(0.,0.,1.)); #455532=DIRECTION('refdir',(1.,0.,0.)); #455533=DIRECTION('axis',(0.,0.,1.)); #455534=DIRECTION('refdir',(1.,0.,0.)); #455535=DIRECTION('axis',(0.,0.,1.)); #455536=DIRECTION('refdir',(1.,0.,0.)); #455537=DIRECTION('axis',(0.,0.,1.)); #455538=DIRECTION('refdir',(1.,0.,0.)); #455539=DIRECTION('axis',(0.,0.,1.)); #455540=DIRECTION('refdir',(1.,0.,0.)); #455541=DIRECTION('axis',(0.,0.,1.)); #455542=DIRECTION('refdir',(1.,0.,0.)); #455543=DIRECTION('axis',(0.,0.,1.)); #455544=DIRECTION('refdir',(1.,0.,0.)); #455545=DIRECTION('center_axis',(-7.10886483625716E-17,0.716873494897815, 0.697203264703337)); #455546=DIRECTION('ref_axis',(1.23259516440783E-32,-0.697203264703337,0.716873494897815)); #455547=DIRECTION('',(0.,0.697203264703337,-0.716873494897815)); #455548=DIRECTION('',(-1.,0.,0.)); #455549=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #455550=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455551=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #455552=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455553=DIRECTION('',(1.48865442512566E-16,0.697203264703337,-0.716873494897815)); #455554=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455555=DIRECTION('',(2.96089828786853E-16,-0.697203264703337,0.716873494897815)); #455556=DIRECTION('',(-1.,0.,0.)); #455557=DIRECTION('',(-1.37807766057711E-17,-0.697203264703337,0.716873494897815)); #455558=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455559=DIRECTION('',(6.89038830288553E-17,0.697203264703337,-0.716873494897815)); #455560=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #455561=DIRECTION('',(0.,-0.697203264703337,0.716873494897815)); #455562=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455563=DIRECTION('center_axis',(-1.,0.,0.)); #455564=DIRECTION('ref_axis',(0.,0.,1.)); #455565=DIRECTION('',(0.,1.,0.)); #455566=DIRECTION('',(1.12352556734249E-16,0.,1.)); #455567=DIRECTION('',(0.,1.,0.)); #455568=DIRECTION('',(0.,0.,1.)); #455569=DIRECTION('center_axis',(0.,1.,0.)); #455570=DIRECTION('ref_axis',(0.,0.,1.)); #455571=DIRECTION('center_axis',(0.,-1.,0.)); #455572=DIRECTION('ref_axis',(0.,0.,-1.)); #455573=DIRECTION('',(0.,1.,0.)); #455574=DIRECTION('center_axis',(0.,-1.,0.)); #455575=DIRECTION('ref_axis',(1.,0.,0.)); #455576=DIRECTION('',(0.,1.,0.)); #455577=DIRECTION('center_axis',(0.,-1.,0.)); #455578=DIRECTION('ref_axis',(0.,0.,-1.)); #455579=DIRECTION('',(0.,1.,0.)); #455580=DIRECTION('center_axis',(0.,1.,0.)); #455581=DIRECTION('ref_axis',(0.,0.,1.)); #455582=DIRECTION('',(0.,1.,0.)); #455583=DIRECTION('center_axis',(0.,1.,0.)); #455584=DIRECTION('ref_axis',(0.,0.,1.)); #455585=DIRECTION('center_axis',(0.,-1.,0.)); #455586=DIRECTION('ref_axis',(0.,0.,-1.)); #455587=DIRECTION('',(0.,1.,0.)); #455588=DIRECTION('center_axis',(0.,1.,0.)); #455589=DIRECTION('ref_axis',(0.,0.,1.)); #455590=DIRECTION('',(0.,1.,0.)); #455591=DIRECTION('center_axis',(0.,-1.,0.)); #455592=DIRECTION('ref_axis',(0.,0.,-1.)); #455593=DIRECTION('',(0.,1.,0.)); #455594=DIRECTION('center_axis',(0.,-1.,0.)); #455595=DIRECTION('ref_axis',(1.,0.,0.)); #455596=DIRECTION('',(0.,1.,0.)); #455597=DIRECTION('center_axis',(1.,0.,0.)); #455598=DIRECTION('ref_axis',(0.,0.,-1.)); #455599=DIRECTION('',(0.,0.,-1.)); #455600=DIRECTION('',(0.,0.,-1.)); #455601=DIRECTION('center_axis',(1.,0.,0.)); #455602=DIRECTION('ref_axis',(0.,0.,-1.)); #455603=DIRECTION('',(0.,0.,1.)); #455604=DIRECTION('',(0.,0.,1.)); #455605=DIRECTION('center_axis',(1.01962586754123E-16,0.,1.)); #455606=DIRECTION('ref_axis',(1.,0.,-1.01962586754123E-16)); #455607=DIRECTION('',(1.,0.,0.)); #455608=DIRECTION('',(0.,1.,0.)); #455609=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #455610=DIRECTION('',(0.,-1.,0.)); #455611=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #455612=DIRECTION('',(0.,-1.,0.)); #455613=DIRECTION('',(1.,0.,-1.66800334228539E-16)); #455614=DIRECTION('',(0.,-1.,0.)); #455615=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #455616=DIRECTION('',(0.,-1.,0.)); #455617=DIRECTION('',(-1.,0.,-1.66800334228539E-16)); #455618=DIRECTION('',(0.,-1.,0.)); #455619=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #455620=DIRECTION('',(0.,-1.,0.)); #455621=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #455622=DIRECTION('',(0.,1.,0.)); #455623=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #455624=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #455625=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #455626=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #455627=DIRECTION('',(1.,0.,0.)); #455628=DIRECTION('center_axis',(0.,1.,0.)); #455629=DIRECTION('ref_axis',(0.,0.,1.)); #455630=DIRECTION('',(-1.,0.,0.)); #455631=DIRECTION('',(0.,0.,-1.)); #455632=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #455633=DIRECTION('',(1.53012403374971E-16,0.,1.)); #455634=DIRECTION('',(-1.,0.,0.)); #455635=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #455636=DIRECTION('',(-1.,0.,0.)); #455637=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #455638=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #455639=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #455640=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #455641=DIRECTION('',(0.,0.,1.)); #455642=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #455643=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #455644=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #455645=DIRECTION('',(0.,0.,-1.)); #455646=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #455647=DIRECTION('',(-1.,0.,0.)); #455648=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #455649=DIRECTION('center_axis',(0.,-1.,0.)); #455650=DIRECTION('ref_axis',(0.,0.,-1.)); #455651=DIRECTION('',(0.,0.,-1.)); #455652=DIRECTION('center_axis',(0.,-1.,0.)); #455653=DIRECTION('ref_axis',(0.,0.,-1.)); #455654=DIRECTION('',(0.,0.,-1.)); #455655=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455656=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #455657=DIRECTION('center_axis',(0.,-1.,0.)); #455658=DIRECTION('ref_axis',(0.,0.,-1.)); #455659=DIRECTION('center_axis',(0.,-1.,0.)); #455660=DIRECTION('ref_axis',(0.,0.,-1.)); #455661=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #455662=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #455663=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455664=DIRECTION('',(0.,0.,1.)); #455665=DIRECTION('center_axis',(0.,-1.,0.)); #455666=DIRECTION('ref_axis',(0.,0.,-1.)); #455667=DIRECTION('center_axis',(0.,-1.,0.)); #455668=DIRECTION('ref_axis',(0.,0.,-1.)); #455669=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #455670=DIRECTION('center_axis',(0.,-1.,0.)); #455671=DIRECTION('ref_axis',(0.,0.,-1.)); #455672=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #455673=DIRECTION('center_axis',(0.,1.,0.)); #455674=DIRECTION('ref_axis',(0.,0.,1.)); #455675=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455676=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455677=DIRECTION('',(0.,1.,0.)); #455678=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455679=DIRECTION('',(0.,1.,0.)); #455680=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455681=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455682=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455683=DIRECTION('',(0.,1.,0.)); #455684=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455685=DIRECTION('',(0.,1.,0.)); #455686=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455687=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455688=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455689=DIRECTION('',(0.,1.,0.)); #455690=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455691=DIRECTION('',(0.,1.,0.)); #455692=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455693=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455694=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455695=DIRECTION('',(0.,1.,0.)); #455696=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455697=DIRECTION('',(0.,1.,0.)); #455698=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455699=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455700=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455701=DIRECTION('',(0.,1.,0.)); #455702=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455703=DIRECTION('',(0.,1.,0.)); #455704=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455705=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455706=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455707=DIRECTION('',(0.,1.,0.)); #455708=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455709=DIRECTION('',(0.,1.,0.)); #455710=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455711=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455712=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455713=DIRECTION('',(0.,1.,0.)); #455714=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455715=DIRECTION('',(0.,1.,0.)); #455716=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455717=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455718=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455719=DIRECTION('',(0.,1.,0.)); #455720=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455721=DIRECTION('',(0.,1.,0.)); #455722=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455723=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455724=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455725=DIRECTION('',(0.,1.,0.)); #455726=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455727=DIRECTION('',(0.,1.,0.)); #455728=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455729=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455730=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455731=DIRECTION('',(0.,1.,0.)); #455732=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455733=DIRECTION('',(0.,1.,0.)); #455734=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455735=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455736=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455737=DIRECTION('',(0.,1.,0.)); #455738=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455739=DIRECTION('',(0.,1.,0.)); #455740=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455741=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #455742=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #455743=DIRECTION('',(0.,1.,0.)); #455744=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #455745=DIRECTION('',(0.,1.,0.)); #455746=DIRECTION('',(1.,0.,1.01962586754123E-16)); #455747=DIRECTION('center_axis',(-1.,0.,0.)); #455748=DIRECTION('ref_axis',(0.,0.,1.)); #455749=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455750=DIRECTION('',(0.,1.,0.)); #455751=DIRECTION('',(0.,0.,1.)); #455752=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #455753=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #455754=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #455755=DIRECTION('',(0.,1.,0.)); #455756=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #455757=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #455758=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #455759=DIRECTION('',(0.,1.,0.)); #455760=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #455761=DIRECTION('',(0.,1.,0.)); #455762=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #455763=DIRECTION('center_axis',(1.,0.,4.33680868994199E-15)); #455764=DIRECTION('ref_axis',(4.33680868994199E-15,0.,-1.)); #455765=DIRECTION('',(4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #455766=DIRECTION('',(4.33680868994199E-15,0.,-1.)); #455767=DIRECTION('center_axis',(-1.,0.,0.)); #455768=DIRECTION('ref_axis',(0.,0.,1.)); #455769=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455770=DIRECTION('',(0.,1.,0.)); #455771=DIRECTION('',(0.,0.,1.)); #455772=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186547)); #455773=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #455774=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #455775=DIRECTION('',(0.,1.,0.)); #455776=DIRECTION('',(-0.707106781186547,0.,0.707106781186548)); #455777=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #455778=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #455779=DIRECTION('',(0.,1.,0.)); #455780=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #455781=DIRECTION('',(0.,1.,0.)); #455782=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #455783=DIRECTION('center_axis',(1.,0.,0.)); #455784=DIRECTION('ref_axis',(0.,0.,-1.)); #455785=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455786=DIRECTION('',(0.,0.,-1.)); #455787=DIRECTION('center_axis',(-1.,0.,0.)); #455788=DIRECTION('ref_axis',(0.,0.,1.)); #455789=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455790=DIRECTION('',(0.,1.,0.)); #455791=DIRECTION('',(0.,0.,1.)); #455792=DIRECTION('center_axis',(-0.707106781186549,0.,-0.707106781186546)); #455793=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #455794=DIRECTION('',(-0.577350269189625,-0.577350269189626,0.577350269189627)); #455795=DIRECTION('',(0.,1.,0.)); #455796=DIRECTION('',(-0.707106781186546,0.,0.707106781186549)); #455797=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #455798=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #455799=DIRECTION('',(0.,1.,0.)); #455800=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #455801=DIRECTION('',(0.,1.,0.)); #455802=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #455803=DIRECTION('center_axis',(1.,0.,0.)); #455804=DIRECTION('ref_axis',(0.,0.,-1.)); #455805=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455806=DIRECTION('',(0.,0.,-1.)); #455807=DIRECTION('center_axis',(-1.,0.,0.)); #455808=DIRECTION('ref_axis',(0.,0.,1.)); #455809=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455810=DIRECTION('',(0.,1.,0.)); #455811=DIRECTION('',(0.,0.,1.)); #455812=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #455813=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #455814=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #455815=DIRECTION('',(0.,1.,0.)); #455816=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #455817=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #455818=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #455819=DIRECTION('',(0.,1.,0.)); #455820=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #455821=DIRECTION('',(0.,1.,0.)); #455822=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #455823=DIRECTION('center_axis',(1.,0.,0.)); #455824=DIRECTION('ref_axis',(0.,0.,-1.)); #455825=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455826=DIRECTION('',(0.,0.,-1.)); #455827=DIRECTION('center_axis',(-1.,0.,0.)); #455828=DIRECTION('ref_axis',(0.,0.,1.)); #455829=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455830=DIRECTION('',(0.,1.,0.)); #455831=DIRECTION('',(0.,0.,1.)); #455832=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #455833=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #455834=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #455835=DIRECTION('',(0.,1.,0.)); #455836=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #455837=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186547)); #455838=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #455839=DIRECTION('',(0.,1.,0.)); #455840=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #455841=DIRECTION('',(0.,1.,0.)); #455842=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #455843=DIRECTION('center_axis',(1.,0.,0.)); #455844=DIRECTION('ref_axis',(0.,0.,-1.)); #455845=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455846=DIRECTION('',(0.,0.,-1.)); #455847=DIRECTION('center_axis',(-1.,0.,0.)); #455848=DIRECTION('ref_axis',(0.,0.,1.)); #455849=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455850=DIRECTION('',(0.,1.,0.)); #455851=DIRECTION('',(0.,0.,1.)); #455852=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #455853=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #455854=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #455855=DIRECTION('',(0.,1.,0.)); #455856=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #455857=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #455858=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #455859=DIRECTION('',(0.,1.,0.)); #455860=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #455861=DIRECTION('',(0.,1.,0.)); #455862=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #455863=DIRECTION('center_axis',(1.,0.,0.)); #455864=DIRECTION('ref_axis',(0.,0.,-1.)); #455865=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455866=DIRECTION('',(0.,0.,-1.)); #455867=DIRECTION('center_axis',(-1.,0.,0.)); #455868=DIRECTION('ref_axis',(0.,0.,1.)); #455869=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455870=DIRECTION('',(0.,1.,0.)); #455871=DIRECTION('',(0.,0.,1.)); #455872=DIRECTION('center_axis',(-0.707106781186545,0.,-0.70710678118655)); #455873=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #455874=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #455875=DIRECTION('',(0.,1.,0.)); #455876=DIRECTION('',(-0.70710678118655,0.,0.707106781186545)); #455877=DIRECTION('center_axis',(-1.,0.,0.)); #455878=DIRECTION('ref_axis',(0.,0.,1.)); #455879=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455880=DIRECTION('',(0.,0.,1.)); #455881=DIRECTION('',(0.,1.,0.)); #455882=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #455883=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #455884=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #455885=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #455886=DIRECTION('',(0.,1.,0.)); #455887=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #455888=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #455889=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #455890=DIRECTION('',(0.,1.,0.)); #455891=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #455892=DIRECTION('',(0.,1.,0.)); #455893=DIRECTION('center_axis',(1.,0.,-4.33680868994199E-15)); #455894=DIRECTION('ref_axis',(-4.33680868994199E-15,0.,-1.)); #455895=DIRECTION('',(-4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #455896=DIRECTION('',(-4.33680868994199E-15,0.,-1.)); #455897=DIRECTION('center_axis',(-1.,0.,0.)); #455898=DIRECTION('ref_axis',(0.,0.,1.)); #455899=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455900=DIRECTION('',(0.,0.,1.)); #455901=DIRECTION('',(0.,1.,0.)); #455902=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186547)); #455903=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #455904=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #455905=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #455906=DIRECTION('',(0.,1.,0.)); #455907=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #455908=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #455909=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #455910=DIRECTION('',(0.,1.,0.)); #455911=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #455912=DIRECTION('',(0.,1.,0.)); #455913=DIRECTION('center_axis',(1.,0.,0.)); #455914=DIRECTION('ref_axis',(0.,0.,-1.)); #455915=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455916=DIRECTION('',(0.,0.,-1.)); #455917=DIRECTION('center_axis',(-1.,0.,0.)); #455918=DIRECTION('ref_axis',(0.,0.,1.)); #455919=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455920=DIRECTION('',(0.,0.,1.)); #455921=DIRECTION('',(0.,1.,0.)); #455922=DIRECTION('center_axis',(-0.707106781186549,0.,0.707106781186546)); #455923=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #455924=DIRECTION('',(0.577350269189625,-0.577350269189626,0.577350269189627)); #455925=DIRECTION('',(0.707106781186546,0.,0.707106781186549)); #455926=DIRECTION('',(0.,1.,0.)); #455927=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #455928=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #455929=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #455930=DIRECTION('',(0.,1.,0.)); #455931=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #455932=DIRECTION('',(0.,1.,0.)); #455933=DIRECTION('center_axis',(1.,0.,0.)); #455934=DIRECTION('ref_axis',(0.,0.,-1.)); #455935=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455936=DIRECTION('',(0.,0.,-1.)); #455937=DIRECTION('center_axis',(-1.,0.,0.)); #455938=DIRECTION('ref_axis',(0.,0.,1.)); #455939=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455940=DIRECTION('',(0.,0.,1.)); #455941=DIRECTION('',(0.,1.,0.)); #455942=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); #455943=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #455944=DIRECTION('',(0.577350269189626,-0.577350269189625,0.577350269189626)); #455945=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); #455946=DIRECTION('',(0.,1.,0.)); #455947=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #455948=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #455949=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #455950=DIRECTION('',(0.,1.,0.)); #455951=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #455952=DIRECTION('',(0.,1.,0.)); #455953=DIRECTION('center_axis',(1.,0.,0.)); #455954=DIRECTION('ref_axis',(0.,0.,-1.)); #455955=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455956=DIRECTION('',(0.,0.,-1.)); #455957=DIRECTION('center_axis',(-1.,0.,0.)); #455958=DIRECTION('ref_axis',(0.,0.,1.)); #455959=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455960=DIRECTION('',(0.,0.,1.)); #455961=DIRECTION('',(0.,1.,0.)); #455962=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #455963=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #455964=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #455965=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #455966=DIRECTION('',(0.,1.,0.)); #455967=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186547)); #455968=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #455969=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #455970=DIRECTION('',(0.,1.,0.)); #455971=DIRECTION('',(0.707106781186547,0.,-0.707106781186548)); #455972=DIRECTION('',(0.,1.,0.)); #455973=DIRECTION('center_axis',(1.,0.,0.)); #455974=DIRECTION('ref_axis',(0.,0.,-1.)); #455975=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #455976=DIRECTION('',(0.,0.,-1.)); #455977=DIRECTION('center_axis',(-1.,0.,0.)); #455978=DIRECTION('ref_axis',(0.,0.,1.)); #455979=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #455980=DIRECTION('',(0.,0.,1.)); #455981=DIRECTION('',(0.,1.,0.)); #455982=DIRECTION('center_axis',(-0.707106781186545,0.,0.70710678118655)); #455983=DIRECTION('ref_axis',(0.70710678118655,0.,0.707106781186545)); #455984=DIRECTION('',(0.577350269189629,-0.577350269189624,0.577350269189624)); #455985=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #455986=DIRECTION('',(0.,1.,0.)); #455987=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #455988=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #455989=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #455990=DIRECTION('',(0.,1.,0.)); #455991=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #455992=DIRECTION('',(0.,-1.,0.)); #455993=DIRECTION('center_axis',(-1.20466908053945E-16,-1.,-9.91978355124293E-33)); #455994=DIRECTION('ref_axis',(1.,-1.20466908053945E-16,0.)); #455995=DIRECTION('',(-1.,0.,0.)); #455996=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #455997=DIRECTION('',(-1.,1.20466908053945E-16,-7.83760606616027E-17)); #455998=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #455999=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456000=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #456001=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #456002=DIRECTION('',(0.,1.,0.)); #456003=DIRECTION('',(0.,-1.,0.)); #456004=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456005=DIRECTION('center_axis',(0.,1.,0.)); #456006=DIRECTION('ref_axis',(0.,0.,1.)); #456007=DIRECTION('',(-1.,0.,0.)); #456008=DIRECTION('',(-1.,0.,0.)); #456009=DIRECTION('',(-1.,0.,0.)); #456010=DIRECTION('',(-1.,0.,0.)); #456011=DIRECTION('',(-1.,0.,0.)); #456012=DIRECTION('',(-1.,0.,0.)); #456013=DIRECTION('',(1.,0.,0.)); #456014=DIRECTION('',(1.,0.,0.)); #456015=DIRECTION('',(1.,0.,0.)); #456016=DIRECTION('',(1.,0.,0.)); #456017=DIRECTION('',(1.,0.,0.)); #456018=DIRECTION('',(-1.,0.,0.)); #456019=DIRECTION('',(1.,0.,0.)); #456020=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #456021=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #456022=DIRECTION('',(0.,-1.,0.)); #456023=DIRECTION('',(0.,1.,0.)); #456024=DIRECTION('',(1.,2.25875452601147E-16,1.01962586754123E-16)); #456025=DIRECTION('',(0.,-1.,0.)); #456026=DIRECTION('',(0.707106781186547,0.707106781186548,7.20984365211617E-17)); #456027=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #456028=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #456029=DIRECTION('',(0.707106781186547,-0.707106781186548,7.20984365211617E-17)); #456030=DIRECTION('',(0.,-1.,0.)); #456031=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456032=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #456033=DIRECTION('',(0.,1.,0.)); #456034=DIRECTION('center_axis',(0.,-1.,0.)); #456035=DIRECTION('ref_axis',(0.,0.,-1.)); #456036=DIRECTION('',(0.,-1.,0.)); #456037=DIRECTION('center_axis',(-2.25875452601147E-16,1.,-2.30308454314712E-32)); #456038=DIRECTION('ref_axis',(-1.,-2.25875452601147E-16,0.)); #456039=DIRECTION('',(0.,-1.,0.)); #456040=DIRECTION('center_axis',(0.,-1.,0.)); #456041=DIRECTION('ref_axis',(0.,0.,-1.)); #456042=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #456043=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #456044=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #456045=DIRECTION('',(0.,1.,0.)); #456046=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456047=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #456048=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #456049=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #456050=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #456051=DIRECTION('',(0.,-1.,0.)); #456052=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456053=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #456054=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #456055=DIRECTION('',(1.,0.,1.01962586754123E-16)); #456056=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #456057=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #456058=DIRECTION('',(0.,-1.,0.)); #456059=DIRECTION('',(1.,0.,1.01962586754123E-16)); #456060=DIRECTION('',(0.,1.,0.)); #456061=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #456062=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #456063=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456064=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #456065=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #456066=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456067=DIRECTION('',(0.,-1.,0.)); #456068=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #456069=DIRECTION('center_axis',(0.,-1.,0.)); #456070=DIRECTION('ref_axis',(0.,0.,-1.)); #456071=DIRECTION('',(1.,0.,1.12352556734249E-16)); #456072=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456073=DIRECTION('',(1.,0.,1.01962586754123E-16)); #456074=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456075=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #456076=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #456077=DIRECTION('',(-7.20984365211617E-17,-0.707106781186549,0.707106781186546)); #456078=DIRECTION('',(1.,0.,1.01962586754123E-16)); #456079=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #456080=DIRECTION('center_axis',(0.,-1.,0.)); #456081=DIRECTION('ref_axis',(0.,0.,-1.)); #456082=DIRECTION('',(1.,0.,1.12352556734249E-16)); #456083=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456084=DIRECTION('',(1.,0.,1.01962586754123E-16)); #456085=DIRECTION('center_axis',(0.,-1.,0.)); #456086=DIRECTION('ref_axis',(0.,0.,-1.)); #456087=DIRECTION('',(0.,0.,1.)); #456088=DIRECTION('center_axis',(0.,-1.,0.)); #456089=DIRECTION('ref_axis',(0.,0.,-1.)); #456090=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #456091=DIRECTION('',(0.,0.,1.)); #456092=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #456093=DIRECTION('center_axis',(0.,-1.,0.)); #456094=DIRECTION('ref_axis',(1.,0.,0.)); #456095=DIRECTION('center_axis',(0.,-1.,0.)); #456096=DIRECTION('ref_axis',(-1.,0.,0.)); #456097=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #456098=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #456099=DIRECTION('',(2.10448956370965E-16,-0.707106781186549,0.707106781186546)); #456100=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); #456101=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #456102=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #456103=DIRECTION('',(7.20984365211617E-17,0.707106781186549,-0.707106781186546)); #456104=DIRECTION('',(4.12830306895245E-16,0.707106781186549,-0.707106781186546)); #456105=DIRECTION('center_axis',(0.,-1.,0.)); #456106=DIRECTION('ref_axis',(0.,0.,-1.)); #456107=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456108=DIRECTION('',(1.,0.,1.12352556734249E-16)); #456109=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #456110=DIRECTION('',(0.,0.,1.)); #456111=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #456112=DIRECTION('center_axis',(0.,-1.,0.)); #456113=DIRECTION('ref_axis',(0.,0.,-1.)); #456114=DIRECTION('',(0.,0.,-1.)); #456115=DIRECTION('center_axis',(0.,-1.,0.)); #456116=DIRECTION('ref_axis',(0.,0.,-1.)); #456117=DIRECTION('center_axis',(0.,-1.,0.)); #456118=DIRECTION('ref_axis',(0.,0.,-1.)); #456119=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #456120=DIRECTION('',(0.,0.,-1.)); #456121=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #456122=DIRECTION('',(0.,0.,-1.)); #456123=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #456124=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #456125=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #456126=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #456127=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #456128=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #456129=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #456130=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #456131=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #456132=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #456133=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #456134=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #456135=DIRECTION('center_axis',(0.,-1.,0.)); #456136=DIRECTION('ref_axis',(0.,0.,-1.)); #456137=DIRECTION('center_axis',(0.,-1.,0.)); #456138=DIRECTION('ref_axis',(0.,0.,-1.)); #456139=DIRECTION('',(0.,-1.,0.)); #456140=DIRECTION('center_axis',(0.,-1.,0.)); #456141=DIRECTION('ref_axis',(0.,0.,-1.)); #456142=DIRECTION('center_axis',(1.,0.,0.)); #456143=DIRECTION('ref_axis',(0.,0.,-1.)); #456144=DIRECTION('',(0.,0.,1.)); #456145=DIRECTION('',(0.,-1.,0.)); #456146=DIRECTION('',(0.,0.,-1.)); #456147=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456148=DIRECTION('center_axis',(0.,-1.,0.)); #456149=DIRECTION('ref_axis',(0.,0.,-1.)); #456150=DIRECTION('center_axis',(0.,-1.,0.)); #456151=DIRECTION('ref_axis',(0.,0.,-1.)); #456152=DIRECTION('center_axis',(0.,-1.,0.)); #456153=DIRECTION('ref_axis',(0.,0.,-1.)); #456154=DIRECTION('center_axis',(0.,-1.,0.)); #456155=DIRECTION('ref_axis',(0.,0.,-1.)); #456156=DIRECTION('',(0.,-1.,0.)); #456157=DIRECTION('center_axis',(0.,-1.,0.)); #456158=DIRECTION('ref_axis',(0.,0.,-1.)); #456159=DIRECTION('center_axis',(-1.,0.,0.)); #456160=DIRECTION('ref_axis',(0.,0.,1.)); #456161=DIRECTION('',(0.,0.,-1.)); #456162=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456163=DIRECTION('',(0.,0.,1.)); #456164=DIRECTION('center_axis',(-1.12352556734249E-16,0.,1.)); #456165=DIRECTION('ref_axis',(1.,0.,1.12352556734249E-16)); #456166=DIRECTION('',(0.,1.,0.)); #456167=DIRECTION('',(-1.,0.,-1.12352556734249E-16)); #456168=DIRECTION('',(0.,1.,0.)); #456169=DIRECTION('',(1.,0.,1.01962586754123E-16)); #456170=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #456171=DIRECTION('',(0.,-1.,0.)); #456172=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #456173=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #456174=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456175=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #456176=DIRECTION('center_axis',(0.,1.,0.)); #456177=DIRECTION('ref_axis',(0.,0.,1.)); #456178=DIRECTION('center_axis',(0.,1.,0.)); #456179=DIRECTION('ref_axis',(0.,0.,1.)); #456180=DIRECTION('',(0.,1.,0.)); #456181=DIRECTION('center_axis',(0.,-1.,0.)); #456182=DIRECTION('ref_axis',(0.,0.,-1.)); #456183=DIRECTION('',(0.,1.,0.)); #456184=DIRECTION('center_axis',(0.,-1.,0.)); #456185=DIRECTION('ref_axis',(0.,0.,-1.)); #456186=DIRECTION('center_axis',(-1.,0.,0.)); #456187=DIRECTION('ref_axis',(0.,0.,1.)); #456188=DIRECTION('',(1.12352556734249E-16,0.,1.)); #456189=DIRECTION('',(0.,1.,0.)); #456190=DIRECTION('',(0.,0.,-1.)); #456191=DIRECTION('center_axis',(0.,1.,0.)); #456192=DIRECTION('ref_axis',(0.,0.,1.)); #456193=DIRECTION('',(0.,1.,0.)); #456194=DIRECTION('center_axis',(0.,1.,0.)); #456195=DIRECTION('ref_axis',(0.,0.,1.)); #456196=DIRECTION('',(0.,1.,0.)); #456197=DIRECTION('center_axis',(0.,-1.,0.)); #456198=DIRECTION('ref_axis',(0.,0.,-1.)); #456199=DIRECTION('center_axis',(0.,-1.,0.)); #456200=DIRECTION('ref_axis',(0.,0.,-1.)); #456201=DIRECTION('center_axis',(-1.12352556734249E-16,0.,-1.)); #456202=DIRECTION('ref_axis',(-1.,0.,1.12352556734249E-16)); #456203=DIRECTION('',(1.,0.,-1.12352556734249E-16)); #456204=DIRECTION('',(0.,-1.,0.)); #456205=DIRECTION('',(1.,0.,8.34001671142696E-17)); #456206=DIRECTION('',(0.,-1.,0.)); #456207=DIRECTION('',(0.,-1.,0.)); #456208=DIRECTION('',(-1.,0.,8.34001671142696E-17)); #456209=DIRECTION('',(0.,-1.,0.)); #456210=DIRECTION('center_axis',(0.,-1.,0.)); #456211=DIRECTION('ref_axis',(0.,0.,-1.)); #456212=DIRECTION('',(0.,0.,1.)); #456213=DIRECTION('center_axis',(0.,-1.,0.)); #456214=DIRECTION('ref_axis',(0.,0.,-1.)); #456215=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #456216=DIRECTION('center_axis',(0.,-1.,0.)); #456217=DIRECTION('ref_axis',(0.,0.,-1.)); #456218=DIRECTION('',(0.,0.,-1.)); #456219=DIRECTION('center_axis',(0.,-1.,0.)); #456220=DIRECTION('ref_axis',(0.,0.,-1.)); #456221=DIRECTION('',(1.,0.,1.12352556734249E-16)); #456222=DIRECTION('center_axis',(0.,-1.,0.)); #456223=DIRECTION('ref_axis',(0.,0.,-1.)); #456224=DIRECTION('',(1.,0.,0.)); #456225=DIRECTION('',(0.,0.,-1.)); #456226=DIRECTION('',(1.,0.,0.)); #456227=DIRECTION('',(0.,0.,-1.)); #456228=DIRECTION('center_axis',(-1.,0.,0.)); #456229=DIRECTION('ref_axis',(0.,0.,1.)); #456230=DIRECTION('',(0.,1.,0.)); #456231=DIRECTION('',(0.,0.,-1.)); #456232=DIRECTION('',(0.,1.,0.)); #456233=DIRECTION('center_axis',(1.,0.,0.)); #456234=DIRECTION('ref_axis',(0.,0.,-1.)); #456235=DIRECTION('',(0.,-1.,0.)); #456236=DIRECTION('',(0.,-1.,0.)); #456237=DIRECTION('',(0.,0.,-1.)); #456238=DIRECTION('center_axis',(0.,0.,-1.)); #456239=DIRECTION('ref_axis',(-1.,0.,0.)); #456240=DIRECTION('center_axis',(0.,0.,1.)); #456241=DIRECTION('ref_axis',(1.,0.,0.)); #456242=DIRECTION('center_axis',(0.,0.,1.)); #456243=DIRECTION('ref_axis',(1.,0.,0.)); #456244=DIRECTION('',(0.,0.,-1.)); #456245=DIRECTION('center_axis',(1.,0.,0.)); #456246=DIRECTION('ref_axis',(0.,0.,-1.)); #456247=DIRECTION('',(0.,-1.,0.)); #456248=DIRECTION('',(0.,-1.,0.)); #456249=DIRECTION('',(0.,0.,-1.)); #456250=DIRECTION('center_axis',(0.959365501571271,-0.28216632399155,0.)); #456251=DIRECTION('ref_axis',(0.28216632399155,0.959365501571271,0.)); #456252=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #456253=DIRECTION('',(0.,0.,-1.)); #456254=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #456255=DIRECTION('center_axis',(0.,-1.,0.)); #456256=DIRECTION('ref_axis',(0.,0.,-1.)); #456257=DIRECTION('',(1.,0.,0.)); #456258=DIRECTION('',(0.,0.,-1.)); #456259=DIRECTION('',(-1.,0.,0.)); #456260=DIRECTION('',(0.,0.,-1.)); #456261=DIRECTION('center_axis',(-0.95936550157128,-0.282166323991518,0.)); #456262=DIRECTION('ref_axis',(0.282166323991518,-0.95936550157128,0.)); #456263=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #456264=DIRECTION('',(0.,0.,-1.)); #456265=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #456266=DIRECTION('',(0.,0.,-1.)); #456267=DIRECTION('center_axis',(-1.,-6.99485272571307E-14,0.)); #456268=DIRECTION('ref_axis',(6.99485272571307E-14,-1.,0.)); #456269=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #456270=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #456271=DIRECTION('',(0.,0.,-1.)); #456272=DIRECTION('center_axis',(0.,0.,-1.)); #456273=DIRECTION('ref_axis',(-1.,0.,0.)); #456274=DIRECTION('center_axis',(0.,0.,1.)); #456275=DIRECTION('ref_axis',(-1.,0.,0.)); #456276=DIRECTION('center_axis',(0.,0.,1.)); #456277=DIRECTION('ref_axis',(-1.,0.,0.)); #456278=DIRECTION('center_axis',(0.,0.,1.)); #456279=DIRECTION('ref_axis',(1.,0.,0.)); #456280=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #456281=DIRECTION('',(1.,0.,0.)); #456282=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #456283=DIRECTION('center_axis',(0.,0.,1.)); #456284=DIRECTION('ref_axis',(1.,0.,0.)); #456285=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #456286=DIRECTION('',(-1.,0.,0.)); #456287=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #456288=DIRECTION('center_axis',(0.,1.,0.)); #456289=DIRECTION('ref_axis',(0.,0.,1.)); #456290=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #456291=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #456292=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186547, -0.707106781186548)); #456293=DIRECTION('ref_axis',(-1.,-7.39557098644699E-32,-1.12352556734249E-16)); #456294=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #456295=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #456296=DIRECTION('ref_axis',(0.,0.,1.)); #456297=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #456298=DIRECTION('center_axis',(0.,1.,0.)); #456299=DIRECTION('ref_axis',(0.,0.,1.)); #456300=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #456301=DIRECTION('center_axis',(0.,1.,0.)); #456302=DIRECTION('ref_axis',(0.,0.,1.)); #456303=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #456304=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #456305=DIRECTION('ref_axis',(0.,0.,-1.)); #456306=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #456307=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186548, 0.707106781186548)); #456308=DIRECTION('ref_axis',(1.,1.72563323017096E-31,-1.12352556734249E-16)); #456309=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #456310=DIRECTION('center_axis',(0.,1.,0.)); #456311=DIRECTION('ref_axis',(0.,0.,1.)); #456312=DIRECTION('center_axis',(0.,1.,0.)); #456313=DIRECTION('ref_axis',(0.,0.,1.)); #456314=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #456315=DIRECTION('center_axis',(0.707106781186549,0.707106781186547,0.)); #456316=DIRECTION('ref_axis',(0.,0.,-1.)); #456317=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #456318=DIRECTION('center_axis',(0.,1.,0.)); #456319=DIRECTION('ref_axis',(0.,0.,1.)); #456320=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #456321=DIRECTION('center_axis',(0.,1.,0.)); #456322=DIRECTION('ref_axis',(0.,0.,1.)); #456323=DIRECTION('center_axis',(-0.707106781186549,0.707106781186547,0.)); #456324=DIRECTION('ref_axis',(0.,0.,1.)); #456325=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #456326=DIRECTION('center_axis',(0.,1.,0.)); #456327=DIRECTION('ref_axis',(0.,0.,1.)); #456328=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #456329=DIRECTION('ref_axis',(1.,0.,0.)); #456330=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #456331=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #456332=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #456333=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #456334=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #456335=DIRECTION('ref_axis',(-1.,0.,0.)); #456336=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #456337=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #456338=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #456339=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #456340=DIRECTION('center_axis',(0.,0.,-1.)); #456341=DIRECTION('ref_axis',(-1.,0.,0.)); #456342=DIRECTION('center_axis',(0.,0.,-1.)); #456343=DIRECTION('ref_axis',(-1.,0.,0.)); #456344=DIRECTION('center_axis',(1.,0.,0.)); #456345=DIRECTION('ref_axis',(0.,0.,-1.)); #456346=DIRECTION('',(0.,0.,1.)); #456347=DIRECTION('center_axis',(-1.,0.,0.)); #456348=DIRECTION('ref_axis',(0.,0.,1.)); #456349=DIRECTION('',(0.,0.,-1.)); #456350=DIRECTION('center_axis',(0.,-1.,0.)); #456351=DIRECTION('ref_axis',(0.,0.,-1.)); #456352=DIRECTION('center_axis',(1.,0.,0.)); #456353=DIRECTION('ref_axis',(0.,0.,-1.)); #456354=DIRECTION('',(0.,0.,1.)); #456355=DIRECTION('center_axis',(-1.,0.,0.)); #456356=DIRECTION('ref_axis',(0.,0.,1.)); #456357=DIRECTION('',(0.,0.,-1.)); #456358=DIRECTION('center_axis',(0.,1.,0.)); #456359=DIRECTION('ref_axis',(0.,0.,1.)); #456360=DIRECTION('center_axis',(-1.,-3.99582355041204E-16,-1.01962586754123E-16)); #456361=DIRECTION('ref_axis',(3.99582355041204E-16,-1.,0.)); #456362=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456363=DIRECTION('center_axis',(0.,-1.,0.)); #456364=DIRECTION('ref_axis',(0.,0.,-1.)); #456365=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456366=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #456367=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456368=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456369=DIRECTION('center_axis',(0.576708198801458,-0.816950214783727,5.88026597521075E-17)); #456370=DIRECTION('ref_axis',(0.816950214783727,0.576708198801458,0.)); #456371=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456372=DIRECTION('center_axis',(1.,-4.8186763221578E-16,1.01962586754123E-16)); #456373=DIRECTION('ref_axis',(4.8186763221578E-16,1.,0.)); #456374=DIRECTION('center_axis',(0.,1.,0.)); #456375=DIRECTION('ref_axis',(0.,0.,1.)); #456376=DIRECTION('',(-0.696145836073751,0.,-0.717900393451054)); #456377=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456378=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #456379=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456380=DIRECTION('',(-0.69614583607375,0.,0.717900393451055)); #456381=DIRECTION('',(1.,0.,1.01962586754123E-16)); #456382=DIRECTION('center_axis',(0.,-1.,0.)); #456383=DIRECTION('ref_axis',(0.,0.,-1.)); #456384=DIRECTION('',(0.69614583607375,0.,-0.717900393451055)); #456385=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456386=DIRECTION('',(0.696145836073751,0.,0.717900393451054)); #456387=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456388=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #456389=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #456390=DIRECTION('',(0.,1.,0.)); #456391=DIRECTION('',(0.,-1.,0.)); #456392=DIRECTION('center_axis',(-1.,0.,-1.01962586754123E-16)); #456393=DIRECTION('ref_axis',(-1.01962586754123E-16,0.,1.)); #456394=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456395=DIRECTION('center_axis',(0.,1.,0.)); #456396=DIRECTION('ref_axis',(0.,0.,1.)); #456397=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456398=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #456399=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456400=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456401=DIRECTION('center_axis',(0.576708198801458,0.816950214783727,5.88026597521075E-17)); #456402=DIRECTION('ref_axis',(-0.816950214783727,0.576708198801458,0.)); #456403=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #456404=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #456405=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #456406=DIRECTION('center_axis',(-0.717900393451055,0.,-0.69614583607375)); #456407=DIRECTION('ref_axis',(0.,1.,0.)); #456408=DIRECTION('',(-0.565508731074882,0.583180878920974,0.583180878920972)); #456409=DIRECTION('center_axis',(0.717900393451054,0.,-0.696145836073751)); #456410=DIRECTION('ref_axis',(0.,-1.,0.)); #456411=DIRECTION('',(-0.565508731074882,-0.583180878920974,-0.583180878920972)); #456412=DIRECTION('center_axis',(7.20984365211619E-17,0.707106781186546, -0.707106781186549)); #456413=DIRECTION('ref_axis',(1.,2.83496887813801E-31,1.01962586754123E-16)); #456414=DIRECTION('center_axis',(-0.711407045126353,0.702780204718795,-7.25369025561898E-17)); #456415=DIRECTION('ref_axis',(-0.702780204718795,-0.711407045126353,6.16297582203915E-33)); #456416=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #456417=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #456418=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #456419=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456420=DIRECTION('',(0.,1.,0.)); #456421=DIRECTION('center_axis',(-1.03902708196528E-15,-1.,-1.05941888984767E-31)); #456422=DIRECTION('ref_axis',(1.,-1.03902708196528E-15,0.)); #456423=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #456424=DIRECTION('',(1.,0.,7.83760606616027E-17)); #456425=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #456426=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #456427=DIRECTION('',(1.,-1.03902708196528E-15,1.01962586754123E-16)); #456428=DIRECTION('center_axis',(-1.,2.16840434497101E-15,-1.01962586754123E-16)); #456429=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #456430=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #456431=DIRECTION('center_axis',(0.711407045126358,0.70278020471879,7.25369025561903E-17)); #456432=DIRECTION('ref_axis',(-0.70278020471879,0.711407045126358,0.)); #456433=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #456434=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #456435=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #456436=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #456437=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #456438=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #456439=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #456440=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #456441=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #456442=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #456443=DIRECTION('center_axis',(0.,0.,1.)); #456444=DIRECTION('ref_axis',(1.,0.,0.)); #456445=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #456446=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #456447=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #456448=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #456449=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #456450=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #456451=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #456452=DIRECTION('center_axis',(0.,0.,-1.)); #456453=DIRECTION('ref_axis',(-1.,0.,0.)); #456454=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #456455=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #456456=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #456457=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #456458=DIRECTION('center_axis',(0.,0.,1.)); #456459=DIRECTION('ref_axis',(1.,0.,0.)); #456460=DIRECTION('',(0.707106781186546,8.65956056235492E-17,-0.707106781186548)); #456461=DIRECTION('center_axis',(0.,0.,1.)); #456462=DIRECTION('ref_axis',(1.,0.,0.)); #456463=DIRECTION('',(0.707106781186547,8.65956056235492E-17,-0.707106781186548)); #456464=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #456465=DIRECTION('ref_axis',(0.,0.,1.)); #456466=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #456467=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #456468=DIRECTION('',(2.8794242566824E-16,-0.707106781186548,0.707106781186548)); #456469=DIRECTION('',(1.,0.,-9.63735264431562E-15)); #456470=DIRECTION('center_axis',(0.,-1.,0.)); #456471=DIRECTION('ref_axis',(0.,0.,-1.)); #456472=DIRECTION('center_axis',(0.,1.,0.)); #456473=DIRECTION('ref_axis',(0.,0.,1.)); #456474=DIRECTION('center_axis',(0.,-1.,0.)); #456475=DIRECTION('ref_axis',(0.,0.,-1.)); #456476=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #456477=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #456478=DIRECTION('ref_axis',(0.,0.,-1.)); #456479=DIRECTION('center_axis',(1.,0.,8.23444687963674E-17)); #456480=DIRECTION('ref_axis',(8.23444687963674E-17,0.,-1.)); #456481=DIRECTION('',(0.,-1.,0.)); #456482=DIRECTION('center_axis',(-1.,0.,-8.23444687963674E-17)); #456483=DIRECTION('ref_axis',(-8.23444687963674E-17,0.,1.)); #456484=DIRECTION('',(0.,1.,0.)); #456485=DIRECTION('center_axis',(-7.83760606616027E-17,0.,1.)); #456486=DIRECTION('ref_axis',(1.,0.,7.83760606616027E-17)); #456487=DIRECTION('center_axis',(0.,0.,-1.)); #456488=DIRECTION('ref_axis',(-1.,0.,0.)); #456489=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456490=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456491=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456492=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456493=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456494=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456495=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456496=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456497=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456498=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456499=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456500=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456501=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456502=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456503=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456504=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456505=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456506=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456507=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456508=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456509=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456510=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456511=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456512=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456513=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456514=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456515=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456516=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456517=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456518=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456519=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456520=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456521=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456522=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456523=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456524=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456525=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456526=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456527=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456528=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456529=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456530=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456531=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456532=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456533=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456534=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456535=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456536=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456537=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456538=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456539=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456540=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456541=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456542=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456543=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456544=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456545=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456546=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456547=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456548=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456549=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456550=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456551=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456552=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456553=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456554=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456555=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456556=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #456557=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #456558=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #456559=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #456560=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #456561=DIRECTION('center_axis',(1.,0.,0.)); #456562=DIRECTION('ref_axis',(0.,0.,-1.)); #456563=DIRECTION('',(0.,1.,0.)); #456564=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #456565=DIRECTION('',(1.92234427745657E-17,0.,-1.)); #456566=DIRECTION('',(0.,1.,0.)); #456567=DIRECTION('',(0.,0.,-1.)); #456568=DIRECTION('center_axis',(0.,0.,-1.)); #456569=DIRECTION('ref_axis',(-1.,0.,0.)); #456570=DIRECTION('',(0.,1.,0.)); #456571=DIRECTION('',(-1.,0.,0.)); #456572=DIRECTION('center_axis',(-1.,0.,4.07212083562631E-16)); #456573=DIRECTION('ref_axis',(4.07212083562631E-16,0.,1.)); #456574=DIRECTION('',(-4.13029399042097E-16,0.,-1.)); #456575=DIRECTION('',(0.,0.,1.)); #456576=DIRECTION('',(0.,1.,0.)); #456577=DIRECTION('',(4.07212083562631E-16,0.,1.)); #456578=DIRECTION('center_axis',(1.,0.,0.)); #456579=DIRECTION('ref_axis',(0.,0.,-1.)); #456580=DIRECTION('',(0.,0.,-1.)); #456581=DIRECTION('',(0.,1.,0.)); #456582=DIRECTION('',(0.,1.,0.)); #456583=DIRECTION('',(0.,0.,-1.)); #456584=DIRECTION('center_axis',(3.70034871155462E-17,0.,-1.)); #456585=DIRECTION('ref_axis',(-1.,0.,-3.70034871155462E-17)); #456586=DIRECTION('',(0.,1.,0.)); #456587=DIRECTION('center_axis',(-1.,0.,1.53012403374971E-16)); #456588=DIRECTION('ref_axis',(1.53012403374971E-16,0.,1.)); #456589=DIRECTION('',(-9.61172138728285E-17,0.,1.)); #456590=DIRECTION('',(-1.08196108032093E-16,0.707106781186547,-0.707106781186548)); #456591=DIRECTION('',(0.,1.,0.)); #456592=DIRECTION('center_axis',(-1.59441495953751E-16,0.,-1.)); #456593=DIRECTION('ref_axis',(-1.,0.,1.59441495953751E-16)); #456594=DIRECTION('',(-1.,0.,1.59441495953751E-16)); #456595=DIRECTION('',(-1.,0.,0.)); #456596=DIRECTION('',(0.,1.,0.)); #456597=DIRECTION('',(-1.,0.,0.)); #456598=DIRECTION('',(0.,1.,0.)); #456599=DIRECTION('center_axis',(0.,1.,0.)); #456600=DIRECTION('ref_axis',(0.,0.,1.)); #456601=DIRECTION('',(-1.,0.,0.)); #456602=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #456603=DIRECTION('',(-1.,0.,0.)); #456604=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #456605=DIRECTION('',(0.,0.,1.)); #456606=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #456607=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #456608=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #456609=DIRECTION('',(0.,0.,-1.)); #456610=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #456611=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #456612=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #456613=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #456614=DIRECTION('',(-1.,0.,0.)); #456615=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #456616=DIRECTION('center_axis',(1.,0.,2.04483226766084E-16)); #456617=DIRECTION('ref_axis',(2.04483226766084E-16,0.,-1.)); #456618=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #456619=DIRECTION('',(0.,1.,0.)); #456620=DIRECTION('center_axis',(0.,0.,1.)); #456621=DIRECTION('ref_axis',(1.,0.,0.)); #456622=DIRECTION('',(1.,0.,0.)); #456623=DIRECTION('',(0.,1.,0.)); #456624=DIRECTION('center_axis',(-1.,0.,-2.04483226766084E-16)); #456625=DIRECTION('ref_axis',(-2.04483226766084E-16,0.,1.)); #456626=DIRECTION('',(-2.0765929215138E-16,0.,1.)); #456627=DIRECTION('',(-2.04483226766084E-16,0.,1.)); #456628=DIRECTION('center_axis',(0.,-1.,0.)); #456629=DIRECTION('ref_axis',(0.,0.,-1.)); #456630=DIRECTION('center_axis',(1.,0.,0.)); #456631=DIRECTION('ref_axis',(0.,0.,-1.)); #456632=DIRECTION('',(0.,1.,0.)); #456633=DIRECTION('',(0.,0.,-1.)); #456634=DIRECTION('center_axis',(0.,0.,-1.)); #456635=DIRECTION('ref_axis',(-1.,0.,0.)); #456636=DIRECTION('',(0.,1.,0.)); #456637=DIRECTION('',(-1.,0.,0.)); #456638=DIRECTION('center_axis',(-1.,0.,0.)); #456639=DIRECTION('ref_axis',(0.,0.,1.)); #456640=DIRECTION('',(0.,0.,1.)); #456641=DIRECTION('',(0.,0.,1.)); #456642=DIRECTION('center_axis',(0.,1.,0.)); #456643=DIRECTION('ref_axis',(0.,0.,1.)); #456644=DIRECTION('center_axis',(0.,0.,-1.)); #456645=DIRECTION('ref_axis',(-1.,0.,0.)); #456646=DIRECTION('',(0.,1.,0.)); #456647=DIRECTION('',(-1.,0.,0.)); #456648=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #456649=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #456650=DIRECTION('',(0.,1.,0.)); #456651=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #456652=DIRECTION('center_axis',(0.,0.,-1.)); #456653=DIRECTION('ref_axis',(-1.,0.,0.)); #456654=DIRECTION('',(0.,1.,0.)); #456655=DIRECTION('',(-1.,0.,0.)); #456656=DIRECTION('center_axis',(0.90630778703665,0.,-0.4226182617407)); #456657=DIRECTION('ref_axis',(-0.4226182617407,0.,-0.90630778703665)); #456658=DIRECTION('',(0.,1.,0.)); #456659=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #456660=DIRECTION('center_axis',(1.,0.,0.)); #456661=DIRECTION('ref_axis',(0.,0.,-1.)); #456662=DIRECTION('',(0.,1.,0.)); #456663=DIRECTION('',(0.,0.,-1.)); #456664=DIRECTION('center_axis',(0.85243463864071,0.,-0.522833804229874)); #456665=DIRECTION('ref_axis',(-0.522833804229874,0.,-0.85243463864071)); #456666=DIRECTION('',(0.,1.,0.)); #456667=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #456668=DIRECTION('center_axis',(-1.71362893848849E-15,0.,-1.)); #456669=DIRECTION('ref_axis',(-1.,0.,1.71362893848849E-15)); #456670=DIRECTION('',(0.,1.,0.)); #456671=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #456672=DIRECTION('center_axis',(-0.852434638640709,0.,-0.522833804229875)); #456673=DIRECTION('ref_axis',(-0.522833804229875,0.,0.852434638640709)); #456674=DIRECTION('',(0.,1.,0.)); #456675=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #456676=DIRECTION('center_axis',(-1.,0.,0.)); #456677=DIRECTION('ref_axis',(0.,0.,1.)); #456678=DIRECTION('',(0.,1.,0.)); #456679=DIRECTION('',(0.,0.,1.)); #456680=DIRECTION('center_axis',(3.87215061601966E-16,0.,-1.)); #456681=DIRECTION('ref_axis',(-1.,0.,-3.87215061601966E-16)); #456682=DIRECTION('',(0.,1.,0.)); #456683=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #456684=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #456685=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #456686=DIRECTION('',(0.,1.,0.)); #456687=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #456688=DIRECTION('center_axis',(-1.12937726300573E-16,0.,-1.)); #456689=DIRECTION('ref_axis',(-1.,0.,1.12937726300573E-16)); #456690=DIRECTION('',(0.,1.,0.)); #456691=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #456692=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #456693=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #456694=DIRECTION('',(0.,1.,0.)); #456695=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #456696=DIRECTION('center_axis',(0.,0.,-1.)); #456697=DIRECTION('ref_axis',(-1.,0.,0.)); #456698=DIRECTION('',(0.,1.,0.)); #456699=DIRECTION('',(-1.,0.,0.)); #456700=DIRECTION('center_axis',(-0.984807753012208,0.,-0.173648177666929)); #456701=DIRECTION('ref_axis',(-0.173648177666929,0.,0.984807753012208)); #456702=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #456703=DIRECTION('center_axis',(0.984807753012208,0.,-0.173648177666929)); #456704=DIRECTION('ref_axis',(-0.173648177666929,0.,-0.984807753012208)); #456705=DIRECTION('',(0.,1.,0.)); #456706=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #456707=DIRECTION('center_axis',(0.,0.,-1.)); #456708=DIRECTION('ref_axis',(-1.,0.,0.)); #456709=DIRECTION('',(0.,1.,0.)); #456710=DIRECTION('',(-1.,0.,0.)); #456711=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #456712=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #456713=DIRECTION('',(0.,1.,0.)); #456714=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #456715=DIRECTION('center_axis',(1.12937726300573E-16,0.,-1.)); #456716=DIRECTION('ref_axis',(-1.,0.,-1.12937726300573E-16)); #456717=DIRECTION('',(0.,1.,0.)); #456718=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #456719=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #456720=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #456721=DIRECTION('',(0.,1.,0.)); #456722=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #456723=DIRECTION('center_axis',(-3.87215061601966E-16,0.,-1.)); #456724=DIRECTION('ref_axis',(-1.,0.,3.87215061601966E-16)); #456725=DIRECTION('',(0.,1.,0.)); #456726=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #456727=DIRECTION('center_axis',(1.,0.,0.)); #456728=DIRECTION('ref_axis',(0.,0.,-1.)); #456729=DIRECTION('',(0.,1.,0.)); #456730=DIRECTION('',(0.,0.,-1.)); #456731=DIRECTION('center_axis',(0.852434638640709,0.,-0.522833804229875)); #456732=DIRECTION('ref_axis',(-0.522833804229875,0.,-0.852434638640709)); #456733=DIRECTION('',(0.,1.,0.)); #456734=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #456735=DIRECTION('center_axis',(1.71362893848849E-15,0.,-1.)); #456736=DIRECTION('ref_axis',(-1.,0.,-1.71362893848849E-15)); #456737=DIRECTION('',(0.,1.,0.)); #456738=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #456739=DIRECTION('center_axis',(-0.85243463864071,0.,-0.522833804229874)); #456740=DIRECTION('ref_axis',(-0.522833804229874,0.,0.85243463864071)); #456741=DIRECTION('',(0.,1.,0.)); #456742=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #456743=DIRECTION('center_axis',(-1.,0.,0.)); #456744=DIRECTION('ref_axis',(0.,0.,1.)); #456745=DIRECTION('',(0.,1.,0.)); #456746=DIRECTION('',(0.,0.,1.)); #456747=DIRECTION('center_axis',(-0.90630778703665,0.,-0.4226182617407)); #456748=DIRECTION('ref_axis',(-0.4226182617407,0.,0.90630778703665)); #456749=DIRECTION('',(0.,1.,0.)); #456750=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #456751=DIRECTION('center_axis',(0.,0.,-1.)); #456752=DIRECTION('ref_axis',(-1.,0.,0.)); #456753=DIRECTION('',(0.,1.,0.)); #456754=DIRECTION('',(-1.,0.,0.)); #456755=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #456756=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #456757=DIRECTION('',(0.,1.,0.)); #456758=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #456759=DIRECTION('center_axis',(0.,0.,-1.)); #456760=DIRECTION('ref_axis',(-1.,0.,0.)); #456761=DIRECTION('',(-1.,0.,0.)); #456762=DIRECTION('center_axis',(0.,1.,0.)); #456763=DIRECTION('ref_axis',(0.,0.,1.)); #456764=DIRECTION('center_axis',(0.,-1.,0.)); #456765=DIRECTION('ref_axis',(0.,0.,-1.)); #456766=DIRECTION('',(1.12352556734249E-16,0.,1.)); #456767=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #456768=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #456769=DIRECTION('',(1.12352556734249E-16,0.,1.)); #456770=DIRECTION('center_axis',(0.,1.,0.)); #456771=DIRECTION('ref_axis',(0.,0.,1.)); #456772=DIRECTION('center_axis',(0.,1.,0.)); #456773=DIRECTION('ref_axis',(0.,0.,1.)); #456774=DIRECTION('',(1.12352556734249E-16,0.,1.)); #456775=DIRECTION('center_axis',(0.,-1.,0.)); #456776=DIRECTION('ref_axis',(0.,0.,-1.)); #456777=DIRECTION('',(1.12352556734249E-16,0.,1.)); #456778=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #456779=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #456780=DIRECTION('center_axis',(0.,1.,0.)); #456781=DIRECTION('ref_axis',(0.,0.,1.)); #456782=DIRECTION('center_axis',(0.,-1.,0.)); #456783=DIRECTION('ref_axis',(0.,0.,-1.)); #456784=DIRECTION('',(1.,0.,-1.59441495953751E-16)); #456785=DIRECTION('center_axis',(0.,-1.,0.)); #456786=DIRECTION('ref_axis',(0.,0.,-1.)); #456787=DIRECTION('center_axis',(-1.12742162991424E-16,-0.707106781186548, -0.707106781186547)); #456788=DIRECTION('ref_axis',(-1.,-2.95822839457879E-31,1.5944149595375E-16)); #456789=DIRECTION('axis',(0.,0.,1.)); #456790=DIRECTION('refdir',(1.,0.,0.)); #456791=DIRECTION('center_axis',(0.883572899969473,0.468293636983822,0.)); #456792=DIRECTION('ref_axis',(-0.468293636983822,0.883572899969473,0.)); #456793=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #456794=DIRECTION('',(0.,0.,1.)); #456795=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #456796=DIRECTION('',(0.,0.,1.)); #456797=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #456798=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #456799=DIRECTION('',(3.27971157176865E-15,1.,0.)); #456800=DIRECTION('center_axis',(-1.,0.,0.)); #456801=DIRECTION('ref_axis',(0.,0.,1.)); #456802=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #456803=DIRECTION('center_axis',(-1.,0.,0.)); #456804=DIRECTION('ref_axis',(0.,0.,1.)); #456805=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #456806=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #456807=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456808=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #456809=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456810=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #456811=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #456812=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #456813=DIRECTION('',(3.27971157176865E-15,1.,0.)); #456814=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #456815=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #456816=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #456817=DIRECTION('',(0.,0.,1.)); #456818=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #456819=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #456820=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #456821=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #456822=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #456823=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456824=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456825=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #456826=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #456827=DIRECTION('center_axis',(-1.,0.,0.)); #456828=DIRECTION('ref_axis',(0.,0.,1.)); #456829=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #456830=DIRECTION('center_axis',(-1.,0.,0.)); #456831=DIRECTION('ref_axis',(0.,0.,1.)); #456832=DIRECTION('center_axis',(-0.842271400661511,-0.539053696423368, 0.)); #456833=DIRECTION('ref_axis',(0.539053696423368,-0.842271400661511,0.)); #456834=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #456835=DIRECTION('',(0.,0.,1.)); #456836=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #456837=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #456838=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #456839=DIRECTION('',(0.,0.,1.)); #456840=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #456841=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #456842=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #456843=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #456844=DIRECTION('center_axis',(0.,1.,0.)); #456845=DIRECTION('ref_axis',(0.,0.,1.)); #456846=DIRECTION('',(0.,0.,1.)); #456847=DIRECTION('',(1.,0.,0.)); #456848=DIRECTION('',(-1.,0.,0.)); #456849=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #456850=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #456851=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #456852=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #456853=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #456854=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #456855=DIRECTION('center_axis',(0.,0.,-1.)); #456856=DIRECTION('ref_axis',(-1.,0.,0.)); #456857=DIRECTION('',(-1.,0.,0.)); #456858=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #456859=DIRECTION('center_axis',(0.,0.,-1.)); #456860=DIRECTION('ref_axis',(-1.,0.,0.)); #456861=DIRECTION('',(1.,0.,0.)); #456862=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #456863=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #456864=DIRECTION('ref_axis',(-1.,0.,0.)); #456865=DIRECTION('',(1.,0.,0.)); #456866=DIRECTION('',(-1.,0.,0.)); #456867=DIRECTION('center_axis',(-1.,0.,0.)); #456868=DIRECTION('ref_axis',(0.,0.,1.)); #456869=DIRECTION('',(-1.,0.,0.)); #456870=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #456871=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #456872=DIRECTION('',(-1.,0.,0.)); #456873=DIRECTION('center_axis',(-1.,0.,0.)); #456874=DIRECTION('ref_axis',(0.,0.,1.)); #456875=DIRECTION('',(-1.,0.,0.)); #456876=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #456877=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #456878=DIRECTION('center_axis',(-1.,0.,0.)); #456879=DIRECTION('ref_axis',(0.,0.,1.)); #456880=DIRECTION('',(1.,0.,0.)); #456881=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #456882=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #456883=DIRECTION('',(-1.,0.,0.)); #456884=DIRECTION('center_axis',(-1.,0.,0.)); #456885=DIRECTION('ref_axis',(0.,0.,1.)); #456886=DIRECTION('',(1.,0.,0.)); #456887=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #456888=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #456889=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #456890=DIRECTION('ref_axis',(1.,0.,0.)); #456891=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #456892=DIRECTION('ref_axis',(-1.,0.,0.)); #456893=DIRECTION('axis',(0.,0.,1.)); #456894=DIRECTION('refdir',(1.,0.,0.)); #456895=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #456896=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #456897=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #456898=DIRECTION('',(0.,0.,1.)); #456899=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #456900=DIRECTION('',(0.,0.,1.)); #456901=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #456902=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #456903=DIRECTION('',(3.27971157176865E-15,1.,0.)); #456904=DIRECTION('center_axis',(-1.,0.,0.)); #456905=DIRECTION('ref_axis',(0.,0.,1.)); #456906=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #456907=DIRECTION('center_axis',(-1.,0.,0.)); #456908=DIRECTION('ref_axis',(0.,0.,1.)); #456909=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #456910=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #456911=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456912=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #456913=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456914=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #456915=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #456916=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #456917=DIRECTION('',(3.27971157176865E-15,1.,0.)); #456918=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #456919=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #456920=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #456921=DIRECTION('',(0.,0.,1.)); #456922=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #456923=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #456924=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #456925=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #456926=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #456927=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456928=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #456929=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #456930=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #456931=DIRECTION('center_axis',(-1.,0.,0.)); #456932=DIRECTION('ref_axis',(0.,0.,1.)); #456933=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #456934=DIRECTION('center_axis',(-1.,0.,0.)); #456935=DIRECTION('ref_axis',(0.,0.,1.)); #456936=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #456937=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #456938=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #456939=DIRECTION('',(0.,0.,1.)); #456940=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #456941=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #456942=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #456943=DIRECTION('',(0.,0.,1.)); #456944=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #456945=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #456946=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #456947=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #456948=DIRECTION('center_axis',(0.,1.,0.)); #456949=DIRECTION('ref_axis',(0.,0.,1.)); #456950=DIRECTION('',(0.,0.,1.)); #456951=DIRECTION('',(1.,0.,0.)); #456952=DIRECTION('',(-1.,0.,0.)); #456953=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #456954=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #456955=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #456956=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #456957=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #456958=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #456959=DIRECTION('center_axis',(0.,0.,-1.)); #456960=DIRECTION('ref_axis',(-1.,0.,0.)); #456961=DIRECTION('',(-1.,0.,0.)); #456962=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #456963=DIRECTION('center_axis',(0.,0.,-1.)); #456964=DIRECTION('ref_axis',(-1.,0.,0.)); #456965=DIRECTION('',(1.,0.,0.)); #456966=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #456967=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #456968=DIRECTION('ref_axis',(-1.,0.,0.)); #456969=DIRECTION('',(1.,0.,0.)); #456970=DIRECTION('',(-1.,0.,0.)); #456971=DIRECTION('center_axis',(-1.,0.,0.)); #456972=DIRECTION('ref_axis',(0.,0.,1.)); #456973=DIRECTION('',(-1.,0.,0.)); #456974=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #456975=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #456976=DIRECTION('',(-1.,0.,0.)); #456977=DIRECTION('center_axis',(-1.,0.,0.)); #456978=DIRECTION('ref_axis',(0.,0.,1.)); #456979=DIRECTION('',(-1.,0.,0.)); #456980=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #456981=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #456982=DIRECTION('center_axis',(-1.,0.,0.)); #456983=DIRECTION('ref_axis',(0.,0.,1.)); #456984=DIRECTION('',(1.,0.,0.)); #456985=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #456986=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #456987=DIRECTION('',(-1.,0.,0.)); #456988=DIRECTION('center_axis',(-1.,0.,0.)); #456989=DIRECTION('ref_axis',(0.,0.,1.)); #456990=DIRECTION('',(1.,0.,0.)); #456991=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #456992=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #456993=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #456994=DIRECTION('ref_axis',(1.,0.,0.)); #456995=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #456996=DIRECTION('ref_axis',(-1.,0.,0.)); #456997=DIRECTION('axis',(0.,0.,1.)); #456998=DIRECTION('refdir',(1.,0.,0.)); #456999=DIRECTION('center_axis',(0.965429082558387,0.260665852290766,0.)); #457000=DIRECTION('ref_axis',(-0.260665852290766,0.965429082558387,0.)); #457001=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #457002=DIRECTION('',(0.,0.,1.)); #457003=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #457004=DIRECTION('',(0.,0.,1.)); #457005=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #457006=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #457007=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457008=DIRECTION('center_axis',(-1.,0.,0.)); #457009=DIRECTION('ref_axis',(0.,0.,1.)); #457010=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #457011=DIRECTION('center_axis',(-1.,0.,0.)); #457012=DIRECTION('ref_axis',(0.,0.,1.)); #457013=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457014=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457015=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457016=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457017=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457018=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #457019=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457020=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457021=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457022=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #457023=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #457024=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457025=DIRECTION('',(0.,0.,1.)); #457026=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457027=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457028=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457029=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #457030=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457031=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457032=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457033=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457034=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457035=DIRECTION('center_axis',(-1.,0.,0.)); #457036=DIRECTION('ref_axis',(0.,0.,1.)); #457037=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #457038=DIRECTION('center_axis',(-1.,0.,0.)); #457039=DIRECTION('ref_axis',(0.,0.,1.)); #457040=DIRECTION('center_axis',(-0.934783580588349,-0.355217760623573, 0.)); #457041=DIRECTION('ref_axis',(0.355217760623573,-0.934783580588349,0.)); #457042=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #457043=DIRECTION('',(0.,0.,1.)); #457044=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #457045=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #457046=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #457047=DIRECTION('',(0.,0.,1.)); #457048=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #457049=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #457050=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #457051=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #457052=DIRECTION('center_axis',(0.,1.,0.)); #457053=DIRECTION('ref_axis',(0.,0.,1.)); #457054=DIRECTION('',(0.,0.,1.)); #457055=DIRECTION('',(1.,0.,0.)); #457056=DIRECTION('',(-1.,0.,0.)); #457057=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #457058=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #457059=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #457060=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #457061=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #457062=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #457063=DIRECTION('center_axis',(0.,0.,-1.)); #457064=DIRECTION('ref_axis',(-1.,0.,0.)); #457065=DIRECTION('',(-1.,0.,0.)); #457066=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457067=DIRECTION('center_axis',(0.,0.,-1.)); #457068=DIRECTION('ref_axis',(-1.,0.,0.)); #457069=DIRECTION('',(1.,0.,0.)); #457070=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457071=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #457072=DIRECTION('ref_axis',(-1.,0.,0.)); #457073=DIRECTION('',(1.,0.,0.)); #457074=DIRECTION('',(-1.,0.,0.)); #457075=DIRECTION('center_axis',(-1.,0.,0.)); #457076=DIRECTION('ref_axis',(0.,0.,1.)); #457077=DIRECTION('',(-1.,0.,0.)); #457078=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #457079=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #457080=DIRECTION('',(-1.,0.,0.)); #457081=DIRECTION('center_axis',(-1.,0.,0.)); #457082=DIRECTION('ref_axis',(0.,0.,1.)); #457083=DIRECTION('',(-1.,0.,0.)); #457084=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457085=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457086=DIRECTION('center_axis',(-1.,0.,0.)); #457087=DIRECTION('ref_axis',(0.,0.,1.)); #457088=DIRECTION('',(1.,0.,0.)); #457089=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #457090=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #457091=DIRECTION('',(-1.,0.,0.)); #457092=DIRECTION('center_axis',(-1.,0.,0.)); #457093=DIRECTION('ref_axis',(0.,0.,1.)); #457094=DIRECTION('',(1.,0.,0.)); #457095=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457096=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457097=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #457098=DIRECTION('ref_axis',(1.,0.,0.)); #457099=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #457100=DIRECTION('ref_axis',(-1.,0.,0.)); #457101=DIRECTION('axis',(0.,0.,1.)); #457102=DIRECTION('refdir',(1.,0.,0.)); #457103=DIRECTION('center_axis',(0.708876752278901,0.705332368517507,0.)); #457104=DIRECTION('ref_axis',(-0.705332368517507,0.708876752278901,0.)); #457105=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #457106=DIRECTION('',(0.,0.,1.)); #457107=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #457108=DIRECTION('',(0.,0.,1.)); #457109=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #457110=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #457111=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457112=DIRECTION('center_axis',(-1.,0.,0.)); #457113=DIRECTION('ref_axis',(0.,0.,1.)); #457114=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #457115=DIRECTION('center_axis',(-1.,0.,0.)); #457116=DIRECTION('ref_axis',(0.,0.,1.)); #457117=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457118=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457119=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457120=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457121=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457122=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #457123=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457124=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457125=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457126=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #457127=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #457128=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457129=DIRECTION('',(0.,0.,1.)); #457130=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457131=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457132=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457133=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #457134=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457135=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457136=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457137=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457138=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457139=DIRECTION('center_axis',(-1.,0.,0.)); #457140=DIRECTION('ref_axis',(0.,0.,1.)); #457141=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #457142=DIRECTION('center_axis',(-1.,0.,0.)); #457143=DIRECTION('ref_axis',(0.,0.,1.)); #457144=DIRECTION('center_axis',(-0.705341229421806,-0.708867935568915, 0.)); #457145=DIRECTION('ref_axis',(0.708867935568915,-0.705341229421806,0.)); #457146=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #457147=DIRECTION('',(0.,0.,1.)); #457148=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #457149=DIRECTION('center_axis',(-1.,1.08149842641946E-16,0.)); #457150=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #457151=DIRECTION('',(0.,0.,1.)); #457152=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #457153=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #457154=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #457155=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #457156=DIRECTION('center_axis',(0.,1.,0.)); #457157=DIRECTION('ref_axis',(0.,0.,1.)); #457158=DIRECTION('',(0.,0.,1.)); #457159=DIRECTION('',(1.,0.,0.)); #457160=DIRECTION('',(-1.,0.,0.)); #457161=DIRECTION('center_axis',(1.,1.08149842641946E-16,0.)); #457162=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #457163=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #457164=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #457165=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #457166=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #457167=DIRECTION('center_axis',(0.,0.,-1.)); #457168=DIRECTION('ref_axis',(-1.,0.,0.)); #457169=DIRECTION('',(-1.,0.,0.)); #457170=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457171=DIRECTION('center_axis',(0.,0.,-1.)); #457172=DIRECTION('ref_axis',(-1.,0.,0.)); #457173=DIRECTION('',(1.,0.,0.)); #457174=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457175=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #457176=DIRECTION('ref_axis',(-1.,0.,0.)); #457177=DIRECTION('',(1.,0.,0.)); #457178=DIRECTION('',(-1.,0.,0.)); #457179=DIRECTION('center_axis',(-1.,0.,0.)); #457180=DIRECTION('ref_axis',(0.,0.,1.)); #457181=DIRECTION('',(-1.,0.,0.)); #457182=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #457183=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #457184=DIRECTION('',(-1.,0.,0.)); #457185=DIRECTION('center_axis',(-1.,0.,0.)); #457186=DIRECTION('ref_axis',(0.,0.,1.)); #457187=DIRECTION('',(-1.,0.,0.)); #457188=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457189=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457190=DIRECTION('center_axis',(-1.,0.,0.)); #457191=DIRECTION('ref_axis',(0.,0.,1.)); #457192=DIRECTION('',(1.,0.,0.)); #457193=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #457194=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #457195=DIRECTION('',(-1.,0.,0.)); #457196=DIRECTION('center_axis',(-1.,0.,0.)); #457197=DIRECTION('ref_axis',(0.,0.,1.)); #457198=DIRECTION('',(1.,0.,0.)); #457199=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457200=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457201=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #457202=DIRECTION('ref_axis',(1.,0.,0.)); #457203=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #457204=DIRECTION('ref_axis',(-1.,0.,0.)); #457205=DIRECTION('axis',(0.,0.,1.)); #457206=DIRECTION('refdir',(1.,0.,0.)); #457207=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #457208=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #457209=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #457210=DIRECTION('',(0.,0.,1.)); #457211=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #457212=DIRECTION('',(0.,0.,1.)); #457213=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #457214=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #457215=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457216=DIRECTION('center_axis',(-1.,0.,0.)); #457217=DIRECTION('ref_axis',(0.,0.,1.)); #457218=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #457219=DIRECTION('center_axis',(-1.,0.,0.)); #457220=DIRECTION('ref_axis',(0.,0.,1.)); #457221=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457222=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457223=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457224=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457225=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457226=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #457227=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457228=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457229=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457230=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #457231=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #457232=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457233=DIRECTION('',(0.,0.,1.)); #457234=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457235=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457236=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457237=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #457238=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457239=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457240=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457241=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457242=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457243=DIRECTION('center_axis',(-1.,0.,0.)); #457244=DIRECTION('ref_axis',(0.,0.,1.)); #457245=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #457246=DIRECTION('center_axis',(-1.,0.,0.)); #457247=DIRECTION('ref_axis',(0.,0.,1.)); #457248=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #457249=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #457250=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #457251=DIRECTION('',(0.,0.,1.)); #457252=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #457253=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #457254=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #457255=DIRECTION('',(0.,0.,1.)); #457256=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #457257=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #457258=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #457259=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #457260=DIRECTION('center_axis',(0.,1.,0.)); #457261=DIRECTION('ref_axis',(0.,0.,1.)); #457262=DIRECTION('',(0.,0.,1.)); #457263=DIRECTION('',(1.,0.,0.)); #457264=DIRECTION('',(-1.,0.,0.)); #457265=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #457266=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #457267=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #457268=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #457269=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #457270=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #457271=DIRECTION('center_axis',(0.,0.,-1.)); #457272=DIRECTION('ref_axis',(-1.,0.,0.)); #457273=DIRECTION('',(-1.,0.,0.)); #457274=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457275=DIRECTION('center_axis',(0.,0.,-1.)); #457276=DIRECTION('ref_axis',(-1.,0.,0.)); #457277=DIRECTION('',(1.,0.,0.)); #457278=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457279=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #457280=DIRECTION('ref_axis',(-1.,0.,0.)); #457281=DIRECTION('',(1.,0.,0.)); #457282=DIRECTION('',(-1.,0.,0.)); #457283=DIRECTION('center_axis',(-1.,0.,0.)); #457284=DIRECTION('ref_axis',(0.,0.,1.)); #457285=DIRECTION('',(-1.,0.,0.)); #457286=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #457287=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #457288=DIRECTION('',(-1.,0.,0.)); #457289=DIRECTION('center_axis',(-1.,0.,0.)); #457290=DIRECTION('ref_axis',(0.,0.,1.)); #457291=DIRECTION('',(-1.,0.,0.)); #457292=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457293=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457294=DIRECTION('center_axis',(-1.,0.,0.)); #457295=DIRECTION('ref_axis',(0.,0.,1.)); #457296=DIRECTION('',(1.,0.,0.)); #457297=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #457298=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #457299=DIRECTION('',(-1.,0.,0.)); #457300=DIRECTION('center_axis',(-1.,0.,0.)); #457301=DIRECTION('ref_axis',(0.,0.,1.)); #457302=DIRECTION('',(1.,0.,0.)); #457303=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457304=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457305=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #457306=DIRECTION('ref_axis',(1.,0.,0.)); #457307=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #457308=DIRECTION('ref_axis',(-1.,0.,0.)); #457309=DIRECTION('axis',(0.,0.,1.)); #457310=DIRECTION('refdir',(1.,0.,0.)); #457311=DIRECTION('center_axis',(0.632376489912261,0.774661200142519,0.)); #457312=DIRECTION('ref_axis',(-0.774661200142519,0.632376489912261,0.)); #457313=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #457314=DIRECTION('',(0.,0.,1.)); #457315=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #457316=DIRECTION('',(0.,0.,1.)); #457317=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #457318=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #457319=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457320=DIRECTION('center_axis',(-1.,0.,0.)); #457321=DIRECTION('ref_axis',(0.,0.,1.)); #457322=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #457323=DIRECTION('center_axis',(-1.,0.,0.)); #457324=DIRECTION('ref_axis',(0.,0.,1.)); #457325=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457326=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457327=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457328=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457329=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457330=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #457331=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457332=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457333=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457334=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #457335=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #457336=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457337=DIRECTION('',(0.,0.,1.)); #457338=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457339=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457340=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457341=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #457342=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457343=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457344=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457345=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457346=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457347=DIRECTION('center_axis',(-1.,0.,0.)); #457348=DIRECTION('ref_axis',(0.,0.,1.)); #457349=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #457350=DIRECTION('center_axis',(-1.,0.,0.)); #457351=DIRECTION('ref_axis',(0.,0.,1.)); #457352=DIRECTION('center_axis',(-0.59952027595186,-0.800359568395734,0.)); #457353=DIRECTION('ref_axis',(0.800359568395734,-0.59952027595186,0.)); #457354=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #457355=DIRECTION('',(0.,0.,1.)); #457356=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #457357=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #457358=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #457359=DIRECTION('',(0.,0.,1.)); #457360=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #457361=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457362=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457363=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #457364=DIRECTION('center_axis',(0.,1.,0.)); #457365=DIRECTION('ref_axis',(0.,0.,1.)); #457366=DIRECTION('',(0.,0.,1.)); #457367=DIRECTION('',(1.,0.,0.)); #457368=DIRECTION('',(-1.,0.,0.)); #457369=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #457370=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #457371=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457372=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #457373=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #457374=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457375=DIRECTION('center_axis',(0.,0.,-1.)); #457376=DIRECTION('ref_axis',(-1.,0.,0.)); #457377=DIRECTION('',(-1.,0.,0.)); #457378=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457379=DIRECTION('center_axis',(0.,0.,-1.)); #457380=DIRECTION('ref_axis',(-1.,0.,0.)); #457381=DIRECTION('',(1.,0.,0.)); #457382=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457383=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #457384=DIRECTION('ref_axis',(-1.,0.,0.)); #457385=DIRECTION('',(1.,0.,0.)); #457386=DIRECTION('',(-1.,0.,0.)); #457387=DIRECTION('center_axis',(-1.,0.,0.)); #457388=DIRECTION('ref_axis',(0.,0.,1.)); #457389=DIRECTION('',(-1.,0.,0.)); #457390=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #457391=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #457392=DIRECTION('',(-1.,0.,0.)); #457393=DIRECTION('center_axis',(-1.,0.,0.)); #457394=DIRECTION('ref_axis',(0.,0.,1.)); #457395=DIRECTION('',(-1.,0.,0.)); #457396=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457397=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457398=DIRECTION('center_axis',(-1.,0.,0.)); #457399=DIRECTION('ref_axis',(0.,0.,1.)); #457400=DIRECTION('',(1.,0.,0.)); #457401=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #457402=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #457403=DIRECTION('',(-1.,0.,0.)); #457404=DIRECTION('center_axis',(-1.,0.,0.)); #457405=DIRECTION('ref_axis',(0.,0.,1.)); #457406=DIRECTION('',(1.,0.,0.)); #457407=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457408=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457409=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #457410=DIRECTION('ref_axis',(1.,0.,0.)); #457411=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #457412=DIRECTION('ref_axis',(-1.,0.,0.)); #457413=DIRECTION('axis',(0.,0.,1.)); #457414=DIRECTION('refdir',(1.,0.,0.)); #457415=DIRECTION('center_axis',(0.719587420499984,0.694401860782486,0.)); #457416=DIRECTION('ref_axis',(-0.694401860782486,0.719587420499984,0.)); #457417=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #457418=DIRECTION('',(0.,0.,1.)); #457419=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #457420=DIRECTION('',(0.,0.,1.)); #457421=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #457422=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #457423=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457424=DIRECTION('center_axis',(-1.,0.,0.)); #457425=DIRECTION('ref_axis',(0.,0.,1.)); #457426=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #457427=DIRECTION('center_axis',(-1.,0.,0.)); #457428=DIRECTION('ref_axis',(0.,0.,1.)); #457429=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457430=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457431=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457432=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457433=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457434=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #457435=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457436=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457437=DIRECTION('',(3.27971157176865E-15,1.,0.)); #457438=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #457439=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #457440=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457441=DIRECTION('',(0.,0.,1.)); #457442=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #457443=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #457444=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #457445=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #457446=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #457447=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457448=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #457449=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #457450=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #457451=DIRECTION('center_axis',(-1.,0.,0.)); #457452=DIRECTION('ref_axis',(0.,0.,1.)); #457453=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #457454=DIRECTION('center_axis',(-1.,0.,0.)); #457455=DIRECTION('ref_axis',(0.,0.,1.)); #457456=DIRECTION('center_axis',(-0.681103942477287,-0.732186738163084, 0.)); #457457=DIRECTION('ref_axis',(0.732186738163084,-0.681103942477287,0.)); #457458=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #457459=DIRECTION('',(0.,0.,1.)); #457460=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #457461=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #457462=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #457463=DIRECTION('',(0.,0.,1.)); #457464=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #457465=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457466=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457467=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #457468=DIRECTION('center_axis',(0.,1.,0.)); #457469=DIRECTION('ref_axis',(0.,0.,1.)); #457470=DIRECTION('',(0.,0.,1.)); #457471=DIRECTION('',(1.,0.,0.)); #457472=DIRECTION('',(-1.,0.,0.)); #457473=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #457474=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #457475=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457476=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #457477=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #457478=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457479=DIRECTION('center_axis',(0.,0.,-1.)); #457480=DIRECTION('ref_axis',(-1.,0.,0.)); #457481=DIRECTION('',(-1.,0.,0.)); #457482=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457483=DIRECTION('center_axis',(0.,0.,-1.)); #457484=DIRECTION('ref_axis',(-1.,0.,0.)); #457485=DIRECTION('',(1.,0.,0.)); #457486=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #457487=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #457488=DIRECTION('ref_axis',(-1.,0.,0.)); #457489=DIRECTION('',(1.,0.,0.)); #457490=DIRECTION('',(-1.,0.,0.)); #457491=DIRECTION('center_axis',(-1.,0.,0.)); #457492=DIRECTION('ref_axis',(0.,0.,1.)); #457493=DIRECTION('',(-1.,0.,0.)); #457494=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #457495=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #457496=DIRECTION('',(-1.,0.,0.)); #457497=DIRECTION('center_axis',(-1.,0.,0.)); #457498=DIRECTION('ref_axis',(0.,0.,1.)); #457499=DIRECTION('',(-1.,0.,0.)); #457500=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457501=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457502=DIRECTION('center_axis',(-1.,0.,0.)); #457503=DIRECTION('ref_axis',(0.,0.,1.)); #457504=DIRECTION('',(1.,0.,0.)); #457505=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #457506=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #457507=DIRECTION('',(-1.,0.,0.)); #457508=DIRECTION('center_axis',(-1.,0.,0.)); #457509=DIRECTION('ref_axis',(0.,0.,1.)); #457510=DIRECTION('',(1.,0.,0.)); #457511=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #457512=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #457513=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #457514=DIRECTION('ref_axis',(1.,0.,0.)); #457515=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #457516=DIRECTION('ref_axis',(-1.,0.,0.)); #457517=DIRECTION('axis',(0.,0.,1.)); #457518=DIRECTION('refdir',(1.,0.,0.)); #457519=DIRECTION('center_axis',(0.883572899969473,-0.468293636983822,0.)); #457520=DIRECTION('ref_axis',(-0.468293636983822,-0.883572899969473,0.)); #457521=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #457522=DIRECTION('',(0.,0.,1.)); #457523=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #457524=DIRECTION('',(0.,0.,1.)); #457525=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #457526=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #457527=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457528=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457529=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457530=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457531=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #457532=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457533=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457534=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457535=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457536=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457537=DIRECTION('center_axis',(1.,0.,0.)); #457538=DIRECTION('ref_axis',(0.,0.,1.)); #457539=DIRECTION('',(0.,3.01823612255331E-16,1.)); #457540=DIRECTION('center_axis',(1.,0.,0.)); #457541=DIRECTION('ref_axis',(0.,0.,1.)); #457542=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #457543=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #457544=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457545=DIRECTION('center_axis',(1.,0.,0.)); #457546=DIRECTION('ref_axis',(0.,0.,1.)); #457547=DIRECTION('',(0.,3.01823612255331E-16,1.)); #457548=DIRECTION('center_axis',(1.,0.,0.)); #457549=DIRECTION('ref_axis',(0.,0.,1.)); #457550=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457551=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457552=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457553=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457554=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457555=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #457556=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457557=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457558=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457559=DIRECTION('',(0.,0.,1.)); #457560=DIRECTION('center_axis',(-0.842271400661511,0.539053696423368,0.)); #457561=DIRECTION('ref_axis',(0.539053696423368,0.842271400661511,0.)); #457562=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #457563=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #457564=DIRECTION('',(0.,0.,1.)); #457565=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #457566=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #457567=DIRECTION('',(0.,0.,1.)); #457568=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #457569=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #457570=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #457571=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #457572=DIRECTION('center_axis',(0.,-1.,0.)); #457573=DIRECTION('ref_axis',(0.,0.,1.)); #457574=DIRECTION('',(0.,0.,1.)); #457575=DIRECTION('',(-1.,0.,0.)); #457576=DIRECTION('',(1.,0.,0.)); #457577=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #457578=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #457579=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #457580=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #457581=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #457582=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #457583=DIRECTION('center_axis',(0.,0.,-1.)); #457584=DIRECTION('ref_axis',(-1.,0.,0.)); #457585=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457586=DIRECTION('',(-1.,0.,0.)); #457587=DIRECTION('center_axis',(0.,0.,-1.)); #457588=DIRECTION('ref_axis',(-1.,0.,0.)); #457589=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457590=DIRECTION('',(1.,0.,0.)); #457591=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #457592=DIRECTION('ref_axis',(-1.,0.,0.)); #457593=DIRECTION('',(-1.,0.,0.)); #457594=DIRECTION('',(1.,0.,0.)); #457595=DIRECTION('center_axis',(-1.,0.,0.)); #457596=DIRECTION('ref_axis',(0.,0.,1.)); #457597=DIRECTION('',(-1.,0.,0.)); #457598=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #457599=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #457600=DIRECTION('',(-1.,0.,0.)); #457601=DIRECTION('center_axis',(-1.,0.,0.)); #457602=DIRECTION('ref_axis',(0.,0.,1.)); #457603=DIRECTION('',(-1.,0.,0.)); #457604=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457605=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457606=DIRECTION('center_axis',(-1.,0.,0.)); #457607=DIRECTION('ref_axis',(0.,0.,1.)); #457608=DIRECTION('',(1.,0.,0.)); #457609=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #457610=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #457611=DIRECTION('',(-1.,0.,0.)); #457612=DIRECTION('center_axis',(-1.,0.,0.)); #457613=DIRECTION('ref_axis',(0.,0.,1.)); #457614=DIRECTION('',(1.,0.,0.)); #457615=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457616=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457617=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #457618=DIRECTION('ref_axis',(1.,0.,0.)); #457619=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #457620=DIRECTION('ref_axis',(-1.,0.,0.)); #457621=DIRECTION('axis',(0.,0.,1.)); #457622=DIRECTION('refdir',(1.,0.,0.)); #457623=DIRECTION('center_axis',(0.965429082558387,-0.260665852290766,0.)); #457624=DIRECTION('ref_axis',(-0.260665852290766,-0.965429082558387,0.)); #457625=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #457626=DIRECTION('',(0.,0.,1.)); #457627=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #457628=DIRECTION('',(0.,0.,1.)); #457629=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #457630=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #457631=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457632=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457633=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457634=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457635=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #457636=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457637=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457638=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457639=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457640=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457641=DIRECTION('center_axis',(1.,0.,0.)); #457642=DIRECTION('ref_axis',(0.,0.,1.)); #457643=DIRECTION('',(0.,2.91792413476968E-16,1.)); #457644=DIRECTION('center_axis',(1.,0.,0.)); #457645=DIRECTION('ref_axis',(0.,0.,1.)); #457646=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #457647=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #457648=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457649=DIRECTION('center_axis',(1.,0.,0.)); #457650=DIRECTION('ref_axis',(0.,0.,1.)); #457651=DIRECTION('',(0.,2.91792413476968E-16,1.)); #457652=DIRECTION('center_axis',(1.,0.,0.)); #457653=DIRECTION('ref_axis',(0.,0.,1.)); #457654=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457655=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457656=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457657=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457658=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457659=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #457660=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457661=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457662=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457663=DIRECTION('',(0.,0.,1.)); #457664=DIRECTION('center_axis',(-0.934783580588349,0.355217760623573,0.)); #457665=DIRECTION('ref_axis',(0.355217760623573,0.934783580588349,0.)); #457666=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #457667=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #457668=DIRECTION('',(0.,0.,1.)); #457669=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #457670=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #457671=DIRECTION('',(0.,0.,1.)); #457672=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #457673=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #457674=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #457675=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #457676=DIRECTION('center_axis',(0.,-1.,0.)); #457677=DIRECTION('ref_axis',(0.,0.,1.)); #457678=DIRECTION('',(0.,0.,1.)); #457679=DIRECTION('',(-1.,0.,0.)); #457680=DIRECTION('',(1.,0.,0.)); #457681=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #457682=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #457683=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #457684=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #457685=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #457686=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #457687=DIRECTION('center_axis',(0.,0.,-1.)); #457688=DIRECTION('ref_axis',(-1.,0.,0.)); #457689=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457690=DIRECTION('',(-1.,0.,0.)); #457691=DIRECTION('center_axis',(0.,0.,-1.)); #457692=DIRECTION('ref_axis',(-1.,0.,0.)); #457693=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457694=DIRECTION('',(1.,0.,0.)); #457695=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #457696=DIRECTION('ref_axis',(-1.,0.,0.)); #457697=DIRECTION('',(-1.,0.,0.)); #457698=DIRECTION('',(1.,0.,0.)); #457699=DIRECTION('center_axis',(-1.,0.,0.)); #457700=DIRECTION('ref_axis',(0.,0.,1.)); #457701=DIRECTION('',(-1.,0.,0.)); #457702=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #457703=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #457704=DIRECTION('',(-1.,0.,0.)); #457705=DIRECTION('center_axis',(-1.,0.,0.)); #457706=DIRECTION('ref_axis',(0.,0.,1.)); #457707=DIRECTION('',(-1.,0.,0.)); #457708=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457709=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457710=DIRECTION('center_axis',(-1.,0.,0.)); #457711=DIRECTION('ref_axis',(0.,0.,1.)); #457712=DIRECTION('',(1.,0.,0.)); #457713=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #457714=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #457715=DIRECTION('',(-1.,0.,0.)); #457716=DIRECTION('center_axis',(-1.,0.,0.)); #457717=DIRECTION('ref_axis',(0.,0.,1.)); #457718=DIRECTION('',(1.,0.,0.)); #457719=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457720=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457721=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #457722=DIRECTION('ref_axis',(1.,0.,0.)); #457723=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #457724=DIRECTION('ref_axis',(-1.,0.,0.)); #457725=DIRECTION('axis',(0.,0.,1.)); #457726=DIRECTION('refdir',(1.,0.,0.)); #457727=DIRECTION('center_axis',(0.632376489912261,-0.774661200142519,0.)); #457728=DIRECTION('ref_axis',(-0.774661200142519,-0.632376489912261,0.)); #457729=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #457730=DIRECTION('',(0.,0.,1.)); #457731=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #457732=DIRECTION('',(0.,0.,1.)); #457733=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #457734=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #457735=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457736=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457737=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457738=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457739=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #457740=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457741=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457742=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457743=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457744=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457745=DIRECTION('center_axis',(1.,0.,0.)); #457746=DIRECTION('ref_axis',(0.,0.,1.)); #457747=DIRECTION('',(0.,3.01823612255331E-16,1.)); #457748=DIRECTION('center_axis',(1.,0.,0.)); #457749=DIRECTION('ref_axis',(0.,0.,1.)); #457750=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #457751=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #457752=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457753=DIRECTION('center_axis',(1.,0.,0.)); #457754=DIRECTION('ref_axis',(0.,0.,1.)); #457755=DIRECTION('',(0.,3.01823612255331E-16,1.)); #457756=DIRECTION('center_axis',(1.,0.,0.)); #457757=DIRECTION('ref_axis',(0.,0.,1.)); #457758=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457759=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457760=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457761=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457762=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457763=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #457764=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457765=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457766=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457767=DIRECTION('',(0.,0.,1.)); #457768=DIRECTION('center_axis',(-0.59952027595186,0.800359568395734,0.)); #457769=DIRECTION('ref_axis',(0.800359568395734,0.59952027595186,0.)); #457770=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #457771=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #457772=DIRECTION('',(0.,0.,1.)); #457773=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #457774=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #457775=DIRECTION('',(0.,0.,1.)); #457776=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #457777=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457778=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457779=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #457780=DIRECTION('center_axis',(0.,-1.,0.)); #457781=DIRECTION('ref_axis',(0.,0.,1.)); #457782=DIRECTION('',(0.,0.,1.)); #457783=DIRECTION('',(-1.,0.,0.)); #457784=DIRECTION('',(1.,0.,0.)); #457785=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #457786=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #457787=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457788=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457789=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #457790=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #457791=DIRECTION('center_axis',(0.,0.,-1.)); #457792=DIRECTION('ref_axis',(-1.,0.,0.)); #457793=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457794=DIRECTION('',(-1.,0.,0.)); #457795=DIRECTION('center_axis',(0.,0.,-1.)); #457796=DIRECTION('ref_axis',(-1.,0.,0.)); #457797=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457798=DIRECTION('',(1.,0.,0.)); #457799=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #457800=DIRECTION('ref_axis',(-1.,0.,0.)); #457801=DIRECTION('',(-1.,0.,0.)); #457802=DIRECTION('',(1.,0.,0.)); #457803=DIRECTION('center_axis',(-1.,0.,0.)); #457804=DIRECTION('ref_axis',(0.,0.,1.)); #457805=DIRECTION('',(-1.,0.,0.)); #457806=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #457807=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #457808=DIRECTION('',(-1.,0.,0.)); #457809=DIRECTION('center_axis',(-1.,0.,0.)); #457810=DIRECTION('ref_axis',(0.,0.,1.)); #457811=DIRECTION('',(-1.,0.,0.)); #457812=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457813=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457814=DIRECTION('center_axis',(-1.,0.,0.)); #457815=DIRECTION('ref_axis',(0.,0.,1.)); #457816=DIRECTION('',(1.,0.,0.)); #457817=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #457818=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #457819=DIRECTION('',(-1.,0.,0.)); #457820=DIRECTION('center_axis',(-1.,0.,0.)); #457821=DIRECTION('ref_axis',(0.,0.,1.)); #457822=DIRECTION('',(1.,0.,0.)); #457823=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457824=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457825=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #457826=DIRECTION('ref_axis',(1.,0.,0.)); #457827=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #457828=DIRECTION('ref_axis',(-1.,0.,0.)); #457829=DIRECTION('axis',(0.,0.,1.)); #457830=DIRECTION('refdir',(1.,0.,0.)); #457831=DIRECTION('center_axis',(0.719587420499984,-0.694401860782486,0.)); #457832=DIRECTION('ref_axis',(-0.694401860782486,-0.719587420499984,0.)); #457833=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #457834=DIRECTION('',(0.,0.,1.)); #457835=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #457836=DIRECTION('',(0.,0.,1.)); #457837=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #457838=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #457839=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457840=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457841=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457842=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457843=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #457844=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457845=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457846=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457847=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457848=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457849=DIRECTION('center_axis',(1.,0.,0.)); #457850=DIRECTION('ref_axis',(0.,0.,1.)); #457851=DIRECTION('',(0.,2.91792413476968E-16,1.)); #457852=DIRECTION('center_axis',(1.,0.,0.)); #457853=DIRECTION('ref_axis',(0.,0.,1.)); #457854=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #457855=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #457856=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457857=DIRECTION('center_axis',(1.,0.,0.)); #457858=DIRECTION('ref_axis',(0.,0.,1.)); #457859=DIRECTION('',(0.,2.91792413476968E-16,1.)); #457860=DIRECTION('center_axis',(1.,0.,0.)); #457861=DIRECTION('ref_axis',(0.,0.,1.)); #457862=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457863=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457864=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457865=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457866=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457867=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #457868=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457869=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457870=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457871=DIRECTION('',(0.,0.,1.)); #457872=DIRECTION('center_axis',(-0.681103942477287,0.732186738163084,0.)); #457873=DIRECTION('ref_axis',(0.732186738163084,0.681103942477287,0.)); #457874=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #457875=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #457876=DIRECTION('',(0.,0.,1.)); #457877=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #457878=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #457879=DIRECTION('',(0.,0.,1.)); #457880=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #457881=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457882=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #457883=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #457884=DIRECTION('center_axis',(0.,-1.,0.)); #457885=DIRECTION('ref_axis',(0.,0.,1.)); #457886=DIRECTION('',(0.,0.,1.)); #457887=DIRECTION('',(-1.,0.,0.)); #457888=DIRECTION('',(1.,0.,0.)); #457889=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #457890=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #457891=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457892=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #457893=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #457894=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #457895=DIRECTION('center_axis',(0.,0.,-1.)); #457896=DIRECTION('ref_axis',(-1.,0.,0.)); #457897=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457898=DIRECTION('',(-1.,0.,0.)); #457899=DIRECTION('center_axis',(0.,0.,-1.)); #457900=DIRECTION('ref_axis',(-1.,0.,0.)); #457901=DIRECTION('',(1.,1.58112816820803E-15,0.)); #457902=DIRECTION('',(1.,0.,0.)); #457903=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #457904=DIRECTION('ref_axis',(-1.,0.,0.)); #457905=DIRECTION('',(-1.,0.,0.)); #457906=DIRECTION('',(1.,0.,0.)); #457907=DIRECTION('center_axis',(-1.,0.,0.)); #457908=DIRECTION('ref_axis',(0.,0.,1.)); #457909=DIRECTION('',(-1.,0.,0.)); #457910=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #457911=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #457912=DIRECTION('',(-1.,0.,0.)); #457913=DIRECTION('center_axis',(-1.,0.,0.)); #457914=DIRECTION('ref_axis',(0.,0.,1.)); #457915=DIRECTION('',(-1.,0.,0.)); #457916=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457917=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457918=DIRECTION('center_axis',(-1.,0.,0.)); #457919=DIRECTION('ref_axis',(0.,0.,1.)); #457920=DIRECTION('',(1.,0.,0.)); #457921=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #457922=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #457923=DIRECTION('',(-1.,0.,0.)); #457924=DIRECTION('center_axis',(-1.,0.,0.)); #457925=DIRECTION('ref_axis',(0.,0.,1.)); #457926=DIRECTION('',(1.,0.,0.)); #457927=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #457928=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #457929=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #457930=DIRECTION('ref_axis',(1.,0.,0.)); #457931=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #457932=DIRECTION('ref_axis',(-1.,0.,0.)); #457933=DIRECTION('axis',(0.,0.,1.)); #457934=DIRECTION('refdir',(1.,0.,0.)); #457935=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #457936=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #457937=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #457938=DIRECTION('',(0.,0.,1.)); #457939=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #457940=DIRECTION('',(0.,0.,1.)); #457941=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #457942=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #457943=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457944=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #457945=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457946=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457947=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #457948=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457949=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457950=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457951=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457952=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457953=DIRECTION('center_axis',(1.,0.,0.)); #457954=DIRECTION('ref_axis',(0.,0.,1.)); #457955=DIRECTION('',(0.,3.01823612255331E-16,1.)); #457956=DIRECTION('center_axis',(1.,0.,0.)); #457957=DIRECTION('ref_axis',(0.,0.,1.)); #457958=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #457959=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #457960=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457961=DIRECTION('center_axis',(1.,0.,0.)); #457962=DIRECTION('ref_axis',(0.,0.,1.)); #457963=DIRECTION('',(0.,3.01823612255331E-16,1.)); #457964=DIRECTION('center_axis',(1.,0.,0.)); #457965=DIRECTION('ref_axis',(0.,0.,1.)); #457966=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #457967=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #457968=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457969=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #457970=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #457971=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #457972=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #457973=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #457974=DIRECTION('',(1.0842021724855E-16,1.,0.)); #457975=DIRECTION('',(0.,0.,1.)); #457976=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #457977=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #457978=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #457979=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #457980=DIRECTION('',(0.,0.,1.)); #457981=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #457982=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #457983=DIRECTION('',(0.,0.,1.)); #457984=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #457985=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #457986=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #457987=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #457988=DIRECTION('center_axis',(0.,-1.,0.)); #457989=DIRECTION('ref_axis',(0.,0.,1.)); #457990=DIRECTION('',(0.,0.,1.)); #457991=DIRECTION('',(-1.,0.,0.)); #457992=DIRECTION('',(1.,0.,0.)); #457993=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #457994=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #457995=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #457996=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #457997=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #457998=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #457999=DIRECTION('center_axis',(0.,0.,-1.)); #458000=DIRECTION('ref_axis',(-1.,0.,0.)); #458001=DIRECTION('',(1.,1.58112816820803E-15,0.)); #458002=DIRECTION('',(-1.,0.,0.)); #458003=DIRECTION('center_axis',(0.,0.,-1.)); #458004=DIRECTION('ref_axis',(-1.,0.,0.)); #458005=DIRECTION('',(1.,1.58112816820803E-15,0.)); #458006=DIRECTION('',(1.,0.,0.)); #458007=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #458008=DIRECTION('ref_axis',(-1.,0.,0.)); #458009=DIRECTION('',(-1.,0.,0.)); #458010=DIRECTION('',(1.,0.,0.)); #458011=DIRECTION('center_axis',(-1.,0.,0.)); #458012=DIRECTION('ref_axis',(0.,0.,1.)); #458013=DIRECTION('',(-1.,0.,0.)); #458014=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #458015=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #458016=DIRECTION('',(-1.,0.,0.)); #458017=DIRECTION('center_axis',(-1.,0.,0.)); #458018=DIRECTION('ref_axis',(0.,0.,1.)); #458019=DIRECTION('',(-1.,0.,0.)); #458020=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #458021=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #458022=DIRECTION('center_axis',(-1.,0.,0.)); #458023=DIRECTION('ref_axis',(0.,0.,1.)); #458024=DIRECTION('',(1.,0.,0.)); #458025=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #458026=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #458027=DIRECTION('',(-1.,0.,0.)); #458028=DIRECTION('center_axis',(-1.,0.,0.)); #458029=DIRECTION('ref_axis',(0.,0.,1.)); #458030=DIRECTION('',(1.,0.,0.)); #458031=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #458032=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #458033=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #458034=DIRECTION('ref_axis',(1.,0.,0.)); #458035=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #458036=DIRECTION('ref_axis',(-1.,0.,0.)); #458037=DIRECTION('axis',(0.,0.,1.)); #458038=DIRECTION('refdir',(1.,0.,0.)); #458039=DIRECTION('center_axis',(0.708876752278901,-0.705332368517507,0.)); #458040=DIRECTION('ref_axis',(-0.705332368517507,-0.708876752278901,0.)); #458041=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #458042=DIRECTION('',(0.,0.,1.)); #458043=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #458044=DIRECTION('',(0.,0.,1.)); #458045=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #458046=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #458047=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #458048=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #458049=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #458050=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #458051=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #458052=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #458053=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458054=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458055=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #458056=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #458057=DIRECTION('center_axis',(1.,0.,0.)); #458058=DIRECTION('ref_axis',(0.,0.,1.)); #458059=DIRECTION('',(0.,2.91792413476968E-16,1.)); #458060=DIRECTION('center_axis',(1.,0.,0.)); #458061=DIRECTION('ref_axis',(0.,0.,1.)); #458062=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #458063=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #458064=DIRECTION('',(1.0842021724855E-16,1.,0.)); #458065=DIRECTION('center_axis',(1.,0.,0.)); #458066=DIRECTION('ref_axis',(0.,0.,1.)); #458067=DIRECTION('',(0.,2.91792413476968E-16,1.)); #458068=DIRECTION('center_axis',(1.,0.,0.)); #458069=DIRECTION('ref_axis',(0.,0.,1.)); #458070=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #458071=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #458072=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458073=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #458074=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458075=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #458076=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #458077=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #458078=DIRECTION('',(1.0842021724855E-16,1.,0.)); #458079=DIRECTION('',(0.,0.,1.)); #458080=DIRECTION('center_axis',(-0.705341229421806,0.708867935568915,0.)); #458081=DIRECTION('ref_axis',(0.708867935568915,0.705341229421806,0.)); #458082=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #458083=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #458084=DIRECTION('',(0.,0.,1.)); #458085=DIRECTION('center_axis',(-1.,-1.08149842641946E-16,0.)); #458086=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #458087=DIRECTION('',(0.,0.,1.)); #458088=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #458089=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #458090=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #458091=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #458092=DIRECTION('center_axis',(0.,-1.,0.)); #458093=DIRECTION('ref_axis',(0.,0.,1.)); #458094=DIRECTION('',(0.,0.,1.)); #458095=DIRECTION('',(-1.,0.,0.)); #458096=DIRECTION('',(1.,0.,0.)); #458097=DIRECTION('center_axis',(1.,-1.08149842641946E-16,0.)); #458098=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #458099=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #458100=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #458101=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #458102=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #458103=DIRECTION('center_axis',(0.,0.,-1.)); #458104=DIRECTION('ref_axis',(-1.,0.,0.)); #458105=DIRECTION('',(1.,1.58112816820803E-15,0.)); #458106=DIRECTION('',(-1.,0.,0.)); #458107=DIRECTION('center_axis',(0.,0.,-1.)); #458108=DIRECTION('ref_axis',(-1.,0.,0.)); #458109=DIRECTION('',(1.,1.58112816820803E-15,0.)); #458110=DIRECTION('',(1.,0.,0.)); #458111=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #458112=DIRECTION('ref_axis',(-1.,0.,0.)); #458113=DIRECTION('',(-1.,0.,0.)); #458114=DIRECTION('',(1.,0.,0.)); #458115=DIRECTION('center_axis',(-1.,0.,0.)); #458116=DIRECTION('ref_axis',(0.,0.,1.)); #458117=DIRECTION('',(-1.,0.,0.)); #458118=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #458119=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #458120=DIRECTION('',(-1.,0.,0.)); #458121=DIRECTION('center_axis',(-1.,0.,0.)); #458122=DIRECTION('ref_axis',(0.,0.,1.)); #458123=DIRECTION('',(-1.,0.,0.)); #458124=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #458125=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #458126=DIRECTION('center_axis',(-1.,0.,0.)); #458127=DIRECTION('ref_axis',(0.,0.,1.)); #458128=DIRECTION('',(1.,0.,0.)); #458129=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #458130=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #458131=DIRECTION('',(-1.,0.,0.)); #458132=DIRECTION('center_axis',(-1.,0.,0.)); #458133=DIRECTION('ref_axis',(0.,0.,1.)); #458134=DIRECTION('',(1.,0.,0.)); #458135=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #458136=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #458137=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #458138=DIRECTION('ref_axis',(1.,0.,0.)); #458139=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #458140=DIRECTION('ref_axis',(-1.,0.,0.)); #458141=DIRECTION('axis',(0.,0.,1.)); #458142=DIRECTION('refdir',(1.,0.,0.)); #458143=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #458144=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #458145=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #458146=DIRECTION('',(0.,0.,1.)); #458147=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #458148=DIRECTION('',(0.,0.,1.)); #458149=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #458150=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #458151=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #458152=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #458153=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #458154=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #458155=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #458156=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #458157=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458158=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458159=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #458160=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #458161=DIRECTION('center_axis',(1.,0.,0.)); #458162=DIRECTION('ref_axis',(0.,0.,1.)); #458163=DIRECTION('',(0.,2.91792413476968E-16,1.)); #458164=DIRECTION('center_axis',(1.,0.,0.)); #458165=DIRECTION('ref_axis',(0.,0.,1.)); #458166=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #458167=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #458168=DIRECTION('',(1.0842021724855E-16,1.,0.)); #458169=DIRECTION('center_axis',(1.,0.,0.)); #458170=DIRECTION('ref_axis',(0.,0.,1.)); #458171=DIRECTION('',(0.,2.91792413476968E-16,1.)); #458172=DIRECTION('center_axis',(1.,0.,0.)); #458173=DIRECTION('ref_axis',(0.,0.,1.)); #458174=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #458175=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #458176=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458177=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #458178=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #458179=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #458180=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #458181=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #458182=DIRECTION('',(1.0842021724855E-16,1.,0.)); #458183=DIRECTION('',(0.,0.,1.)); #458184=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #458185=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #458186=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #458187=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #458188=DIRECTION('',(0.,0.,1.)); #458189=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #458190=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #458191=DIRECTION('',(0.,0.,1.)); #458192=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #458193=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #458194=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #458195=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #458196=DIRECTION('center_axis',(0.,-1.,0.)); #458197=DIRECTION('ref_axis',(0.,0.,1.)); #458198=DIRECTION('',(0.,0.,1.)); #458199=DIRECTION('',(-1.,0.,0.)); #458200=DIRECTION('',(1.,0.,0.)); #458201=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #458202=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #458203=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #458204=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #458205=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #458206=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #458207=DIRECTION('center_axis',(0.,0.,-1.)); #458208=DIRECTION('ref_axis',(-1.,0.,0.)); #458209=DIRECTION('',(1.,1.58112816820803E-15,0.)); #458210=DIRECTION('',(-1.,0.,0.)); #458211=DIRECTION('center_axis',(0.,0.,-1.)); #458212=DIRECTION('ref_axis',(-1.,0.,0.)); #458213=DIRECTION('',(1.,1.58112816820803E-15,0.)); #458214=DIRECTION('',(1.,0.,0.)); #458215=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #458216=DIRECTION('ref_axis',(-1.,0.,0.)); #458217=DIRECTION('',(-1.,0.,0.)); #458218=DIRECTION('',(1.,0.,0.)); #458219=DIRECTION('center_axis',(-1.,0.,0.)); #458220=DIRECTION('ref_axis',(0.,0.,1.)); #458221=DIRECTION('',(-1.,0.,0.)); #458222=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #458223=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #458224=DIRECTION('',(-1.,0.,0.)); #458225=DIRECTION('center_axis',(-1.,0.,0.)); #458226=DIRECTION('ref_axis',(0.,0.,1.)); #458227=DIRECTION('',(-1.,0.,0.)); #458228=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #458229=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #458230=DIRECTION('center_axis',(-1.,0.,0.)); #458231=DIRECTION('ref_axis',(0.,0.,1.)); #458232=DIRECTION('',(1.,0.,0.)); #458233=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #458234=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #458235=DIRECTION('',(-1.,0.,0.)); #458236=DIRECTION('center_axis',(-1.,0.,0.)); #458237=DIRECTION('ref_axis',(0.,0.,1.)); #458238=DIRECTION('',(1.,0.,0.)); #458239=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #458240=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #458241=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #458242=DIRECTION('ref_axis',(1.,0.,0.)); #458243=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #458244=DIRECTION('ref_axis',(-1.,0.,0.)); #458245=DIRECTION('axis',(0.,0.,1.)); #458246=DIRECTION('refdir',(1.,0.,0.)); #458247=DIRECTION('center_axis',(0.997558967141627,0.,0.0698291276999173)); #458248=DIRECTION('ref_axis',(0.0698291276999173,0.,-0.997558967141627)); #458249=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #458250=DIRECTION('',(0.,1.,0.)); #458251=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #458252=DIRECTION('',(0.,1.,0.)); #458253=DIRECTION('center_axis',(0.,0.,-1.)); #458254=DIRECTION('ref_axis',(-1.,0.,0.)); #458255=DIRECTION('',(-1.,0.,0.)); #458256=DIRECTION('',(0.,1.,0.)); #458257=DIRECTION('',(-1.,0.,0.)); #458258=DIRECTION('center_axis',(-0.997558967141627,0.,0.069829127699917)); #458259=DIRECTION('ref_axis',(0.069829127699917,0.,0.997558967141627)); #458260=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #458261=DIRECTION('',(0.,1.,0.)); #458262=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #458263=DIRECTION('center_axis',(-5.42101086242751E-15,0.,-1.)); #458264=DIRECTION('ref_axis',(-1.,0.,5.42101086242751E-15)); #458265=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #458266=DIRECTION('',(0.,1.,0.)); #458267=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #458268=DIRECTION('center_axis',(0.,1.,0.)); #458269=DIRECTION('ref_axis',(0.,0.,1.)); #458270=DIRECTION('center_axis',(0.,-1.,0.)); #458271=DIRECTION('ref_axis',(-1.,0.,0.)); #458272=DIRECTION('',(0.,1.,0.)); #458273=DIRECTION('center_axis',(0.,-1.,0.)); #458274=DIRECTION('ref_axis',(-1.,0.,0.)); #458275=DIRECTION('center_axis',(0.956304755963036,0.,-0.292371704722736)); #458276=DIRECTION('ref_axis',(-0.292371704722736,0.,-0.956304755963036)); #458277=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #458278=DIRECTION('',(0.,1.,0.)); #458279=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #458280=DIRECTION('center_axis',(1.,0.,1.2513388369406E-15)); #458281=DIRECTION('ref_axis',(1.2513388369406E-15,0.,-1.)); #458282=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #458283=DIRECTION('',(0.,1.,0.)); #458284=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #458285=DIRECTION('center_axis',(1.44560289664736E-14,0.,1.)); #458286=DIRECTION('ref_axis',(1.,0.,-1.44560289664736E-14)); #458287=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #458288=DIRECTION('',(0.,1.,0.)); #458289=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #458290=DIRECTION('center_axis',(0.,1.,0.)); #458291=DIRECTION('ref_axis',(0.,0.,1.)); #458292=DIRECTION('center_axis',(0.,1.,0.)); #458293=DIRECTION('ref_axis',(-1.,0.,0.)); #458294=DIRECTION('',(0.,1.,0.)); #458295=DIRECTION('center_axis',(0.,1.,0.)); #458296=DIRECTION('ref_axis',(-1.,0.,0.)); #458297=DIRECTION('center_axis',(1.,0.,-2.68209620251688E-16)); #458298=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,-1.)); #458299=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #458300=DIRECTION('',(0.,1.,0.)); #458301=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #458302=DIRECTION('center_axis',(0.,1.,0.)); #458303=DIRECTION('ref_axis',(0.,0.,1.)); #458304=DIRECTION('center_axis',(0.,1.,0.)); #458305=DIRECTION('ref_axis',(-1.,0.,0.)); #458306=DIRECTION('',(0.,1.,0.)); #458307=DIRECTION('center_axis',(0.,1.,0.)); #458308=DIRECTION('ref_axis',(-1.,0.,0.)); #458309=DIRECTION('center_axis',(0.,1.,0.)); #458310=DIRECTION('ref_axis',(0.,0.,1.)); #458311=DIRECTION('center_axis',(0.,-1.,0.)); #458312=DIRECTION('ref_axis',(1.,0.,0.)); #458313=DIRECTION('',(0.,1.,0.)); #458314=DIRECTION('center_axis',(0.,-1.,0.)); #458315=DIRECTION('ref_axis',(1.,0.,0.)); #458316=DIRECTION('center_axis',(1.,0.,0.)); #458317=DIRECTION('ref_axis',(0.,0.,-1.)); #458318=DIRECTION('',(0.,0.,-1.)); #458319=DIRECTION('',(0.,1.,0.)); #458320=DIRECTION('',(0.,0.,-1.)); #458321=DIRECTION('center_axis',(0.,1.,0.)); #458322=DIRECTION('ref_axis',(0.,0.,1.)); #458323=DIRECTION('center_axis',(0.,1.,0.)); #458324=DIRECTION('ref_axis',(-1.,0.,0.)); #458325=DIRECTION('',(0.,1.,0.)); #458326=DIRECTION('center_axis',(0.,1.,0.)); #458327=DIRECTION('ref_axis',(-1.,0.,0.)); #458328=DIRECTION('center_axis',(1.,0.,1.58689772421089E-14)); #458329=DIRECTION('ref_axis',(1.58689772421089E-14,0.,-1.)); #458330=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #458331=DIRECTION('',(0.,1.,0.)); #458332=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #458333=DIRECTION('center_axis',(0.,1.,0.)); #458334=DIRECTION('ref_axis',(0.,0.,1.)); #458335=DIRECTION('center_axis',(0.,1.,0.)); #458336=DIRECTION('ref_axis',(-1.,0.,0.)); #458337=DIRECTION('',(0.,1.,0.)); #458338=DIRECTION('center_axis',(0.,1.,0.)); #458339=DIRECTION('ref_axis',(-1.,0.,0.)); #458340=DIRECTION('center_axis',(1.,0.,-8.35954740612944E-15)); #458341=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,-1.)); #458342=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #458343=DIRECTION('',(0.,1.,0.)); #458344=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #458345=DIRECTION('center_axis',(0.,0.,-1.)); #458346=DIRECTION('ref_axis',(-1.,0.,0.)); #458347=DIRECTION('',(-1.,0.,0.)); #458348=DIRECTION('',(0.,1.,0.)); #458349=DIRECTION('',(-1.,0.,0.)); #458350=DIRECTION('center_axis',(1.,0.,0.)); #458351=DIRECTION('ref_axis',(0.,0.,-1.)); #458352=DIRECTION('',(0.,0.,-1.)); #458353=DIRECTION('',(0.,1.,0.)); #458354=DIRECTION('',(0.,0.,-1.)); #458355=DIRECTION('center_axis',(0.,0.,1.)); #458356=DIRECTION('ref_axis',(1.,0.,0.)); #458357=DIRECTION('',(1.,0.,0.)); #458358=DIRECTION('',(0.,1.,0.)); #458359=DIRECTION('',(1.,0.,0.)); #458360=DIRECTION('center_axis',(-1.,0.,0.)); #458361=DIRECTION('ref_axis',(0.,0.,1.)); #458362=DIRECTION('',(0.,0.,1.)); #458363=DIRECTION('',(0.,1.,0.)); #458364=DIRECTION('',(0.,0.,1.)); #458365=DIRECTION('center_axis',(0.,0.,-1.)); #458366=DIRECTION('ref_axis',(-1.,0.,0.)); #458367=DIRECTION('',(-1.,0.,0.)); #458368=DIRECTION('',(0.,1.,0.)); #458369=DIRECTION('',(-1.,0.,0.)); #458370=DIRECTION('center_axis',(-1.,0.,-8.35954740612944E-15)); #458371=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,1.)); #458372=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #458373=DIRECTION('',(0.,1.,0.)); #458374=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #458375=DIRECTION('center_axis',(0.,1.,0.)); #458376=DIRECTION('ref_axis',(0.,0.,1.)); #458377=DIRECTION('center_axis',(0.,1.,0.)); #458378=DIRECTION('ref_axis',(1.,0.,0.)); #458379=DIRECTION('',(0.,1.,0.)); #458380=DIRECTION('center_axis',(0.,1.,0.)); #458381=DIRECTION('ref_axis',(1.,0.,0.)); #458382=DIRECTION('center_axis',(-1.,0.,1.58689772421089E-14)); #458383=DIRECTION('ref_axis',(1.58689772421089E-14,0.,1.)); #458384=DIRECTION('',(1.58689772421089E-14,0.,1.)); #458385=DIRECTION('',(0.,1.,0.)); #458386=DIRECTION('',(1.58689772421089E-14,0.,1.)); #458387=DIRECTION('center_axis',(0.,1.,0.)); #458388=DIRECTION('ref_axis',(0.,0.,1.)); #458389=DIRECTION('center_axis',(0.,1.,0.)); #458390=DIRECTION('ref_axis',(1.,0.,0.)); #458391=DIRECTION('',(0.,1.,0.)); #458392=DIRECTION('center_axis',(0.,1.,0.)); #458393=DIRECTION('ref_axis',(1.,0.,0.)); #458394=DIRECTION('center_axis',(-1.,0.,0.)); #458395=DIRECTION('ref_axis',(0.,0.,1.)); #458396=DIRECTION('',(0.,0.,1.)); #458397=DIRECTION('',(0.,1.,0.)); #458398=DIRECTION('',(0.,0.,1.)); #458399=DIRECTION('center_axis',(0.,1.,0.)); #458400=DIRECTION('ref_axis',(0.,0.,1.)); #458401=DIRECTION('center_axis',(0.,-1.,0.)); #458402=DIRECTION('ref_axis',(-1.,0.,0.)); #458403=DIRECTION('',(0.,1.,0.)); #458404=DIRECTION('center_axis',(0.,-1.,0.)); #458405=DIRECTION('ref_axis',(-1.,0.,0.)); #458406=DIRECTION('center_axis',(0.,1.,0.)); #458407=DIRECTION('ref_axis',(0.,0.,1.)); #458408=DIRECTION('center_axis',(0.,1.,0.)); #458409=DIRECTION('ref_axis',(1.,0.,0.)); #458410=DIRECTION('',(0.,1.,0.)); #458411=DIRECTION('center_axis',(0.,1.,0.)); #458412=DIRECTION('ref_axis',(1.,0.,0.)); #458413=DIRECTION('center_axis',(-1.,0.,-2.68209620251688E-16)); #458414=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,1.)); #458415=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #458416=DIRECTION('',(0.,1.,0.)); #458417=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #458418=DIRECTION('center_axis',(0.,1.,0.)); #458419=DIRECTION('ref_axis',(0.,0.,1.)); #458420=DIRECTION('center_axis',(0.,1.,0.)); #458421=DIRECTION('ref_axis',(1.,0.,0.)); #458422=DIRECTION('',(0.,1.,0.)); #458423=DIRECTION('center_axis',(0.,1.,0.)); #458424=DIRECTION('ref_axis',(1.,0.,0.)); #458425=DIRECTION('center_axis',(-1.44560289664736E-14,0.,1.)); #458426=DIRECTION('ref_axis',(1.,0.,1.44560289664736E-14)); #458427=DIRECTION('',(1.,0.,1.44560289664736E-14)); #458428=DIRECTION('',(0.,1.,0.)); #458429=DIRECTION('',(1.,0.,1.44560289664736E-14)); #458430=DIRECTION('center_axis',(-1.,0.,1.2513388369406E-15)); #458431=DIRECTION('ref_axis',(1.2513388369406E-15,0.,1.)); #458432=DIRECTION('',(1.2513388369406E-15,0.,1.)); #458433=DIRECTION('',(0.,1.,0.)); #458434=DIRECTION('',(1.2513388369406E-15,0.,1.)); #458435=DIRECTION('center_axis',(-0.956304755963036,0.,-0.292371704722736)); #458436=DIRECTION('ref_axis',(-0.292371704722736,0.,0.956304755963036)); #458437=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #458438=DIRECTION('',(0.,1.,0.)); #458439=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #458440=DIRECTION('center_axis',(0.,1.,0.)); #458441=DIRECTION('ref_axis',(0.,0.,1.)); #458442=DIRECTION('center_axis',(0.,-1.,0.)); #458443=DIRECTION('ref_axis',(-1.,0.,0.)); #458444=DIRECTION('',(0.,1.,0.)); #458445=DIRECTION('center_axis',(0.,-1.,0.)); #458446=DIRECTION('ref_axis',(-1.,0.,0.)); #458447=DIRECTION('center_axis',(5.42101086242751E-15,0.,-1.)); #458448=DIRECTION('ref_axis',(-1.,0.,-5.42101086242751E-15)); #458449=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #458450=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #458451=DIRECTION('center_axis',(0.,-1.,0.)); #458452=DIRECTION('ref_axis',(0.,0.,-1.)); #458453=DIRECTION('center_axis',(0.,-1.,0.)); #458454=DIRECTION('ref_axis',(0.,0.,-1.)); #458455=DIRECTION('axis',(0.,0.,1.)); #458456=DIRECTION('refdir',(1.,0.,0.)); #458457=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #458458=DIRECTION('ref_axis',(0.,-1.,0.)); #458459=DIRECTION('',(0.,-1.,0.)); #458460=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #458461=DIRECTION('',(0.,1.,0.)); #458462=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #458463=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #458464=DIRECTION('ref_axis',(0.,-1.,0.)); #458465=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #458466=DIRECTION('',(0.,-1.,0.)); #458467=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #458468=DIRECTION('',(0.,1.,0.)); #458469=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458470=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458471=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458472=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458473=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #458474=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458475=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458476=DIRECTION('',(-4.52510150421121E-16,1.,5.31829255456175E-32)); #458477=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458478=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458479=DIRECTION('',(0.901531566476721,-0.432713340037061,-1.05955824708761E-16)); #458480=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458481=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458482=DIRECTION('',(0.,-1.,0.)); #458483=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458484=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458485=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #458486=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458487=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458488=DIRECTION('',(-9.11094262592861E-17,-1.,1.07079715863659E-32)); #458489=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458490=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458491=DIRECTION('',(-0.901531566476721,0.432713340037062,1.05955824708761E-16)); #458492=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458493=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458494=DIRECTION('',(0.,-1.,0.)); #458495=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #458496=DIRECTION('',(0.,-1.,0.)); #458497=DIRECTION('',(1.,-2.15761626365275E-16,-1.17528690784337E-16)); #458498=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458499=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458500=DIRECTION('',(-1.58243987929475E-16,-1.,1.85982087258435E-32)); #458501=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #458502=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458503=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458504=DIRECTION('',(-1.97127667724637E-16,-1.,2.31681567050463E-32)); #458505=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458506=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458507=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #458508=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458509=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458510=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458511=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458512=DIRECTION('',(0.,-1.,0.)); #458513=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458514=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458515=DIRECTION('',(1.,-5.70632722360792E-16,-1.17528690784337E-16)); #458516=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458517=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458518=DIRECTION('',(0.706312443883352,-0.707900227161658,-8.30119768142955E-17)); #458519=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458520=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458521=DIRECTION('',(1.,-6.78686805937718E-16,-1.17528690784337E-16)); #458522=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #458523=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458524=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458525=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #458526=DIRECTION('',(0.,-1.,0.)); #458527=DIRECTION('',(-1.,0.,0.)); #458528=DIRECTION('',(0.,1.,0.)); #458529=DIRECTION('',(0.,-1.,0.)); #458530=DIRECTION('',(0.,1.,0.)); #458531=DIRECTION('',(1.,8.470329472543E-17,0.)); #458532=DIRECTION('',(0.,-1.,0.)); #458533=DIRECTION('',(0.,-1.,0.)); #458534=DIRECTION('',(0.,-1.,0.)); #458535=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458536=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458537=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #458538=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458539=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458540=DIRECTION('',(0.,1.,0.)); #458541=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #458542=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458543=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #458544=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #458545=DIRECTION('',(-1.,6.78686805937718E-16,1.17528690784337E-16)); #458546=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458547=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458548=DIRECTION('',(-0.706312443883352,0.707900227161658,8.30119768142955E-17)); #458549=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458550=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458551=DIRECTION('',(-1.,5.70632722360792E-16,1.17528690784337E-16)); #458552=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458553=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458554=DIRECTION('',(0.,-1.,0.)); #458555=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458556=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458557=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458558=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458559=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #458560=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458561=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458562=DIRECTION('',(1.97127667724637E-16,1.,-2.31681567050463E-32)); #458563=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458564=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458565=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #458566=DIRECTION('',(1.58243987929475E-16,1.,-1.85982087258435E-32)); #458567=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458568=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458569=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #458570=DIRECTION('',(0.,-1.,0.)); #458571=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #458572=DIRECTION('',(0.,1.,0.)); #458573=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458574=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458575=DIRECTION('',(0.901531566476721,-0.432713340037062,-1.05955824708761E-16)); #458576=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458577=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #458578=DIRECTION('',(9.11094262592861E-17,1.,-1.07079715863659E-32)); #458579=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458580=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458581=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #458582=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458583=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458584=DIRECTION('',(0.,1.,0.)); #458585=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458586=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458587=DIRECTION('',(-0.901531566476721,0.432713340037061,1.05955824708761E-16)); #458588=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458589=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458590=DIRECTION('',(4.52510150421121E-16,-1.,-5.31829255456175E-32)); #458591=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458592=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #458593=DIRECTION('',(0.,1.,0.)); #458594=DIRECTION('',(-1.,0.,0.)); #458595=DIRECTION('',(0.,-1.,0.)); #458596=DIRECTION('',(0.,-1.,0.)); #458597=DIRECTION('',(0.,-1.,0.)); #458598=DIRECTION('',(1.,8.470329472543E-17,0.)); #458599=DIRECTION('',(0.,1.,0.)); #458600=DIRECTION('',(0.,-1.,0.)); #458601=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #458602=DIRECTION('ref_axis',(0.,-1.,0.)); #458603=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #458604=DIRECTION('',(1.17528690784337E-16,0.,1.)); #458605=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #458606=DIRECTION('ref_axis',(0.,-1.,0.)); #458607=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #458608=DIRECTION('',(1.17528690784337E-16,0.,1.)); #458609=DIRECTION('',(8.31053342375812E-17,0.707106781186548,0.707106781186547)); #458610=DIRECTION('center_axis',(0.,0.,1.)); #458611=DIRECTION('ref_axis',(1.,0.,0.)); #458612=DIRECTION('center_axis',(0.,0.,-1.)); #458613=DIRECTION('ref_axis',(1.,0.,0.)); #458614=DIRECTION('',(0.,1.92747052886312E-15,1.)); #458615=DIRECTION('',(0.,1.92747052886312E-15,1.)); #458616=DIRECTION('center_axis',(0.,0.,-1.)); #458617=DIRECTION('ref_axis',(1.,0.,0.)); #458618=DIRECTION('',(0.,0.,1.)); #458619=DIRECTION('center_axis',(0.,0.,1.)); #458620=DIRECTION('ref_axis',(1.,0.,0.)); #458621=DIRECTION('center_axis',(0.,0.,-1.)); #458622=DIRECTION('ref_axis',(1.,0.,0.)); #458623=DIRECTION('',(0.,0.,1.)); #458624=DIRECTION('',(0.,0.,1.)); #458625=DIRECTION('center_axis',(0.,0.,-1.)); #458626=DIRECTION('ref_axis',(1.,0.,0.)); #458627=DIRECTION('',(0.,0.,1.)); #458628=DIRECTION('center_axis',(0.,0.,-1.)); #458629=DIRECTION('ref_axis',(-1.,0.,0.)); #458630=DIRECTION('center_axis',(0.,0.,-1.)); #458631=DIRECTION('ref_axis',(-1.,0.,0.)); #458632=DIRECTION('',(1.,8.31258950216367E-17,0.)); #458633=DIRECTION('',(1.,0.,0.)); #458634=DIRECTION('center_axis',(0.,0.,-1.)); #458635=DIRECTION('ref_axis',(1.,0.,0.)); #458636=DIRECTION('',(1.,8.31258950216367E-17,0.)); #458637=DIRECTION('',(1.,0.,0.)); #458638=DIRECTION('',(0.,-1.,0.)); #458639=DIRECTION('',(-1.,0.,0.)); #458640=DIRECTION('',(0.,-1.,0.)); #458641=DIRECTION('',(1.,0.,0.)); #458642=DIRECTION('',(0.,-1.,0.)); #458643=DIRECTION('',(0.,-1.,0.)); #458644=DIRECTION('',(0.,-1.,0.)); #458645=DIRECTION('',(1.,0.,0.)); #458646=DIRECTION('',(0.,1.,0.)); #458647=DIRECTION('',(0.,-1.,0.)); #458648=DIRECTION('',(0.,1.,0.)); #458649=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #458650=DIRECTION('',(1.,0.,0.)); #458651=DIRECTION('',(0.,1.,0.)); #458652=DIRECTION('',(0.,-1.,0.)); #458653=DIRECTION('',(0.,1.,0.)); #458654=DIRECTION('',(-1.,8.470329472543E-17,0.)); #458655=DIRECTION('',(0.,-1.,0.)); #458656=DIRECTION('',(0.,-1.,0.)); #458657=DIRECTION('',(0.,-1.,0.)); #458658=DIRECTION('center_axis',(1.,0.,0.)); #458659=DIRECTION('ref_axis',(0.,0.,-1.)); #458660=DIRECTION('',(0.,0.,-1.)); #458661=DIRECTION('center_axis',(1.,0.,0.)); #458662=DIRECTION('ref_axis',(0.,0.,-1.)); #458663=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #458664=DIRECTION('center_axis',(1.,0.,0.)); #458665=DIRECTION('ref_axis',(0.,1.,0.)); #458666=DIRECTION('center_axis',(-1.,0.,0.)); #458667=DIRECTION('ref_axis',(0.,-1.,0.)); #458668=DIRECTION('',(0.,0.,-1.)); #458669=DIRECTION('center_axis',(1.,0.,0.)); #458670=DIRECTION('ref_axis',(0.,1.,0.)); #458671=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #458672=DIRECTION('center_axis',(1.,0.,0.)); #458673=DIRECTION('ref_axis',(0.,1.,0.)); #458674=DIRECTION('',(0.,1.,0.)); #458675=DIRECTION('center_axis',(1.,0.,0.)); #458676=DIRECTION('ref_axis',(0.,1.,0.)); #458677=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #458678=DIRECTION('center_axis',(1.,0.,0.)); #458679=DIRECTION('ref_axis',(0.,-1.,0.)); #458680=DIRECTION('',(0.,9.63735264431559E-16,1.)); #458681=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #458682=DIRECTION('',(0.,0.,1.)); #458683=DIRECTION('center_axis',(1.,0.,0.)); #458684=DIRECTION('ref_axis',(0.,1.,0.)); #458685=DIRECTION('center_axis',(-1.,0.,0.)); #458686=DIRECTION('ref_axis',(0.,1.,0.)); #458687=DIRECTION('',(0.,0.,-1.)); #458688=DIRECTION('',(0.,-1.,0.)); #458689=DIRECTION('',(0.,0.,-1.)); #458690=DIRECTION('',(0.,-1.,0.)); #458691=DIRECTION('',(0.,-2.53581814682099E-32,1.)); #458692=DIRECTION('center_axis',(1.,0.,0.)); #458693=DIRECTION('ref_axis',(0.,0.,-1.)); #458694=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #458695=DIRECTION('center_axis',(-1.,0.,0.)); #458696=DIRECTION('ref_axis',(0.,1.,0.)); #458697=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #458698=DIRECTION('center_axis',(-1.,0.,0.)); #458699=DIRECTION('ref_axis',(0.,1.,0.)); #458700=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #458701=DIRECTION('center_axis',(-1.,0.,0.)); #458702=DIRECTION('ref_axis',(0.,1.,0.)); #458703=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #458704=DIRECTION('center_axis',(-1.,0.,0.)); #458705=DIRECTION('ref_axis',(0.,1.,0.)); #458706=DIRECTION('',(0.,0.,-1.)); #458707=DIRECTION('center_axis',(-1.,0.,0.)); #458708=DIRECTION('ref_axis',(0.,-1.,0.)); #458709=DIRECTION('center_axis',(1.,0.,0.)); #458710=DIRECTION('ref_axis',(0.,1.,0.)); #458711=DIRECTION('center_axis',(-1.,0.,0.)); #458712=DIRECTION('ref_axis',(0.,1.,0.)); #458713=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #458714=DIRECTION('center_axis',(-1.,0.,0.)); #458715=DIRECTION('ref_axis',(0.,1.,0.)); #458716=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #458717=DIRECTION('center_axis',(1.,0.,0.)); #458718=DIRECTION('ref_axis',(0.,0.,-1.)); #458719=DIRECTION('',(0.,1.0426138798441E-32,-1.)); #458720=DIRECTION('',(0.,-1.,0.)); #458721=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #458722=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #458723=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #458724=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #458725=DIRECTION('center_axis',(6.78686805937718E-16,-1.,-7.97651717544631E-32)); #458726=DIRECTION('ref_axis',(1.,6.78686805937718E-16,0.)); #458727=DIRECTION('',(1.,6.78686805937718E-16,-1.17528690784337E-16)); #458728=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #458729=DIRECTION('ref_axis',(1.,-5.8860153673351E-32,0.)); #458730=DIRECTION('',(0.866025403784439,6.78686805937718E-16,0.5)); #458731=DIRECTION('',(0.5,0.,-0.866025403784439)); #458732=DIRECTION('',(-0.866025403784439,-6.78686805937718E-16,-0.5)); #458733=DIRECTION('center_axis',(5.8860153673351E-32,1.,9.52482186350414E-48)); #458734=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #458735=DIRECTION('',(-1.,-6.78686805937718E-16,1.17528690784337E-16)); #458736=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #458737=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #458738=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #458739=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458740=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458741=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #458742=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458743=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458744=DIRECTION('',(0.706312443883352,0.707900227161658,1.14296341210728E-16)); #458745=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458746=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458747=DIRECTION('',(1.,5.70632722360792E-16,1.61821219773956E-16)); #458748=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458749=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458750=DIRECTION('',(0.,-1.,0.)); #458751=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458752=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458753=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458754=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458755=DIRECTION('',(1.,0.,1.61821219773956E-16)); #458756=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458757=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458758=DIRECTION('',(0.,-1.,0.)); #458759=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458760=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458761=DIRECTION('',(-1.,0.,-1.61821219773956E-16)); #458762=DIRECTION('',(0.,-1.,0.)); #458763=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458764=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458765=DIRECTION('',(-1.,-2.15761626365275E-16,-1.61821219773956E-16)); #458766=DIRECTION('',(0.,-1.,0.)); #458767=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #458768=DIRECTION('',(0.,-1.,0.)); #458769=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458770=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458771=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #458772=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #458773=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458774=DIRECTION('',(0.,-1.,0.)); #458775=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #458776=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #458777=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #458778=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #458779=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #458780=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #458781=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #458782=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #458783=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #458784=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #458785=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #458786=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #458787=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #458788=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #458789=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #458790=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #458791=DIRECTION('',(1.,0.,1.61821219773956E-16)); #458792=DIRECTION('',(0.,-1.,0.)); #458793=DIRECTION('',(0.,-1.,0.)); #458794=DIRECTION('',(0.,-1.,0.)); #458795=DIRECTION('',(-1.,0.,0.)); #458796=DIRECTION('',(0.,1.,0.)); #458797=DIRECTION('',(0.,-1.,0.)); #458798=DIRECTION('',(0.,1.,0.)); #458799=DIRECTION('',(1.,-8.470329472543E-17,0.)); #458800=DIRECTION('center_axis',(1.,0.,0.)); #458801=DIRECTION('ref_axis',(0.,0.,-1.)); #458802=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #458803=DIRECTION('',(0.,0.,-1.)); #458804=DIRECTION('',(0.,-1.,0.)); #458805=DIRECTION('center_axis',(-1.,0.,0.)); #458806=DIRECTION('ref_axis',(0.,0.,1.)); #458807=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #458808=DIRECTION('',(0.,0.,-1.)); #458809=DIRECTION('',(0.,1.,0.)); #458810=DIRECTION('center_axis',(1.,0.,0.)); #458811=DIRECTION('ref_axis',(0.,0.,-1.)); #458812=DIRECTION('',(1.58385899163314E-15,0.,1.)); #458813=DIRECTION('',(0.,0.,1.)); #458814=DIRECTION('',(0.,1.,0.)); #458815=DIRECTION('center_axis',(-1.,0.,0.)); #458816=DIRECTION('ref_axis',(0.,0.,1.)); #458817=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #458818=DIRECTION('',(0.,0.,1.)); #458819=DIRECTION('',(0.,-1.,0.)); #458820=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #458821=DIRECTION('ref_axis',(0.,0.,1.)); #458822=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #458823=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #458824=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #458825=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #458826=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #458827=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #458828=DIRECTION('',(0.,0.,1.)); #458829=DIRECTION('',(-0.679586185331775,-0.679586185331775,-0.276270218106138)); #458830=DIRECTION('',(0.,0.,-1.)); #458831=DIRECTION('center_axis',(0.,1.,0.)); #458832=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #458833=DIRECTION('',(-1.,0.,0.)); #458834=DIRECTION('center_axis',(0.,-1.,0.)); #458835=DIRECTION('ref_axis',(0.,0.,-1.)); #458836=DIRECTION('',(-1.,0.,0.)); #458837=DIRECTION('',(0.,0.,1.)); #458838=DIRECTION('center_axis',(0.,1.,0.)); #458839=DIRECTION('ref_axis',(1.,0.,0.)); #458840=DIRECTION('center_axis',(-1.,0.,0.)); #458841=DIRECTION('ref_axis',(0.,0.,1.)); #458842=DIRECTION('',(0.,-1.,0.)); #458843=DIRECTION('',(0.,0.,1.)); #458844=DIRECTION('',(0.,-1.,0.)); #458845=DIRECTION('',(0.,0.,1.)); #458846=DIRECTION('center_axis',(1.,0.,0.)); #458847=DIRECTION('ref_axis',(0.,1.,0.)); #458848=DIRECTION('center_axis',(-1.,0.,0.)); #458849=DIRECTION('ref_axis',(0.,-1.,0.)); #458850=DIRECTION('',(0.,0.,-1.)); #458851=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #458852=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #458853=DIRECTION('center_axis',(-1.,0.,0.)); #458854=DIRECTION('ref_axis',(0.,1.,0.)); #458855=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #458856=DIRECTION('center_axis',(-1.,0.,0.)); #458857=DIRECTION('ref_axis',(0.,1.,0.)); #458858=DIRECTION('',(0.,-1.,0.)); #458859=DIRECTION('center_axis',(-1.,0.,0.)); #458860=DIRECTION('ref_axis',(0.,1.,0.)); #458861=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #458862=DIRECTION('center_axis',(-1.,0.,0.)); #458863=DIRECTION('ref_axis',(0.,1.,0.)); #458864=DIRECTION('',(0.,0.,1.)); #458865=DIRECTION('center_axis',(1.,0.,0.)); #458866=DIRECTION('ref_axis',(0.,1.,0.)); #458867=DIRECTION('center_axis',(-1.,0.,0.)); #458868=DIRECTION('ref_axis',(0.,1.,0.)); #458869=DIRECTION('',(0.,8.70845118462252E-16,1.)); #458870=DIRECTION('center_axis',(-1.,0.,0.)); #458871=DIRECTION('ref_axis',(0.,0.,1.)); #458872=DIRECTION('',(0.,0.,1.)); #458873=DIRECTION('',(0.,-1.,0.)); #458874=DIRECTION('',(0.,-1.0426138798441E-32,-1.)); #458875=DIRECTION('center_axis',(-1.,0.,0.)); #458876=DIRECTION('ref_axis',(0.,0.,1.)); #458877=DIRECTION('',(0.,1.80700362080917E-17,1.)); #458878=DIRECTION('center_axis',(1.,0.,0.)); #458879=DIRECTION('ref_axis',(0.,-1.,0.)); #458880=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #458881=DIRECTION('center_axis',(1.,0.,0.)); #458882=DIRECTION('ref_axis',(0.,1.,0.)); #458883=DIRECTION('center_axis',(-1.,0.,0.)); #458884=DIRECTION('ref_axis',(0.,1.,0.)); #458885=DIRECTION('center_axis',(1.,0.,0.)); #458886=DIRECTION('ref_axis',(0.,1.,0.)); #458887=DIRECTION('',(0.,0.,1.)); #458888=DIRECTION('center_axis',(1.,0.,0.)); #458889=DIRECTION('ref_axis',(0.,-1.,0.)); #458890=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #458891=DIRECTION('center_axis',(1.,0.,0.)); #458892=DIRECTION('ref_axis',(0.,1.,0.)); #458893=DIRECTION('',(0.,1.,1.87378280388019E-15)); #458894=DIRECTION('center_axis',(1.,0.,0.)); #458895=DIRECTION('ref_axis',(0.,1.,0.)); #458896=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #458897=DIRECTION('center_axis',(1.,0.,0.)); #458898=DIRECTION('ref_axis',(0.,1.,0.)); #458899=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #458900=DIRECTION('center_axis',(-1.,0.,0.)); #458901=DIRECTION('ref_axis',(0.,0.,1.)); #458902=DIRECTION('',(0.,2.53581814682099E-32,1.)); #458903=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #458904=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #458905=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #458906=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #458907=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #458908=DIRECTION('ref_axis',(0.824321232027378,-0.56612234228024,-9.68813951859095E-17)); #458909=DIRECTION('',(0.,0.,-1.)); #458910=DIRECTION('',(0.,0.,-1.)); #458911=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #458912=DIRECTION('center_axis',(-1.,0.,0.)); #458913=DIRECTION('ref_axis',(0.,0.,1.)); #458914=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #458915=DIRECTION('',(0.,0.,-1.)); #458916=DIRECTION('center_axis',(1.,0.,0.)); #458917=DIRECTION('ref_axis',(0.,0.,-1.)); #458918=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #458919=DIRECTION('',(0.,0.,-1.)); #458920=DIRECTION('center_axis',(-1.,0.,0.)); #458921=DIRECTION('ref_axis',(0.,0.,1.)); #458922=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #458923=DIRECTION('',(0.,0.,1.)); #458924=DIRECTION('',(0.,-1.,0.)); #458925=DIRECTION('center_axis',(1.,0.,0.)); #458926=DIRECTION('ref_axis',(0.,0.,-1.)); #458927=DIRECTION('',(2.11181198884419E-15,0.,1.)); #458928=DIRECTION('',(0.,0.,1.)); #458929=DIRECTION('',(0.,1.,0.)); #458930=DIRECTION('center_axis',(-1.,0.,0.)); #458931=DIRECTION('ref_axis',(0.,0.,1.)); #458932=DIRECTION('',(0.,0.,1.)); #458933=DIRECTION('',(0.,-1.,0.)); #458934=DIRECTION('',(0.,0.,1.)); #458935=DIRECTION('',(0.,1.,0.)); #458936=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #458937=DIRECTION('center_axis',(-1.,0.,0.)); #458938=DIRECTION('ref_axis',(0.,0.,1.)); #458939=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #458940=DIRECTION('center_axis',(1.,0.,0.)); #458941=DIRECTION('ref_axis',(0.,1.,0.)); #458942=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #458943=DIRECTION('center_axis',(1.,0.,0.)); #458944=DIRECTION('ref_axis',(0.,1.,0.)); #458945=DIRECTION('',(0.,1.,1.87378280388019E-15)); #458946=DIRECTION('center_axis',(1.,0.,0.)); #458947=DIRECTION('ref_axis',(0.,1.,0.)); #458948=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #458949=DIRECTION('center_axis',(1.,0.,0.)); #458950=DIRECTION('ref_axis',(0.,-1.,0.)); #458951=DIRECTION('',(0.,0.,1.)); #458952=DIRECTION('center_axis',(1.,0.,0.)); #458953=DIRECTION('ref_axis',(0.,1.,0.)); #458954=DIRECTION('center_axis',(-1.,0.,0.)); #458955=DIRECTION('ref_axis',(0.,1.,0.)); #458956=DIRECTION('center_axis',(1.,0.,0.)); #458957=DIRECTION('ref_axis',(0.,1.,0.)); #458958=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #458959=DIRECTION('center_axis',(1.,0.,0.)); #458960=DIRECTION('ref_axis',(0.,-1.,0.)); #458961=DIRECTION('',(0.,1.80700362080917E-17,1.)); #458962=DIRECTION('center_axis',(-1.,0.,0.)); #458963=DIRECTION('ref_axis',(0.,0.,1.)); #458964=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #458965=DIRECTION('',(0.,1.,0.)); #458966=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #458967=DIRECTION('center_axis',(-1.,0.,0.)); #458968=DIRECTION('ref_axis',(0.,0.,1.)); #458969=DIRECTION('',(0.,8.70845118462252E-16,1.)); #458970=DIRECTION('center_axis',(-1.,0.,0.)); #458971=DIRECTION('ref_axis',(0.,1.,0.)); #458972=DIRECTION('center_axis',(1.,0.,0.)); #458973=DIRECTION('ref_axis',(0.,1.,0.)); #458974=DIRECTION('',(0.,0.,1.)); #458975=DIRECTION('center_axis',(-1.,0.,0.)); #458976=DIRECTION('ref_axis',(0.,1.,0.)); #458977=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #458978=DIRECTION('center_axis',(-1.,0.,0.)); #458979=DIRECTION('ref_axis',(0.,1.,0.)); #458980=DIRECTION('',(0.,-1.,0.)); #458981=DIRECTION('center_axis',(-1.,0.,0.)); #458982=DIRECTION('ref_axis',(0.,1.,0.)); #458983=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #458984=DIRECTION('center_axis',(-1.,0.,0.)); #458985=DIRECTION('ref_axis',(0.,1.,0.)); #458986=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #458987=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #458988=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #458989=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #458990=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #458991=DIRECTION('center_axis',(-1.,0.,0.)); #458992=DIRECTION('ref_axis',(0.,0.,1.)); #458993=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #458994=DIRECTION('center_axis',(-1.,0.,0.)); #458995=DIRECTION('ref_axis',(0.,0.,1.)); #458996=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #458997=DIRECTION('ref_axis',(0.,0.,-1.)); #458998=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #458999=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #459000=DIRECTION('',(-0.679586185331775,0.679586185331775,0.276270218106137)); #459001=DIRECTION('',(0.,0.,-1.)); #459002=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #459003=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #459004=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #459005=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #459006=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #459007=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #459008=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #459009=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459010=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #459011=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #459012=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #459013=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #459014=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #459015=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #459016=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #459017=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #459018=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #459019=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #459020=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #459021=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #459022=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #459023=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #459024=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #459025=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #459026=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459027=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459028=DIRECTION('',(0.,-1.,0.)); #459029=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #459030=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #459031=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #459032=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #459033=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #459034=DIRECTION('',(0.,1.,0.)); #459035=DIRECTION('',(1.,0.,1.61821219773956E-16)); #459036=DIRECTION('',(0.,1.,0.)); #459037=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #459038=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #459039=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459040=DIRECTION('',(0.,1.,0.)); #459041=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #459042=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #459043=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459044=DIRECTION('',(0.,1.,0.)); #459045=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #459046=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #459047=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #459048=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459049=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #459050=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #459051=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #459052=DIRECTION('',(0.,1.,0.)); #459053=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #459054=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #459055=DIRECTION('',(-1.,-5.70632722360792E-16,1.17528690784337E-16)); #459056=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459057=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #459058=DIRECTION('',(-0.706312443883352,-0.707900227161658,8.30119768142955E-17)); #459059=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #459060=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #459061=DIRECTION('',(-1.,0.,0.)); #459062=DIRECTION('',(0.,-1.,0.)); #459063=DIRECTION('',(0.,-1.,0.)); #459064=DIRECTION('',(1.,-8.470329472543E-17,0.)); #459065=DIRECTION('',(0.,1.,0.)); #459066=DIRECTION('',(0.,-1.,0.)); #459067=DIRECTION('center_axis',(0.,-1.,0.)); #459068=DIRECTION('ref_axis',(0.,0.,-1.)); #459069=DIRECTION('center_axis',(0.,-1.,0.)); #459070=DIRECTION('ref_axis',(0.,0.,-1.)); #459071=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #459072=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #459073=DIRECTION('center_axis',(0.,-1.,0.)); #459074=DIRECTION('ref_axis',(0.,0.,-1.)); #459075=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #459076=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #459077=DIRECTION('center_axis',(0.,-1.,0.)); #459078=DIRECTION('ref_axis',(0.,0.,-1.)); #459079=DIRECTION('center_axis',(0.,-1.,0.)); #459080=DIRECTION('ref_axis',(0.,0.,-1.)); #459081=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #459082=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #459083=DIRECTION('center_axis',(0.,-1.,0.)); #459084=DIRECTION('ref_axis',(0.,0.,-1.)); #459085=DIRECTION('',(0.,-1.,0.)); #459086=DIRECTION('center_axis',(0.,-1.,0.)); #459087=DIRECTION('ref_axis',(0.,0.,-1.)); #459088=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #459089=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #459090=DIRECTION('',(0.,-1.,0.)); #459091=DIRECTION('center_axis',(0.,-1.,0.)); #459092=DIRECTION('ref_axis',(0.,0.,-1.)); #459093=DIRECTION('center_axis',(0.,-1.,0.)); #459094=DIRECTION('ref_axis',(0.,0.,-1.)); #459095=DIRECTION('center_axis',(0.,-1.,0.)); #459096=DIRECTION('ref_axis',(0.,0.,-1.)); #459097=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #459098=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #459099=DIRECTION('center_axis',(0.,-1.,0.)); #459100=DIRECTION('ref_axis',(0.,0.,-1.)); #459101=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #459102=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #459103=DIRECTION('center_axis',(0.,-1.,0.)); #459104=DIRECTION('ref_axis',(0.,0.,-1.)); #459105=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #459106=DIRECTION('ref_axis',(0.,-1.,0.)); #459107=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459108=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459109=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #459110=DIRECTION('ref_axis',(0.,-1.,0.)); #459111=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #459112=DIRECTION('',(-1.14424881842043E-16,0.707106781186548,0.707106781186547)); #459113=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #459114=DIRECTION('center_axis',(0.,1.,0.)); #459115=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459116=DIRECTION('',(1.,0.,0.)); #459117=DIRECTION('center_axis',(0.,1.,0.)); #459118=DIRECTION('ref_axis',(-1.,0.,0.)); #459119=DIRECTION('',(-1.,0.,0.)); #459120=DIRECTION('center_axis',(0.,-1.,0.)); #459121=DIRECTION('ref_axis',(0.,0.,-1.)); #459122=DIRECTION('center_axis',(0.,1.,0.)); #459123=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459124=DIRECTION('',(0.,0.,1.)); #459125=DIRECTION('',(-1.,0.,0.)); #459126=DIRECTION('center_axis',(0.,1.,0.)); #459127=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #459128=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #459129=DIRECTION('center_axis',(0.,1.,0.)); #459130=DIRECTION('ref_axis',(0.,0.,1.)); #459131=DIRECTION('',(0.,0.,-1.)); #459132=DIRECTION('center_axis',(0.,1.,0.)); #459133=DIRECTION('ref_axis',(0.,0.,1.)); #459134=DIRECTION('',(-1.,0.,0.)); #459135=DIRECTION('center_axis',(0.,1.,0.)); #459136=DIRECTION('ref_axis',(0.,0.,1.)); #459137=DIRECTION('',(0.,0.,1.)); #459138=DIRECTION('center_axis',(0.,1.,0.)); #459139=DIRECTION('ref_axis',(0.,0.,1.)); #459140=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #459141=DIRECTION('center_axis',(0.,-1.,0.)); #459142=DIRECTION('ref_axis',(0.,0.,-1.)); #459143=DIRECTION('',(-1.,0.,0.)); #459144=DIRECTION('center_axis',(0.,-1.,0.)); #459145=DIRECTION('ref_axis',(0.,0.,-1.)); #459146=DIRECTION('',(0.,0.,-1.)); #459147=DIRECTION('center_axis',(0.,-1.,0.)); #459148=DIRECTION('ref_axis',(0.,0.,-1.)); #459149=DIRECTION('center_axis',(0.,-1.,0.)); #459150=DIRECTION('ref_axis',(0.,0.,-1.)); #459151=DIRECTION('center_axis',(0.,-1.,0.)); #459152=DIRECTION('ref_axis',(0.,0.,-1.)); #459153=DIRECTION('center_axis',(0.,-1.,0.)); #459154=DIRECTION('ref_axis',(0.,0.,-1.)); #459155=DIRECTION('center_axis',(0.,-1.,0.)); #459156=DIRECTION('ref_axis',(0.,0.,-1.)); #459157=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #459158=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #459159=DIRECTION('center_axis',(0.,-1.,0.)); #459160=DIRECTION('ref_axis',(0.,0.,-1.)); #459161=DIRECTION('center_axis',(1.,0.,0.)); #459162=DIRECTION('ref_axis',(0.,0.,-1.)); #459163=DIRECTION('',(0.,1.,0.)); #459164=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #459165=DIRECTION('',(0.,9.63735264431559E-16,1.)); #459166=DIRECTION('center_axis',(1.,0.,0.)); #459167=DIRECTION('ref_axis',(0.,-1.,0.)); #459168=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #459169=DIRECTION('center_axis',(1.,0.,0.)); #459170=DIRECTION('ref_axis',(0.,1.,0.)); #459171=DIRECTION('',(0.,1.,0.)); #459172=DIRECTION('center_axis',(1.,0.,0.)); #459173=DIRECTION('ref_axis',(0.,1.,0.)); #459174=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #459175=DIRECTION('center_axis',(1.,0.,0.)); #459176=DIRECTION('ref_axis',(0.,1.,0.)); #459177=DIRECTION('',(0.,0.,-1.)); #459178=DIRECTION('center_axis',(-1.,0.,0.)); #459179=DIRECTION('ref_axis',(0.,-1.,0.)); #459180=DIRECTION('center_axis',(1.,0.,0.)); #459181=DIRECTION('ref_axis',(0.,1.,0.)); #459182=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #459183=DIRECTION('center_axis',(1.,0.,0.)); #459184=DIRECTION('ref_axis',(0.,0.,-1.)); #459185=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459186=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459187=DIRECTION('center_axis',(1.,0.,0.)); #459188=DIRECTION('ref_axis',(0.,0.,-1.)); #459189=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #459190=DIRECTION('center_axis',(-1.,0.,0.)); #459191=DIRECTION('ref_axis',(0.,1.,0.)); #459192=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #459193=DIRECTION('center_axis',(-1.,0.,0.)); #459194=DIRECTION('ref_axis',(0.,1.,0.)); #459195=DIRECTION('center_axis',(1.,0.,0.)); #459196=DIRECTION('ref_axis',(0.,1.,0.)); #459197=DIRECTION('center_axis',(-1.,0.,0.)); #459198=DIRECTION('ref_axis',(0.,-1.,0.)); #459199=DIRECTION('',(0.,0.,-1.)); #459200=DIRECTION('center_axis',(-1.,0.,0.)); #459201=DIRECTION('ref_axis',(0.,1.,0.)); #459202=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #459203=DIRECTION('center_axis',(-1.,0.,0.)); #459204=DIRECTION('ref_axis',(0.,1.,0.)); #459205=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #459206=DIRECTION('center_axis',(-1.,0.,0.)); #459207=DIRECTION('ref_axis',(0.,1.,0.)); #459208=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #459209=DIRECTION('center_axis',(-1.,0.,0.)); #459210=DIRECTION('ref_axis',(0.,1.,0.)); #459211=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #459212=DIRECTION('center_axis',(1.,0.,0.)); #459213=DIRECTION('ref_axis',(0.,0.,-1.)); #459214=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459215=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #459216=DIRECTION('',(0.,8.70845118462252E-16,1.)); #459217=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #459218=DIRECTION('center_axis',(1.,0.,0.)); #459219=DIRECTION('ref_axis',(0.,0.,-1.)); #459220=DIRECTION('',(0.,8.70845118462252E-16,1.)); #459221=DIRECTION('center_axis',(1.,0.,0.)); #459222=DIRECTION('ref_axis',(0.,0.,-1.)); #459223=DIRECTION('center_axis',(0.,-1.,0.)); #459224=DIRECTION('ref_axis',(0.,0.,-1.)); #459225=DIRECTION('',(0.,-1.,0.)); #459226=DIRECTION('center_axis',(0.,0.,-1.)); #459227=DIRECTION('ref_axis',(-1.,0.,0.)); #459228=DIRECTION('',(1.,8.31258950216367E-17,0.)); #459229=DIRECTION('center_axis',(0.,0.,-1.)); #459230=DIRECTION('ref_axis',(-1.,0.,0.)); #459231=DIRECTION('',(0.,1.,0.)); #459232=DIRECTION('',(1.,8.31258950216367E-17,0.)); #459233=DIRECTION('center_axis',(0.,0.,-1.)); #459234=DIRECTION('ref_axis',(1.,0.,0.)); #459235=DIRECTION('',(1.,0.,0.)); #459236=DIRECTION('',(0.,-1.,0.)); #459237=DIRECTION('',(0.,-1.,0.)); #459238=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #459239=DIRECTION('',(0.,1.,0.)); #459240=DIRECTION('',(0.,-1.,0.)); #459241=DIRECTION('',(1.,0.,0.)); #459242=DIRECTION('',(0.,-1.,0.)); #459243=DIRECTION('',(0.,-1.,0.)); #459244=DIRECTION('',(-1.,8.470329472543E-17,0.)); #459245=DIRECTION('',(0.,1.,0.)); #459246=DIRECTION('',(0.,-1.,0.)); #459247=DIRECTION('center_axis',(0.,-1.,0.)); #459248=DIRECTION('ref_axis',(0.,0.,-1.)); #459249=DIRECTION('center_axis',(0.,-1.,0.)); #459250=DIRECTION('ref_axis',(0.,0.,-1.)); #459251=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #459252=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #459253=DIRECTION('center_axis',(0.,-1.,0.)); #459254=DIRECTION('ref_axis',(0.,0.,-1.)); #459255=DIRECTION('center_axis',(0.,0.,1.)); #459256=DIRECTION('ref_axis',(1.,0.,0.)); #459257=DIRECTION('',(0.,1.92747052886312E-15,1.)); #459258=DIRECTION('',(0.,1.92747052886312E-15,1.)); #459259=DIRECTION('center_axis',(0.,0.,1.)); #459260=DIRECTION('ref_axis',(1.,0.,0.)); #459261=DIRECTION('',(0.,0.,1.)); #459262=DIRECTION('',(0.,0.,1.)); #459263=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459264=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459265=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459266=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459267=DIRECTION('center_axis',(0.,-1.,0.)); #459268=DIRECTION('ref_axis',(0.,0.,-1.)); #459269=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #459270=DIRECTION('center_axis',(-6.78686805937718E-16,-1.,7.97651717544631E-32)); #459271=DIRECTION('ref_axis',(1.,-6.78686805937718E-16,0.)); #459272=DIRECTION('center_axis',(0.,1.,0.)); #459273=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459274=DIRECTION('',(-0.866025403784439,6.78686805937718E-16,0.5)); #459275=DIRECTION('',(-0.5,0.,-0.866025403784439)); #459276=DIRECTION('',(0.866025403784439,-6.78686805937718E-16,-0.5)); #459277=DIRECTION('center_axis',(0.,1.,0.)); #459278=DIRECTION('ref_axis',(0.,0.,1.)); #459279=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459280=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459281=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459282=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459283=DIRECTION('center_axis',(-0.707900227161658,-0.706312443883352, 8.31985869042441E-17)); #459284=DIRECTION('ref_axis',(0.706312443883352,-0.707900227161658,0.)); #459285=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459286=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459287=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459288=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459289=DIRECTION('center_axis',(-5.70632722360792E-16,-1.,6.70657167777656E-32)); #459290=DIRECTION('ref_axis',(1.,-5.70632722360792E-16,0.)); #459291=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459292=DIRECTION('center_axis',(0.,-1.,0.)); #459293=DIRECTION('ref_axis',(0.,0.,-1.)); #459294=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459295=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459296=DIRECTION('center_axis',(5.70632722360792E-16,-1.,-6.70657167777656E-32)); #459297=DIRECTION('ref_axis',(1.,5.70632722360792E-16,0.)); #459298=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459299=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459300=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459301=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459302=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459303=DIRECTION('center_axis',(0.707900227161658,-0.706312443883352,-8.3198586904244E-17)); #459304=DIRECTION('ref_axis',(0.706312443883352,0.707900227161658,0.)); #459305=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459306=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459307=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459308=DIRECTION('center_axis',(0.,-1.,0.)); #459309=DIRECTION('ref_axis',(0.,0.,-1.)); #459310=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #459311=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459312=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459313=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459314=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459315=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459316=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459317=DIRECTION('center_axis',(0.,-1.,0.)); #459318=DIRECTION('ref_axis',(0.,0.,-1.)); #459319=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459320=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459321=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459322=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #459323=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #459324=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #459325=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #459326=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #459327=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459328=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459329=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459330=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459331=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459332=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #459333=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #459334=DIRECTION('',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #459335=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459336=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459337=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459338=DIRECTION('center_axis',(-1.,1.58243987929475E-16,1.17528690784337E-16)); #459339=DIRECTION('ref_axis',(-1.58243987929475E-16,-1.,0.)); #459340=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459341=DIRECTION('center_axis',(0.,-1.,0.)); #459342=DIRECTION('ref_axis',(0.,0.,-1.)); #459343=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459344=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459345=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459346=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459347=DIRECTION('center_axis',(-1.,1.97127667724637E-16,1.17528690784337E-16)); #459348=DIRECTION('ref_axis',(-1.97127667724637E-16,-1.,0.)); #459349=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459350=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459351=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459352=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459353=DIRECTION('center_axis',(0.,1.,0.)); #459354=DIRECTION('ref_axis',(0.,0.,1.)); #459355=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459356=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459357=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459358=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #459359=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #459360=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #459361=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459362=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459363=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459364=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459365=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459366=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #459367=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #459368=DIRECTION('',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #459369=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459370=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459371=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459372=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #459373=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459374=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459375=DIRECTION('center_axis',(0.,1.,0.)); #459376=DIRECTION('ref_axis',(0.,0.,1.)); #459377=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459378=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459379=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459380=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459381=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #459382=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459383=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459384=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459385=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459386=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459387=DIRECTION('center_axis',(0.,-1.,0.)); #459388=DIRECTION('ref_axis',(0.,0.,-1.)); #459389=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #459390=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #459391=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #459392=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #459393=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #459394=DIRECTION('',(1.,1.2329449625327E-32,-1.17528690784337E-16)); #459395=DIRECTION('',(1.,0.,0.)); #459396=DIRECTION('center_axis',(1.,0.,0.)); #459397=DIRECTION('ref_axis',(0.,0.,-1.)); #459398=DIRECTION('',(1.,0.,0.)); #459399=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #459400=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #459401=DIRECTION('',(1.,0.,0.)); #459402=DIRECTION('center_axis',(1.,0.,0.)); #459403=DIRECTION('ref_axis',(0.,0.,-1.)); #459404=DIRECTION('',(1.,0.,0.)); #459405=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #459406=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #459407=DIRECTION('',(1.,0.,0.)); #459408=DIRECTION('center_axis',(1.,0.,0.)); #459409=DIRECTION('ref_axis',(0.,0.,-1.)); #459410=DIRECTION('',(1.,0.,0.)); #459411=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #459412=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #459413=DIRECTION('',(1.,0.,0.)); #459414=DIRECTION('center_axis',(1.,0.,0.)); #459415=DIRECTION('ref_axis',(0.,0.,-1.)); #459416=DIRECTION('',(1.,0.,0.)); #459417=DIRECTION('center_axis',(0.,1.,0.)); #459418=DIRECTION('ref_axis',(0.,0.,1.)); #459419=DIRECTION('',(1.,0.,0.)); #459420=DIRECTION('center_axis',(1.,0.,0.)); #459421=DIRECTION('ref_axis',(0.,0.,-1.)); #459422=DIRECTION('',(1.,0.,0.)); #459423=DIRECTION('center_axis',(1.,0.,0.)); #459424=DIRECTION('ref_axis',(0.,-0.714472947821408,0.699663066648074)); #459425=DIRECTION('',(1.,0.,0.)); #459426=DIRECTION('center_axis',(1.,0.,0.)); #459427=DIRECTION('ref_axis',(0.,0.,-1.)); #459428=DIRECTION('',(1.,0.,0.)); #459429=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #459430=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #459431=DIRECTION('',(1.,0.,0.)); #459432=DIRECTION('center_axis',(1.,0.,0.)); #459433=DIRECTION('ref_axis',(0.,0.,-1.)); #459434=DIRECTION('',(1.,0.,0.)); #459435=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #459436=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #459437=DIRECTION('',(1.,-2.12374769796258E-33,-1.17528690784337E-16)); #459438=DIRECTION('center_axis',(1.,0.,0.)); #459439=DIRECTION('ref_axis',(0.,0.,-1.)); #459440=DIRECTION('',(1.,0.,0.)); #459441=DIRECTION('center_axis',(1.,0.,0.)); #459442=DIRECTION('ref_axis',(0.,0.,-1.)); #459443=DIRECTION('',(1.,0.,0.)); #459444=DIRECTION('center_axis',(0.,-1.,0.)); #459445=DIRECTION('ref_axis',(0.,0.,-1.)); #459446=DIRECTION('',(1.,0.,0.)); #459447=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #459448=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #459449=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #459450=DIRECTION('',(1.,0.,0.)); #459451=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #459452=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #459453=DIRECTION('',(-0.572219065214358,7.90360072656087E-16,0.820100811733049)); #459454=DIRECTION('',(1.,0.,0.)); #459455=DIRECTION('center_axis',(1.,0.,0.)); #459456=DIRECTION('ref_axis',(0.,0.,-1.)); #459457=DIRECTION('',(1.,0.,0.)); #459458=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #459459=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #459460=DIRECTION('',(1.,0.,0.)); #459461=DIRECTION('center_axis',(1.,0.,0.)); #459462=DIRECTION('ref_axis',(0.,0.,-1.)); #459463=DIRECTION('',(1.,0.,0.)); #459464=DIRECTION('center_axis',(0.,0.,1.)); #459465=DIRECTION('ref_axis',(1.,0.,0.)); #459466=DIRECTION('',(1.,0.,0.)); #459467=DIRECTION('center_axis',(1.,0.,0.)); #459468=DIRECTION('ref_axis',(0.,0.,-1.)); #459469=DIRECTION('',(1.,0.,0.)); #459470=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #459471=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #459472=DIRECTION('',(1.,0.,0.)); #459473=DIRECTION('center_axis',(1.,0.,0.)); #459474=DIRECTION('ref_axis',(0.,0.,-1.)); #459475=DIRECTION('',(1.,0.,0.)); #459476=DIRECTION('center_axis',(0.,1.,0.)); #459477=DIRECTION('ref_axis',(0.,0.,1.)); #459478=DIRECTION('',(1.,0.,0.)); #459479=DIRECTION('center_axis',(1.,0.,0.)); #459480=DIRECTION('ref_axis',(0.,0.,-1.)); #459481=DIRECTION('',(1.,0.,0.)); #459482=DIRECTION('center_axis',(1.,0.,0.)); #459483=DIRECTION('ref_axis',(0.,0.,-1.)); #459484=DIRECTION('',(1.,0.,0.)); #459485=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #459486=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #459487=DIRECTION('',(1.,-1.02349286648799E-31,-1.17528690784337E-16)); #459488=DIRECTION('center_axis',(-0.707106781186548,-0.506535101005996, -0.493378345135704)); #459489=DIRECTION('ref_axis',(1.38777878078145E-16,0.697742347072106,-0.716348809660705)); #459490=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #459491=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459492=DIRECTION('center_axis',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #459493=DIRECTION('ref_axis',(1.17528690784337E-16,1.22464679914735E-16, 1.)); #459494=DIRECTION('center_axis',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #459495=DIRECTION('ref_axis',(-2.15761626365275E-16,-1.,0.)); #459496=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #459497=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #459498=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #459499=DIRECTION('center_axis',(0.,-1.,0.)); #459500=DIRECTION('ref_axis',(0.,0.,-1.)); #459501=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #459502=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #459503=DIRECTION('ref_axis',(0.,0.,1.)); #459504=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #459505=DIRECTION('center_axis',(0.,-1.,0.)); #459506=DIRECTION('ref_axis',(0.,0.,-1.)); #459507=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #459508=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #459509=DIRECTION('ref_axis',(-1.,0.,0.)); #459510=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #459511=DIRECTION('center_axis',(0.,-1.,0.)); #459512=DIRECTION('ref_axis',(0.,0.,-1.)); #459513=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #459514=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #459515=DIRECTION('ref_axis',(0.,0.,-1.)); #459516=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #459517=DIRECTION('center_axis',(0.,-1.,0.)); #459518=DIRECTION('ref_axis',(0.,0.,-1.)); #459519=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); #459520=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #459521=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #459522=DIRECTION('center_axis',(-1.,0.,0.)); #459523=DIRECTION('ref_axis',(0.,0.,1.)); #459524=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #459525=DIRECTION('',(0.,0.,1.)); #459526=DIRECTION('center_axis',(0.,-1.,0.)); #459527=DIRECTION('ref_axis',(0.,0.,-1.)); #459528=DIRECTION('center_axis',(1.,0.,0.)); #459529=DIRECTION('ref_axis',(0.,0.,-1.)); #459530=DIRECTION('',(2.11181198884419E-15,0.,1.)); #459531=DIRECTION('',(0.,0.,1.)); #459532=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #459533=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #459534=DIRECTION('center_axis',(0.,-1.,0.)); #459535=DIRECTION('ref_axis',(0.,0.,-1.)); #459536=DIRECTION('center_axis',(0.,1.,0.)); #459537=DIRECTION('ref_axis',(-1.,0.,0.)); #459538=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #459539=DIRECTION('center_axis',(0.,-1.,0.)); #459540=DIRECTION('ref_axis',(-1.,0.,0.)); #459541=DIRECTION('',(1.,0.,0.)); #459542=DIRECTION('center_axis',(0.,-1.,0.)); #459543=DIRECTION('ref_axis',(-1.,0.,0.)); #459544=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #459545=DIRECTION('center_axis',(0.,1.,0.)); #459546=DIRECTION('ref_axis',(-1.,0.,0.)); #459547=DIRECTION('center_axis',(0.,-1.,0.)); #459548=DIRECTION('ref_axis',(0.,0.,-1.)); #459549=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #459550=DIRECTION('center_axis',(0.,-1.,0.)); #459551=DIRECTION('ref_axis',(0.,0.,-1.)); #459552=DIRECTION('',(-1.,0.,0.)); #459553=DIRECTION('center_axis',(0.,-1.,0.)); #459554=DIRECTION('ref_axis',(0.,0.,-1.)); #459555=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #459556=DIRECTION('center_axis',(0.,-1.,0.)); #459557=DIRECTION('ref_axis',(0.,0.,-1.)); #459558=DIRECTION('center_axis',(0.,1.,0.)); #459559=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #459560=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #459561=DIRECTION('center_axis',(0.,-1.,0.)); #459562=DIRECTION('ref_axis',(0.,0.,-1.)); #459563=DIRECTION('',(-1.,0.,0.)); #459564=DIRECTION('center_axis',(0.,-1.,0.)); #459565=DIRECTION('ref_axis',(0.,0.,-1.)); #459566=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #459567=DIRECTION('center_axis',(0.,-1.,0.)); #459568=DIRECTION('ref_axis',(0.,0.,-1.)); #459569=DIRECTION('center_axis',(0.,1.,0.)); #459570=DIRECTION('ref_axis',(-1.,0.,0.)); #459571=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #459572=DIRECTION('center_axis',(0.,-1.,0.)); #459573=DIRECTION('ref_axis',(-1.,0.,0.)); #459574=DIRECTION('',(1.,0.,0.)); #459575=DIRECTION('center_axis',(0.,-1.,0.)); #459576=DIRECTION('ref_axis',(-1.,0.,0.)); #459577=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #459578=DIRECTION('center_axis',(0.,1.,0.)); #459579=DIRECTION('ref_axis',(-1.,0.,0.)); #459580=DIRECTION('center_axis',(0.,-1.,0.)); #459581=DIRECTION('ref_axis',(0.,0.,-1.)); #459582=DIRECTION('center_axis',(0.,-1.,0.)); #459583=DIRECTION('ref_axis',(0.,0.,-1.)); #459584=DIRECTION('',(0.,-1.,0.)); #459585=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #459586=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #459587=DIRECTION('',(0.,-1.,0.)); #459588=DIRECTION('center_axis',(0.,-1.,0.)); #459589=DIRECTION('ref_axis',(0.,0.,-1.)); #459590=DIRECTION('',(0.,-1.,0.)); #459591=DIRECTION('center_axis',(0.,0.,-1.)); #459592=DIRECTION('ref_axis',(-1.,0.,0.)); #459593=DIRECTION('',(0.,-1.,0.)); #459594=DIRECTION('center_axis',(0.,-1.,0.)); #459595=DIRECTION('ref_axis',(0.,0.,-1.)); #459596=DIRECTION('',(0.,-1.,0.)); #459597=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #459598=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #459599=DIRECTION('',(0.,-1.,0.)); #459600=DIRECTION('center_axis',(0.,-1.,0.)); #459601=DIRECTION('ref_axis',(0.,0.,-1.)); #459602=DIRECTION('center_axis',(0.,-1.,0.)); #459603=DIRECTION('ref_axis',(0.,0.,-1.)); #459604=DIRECTION('',(0.,1.,0.)); #459605=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #459606=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #459607=DIRECTION('',(0.,-1.,0.)); #459608=DIRECTION('center_axis',(0.,-1.,0.)); #459609=DIRECTION('ref_axis',(0.,0.,-1.)); #459610=DIRECTION('',(0.,1.,0.)); #459611=DIRECTION('center_axis',(0.,0.,-1.)); #459612=DIRECTION('ref_axis',(-1.,0.,0.)); #459613=DIRECTION('',(0.,-1.,0.)); #459614=DIRECTION('center_axis',(0.,-1.,0.)); #459615=DIRECTION('ref_axis',(0.,0.,-1.)); #459616=DIRECTION('',(0.,1.,0.)); #459617=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #459618=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #459619=DIRECTION('',(0.,-1.,0.)); #459620=DIRECTION('center_axis',(0.,-1.,0.)); #459621=DIRECTION('ref_axis',(0.,0.,-1.)); #459622=DIRECTION('center_axis',(0.,-1.,0.)); #459623=DIRECTION('ref_axis',(0.,0.,-1.)); #459624=DIRECTION('',(0.,0.,-1.)); #459625=DIRECTION('center_axis',(-1.,0.,0.)); #459626=DIRECTION('ref_axis',(0.,0.,1.)); #459627=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #459628=DIRECTION('',(0.,0.,-1.)); #459629=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #459630=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #459631=DIRECTION('center_axis',(1.,0.,0.)); #459632=DIRECTION('ref_axis',(0.,0.,-1.)); #459633=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #459634=DIRECTION('center_axis',(0.,-1.,0.)); #459635=DIRECTION('ref_axis',(0.,0.,-1.)); #459636=DIRECTION('center_axis',(0.,1.,0.)); #459637=DIRECTION('ref_axis',(-1.,0.,0.)); #459638=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #459639=DIRECTION('center_axis',(0.,-1.,0.)); #459640=DIRECTION('ref_axis',(-1.,0.,0.)); #459641=DIRECTION('',(-1.,0.,0.)); #459642=DIRECTION('center_axis',(0.,-1.,0.)); #459643=DIRECTION('ref_axis',(-1.,0.,0.)); #459644=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #459645=DIRECTION('center_axis',(0.,1.,0.)); #459646=DIRECTION('ref_axis',(-1.,0.,0.)); #459647=DIRECTION('center_axis',(0.,-1.,0.)); #459648=DIRECTION('ref_axis',(0.,0.,-1.)); #459649=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #459650=DIRECTION('center_axis',(0.,-1.,0.)); #459651=DIRECTION('ref_axis',(0.,0.,-1.)); #459652=DIRECTION('',(1.,0.,0.)); #459653=DIRECTION('center_axis',(0.,-1.,0.)); #459654=DIRECTION('ref_axis',(0.,0.,-1.)); #459655=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #459656=DIRECTION('center_axis',(0.,-1.,0.)); #459657=DIRECTION('ref_axis',(0.,0.,-1.)); #459658=DIRECTION('center_axis',(0.,1.,0.)); #459659=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #459660=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #459661=DIRECTION('center_axis',(0.,-1.,0.)); #459662=DIRECTION('ref_axis',(0.,0.,-1.)); #459663=DIRECTION('',(1.,0.,0.)); #459664=DIRECTION('center_axis',(0.,-1.,0.)); #459665=DIRECTION('ref_axis',(0.,0.,-1.)); #459666=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #459667=DIRECTION('center_axis',(0.,-1.,0.)); #459668=DIRECTION('ref_axis',(0.,0.,-1.)); #459669=DIRECTION('center_axis',(0.,1.,0.)); #459670=DIRECTION('ref_axis',(-1.,0.,0.)); #459671=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #459672=DIRECTION('center_axis',(0.,-1.,0.)); #459673=DIRECTION('ref_axis',(-1.,0.,0.)); #459674=DIRECTION('',(-1.,0.,0.)); #459675=DIRECTION('center_axis',(0.,-1.,0.)); #459676=DIRECTION('ref_axis',(-1.,0.,0.)); #459677=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #459678=DIRECTION('center_axis',(0.,1.,0.)); #459679=DIRECTION('ref_axis',(-1.,0.,0.)); #459680=DIRECTION('center_axis',(0.,-1.,0.)); #459681=DIRECTION('ref_axis',(0.,0.,-1.)); #459682=DIRECTION('center_axis',(0.,-1.,0.)); #459683=DIRECTION('ref_axis',(0.,0.,-1.)); #459684=DIRECTION('',(0.,-1.,0.)); #459685=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #459686=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #459687=DIRECTION('',(0.,-1.,0.)); #459688=DIRECTION('center_axis',(0.,-1.,0.)); #459689=DIRECTION('ref_axis',(0.,0.,-1.)); #459690=DIRECTION('',(0.,-1.,0.)); #459691=DIRECTION('center_axis',(0.,0.,1.)); #459692=DIRECTION('ref_axis',(1.,0.,0.)); #459693=DIRECTION('',(0.,-1.,0.)); #459694=DIRECTION('center_axis',(0.,-1.,0.)); #459695=DIRECTION('ref_axis',(0.,0.,-1.)); #459696=DIRECTION('',(0.,-1.,0.)); #459697=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #459698=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #459699=DIRECTION('',(0.,-1.,0.)); #459700=DIRECTION('center_axis',(0.,-1.,0.)); #459701=DIRECTION('ref_axis',(0.,0.,-1.)); #459702=DIRECTION('center_axis',(0.,-1.,0.)); #459703=DIRECTION('ref_axis',(0.,0.,-1.)); #459704=DIRECTION('',(0.,1.,0.)); #459705=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #459706=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #459707=DIRECTION('',(0.,-1.,0.)); #459708=DIRECTION('center_axis',(0.,-1.,0.)); #459709=DIRECTION('ref_axis',(0.,0.,-1.)); #459710=DIRECTION('',(0.,1.,0.)); #459711=DIRECTION('center_axis',(0.,0.,1.)); #459712=DIRECTION('ref_axis',(1.,0.,0.)); #459713=DIRECTION('',(0.,-1.,0.)); #459714=DIRECTION('center_axis',(0.,-1.,0.)); #459715=DIRECTION('ref_axis',(0.,0.,-1.)); #459716=DIRECTION('',(0.,1.,0.)); #459717=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #459718=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #459719=DIRECTION('',(0.,-1.,0.)); #459720=DIRECTION('center_axis',(0.,-1.,0.)); #459721=DIRECTION('ref_axis',(0.,0.,-1.)); #459722=DIRECTION('center_axis',(0.866025403784439,8.64686972952067E-16, -0.5)); #459723=DIRECTION('ref_axis',(-7.48840884897957E-16,1.,4.32343486476034E-16)); #459724=DIRECTION('',(-7.48840884897958E-16,1.,4.32343486476034E-16)); #459725=DIRECTION('',(-0.5,0.,-0.866025403784439)); #459726=DIRECTION('',(7.48840884897958E-16,-1.,-4.32343486476034E-16)); #459727=DIRECTION('',(-0.5,0.,-0.866025403784439)); #459728=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #459729=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #459730=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #459731=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #459732=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #459733=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #459734=DIRECTION('',(-0.5,0.,-0.866025403784439)); #459735=DIRECTION('center_axis',(8.02518482231389E-16,1.,-4.63334261745942E-16)); #459736=DIRECTION('ref_axis',(-0.866025403784439,9.26668523491884E-16,0.5)); #459737=DIRECTION('',(-0.866025403784439,9.26668523491884E-16,0.5)); #459738=DIRECTION('',(0.866025403784439,-9.26668523491884E-16,-0.5)); #459739=DIRECTION('',(-0.5,0.,-0.866025403784439)); #459740=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #459741=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #459742=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #459743=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #459744=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #459745=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #459746=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #459747=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #459748=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #459749=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #459750=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #459751=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #459752=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #459753=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #459754=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #459755=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #459756=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #459757=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #459758=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #459759=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #459760=DIRECTION('center_axis',(0.,1.,0.)); #459761=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459762=DIRECTION('center_axis',(0.,1.,0.)); #459763=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459764=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #459765=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #459766=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #459767=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #459768=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #459769=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #459770=DIRECTION('ref_axis',(-1.,0.,0.)); #459771=DIRECTION('center_axis',(1.,0.,0.)); #459772=DIRECTION('ref_axis',(0.,0.,-1.)); #459773=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #459774=DIRECTION('',(0.,8.70845118462252E-16,1.)); #459775=DIRECTION('',(0.,8.70845118462252E-16,1.)); #459776=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #459777=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #459778=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #459779=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #459780=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #459781=DIRECTION('ref_axis',(1.,0.,0.)); #459782=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #459783=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #459784=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #459785=DIRECTION('ref_axis',(0.,0.,-1.)); #459786=DIRECTION('center_axis',(-7.2280144832367E-16,-7.2280144832367E-16, -1.)); #459787=DIRECTION('ref_axis',(-1.,0.,7.2280144832367E-16)); #459788=DIRECTION('center_axis',(0.,8.70845118462252E-16,1.)); #459789=DIRECTION('ref_axis',(0.,-1.,8.70845118462252E-16)); #459790=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #459791=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #459792=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #459793=DIRECTION('ref_axis',(0.,0.,-1.)); #459794=DIRECTION('center_axis',(0.,-1.,0.)); #459795=DIRECTION('ref_axis',(0.,0.,-1.)); #459796=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #459797=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #459798=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #459799=DIRECTION('center_axis',(-1.80700362080917E-16,1.,1.10647060013582E-32)); #459800=DIRECTION('ref_axis',(-1.,-1.80700362080917E-16,0.)); #459801=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #459802=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #459803=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #459804=DIRECTION('center_axis',(-1.,0.,6.12323399573677E-17)); #459805=DIRECTION('ref_axis',(6.12323399573677E-17,0.,1.)); #459806=DIRECTION('',(0.,1.,0.)); #459807=DIRECTION('',(0.,1.,0.)); #459808=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #459809=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #459810=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #459811=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #459812=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #459813=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #459814=DIRECTION('',(-1.,-1.2329449625327E-32,1.17528690784337E-16)); #459815=DIRECTION('',(-1.,0.,0.)); #459816=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #459817=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #459818=DIRECTION('',(-1.,2.12374769796258E-33,1.17528690784337E-16)); #459819=DIRECTION('',(-1.,0.,0.)); #459820=DIRECTION('center_axis',(-1.,0.,0.)); #459821=DIRECTION('ref_axis',(0.,0.,1.)); #459822=DIRECTION('',(-1.,0.,0.)); #459823=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #459824=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #459825=DIRECTION('',(-1.,0.,0.)); #459826=DIRECTION('center_axis',(-1.,0.,0.)); #459827=DIRECTION('ref_axis',(0.,0.,1.)); #459828=DIRECTION('',(-1.,0.,0.)); #459829=DIRECTION('center_axis',(-1.,0.,0.)); #459830=DIRECTION('ref_axis',(0.,0.,1.)); #459831=DIRECTION('',(-1.,0.,0.)); #459832=DIRECTION('center_axis',(-1.,0.,0.)); #459833=DIRECTION('ref_axis',(0.,0.,1.)); #459834=DIRECTION('',(-1.,0.,0.)); #459835=DIRECTION('center_axis',(0.,1.,0.)); #459836=DIRECTION('ref_axis',(0.,0.,1.)); #459837=DIRECTION('',(-1.,0.,0.)); #459838=DIRECTION('center_axis',(-1.,0.,0.)); #459839=DIRECTION('ref_axis',(0.,0.,1.)); #459840=DIRECTION('',(-1.,0.,0.)); #459841=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #459842=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #459843=DIRECTION('',(-1.,0.,0.)); #459844=DIRECTION('center_axis',(-1.,0.,0.)); #459845=DIRECTION('ref_axis',(0.,0.,1.)); #459846=DIRECTION('',(-1.,0.,0.)); #459847=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #459848=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #459849=DIRECTION('',(-1.,0.,0.)); #459850=DIRECTION('center_axis',(-1.,0.,0.)); #459851=DIRECTION('ref_axis',(0.,0.,1.)); #459852=DIRECTION('',(-1.,0.,0.)); #459853=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #459854=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #459855=DIRECTION('',(-1.,0.,0.)); #459856=DIRECTION('center_axis',(-1.,0.,0.)); #459857=DIRECTION('ref_axis',(0.,0.,1.)); #459858=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #459859=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #459860=DIRECTION('',(-1.,1.02349286648799E-31,1.17528690784337E-16)); #459861=DIRECTION('',(-1.,0.,0.)); #459862=DIRECTION('center_axis',(-1.,0.,0.)); #459863=DIRECTION('ref_axis',(0.,0.,1.)); #459864=DIRECTION('',(-1.,0.,0.)); #459865=DIRECTION('center_axis',(-1.,0.,0.)); #459866=DIRECTION('ref_axis',(0.,0.,1.)); #459867=DIRECTION('',(-1.,0.,0.)); #459868=DIRECTION('center_axis',(0.,1.,0.)); #459869=DIRECTION('ref_axis',(0.,0.,1.)); #459870=DIRECTION('',(-1.,0.,0.)); #459871=DIRECTION('center_axis',(-1.,0.,0.)); #459872=DIRECTION('ref_axis',(0.,0.,1.)); #459873=DIRECTION('',(-1.,0.,0.)); #459874=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #459875=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #459876=DIRECTION('',(-1.,0.,0.)); #459877=DIRECTION('center_axis',(-1.,0.,0.)); #459878=DIRECTION('ref_axis',(0.,0.,1.)); #459879=DIRECTION('',(-1.,0.,0.)); #459880=DIRECTION('center_axis',(0.,0.,1.)); #459881=DIRECTION('ref_axis',(1.,0.,0.)); #459882=DIRECTION('',(-1.,0.,0.)); #459883=DIRECTION('center_axis',(-1.,0.,0.)); #459884=DIRECTION('ref_axis',(0.,0.,1.)); #459885=DIRECTION('',(-1.,0.,0.)); #459886=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #459887=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #459888=DIRECTION('',(-1.,0.,0.)); #459889=DIRECTION('center_axis',(-1.,0.,0.)); #459890=DIRECTION('ref_axis',(0.,0.,1.)); #459891=DIRECTION('',(-1.,0.,0.)); #459892=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #459893=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #459894=DIRECTION('',(-0.572219065214357,-7.90360072656087E-16,-0.82010081173305)); #459895=DIRECTION('',(-1.,0.,0.)); #459896=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #459897=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #459898=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #459899=DIRECTION('',(-1.,0.,0.)); #459900=DIRECTION('center_axis',(0.,-1.,0.)); #459901=DIRECTION('ref_axis',(0.,0.,-1.)); #459902=DIRECTION('',(-1.,0.,0.)); #459903=DIRECTION('center_axis',(-1.,0.,0.)); #459904=DIRECTION('ref_axis',(0.,0.,1.)); #459905=DIRECTION('',(-1.,0.,0.)); #459906=DIRECTION('center_axis',(-1.,0.,0.)); #459907=DIRECTION('ref_axis',(0.,0.,1.)); #459908=DIRECTION('center_axis',(0.707106781186548,-0.506535101005996,-0.493378345135703)); #459909=DIRECTION('ref_axis',(-6.10622663543836E-16,-0.697742347072106, 0.716348809660705)); #459910=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #459911=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459912=DIRECTION('center_axis',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #459913=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #459914=DIRECTION('center_axis',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #459915=DIRECTION('ref_axis',(-2.15761626365275E-16,1.,0.)); #459916=DIRECTION('center_axis',(0.,-1.,0.)); #459917=DIRECTION('ref_axis',(0.,0.,-1.)); #459918=DIRECTION('',(0.,0.,1.)); #459919=DIRECTION('center_axis',(1.,0.,0.)); #459920=DIRECTION('ref_axis',(0.,0.,-1.)); #459921=DIRECTION('',(1.58385899163314E-15,0.,1.)); #459922=DIRECTION('',(0.,0.,1.)); #459923=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #459924=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #459925=DIRECTION('center_axis',(-1.,0.,0.)); #459926=DIRECTION('ref_axis',(0.,0.,1.)); #459927=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #459928=DIRECTION('center_axis',(0.,-1.,0.)); #459929=DIRECTION('ref_axis',(0.,0.,-1.)); #459930=DIRECTION('center_axis',(0.,1.,0.)); #459931=DIRECTION('ref_axis',(1.,0.,0.)); #459932=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #459933=DIRECTION('center_axis',(0.,-1.,0.)); #459934=DIRECTION('ref_axis',(1.,0.,0.)); #459935=DIRECTION('',(1.,0.,0.)); #459936=DIRECTION('center_axis',(0.,-1.,0.)); #459937=DIRECTION('ref_axis',(1.,0.,0.)); #459938=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #459939=DIRECTION('center_axis',(0.,1.,0.)); #459940=DIRECTION('ref_axis',(1.,0.,0.)); #459941=DIRECTION('center_axis',(0.,-1.,0.)); #459942=DIRECTION('ref_axis',(0.,0.,-1.)); #459943=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #459944=DIRECTION('center_axis',(0.,-1.,0.)); #459945=DIRECTION('ref_axis',(0.,0.,-1.)); #459946=DIRECTION('',(-1.,0.,0.)); #459947=DIRECTION('center_axis',(0.,-1.,0.)); #459948=DIRECTION('ref_axis',(0.,0.,-1.)); #459949=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #459950=DIRECTION('center_axis',(0.,-1.,0.)); #459951=DIRECTION('ref_axis',(0.,0.,-1.)); #459952=DIRECTION('center_axis',(0.,1.,0.)); #459953=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #459954=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #459955=DIRECTION('center_axis',(0.,-1.,0.)); #459956=DIRECTION('ref_axis',(0.,0.,-1.)); #459957=DIRECTION('',(-1.,0.,0.)); #459958=DIRECTION('center_axis',(0.,-1.,0.)); #459959=DIRECTION('ref_axis',(0.,0.,-1.)); #459960=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #459961=DIRECTION('center_axis',(0.,-1.,0.)); #459962=DIRECTION('ref_axis',(0.,0.,-1.)); #459963=DIRECTION('center_axis',(0.,1.,0.)); #459964=DIRECTION('ref_axis',(1.,0.,0.)); #459965=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #459966=DIRECTION('center_axis',(0.,-1.,0.)); #459967=DIRECTION('ref_axis',(1.,0.,0.)); #459968=DIRECTION('',(1.,0.,0.)); #459969=DIRECTION('center_axis',(0.,-1.,0.)); #459970=DIRECTION('ref_axis',(1.,0.,0.)); #459971=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #459972=DIRECTION('center_axis',(0.,1.,0.)); #459973=DIRECTION('ref_axis',(1.,0.,0.)); #459974=DIRECTION('center_axis',(0.,-1.,0.)); #459975=DIRECTION('ref_axis',(0.,0.,-1.)); #459976=DIRECTION('center_axis',(0.,-1.,0.)); #459977=DIRECTION('ref_axis',(0.,0.,-1.)); #459978=DIRECTION('',(0.,-1.,0.)); #459979=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #459980=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #459981=DIRECTION('',(0.,-1.,0.)); #459982=DIRECTION('center_axis',(0.,-1.,0.)); #459983=DIRECTION('ref_axis',(0.,0.,-1.)); #459984=DIRECTION('',(0.,-1.,0.)); #459985=DIRECTION('center_axis',(0.,0.,-1.)); #459986=DIRECTION('ref_axis',(-1.,0.,0.)); #459987=DIRECTION('',(0.,-1.,0.)); #459988=DIRECTION('center_axis',(0.,-1.,0.)); #459989=DIRECTION('ref_axis',(0.,0.,-1.)); #459990=DIRECTION('',(0.,-1.,0.)); #459991=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #459992=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #459993=DIRECTION('',(0.,-1.,0.)); #459994=DIRECTION('center_axis',(0.,-1.,0.)); #459995=DIRECTION('ref_axis',(0.,0.,-1.)); #459996=DIRECTION('center_axis',(0.,-1.,0.)); #459997=DIRECTION('ref_axis',(0.,0.,-1.)); #459998=DIRECTION('',(0.,1.,0.)); #459999=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #460000=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #460001=DIRECTION('',(0.,-1.,0.)); #460002=DIRECTION('center_axis',(0.,-1.,0.)); #460003=DIRECTION('ref_axis',(0.,0.,-1.)); #460004=DIRECTION('',(0.,1.,0.)); #460005=DIRECTION('center_axis',(0.,0.,-1.)); #460006=DIRECTION('ref_axis',(-1.,0.,0.)); #460007=DIRECTION('',(0.,-1.,0.)); #460008=DIRECTION('center_axis',(0.,-1.,0.)); #460009=DIRECTION('ref_axis',(0.,0.,-1.)); #460010=DIRECTION('',(0.,1.,0.)); #460011=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #460012=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #460013=DIRECTION('',(0.,-1.,0.)); #460014=DIRECTION('center_axis',(0.,-1.,0.)); #460015=DIRECTION('ref_axis',(0.,0.,-1.)); #460016=DIRECTION('center_axis',(1.,0.,0.)); #460017=DIRECTION('ref_axis',(0.,0.,-1.)); #460018=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #460019=DIRECTION('',(0.,0.,-1.)); #460020=DIRECTION('center_axis',(0.,-1.,0.)); #460021=DIRECTION('ref_axis',(0.,0.,-1.)); #460022=DIRECTION('center_axis',(-1.,0.,0.)); #460023=DIRECTION('ref_axis',(0.,0.,1.)); #460024=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #460025=DIRECTION('',(0.,0.,-1.)); #460026=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #460027=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #460028=DIRECTION('center_axis',(0.,-1.,0.)); #460029=DIRECTION('ref_axis',(0.,0.,-1.)); #460030=DIRECTION('center_axis',(0.,1.,0.)); #460031=DIRECTION('ref_axis',(1.,0.,0.)); #460032=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #460033=DIRECTION('center_axis',(0.,-1.,0.)); #460034=DIRECTION('ref_axis',(1.,0.,0.)); #460035=DIRECTION('',(-1.,0.,0.)); #460036=DIRECTION('center_axis',(0.,-1.,0.)); #460037=DIRECTION('ref_axis',(1.,0.,0.)); #460038=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #460039=DIRECTION('center_axis',(0.,1.,0.)); #460040=DIRECTION('ref_axis',(1.,0.,0.)); #460041=DIRECTION('center_axis',(0.,-1.,0.)); #460042=DIRECTION('ref_axis',(0.,0.,-1.)); #460043=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #460044=DIRECTION('center_axis',(0.,-1.,0.)); #460045=DIRECTION('ref_axis',(0.,0.,-1.)); #460046=DIRECTION('',(1.,0.,0.)); #460047=DIRECTION('center_axis',(0.,-1.,0.)); #460048=DIRECTION('ref_axis',(0.,0.,-1.)); #460049=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #460050=DIRECTION('center_axis',(0.,-1.,0.)); #460051=DIRECTION('ref_axis',(0.,0.,-1.)); #460052=DIRECTION('center_axis',(0.,1.,0.)); #460053=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #460054=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #460055=DIRECTION('center_axis',(0.,-1.,0.)); #460056=DIRECTION('ref_axis',(0.,0.,-1.)); #460057=DIRECTION('',(1.,0.,0.)); #460058=DIRECTION('center_axis',(0.,-1.,0.)); #460059=DIRECTION('ref_axis',(0.,0.,-1.)); #460060=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #460061=DIRECTION('center_axis',(0.,-1.,0.)); #460062=DIRECTION('ref_axis',(0.,0.,-1.)); #460063=DIRECTION('center_axis',(0.,1.,0.)); #460064=DIRECTION('ref_axis',(1.,0.,0.)); #460065=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #460066=DIRECTION('center_axis',(0.,-1.,0.)); #460067=DIRECTION('ref_axis',(1.,0.,0.)); #460068=DIRECTION('',(-1.,0.,0.)); #460069=DIRECTION('center_axis',(0.,-1.,0.)); #460070=DIRECTION('ref_axis',(1.,0.,0.)); #460071=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #460072=DIRECTION('center_axis',(0.,1.,0.)); #460073=DIRECTION('ref_axis',(1.,0.,0.)); #460074=DIRECTION('center_axis',(0.,-1.,0.)); #460075=DIRECTION('ref_axis',(0.,0.,-1.)); #460076=DIRECTION('center_axis',(0.,-1.,0.)); #460077=DIRECTION('ref_axis',(0.,0.,-1.)); #460078=DIRECTION('',(0.,-1.,0.)); #460079=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #460080=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #460081=DIRECTION('',(0.,-1.,0.)); #460082=DIRECTION('center_axis',(0.,-1.,0.)); #460083=DIRECTION('ref_axis',(0.,0.,-1.)); #460084=DIRECTION('',(0.,-1.,0.)); #460085=DIRECTION('center_axis',(0.,0.,1.)); #460086=DIRECTION('ref_axis',(1.,0.,0.)); #460087=DIRECTION('',(0.,-1.,0.)); #460088=DIRECTION('center_axis',(0.,-1.,0.)); #460089=DIRECTION('ref_axis',(0.,0.,-1.)); #460090=DIRECTION('',(0.,-1.,0.)); #460091=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #460092=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #460093=DIRECTION('',(0.,-1.,0.)); #460094=DIRECTION('center_axis',(0.,-1.,0.)); #460095=DIRECTION('ref_axis',(0.,0.,-1.)); #460096=DIRECTION('center_axis',(0.,-1.,0.)); #460097=DIRECTION('ref_axis',(0.,0.,-1.)); #460098=DIRECTION('',(0.,1.,0.)); #460099=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #460100=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #460101=DIRECTION('',(0.,-1.,0.)); #460102=DIRECTION('center_axis',(0.,-1.,0.)); #460103=DIRECTION('ref_axis',(0.,0.,-1.)); #460104=DIRECTION('',(0.,1.,0.)); #460105=DIRECTION('center_axis',(0.,0.,1.)); #460106=DIRECTION('ref_axis',(1.,0.,0.)); #460107=DIRECTION('',(0.,-1.,0.)); #460108=DIRECTION('center_axis',(0.,-1.,0.)); #460109=DIRECTION('ref_axis',(0.,0.,-1.)); #460110=DIRECTION('',(0.,1.,0.)); #460111=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #460112=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #460113=DIRECTION('',(0.,-1.,0.)); #460114=DIRECTION('center_axis',(0.,-1.,0.)); #460115=DIRECTION('ref_axis',(0.,0.,-1.)); #460116=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #460117=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #460118=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #460119=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #460120=DIRECTION('',(0.5,0.,-0.866025403784439)); #460121=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #460122=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #460123=DIRECTION('',(0.5,0.,-0.866025403784439)); #460124=DIRECTION('center_axis',(-0.866025403784439,8.64686972952067E-16, -0.5)); #460125=DIRECTION('ref_axis',(-7.48840884897957E-16,-1.,-4.32343486476034E-16)); #460126=DIRECTION('',(-7.48840884897958E-16,-1.,-4.32343486476033E-16)); #460127=DIRECTION('',(7.48840884897958E-16,1.,4.32343486476033E-16)); #460128=DIRECTION('',(0.5,0.,-0.866025403784439)); #460129=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #460130=DIRECTION('ref_axis',(0.671860342095719,0.630981965636656,0.387898749366797)); #460131=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #460132=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #460133=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #460134=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #460135=DIRECTION('center_axis',(-8.02518482231389E-16,1.,-4.63334261745942E-16)); #460136=DIRECTION('ref_axis',(-0.866025403784439,-9.26668523491884E-16, -0.5)); #460137=DIRECTION('',(0.5,0.,-0.866025403784439)); #460138=DIRECTION('',(0.866025403784439,9.26668523491884E-16,0.5)); #460139=DIRECTION('',(-0.866025403784439,-9.26668523491884E-16,-0.5)); #460140=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #460141=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #460142=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #460143=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #460144=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #460145=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #460146=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #460147=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #460148=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #460149=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #460150=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #460151=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #460152=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #460153=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #460154=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #460155=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #460156=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #460157=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #460158=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #460159=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #460160=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #460161=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #460162=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #460163=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #460164=DIRECTION('ref_axis',(-1.,0.,0.)); #460165=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #460166=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #460167=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #460168=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #460169=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #460170=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #460171=DIRECTION('ref_axis',(1.,0.,0.)); #460172=DIRECTION('center_axis',(-1.,0.,0.)); #460173=DIRECTION('ref_axis',(0.,0.,1.)); #460174=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #460175=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #460176=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #460177=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #460178=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #460179=DIRECTION('ref_axis',(0.,0.,-1.)); #460180=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #460181=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #460182=DIRECTION('center_axis',(0.,-8.70845118462252E-16,-1.)); #460183=DIRECTION('ref_axis',(0.,1.,-8.70845118462252E-16)); #460184=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #460185=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #460186=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #460187=DIRECTION('ref_axis',(0.,0.,-1.)); #460188=DIRECTION('center_axis',(0.,-1.,0.)); #460189=DIRECTION('ref_axis',(0.,0.,-1.)); #460190=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #460191=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #460192=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #460193=DIRECTION('center_axis',(1.,0.,6.12323399573677E-17)); #460194=DIRECTION('ref_axis',(6.12323399573677E-17,0.,-1.)); #460195=DIRECTION('',(0.,-1.,0.)); #460196=DIRECTION('',(0.,-1.,0.)); #460197=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #460198=DIRECTION('center_axis',(1.80700362080917E-16,1.,1.10647060013582E-32)); #460199=DIRECTION('ref_axis',(-1.,1.80700362080917E-16,0.)); #460200=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #460201=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #460202=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #460203=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #460204=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #460205=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #460206=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460207=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460208=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460209=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #460210=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460211=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #460212=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460213=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460214=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #460215=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #460216=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460217=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460218=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460219=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460220=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460221=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460222=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460223=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460224=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460225=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460226=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460227=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460228=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460229=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460230=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460231=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460232=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460233=DIRECTION('ref_axis',(-4.34020088631257E-17,-0.708808595153212, 0.705400861522674)); #460234=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460235=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460236=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460237=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460238=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460239=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460240=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460241=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460242=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460243=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460244=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460245=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460246=DIRECTION('center_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460247=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460248=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #460249=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #460250=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460251=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460252=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460253=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460254=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460255=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460256=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460257=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460258=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460259=DIRECTION('ref_axis',(-4.34020088631253E-17,-0.708808595153206, -0.70540086152268)); #460260=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460261=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460262=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460263=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460264=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460265=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460266=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460267=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460268=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460269=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460270=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460271=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460272=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460273=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460274=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460275=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460276=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460277=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460278=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460279=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460280=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460281=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460282=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460283=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460284=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460285=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460286=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #460287=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #460288=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460289=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460290=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460291=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460292=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460293=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460294=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #460295=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460296=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460297=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460298=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460299=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460300=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460301=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460302=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460303=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460304=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460305=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460306=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460307=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460308=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460309=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460310=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460311=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460312=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460313=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460314=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460315=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460316=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460317=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460318=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460319=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460320=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460321=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460322=DIRECTION('center_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460323=DIRECTION('ref_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460324=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #460325=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460326=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #460327=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460328=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460329=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460330=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460331=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460332=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460333=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460334=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460335=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460336=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460337=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460338=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460339=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460340=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460341=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460342=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460343=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460344=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460345=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460346=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460347=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460348=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460349=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460350=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460351=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460352=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460353=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460354=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460355=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460356=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460357=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460358=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460359=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #460360=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460361=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460362=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #460363=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460364=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #460365=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460366=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460367=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460368=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460369=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460370=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #460371=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460372=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460373=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460374=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #460375=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460376=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #460377=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460378=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460379=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460380=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #460381=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #460382=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460383=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460384=DIRECTION('',(-0.0803254032550899,0.996768694127132,6.1034479537654E-17)); #460385=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460386=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460387=DIRECTION('',(-0.630914168390843,0.775852635571789,4.75072723381514E-17)); #460388=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460389=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665465E-33)); #460390=DIRECTION('',(-0.447213595499957,-0.894427190999917,-5.47678698264203E-17)); #460391=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460392=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460393=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460394=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460395=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460396=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460397=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460398=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460399=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #460400=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460401=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460402=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460403=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460404=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #460405=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #460406=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #460407=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #460408=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #460409=DIRECTION('ref_axis',(1.23259516440783E-32,-6.12323399573677E-17, 1.)); #460410=DIRECTION('',(0.447213595499957,0.894427190999917,5.47678698264203E-17)); #460411=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #460412=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460413=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460414=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460415=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #460416=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460417=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460418=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460419=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #460420=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460421=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #460422=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #460423=DIRECTION('ref_axis',(-5.54667823983524E-32,6.12323399573677E-17, -1.)); #460424=DIRECTION('',(-0.447213595499958,0.894427190999916,5.47678698264203E-17)); #460425=DIRECTION('',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #460426=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #460427=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #460428=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460429=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460430=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460431=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #460432=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460433=DIRECTION('',(0.080325403255087,0.996768694127132,6.1034479537654E-17)); #460434=DIRECTION('',(-0.630914168390842,-0.77585263557179,-4.75072723381515E-17)); #460435=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #460436=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460437=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #460438=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #460439=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #460440=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460441=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665465E-33)); #460442=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460443=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460444=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460445=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460446=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460447=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460448=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460449=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460450=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #460451=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460452=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460453=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460454=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460455=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460456=DIRECTION('',(1.,0.,0.)); #460457=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460458=DIRECTION('',(-1.,0.,0.)); #460459=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460460=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460461=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460462=DIRECTION('',(1.,0.,0.)); #460463=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460464=DIRECTION('',(-1.,0.,0.)); #460465=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460466=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460467=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460468=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460469=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #460470=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460471=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460472=DIRECTION('',(1.,0.,0.)); #460473=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460474=DIRECTION('',(1.,0.,0.)); #460475=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460476=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460477=DIRECTION('ref_axis',(1.,0.,0.)); #460478=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460479=DIRECTION('ref_axis',(1.,0.,0.)); #460480=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460481=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460482=DIRECTION('ref_axis',(1.,0.,0.)); #460483=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460484=DIRECTION('ref_axis',(1.,0.,0.)); #460485=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460486=DIRECTION('ref_axis',(1.,0.,0.)); #460487=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460488=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460489=DIRECTION('ref_axis',(1.,0.,0.)); #460490=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460491=DIRECTION('ref_axis',(1.,0.,0.)); #460492=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460493=DIRECTION('ref_axis',(1.,0.,0.)); #460494=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460495=DIRECTION('ref_axis',(1.,0.,0.)); #460496=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460497=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460498=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460499=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460500=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #460501=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460502=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460503=DIRECTION('',(-1.,0.,0.)); #460504=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460505=DIRECTION('',(-1.,0.,0.)); #460506=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460507=DIRECTION('ref_axis',(1.,0.,0.)); #460508=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460509=DIRECTION('ref_axis',(1.,0.,0.)); #460510=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460511=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460512=DIRECTION('ref_axis',(1.,0.,0.)); #460513=DIRECTION('center_axis',(0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #460514=DIRECTION('ref_axis',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #460515=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #460516=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460517=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #460518=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460519=DIRECTION('ref_axis',(1.,0.,0.)); #460520=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460521=DIRECTION('ref_axis',(1.,0.,0.)); #460522=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460523=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460524=DIRECTION('ref_axis',(1.,0.,0.)); #460525=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460526=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460527=DIRECTION('',(-1.,0.,0.)); #460528=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460529=DIRECTION('',(-1.,0.,0.)); #460530=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460531=DIRECTION('ref_axis',(1.,0.,0.)); #460532=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460533=DIRECTION('ref_axis',(1.,0.,0.)); #460534=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460535=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460536=DIRECTION('ref_axis',(1.,0.,0.)); #460537=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460538=DIRECTION('ref_axis',(1.,0.,0.)); #460539=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460540=DIRECTION('ref_axis',(-1.,0.,0.)); #460541=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #460542=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #460543=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460544=DIRECTION('ref_axis',(-1.,0.,0.)); #460545=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #460546=DIRECTION('ref_axis',(1.,0.,0.)); #460547=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #460548=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #460549=DIRECTION('',(-1.,0.,0.)); #460550=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460551=DIRECTION('ref_axis',(-0.232364067292044,-5.95563422961443E-17, 0.972628881039165)); #460552=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460553=DIRECTION('ref_axis',(1.,0.,0.)); #460554=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460555=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460556=DIRECTION('ref_axis',(1.,0.,0.)); #460557=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460558=DIRECTION('ref_axis',(1.,0.,0.)); #460559=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460560=DIRECTION('ref_axis',(1.,0.,0.)); #460561=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460562=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460563=DIRECTION('ref_axis',(1.,0.,0.)); #460564=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460565=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460566=DIRECTION('',(-1.,0.,0.)); #460567=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460568=DIRECTION('',(-1.,0.,0.)); #460569=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460570=DIRECTION('ref_axis',(1.,0.,0.)); #460571=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460572=DIRECTION('ref_axis',(1.,0.,0.)); #460573=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460574=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460575=DIRECTION('ref_axis',(1.,0.,0.)); #460576=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460577=DIRECTION('ref_axis',(1.,0.,0.)); #460578=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460579=DIRECTION('ref_axis',(-1.,0.,0.)); #460580=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #460581=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #460582=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460583=DIRECTION('ref_axis',(-1.,0.,0.)); #460584=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #460585=DIRECTION('ref_axis',(1.,0.,0.)); #460586=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #460587=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #460588=DIRECTION('',(-1.,0.,0.)); #460589=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460590=DIRECTION('ref_axis',(-0.232364067292051,-5.95563422961442E-17, 0.972628881039164)); #460591=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460592=DIRECTION('ref_axis',(1.,0.,0.)); #460593=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460594=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460595=DIRECTION('ref_axis',(1.,0.,0.)); #460596=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460597=DIRECTION('ref_axis',(1.,0.,0.)); #460598=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460599=DIRECTION('ref_axis',(1.,0.,0.)); #460600=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460601=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460602=DIRECTION('ref_axis',(1.,0.,0.)); #460603=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460604=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460605=DIRECTION('',(-1.,0.,0.)); #460606=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460607=DIRECTION('',(-1.,0.,0.)); #460608=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460609=DIRECTION('ref_axis',(1.,0.,0.)); #460610=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460611=DIRECTION('ref_axis',(1.,0.,0.)); #460612=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460613=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460614=DIRECTION('ref_axis',(1.,0.,0.)); #460615=DIRECTION('center_axis',(-0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #460616=DIRECTION('ref_axis',(-0.641962378174913,-4.69490478473507E-17, 0.766736137799706)); #460617=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #460618=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460619=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #460620=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460621=DIRECTION('ref_axis',(1.,0.,0.)); #460622=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460623=DIRECTION('ref_axis',(1.,0.,0.)); #460624=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460625=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460626=DIRECTION('ref_axis',(1.,0.,0.)); #460627=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460628=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #460629=DIRECTION('',(-1.,0.,0.)); #460630=DIRECTION('',(-1.,0.,0.)); #460631=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460632=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460633=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #460634=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460635=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #460636=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460637=DIRECTION('ref_axis',(1.,0.,0.)); #460638=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460639=DIRECTION('ref_axis',(1.,0.,0.)); #460640=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460641=DIRECTION('ref_axis',(1.,0.,0.)); #460642=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460643=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460644=DIRECTION('ref_axis',(1.,0.,0.)); #460645=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460646=DIRECTION('ref_axis',(1.,0.,0.)); #460647=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460648=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460649=DIRECTION('ref_axis',(1.,0.,0.)); #460650=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460651=DIRECTION('ref_axis',(1.,0.,0.)); #460652=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460653=DIRECTION('ref_axis',(1.,0.,0.)); #460654=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460655=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460656=DIRECTION('ref_axis',(1.,0.,0.)); #460657=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460658=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460659=DIRECTION('',(1.,0.,0.)); #460660=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460661=DIRECTION('',(1.,0.,0.)); #460662=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #460663=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #460664=DIRECTION('',(1.,0.,0.)); #460665=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460666=DIRECTION('',(1.,0.,0.)); #460667=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460668=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460669=DIRECTION('ref_axis',(1.,0.,0.)); #460670=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460671=DIRECTION('ref_axis',(1.,0.,0.)); #460672=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460673=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460674=DIRECTION('ref_axis',(1.,0.,0.)); #460675=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460676=DIRECTION('ref_axis',(1.,0.,0.)); #460677=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460678=DIRECTION('ref_axis',(-1.,0.,0.)); #460679=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460680=DIRECTION('ref_axis',(-1.,0.,0.)); #460681=DIRECTION('',(0.,1.,6.12323399573677E-17)); #460682=DIRECTION('center_axis',(-1.,6.80904984698964E-32,-1.11200222819026E-15)); #460683=DIRECTION('ref_axis',(-1.11200222819026E-15,-6.12323399573677E-17, 1.)); #460684=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #460685=DIRECTION('center_axis',(-1.,0.,0.)); #460686=DIRECTION('ref_axis',(0.,0.,1.)); #460687=DIRECTION('',(0.,0.19945646869513,0.979906687953332)); #460688=DIRECTION('center_axis',(1.,0.,0.)); #460689=DIRECTION('ref_axis',(0.,0.,-1.)); #460690=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #460691=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460692=DIRECTION('ref_axis',(-1.,0.,0.)); #460693=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460694=DIRECTION('ref_axis',(-1.,0.,0.)); #460695=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #460696=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #460697=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #460698=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460699=DIRECTION('ref_axis',(1.,0.,0.)); #460700=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460701=DIRECTION('ref_axis',(1.,0.,0.)); #460702=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #460703=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460704=DIRECTION('ref_axis',(1.,0.,0.)); #460705=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #460706=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460707=DIRECTION('ref_axis',(-1.,0.,0.)); #460708=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #460709=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #460710=DIRECTION('ref_axis',(-1.,0.,0.)); #460711=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #460712=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #460713=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #460714=DIRECTION('ref_axis',(1.,0.,0.)); #460715=DIRECTION('',(0.,1.,0.)); #460716=DIRECTION('',(0.,1.,0.)); #460717=DIRECTION('center_axis',(1.,6.80904984698964E-32,-1.11200222819026E-15)); #460718=DIRECTION('ref_axis',(-1.11200222819026E-15,6.12323399573677E-17, -1.)); #460719=DIRECTION('center_axis',(-1.,0.,0.)); #460720=DIRECTION('ref_axis',(0.,0.,-1.)); #460721=DIRECTION('',(0.,-0.19945646869513,-0.979906687953332)); #460722=DIRECTION('center_axis',(-1.,0.,0.)); #460723=DIRECTION('ref_axis',(0.,0.,1.)); #460724=DIRECTION('center_axis',(0.339799945429367,-0.93890094162551,-0.0547815562112893)); #460725=DIRECTION('ref_axis',(0.940364424215367,0.33819424037998,0.0365978885170823)); #460726=DIRECTION('',(0.,-0.998299153136683,-0.0582992353859162)); #460727=DIRECTION('center_axis',(0.734978321930246,-0.676939326426127,-0.0394970205248571)); #460728=DIRECTION('ref_axis',(-0.0113994639736623,0.0459043449844808,-0.998880795356813)); #460729=DIRECTION('center_axis',(-1.,0.,0.)); #460730=DIRECTION('ref_axis',(0.,0.,1.)); #460731=DIRECTION('center_axis',(-1.,0.,0.)); #460732=DIRECTION('ref_axis',(0.,0.,1.)); #460733=DIRECTION('',(0.,0.199456468695128,0.979906687953332)); #460734=DIRECTION('center_axis',(1.,0.,0.)); #460735=DIRECTION('ref_axis',(0.,0.,-1.)); #460736=DIRECTION('center_axis',(-1.,0.,0.)); #460737=DIRECTION('ref_axis',(0.,0.,-1.)); #460738=DIRECTION('center_axis',(-1.,0.,0.)); #460739=DIRECTION('ref_axis',(0.,0.,-1.)); #460740=DIRECTION('',(0.,-0.199456468695128,-0.979906687953332)); #460741=DIRECTION('center_axis',(1.,0.,0.)); #460742=DIRECTION('ref_axis',(0.,0.,-1.)); #460743=DIRECTION('center_axis',(-1.,0.,0.)); #460744=DIRECTION('ref_axis',(0.,0.,1.)); #460745=DIRECTION('',(0.,0.998299153136683,0.0582992353859162)); #460746=DIRECTION('center_axis',(-1.,0.,0.)); #460747=DIRECTION('ref_axis',(0.,0.,-1.)); #460748=DIRECTION('center_axis',(-0.339799945430312,-0.938900941625164, -0.0547815562113553)); #460749=DIRECTION('ref_axis',(0.940364424215158,-0.338194240381452,-0.0365978885088607)); #460750=DIRECTION('center_axis',(-0.734978321930261,-0.676939326426111, -0.0394970205248571)); #460751=DIRECTION('ref_axis',(0.0113994637719541,0.0459043452030322,-0.998880795349071)); #460752=DIRECTION('center_axis',(-1.,0.,0.)); #460753=DIRECTION('ref_axis',(0.,0.,-1.)); #460754=DIRECTION('center_axis',(-1.,0.,0.)); #460755=DIRECTION('ref_axis',(0.,0.,-1.)); #460756=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460757=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460758=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460759=DIRECTION('center_axis',(0.432713340037062,0.901531566476721,-5.08562323394733E-17)); #460760=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037062,0.)); #460761=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460762=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460763=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460764=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460765=DIRECTION('center_axis',(-1.,9.11094262592861E-17,1.17528690784337E-16)); #460766=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #460767=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460768=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460769=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460770=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460771=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,-5.08562323394733E-17)); #460772=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #460773=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460774=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460775=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460776=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460777=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460778=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460779=DIRECTION('center_axis',(-0.432713340037062,0.901531566476721,5.08562323394734E-17)); #460780=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037062,0.)); #460781=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460782=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460783=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460784=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460785=DIRECTION('center_axis',(1.,4.52510150421121E-16,-1.17528690784337E-16)); #460786=DIRECTION('ref_axis',(-4.52510150421121E-16,1.,0.)); #460787=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460788=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460789=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460790=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460791=DIRECTION('center_axis',(-0.432713340037061,-0.901531566476721, 5.08562323394732E-17)); #460792=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037061,0.)); #460793=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #460794=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #460795=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #460796=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460797=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460798=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460799=DIRECTION('center_axis',(-0.432713340037061,0.901531566476721,-7.00222004972597E-17)); #460800=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037061,-3.08148791101958E-33)); #460801=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460802=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460803=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460804=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460805=DIRECTION('center_axis',(1.,0.,1.61821219773956E-16)); #460806=DIRECTION('ref_axis',(1.61821219773956E-16,0.,-1.)); #460807=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460808=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460809=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460810=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460811=DIRECTION('center_axis',(-0.432713340037062,-0.901531566476721, -7.002220049726E-17)); #460812=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037062,3.08148791101958E-32)); #460813=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460814=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460815=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460816=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460817=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460818=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460819=DIRECTION('center_axis',(0.432713340037061,0.901531566476721,7.00222004972597E-17)); #460820=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037061,-3.08148791101958E-33)); #460821=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460822=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460823=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460824=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460825=DIRECTION('center_axis',(-1.,-3.64437705037144E-16,-1.61821219773956E-16)); #460826=DIRECTION('ref_axis',(3.64437705037144E-16,-1.,0.)); #460827=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460828=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460829=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460830=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460831=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,7.00222004972599E-17)); #460832=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #460833=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #460834=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #460835=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #460836=DIRECTION('axis',(0.,0.,1.)); #460837=DIRECTION('refdir',(1.,0.,0.)); #460838=DIRECTION('center_axis',(0.99998750023437,-0.00499993750117045, 0.)); #460839=DIRECTION('ref_axis',(0.00499993750117045,0.99998750023437,0.)); #460840=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #460841=DIRECTION('',(0.,0.,1.)); #460842=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #460843=DIRECTION('',(0.,0.,1.)); #460844=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #460845=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #460846=DIRECTION('',(3.27971157176865E-15,1.,0.)); #460847=DIRECTION('center_axis',(-1.,0.,0.)); #460848=DIRECTION('ref_axis',(0.,0.,1.)); #460849=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #460850=DIRECTION('center_axis',(-1.,0.,0.)); #460851=DIRECTION('ref_axis',(0.,0.,1.)); #460852=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #460853=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #460854=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #460855=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #460856=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #460857=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #460858=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #460859=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #460860=DIRECTION('',(3.27971157176865E-15,1.,0.)); #460861=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #460862=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #460863=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #460864=DIRECTION('',(0.,0.,1.)); #460865=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #460866=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #460867=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #460868=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #460869=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #460870=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #460871=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #460872=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #460873=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #460874=DIRECTION('center_axis',(-1.,0.,0.)); #460875=DIRECTION('ref_axis',(0.,0.,1.)); #460876=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #460877=DIRECTION('center_axis',(-1.,0.,0.)); #460878=DIRECTION('ref_axis',(0.,0.,1.)); #460879=DIRECTION('center_axis',(-0.99998750023437,-0.00499993750117207, 0.)); #460880=DIRECTION('ref_axis',(0.00499993750117207,-0.99998750023437,0.)); #460881=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #460882=DIRECTION('',(0.,0.,1.)); #460883=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #460884=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #460885=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #460886=DIRECTION('',(0.,0.,1.)); #460887=DIRECTION('',(9.55918588954723E-17,0.707106781186549,-0.707106781186546)); #460888=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #460889=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #460890=DIRECTION('',(9.55918588954723E-17,0.707106781186548,0.707106781186547)); #460891=DIRECTION('center_axis',(0.,1.,0.)); #460892=DIRECTION('ref_axis',(0.,0.,1.)); #460893=DIRECTION('',(0.,0.,1.)); #460894=DIRECTION('',(1.,0.,0.)); #460895=DIRECTION('',(-1.,0.,0.)); #460896=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #460897=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #460898=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #460899=DIRECTION('',(9.55918588954723E-17,-0.707106781186549,0.707106781186546)); #460900=DIRECTION('',(9.55918588954723E-17,-0.707106781186548,-0.707106781186547)); #460901=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #460902=DIRECTION('center_axis',(0.,0.,-1.)); #460903=DIRECTION('ref_axis',(-1.,0.,0.)); #460904=DIRECTION('',(-1.,0.,0.)); #460905=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #460906=DIRECTION('center_axis',(0.,0.,-1.)); #460907=DIRECTION('ref_axis',(-1.,0.,0.)); #460908=DIRECTION('',(1.,0.,0.)); #460909=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #460910=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #460911=DIRECTION('ref_axis',(-1.,0.,0.)); #460912=DIRECTION('',(1.,0.,0.)); #460913=DIRECTION('',(-1.,0.,0.)); #460914=DIRECTION('center_axis',(-1.,0.,0.)); #460915=DIRECTION('ref_axis',(0.,0.,1.)); #460916=DIRECTION('',(-1.,0.,0.)); #460917=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #460918=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #460919=DIRECTION('',(-1.,0.,0.)); #460920=DIRECTION('center_axis',(-1.,0.,0.)); #460921=DIRECTION('ref_axis',(0.,0.,1.)); #460922=DIRECTION('',(-1.,0.,0.)); #460923=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #460924=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #460925=DIRECTION('center_axis',(-1.,0.,0.)); #460926=DIRECTION('ref_axis',(0.,0.,1.)); #460927=DIRECTION('',(1.,0.,0.)); #460928=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #460929=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #460930=DIRECTION('',(-1.,0.,0.)); #460931=DIRECTION('center_axis',(-1.,0.,0.)); #460932=DIRECTION('ref_axis',(0.,0.,1.)); #460933=DIRECTION('',(1.,0.,0.)); #460934=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #460935=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #460936=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #460937=DIRECTION('ref_axis',(1.,0.,0.)); #460938=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #460939=DIRECTION('ref_axis',(-1.,0.,0.)); #460940=DIRECTION('',(0.,0.,1.)); #460941=DIRECTION('',(1.,0.,0.)); #460942=DIRECTION('',(-7.39557098644699E-32,1.08694881798913E-15,1.)); #460943=DIRECTION('',(1.,-1.0842021724855E-16,1.97215226305253E-31)); #460944=DIRECTION('',(-9.86076131526265E-32,9.66963777567405E-16,1.)); #460945=DIRECTION('',(1.,3.27971157176865E-15,-3.08148791101958E-30)); #460946=DIRECTION('',(0.,2.77700021424954E-15,1.)); #460947=DIRECTION('',(1.,3.27971157176865E-15,-9.12120421661795E-30)); #460948=DIRECTION('',(6.80836812848299E-17,-4.44089209850069E-16,1.)); #460949=DIRECTION('',(1.,3.38150651353554E-15,-6.80836812848284E-17)); #460950=DIRECTION('',(1.18329135783152E-29,2.10942374678779E-15,1.)); #460951=DIRECTION('',(1.,-2.25645248027861E-15,-7.07509624370095E-30)); #460952=DIRECTION('',(-8.73390613065168E-16,6.98370759370329E-16,1.)); #460953=DIRECTION('',(1.,-2.91436602748403E-15,8.7339061306517E-16)); #460954=DIRECTION('',(4.33680868994199E-15,9.83880281668189E-16,1.)); #460955=DIRECTION('',(1.,3.27971157176865E-15,-4.33680868994199E-15)); #460956=DIRECTION('',(-1.27182698291844E-15,-2.02167565096131E-15,1.)); #460957=DIRECTION('',(1.,-1.47360374210741E-15,1.27182698291844E-15)); #460958=DIRECTION('',(7.39557098644699E-32,1.08694881798913E-15,1.)); #460959=DIRECTION('',(-1.,-6.67538261041712E-15,7.34626717987067E-30)); #460960=DIRECTION('',(-6.80836812848299E-17,-4.44089209850069E-16,1.)); #460961=DIRECTION('',(-1.,4.92831695200288E-16,-6.80836812848297E-17)); #460962=DIRECTION('',(-4.33680868994199E-15,9.83880281668189E-16,1.)); #460963=DIRECTION('',(-1.,7.25596478471811E-15,-4.336808689942E-15)); #460964=DIRECTION('',(1.27182698291844E-15,-2.02167565096131E-15,1.)); #460965=DIRECTION('',(-1.,-5.31019908555827E-15,1.27182698291843E-15)); #460966=DIRECTION('',(8.73390613065168E-16,6.98370759370329E-16,1.)); #460967=DIRECTION('',(-1.,-3.86943680018164E-15,8.73390613065171E-16)); #460968=DIRECTION('',(-1.18329135783152E-29,2.10942374678779E-15,1.)); #460969=DIRECTION('',(-1.,-4.52735034738706E-15,-2.26797510251041E-30)); #460970=DIRECTION('',(9.86076131526265E-32,9.66963777567405E-16,1.)); #460971=DIRECTION('',(-1.,2.81507268621749E-15,-2.63775365183276E-30)); #460972=DIRECTION('',(-1.80411241501588E-16,-1.,5.47511070677026E-16)); #460973=DIRECTION('',(-1.,1.80411241501588E-16,3.8282599880158E-17)); #460974=DIRECTION('',(-5.93452103896745E-16,9.78384040450918E-16,1.)); #460975=DIRECTION('',(1.,-1.14270645880125E-15,5.93452103896746E-16)); #460976=DIRECTION('',(0.,2.77700021424954E-15,1.)); #460977=DIRECTION('',(1.,3.27971157176865E-15,-9.12120421661795E-30)); #460978=DIRECTION('',(0.,0.,1.)); #460979=DIRECTION('',(1.,0.,0.)); #460980=DIRECTION('',(0.,0.,1.)); #460981=DIRECTION('',(1.,0.,0.)); #460982=DIRECTION('axis',(0.,0.,1.)); #460983=DIRECTION('refdir',(1.,0.,0.)); #460984=DIRECTION('axis',(0.,0.,1.)); #460985=DIRECTION('refdir',(1.,0.,0.)); #460986=DIRECTION('axis',(0.,0.,1.)); #460987=DIRECTION('refdir',(1.,0.,0.)); #460988=DIRECTION('center_axis',(0.,-1.,0.)); #460989=DIRECTION('ref_axis',(0.,0.,-1.)); #460990=DIRECTION('',(-1.,0.,0.)); #460991=DIRECTION('',(0.,0.,-1.)); #460992=DIRECTION('',(1.,0.,0.)); #460993=DIRECTION('',(0.,0.,-1.)); #460994=DIRECTION('',(-1.,0.,0.)); #460995=DIRECTION('',(0.,0.,-1.)); #460996=DIRECTION('',(-1.,0.,0.)); #460997=DIRECTION('',(0.,0.,-1.)); #460998=DIRECTION('',(-1.,0.,0.)); #460999=DIRECTION('',(0.,0.,-1.)); #461000=DIRECTION('',(1.,0.,0.)); #461001=DIRECTION('',(0.,0.,-1.)); #461002=DIRECTION('center_axis',(0.,0.,1.)); #461003=DIRECTION('ref_axis',(1.,0.,0.)); #461004=DIRECTION('',(1.,0.,0.)); #461005=DIRECTION('',(0.,-1.,0.)); #461006=DIRECTION('',(1.,0.,0.)); #461007=DIRECTION('',(0.,-1.,0.)); #461008=DIRECTION('center_axis',(0.,0.,1.)); #461009=DIRECTION('ref_axis',(1.,0.,0.)); #461010=DIRECTION('',(0.,1.,0.)); #461011=DIRECTION('',(1.,0.,0.)); #461012=DIRECTION('',(0.,-1.,0.)); #461013=DIRECTION('',(1.,0.,0.)); #461014=DIRECTION('center_axis',(0.,0.,-1.)); #461015=DIRECTION('ref_axis',(-1.,0.,0.)); #461016=DIRECTION('',(1.445602896647E-16,1.,0.)); #461017=DIRECTION('',(1.,1.807003620809E-16,0.)); #461018=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #461019=DIRECTION('',(-1.,1.807003620809E-16,0.)); #461020=DIRECTION('',(1.,0.,0.)); #461021=DIRECTION('',(0.,1.,0.)); #461022=DIRECTION('',(1.,0.,0.)); #461023=DIRECTION('',(0.,1.,0.)); #461024=DIRECTION('center_axis',(0.,0.,-1.)); #461025=DIRECTION('ref_axis',(-1.,0.,0.)); #461026=DIRECTION('',(1.445602896647E-16,1.,0.)); #461027=DIRECTION('',(1.,1.807003620809E-16,0.)); #461028=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #461029=DIRECTION('',(-1.,1.807003620809E-16,0.)); #461030=DIRECTION('',(1.,0.,0.)); #461031=DIRECTION('',(0.,1.,0.)); #461032=DIRECTION('',(1.,0.,0.)); #461033=DIRECTION('',(0.,1.,0.)); #461034=DIRECTION('center_axis',(0.,0.,1.)); #461035=DIRECTION('ref_axis',(1.,0.,0.)); #461036=DIRECTION('',(1.,0.,0.)); #461037=DIRECTION('',(0.,1.,0.)); #461038=DIRECTION('',(-1.,0.,0.)); #461039=DIRECTION('',(0.,-1.,0.)); #461040=DIRECTION('',(-1.,0.,0.)); #461041=DIRECTION('',(0.,1.,0.)); #461042=DIRECTION('',(1.,0.,0.)); #461043=DIRECTION('',(1.807003620809E-16,-1.,0.)); #461044=DIRECTION('',(-1.,0.,0.)); #461045=DIRECTION('',(0.,1.,0.)); #461046=DIRECTION('',(1.,0.,0.)); #461047=DIRECTION('',(1.807003620809E-16,-1.,0.)); #461048=DIRECTION('center_axis',(1.,0.,0.)); #461049=DIRECTION('ref_axis',(0.,0.,-1.)); #461050=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #461051=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #461052=DIRECTION('',(0.,-1.,0.)); #461053=DIRECTION('',(0.,0.,-1.)); #461054=DIRECTION('center_axis',(-1.,0.,0.)); #461055=DIRECTION('ref_axis',(0.,0.,1.)); #461056=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #461057=DIRECTION('',(0.,0.,-1.)); #461058=DIRECTION('',(0.,1.,0.)); #461059=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #461060=DIRECTION('center_axis',(0.,0.,1.)); #461061=DIRECTION('ref_axis',(1.,0.,0.)); #461062=DIRECTION('',(1.,0.,0.)); #461063=DIRECTION('',(0.,1.,0.)); #461064=DIRECTION('center_axis',(1.,0.,0.)); #461065=DIRECTION('ref_axis',(0.,0.,-1.)); #461066=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #461067=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #461068=DIRECTION('',(0.,-1.,0.)); #461069=DIRECTION('',(0.,0.,-1.)); #461070=DIRECTION('center_axis',(-1.,0.,0.)); #461071=DIRECTION('ref_axis',(0.,0.,1.)); #461072=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #461073=DIRECTION('',(0.,0.,-1.)); #461074=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #461075=DIRECTION('center_axis',(0.,0.,1.)); #461076=DIRECTION('ref_axis',(1.,0.,0.)); #461077=DIRECTION('',(1.,0.,0.)); #461078=DIRECTION('',(0.,1.,0.)); #461079=DIRECTION('center_axis',(0.,0.,1.)); #461080=DIRECTION('ref_axis',(1.,0.,0.)); #461081=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #461082=DIRECTION('',(0.,1.,0.)); #461083=DIRECTION('',(1.,0.,0.)); #461084=DIRECTION('',(0.,-1.,0.)); #461085=DIRECTION('',(-1.,0.,0.)); #461086=DIRECTION('',(0.,1.,0.)); #461087=DIRECTION('',(0.,-1.,0.)); #461088=DIRECTION('center_axis',(-1.,0.,0.)); #461089=DIRECTION('ref_axis',(0.,0.,1.)); #461090=DIRECTION('',(-8.673617379884E-16,1.,0.)); #461091=DIRECTION('center_axis',(-1.,0.,0.)); #461092=DIRECTION('ref_axis',(0.,0.,1.)); #461093=DIRECTION('',(0.,0.,1.)); #461094=DIRECTION('',(0.,0.,-1.)); #461095=DIRECTION('',(0.,0.,1.)); #461096=DIRECTION('center_axis',(1.,0.,0.)); #461097=DIRECTION('ref_axis',(0.,0.,-1.)); #461098=DIRECTION('',(0.,0.,1.)); #461099=DIRECTION('center_axis',(1.,0.,0.)); #461100=DIRECTION('ref_axis',(0.,0.,-1.)); #461101=DIRECTION('',(0.,-1.,0.)); #461102=DIRECTION('',(0.,0.,1.)); #461103=DIRECTION('',(0.,-1.,0.)); #461104=DIRECTION('',(0.,0.,-1.)); #461105=DIRECTION('center_axis',(0.,1.,0.)); #461106=DIRECTION('ref_axis',(0.,0.,1.)); #461107=DIRECTION('center_axis',(0.,-1.,0.)); #461108=DIRECTION('ref_axis',(0.,0.,1.)); #461109=DIRECTION('',(1.,0.,0.)); #461110=DIRECTION('center_axis',(0.,-1.,0.)); #461111=DIRECTION('ref_axis',(0.,0.,-1.)); #461112=DIRECTION('',(8.673617379884E-16,0.,-1.)); #461113=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #461114=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #461115=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #461116=DIRECTION('',(1.,0.,0.)); #461117=DIRECTION('',(-1.,0.,0.)); #461118=DIRECTION('',(-1.,0.,0.)); #461119=DIRECTION('',(-1.084202172486E-15,0.,1.)); #461120=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #461121=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #461122=DIRECTION('',(8.673617379884E-16,0.,1.)); #461123=DIRECTION('center_axis',(0.,0.,1.)); #461124=DIRECTION('ref_axis',(1.,0.,0.)); #461125=DIRECTION('center_axis',(0.,-1.,0.)); #461126=DIRECTION('ref_axis',(0.,0.,-1.)); #461127=DIRECTION('center_axis',(0.,-1.,0.)); #461128=DIRECTION('ref_axis',(0.,0.,-1.)); #461129=DIRECTION('',(1.,0.,0.)); #461130=DIRECTION('center_axis',(0.,-1.,0.)); #461131=DIRECTION('ref_axis',(0.,0.,-1.)); #461132=DIRECTION('',(8.673617379884E-16,0.,1.)); #461133=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #461134=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #461135=DIRECTION('',(-1.084202172486E-15,0.,1.)); #461136=DIRECTION('',(-1.,0.,0.)); #461137=DIRECTION('',(0.,0.,1.)); #461138=DIRECTION('',(0.,0.,1.)); #461139=DIRECTION('',(-1.,0.,0.)); #461140=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #461141=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #461142=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #461143=DIRECTION('',(8.673617379884E-16,0.,-1.)); #461144=DIRECTION('center_axis',(-1.,0.,0.)); #461145=DIRECTION('ref_axis',(0.,0.,1.)); #461146=DIRECTION('',(0.,0.,1.)); #461147=DIRECTION('',(0.,-1.,0.)); #461148=DIRECTION('',(0.,0.,1.)); #461149=DIRECTION('',(0.,1.,0.)); #461150=DIRECTION('center_axis',(-1.,0.,0.)); #461151=DIRECTION('ref_axis',(0.,0.,1.)); #461152=DIRECTION('center_axis',(0.,1.,0.)); #461153=DIRECTION('ref_axis',(0.,0.,1.)); #461154=DIRECTION('',(0.,0.,1.)); #461155=DIRECTION('',(-1.,0.,0.)); #461156=DIRECTION('center_axis',(1.,0.,0.)); #461157=DIRECTION('ref_axis',(0.,0.,-1.)); #461158=DIRECTION('',(0.,0.,1.)); #461159=DIRECTION('',(0.,1.,0.)); #461160=DIRECTION('center_axis',(1.,0.,0.)); #461161=DIRECTION('ref_axis',(0.,0.,-1.)); #461162=DIRECTION('',(8.673617379884E-16,-1.,0.)); #461163=DIRECTION('center_axis',(1.,0.,1.084202172486E-15)); #461164=DIRECTION('ref_axis',(1.084202172486E-15,0.,-1.)); #461165=DIRECTION('',(0.,-1.,0.)); #461166=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #461167=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #461168=DIRECTION('',(0.,-1.,0.)); #461169=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #461170=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #461171=DIRECTION('',(0.,-1.,0.)); #461172=DIRECTION('center_axis',(1.,0.,-8.673617379884E-16)); #461173=DIRECTION('ref_axis',(-8.673617379884E-16,0.,-1.)); #461174=DIRECTION('',(0.,-1.,0.)); #461175=DIRECTION('center_axis',(0.,-1.,0.)); #461176=DIRECTION('ref_axis',(0.,0.,-1.)); #461177=DIRECTION('',(0.,-1.,0.)); #461178=DIRECTION('center_axis',(-1.,0.,1.084202172486E-15)); #461179=DIRECTION('ref_axis',(1.084202172486E-15,0.,1.)); #461180=DIRECTION('',(0.,-1.,0.)); #461181=DIRECTION('center_axis',(0.,0.,-1.)); #461182=DIRECTION('ref_axis',(-1.,0.,0.)); #461183=DIRECTION('',(0.,-1.,0.)); #461184=DIRECTION('center_axis',(0.,-1.,0.)); #461185=DIRECTION('ref_axis',(0.,0.,-1.)); #461186=DIRECTION('',(0.,-1.,0.)); #461187=DIRECTION('center_axis',(-1.,0.,-8.673617379884E-16)); #461188=DIRECTION('ref_axis',(-8.673617379884E-16,0.,1.)); #461189=DIRECTION('',(0.,-1.,0.)); #461190=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #461191=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #461192=DIRECTION('',(0.,-1.,0.)); #461193=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #461194=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #461195=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #461196=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #461197=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #461198=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #461199=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #461200=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #461201=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #461202=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #461203=DIRECTION('center_axis',(-1.,0.,0.)); #461204=DIRECTION('ref_axis',(0.,0.,1.)); #461205=DIRECTION('',(0.,0.,-1.)); #461206=DIRECTION('',(0.,-1.,0.)); #461207=DIRECTION('',(0.,0.,-1.)); #461208=DIRECTION('center_axis',(0.,-1.,0.)); #461209=DIRECTION('ref_axis',(0.,0.,-1.)); #461210=DIRECTION('',(0.,0.,-1.)); #461211=DIRECTION('',(1.,0.,0.)); #461212=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #461213=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #461214=DIRECTION('',(0.,0.,-1.)); #461215=DIRECTION('',(-1.807003620809E-16,1.,0.)); #461216=DIRECTION('center_axis',(0.,1.,0.)); #461217=DIRECTION('ref_axis',(0.,0.,1.)); #461218=DIRECTION('',(-1.,0.,0.)); #461219=DIRECTION('center_axis',(0.,0.,1.)); #461220=DIRECTION('ref_axis',(1.,0.,0.)); #461221=DIRECTION('',(1.807003620809E-16,-1.,0.)); #461222=DIRECTION('',(1.,0.,0.)); #461223=DIRECTION('',(0.,1.,0.)); #461224=DIRECTION('',(-1.,0.,0.)); #461225=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #461226=DIRECTION('ref_axis',(1.,0.,0.)); #461227=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #461228=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #461229=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #461230=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #461231=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #461232=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #461233=DIRECTION('ref_axis',(0.,-1.,0.)); #461234=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #461235=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #461236=DIRECTION('ref_axis',(-1.,0.,0.)); #461237=DIRECTION('center_axis',(-1.,0.,0.)); #461238=DIRECTION('ref_axis',(0.,0.,1.)); #461239=DIRECTION('',(0.,0.,-1.)); #461240=DIRECTION('',(0.,-1.,0.)); #461241=DIRECTION('',(0.,0.,-1.)); #461242=DIRECTION('center_axis',(0.,-1.,0.)); #461243=DIRECTION('ref_axis',(0.,0.,-1.)); #461244=DIRECTION('',(0.,0.,-1.)); #461245=DIRECTION('',(1.,0.,0.)); #461246=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #461247=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #461248=DIRECTION('',(0.,0.,-1.)); #461249=DIRECTION('',(-1.807003620809E-16,1.,0.)); #461250=DIRECTION('center_axis',(0.,1.,0.)); #461251=DIRECTION('ref_axis',(0.,0.,1.)); #461252=DIRECTION('',(-1.,0.,0.)); #461253=DIRECTION('center_axis',(0.,0.,1.)); #461254=DIRECTION('ref_axis',(1.,0.,0.)); #461255=DIRECTION('',(1.807003620809E-16,-1.,0.)); #461256=DIRECTION('',(1.,0.,0.)); #461257=DIRECTION('',(0.,1.,0.)); #461258=DIRECTION('',(-1.,0.,0.)); #461259=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #461260=DIRECTION('ref_axis',(1.,0.,0.)); #461261=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #461262=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #461263=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #461264=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #461265=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #461266=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #461267=DIRECTION('ref_axis',(0.,-1.,0.)); #461268=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #461269=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #461270=DIRECTION('ref_axis',(-1.,0.,0.)); #461271=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #461272=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #461273=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461274=DIRECTION('',(1.,0.,0.)); #461275=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461276=DIRECTION('center_axis',(0.,0.,-1.)); #461277=DIRECTION('ref_axis',(-1.,0.,0.)); #461278=DIRECTION('',(0.,-1.,0.)); #461279=DIRECTION('',(-1.,0.,0.)); #461280=DIRECTION('',(0.,1.,0.)); #461281=DIRECTION('',(1.,0.,0.)); #461282=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #461283=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #461284=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461285=DIRECTION('',(-1.,0.,0.)); #461286=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461287=DIRECTION('center_axis',(-1.,0.,0.)); #461288=DIRECTION('ref_axis',(0.,0.,1.)); #461289=DIRECTION('',(0.,1.,0.)); #461290=DIRECTION('center_axis',(-1.,0.,0.)); #461291=DIRECTION('ref_axis',(0.,0.,1.)); #461292=DIRECTION('',(0.,-1.,0.)); #461293=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #461294=DIRECTION('ref_axis',(-1.,0.,0.)); #461295=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #461296=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #461297=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #461298=DIRECTION('ref_axis',(0.,1.,0.)); #461299=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #461300=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #461301=DIRECTION('ref_axis',(0.,-1.,0.)); #461302=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #461303=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #461304=DIRECTION('ref_axis',(1.,0.,0.)); #461305=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #461306=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #461307=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461308=DIRECTION('',(1.,0.,0.)); #461309=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461310=DIRECTION('center_axis',(0.,0.,-1.)); #461311=DIRECTION('ref_axis',(-1.,0.,0.)); #461312=DIRECTION('',(0.,-1.,0.)); #461313=DIRECTION('',(-1.,0.,0.)); #461314=DIRECTION('',(0.,1.,0.)); #461315=DIRECTION('',(1.,0.,0.)); #461316=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #461317=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #461318=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461319=DIRECTION('',(-1.,0.,0.)); #461320=DIRECTION('',(0.,4.015563601798E-17,-1.)); #461321=DIRECTION('center_axis',(-1.,0.,0.)); #461322=DIRECTION('ref_axis',(0.,0.,1.)); #461323=DIRECTION('',(0.,1.,0.)); #461324=DIRECTION('center_axis',(-1.,0.,0.)); #461325=DIRECTION('ref_axis',(0.,0.,1.)); #461326=DIRECTION('',(0.,-1.,0.)); #461327=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #461328=DIRECTION('ref_axis',(-1.,0.,0.)); #461329=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #461330=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #461331=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #461332=DIRECTION('ref_axis',(0.,1.,0.)); #461333=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #461334=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #461335=DIRECTION('ref_axis',(0.,-1.,0.)); #461336=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #461337=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #461338=DIRECTION('ref_axis',(1.,0.,0.)); #461339=DIRECTION('center_axis',(0.,1.,0.)); #461340=DIRECTION('ref_axis',(0.,0.,1.)); #461341=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #461342=DIRECTION('',(-1.,0.,0.)); #461343=DIRECTION('center_axis',(0.,0.,1.)); #461344=DIRECTION('ref_axis',(1.,0.,0.)); #461345=DIRECTION('',(1.,0.,0.)); #461346=DIRECTION('center_axis',(-2.710505431214E-16,1.,0.)); #461347=DIRECTION('ref_axis',(-1.,-2.710505431214E-16,0.)); #461348=DIRECTION('',(-0.707106781186548,-1.91661677085277E-16,0.707106781186548)); #461349=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #461350=DIRECTION('center_axis',(0.,0.,1.)); #461351=DIRECTION('ref_axis',(1.,0.,0.)); #461352=DIRECTION('',(1.,0.,0.)); #461353=DIRECTION('center_axis',(1.,0.,0.)); #461354=DIRECTION('ref_axis',(0.,0.,-1.)); #461355=DIRECTION('center_axis',(1.,0.,0.)); #461356=DIRECTION('ref_axis',(0.,0.,-1.)); #461357=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #461358=DIRECTION('ref_axis',(0.,-1.,0.)); #461359=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #461360=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #461361=DIRECTION('ref_axis',(1.,0.,0.)); #461362=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #461363=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #461364=DIRECTION('ref_axis',(0.,1.,0.)); #461365=DIRECTION('',(0.,0.,1.)); #461366=DIRECTION('',(1.,0.,0.)); #461367=DIRECTION('',(0.,0.,1.)); #461368=DIRECTION('',(1.,0.,0.)); #461369=DIRECTION('axis',(0.,0.,1.)); #461370=DIRECTION('refdir',(1.,0.,0.)); #461371=DIRECTION('axis',(0.,0.,1.)); #461372=DIRECTION('refdir',(1.,0.,0.)); #461373=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #461374=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #461375=DIRECTION('center_axis',(0.,-1.,0.)); #461376=DIRECTION('ref_axis',(-1.,0.,0.)); #461377=DIRECTION('center_axis',(0.,0.,1.)); #461378=DIRECTION('ref_axis',(0.,-1.,0.)); #461379=DIRECTION('center_axis',(-1.,0.,0.)); #461380=DIRECTION('ref_axis',(0.,0.,1.)); #461381=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #461382=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #461383=DIRECTION('center_axis',(1.,0.,0.)); #461384=DIRECTION('ref_axis',(0.,-1.,0.)); #461385=DIRECTION('center_axis',(0.,0.,1.)); #461386=DIRECTION('ref_axis',(1.,0.,0.)); #461387=DIRECTION('center_axis',(0.,-1.,0.)); #461388=DIRECTION('ref_axis',(0.,0.,1.)); #461389=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #461390=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,-0.577350269189626)); #461391=DIRECTION('center_axis',(0.,0.,-1.)); #461392=DIRECTION('ref_axis',(-1.,0.,0.)); #461393=DIRECTION('center_axis',(0.,-1.,0.)); #461394=DIRECTION('ref_axis',(0.,0.,-1.)); #461395=DIRECTION('center_axis',(-1.,0.,0.)); #461396=DIRECTION('ref_axis',(0.,-1.,0.)); #461397=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #461398=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #461399=DIRECTION('center_axis',(-1.,0.,0.)); #461400=DIRECTION('ref_axis',(0.,1.,0.)); #461401=DIRECTION('center_axis',(0.,0.,1.)); #461402=DIRECTION('ref_axis',(-1.,0.,0.)); #461403=DIRECTION('center_axis',(0.,1.,0.)); #461404=DIRECTION('ref_axis',(0.,0.,1.)); #461405=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #461406=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189625,-0.577350269189626)); #461407=DIRECTION('center_axis',(0.,0.,-1.)); #461408=DIRECTION('ref_axis',(0.,-1.,0.)); #461409=DIRECTION('center_axis',(1.,0.,0.)); #461410=DIRECTION('ref_axis',(0.,0.,-1.)); #461411=DIRECTION('center_axis',(0.,-1.,0.)); #461412=DIRECTION('ref_axis',(1.,0.,0.)); #461413=DIRECTION('center_axis',(1.,0.,0.)); #461414=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #461415=DIRECTION('',(-1.,0.,0.)); #461416=DIRECTION('',(1.,0.,0.)); #461417=DIRECTION('center_axis',(0.408248290463863,0.408248290463863,-0.816496580927726)); #461418=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,0.577350269189625)); #461419=DIRECTION('center_axis',(0.,1.,0.)); #461420=DIRECTION('ref_axis',(1.,0.,0.)); #461421=DIRECTION('center_axis',(0.,0.,1.)); #461422=DIRECTION('ref_axis',(0.,1.,0.)); #461423=DIRECTION('center_axis',(1.,0.,0.)); #461424=DIRECTION('ref_axis',(0.,0.,1.)); #461425=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #461426=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,-0.577350269189625)); #461427=DIRECTION('center_axis',(-1.,0.,0.)); #461428=DIRECTION('ref_axis',(0.,0.,-1.)); #461429=DIRECTION('center_axis',(0.,1.,0.)); #461430=DIRECTION('ref_axis',(-1.,0.,0.)); #461431=DIRECTION('center_axis',(0.,0.,-1.)); #461432=DIRECTION('ref_axis',(0.,1.,0.)); #461433=DIRECTION('center_axis',(0.,-1.,0.)); #461434=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #461435=DIRECTION('',(0.,1.,0.)); #461436=DIRECTION('',(0.,-1.,0.)); #461437=DIRECTION('center_axis',(0.,0.,1.)); #461438=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #461439=DIRECTION('',(0.,0.,-1.)); #461440=DIRECTION('',(0.,0.,1.)); #461441=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #461442=DIRECTION('ref_axis',(0.577350269189626,0.577350269189625,-0.577350269189626)); #461443=DIRECTION('center_axis',(0.,0.,-1.)); #461444=DIRECTION('ref_axis',(1.,0.,0.)); #461445=DIRECTION('center_axis',(0.,1.,0.)); #461446=DIRECTION('ref_axis',(0.,0.,-1.)); #461447=DIRECTION('center_axis',(1.,0.,0.)); #461448=DIRECTION('ref_axis',(0.,1.,0.)); #461449=DIRECTION('center_axis',(0.,-1.,0.)); #461450=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #461451=DIRECTION('',(0.,1.,0.)); #461452=DIRECTION('',(0.,-1.,0.)); #461453=DIRECTION('center_axis',(-1.,0.,0.)); #461454=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #461455=DIRECTION('',(1.,0.,0.)); #461456=DIRECTION('',(-1.,0.,0.)); #461457=DIRECTION('center_axis',(0.,0.,1.)); #461458=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #461459=DIRECTION('',(0.,0.,-1.)); #461460=DIRECTION('',(0.,0.,1.)); #461461=DIRECTION('center_axis',(-1.,0.,0.)); #461462=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #461463=DIRECTION('',(1.,0.,0.)); #461464=DIRECTION('',(-1.,0.,0.)); #461465=DIRECTION('center_axis',(0.,1.,0.)); #461466=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #461467=DIRECTION('',(0.,-1.,0.)); #461468=DIRECTION('',(0.,1.,0.)); #461469=DIRECTION('center_axis',(0.,0.,1.)); #461470=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #461471=DIRECTION('',(0.,0.,-1.)); #461472=DIRECTION('',(0.,0.,1.)); #461473=DIRECTION('center_axis',(0.,-1.,0.)); #461474=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #461475=DIRECTION('',(0.,1.,0.)); #461476=DIRECTION('',(0.,-1.,0.)); #461477=DIRECTION('center_axis',(0.,0.,1.)); #461478=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #461479=DIRECTION('',(0.,0.,-1.)); #461480=DIRECTION('',(0.,0.,1.)); #461481=DIRECTION('center_axis',(1.,0.,0.)); #461482=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #461483=DIRECTION('',(-1.,0.,0.)); #461484=DIRECTION('',(1.,0.,0.)); #461485=DIRECTION('center_axis',(0.,-1.,0.)); #461486=DIRECTION('ref_axis',(1.,0.,0.)); #461487=DIRECTION('center_axis',(0.,0.,1.)); #461488=DIRECTION('ref_axis',(1.,0.,0.)); #461489=DIRECTION('center_axis',(-1.,0.,0.)); #461490=DIRECTION('ref_axis',(0.,-1.,0.)); #461491=DIRECTION('center_axis',(0.,1.,0.)); #461492=DIRECTION('ref_axis',(-1.,0.,0.)); #461493=DIRECTION('center_axis',(1.,0.,0.)); #461494=DIRECTION('ref_axis',(0.,1.,0.)); #461495=DIRECTION('center_axis',(0.,0.,1.)); #461496=DIRECTION('ref_axis',(1.,0.,0.)); #461497=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463863, -0.816496580927726)); #461498=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,0.577350269189625)); #461499=DIRECTION('center_axis',(0.,-1.,0.)); #461500=DIRECTION('ref_axis',(-1.,0.,0.)); #461501=DIRECTION('center_axis',(0.,0.,1.)); #461502=DIRECTION('ref_axis',(0.,-1.,0.)); #461503=DIRECTION('center_axis',(-1.,0.,0.)); #461504=DIRECTION('ref_axis',(0.,0.,1.)); #461505=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #461506=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #461507=DIRECTION('center_axis',(1.,0.,0.)); #461508=DIRECTION('ref_axis',(0.,-1.,0.)); #461509=DIRECTION('center_axis',(0.,0.,1.)); #461510=DIRECTION('ref_axis',(1.,0.,0.)); #461511=DIRECTION('center_axis',(0.,-1.,0.)); #461512=DIRECTION('ref_axis',(0.,0.,1.)); #461513=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #461514=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189625,-0.577350269189626)); #461515=DIRECTION('center_axis',(0.,0.,-1.)); #461516=DIRECTION('ref_axis',(-1.,0.,0.)); #461517=DIRECTION('center_axis',(0.,-1.,0.)); #461518=DIRECTION('ref_axis',(0.,0.,-1.)); #461519=DIRECTION('center_axis',(-1.,0.,0.)); #461520=DIRECTION('ref_axis',(0.,-1.,0.)); #461521=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #461522=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #461523=DIRECTION('center_axis',(-1.,0.,0.)); #461524=DIRECTION('ref_axis',(0.,1.,0.)); #461525=DIRECTION('center_axis',(0.,0.,1.)); #461526=DIRECTION('ref_axis',(-1.,0.,0.)); #461527=DIRECTION('center_axis',(0.,1.,0.)); #461528=DIRECTION('ref_axis',(0.,0.,1.)); #461529=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #461530=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,-0.577350269189626)); #461531=DIRECTION('center_axis',(0.,0.,-1.)); #461532=DIRECTION('ref_axis',(0.,-1.,0.)); #461533=DIRECTION('center_axis',(1.,0.,0.)); #461534=DIRECTION('ref_axis',(0.,0.,-1.)); #461535=DIRECTION('center_axis',(0.,-1.,0.)); #461536=DIRECTION('ref_axis',(1.,0.,0.)); #461537=DIRECTION('center_axis',(1.,0.,0.)); #461538=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #461539=DIRECTION('',(-1.,0.,0.)); #461540=DIRECTION('',(1.,0.,0.)); #461541=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #461542=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #461543=DIRECTION('center_axis',(0.,1.,0.)); #461544=DIRECTION('ref_axis',(1.,0.,0.)); #461545=DIRECTION('center_axis',(0.,0.,1.)); #461546=DIRECTION('ref_axis',(0.,1.,0.)); #461547=DIRECTION('center_axis',(1.,0.,0.)); #461548=DIRECTION('ref_axis',(0.,0.,1.)); #461549=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #461550=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #461551=DIRECTION('center_axis',(-1.,0.,0.)); #461552=DIRECTION('ref_axis',(0.,0.,-1.)); #461553=DIRECTION('center_axis',(0.,1.,0.)); #461554=DIRECTION('ref_axis',(-1.,0.,0.)); #461555=DIRECTION('center_axis',(0.,0.,-1.)); #461556=DIRECTION('ref_axis',(0.,1.,0.)); #461557=DIRECTION('center_axis',(0.,-1.,0.)); #461558=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #461559=DIRECTION('',(0.,1.,0.)); #461560=DIRECTION('',(0.,-1.,0.)); #461561=DIRECTION('center_axis',(0.,0.,1.)); #461562=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #461563=DIRECTION('',(0.,0.,-1.)); #461564=DIRECTION('',(0.,0.,1.)); #461565=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #461566=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,-0.577350269189626)); #461567=DIRECTION('center_axis',(0.,0.,-1.)); #461568=DIRECTION('ref_axis',(1.,0.,0.)); #461569=DIRECTION('center_axis',(0.,1.,0.)); #461570=DIRECTION('ref_axis',(0.,0.,-1.)); #461571=DIRECTION('center_axis',(1.,0.,0.)); #461572=DIRECTION('ref_axis',(0.,1.,0.)); #461573=DIRECTION('center_axis',(0.,1.,0.)); #461574=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #461575=DIRECTION('',(0.,-1.,0.)); #461576=DIRECTION('',(0.,1.,0.)); #461577=DIRECTION('center_axis',(-1.,0.,0.)); #461578=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #461579=DIRECTION('',(1.,0.,0.)); #461580=DIRECTION('',(-1.,0.,0.)); #461581=DIRECTION('center_axis',(0.,0.,1.)); #461582=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #461583=DIRECTION('',(0.,0.,-1.)); #461584=DIRECTION('',(0.,0.,1.)); #461585=DIRECTION('center_axis',(-1.,0.,0.)); #461586=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #461587=DIRECTION('',(1.,0.,0.)); #461588=DIRECTION('',(-1.,0.,0.)); #461589=DIRECTION('center_axis',(0.,1.,0.)); #461590=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #461591=DIRECTION('',(0.,-1.,0.)); #461592=DIRECTION('',(0.,1.,0.)); #461593=DIRECTION('center_axis',(0.,0.,1.)); #461594=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #461595=DIRECTION('',(0.,0.,-1.)); #461596=DIRECTION('',(0.,0.,1.)); #461597=DIRECTION('center_axis',(0.,-1.,0.)); #461598=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #461599=DIRECTION('',(0.,1.,0.)); #461600=DIRECTION('',(0.,-1.,0.)); #461601=DIRECTION('center_axis',(0.,0.,1.)); #461602=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #461603=DIRECTION('',(0.,0.,-1.)); #461604=DIRECTION('',(0.,0.,1.)); #461605=DIRECTION('center_axis',(1.,0.,0.)); #461606=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #461607=DIRECTION('',(-1.,0.,0.)); #461608=DIRECTION('',(1.,0.,0.)); #461609=DIRECTION('center_axis',(0.,-1.,0.)); #461610=DIRECTION('ref_axis',(1.,0.,0.)); #461611=DIRECTION('center_axis',(0.,0.,1.)); #461612=DIRECTION('ref_axis',(1.,0.,0.)); #461613=DIRECTION('center_axis',(-1.,0.,0.)); #461614=DIRECTION('ref_axis',(0.,-1.,0.)); #461615=DIRECTION('center_axis',(0.,1.,0.)); #461616=DIRECTION('ref_axis',(-1.,0.,0.)); #461617=DIRECTION('center_axis',(1.,0.,0.)); #461618=DIRECTION('ref_axis',(0.,1.,0.)); #461619=DIRECTION('center_axis',(0.,0.,1.)); #461620=DIRECTION('ref_axis',(1.,0.,0.)); #461621=DIRECTION('center_axis',(1.,0.,0.)); #461622=DIRECTION('ref_axis',(0.,1.,0.)); #461623=DIRECTION('',(0.,-1.,0.)); #461624=DIRECTION('',(0.,0.,1.)); #461625=DIRECTION('',(0.,1.,0.)); #461626=DIRECTION('',(0.,0.,1.)); #461627=DIRECTION('center_axis',(0.,-1.,0.)); #461628=DIRECTION('ref_axis',(1.,0.,0.)); #461629=DIRECTION('',(1.,0.,0.)); #461630=DIRECTION('',(1.,0.,0.)); #461631=DIRECTION('',(0.,0.,1.)); #461632=DIRECTION('center_axis',(-1.,0.,0.)); #461633=DIRECTION('ref_axis',(0.,-1.,0.)); #461634=DIRECTION('',(0.,-1.,0.)); #461635=DIRECTION('',(0.,-1.,0.)); #461636=DIRECTION('',(0.,0.,1.)); #461637=DIRECTION('center_axis',(0.,1.,0.)); #461638=DIRECTION('ref_axis',(-1.,0.,0.)); #461639=DIRECTION('',(-1.,0.,0.)); #461640=DIRECTION('',(-1.,0.,0.)); #461641=DIRECTION('center_axis',(0.,0.,1.)); #461642=DIRECTION('ref_axis',(1.,0.,0.)); #461643=DIRECTION('center_axis',(0.,0.,1.)); #461644=DIRECTION('ref_axis',(1.,0.,0.)); #461645=DIRECTION('',(0.,0.,1.)); #461646=DIRECTION('',(1.,0.,0.)); #461647=DIRECTION('',(0.,0.,1.)); #461648=DIRECTION('',(1.,0.,0.)); #461649=DIRECTION('',(0.,0.,1.)); #461650=DIRECTION('',(1.,0.,0.)); #461651=DIRECTION('',(0.,0.,1.)); #461652=DIRECTION('',(1.,0.,0.)); #461653=DIRECTION('',(0.,0.,1.)); #461654=DIRECTION('',(1.,0.,0.)); #461655=DIRECTION('',(0.,0.,1.)); #461656=DIRECTION('',(1.,0.,0.)); #461657=DIRECTION('',(0.,0.,1.)); #461658=DIRECTION('',(1.,0.,0.)); #461659=DIRECTION('',(0.,0.,1.)); #461660=DIRECTION('',(1.,0.,0.)); #461661=DIRECTION('',(0.,0.,1.)); #461662=DIRECTION('',(1.,0.,0.)); #461663=DIRECTION('',(0.,0.,1.)); #461664=DIRECTION('',(1.,0.,0.)); #461665=DIRECTION('',(0.,0.,1.)); #461666=DIRECTION('',(1.,0.,0.)); #461667=DIRECTION('',(0.,0.,1.)); #461668=DIRECTION('',(1.,0.,0.)); #461669=DIRECTION('',(0.,0.,1.)); #461670=DIRECTION('',(1.,0.,0.)); #461671=DIRECTION('',(0.,0.,1.)); #461672=DIRECTION('',(1.,0.,0.)); #461673=DIRECTION('',(0.,0.,1.)); #461674=DIRECTION('',(1.,0.,0.)); #461675=DIRECTION('',(0.,0.,1.)); #461676=DIRECTION('',(1.,0.,0.)); #461677=DIRECTION('',(0.,0.,1.)); #461678=DIRECTION('',(1.,0.,0.)); #461679=DIRECTION('',(0.,0.,1.)); #461680=DIRECTION('',(1.,0.,0.)); #461681=DIRECTION('',(0.,0.,1.)); #461682=DIRECTION('',(1.,0.,0.)); #461683=DIRECTION('',(0.,0.,1.)); #461684=DIRECTION('',(1.,0.,0.)); #461685=DIRECTION('',(0.,0.,1.)); #461686=DIRECTION('',(1.,0.,0.)); #461687=DIRECTION('',(0.,0.,1.)); #461688=DIRECTION('',(1.,3.33013568423603E-48,0.)); #461689=DIRECTION('',(0.,0.,1.)); #461690=DIRECTION('',(1.,0.,0.)); #461691=DIRECTION('axis',(0.,0.,1.)); #461692=DIRECTION('refdir',(1.,0.,0.)); #461693=DIRECTION('axis',(0.,0.,1.)); #461694=DIRECTION('refdir',(1.,0.,0.)); #461695=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,-0.408248290463862)); #461696=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #461697=DIRECTION('center_axis',(0.,-1.,0.)); #461698=DIRECTION('ref_axis',(-1.,0.,0.)); #461699=DIRECTION('center_axis',(0.,0.,1.)); #461700=DIRECTION('ref_axis',(0.,-1.,0.)); #461701=DIRECTION('center_axis',(-1.,0.,0.)); #461702=DIRECTION('ref_axis',(0.,0.,1.)); #461703=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, 0.408248290463864)); #461704=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #461705=DIRECTION('center_axis',(1.,0.,0.)); #461706=DIRECTION('ref_axis',(0.,-1.,0.)); #461707=DIRECTION('center_axis',(0.,0.,1.)); #461708=DIRECTION('ref_axis',(1.,0.,0.)); #461709=DIRECTION('center_axis',(0.,-1.,0.)); #461710=DIRECTION('ref_axis',(0.,0.,1.)); #461711=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #461712=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #461713=DIRECTION('center_axis',(0.,0.,-1.)); #461714=DIRECTION('ref_axis',(-1.,0.,0.)); #461715=DIRECTION('center_axis',(0.,-1.,0.)); #461716=DIRECTION('ref_axis',(0.,0.,-1.)); #461717=DIRECTION('center_axis',(-1.,0.,0.)); #461718=DIRECTION('ref_axis',(0.,-1.,0.)); #461719=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, -0.408248290463862)); #461720=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189628,0.577350269189625)); #461721=DIRECTION('center_axis',(-1.,0.,0.)); #461722=DIRECTION('ref_axis',(0.,1.,0.)); #461723=DIRECTION('center_axis',(0.,0.,1.)); #461724=DIRECTION('ref_axis',(-1.,0.,0.)); #461725=DIRECTION('center_axis',(0.,1.,0.)); #461726=DIRECTION('ref_axis',(0.,0.,1.)); #461727=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #461728=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #461729=DIRECTION('center_axis',(0.,0.,-1.)); #461730=DIRECTION('ref_axis',(0.,-1.,0.)); #461731=DIRECTION('center_axis',(1.,0.,0.)); #461732=DIRECTION('ref_axis',(0.,0.,-1.)); #461733=DIRECTION('center_axis',(0.,-1.,0.)); #461734=DIRECTION('ref_axis',(1.,0.,0.)); #461735=DIRECTION('center_axis',(1.,0.,0.)); #461736=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #461737=DIRECTION('',(-1.,0.,0.)); #461738=DIRECTION('',(1.,0.,0.)); #461739=DIRECTION('center_axis',(0.408248290463863,0.408248290463862,-0.816496580927727)); #461740=DIRECTION('ref_axis',(0.577350269189628,0.577350269189626,0.577350269189623)); #461741=DIRECTION('center_axis',(0.,1.,0.)); #461742=DIRECTION('ref_axis',(1.,0.,0.)); #461743=DIRECTION('center_axis',(0.,0.,1.)); #461744=DIRECTION('ref_axis',(0.,1.,0.)); #461745=DIRECTION('center_axis',(1.,2.16840434497101E-15,0.)); #461746=DIRECTION('ref_axis',(0.,0.,1.)); #461747=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #461748=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189626,-0.577350269189627)); #461749=DIRECTION('center_axis',(-1.,0.,0.)); #461750=DIRECTION('ref_axis',(0.,0.,-1.)); #461751=DIRECTION('center_axis',(0.,1.,0.)); #461752=DIRECTION('ref_axis',(-1.,0.,0.)); #461753=DIRECTION('center_axis',(0.,0.,-1.)); #461754=DIRECTION('ref_axis',(0.,1.,0.)); #461755=DIRECTION('center_axis',(0.,-1.,0.)); #461756=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #461757=DIRECTION('',(0.,1.,0.)); #461758=DIRECTION('',(0.,-1.,0.)); #461759=DIRECTION('center_axis',(0.,0.,1.)); #461760=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186551,0.)); #461761=DIRECTION('',(0.,0.,-1.)); #461762=DIRECTION('',(0.,0.,1.)); #461763=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #461764=DIRECTION('ref_axis',(0.577350269189625,0.577350269189626,-0.577350269189626)); #461765=DIRECTION('center_axis',(0.,0.,-1.)); #461766=DIRECTION('ref_axis',(1.,0.,0.)); #461767=DIRECTION('center_axis',(0.,1.,0.)); #461768=DIRECTION('ref_axis',(0.,0.,-1.)); #461769=DIRECTION('center_axis',(1.,0.,2.16840434497101E-15)); #461770=DIRECTION('ref_axis',(0.,1.,0.)); #461771=DIRECTION('center_axis',(0.,-1.,0.)); #461772=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #461773=DIRECTION('',(0.,1.,0.)); #461774=DIRECTION('',(0.,-1.,0.)); #461775=DIRECTION('center_axis',(-1.,0.,0.)); #461776=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #461777=DIRECTION('',(1.,0.,0.)); #461778=DIRECTION('',(-1.,0.,0.)); #461779=DIRECTION('center_axis',(0.,0.,1.)); #461780=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #461781=DIRECTION('',(0.,0.,-1.)); #461782=DIRECTION('',(0.,0.,1.)); #461783=DIRECTION('center_axis',(-1.,0.,0.)); #461784=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #461785=DIRECTION('',(1.,0.,0.)); #461786=DIRECTION('',(-1.,0.,0.)); #461787=DIRECTION('center_axis',(0.,1.,0.)); #461788=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #461789=DIRECTION('',(0.,-1.,0.)); #461790=DIRECTION('',(0.,1.,0.)); #461791=DIRECTION('center_axis',(0.,0.,1.)); #461792=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #461793=DIRECTION('',(0.,0.,-1.)); #461794=DIRECTION('',(0.,0.,1.)); #461795=DIRECTION('center_axis',(0.,-1.,0.)); #461796=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #461797=DIRECTION('',(0.,1.,0.)); #461798=DIRECTION('',(0.,-1.,0.)); #461799=DIRECTION('center_axis',(0.,0.,1.)); #461800=DIRECTION('ref_axis',(-0.707106781186545,-0.707106781186551,0.)); #461801=DIRECTION('',(0.,0.,-1.)); #461802=DIRECTION('',(0.,0.,1.)); #461803=DIRECTION('center_axis',(1.,0.,0.)); #461804=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #461805=DIRECTION('',(-1.,0.,0.)); #461806=DIRECTION('',(1.,0.,0.)); #461807=DIRECTION('center_axis',(0.,-1.,0.)); #461808=DIRECTION('ref_axis',(1.,0.,0.)); #461809=DIRECTION('center_axis',(0.,0.,1.)); #461810=DIRECTION('ref_axis',(1.,0.,0.)); #461811=DIRECTION('center_axis',(-1.,0.,0.)); #461812=DIRECTION('ref_axis',(0.,-1.,0.)); #461813=DIRECTION('center_axis',(0.,1.,0.)); #461814=DIRECTION('ref_axis',(-1.,0.,0.)); #461815=DIRECTION('center_axis',(1.,0.,0.)); #461816=DIRECTION('ref_axis',(0.,1.,0.)); #461817=DIRECTION('center_axis',(0.,0.,1.)); #461818=DIRECTION('ref_axis',(1.,0.,0.)); #461819=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463862, -0.816496580927727)); #461820=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189626,0.577350269189623)); #461821=DIRECTION('center_axis',(0.,-1.,0.)); #461822=DIRECTION('ref_axis',(-1.,0.,0.)); #461823=DIRECTION('center_axis',(0.,0.,1.)); #461824=DIRECTION('ref_axis',(0.,-1.,0.)); #461825=DIRECTION('center_axis',(-1.,-2.16840434497101E-15,0.)); #461826=DIRECTION('ref_axis',(0.,0.,1.)); #461827=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, 0.408248290463862)); #461828=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189628,0.577350269189625)); #461829=DIRECTION('center_axis',(1.,0.,0.)); #461830=DIRECTION('ref_axis',(0.,-1.,0.)); #461831=DIRECTION('center_axis',(0.,0.,1.)); #461832=DIRECTION('ref_axis',(1.,0.,0.)); #461833=DIRECTION('center_axis',(0.,-1.,0.)); #461834=DIRECTION('ref_axis',(0.,0.,1.)); #461835=DIRECTION('center_axis',(-0.816496580927728,0.408248290463861,0.408248290463861)); #461836=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189627,-0.577350269189627)); #461837=DIRECTION('center_axis',(0.,0.,-1.)); #461838=DIRECTION('ref_axis',(-1.,0.,0.)); #461839=DIRECTION('center_axis',(0.,-1.,0.)); #461840=DIRECTION('ref_axis',(0.,0.,-1.)); #461841=DIRECTION('center_axis',(-1.,0.,4.33680868994202E-15)); #461842=DIRECTION('ref_axis',(0.,-1.,0.)); #461843=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463864)); #461844=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #461845=DIRECTION('center_axis',(-1.,0.,0.)); #461846=DIRECTION('ref_axis',(0.,1.,0.)); #461847=DIRECTION('center_axis',(0.,0.,1.)); #461848=DIRECTION('ref_axis',(-1.,0.,0.)); #461849=DIRECTION('center_axis',(0.,1.,0.)); #461850=DIRECTION('ref_axis',(0.,0.,1.)); #461851=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #461852=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #461853=DIRECTION('center_axis',(0.,0.,-1.)); #461854=DIRECTION('ref_axis',(0.,-1.,0.)); #461855=DIRECTION('center_axis',(1.,0.,0.)); #461856=DIRECTION('ref_axis',(0.,0.,-1.)); #461857=DIRECTION('center_axis',(0.,-1.,0.)); #461858=DIRECTION('ref_axis',(1.,0.,0.)); #461859=DIRECTION('center_axis',(1.,0.,0.)); #461860=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #461861=DIRECTION('',(-1.,0.,0.)); #461862=DIRECTION('',(1.,0.,0.)); #461863=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,0.408248290463862)); #461864=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #461865=DIRECTION('center_axis',(0.,1.,0.)); #461866=DIRECTION('ref_axis',(1.,0.,0.)); #461867=DIRECTION('center_axis',(0.,0.,1.)); #461868=DIRECTION('ref_axis',(0.,1.,0.)); #461869=DIRECTION('center_axis',(1.,0.,0.)); #461870=DIRECTION('ref_axis',(0.,0.,1.)); #461871=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #461872=DIRECTION('ref_axis',(-0.577350269189624,0.577350269189627,-0.577350269189627)); #461873=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #461874=DIRECTION('ref_axis',(0.,0.,-1.)); #461875=DIRECTION('center_axis',(0.,1.,0.)); #461876=DIRECTION('ref_axis',(-1.,0.,0.)); #461877=DIRECTION('center_axis',(0.,0.,-1.)); #461878=DIRECTION('ref_axis',(0.,1.,0.)); #461879=DIRECTION('center_axis',(0.,-1.,0.)); #461880=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #461881=DIRECTION('',(0.,1.,0.)); #461882=DIRECTION('',(0.,-1.,0.)); #461883=DIRECTION('center_axis',(0.,0.,1.)); #461884=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #461885=DIRECTION('',(0.,0.,-1.)); #461886=DIRECTION('',(0.,0.,1.)); #461887=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #461888=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189626)); #461889=DIRECTION('center_axis',(0.,0.,-1.)); #461890=DIRECTION('ref_axis',(1.,0.,0.)); #461891=DIRECTION('center_axis',(0.,1.,0.)); #461892=DIRECTION('ref_axis',(0.,0.,-1.)); #461893=DIRECTION('center_axis',(1.,0.,0.)); #461894=DIRECTION('ref_axis',(0.,1.,0.)); #461895=DIRECTION('center_axis',(0.,1.,0.)); #461896=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #461897=DIRECTION('',(0.,-1.,0.)); #461898=DIRECTION('',(0.,1.,0.)); #461899=DIRECTION('center_axis',(-1.,0.,0.)); #461900=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #461901=DIRECTION('',(1.,0.,0.)); #461902=DIRECTION('',(-1.,0.,0.)); #461903=DIRECTION('center_axis',(0.,0.,1.)); #461904=DIRECTION('ref_axis',(0.707106781186545,0.707106781186551,0.)); #461905=DIRECTION('',(0.,0.,-1.)); #461906=DIRECTION('',(0.,0.,1.)); #461907=DIRECTION('center_axis',(-1.,0.,0.)); #461908=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #461909=DIRECTION('',(1.,0.,0.)); #461910=DIRECTION('',(-1.,0.,0.)); #461911=DIRECTION('center_axis',(0.,1.,0.)); #461912=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #461913=DIRECTION('',(0.,-1.,0.)); #461914=DIRECTION('',(0.,1.,0.)); #461915=DIRECTION('center_axis',(0.,0.,1.)); #461916=DIRECTION('ref_axis',(0.707106781186545,-0.707106781186551,0.)); #461917=DIRECTION('',(0.,0.,-1.)); #461918=DIRECTION('',(0.,0.,1.)); #461919=DIRECTION('center_axis',(0.,-1.,0.)); #461920=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #461921=DIRECTION('',(0.,1.,0.)); #461922=DIRECTION('',(0.,-1.,0.)); #461923=DIRECTION('center_axis',(0.,0.,1.)); #461924=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #461925=DIRECTION('',(0.,0.,-1.)); #461926=DIRECTION('',(0.,0.,1.)); #461927=DIRECTION('center_axis',(1.,0.,0.)); #461928=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #461929=DIRECTION('',(-1.,0.,0.)); #461930=DIRECTION('',(1.,0.,0.)); #461931=DIRECTION('center_axis',(0.,-1.,0.)); #461932=DIRECTION('ref_axis',(1.,0.,0.)); #461933=DIRECTION('center_axis',(0.,0.,1.)); #461934=DIRECTION('ref_axis',(1.,0.,0.)); #461935=DIRECTION('center_axis',(-1.,0.,0.)); #461936=DIRECTION('ref_axis',(0.,-1.,0.)); #461937=DIRECTION('center_axis',(0.,1.,0.)); #461938=DIRECTION('ref_axis',(-1.,0.,0.)); #461939=DIRECTION('center_axis',(1.,0.,0.)); #461940=DIRECTION('ref_axis',(0.,1.,0.)); #461941=DIRECTION('center_axis',(0.,0.,1.)); #461942=DIRECTION('ref_axis',(1.,0.,0.)); #461943=DIRECTION('center_axis',(1.,0.,0.)); #461944=DIRECTION('ref_axis',(0.,1.,0.)); #461945=DIRECTION('',(0.,-1.,0.)); #461946=DIRECTION('',(0.,0.,1.)); #461947=DIRECTION('',(0.,1.,0.)); #461948=DIRECTION('',(0.,0.,1.)); #461949=DIRECTION('center_axis',(0.,-1.,0.)); #461950=DIRECTION('ref_axis',(1.,0.,0.)); #461951=DIRECTION('',(1.,0.,0.)); #461952=DIRECTION('',(1.,0.,0.)); #461953=DIRECTION('',(0.,0.,1.)); #461954=DIRECTION('center_axis',(-1.,0.,0.)); #461955=DIRECTION('ref_axis',(0.,-1.,0.)); #461956=DIRECTION('',(0.,-1.,0.)); #461957=DIRECTION('',(0.,-1.,0.)); #461958=DIRECTION('',(0.,0.,1.)); #461959=DIRECTION('center_axis',(0.,1.,0.)); #461960=DIRECTION('ref_axis',(-1.,0.,0.)); #461961=DIRECTION('',(-1.,0.,0.)); #461962=DIRECTION('',(-1.,0.,0.)); #461963=DIRECTION('center_axis',(0.,0.,1.)); #461964=DIRECTION('ref_axis',(1.,0.,0.)); #461965=DIRECTION('center_axis',(0.,0.,1.)); #461966=DIRECTION('ref_axis',(1.,0.,0.)); #461967=DIRECTION('',(0.,0.,1.)); #461968=DIRECTION('',(1.,0.,0.)); #461969=DIRECTION('axis',(0.,0.,1.)); #461970=DIRECTION('refdir',(1.,0.,0.)); #461971=DIRECTION('axis',(0.,0.,1.)); #461972=DIRECTION('refdir',(1.,0.,0.)); #461973=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463863)); #461974=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189624,0.577350269189624)); #461975=DIRECTION('center_axis',(0.,-1.,0.)); #461976=DIRECTION('ref_axis',(-1.,0.,0.)); #461977=DIRECTION('center_axis',(0.,0.,1.)); #461978=DIRECTION('ref_axis',(0.,-1.,0.)); #461979=DIRECTION('center_axis',(-1.,0.,0.)); #461980=DIRECTION('ref_axis',(0.,0.,1.)); #461981=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #461982=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #461983=DIRECTION('center_axis',(1.,0.,0.)); #461984=DIRECTION('ref_axis',(0.,-1.,0.)); #461985=DIRECTION('center_axis',(0.,0.,1.)); #461986=DIRECTION('ref_axis',(1.,0.,0.)); #461987=DIRECTION('center_axis',(0.,-1.,0.)); #461988=DIRECTION('ref_axis',(0.,0.,1.)); #461989=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,0.408248290463854)); #461990=DIRECTION('ref_axis',(-0.577350269189618,-0.577350269189635,-0.577350269189624)); #461991=DIRECTION('center_axis',(0.,0.,-1.)); #461992=DIRECTION('ref_axis',(-1.,0.,0.)); #461993=DIRECTION('center_axis',(0.,-1.,0.)); #461994=DIRECTION('ref_axis',(0.,0.,-1.)); #461995=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #461996=DIRECTION('ref_axis',(0.,-1.,0.)); #461997=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #461998=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #461999=DIRECTION('center_axis',(-1.,0.,0.)); #462000=DIRECTION('ref_axis',(0.,1.,0.)); #462001=DIRECTION('center_axis',(0.,0.,1.)); #462002=DIRECTION('ref_axis',(-1.,0.,0.)); #462003=DIRECTION('center_axis',(0.,1.,0.)); #462004=DIRECTION('ref_axis',(0.,0.,1.)); #462005=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #462006=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #462007=DIRECTION('center_axis',(0.,0.,-1.)); #462008=DIRECTION('ref_axis',(0.,-1.,0.)); #462009=DIRECTION('center_axis',(1.,0.,0.)); #462010=DIRECTION('ref_axis',(0.,0.,-1.)); #462011=DIRECTION('center_axis',(0.,-1.,0.)); #462012=DIRECTION('ref_axis',(1.,0.,0.)); #462013=DIRECTION('center_axis',(1.,0.,0.)); #462014=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #462015=DIRECTION('',(-1.,0.,0.)); #462016=DIRECTION('',(1.,0.,0.)); #462017=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,0.408248290463864)); #462018=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #462019=DIRECTION('center_axis',(0.,1.,0.)); #462020=DIRECTION('ref_axis',(1.,0.,0.)); #462021=DIRECTION('center_axis',(0.,0.,1.)); #462022=DIRECTION('ref_axis',(0.,1.,0.)); #462023=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #462024=DIRECTION('ref_axis',(0.,0.,1.)); #462025=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #462026=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #462027=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #462028=DIRECTION('ref_axis',(0.,0.,-1.)); #462029=DIRECTION('center_axis',(0.,1.,0.)); #462030=DIRECTION('ref_axis',(-1.,0.,0.)); #462031=DIRECTION('center_axis',(0.,0.,-1.)); #462032=DIRECTION('ref_axis',(0.,1.,0.)); #462033=DIRECTION('center_axis',(0.,-1.,0.)); #462034=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #462035=DIRECTION('',(0.,1.,0.)); #462036=DIRECTION('',(0.,-1.,0.)); #462037=DIRECTION('center_axis',(0.,0.,1.)); #462038=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #462039=DIRECTION('',(0.,0.,-1.)); #462040=DIRECTION('',(0.,0.,1.)); #462041=DIRECTION('center_axis',(-0.816496580927728,0.408248290463864,-0.408248290463859)); #462042=DIRECTION('ref_axis',(0.577350269189625,0.57735026918963,-0.577350269189622)); #462043=DIRECTION('center_axis',(0.,0.,-1.)); #462044=DIRECTION('ref_axis',(1.,0.,0.)); #462045=DIRECTION('center_axis',(0.,1.,0.)); #462046=DIRECTION('ref_axis',(0.,0.,-1.)); #462047=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #462048=DIRECTION('ref_axis',(0.,1.,0.)); #462049=DIRECTION('center_axis',(0.,-1.,0.)); #462050=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #462051=DIRECTION('',(0.,1.,0.)); #462052=DIRECTION('',(0.,-1.,0.)); #462053=DIRECTION('center_axis',(-1.,0.,0.)); #462054=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #462055=DIRECTION('',(1.,0.,0.)); #462056=DIRECTION('',(-1.,0.,0.)); #462057=DIRECTION('center_axis',(0.,0.,1.)); #462058=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #462059=DIRECTION('',(0.,0.,-1.)); #462060=DIRECTION('',(0.,0.,1.)); #462061=DIRECTION('center_axis',(-1.,0.,0.)); #462062=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #462063=DIRECTION('',(1.,0.,0.)); #462064=DIRECTION('',(-1.,0.,0.)); #462065=DIRECTION('center_axis',(0.,1.,0.)); #462066=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #462067=DIRECTION('',(0.,-1.,0.)); #462068=DIRECTION('',(0.,1.,0.)); #462069=DIRECTION('center_axis',(0.,0.,1.)); #462070=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #462071=DIRECTION('',(0.,0.,-1.)); #462072=DIRECTION('',(0.,0.,1.)); #462073=DIRECTION('center_axis',(0.,-1.,0.)); #462074=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #462075=DIRECTION('',(0.,1.,0.)); #462076=DIRECTION('',(0.,-1.,0.)); #462077=DIRECTION('center_axis',(0.,0.,1.)); #462078=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #462079=DIRECTION('',(0.,0.,-1.)); #462080=DIRECTION('',(0.,0.,1.)); #462081=DIRECTION('center_axis',(1.,0.,0.)); #462082=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #462083=DIRECTION('',(-1.,0.,0.)); #462084=DIRECTION('',(1.,0.,0.)); #462085=DIRECTION('center_axis',(0.,-1.,0.)); #462086=DIRECTION('ref_axis',(1.,0.,0.)); #462087=DIRECTION('center_axis',(0.,0.,1.)); #462088=DIRECTION('ref_axis',(1.,0.,0.)); #462089=DIRECTION('center_axis',(-1.,0.,0.)); #462090=DIRECTION('ref_axis',(0.,-1.,0.)); #462091=DIRECTION('center_axis',(0.,1.,0.)); #462092=DIRECTION('ref_axis',(-1.,0.,0.)); #462093=DIRECTION('center_axis',(1.,0.,0.)); #462094=DIRECTION('ref_axis',(0.,1.,0.)); #462095=DIRECTION('center_axis',(0.,0.,1.)); #462096=DIRECTION('ref_axis',(1.,0.,0.)); #462097=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463864)); #462098=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #462099=DIRECTION('center_axis',(0.,-1.,0.)); #462100=DIRECTION('ref_axis',(-1.,0.,0.)); #462101=DIRECTION('center_axis',(0.,0.,1.)); #462102=DIRECTION('ref_axis',(0.,-1.,0.)); #462103=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #462104=DIRECTION('ref_axis',(0.,0.,1.)); #462105=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #462106=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #462107=DIRECTION('center_axis',(1.,0.,0.)); #462108=DIRECTION('ref_axis',(0.,-1.,0.)); #462109=DIRECTION('center_axis',(0.,0.,1.)); #462110=DIRECTION('ref_axis',(1.,0.,0.)); #462111=DIRECTION('center_axis',(0.,-1.,0.)); #462112=DIRECTION('ref_axis',(0.,0.,1.)); #462113=DIRECTION('center_axis',(-0.816496580927724,0.408248290463869,0.408248290463861)); #462114=DIRECTION('ref_axis',(-0.577350269189627,-0.57735026918963,-0.57735026918962)); #462115=DIRECTION('center_axis',(0.,0.,-1.)); #462116=DIRECTION('ref_axis',(-1.,0.,0.)); #462117=DIRECTION('center_axis',(0.,-1.,0.)); #462118=DIRECTION('ref_axis',(0.,0.,-1.)); #462119=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #462120=DIRECTION('ref_axis',(0.,-1.,0.)); #462121=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #462122=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #462123=DIRECTION('center_axis',(-1.,0.,0.)); #462124=DIRECTION('ref_axis',(0.,1.,0.)); #462125=DIRECTION('center_axis',(0.,0.,1.)); #462126=DIRECTION('ref_axis',(-1.,0.,0.)); #462127=DIRECTION('center_axis',(0.,1.,0.)); #462128=DIRECTION('ref_axis',(0.,0.,1.)); #462129=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #462130=DIRECTION('ref_axis',(0.577350269189619,-0.57735026918963,-0.577350269189628)); #462131=DIRECTION('center_axis',(0.,0.,-1.)); #462132=DIRECTION('ref_axis',(0.,-1.,0.)); #462133=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #462134=DIRECTION('ref_axis',(0.,0.,-1.)); #462135=DIRECTION('center_axis',(0.,-1.,0.)); #462136=DIRECTION('ref_axis',(1.,0.,0.)); #462137=DIRECTION('center_axis',(1.,0.,0.)); #462138=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #462139=DIRECTION('',(-1.,0.,0.)); #462140=DIRECTION('',(1.,0.,0.)); #462141=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863)); #462142=DIRECTION('ref_axis',(0.57735026918963,0.577350269189624,0.577350269189624)); #462143=DIRECTION('center_axis',(0.,1.,0.)); #462144=DIRECTION('ref_axis',(1.,0.,0.)); #462145=DIRECTION('center_axis',(0.,0.,1.)); #462146=DIRECTION('ref_axis',(0.,1.,0.)); #462147=DIRECTION('center_axis',(1.,0.,0.)); #462148=DIRECTION('ref_axis',(0.,0.,1.)); #462149=DIRECTION('center_axis',(0.408248290463862,-0.408248290463862,-0.816496580927727)); #462150=DIRECTION('ref_axis',(-0.577350269189629,0.577350269189623,-0.577350269189625)); #462151=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #462152=DIRECTION('ref_axis',(0.,0.,-1.)); #462153=DIRECTION('center_axis',(0.,1.,0.)); #462154=DIRECTION('ref_axis',(-1.,0.,0.)); #462155=DIRECTION('center_axis',(0.,0.,-1.)); #462156=DIRECTION('ref_axis',(0.,1.,0.)); #462157=DIRECTION('center_axis',(0.,-1.,0.)); #462158=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #462159=DIRECTION('',(0.,1.,0.)); #462160=DIRECTION('',(0.,-1.,0.)); #462161=DIRECTION('center_axis',(0.,0.,1.)); #462162=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #462163=DIRECTION('',(0.,0.,-1.)); #462164=DIRECTION('',(0.,0.,1.)); #462165=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,-0.408248290463854)); #462166=DIRECTION('ref_axis',(0.577350269189618,0.577350269189635,-0.577350269189624)); #462167=DIRECTION('center_axis',(0.,0.,-1.)); #462168=DIRECTION('ref_axis',(1.,0.,0.)); #462169=DIRECTION('center_axis',(0.,1.,0.)); #462170=DIRECTION('ref_axis',(0.,0.,-1.)); #462171=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #462172=DIRECTION('ref_axis',(0.,1.,0.)); #462173=DIRECTION('center_axis',(0.,1.,0.)); #462174=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #462175=DIRECTION('',(0.,-1.,0.)); #462176=DIRECTION('',(0.,1.,0.)); #462177=DIRECTION('center_axis',(-1.,0.,0.)); #462178=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #462179=DIRECTION('',(1.,0.,0.)); #462180=DIRECTION('',(-1.,0.,0.)); #462181=DIRECTION('center_axis',(0.,0.,1.)); #462182=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #462183=DIRECTION('',(0.,0.,-1.)); #462184=DIRECTION('',(0.,0.,1.)); #462185=DIRECTION('center_axis',(-1.,0.,0.)); #462186=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #462187=DIRECTION('',(1.,0.,0.)); #462188=DIRECTION('',(-1.,0.,0.)); #462189=DIRECTION('center_axis',(0.,1.,0.)); #462190=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #462191=DIRECTION('',(0.,-1.,0.)); #462192=DIRECTION('',(0.,1.,0.)); #462193=DIRECTION('center_axis',(0.,0.,1.)); #462194=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #462195=DIRECTION('',(0.,0.,-1.)); #462196=DIRECTION('',(0.,0.,1.)); #462197=DIRECTION('center_axis',(0.,-1.,0.)); #462198=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #462199=DIRECTION('',(0.,1.,0.)); #462200=DIRECTION('',(0.,-1.,0.)); #462201=DIRECTION('center_axis',(0.,0.,1.)); #462202=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #462203=DIRECTION('',(0.,0.,-1.)); #462204=DIRECTION('',(0.,0.,1.)); #462205=DIRECTION('center_axis',(1.,0.,0.)); #462206=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #462207=DIRECTION('',(-1.,0.,0.)); #462208=DIRECTION('',(1.,0.,0.)); #462209=DIRECTION('center_axis',(0.,-1.,0.)); #462210=DIRECTION('ref_axis',(1.,0.,0.)); #462211=DIRECTION('center_axis',(0.,0.,1.)); #462212=DIRECTION('ref_axis',(1.,0.,0.)); #462213=DIRECTION('center_axis',(-1.,0.,0.)); #462214=DIRECTION('ref_axis',(0.,-1.,0.)); #462215=DIRECTION('center_axis',(0.,1.,0.)); #462216=DIRECTION('ref_axis',(-1.,0.,0.)); #462217=DIRECTION('center_axis',(1.,0.,0.)); #462218=DIRECTION('ref_axis',(0.,1.,0.)); #462219=DIRECTION('center_axis',(0.,0.,1.)); #462220=DIRECTION('ref_axis',(1.,0.,0.)); #462221=DIRECTION('center_axis',(1.,0.,0.)); #462222=DIRECTION('ref_axis',(0.,1.,0.)); #462223=DIRECTION('',(0.,-1.,0.)); #462224=DIRECTION('',(0.,0.,1.)); #462225=DIRECTION('',(0.,1.,0.)); #462226=DIRECTION('',(0.,0.,1.)); #462227=DIRECTION('center_axis',(0.,-1.,0.)); #462228=DIRECTION('ref_axis',(1.,0.,0.)); #462229=DIRECTION('',(1.,0.,0.)); #462230=DIRECTION('',(1.,0.,0.)); #462231=DIRECTION('',(0.,0.,1.)); #462232=DIRECTION('center_axis',(-1.,0.,0.)); #462233=DIRECTION('ref_axis',(0.,-1.,0.)); #462234=DIRECTION('',(0.,-1.,0.)); #462235=DIRECTION('',(0.,-1.,0.)); #462236=DIRECTION('',(0.,0.,1.)); #462237=DIRECTION('center_axis',(0.,1.,0.)); #462238=DIRECTION('ref_axis',(-1.,0.,0.)); #462239=DIRECTION('',(-1.,0.,0.)); #462240=DIRECTION('',(-1.,0.,0.)); #462241=DIRECTION('center_axis',(0.,0.,1.)); #462242=DIRECTION('ref_axis',(1.,0.,0.)); #462243=DIRECTION('center_axis',(0.,0.,1.)); #462244=DIRECTION('ref_axis',(1.,0.,0.)); #462245=DIRECTION('',(0.,0.,1.)); #462246=DIRECTION('',(1.,0.,0.)); #462247=DIRECTION('axis',(0.,0.,1.)); #462248=DIRECTION('refdir',(1.,0.,0.)); #462249=DIRECTION('axis',(0.,0.,1.)); #462250=DIRECTION('refdir',(1.,0.,0.)); #462251=DIRECTION('center_axis',(0.,0.,-1.)); #462252=DIRECTION('ref_axis',(1.,0.,0.)); #462253=DIRECTION('center_axis',(0.,0.,-1.)); #462254=DIRECTION('ref_axis',(1.,0.,0.)); #462255=DIRECTION('',(0.,0.,-1.)); #462256=DIRECTION('center_axis',(0.,0.,-1.)); #462257=DIRECTION('ref_axis',(1.,0.,0.)); #462258=DIRECTION('center_axis',(0.,0.,1.)); #462259=DIRECTION('ref_axis',(1.,0.,0.)); #462260=DIRECTION('center_axis',(0.,0.,-1.)); #462261=DIRECTION('ref_axis',(-1.,0.,0.)); #462262=DIRECTION('center_axis',(0.,0.,1.)); #462263=DIRECTION('ref_axis',(1.,0.,0.)); #462264=DIRECTION('center_axis',(0.,0.,1.)); #462265=DIRECTION('ref_axis',(1.,0.,0.)); #462266=DIRECTION('center_axis',(0.,0.,1.)); #462267=DIRECTION('ref_axis',(1.,0.,0.)); #462268=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #462269=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #462270=DIRECTION('center_axis',(0.,0.,1.)); #462271=DIRECTION('ref_axis',(1.,0.,0.)); #462272=DIRECTION('center_axis',(0.,0.,1.)); #462273=DIRECTION('ref_axis',(1.,0.,0.)); #462274=DIRECTION('',(0.,0.,-1.)); #462275=DIRECTION('center_axis',(0.,0.,1.)); #462276=DIRECTION('ref_axis',(1.,0.,0.)); #462277=DIRECTION('center_axis',(0.,0.,1.)); #462278=DIRECTION('ref_axis',(1.,0.,0.)); #462279=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #462280=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #462281=DIRECTION('center_axis',(0.,0.,1.)); #462282=DIRECTION('ref_axis',(1.,0.,0.)); #462283=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #462284=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #462285=DIRECTION('center_axis',(0.,0.,1.)); #462286=DIRECTION('ref_axis',(1.,0.,0.)); #462287=DIRECTION('center_axis',(0.,0.,1.)); #462288=DIRECTION('ref_axis',(1.,0.,0.)); #462289=DIRECTION('center_axis',(0.,0.,1.)); #462290=DIRECTION('ref_axis',(1.,0.,0.)); #462291=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #462292=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #462293=DIRECTION('center_axis',(0.,0.,1.)); #462294=DIRECTION('ref_axis',(1.,0.,0.)); #462295=DIRECTION('center_axis',(0.,0.,1.)); #462296=DIRECTION('ref_axis',(1.,0.,0.)); #462297=DIRECTION('',(0.,0.,-1.)); #462298=DIRECTION('center_axis',(0.,0.,1.)); #462299=DIRECTION('ref_axis',(1.,0.,0.)); #462300=DIRECTION('center_axis',(0.,0.,1.)); #462301=DIRECTION('ref_axis',(1.,0.,0.)); #462302=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #462303=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #462304=DIRECTION('center_axis',(0.,0.,1.)); #462305=DIRECTION('ref_axis',(1.,0.,0.)); #462306=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #462307=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #462308=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #462309=DIRECTION('ref_axis',(0.251357811229301,-0.0398111662527533,0.967075137605972)); #462310=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #462311=DIRECTION('ref_axis',(-0.251357811229302,0.0398111662527534,-0.967075137605972)); #462312=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #462313=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #462314=DIRECTION('',(0.,0.,1.)); #462315=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #462316=DIRECTION('ref_axis',(0.,0.,1.)); #462317=DIRECTION('',(-0.987688340595138,0.156434465040231,0.)); #462318=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #462319=DIRECTION('ref_axis',(0.,0.,1.)); #462320=DIRECTION('',(0.,0.,-1.)); #462321=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #462322=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #462323=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #462324=DIRECTION('ref_axis',(-0.246922085148784,0.0391086162600576,-0.968245836551854)); #462325=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #462326=DIRECTION('ref_axis',(0.246922085148784,-0.0391086162600577,0.968245836551854)); #462327=DIRECTION('',(-5.17408234786307E-16,8.19494136859355E-17,-1.)); #462328=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #462329=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,-2.44902137784961E-16)); #462330=DIRECTION('',(0.987688340595138,-0.156434465040231,0.)); #462331=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #462332=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #462333=DIRECTION('',(0.,0.,1.)); #462334=DIRECTION('center_axis',(0.,0.,1.)); #462335=DIRECTION('ref_axis',(1.,0.,0.)); #462336=DIRECTION('center_axis',(0.,0.,-1.)); #462337=DIRECTION('ref_axis',(1.,-2.41605739979525E-15,0.)); #462338=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #462339=DIRECTION('ref_axis',(-0.251357811229302,-0.0398111662527534,-0.967075137605972)); #462340=DIRECTION('center_axis',(0.,0.,1.)); #462341=DIRECTION('ref_axis',(1.,2.41605739979525E-15,0.)); #462342=DIRECTION('center_axis',(0.,0.,1.)); #462343=DIRECTION('ref_axis',(1.,0.,0.)); #462344=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #462345=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #462346=DIRECTION('center_axis',(-2.10210788236898E-14,-1.65439478271922E-15, 1.)); #462347=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,2.09954354188482E-14)); #462348=DIRECTION('center_axis',(0.,0.,1.)); #462349=DIRECTION('ref_axis',(1.,0.,0.)); #462350=DIRECTION('',(0.,0.,-1.)); #462351=DIRECTION('center_axis',(-1.68168630589519E-13,-1.32351582617538E-14, 1.)); #462352=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,1.6822348874297E-13)); #462353=DIRECTION('center_axis',(0.,0.,1.)); #462354=DIRECTION('ref_axis',(1.,0.,0.)); #462355=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #462356=DIRECTION('ref_axis',(0.,0.,1.)); #462357=DIRECTION('center_axis',(-1.73939455619841E-13,-1.36893320414264E-14, 1.)); #462358=DIRECTION('ref_axis',(1.,-6.0508723586757E-16,1.73996196269475E-13)); #462359=DIRECTION('center_axis',(0.,0.,1.)); #462360=DIRECTION('ref_axis',(1.,0.,0.)); #462361=DIRECTION('',(0.987688340595138,0.156434465040231,0.)); #462362=DIRECTION('center_axis',(-1.74786219703999E-13,-1.37559738201274E-14, 1.)); #462363=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,1.74843236575853E-13)); #462364=DIRECTION('center_axis',(0.,0.,1.)); #462365=DIRECTION('ref_axis',(1.,0.,0.)); #462366=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #462367=DIRECTION('ref_axis',(0.,0.,1.)); #462368=DIRECTION('center_axis',(-1.68960012380529E-13,-1.32974413594563E-14, 1.)); #462369=DIRECTION('ref_axis',(1.,1.19185707055348E-15,1.69015128689994E-13)); #462370=DIRECTION('center_axis',(0.,0.,1.)); #462371=DIRECTION('ref_axis',(1.,0.,0.)); #462372=DIRECTION('',(0.,0.,1.)); #462373=DIRECTION('center_axis',(-2.11200015475662E-14,-1.66218016993203E-15, 1.)); #462374=DIRECTION('ref_axis',(1.,1.19185707055348E-15,2.1094237467878E-14)); #462375=DIRECTION('center_axis',(0.,0.,1.)); #462376=DIRECTION('ref_axis',(1.,0.,0.)); #462377=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #462378=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #462379=DIRECTION('center_axis',(-2.16615400487854E-14,-1.70480017428924E-15, 1.)); #462380=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,2.16351153516701E-14)); #462381=DIRECTION('center_axis',(0.,0.,1.)); #462382=DIRECTION('ref_axis',(1.,0.,0.)); #462383=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #462384=DIRECTION('ref_axis',(-0.246922085148784,-0.0391086162600576,-0.968245836551854)); #462385=DIRECTION('center_axis',(0.,0.,1.)); #462386=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,0.)); #462387=DIRECTION('center_axis',(0.,0.,1.)); #462388=DIRECTION('ref_axis',(1.,0.,0.)); #462389=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #462390=DIRECTION('ref_axis',(0.246922085148784,0.0391086162600577,0.968245836551854)); #462391=DIRECTION('center_axis',(0.,0.,1.)); #462392=DIRECTION('ref_axis',(1.,1.19185707055348E-15,0.)); #462393=DIRECTION('center_axis',(0.,0.,1.)); #462394=DIRECTION('ref_axis',(1.,0.,0.)); #462395=DIRECTION('',(5.17408234786307E-16,8.19494136859355E-17,1.)); #462396=DIRECTION('center_axis',(2.04620423503529E-14,1.58430556709647E-15, 1.)); #462397=DIRECTION('ref_axis',(1.,-8.97974505211524E-16,-2.04615736119335E-14)); #462398=DIRECTION('center_axis',(0.,0.,1.)); #462399=DIRECTION('ref_axis',(1.,0.,0.)); #462400=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #462401=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,-2.44902137784961E-16)); #462402=DIRECTION('center_axis',(2.18482774629999E-14,1.71949672751592E-15, 1.)); #462403=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,-2.18482774629999E-14)); #462404=DIRECTION('center_axis',(0.,0.,-1.)); #462405=DIRECTION('ref_axis',(-1.,0.,0.)); #462406=DIRECTION('',(-0.987688340595138,-0.156434465040231,0.)); #462407=DIRECTION('center_axis',(2.44518319571531E-14,2.03184512807808E-15, 1.)); #462408=DIRECTION('ref_axis',(1.,-2.92458830669326E-15,-2.44518319571531E-14)); #462409=DIRECTION('center_axis',(0.,0.,1.)); #462410=DIRECTION('ref_axis',(1.,0.,0.)); #462411=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #462412=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #462413=DIRECTION('center_axis',(2.36405885081453E-14,1.96443418510905E-15, 1.)); #462414=DIRECTION('ref_axis',(1.,1.69003504919521E-15,-2.36401777674686E-14)); #462415=DIRECTION('center_axis',(0.,0.,1.)); #462416=DIRECTION('ref_axis',(1.,0.,0.)); #462417=DIRECTION('',(0.,0.,-1.)); #462418=DIRECTION('center_axis',(0.,0.,1.)); #462419=DIRECTION('ref_axis',(1.,1.69003504919521E-15,0.)); #462420=DIRECTION('center_axis',(0.,0.,1.)); #462421=DIRECTION('ref_axis',(1.,0.,0.)); #462422=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #462423=DIRECTION('ref_axis',(0.251357811229301,0.0398111662527533,0.967075137605972)); #462424=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #462425=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #462426=DIRECTION('center_axis',(0.,0.,-1.)); #462427=DIRECTION('ref_axis',(1.,0.,0.)); #462428=DIRECTION('center_axis',(0.,0.,-1.)); #462429=DIRECTION('ref_axis',(1.,0.,0.)); #462430=DIRECTION('',(0.,0.,1.)); #462431=DIRECTION('center_axis',(0.,0.,1.)); #462432=DIRECTION('ref_axis',(1.,0.,0.)); #462433=DIRECTION('center_axis',(0.,0.,1.)); #462434=DIRECTION('ref_axis',(1.,0.,0.)); #462435=DIRECTION('center_axis',(0.,0.,1.)); #462436=DIRECTION('ref_axis',(1.,0.,0.)); #462437=DIRECTION('center_axis',(0.,0.,1.)); #462438=DIRECTION('ref_axis',(-1.,0.,0.)); #462439=DIRECTION('center_axis',(0.,0.,1.)); #462440=DIRECTION('ref_axis',(-1.,0.,0.)); #462441=DIRECTION('',(0.,0.,1.)); #462442=DIRECTION('center_axis',(0.,0.,-1.)); #462443=DIRECTION('ref_axis',(-1.,0.,0.)); #462444=DIRECTION('center_axis',(0.,0.,-1.)); #462445=DIRECTION('ref_axis',(-1.,0.,0.)); #462446=DIRECTION('center_axis',(0.,0.,-1.)); #462447=DIRECTION('ref_axis',(-1.,0.,0.)); #462448=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462449=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462450=DIRECTION('',(0.,0.,-1.)); #462451=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462452=DIRECTION('',(0.,0.,1.)); #462453=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462454=DIRECTION('center_axis',(0.,0.,-1.)); #462455=DIRECTION('ref_axis',(-1.,0.,0.)); #462456=DIRECTION('center_axis',(0.,0.,-1.)); #462457=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462458=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462459=DIRECTION('center_axis',(0.,0.,1.)); #462460=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462461=DIRECTION('center_axis',(0.,0.,1.)); #462462=DIRECTION('ref_axis',(1.,0.,0.)); #462463=DIRECTION('',(0.,0.,-1.)); #462464=DIRECTION('center_axis',(0.,0.,1.)); #462465=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462466=DIRECTION('center_axis',(0.,0.,1.)); #462467=DIRECTION('ref_axis',(1.,0.,0.)); #462468=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462469=DIRECTION('center_axis',(0.,0.,1.)); #462470=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462471=DIRECTION('center_axis',(0.,0.,1.)); #462472=DIRECTION('ref_axis',(1.,0.,0.)); #462473=DIRECTION('',(0.,0.,1.)); #462474=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462475=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462476=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462477=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462478=DIRECTION('',(0.,0.,-1.)); #462479=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462480=DIRECTION('',(0.,0.,1.)); #462481=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462482=DIRECTION('center_axis',(0.,0.,-1.)); #462483=DIRECTION('ref_axis',(-1.,0.,0.)); #462484=DIRECTION('center_axis',(0.,0.,-1.)); #462485=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462486=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462487=DIRECTION('center_axis',(0.,0.,1.)); #462488=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462489=DIRECTION('center_axis',(0.,0.,1.)); #462490=DIRECTION('ref_axis',(1.,0.,0.)); #462491=DIRECTION('',(0.,0.,-1.)); #462492=DIRECTION('center_axis',(0.,0.,1.)); #462493=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462494=DIRECTION('center_axis',(0.,0.,1.)); #462495=DIRECTION('ref_axis',(1.,0.,0.)); #462496=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462497=DIRECTION('center_axis',(0.,0.,1.)); #462498=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462499=DIRECTION('center_axis',(0.,0.,1.)); #462500=DIRECTION('ref_axis',(1.,0.,0.)); #462501=DIRECTION('',(0.,0.,1.)); #462502=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462503=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462504=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462505=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462506=DIRECTION('',(0.,0.,-1.)); #462507=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462508=DIRECTION('',(0.,0.,1.)); #462509=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462510=DIRECTION('center_axis',(0.,0.,-1.)); #462511=DIRECTION('ref_axis',(-1.,0.,0.)); #462512=DIRECTION('center_axis',(0.,0.,-1.)); #462513=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462514=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462515=DIRECTION('center_axis',(0.,0.,1.)); #462516=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462517=DIRECTION('center_axis',(0.,0.,1.)); #462518=DIRECTION('ref_axis',(1.,0.,0.)); #462519=DIRECTION('',(0.,0.,-1.)); #462520=DIRECTION('center_axis',(0.,0.,1.)); #462521=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462522=DIRECTION('center_axis',(0.,0.,1.)); #462523=DIRECTION('ref_axis',(1.,0.,0.)); #462524=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462525=DIRECTION('center_axis',(0.,0.,1.)); #462526=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462527=DIRECTION('center_axis',(0.,0.,1.)); #462528=DIRECTION('ref_axis',(1.,0.,0.)); #462529=DIRECTION('',(0.,0.,1.)); #462530=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462531=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462532=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462533=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462534=DIRECTION('',(0.,0.,-1.)); #462535=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462536=DIRECTION('',(0.,0.,1.)); #462537=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462538=DIRECTION('center_axis',(0.,0.,-1.)); #462539=DIRECTION('ref_axis',(-1.,0.,0.)); #462540=DIRECTION('center_axis',(0.,0.,-1.)); #462541=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462542=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462543=DIRECTION('center_axis',(0.,0.,1.)); #462544=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462545=DIRECTION('center_axis',(0.,0.,1.)); #462546=DIRECTION('ref_axis',(1.,0.,0.)); #462547=DIRECTION('',(0.,0.,-1.)); #462548=DIRECTION('center_axis',(0.,0.,1.)); #462549=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462550=DIRECTION('center_axis',(0.,0.,1.)); #462551=DIRECTION('ref_axis',(1.,0.,0.)); #462552=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462553=DIRECTION('center_axis',(0.,0.,1.)); #462554=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462555=DIRECTION('center_axis',(0.,0.,1.)); #462556=DIRECTION('ref_axis',(1.,0.,0.)); #462557=DIRECTION('',(0.,0.,1.)); #462558=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462559=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462560=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462561=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462562=DIRECTION('',(0.,0.,-1.)); #462563=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462564=DIRECTION('',(0.,0.,1.)); #462565=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462566=DIRECTION('center_axis',(0.,0.,-1.)); #462567=DIRECTION('ref_axis',(-1.,0.,0.)); #462568=DIRECTION('center_axis',(0.,0.,-1.)); #462569=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462570=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462571=DIRECTION('center_axis',(0.,0.,1.)); #462572=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462573=DIRECTION('center_axis',(0.,0.,1.)); #462574=DIRECTION('ref_axis',(1.,0.,0.)); #462575=DIRECTION('',(0.,0.,-1.)); #462576=DIRECTION('center_axis',(0.,0.,1.)); #462577=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462578=DIRECTION('center_axis',(0.,0.,1.)); #462579=DIRECTION('ref_axis',(1.,0.,0.)); #462580=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462581=DIRECTION('center_axis',(0.,0.,1.)); #462582=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462583=DIRECTION('center_axis',(0.,0.,1.)); #462584=DIRECTION('ref_axis',(1.,0.,0.)); #462585=DIRECTION('',(0.,0.,1.)); #462586=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462587=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462588=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462589=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462590=DIRECTION('',(0.,0.,-1.)); #462591=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462592=DIRECTION('',(0.,0.,1.)); #462593=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462594=DIRECTION('center_axis',(0.,0.,-1.)); #462595=DIRECTION('ref_axis',(-1.,0.,0.)); #462596=DIRECTION('center_axis',(0.,0.,-1.)); #462597=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462598=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462599=DIRECTION('center_axis',(0.,0.,1.)); #462600=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462601=DIRECTION('center_axis',(0.,0.,1.)); #462602=DIRECTION('ref_axis',(1.,0.,0.)); #462603=DIRECTION('',(0.,0.,-1.)); #462604=DIRECTION('center_axis',(0.,0.,1.)); #462605=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462606=DIRECTION('center_axis',(0.,0.,1.)); #462607=DIRECTION('ref_axis',(1.,0.,0.)); #462608=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462609=DIRECTION('center_axis',(0.,0.,1.)); #462610=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462611=DIRECTION('center_axis',(0.,0.,1.)); #462612=DIRECTION('ref_axis',(1.,0.,0.)); #462613=DIRECTION('',(0.,0.,1.)); #462614=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462615=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462616=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462617=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462618=DIRECTION('',(0.,0.,-1.)); #462619=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462620=DIRECTION('',(0.,0.,1.)); #462621=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462622=DIRECTION('center_axis',(0.,0.,-1.)); #462623=DIRECTION('ref_axis',(-1.,0.,0.)); #462624=DIRECTION('center_axis',(0.,0.,-1.)); #462625=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462626=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462627=DIRECTION('center_axis',(0.,0.,1.)); #462628=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462629=DIRECTION('center_axis',(0.,0.,1.)); #462630=DIRECTION('ref_axis',(1.,0.,0.)); #462631=DIRECTION('',(0.,0.,-1.)); #462632=DIRECTION('center_axis',(0.,0.,1.)); #462633=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462634=DIRECTION('center_axis',(0.,0.,1.)); #462635=DIRECTION('ref_axis',(1.,0.,0.)); #462636=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462637=DIRECTION('center_axis',(0.,0.,1.)); #462638=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462639=DIRECTION('center_axis',(0.,0.,1.)); #462640=DIRECTION('ref_axis',(1.,0.,0.)); #462641=DIRECTION('',(0.,0.,1.)); #462642=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462643=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462644=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462645=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462646=DIRECTION('',(0.,0.,-1.)); #462647=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462648=DIRECTION('',(0.,0.,1.)); #462649=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462650=DIRECTION('center_axis',(0.,0.,-1.)); #462651=DIRECTION('ref_axis',(-1.,0.,0.)); #462652=DIRECTION('center_axis',(0.,0.,-1.)); #462653=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462654=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462655=DIRECTION('center_axis',(0.,0.,1.)); #462656=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462657=DIRECTION('center_axis',(0.,0.,1.)); #462658=DIRECTION('ref_axis',(1.,0.,0.)); #462659=DIRECTION('',(0.,0.,-1.)); #462660=DIRECTION('center_axis',(0.,0.,1.)); #462661=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462662=DIRECTION('center_axis',(0.,0.,1.)); #462663=DIRECTION('ref_axis',(1.,0.,0.)); #462664=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462665=DIRECTION('center_axis',(0.,0.,1.)); #462666=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462667=DIRECTION('center_axis',(0.,0.,1.)); #462668=DIRECTION('ref_axis',(1.,0.,0.)); #462669=DIRECTION('',(0.,0.,1.)); #462670=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462671=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462672=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #462673=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #462674=DIRECTION('',(0.,0.,-1.)); #462675=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #462676=DIRECTION('',(0.,0.,1.)); #462677=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #462678=DIRECTION('center_axis',(0.,0.,-1.)); #462679=DIRECTION('ref_axis',(-1.,0.,0.)); #462680=DIRECTION('center_axis',(0.,0.,-1.)); #462681=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #462682=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #462683=DIRECTION('center_axis',(0.,0.,1.)); #462684=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462685=DIRECTION('center_axis',(0.,0.,1.)); #462686=DIRECTION('ref_axis',(1.,0.,0.)); #462687=DIRECTION('',(0.,0.,-1.)); #462688=DIRECTION('center_axis',(0.,0.,1.)); #462689=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #462690=DIRECTION('center_axis',(0.,0.,1.)); #462691=DIRECTION('ref_axis',(1.,0.,0.)); #462692=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #462693=DIRECTION('center_axis',(0.,0.,1.)); #462694=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #462695=DIRECTION('center_axis',(0.,0.,1.)); #462696=DIRECTION('ref_axis',(1.,0.,0.)); #462697=DIRECTION('',(0.,0.,1.)); #462698=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #462699=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #462700=DIRECTION('',(0.,0.,1.)); #462701=DIRECTION('',(1.,0.,0.)); #462702=DIRECTION('axis',(0.,0.,1.)); #462703=DIRECTION('refdir',(1.,0.,0.)); #462704=DIRECTION('axis',(0.,0.,1.)); #462705=DIRECTION('refdir',(1.,0.,0.)); #462706=DIRECTION('center_axis',(0.,0.,-1.)); #462707=DIRECTION('ref_axis',(1.,0.,0.)); #462708=DIRECTION('center_axis',(0.,0.,-1.)); #462709=DIRECTION('ref_axis',(1.,0.,0.)); #462710=DIRECTION('',(0.,0.,-1.)); #462711=DIRECTION('center_axis',(0.,0.,-1.)); #462712=DIRECTION('ref_axis',(1.,0.,0.)); #462713=DIRECTION('center_axis',(0.,0.,1.)); #462714=DIRECTION('ref_axis',(1.,0.,0.)); #462715=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #462716=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #462717=DIRECTION('',(0.,-1.,0.)); #462718=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #462719=DIRECTION('',(0.,1.,0.)); #462720=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #462721=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #462722=DIRECTION('ref_axis',(1.,0.,0.)); #462723=DIRECTION('',(1.,0.,0.)); #462724=DIRECTION('',(-1.,0.,0.)); #462725=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #462726=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #462727=DIRECTION('ref_axis',(1.,0.,0.)); #462728=DIRECTION('',(1.,0.,0.)); #462729=DIRECTION('',(-1.,0.,0.)); #462730=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #462731=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #462732=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #462733=DIRECTION('',(0.,1.,0.)); #462734=DIRECTION('',(0.,-1.,0.)); #462735=DIRECTION('center_axis',(0.,1.,0.)); #462736=DIRECTION('ref_axis',(1.,0.,0.)); #462737=DIRECTION('',(0.,0.,-1.)); #462738=DIRECTION('',(1.,0.,0.)); #462739=DIRECTION('',(0.,0.,-1.)); #462740=DIRECTION('center_axis',(1.,0.,0.)); #462741=DIRECTION('ref_axis',(0.,-1.,0.)); #462742=DIRECTION('',(0.,0.,-1.)); #462743=DIRECTION('',(0.,-1.,0.)); #462744=DIRECTION('center_axis',(0.,0.,1.)); #462745=DIRECTION('ref_axis',(1.,0.,0.)); #462746=DIRECTION('center_axis',(-1.,0.,0.)); #462747=DIRECTION('ref_axis',(0.,1.,0.)); #462748=DIRECTION('',(0.,1.,0.)); #462749=DIRECTION('',(0.,0.,-1.)); #462750=DIRECTION('center_axis',(0.,-1.,0.)); #462751=DIRECTION('ref_axis',(-1.,0.,0.)); #462752=DIRECTION('',(-1.,0.,0.)); #462753=DIRECTION('center_axis',(0.,0.,-1.)); #462754=DIRECTION('ref_axis',(-1.,0.,0.)); #462755=DIRECTION('center_axis',(0.,1.,0.)); #462756=DIRECTION('ref_axis',(-1.,0.,0.)); #462757=DIRECTION('',(-1.,0.,0.)); #462758=DIRECTION('',(0.,0.,1.)); #462759=DIRECTION('',(-1.,0.,0.)); #462760=DIRECTION('',(0.,0.,1.)); #462761=DIRECTION('center_axis',(1.,0.,0.)); #462762=DIRECTION('ref_axis',(0.,1.,0.)); #462763=DIRECTION('',(0.,1.,0.)); #462764=DIRECTION('',(0.,1.,0.)); #462765=DIRECTION('',(0.,0.,1.)); #462766=DIRECTION('center_axis',(0.,-1.,0.)); #462767=DIRECTION('ref_axis',(1.,0.,0.)); #462768=DIRECTION('',(1.,0.,0.)); #462769=DIRECTION('',(1.,0.,0.)); #462770=DIRECTION('',(0.,0.,1.)); #462771=DIRECTION('center_axis',(-1.,0.,0.)); #462772=DIRECTION('ref_axis',(0.,-1.,0.)); #462773=DIRECTION('',(0.,-1.,0.)); #462774=DIRECTION('',(0.,-1.,0.)); #462775=DIRECTION('center_axis',(0.,0.,1.)); #462776=DIRECTION('ref_axis',(1.,0.,0.)); #462777=DIRECTION('center_axis',(0.,0.,1.)); #462778=DIRECTION('ref_axis',(1.,0.,0.)); #462779=DIRECTION('center_axis',(1.,0.,0.)); #462780=DIRECTION('ref_axis',(0.,1.,0.)); #462781=DIRECTION('',(0.,-1.,0.)); #462782=DIRECTION('',(0.,0.,1.)); #462783=DIRECTION('',(0.,1.,0.)); #462784=DIRECTION('',(0.,0.,1.)); #462785=DIRECTION('center_axis',(0.,-1.,0.)); #462786=DIRECTION('ref_axis',(1.,0.,0.)); #462787=DIRECTION('',(1.,0.,0.)); #462788=DIRECTION('',(1.,0.,0.)); #462789=DIRECTION('',(0.,0.,1.)); #462790=DIRECTION('center_axis',(0.,0.,1.)); #462791=DIRECTION('ref_axis',(0.,1.,0.)); #462792=DIRECTION('center_axis',(0.,0.,1.)); #462793=DIRECTION('ref_axis',(0.,1.,0.)); #462794=DIRECTION('center_axis',(0.,0.,1.)); #462795=DIRECTION('ref_axis',(0.,1.,0.)); #462796=DIRECTION('',(0.,0.,1.)); #462797=DIRECTION('center_axis',(-1.,0.,0.)); #462798=DIRECTION('ref_axis',(0.,-1.,0.)); #462799=DIRECTION('',(0.,-1.,0.)); #462800=DIRECTION('',(0.,-1.,0.)); #462801=DIRECTION('',(0.,0.,1.)); #462802=DIRECTION('center_axis',(0.,0.,1.)); #462803=DIRECTION('ref_axis',(0.,1.,0.)); #462804=DIRECTION('center_axis',(0.,0.,1.)); #462805=DIRECTION('ref_axis',(0.,1.,0.)); #462806=DIRECTION('center_axis',(0.,0.,1.)); #462807=DIRECTION('ref_axis',(0.,1.,0.)); #462808=DIRECTION('',(0.,0.,1.)); #462809=DIRECTION('center_axis',(0.,1.,0.)); #462810=DIRECTION('ref_axis',(-1.,0.,0.)); #462811=DIRECTION('',(-1.,0.,0.)); #462812=DIRECTION('',(-1.,0.,0.)); #462813=DIRECTION('center_axis',(0.,0.,1.)); #462814=DIRECTION('ref_axis',(1.,0.,0.)); #462815=DIRECTION('center_axis',(0.,0.,1.)); #462816=DIRECTION('ref_axis',(1.,0.,0.)); #462817=DIRECTION('center_axis',(1.,0.,0.)); #462818=DIRECTION('ref_axis',(0.,1.,0.)); #462819=DIRECTION('',(0.,-1.,0.)); #462820=DIRECTION('',(0.,0.,1.)); #462821=DIRECTION('',(0.,1.,0.)); #462822=DIRECTION('',(0.,0.,1.)); #462823=DIRECTION('center_axis',(0.,1.,0.)); #462824=DIRECTION('ref_axis',(-1.,0.,0.)); #462825=DIRECTION('',(-1.,0.,0.)); #462826=DIRECTION('',(0.,0.,1.)); #462827=DIRECTION('',(-1.,0.,0.)); #462828=DIRECTION('center_axis',(0.,0.,-1.)); #462829=DIRECTION('ref_axis',(0.,1.,0.)); #462830=DIRECTION('center_axis',(0.,0.,-1.)); #462831=DIRECTION('ref_axis',(0.,1.,0.)); #462832=DIRECTION('',(0.,0.,1.)); #462833=DIRECTION('center_axis',(0.,0.,-1.)); #462834=DIRECTION('ref_axis',(0.,1.,0.)); #462835=DIRECTION('center_axis',(-1.,0.,0.)); #462836=DIRECTION('ref_axis',(0.,-1.,0.)); #462837=DIRECTION('',(0.,-1.,0.)); #462838=DIRECTION('',(0.,0.,1.)); #462839=DIRECTION('',(0.,-1.,0.)); #462840=DIRECTION('center_axis',(0.,0.,-1.)); #462841=DIRECTION('ref_axis',(0.,1.,0.)); #462842=DIRECTION('center_axis',(0.,0.,-1.)); #462843=DIRECTION('ref_axis',(0.,1.,0.)); #462844=DIRECTION('',(0.,0.,1.)); #462845=DIRECTION('center_axis',(0.,0.,-1.)); #462846=DIRECTION('ref_axis',(0.,1.,0.)); #462847=DIRECTION('center_axis',(0.,-1.,0.)); #462848=DIRECTION('ref_axis',(1.,0.,0.)); #462849=DIRECTION('',(1.,0.,0.)); #462850=DIRECTION('',(1.,0.,0.)); #462851=DIRECTION('center_axis',(0.,0.,-1.)); #462852=DIRECTION('ref_axis',(-1.,0.,0.)); #462853=DIRECTION('center_axis',(0.,0.,-1.)); #462854=DIRECTION('ref_axis',(-1.,0.,0.)); #462855=DIRECTION('center_axis',(1.,0.,0.)); #462856=DIRECTION('ref_axis',(0.,1.,0.)); #462857=DIRECTION('',(0.,-1.,0.)); #462858=DIRECTION('',(0.,0.,1.)); #462859=DIRECTION('',(0.,1.,0.)); #462860=DIRECTION('',(0.,0.,1.)); #462861=DIRECTION('center_axis',(0.,-1.,0.)); #462862=DIRECTION('ref_axis',(1.,0.,0.)); #462863=DIRECTION('',(1.,0.,0.)); #462864=DIRECTION('',(1.,0.,0.)); #462865=DIRECTION('',(0.,0.,1.)); #462866=DIRECTION('center_axis',(0.,0.,1.)); #462867=DIRECTION('ref_axis',(0.,1.,0.)); #462868=DIRECTION('center_axis',(0.,0.,1.)); #462869=DIRECTION('ref_axis',(0.,1.,0.)); #462870=DIRECTION('center_axis',(0.,0.,1.)); #462871=DIRECTION('ref_axis',(0.,1.,0.)); #462872=DIRECTION('',(0.,0.,1.)); #462873=DIRECTION('center_axis',(-1.,0.,0.)); #462874=DIRECTION('ref_axis',(0.,-1.,0.)); #462875=DIRECTION('',(0.,-1.,0.)); #462876=DIRECTION('',(0.,-1.,0.)); #462877=DIRECTION('',(0.,0.,1.)); #462878=DIRECTION('center_axis',(0.,0.,1.)); #462879=DIRECTION('ref_axis',(0.,1.,0.)); #462880=DIRECTION('center_axis',(0.,0.,1.)); #462881=DIRECTION('ref_axis',(0.,1.,0.)); #462882=DIRECTION('center_axis',(0.,0.,1.)); #462883=DIRECTION('ref_axis',(0.,1.,0.)); #462884=DIRECTION('',(0.,0.,1.)); #462885=DIRECTION('center_axis',(0.,1.,0.)); #462886=DIRECTION('ref_axis',(-1.,0.,0.)); #462887=DIRECTION('',(-1.,0.,0.)); #462888=DIRECTION('',(-1.,0.,0.)); #462889=DIRECTION('center_axis',(0.,0.,1.)); #462890=DIRECTION('ref_axis',(1.,0.,0.)); #462891=DIRECTION('center_axis',(0.,0.,1.)); #462892=DIRECTION('ref_axis',(1.,0.,0.)); #462893=DIRECTION('center_axis',(1.,0.,0.)); #462894=DIRECTION('ref_axis',(0.,1.,0.)); #462895=DIRECTION('',(0.,-1.,0.)); #462896=DIRECTION('',(0.,0.,1.)); #462897=DIRECTION('',(0.,1.,0.)); #462898=DIRECTION('',(0.,0.,1.)); #462899=DIRECTION('center_axis',(0.,-1.,0.)); #462900=DIRECTION('ref_axis',(1.,0.,0.)); #462901=DIRECTION('',(1.,0.,0.)); #462902=DIRECTION('',(1.,0.,0.)); #462903=DIRECTION('',(0.,0.,1.)); #462904=DIRECTION('center_axis',(0.,0.,1.)); #462905=DIRECTION('ref_axis',(0.,1.,0.)); #462906=DIRECTION('center_axis',(0.,0.,1.)); #462907=DIRECTION('ref_axis',(0.,1.,0.)); #462908=DIRECTION('center_axis',(0.,0.,1.)); #462909=DIRECTION('ref_axis',(0.,1.,0.)); #462910=DIRECTION('',(0.,0.,1.)); #462911=DIRECTION('center_axis',(-1.,0.,0.)); #462912=DIRECTION('ref_axis',(0.,-1.,0.)); #462913=DIRECTION('',(0.,-1.,0.)); #462914=DIRECTION('',(0.,-1.,0.)); #462915=DIRECTION('',(0.,0.,1.)); #462916=DIRECTION('center_axis',(0.,0.,1.)); #462917=DIRECTION('ref_axis',(0.,1.,0.)); #462918=DIRECTION('center_axis',(0.,0.,1.)); #462919=DIRECTION('ref_axis',(0.,1.,0.)); #462920=DIRECTION('center_axis',(0.,0.,1.)); #462921=DIRECTION('ref_axis',(0.,1.,0.)); #462922=DIRECTION('',(0.,0.,1.)); #462923=DIRECTION('center_axis',(0.,1.,0.)); #462924=DIRECTION('ref_axis',(-1.,0.,0.)); #462925=DIRECTION('',(-1.,0.,0.)); #462926=DIRECTION('',(-1.,0.,0.)); #462927=DIRECTION('center_axis',(0.,0.,1.)); #462928=DIRECTION('ref_axis',(1.,0.,0.)); #462929=DIRECTION('center_axis',(0.,0.,1.)); #462930=DIRECTION('ref_axis',(1.,0.,0.)); #462931=DIRECTION('center_axis',(1.,0.,0.)); #462932=DIRECTION('ref_axis',(0.,1.,0.)); #462933=DIRECTION('',(0.,-1.,0.)); #462934=DIRECTION('',(0.,0.,1.)); #462935=DIRECTION('',(0.,1.,0.)); #462936=DIRECTION('',(0.,0.,1.)); #462937=DIRECTION('center_axis',(0.,-1.,0.)); #462938=DIRECTION('ref_axis',(1.,0.,0.)); #462939=DIRECTION('',(1.,0.,0.)); #462940=DIRECTION('',(1.,0.,0.)); #462941=DIRECTION('',(0.,0.,1.)); #462942=DIRECTION('center_axis',(0.,0.,1.)); #462943=DIRECTION('ref_axis',(0.,1.,0.)); #462944=DIRECTION('center_axis',(0.,0.,1.)); #462945=DIRECTION('ref_axis',(0.,1.,0.)); #462946=DIRECTION('center_axis',(0.,0.,1.)); #462947=DIRECTION('ref_axis',(0.,1.,0.)); #462948=DIRECTION('',(0.,0.,1.)); #462949=DIRECTION('center_axis',(-1.,0.,0.)); #462950=DIRECTION('ref_axis',(0.,-1.,0.)); #462951=DIRECTION('',(0.,-1.,0.)); #462952=DIRECTION('',(0.,-1.,0.)); #462953=DIRECTION('',(0.,0.,1.)); #462954=DIRECTION('center_axis',(0.,0.,1.)); #462955=DIRECTION('ref_axis',(0.,1.,0.)); #462956=DIRECTION('center_axis',(0.,0.,1.)); #462957=DIRECTION('ref_axis',(0.,1.,0.)); #462958=DIRECTION('center_axis',(0.,0.,1.)); #462959=DIRECTION('ref_axis',(0.,1.,0.)); #462960=DIRECTION('',(0.,0.,1.)); #462961=DIRECTION('center_axis',(0.,1.,0.)); #462962=DIRECTION('ref_axis',(-1.,0.,0.)); #462963=DIRECTION('',(-1.,0.,0.)); #462964=DIRECTION('',(-1.,0.,0.)); #462965=DIRECTION('center_axis',(0.,0.,1.)); #462966=DIRECTION('ref_axis',(1.,0.,0.)); #462967=DIRECTION('center_axis',(0.,0.,1.)); #462968=DIRECTION('ref_axis',(1.,0.,0.)); #462969=DIRECTION('center_axis',(1.,0.,0.)); #462970=DIRECTION('ref_axis',(0.,1.,0.)); #462971=DIRECTION('',(0.,-1.,0.)); #462972=DIRECTION('',(0.,0.,1.)); #462973=DIRECTION('',(0.,1.,0.)); #462974=DIRECTION('',(0.,0.,1.)); #462975=DIRECTION('center_axis',(0.,1.,0.)); #462976=DIRECTION('ref_axis',(-1.,0.,0.)); #462977=DIRECTION('',(-1.,0.,0.)); #462978=DIRECTION('',(0.,0.,1.)); #462979=DIRECTION('',(-1.,0.,0.)); #462980=DIRECTION('center_axis',(0.,0.,-1.)); #462981=DIRECTION('ref_axis',(0.,1.,0.)); #462982=DIRECTION('center_axis',(0.,0.,-1.)); #462983=DIRECTION('ref_axis',(0.,1.,0.)); #462984=DIRECTION('',(0.,0.,1.)); #462985=DIRECTION('center_axis',(0.,0.,-1.)); #462986=DIRECTION('ref_axis',(0.,1.,0.)); #462987=DIRECTION('center_axis',(-1.,0.,0.)); #462988=DIRECTION('ref_axis',(0.,-1.,0.)); #462989=DIRECTION('',(0.,-1.,0.)); #462990=DIRECTION('',(0.,0.,1.)); #462991=DIRECTION('',(0.,-1.,0.)); #462992=DIRECTION('center_axis',(0.,0.,-1.)); #462993=DIRECTION('ref_axis',(0.,1.,0.)); #462994=DIRECTION('center_axis',(0.,0.,-1.)); #462995=DIRECTION('ref_axis',(0.,1.,0.)); #462996=DIRECTION('',(0.,0.,1.)); #462997=DIRECTION('center_axis',(0.,0.,-1.)); #462998=DIRECTION('ref_axis',(0.,1.,0.)); #462999=DIRECTION('center_axis',(0.,-1.,0.)); #463000=DIRECTION('ref_axis',(1.,0.,0.)); #463001=DIRECTION('',(1.,0.,0.)); #463002=DIRECTION('',(1.,0.,0.)); #463003=DIRECTION('center_axis',(0.,0.,-1.)); #463004=DIRECTION('ref_axis',(-1.,0.,0.)); #463005=DIRECTION('center_axis',(0.,0.,-1.)); #463006=DIRECTION('ref_axis',(-1.,0.,0.)); #463007=DIRECTION('center_axis',(1.,0.,0.)); #463008=DIRECTION('ref_axis',(0.,1.,0.)); #463009=DIRECTION('',(0.,-1.,0.)); #463010=DIRECTION('',(0.,0.,1.)); #463011=DIRECTION('',(0.,1.,0.)); #463012=DIRECTION('',(0.,0.,1.)); #463013=DIRECTION('center_axis',(0.,1.,0.)); #463014=DIRECTION('ref_axis',(-1.,0.,0.)); #463015=DIRECTION('',(-1.,0.,0.)); #463016=DIRECTION('',(0.,0.,1.)); #463017=DIRECTION('',(-1.,0.,0.)); #463018=DIRECTION('center_axis',(0.,0.,-1.)); #463019=DIRECTION('ref_axis',(0.,1.,0.)); #463020=DIRECTION('center_axis',(0.,0.,-1.)); #463021=DIRECTION('ref_axis',(0.,1.,0.)); #463022=DIRECTION('',(0.,0.,1.)); #463023=DIRECTION('center_axis',(0.,0.,-1.)); #463024=DIRECTION('ref_axis',(0.,1.,0.)); #463025=DIRECTION('center_axis',(-1.,0.,0.)); #463026=DIRECTION('ref_axis',(0.,-1.,0.)); #463027=DIRECTION('',(0.,-1.,0.)); #463028=DIRECTION('',(0.,0.,1.)); #463029=DIRECTION('',(0.,-1.,0.)); #463030=DIRECTION('center_axis',(0.,0.,-1.)); #463031=DIRECTION('ref_axis',(0.,1.,0.)); #463032=DIRECTION('center_axis',(0.,0.,-1.)); #463033=DIRECTION('ref_axis',(0.,1.,0.)); #463034=DIRECTION('',(0.,0.,1.)); #463035=DIRECTION('center_axis',(0.,0.,-1.)); #463036=DIRECTION('ref_axis',(0.,1.,0.)); #463037=DIRECTION('center_axis',(0.,-1.,0.)); #463038=DIRECTION('ref_axis',(1.,0.,0.)); #463039=DIRECTION('',(1.,0.,0.)); #463040=DIRECTION('',(1.,0.,0.)); #463041=DIRECTION('center_axis',(0.,0.,-1.)); #463042=DIRECTION('ref_axis',(-1.,0.,0.)); #463043=DIRECTION('center_axis',(0.,0.,-1.)); #463044=DIRECTION('ref_axis',(-1.,0.,0.)); #463045=DIRECTION('center_axis',(1.,0.,0.)); #463046=DIRECTION('ref_axis',(0.,1.,0.)); #463047=DIRECTION('',(0.,-1.,0.)); #463048=DIRECTION('',(0.,0.,1.)); #463049=DIRECTION('',(0.,1.,0.)); #463050=DIRECTION('',(0.,0.,1.)); #463051=DIRECTION('center_axis',(0.,1.,0.)); #463052=DIRECTION('ref_axis',(-1.,0.,0.)); #463053=DIRECTION('',(-1.,0.,0.)); #463054=DIRECTION('',(0.,0.,1.)); #463055=DIRECTION('',(-1.,0.,0.)); #463056=DIRECTION('center_axis',(0.,0.,-1.)); #463057=DIRECTION('ref_axis',(0.,1.,0.)); #463058=DIRECTION('center_axis',(0.,0.,-1.)); #463059=DIRECTION('ref_axis',(0.,1.,0.)); #463060=DIRECTION('',(0.,0.,1.)); #463061=DIRECTION('center_axis',(0.,0.,-1.)); #463062=DIRECTION('ref_axis',(0.,1.,0.)); #463063=DIRECTION('center_axis',(-1.,0.,0.)); #463064=DIRECTION('ref_axis',(0.,-1.,0.)); #463065=DIRECTION('',(0.,-1.,0.)); #463066=DIRECTION('',(0.,0.,1.)); #463067=DIRECTION('',(0.,-1.,0.)); #463068=DIRECTION('center_axis',(0.,0.,-1.)); #463069=DIRECTION('ref_axis',(0.,1.,0.)); #463070=DIRECTION('center_axis',(0.,0.,-1.)); #463071=DIRECTION('ref_axis',(0.,1.,0.)); #463072=DIRECTION('',(0.,0.,1.)); #463073=DIRECTION('center_axis',(0.,0.,-1.)); #463074=DIRECTION('ref_axis',(0.,1.,0.)); #463075=DIRECTION('center_axis',(0.,-1.,0.)); #463076=DIRECTION('ref_axis',(1.,0.,0.)); #463077=DIRECTION('',(1.,0.,0.)); #463078=DIRECTION('',(1.,0.,0.)); #463079=DIRECTION('center_axis',(0.,0.,-1.)); #463080=DIRECTION('ref_axis',(-1.,0.,0.)); #463081=DIRECTION('center_axis',(0.,0.,-1.)); #463082=DIRECTION('ref_axis',(-1.,0.,0.)); #463083=DIRECTION('center_axis',(0.,-1.,0.)); #463084=DIRECTION('ref_axis',(1.,0.,0.)); #463085=DIRECTION('',(1.,0.,0.)); #463086=DIRECTION('',(0.,0.,1.)); #463087=DIRECTION('',(1.,0.,0.)); #463088=DIRECTION('',(0.,0.,1.)); #463089=DIRECTION('center_axis',(1.,0.,0.)); #463090=DIRECTION('ref_axis',(0.,1.,0.)); #463091=DIRECTION('',(0.,1.,0.)); #463092=DIRECTION('',(0.,0.,1.)); #463093=DIRECTION('',(0.,1.,0.)); #463094=DIRECTION('center_axis',(0.,1.,0.)); #463095=DIRECTION('ref_axis',(-1.,0.,0.)); #463096=DIRECTION('',(-1.,0.,0.)); #463097=DIRECTION('',(0.,0.,1.)); #463098=DIRECTION('',(-1.,0.,0.)); #463099=DIRECTION('center_axis',(-1.,0.,0.)); #463100=DIRECTION('ref_axis',(0.,-1.,0.)); #463101=DIRECTION('',(0.,-1.,0.)); #463102=DIRECTION('',(0.,-1.,0.)); #463103=DIRECTION('center_axis',(0.,0.,-1.)); #463104=DIRECTION('ref_axis',(-1.,0.,0.)); #463105=DIRECTION('center_axis',(0.,0.,-1.)); #463106=DIRECTION('ref_axis',(-1.,0.,0.)); #463107=DIRECTION('center_axis',(0.,1.,0.)); #463108=DIRECTION('ref_axis',(-1.,0.,0.)); #463109=DIRECTION('',(-1.,0.,0.)); #463110=DIRECTION('',(0.,0.,1.)); #463111=DIRECTION('',(-1.,0.,0.)); #463112=DIRECTION('',(0.,0.,1.)); #463113=DIRECTION('center_axis',(1.,0.,0.)); #463114=DIRECTION('ref_axis',(0.,1.,0.)); #463115=DIRECTION('',(0.,1.,0.)); #463116=DIRECTION('',(0.,1.,0.)); #463117=DIRECTION('',(0.,0.,1.)); #463118=DIRECTION('center_axis',(0.,-1.,0.)); #463119=DIRECTION('ref_axis',(1.,0.,0.)); #463120=DIRECTION('',(1.,0.,0.)); #463121=DIRECTION('',(1.,0.,0.)); #463122=DIRECTION('',(0.,0.,1.)); #463123=DIRECTION('center_axis',(-1.,0.,0.)); #463124=DIRECTION('ref_axis',(0.,-1.,0.)); #463125=DIRECTION('',(0.,-1.,0.)); #463126=DIRECTION('',(0.,-1.,0.)); #463127=DIRECTION('center_axis',(0.,0.,1.)); #463128=DIRECTION('ref_axis',(1.,0.,0.)); #463129=DIRECTION('center_axis',(0.,0.,1.)); #463130=DIRECTION('ref_axis',(1.,0.,0.)); #463131=DIRECTION('center_axis',(0.,1.,0.)); #463132=DIRECTION('ref_axis',(-1.,0.,0.)); #463133=DIRECTION('',(-1.,0.,0.)); #463134=DIRECTION('',(0.,0.,1.)); #463135=DIRECTION('',(-1.,0.,0.)); #463136=DIRECTION('',(0.,0.,1.)); #463137=DIRECTION('center_axis',(1.,0.,0.)); #463138=DIRECTION('ref_axis',(0.,1.,0.)); #463139=DIRECTION('',(0.,1.,0.)); #463140=DIRECTION('',(0.,1.,0.)); #463141=DIRECTION('',(0.,0.,1.)); #463142=DIRECTION('center_axis',(0.,-1.,0.)); #463143=DIRECTION('ref_axis',(1.,0.,0.)); #463144=DIRECTION('',(1.,0.,0.)); #463145=DIRECTION('',(1.,0.,0.)); #463146=DIRECTION('',(0.,0.,1.)); #463147=DIRECTION('center_axis',(-1.,0.,0.)); #463148=DIRECTION('ref_axis',(0.,-1.,0.)); #463149=DIRECTION('',(0.,-1.,0.)); #463150=DIRECTION('',(0.,-1.,0.)); #463151=DIRECTION('center_axis',(0.,0.,1.)); #463152=DIRECTION('ref_axis',(1.,0.,0.)); #463153=DIRECTION('center_axis',(0.,0.,1.)); #463154=DIRECTION('ref_axis',(1.,0.,0.)); #463155=DIRECTION('center_axis',(0.,1.,0.)); #463156=DIRECTION('ref_axis',(-1.,0.,0.)); #463157=DIRECTION('',(-1.,0.,0.)); #463158=DIRECTION('',(0.,0.,1.)); #463159=DIRECTION('',(-1.,0.,0.)); #463160=DIRECTION('',(0.,0.,1.)); #463161=DIRECTION('center_axis',(1.,0.,0.)); #463162=DIRECTION('ref_axis',(0.,1.,0.)); #463163=DIRECTION('',(0.,1.,0.)); #463164=DIRECTION('',(0.,1.,0.)); #463165=DIRECTION('',(0.,0.,1.)); #463166=DIRECTION('center_axis',(0.,-1.,0.)); #463167=DIRECTION('ref_axis',(1.,0.,0.)); #463168=DIRECTION('',(1.,0.,0.)); #463169=DIRECTION('',(1.,0.,0.)); #463170=DIRECTION('',(0.,0.,1.)); #463171=DIRECTION('center_axis',(-1.,0.,0.)); #463172=DIRECTION('ref_axis',(0.,-1.,0.)); #463173=DIRECTION('',(0.,-1.,0.)); #463174=DIRECTION('',(0.,-1.,0.)); #463175=DIRECTION('center_axis',(0.,0.,1.)); #463176=DIRECTION('ref_axis',(1.,0.,0.)); #463177=DIRECTION('center_axis',(0.,0.,1.)); #463178=DIRECTION('ref_axis',(1.,0.,0.)); #463179=DIRECTION('center_axis',(0.,-1.,0.)); #463180=DIRECTION('ref_axis',(1.,0.,0.)); #463181=DIRECTION('',(1.,0.,0.)); #463182=DIRECTION('',(0.,0.,1.)); #463183=DIRECTION('',(1.,0.,0.)); #463184=DIRECTION('',(0.,0.,1.)); #463185=DIRECTION('center_axis',(1.,0.,0.)); #463186=DIRECTION('ref_axis',(0.,1.,0.)); #463187=DIRECTION('',(0.,1.,0.)); #463188=DIRECTION('',(0.,0.,1.)); #463189=DIRECTION('',(0.,1.,0.)); #463190=DIRECTION('center_axis',(0.,1.,0.)); #463191=DIRECTION('ref_axis',(-1.,0.,0.)); #463192=DIRECTION('',(-1.,0.,0.)); #463193=DIRECTION('',(0.,0.,1.)); #463194=DIRECTION('',(-1.,0.,0.)); #463195=DIRECTION('center_axis',(-1.,0.,0.)); #463196=DIRECTION('ref_axis',(0.,-1.,0.)); #463197=DIRECTION('',(0.,-1.,0.)); #463198=DIRECTION('',(0.,-1.,0.)); #463199=DIRECTION('center_axis',(0.,0.,-1.)); #463200=DIRECTION('ref_axis',(-1.,0.,0.)); #463201=DIRECTION('center_axis',(0.,0.,-1.)); #463202=DIRECTION('ref_axis',(-1.,0.,0.)); #463203=DIRECTION('center_axis',(0.,-1.,0.)); #463204=DIRECTION('ref_axis',(1.,0.,0.)); #463205=DIRECTION('',(1.,0.,0.)); #463206=DIRECTION('',(0.,0.,1.)); #463207=DIRECTION('',(1.,0.,0.)); #463208=DIRECTION('',(0.,0.,1.)); #463209=DIRECTION('center_axis',(1.,0.,0.)); #463210=DIRECTION('ref_axis',(0.,1.,0.)); #463211=DIRECTION('',(0.,1.,0.)); #463212=DIRECTION('',(0.,0.,1.)); #463213=DIRECTION('',(0.,1.,0.)); #463214=DIRECTION('center_axis',(0.,1.,0.)); #463215=DIRECTION('ref_axis',(-1.,0.,0.)); #463216=DIRECTION('',(-1.,0.,0.)); #463217=DIRECTION('',(0.,0.,1.)); #463218=DIRECTION('',(-1.,0.,0.)); #463219=DIRECTION('center_axis',(-1.,0.,0.)); #463220=DIRECTION('ref_axis',(0.,-1.,0.)); #463221=DIRECTION('',(0.,-1.,0.)); #463222=DIRECTION('',(0.,-1.,0.)); #463223=DIRECTION('center_axis',(0.,0.,-1.)); #463224=DIRECTION('ref_axis',(-1.,0.,0.)); #463225=DIRECTION('center_axis',(0.,0.,-1.)); #463226=DIRECTION('ref_axis',(-1.,0.,0.)); #463227=DIRECTION('center_axis',(0.,-1.,0.)); #463228=DIRECTION('ref_axis',(1.,0.,0.)); #463229=DIRECTION('',(1.,0.,0.)); #463230=DIRECTION('',(0.,0.,1.)); #463231=DIRECTION('',(1.,0.,0.)); #463232=DIRECTION('',(0.,0.,1.)); #463233=DIRECTION('center_axis',(1.,0.,0.)); #463234=DIRECTION('ref_axis',(0.,1.,0.)); #463235=DIRECTION('',(0.,1.,0.)); #463236=DIRECTION('',(0.,0.,1.)); #463237=DIRECTION('',(0.,1.,0.)); #463238=DIRECTION('center_axis',(0.,1.,0.)); #463239=DIRECTION('ref_axis',(-1.,0.,0.)); #463240=DIRECTION('',(-1.,0.,0.)); #463241=DIRECTION('',(0.,0.,1.)); #463242=DIRECTION('',(-1.,0.,0.)); #463243=DIRECTION('center_axis',(-1.,0.,0.)); #463244=DIRECTION('ref_axis',(0.,-1.,0.)); #463245=DIRECTION('',(0.,-1.,0.)); #463246=DIRECTION('',(0.,-1.,0.)); #463247=DIRECTION('center_axis',(0.,0.,-1.)); #463248=DIRECTION('ref_axis',(-1.,0.,0.)); #463249=DIRECTION('center_axis',(0.,0.,-1.)); #463250=DIRECTION('ref_axis',(-1.,0.,0.)); #463251=DIRECTION('center_axis',(2.22044604925031E-16,-1.,0.)); #463252=DIRECTION('ref_axis',(1.,2.22044604925031E-16,0.)); #463253=DIRECTION('',(1.,2.22044604925031E-16,0.)); #463254=DIRECTION('',(0.,0.,1.)); #463255=DIRECTION('',(1.,2.22044604925031E-16,0.)); #463256=DIRECTION('',(0.,0.,1.)); #463257=DIRECTION('center_axis',(-1.,0.,0.)); #463258=DIRECTION('ref_axis',(0.,-1.,0.)); #463259=DIRECTION('',(0.,-1.,0.)); #463260=DIRECTION('',(0.,-1.,0.)); #463261=DIRECTION('',(0.,0.,1.)); #463262=DIRECTION('center_axis',(-1.11022302462516E-16,1.,0.)); #463263=DIRECTION('ref_axis',(-1.,-1.11022302462516E-16,0.)); #463264=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #463265=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #463266=DIRECTION('',(0.,0.,1.)); #463267=DIRECTION('center_axis',(1.,2.95272081017329E-17,0.)); #463268=DIRECTION('ref_axis',(-2.95272081017329E-17,1.,0.)); #463269=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #463270=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #463271=DIRECTION('center_axis',(0.,0.,1.)); #463272=DIRECTION('ref_axis',(1.,0.,0.)); #463273=DIRECTION('center_axis',(0.,0.,1.)); #463274=DIRECTION('ref_axis',(1.,0.,0.)); #463275=DIRECTION('',(0.,0.,1.)); #463276=DIRECTION('',(1.,0.,0.)); #463277=DIRECTION('axis',(0.,0.,1.)); #463278=DIRECTION('refdir',(1.,0.,0.)); #463279=DIRECTION('axis',(0.,0.,1.)); #463280=DIRECTION('refdir',(1.,0.,0.)); #463281=DIRECTION('center_axis',(0.,0.,-1.)); #463282=DIRECTION('ref_axis',(1.,0.,0.)); #463283=DIRECTION('center_axis',(0.,0.,-1.)); #463284=DIRECTION('ref_axis',(1.,0.,0.)); #463285=DIRECTION('',(0.,0.,-1.)); #463286=DIRECTION('center_axis',(0.,0.,-1.)); #463287=DIRECTION('ref_axis',(1.,0.,0.)); #463288=DIRECTION('center_axis',(0.,0.,1.)); #463289=DIRECTION('ref_axis',(1.,0.,0.)); #463290=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #463291=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #463292=DIRECTION('',(0.,-1.,0.)); #463293=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #463294=DIRECTION('',(0.,1.,0.)); #463295=DIRECTION('',(-0.577350269189624,-0.577350269189627,0.577350269189627)); #463296=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #463297=DIRECTION('ref_axis',(1.,0.,0.)); #463298=DIRECTION('',(1.,0.,0.)); #463299=DIRECTION('',(-1.,0.,0.)); #463300=DIRECTION('',(0.577350269189624,0.577350269189627,0.577350269189627)); #463301=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #463302=DIRECTION('ref_axis',(1.,0.,0.)); #463303=DIRECTION('',(1.,0.,0.)); #463304=DIRECTION('',(-1.,0.,0.)); #463305=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #463306=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #463307=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #463308=DIRECTION('',(0.,1.,0.)); #463309=DIRECTION('',(0.,-1.,0.)); #463310=DIRECTION('center_axis',(0.,1.,0.)); #463311=DIRECTION('ref_axis',(1.,0.,0.)); #463312=DIRECTION('',(0.,0.,-1.)); #463313=DIRECTION('',(1.,0.,0.)); #463314=DIRECTION('',(0.,0.,-1.)); #463315=DIRECTION('center_axis',(1.,0.,0.)); #463316=DIRECTION('ref_axis',(0.,-1.,0.)); #463317=DIRECTION('',(0.,0.,-1.)); #463318=DIRECTION('',(0.,-1.,0.)); #463319=DIRECTION('center_axis',(0.,0.,1.)); #463320=DIRECTION('ref_axis',(1.,0.,0.)); #463321=DIRECTION('center_axis',(-1.,0.,0.)); #463322=DIRECTION('ref_axis',(0.,1.,0.)); #463323=DIRECTION('',(0.,1.,0.)); #463324=DIRECTION('',(0.,0.,-1.)); #463325=DIRECTION('center_axis',(0.,-1.,0.)); #463326=DIRECTION('ref_axis',(-1.,0.,0.)); #463327=DIRECTION('',(-1.,0.,0.)); #463328=DIRECTION('center_axis',(0.,0.,-1.)); #463329=DIRECTION('ref_axis',(-1.,0.,0.)); #463330=DIRECTION('center_axis',(0.,1.,0.)); #463331=DIRECTION('ref_axis',(-1.,0.,0.)); #463332=DIRECTION('',(-1.,0.,0.)); #463333=DIRECTION('',(0.,0.,1.)); #463334=DIRECTION('',(-1.,0.,0.)); #463335=DIRECTION('',(0.,0.,1.)); #463336=DIRECTION('center_axis',(1.,0.,0.)); #463337=DIRECTION('ref_axis',(0.,1.,0.)); #463338=DIRECTION('',(0.,1.,0.)); #463339=DIRECTION('',(0.,1.,0.)); #463340=DIRECTION('',(0.,0.,1.)); #463341=DIRECTION('center_axis',(0.,-1.,0.)); #463342=DIRECTION('ref_axis',(1.,0.,0.)); #463343=DIRECTION('',(1.,0.,0.)); #463344=DIRECTION('',(1.,0.,0.)); #463345=DIRECTION('',(0.,0.,1.)); #463346=DIRECTION('center_axis',(-1.,0.,0.)); #463347=DIRECTION('ref_axis',(0.,-1.,0.)); #463348=DIRECTION('',(0.,-1.,0.)); #463349=DIRECTION('',(0.,-1.,0.)); #463350=DIRECTION('center_axis',(0.,0.,1.)); #463351=DIRECTION('ref_axis',(1.,0.,0.)); #463352=DIRECTION('center_axis',(0.,0.,1.)); #463353=DIRECTION('ref_axis',(1.,0.,0.)); #463354=DIRECTION('center_axis',(1.,0.,0.)); #463355=DIRECTION('ref_axis',(0.,1.,0.)); #463356=DIRECTION('',(0.,-1.,0.)); #463357=DIRECTION('',(0.,0.,1.)); #463358=DIRECTION('',(0.,1.,0.)); #463359=DIRECTION('',(0.,0.,1.)); #463360=DIRECTION('center_axis',(0.,-1.,0.)); #463361=DIRECTION('ref_axis',(1.,0.,0.)); #463362=DIRECTION('',(1.,0.,0.)); #463363=DIRECTION('',(1.,0.,0.)); #463364=DIRECTION('',(0.,0.,1.)); #463365=DIRECTION('center_axis',(0.,0.,1.)); #463366=DIRECTION('ref_axis',(0.,1.,0.)); #463367=DIRECTION('center_axis',(0.,0.,1.)); #463368=DIRECTION('ref_axis',(0.,1.,0.)); #463369=DIRECTION('center_axis',(0.,0.,1.)); #463370=DIRECTION('ref_axis',(0.,1.,0.)); #463371=DIRECTION('',(0.,0.,1.)); #463372=DIRECTION('center_axis',(-1.,0.,0.)); #463373=DIRECTION('ref_axis',(0.,-1.,0.)); #463374=DIRECTION('',(0.,-1.,0.)); #463375=DIRECTION('',(0.,-1.,0.)); #463376=DIRECTION('',(0.,0.,1.)); #463377=DIRECTION('center_axis',(0.,0.,1.)); #463378=DIRECTION('ref_axis',(0.,1.,0.)); #463379=DIRECTION('center_axis',(0.,0.,1.)); #463380=DIRECTION('ref_axis',(0.,1.,0.)); #463381=DIRECTION('center_axis',(0.,0.,1.)); #463382=DIRECTION('ref_axis',(0.,1.,0.)); #463383=DIRECTION('',(0.,0.,1.)); #463384=DIRECTION('center_axis',(0.,1.,0.)); #463385=DIRECTION('ref_axis',(-1.,0.,0.)); #463386=DIRECTION('',(-1.,0.,0.)); #463387=DIRECTION('',(-1.,0.,0.)); #463388=DIRECTION('center_axis',(0.,0.,1.)); #463389=DIRECTION('ref_axis',(1.,0.,0.)); #463390=DIRECTION('center_axis',(0.,0.,1.)); #463391=DIRECTION('ref_axis',(1.,0.,0.)); #463392=DIRECTION('center_axis',(1.,0.,0.)); #463393=DIRECTION('ref_axis',(0.,1.,0.)); #463394=DIRECTION('',(0.,-1.,0.)); #463395=DIRECTION('',(0.,0.,1.)); #463396=DIRECTION('',(0.,1.,0.)); #463397=DIRECTION('',(0.,0.,1.)); #463398=DIRECTION('center_axis',(0.,1.,0.)); #463399=DIRECTION('ref_axis',(-1.,0.,0.)); #463400=DIRECTION('',(-1.,0.,0.)); #463401=DIRECTION('',(0.,0.,1.)); #463402=DIRECTION('',(-1.,0.,0.)); #463403=DIRECTION('center_axis',(0.,0.,-1.)); #463404=DIRECTION('ref_axis',(0.,1.,0.)); #463405=DIRECTION('center_axis',(0.,0.,-1.)); #463406=DIRECTION('ref_axis',(0.,1.,0.)); #463407=DIRECTION('',(0.,0.,1.)); #463408=DIRECTION('center_axis',(0.,0.,-1.)); #463409=DIRECTION('ref_axis',(0.,1.,0.)); #463410=DIRECTION('center_axis',(-1.,0.,0.)); #463411=DIRECTION('ref_axis',(0.,-1.,0.)); #463412=DIRECTION('',(0.,-1.,0.)); #463413=DIRECTION('',(0.,0.,1.)); #463414=DIRECTION('',(0.,-1.,0.)); #463415=DIRECTION('center_axis',(0.,0.,-1.)); #463416=DIRECTION('ref_axis',(0.,1.,0.)); #463417=DIRECTION('center_axis',(0.,0.,-1.)); #463418=DIRECTION('ref_axis',(0.,1.,0.)); #463419=DIRECTION('',(0.,0.,1.)); #463420=DIRECTION('center_axis',(0.,0.,-1.)); #463421=DIRECTION('ref_axis',(0.,1.,0.)); #463422=DIRECTION('center_axis',(0.,-1.,0.)); #463423=DIRECTION('ref_axis',(1.,0.,0.)); #463424=DIRECTION('',(1.,0.,0.)); #463425=DIRECTION('',(1.,0.,0.)); #463426=DIRECTION('center_axis',(0.,0.,-1.)); #463427=DIRECTION('ref_axis',(-1.,0.,0.)); #463428=DIRECTION('center_axis',(0.,0.,-1.)); #463429=DIRECTION('ref_axis',(-1.,0.,0.)); #463430=DIRECTION('center_axis',(1.,0.,0.)); #463431=DIRECTION('ref_axis',(0.,1.,0.)); #463432=DIRECTION('',(0.,-1.,0.)); #463433=DIRECTION('',(0.,0.,1.)); #463434=DIRECTION('',(0.,1.,0.)); #463435=DIRECTION('',(0.,0.,1.)); #463436=DIRECTION('center_axis',(0.,-1.,0.)); #463437=DIRECTION('ref_axis',(1.,0.,0.)); #463438=DIRECTION('',(1.,0.,0.)); #463439=DIRECTION('',(1.,0.,0.)); #463440=DIRECTION('',(0.,0.,1.)); #463441=DIRECTION('center_axis',(0.,0.,1.)); #463442=DIRECTION('ref_axis',(0.,1.,0.)); #463443=DIRECTION('center_axis',(0.,0.,1.)); #463444=DIRECTION('ref_axis',(0.,1.,0.)); #463445=DIRECTION('center_axis',(0.,0.,1.)); #463446=DIRECTION('ref_axis',(0.,1.,0.)); #463447=DIRECTION('',(0.,0.,1.)); #463448=DIRECTION('center_axis',(-1.,0.,0.)); #463449=DIRECTION('ref_axis',(0.,-1.,0.)); #463450=DIRECTION('',(0.,-1.,0.)); #463451=DIRECTION('',(0.,-1.,0.)); #463452=DIRECTION('',(0.,0.,1.)); #463453=DIRECTION('center_axis',(0.,0.,1.)); #463454=DIRECTION('ref_axis',(0.,1.,0.)); #463455=DIRECTION('center_axis',(0.,0.,1.)); #463456=DIRECTION('ref_axis',(0.,1.,0.)); #463457=DIRECTION('center_axis',(0.,0.,1.)); #463458=DIRECTION('ref_axis',(0.,1.,0.)); #463459=DIRECTION('',(0.,0.,1.)); #463460=DIRECTION('center_axis',(0.,1.,0.)); #463461=DIRECTION('ref_axis',(-1.,0.,0.)); #463462=DIRECTION('',(-1.,0.,0.)); #463463=DIRECTION('',(-1.,0.,0.)); #463464=DIRECTION('center_axis',(0.,0.,1.)); #463465=DIRECTION('ref_axis',(1.,0.,0.)); #463466=DIRECTION('center_axis',(0.,0.,1.)); #463467=DIRECTION('ref_axis',(1.,0.,0.)); #463468=DIRECTION('center_axis',(1.,0.,0.)); #463469=DIRECTION('ref_axis',(0.,1.,0.)); #463470=DIRECTION('',(0.,-1.,0.)); #463471=DIRECTION('',(0.,0.,1.)); #463472=DIRECTION('',(0.,1.,0.)); #463473=DIRECTION('',(0.,0.,1.)); #463474=DIRECTION('center_axis',(0.,-1.,0.)); #463475=DIRECTION('ref_axis',(1.,0.,0.)); #463476=DIRECTION('',(1.,0.,0.)); #463477=DIRECTION('',(1.,0.,0.)); #463478=DIRECTION('',(0.,0.,1.)); #463479=DIRECTION('center_axis',(0.,0.,1.)); #463480=DIRECTION('ref_axis',(0.,1.,0.)); #463481=DIRECTION('center_axis',(0.,0.,1.)); #463482=DIRECTION('ref_axis',(0.,1.,0.)); #463483=DIRECTION('center_axis',(0.,0.,1.)); #463484=DIRECTION('ref_axis',(0.,1.,0.)); #463485=DIRECTION('',(0.,0.,1.)); #463486=DIRECTION('center_axis',(-1.,0.,0.)); #463487=DIRECTION('ref_axis',(0.,-1.,0.)); #463488=DIRECTION('',(0.,-1.,0.)); #463489=DIRECTION('',(0.,-1.,0.)); #463490=DIRECTION('',(0.,0.,1.)); #463491=DIRECTION('center_axis',(0.,0.,1.)); #463492=DIRECTION('ref_axis',(0.,1.,0.)); #463493=DIRECTION('center_axis',(0.,0.,1.)); #463494=DIRECTION('ref_axis',(0.,1.,0.)); #463495=DIRECTION('center_axis',(0.,0.,1.)); #463496=DIRECTION('ref_axis',(0.,1.,0.)); #463497=DIRECTION('',(0.,0.,1.)); #463498=DIRECTION('center_axis',(0.,1.,0.)); #463499=DIRECTION('ref_axis',(-1.,0.,0.)); #463500=DIRECTION('',(-1.,0.,0.)); #463501=DIRECTION('',(-1.,0.,0.)); #463502=DIRECTION('center_axis',(0.,0.,1.)); #463503=DIRECTION('ref_axis',(1.,0.,0.)); #463504=DIRECTION('center_axis',(0.,0.,1.)); #463505=DIRECTION('ref_axis',(1.,0.,0.)); #463506=DIRECTION('center_axis',(1.,0.,0.)); #463507=DIRECTION('ref_axis',(0.,1.,0.)); #463508=DIRECTION('',(0.,-1.,0.)); #463509=DIRECTION('',(0.,0.,1.)); #463510=DIRECTION('',(0.,1.,0.)); #463511=DIRECTION('',(0.,0.,1.)); #463512=DIRECTION('center_axis',(0.,-1.,0.)); #463513=DIRECTION('ref_axis',(1.,0.,0.)); #463514=DIRECTION('',(1.,0.,0.)); #463515=DIRECTION('',(1.,0.,0.)); #463516=DIRECTION('',(0.,0.,1.)); #463517=DIRECTION('center_axis',(0.,0.,1.)); #463518=DIRECTION('ref_axis',(0.,1.,0.)); #463519=DIRECTION('center_axis',(0.,0.,1.)); #463520=DIRECTION('ref_axis',(0.,1.,0.)); #463521=DIRECTION('center_axis',(0.,0.,1.)); #463522=DIRECTION('ref_axis',(0.,1.,0.)); #463523=DIRECTION('',(0.,0.,1.)); #463524=DIRECTION('center_axis',(-1.,0.,0.)); #463525=DIRECTION('ref_axis',(0.,-1.,0.)); #463526=DIRECTION('',(0.,-1.,0.)); #463527=DIRECTION('',(0.,-1.,0.)); #463528=DIRECTION('',(0.,0.,1.)); #463529=DIRECTION('center_axis',(0.,0.,1.)); #463530=DIRECTION('ref_axis',(0.,1.,0.)); #463531=DIRECTION('center_axis',(0.,0.,1.)); #463532=DIRECTION('ref_axis',(0.,1.,0.)); #463533=DIRECTION('center_axis',(0.,0.,1.)); #463534=DIRECTION('ref_axis',(0.,1.,0.)); #463535=DIRECTION('',(0.,0.,1.)); #463536=DIRECTION('center_axis',(0.,1.,0.)); #463537=DIRECTION('ref_axis',(-1.,0.,0.)); #463538=DIRECTION('',(-1.,0.,0.)); #463539=DIRECTION('',(-1.,0.,0.)); #463540=DIRECTION('center_axis',(0.,0.,1.)); #463541=DIRECTION('ref_axis',(1.,0.,0.)); #463542=DIRECTION('center_axis',(0.,0.,1.)); #463543=DIRECTION('ref_axis',(1.,0.,0.)); #463544=DIRECTION('center_axis',(1.,0.,0.)); #463545=DIRECTION('ref_axis',(0.,1.,0.)); #463546=DIRECTION('',(0.,-1.,0.)); #463547=DIRECTION('',(0.,0.,1.)); #463548=DIRECTION('',(0.,1.,0.)); #463549=DIRECTION('',(0.,0.,1.)); #463550=DIRECTION('center_axis',(0.,1.,0.)); #463551=DIRECTION('ref_axis',(-1.,0.,0.)); #463552=DIRECTION('',(-1.,0.,0.)); #463553=DIRECTION('',(0.,0.,1.)); #463554=DIRECTION('',(-1.,0.,0.)); #463555=DIRECTION('center_axis',(0.,0.,-1.)); #463556=DIRECTION('ref_axis',(0.,1.,0.)); #463557=DIRECTION('center_axis',(0.,0.,-1.)); #463558=DIRECTION('ref_axis',(0.,1.,0.)); #463559=DIRECTION('',(0.,0.,1.)); #463560=DIRECTION('center_axis',(0.,0.,-1.)); #463561=DIRECTION('ref_axis',(0.,1.,0.)); #463562=DIRECTION('center_axis',(-1.,0.,0.)); #463563=DIRECTION('ref_axis',(0.,-1.,0.)); #463564=DIRECTION('',(0.,-1.,0.)); #463565=DIRECTION('',(0.,0.,1.)); #463566=DIRECTION('',(0.,-1.,0.)); #463567=DIRECTION('center_axis',(0.,0.,-1.)); #463568=DIRECTION('ref_axis',(0.,1.,0.)); #463569=DIRECTION('center_axis',(0.,0.,-1.)); #463570=DIRECTION('ref_axis',(0.,1.,0.)); #463571=DIRECTION('',(0.,0.,1.)); #463572=DIRECTION('center_axis',(0.,0.,-1.)); #463573=DIRECTION('ref_axis',(0.,1.,0.)); #463574=DIRECTION('center_axis',(0.,-1.,0.)); #463575=DIRECTION('ref_axis',(1.,0.,0.)); #463576=DIRECTION('',(1.,0.,0.)); #463577=DIRECTION('',(1.,0.,0.)); #463578=DIRECTION('center_axis',(0.,0.,-1.)); #463579=DIRECTION('ref_axis',(-1.,0.,0.)); #463580=DIRECTION('center_axis',(0.,0.,-1.)); #463581=DIRECTION('ref_axis',(-1.,0.,0.)); #463582=DIRECTION('center_axis',(1.,0.,0.)); #463583=DIRECTION('ref_axis',(0.,1.,0.)); #463584=DIRECTION('',(0.,-1.,0.)); #463585=DIRECTION('',(0.,0.,1.)); #463586=DIRECTION('',(0.,1.,0.)); #463587=DIRECTION('',(0.,0.,1.)); #463588=DIRECTION('center_axis',(0.,1.,0.)); #463589=DIRECTION('ref_axis',(-1.,0.,0.)); #463590=DIRECTION('',(-1.,0.,0.)); #463591=DIRECTION('',(0.,0.,1.)); #463592=DIRECTION('',(-1.,0.,0.)); #463593=DIRECTION('center_axis',(0.,0.,-1.)); #463594=DIRECTION('ref_axis',(0.,1.,0.)); #463595=DIRECTION('center_axis',(0.,0.,-1.)); #463596=DIRECTION('ref_axis',(0.,1.,0.)); #463597=DIRECTION('',(0.,0.,1.)); #463598=DIRECTION('center_axis',(0.,0.,-1.)); #463599=DIRECTION('ref_axis',(0.,1.,0.)); #463600=DIRECTION('center_axis',(-1.,0.,0.)); #463601=DIRECTION('ref_axis',(0.,-1.,0.)); #463602=DIRECTION('',(0.,-1.,0.)); #463603=DIRECTION('',(0.,0.,1.)); #463604=DIRECTION('',(0.,-1.,0.)); #463605=DIRECTION('center_axis',(0.,0.,-1.)); #463606=DIRECTION('ref_axis',(0.,1.,0.)); #463607=DIRECTION('center_axis',(0.,0.,-1.)); #463608=DIRECTION('ref_axis',(0.,1.,0.)); #463609=DIRECTION('',(0.,0.,1.)); #463610=DIRECTION('center_axis',(0.,0.,-1.)); #463611=DIRECTION('ref_axis',(0.,1.,0.)); #463612=DIRECTION('center_axis',(0.,-1.,0.)); #463613=DIRECTION('ref_axis',(1.,0.,0.)); #463614=DIRECTION('',(1.,0.,0.)); #463615=DIRECTION('',(1.,0.,0.)); #463616=DIRECTION('center_axis',(0.,0.,-1.)); #463617=DIRECTION('ref_axis',(-1.,0.,0.)); #463618=DIRECTION('center_axis',(0.,0.,-1.)); #463619=DIRECTION('ref_axis',(-1.,0.,0.)); #463620=DIRECTION('center_axis',(1.,0.,0.)); #463621=DIRECTION('ref_axis',(0.,1.,0.)); #463622=DIRECTION('',(0.,-1.,0.)); #463623=DIRECTION('',(0.,0.,1.)); #463624=DIRECTION('',(0.,1.,0.)); #463625=DIRECTION('',(0.,0.,1.)); #463626=DIRECTION('center_axis',(0.,1.,0.)); #463627=DIRECTION('ref_axis',(-1.,0.,0.)); #463628=DIRECTION('',(-1.,0.,0.)); #463629=DIRECTION('',(0.,0.,1.)); #463630=DIRECTION('',(-1.,0.,0.)); #463631=DIRECTION('center_axis',(0.,0.,-1.)); #463632=DIRECTION('ref_axis',(0.,1.,0.)); #463633=DIRECTION('center_axis',(0.,0.,-1.)); #463634=DIRECTION('ref_axis',(0.,1.,0.)); #463635=DIRECTION('',(0.,0.,1.)); #463636=DIRECTION('center_axis',(0.,0.,-1.)); #463637=DIRECTION('ref_axis',(0.,1.,0.)); #463638=DIRECTION('center_axis',(-1.,0.,0.)); #463639=DIRECTION('ref_axis',(0.,-1.,0.)); #463640=DIRECTION('',(0.,-1.,0.)); #463641=DIRECTION('',(0.,0.,1.)); #463642=DIRECTION('',(0.,-1.,0.)); #463643=DIRECTION('center_axis',(0.,0.,-1.)); #463644=DIRECTION('ref_axis',(0.,1.,0.)); #463645=DIRECTION('center_axis',(0.,0.,-1.)); #463646=DIRECTION('ref_axis',(0.,1.,0.)); #463647=DIRECTION('',(0.,0.,1.)); #463648=DIRECTION('center_axis',(0.,0.,-1.)); #463649=DIRECTION('ref_axis',(0.,1.,0.)); #463650=DIRECTION('center_axis',(0.,-1.,0.)); #463651=DIRECTION('ref_axis',(1.,0.,0.)); #463652=DIRECTION('',(1.,0.,0.)); #463653=DIRECTION('',(1.,0.,0.)); #463654=DIRECTION('center_axis',(0.,0.,-1.)); #463655=DIRECTION('ref_axis',(-1.,0.,0.)); #463656=DIRECTION('center_axis',(0.,0.,-1.)); #463657=DIRECTION('ref_axis',(-1.,0.,0.)); #463658=DIRECTION('center_axis',(0.,-1.,0.)); #463659=DIRECTION('ref_axis',(1.,0.,0.)); #463660=DIRECTION('',(1.,0.,0.)); #463661=DIRECTION('',(0.,0.,1.)); #463662=DIRECTION('',(1.,0.,0.)); #463663=DIRECTION('',(0.,0.,1.)); #463664=DIRECTION('center_axis',(1.,0.,0.)); #463665=DIRECTION('ref_axis',(0.,1.,0.)); #463666=DIRECTION('',(0.,1.,0.)); #463667=DIRECTION('',(0.,0.,1.)); #463668=DIRECTION('',(0.,1.,0.)); #463669=DIRECTION('center_axis',(0.,1.,0.)); #463670=DIRECTION('ref_axis',(-1.,0.,0.)); #463671=DIRECTION('',(-1.,0.,0.)); #463672=DIRECTION('',(0.,0.,1.)); #463673=DIRECTION('',(-1.,0.,0.)); #463674=DIRECTION('center_axis',(-1.,0.,0.)); #463675=DIRECTION('ref_axis',(0.,-1.,0.)); #463676=DIRECTION('',(0.,-1.,0.)); #463677=DIRECTION('',(0.,-1.,0.)); #463678=DIRECTION('center_axis',(0.,0.,-1.)); #463679=DIRECTION('ref_axis',(-1.,0.,0.)); #463680=DIRECTION('center_axis',(0.,0.,-1.)); #463681=DIRECTION('ref_axis',(-1.,0.,0.)); #463682=DIRECTION('center_axis',(0.,1.,0.)); #463683=DIRECTION('ref_axis',(-1.,0.,0.)); #463684=DIRECTION('',(-1.,0.,0.)); #463685=DIRECTION('',(0.,0.,1.)); #463686=DIRECTION('',(-1.,0.,0.)); #463687=DIRECTION('',(0.,0.,1.)); #463688=DIRECTION('center_axis',(1.,0.,0.)); #463689=DIRECTION('ref_axis',(0.,1.,0.)); #463690=DIRECTION('',(0.,1.,0.)); #463691=DIRECTION('',(0.,1.,0.)); #463692=DIRECTION('',(0.,0.,1.)); #463693=DIRECTION('center_axis',(0.,-1.,0.)); #463694=DIRECTION('ref_axis',(1.,0.,0.)); #463695=DIRECTION('',(1.,0.,0.)); #463696=DIRECTION('',(1.,0.,0.)); #463697=DIRECTION('',(0.,0.,1.)); #463698=DIRECTION('center_axis',(-1.,0.,0.)); #463699=DIRECTION('ref_axis',(0.,-1.,0.)); #463700=DIRECTION('',(0.,-1.,0.)); #463701=DIRECTION('',(0.,-1.,0.)); #463702=DIRECTION('center_axis',(0.,0.,1.)); #463703=DIRECTION('ref_axis',(1.,0.,0.)); #463704=DIRECTION('center_axis',(0.,0.,1.)); #463705=DIRECTION('ref_axis',(1.,0.,0.)); #463706=DIRECTION('center_axis',(0.,1.,0.)); #463707=DIRECTION('ref_axis',(-1.,0.,0.)); #463708=DIRECTION('',(-1.,0.,0.)); #463709=DIRECTION('',(0.,0.,1.)); #463710=DIRECTION('',(-1.,0.,0.)); #463711=DIRECTION('',(0.,0.,1.)); #463712=DIRECTION('center_axis',(1.,0.,0.)); #463713=DIRECTION('ref_axis',(0.,1.,0.)); #463714=DIRECTION('',(0.,1.,0.)); #463715=DIRECTION('',(0.,1.,0.)); #463716=DIRECTION('',(0.,0.,1.)); #463717=DIRECTION('center_axis',(0.,-1.,0.)); #463718=DIRECTION('ref_axis',(1.,0.,0.)); #463719=DIRECTION('',(1.,0.,0.)); #463720=DIRECTION('',(1.,0.,0.)); #463721=DIRECTION('',(0.,0.,1.)); #463722=DIRECTION('center_axis',(-1.,0.,0.)); #463723=DIRECTION('ref_axis',(0.,-1.,0.)); #463724=DIRECTION('',(0.,-1.,0.)); #463725=DIRECTION('',(0.,-1.,0.)); #463726=DIRECTION('center_axis',(0.,0.,1.)); #463727=DIRECTION('ref_axis',(1.,0.,0.)); #463728=DIRECTION('center_axis',(0.,0.,1.)); #463729=DIRECTION('ref_axis',(1.,0.,0.)); #463730=DIRECTION('center_axis',(0.,1.,0.)); #463731=DIRECTION('ref_axis',(-1.,0.,0.)); #463732=DIRECTION('',(-1.,0.,0.)); #463733=DIRECTION('',(0.,0.,1.)); #463734=DIRECTION('',(-1.,0.,0.)); #463735=DIRECTION('',(0.,0.,1.)); #463736=DIRECTION('center_axis',(1.,0.,0.)); #463737=DIRECTION('ref_axis',(0.,1.,0.)); #463738=DIRECTION('',(0.,1.,0.)); #463739=DIRECTION('',(0.,1.,0.)); #463740=DIRECTION('',(0.,0.,1.)); #463741=DIRECTION('center_axis',(0.,-1.,0.)); #463742=DIRECTION('ref_axis',(1.,0.,0.)); #463743=DIRECTION('',(1.,0.,0.)); #463744=DIRECTION('',(1.,0.,0.)); #463745=DIRECTION('',(0.,0.,1.)); #463746=DIRECTION('center_axis',(-1.,0.,0.)); #463747=DIRECTION('ref_axis',(0.,-1.,0.)); #463748=DIRECTION('',(0.,-1.,0.)); #463749=DIRECTION('',(0.,-1.,0.)); #463750=DIRECTION('center_axis',(0.,0.,1.)); #463751=DIRECTION('ref_axis',(1.,0.,0.)); #463752=DIRECTION('center_axis',(0.,0.,1.)); #463753=DIRECTION('ref_axis',(1.,0.,0.)); #463754=DIRECTION('center_axis',(0.,-1.,0.)); #463755=DIRECTION('ref_axis',(1.,0.,0.)); #463756=DIRECTION('',(1.,0.,0.)); #463757=DIRECTION('',(0.,0.,1.)); #463758=DIRECTION('',(1.,0.,0.)); #463759=DIRECTION('',(0.,0.,1.)); #463760=DIRECTION('center_axis',(1.,0.,0.)); #463761=DIRECTION('ref_axis',(0.,1.,0.)); #463762=DIRECTION('',(0.,1.,0.)); #463763=DIRECTION('',(0.,0.,1.)); #463764=DIRECTION('',(0.,1.,0.)); #463765=DIRECTION('center_axis',(0.,1.,0.)); #463766=DIRECTION('ref_axis',(-1.,0.,0.)); #463767=DIRECTION('',(-1.,0.,0.)); #463768=DIRECTION('',(0.,0.,1.)); #463769=DIRECTION('',(-1.,0.,0.)); #463770=DIRECTION('center_axis',(-1.,0.,0.)); #463771=DIRECTION('ref_axis',(0.,-1.,0.)); #463772=DIRECTION('',(0.,-1.,0.)); #463773=DIRECTION('',(0.,-1.,0.)); #463774=DIRECTION('center_axis',(0.,0.,-1.)); #463775=DIRECTION('ref_axis',(-1.,0.,0.)); #463776=DIRECTION('center_axis',(0.,0.,-1.)); #463777=DIRECTION('ref_axis',(-1.,0.,0.)); #463778=DIRECTION('center_axis',(0.,-1.,0.)); #463779=DIRECTION('ref_axis',(1.,0.,0.)); #463780=DIRECTION('',(1.,0.,0.)); #463781=DIRECTION('',(0.,0.,1.)); #463782=DIRECTION('',(1.,0.,0.)); #463783=DIRECTION('',(0.,0.,1.)); #463784=DIRECTION('center_axis',(1.,0.,0.)); #463785=DIRECTION('ref_axis',(0.,1.,0.)); #463786=DIRECTION('',(0.,1.,0.)); #463787=DIRECTION('',(0.,0.,1.)); #463788=DIRECTION('',(0.,1.,0.)); #463789=DIRECTION('center_axis',(0.,1.,0.)); #463790=DIRECTION('ref_axis',(-1.,0.,0.)); #463791=DIRECTION('',(-1.,0.,0.)); #463792=DIRECTION('',(0.,0.,1.)); #463793=DIRECTION('',(-1.,0.,0.)); #463794=DIRECTION('center_axis',(-1.,0.,0.)); #463795=DIRECTION('ref_axis',(0.,-1.,0.)); #463796=DIRECTION('',(0.,-1.,0.)); #463797=DIRECTION('',(0.,-1.,0.)); #463798=DIRECTION('center_axis',(0.,0.,-1.)); #463799=DIRECTION('ref_axis',(-1.,0.,0.)); #463800=DIRECTION('center_axis',(0.,0.,-1.)); #463801=DIRECTION('ref_axis',(-1.,0.,0.)); #463802=DIRECTION('center_axis',(0.,-1.,0.)); #463803=DIRECTION('ref_axis',(1.,0.,0.)); #463804=DIRECTION('',(1.,0.,0.)); #463805=DIRECTION('',(0.,0.,1.)); #463806=DIRECTION('',(1.,0.,0.)); #463807=DIRECTION('',(0.,0.,1.)); #463808=DIRECTION('center_axis',(1.,0.,0.)); #463809=DIRECTION('ref_axis',(0.,1.,0.)); #463810=DIRECTION('',(0.,1.,0.)); #463811=DIRECTION('',(0.,0.,1.)); #463812=DIRECTION('',(0.,1.,0.)); #463813=DIRECTION('center_axis',(0.,1.,0.)); #463814=DIRECTION('ref_axis',(-1.,0.,0.)); #463815=DIRECTION('',(-1.,0.,0.)); #463816=DIRECTION('',(0.,0.,1.)); #463817=DIRECTION('',(-1.,0.,0.)); #463818=DIRECTION('center_axis',(-1.,0.,0.)); #463819=DIRECTION('ref_axis',(0.,-1.,0.)); #463820=DIRECTION('',(0.,-1.,0.)); #463821=DIRECTION('',(0.,-1.,0.)); #463822=DIRECTION('center_axis',(0.,0.,-1.)); #463823=DIRECTION('ref_axis',(-1.,0.,0.)); #463824=DIRECTION('center_axis',(0.,0.,-1.)); #463825=DIRECTION('ref_axis',(-1.,0.,0.)); #463826=DIRECTION('center_axis',(0.,-1.,0.)); #463827=DIRECTION('ref_axis',(1.,0.,0.)); #463828=DIRECTION('',(1.,0.,0.)); #463829=DIRECTION('',(0.,0.,1.)); #463830=DIRECTION('',(1.,0.,0.)); #463831=DIRECTION('',(0.,0.,1.)); #463832=DIRECTION('center_axis',(-1.,0.,0.)); #463833=DIRECTION('ref_axis',(0.,-1.,0.)); #463834=DIRECTION('',(0.,-1.,0.)); #463835=DIRECTION('',(0.,-1.,0.)); #463836=DIRECTION('',(0.,0.,1.)); #463837=DIRECTION('center_axis',(0.,1.,0.)); #463838=DIRECTION('ref_axis',(-1.,0.,0.)); #463839=DIRECTION('',(-1.,0.,0.)); #463840=DIRECTION('',(-1.,0.,0.)); #463841=DIRECTION('',(0.,0.,1.)); #463842=DIRECTION('center_axis',(1.,0.,0.)); #463843=DIRECTION('ref_axis',(0.,1.,0.)); #463844=DIRECTION('',(0.,1.,0.)); #463845=DIRECTION('',(0.,1.,0.)); #463846=DIRECTION('center_axis',(0.,0.,1.)); #463847=DIRECTION('ref_axis',(1.,0.,0.)); #463848=DIRECTION('center_axis',(0.,0.,1.)); #463849=DIRECTION('ref_axis',(1.,0.,0.)); #463850=DIRECTION('',(0.,0.,1.)); #463851=DIRECTION('',(1.,0.,0.)); #463852=DIRECTION('axis',(0.,0.,1.)); #463853=DIRECTION('refdir',(1.,0.,0.)); #463854=DIRECTION('axis',(0.,0.,1.)); #463855=DIRECTION('refdir',(1.,0.,0.)); #463856=DIRECTION('center_axis',(-0.994505452921406,0.,0.104684784518043)); #463857=DIRECTION('ref_axis',(0.104684784518043,0.,0.994505452921406)); #463858=DIRECTION('',(0.,1.,0.)); #463859=DIRECTION('',(-0.104115841259071,0.104115841259071,-0.989100491961172)); #463860=DIRECTION('',(0.,-1.,0.)); #463861=DIRECTION('',(-0.104115841259071,-0.104115841259071,-0.989100491961172)); #463862=DIRECTION('center_axis',(0.,0.994505452921406,0.104684784518043)); #463863=DIRECTION('ref_axis',(0.,-0.104684784518043,0.994505452921406)); #463864=DIRECTION('',(1.,0.,0.)); #463865=DIRECTION('',(0.104115841259071,0.104115841259071,-0.989100491961172)); #463866=DIRECTION('',(-1.,0.,0.)); #463867=DIRECTION('center_axis',(0.994505452921406,0.,0.104684784518043)); #463868=DIRECTION('ref_axis',(0.104684784518043,0.,-0.994505452921406)); #463869=DIRECTION('',(0.,-1.,0.)); #463870=DIRECTION('',(0.104115841259071,-0.104115841259071,-0.989100491961172)); #463871=DIRECTION('',(0.,1.,0.)); #463872=DIRECTION('center_axis',(0.,-0.994505452921406,0.104684784518043)); #463873=DIRECTION('ref_axis',(0.,-0.104684784518043,-0.994505452921406)); #463874=DIRECTION('',(1.,0.,0.)); #463875=DIRECTION('',(-1.,0.,0.)); #463876=DIRECTION('center_axis',(0.,-1.,0.)); #463877=DIRECTION('ref_axis',(1.,0.,0.)); #463878=DIRECTION('',(0.,0.,1.)); #463879=DIRECTION('',(1.,0.,0.)); #463880=DIRECTION('',(0.,0.,1.)); #463881=DIRECTION('center_axis',(-1.,0.,0.)); #463882=DIRECTION('ref_axis',(0.,-1.,0.)); #463883=DIRECTION('',(0.,0.,1.)); #463884=DIRECTION('',(0.,-1.,0.)); #463885=DIRECTION('center_axis',(0.,0.,1.)); #463886=DIRECTION('ref_axis',(1.,0.,0.)); #463887=DIRECTION('center_axis',(0.,1.,0.)); #463888=DIRECTION('ref_axis',(-1.,0.,0.)); #463889=DIRECTION('',(0.,0.,1.)); #463890=DIRECTION('',(-1.,0.,0.)); #463891=DIRECTION('center_axis',(1.,0.,0.)); #463892=DIRECTION('ref_axis',(0.,1.,0.)); #463893=DIRECTION('',(0.,1.,0.)); #463894=DIRECTION('center_axis',(0.,0.,1.)); #463895=DIRECTION('ref_axis',(1.,0.,0.)); #463896=DIRECTION('center_axis',(1.,0.,0.)); #463897=DIRECTION('ref_axis',(0.,1.,0.)); #463898=DIRECTION('',(0.,-1.,0.)); #463899=DIRECTION('',(0.,0.,1.)); #463900=DIRECTION('',(0.,1.,0.)); #463901=DIRECTION('',(0.,0.,1.)); #463902=DIRECTION('center_axis',(0.,-1.,0.)); #463903=DIRECTION('ref_axis',(1.,0.,0.)); #463904=DIRECTION('',(1.,0.,0.)); #463905=DIRECTION('',(1.,0.,0.)); #463906=DIRECTION('',(0.,0.,1.)); #463907=DIRECTION('center_axis',(-1.,0.,0.)); #463908=DIRECTION('ref_axis',(0.,-1.,0.)); #463909=DIRECTION('',(0.,-1.,0.)); #463910=DIRECTION('',(0.,-1.,0.)); #463911=DIRECTION('',(0.,0.,1.)); #463912=DIRECTION('center_axis',(0.,1.,0.)); #463913=DIRECTION('ref_axis',(-1.,0.,0.)); #463914=DIRECTION('',(-1.,0.,0.)); #463915=DIRECTION('',(-1.,0.,0.)); #463916=DIRECTION('center_axis',(0.,0.,1.)); #463917=DIRECTION('ref_axis',(1.,0.,0.)); #463918=DIRECTION('center_axis',(0.,0.,1.)); #463919=DIRECTION('ref_axis',(1.,0.,0.)); #463920=DIRECTION('center_axis',(0.,1.,0.)); #463921=DIRECTION('ref_axis',(-1.,0.,0.)); #463922=DIRECTION('',(-1.,0.,0.)); #463923=DIRECTION('',(0.,0.,1.)); #463924=DIRECTION('',(-1.,0.,0.)); #463925=DIRECTION('',(0.,0.,1.)); #463926=DIRECTION('center_axis',(1.,0.,0.)); #463927=DIRECTION('ref_axis',(0.,1.,0.)); #463928=DIRECTION('',(0.,1.,0.)); #463929=DIRECTION('',(0.,1.,0.)); #463930=DIRECTION('',(0.,0.,1.)); #463931=DIRECTION('center_axis',(0.,-1.,0.)); #463932=DIRECTION('ref_axis',(1.,0.,0.)); #463933=DIRECTION('',(1.,0.,0.)); #463934=DIRECTION('',(1.,0.,0.)); #463935=DIRECTION('',(0.,0.,1.)); #463936=DIRECTION('center_axis',(-1.,0.,0.)); #463937=DIRECTION('ref_axis',(0.,-1.,0.)); #463938=DIRECTION('',(0.,-1.,0.)); #463939=DIRECTION('',(0.,-1.,0.)); #463940=DIRECTION('center_axis',(0.,0.,1.)); #463941=DIRECTION('ref_axis',(1.,0.,0.)); #463942=DIRECTION('center_axis',(0.,0.,1.)); #463943=DIRECTION('ref_axis',(1.,0.,0.)); #463944=DIRECTION('center_axis',(0.,1.,0.)); #463945=DIRECTION('ref_axis',(-1.,0.,0.)); #463946=DIRECTION('',(1.,0.,0.)); #463947=DIRECTION('',(0.,0.,1.)); #463948=DIRECTION('',(-1.,0.,0.)); #463949=DIRECTION('',(0.,0.,1.)); #463950=DIRECTION('center_axis',(1.,0.,0.)); #463951=DIRECTION('ref_axis',(0.,1.,0.)); #463952=DIRECTION('',(0.,-1.,0.)); #463953=DIRECTION('',(0.,1.,0.)); #463954=DIRECTION('',(0.,0.,1.)); #463955=DIRECTION('center_axis',(0.,-1.,0.)); #463956=DIRECTION('ref_axis',(1.,0.,0.)); #463957=DIRECTION('',(-1.,0.,0.)); #463958=DIRECTION('',(1.,0.,0.)); #463959=DIRECTION('',(0.,0.,1.)); #463960=DIRECTION('center_axis',(-1.,0.,0.)); #463961=DIRECTION('ref_axis',(0.,-1.,0.)); #463962=DIRECTION('',(0.,1.,0.)); #463963=DIRECTION('',(0.,-1.,0.)); #463964=DIRECTION('center_axis',(0.,0.,1.)); #463965=DIRECTION('ref_axis',(1.,0.,0.)); #463966=DIRECTION('center_axis',(0.,0.,1.)); #463967=DIRECTION('ref_axis',(1.,0.,0.)); #463968=DIRECTION('center_axis',(0.,-1.,0.)); #463969=DIRECTION('ref_axis',(1.,0.,0.)); #463970=DIRECTION('',(-1.,0.,0.)); #463971=DIRECTION('',(0.,0.,1.)); #463972=DIRECTION('',(1.,0.,0.)); #463973=DIRECTION('',(0.,0.,1.)); #463974=DIRECTION('center_axis',(-1.,0.,0.)); #463975=DIRECTION('ref_axis',(0.,-1.,0.)); #463976=DIRECTION('',(0.,1.,0.)); #463977=DIRECTION('',(0.,-1.,0.)); #463978=DIRECTION('',(0.,0.,1.)); #463979=DIRECTION('center_axis',(0.,1.,0.)); #463980=DIRECTION('ref_axis',(-1.,0.,0.)); #463981=DIRECTION('',(1.,0.,0.)); #463982=DIRECTION('',(-1.,0.,0.)); #463983=DIRECTION('',(0.,0.,1.)); #463984=DIRECTION('center_axis',(1.,0.,0.)); #463985=DIRECTION('ref_axis',(0.,1.,0.)); #463986=DIRECTION('',(0.,1.,0.)); #463987=DIRECTION('',(0.,1.,0.)); #463988=DIRECTION('center_axis',(0.,0.,1.)); #463989=DIRECTION('ref_axis',(1.,0.,0.)); #463990=DIRECTION('center_axis',(0.,0.,1.)); #463991=DIRECTION('ref_axis',(1.,0.,0.)); #463992=DIRECTION('',(0.,0.,1.)); #463993=DIRECTION('',(1.,0.,0.)); #463994=DIRECTION('axis',(0.,0.,1.)); #463995=DIRECTION('refdir',(1.,0.,0.)); #463996=DIRECTION('axis',(0.,0.,1.)); #463997=DIRECTION('refdir',(1.,0.,0.)); #463998=DIRECTION('center_axis',(0.,0.,-1.)); #463999=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464000=DIRECTION('center_axis',(0.,0.,1.)); #464001=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464002=DIRECTION('',(0.,0.,-1.)); #464003=DIRECTION('center_axis',(0.,0.,1.)); #464004=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464005=DIRECTION('center_axis',(0.,0.,-1.)); #464006=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464007=DIRECTION('center_axis',(0.,0.,1.)); #464008=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464009=DIRECTION('',(0.,0.,1.)); #464010=DIRECTION('center_axis',(0.,0.,1.)); #464011=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464012=DIRECTION('center_axis',(0.,0.,1.)); #464013=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464014=DIRECTION('center_axis',(0.,0.,1.)); #464015=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464016=DIRECTION('center_axis',(0.,0.,1.)); #464017=DIRECTION('ref_axis',(-0.716996251031681,0.697077023008587,0.)); #464018=DIRECTION('',(0.,0.,1.)); #464019=DIRECTION('center_axis',(0.,0.,1.)); #464020=DIRECTION('ref_axis',(-1.,0.,0.)); #464021=DIRECTION('',(0.,0.,1.)); #464022=DIRECTION('center_axis',(0.,0.,1.)); #464023=DIRECTION('ref_axis',(-1.,0.,0.)); #464024=DIRECTION('',(0.,0.,1.)); #464025=DIRECTION('center_axis',(0.,0.,1.)); #464026=DIRECTION('ref_axis',(-1.,0.,0.)); #464027=DIRECTION('center_axis',(0.,0.,1.)); #464028=DIRECTION('ref_axis',(-0.936617633732484,-0.350353261981908,0.)); #464029=DIRECTION('',(0.,0.,-1.)); #464030=DIRECTION('center_axis',(0.,0.,-1.)); #464031=DIRECTION('ref_axis',(-1.,0.,0.)); #464032=DIRECTION('center_axis',(0.,0.,1.)); #464033=DIRECTION('ref_axis',(-1.,0.,0.)); #464034=DIRECTION('',(0.,0.,-1.)); #464035=DIRECTION('center_axis',(0.,0.,1.)); #464036=DIRECTION('ref_axis',(0.572926515548536,0.819606739712048,0.)); #464037=DIRECTION('',(0.,0.,-1.)); #464038=DIRECTION('center_axis',(0.,0.,1.)); #464039=DIRECTION('ref_axis',(-1.,0.,0.)); #464040=DIRECTION('center_axis',(0.,0.,1.)); #464041=DIRECTION('ref_axis',(-1.,0.,0.)); #464042=DIRECTION('center_axis',(0.,0.,1.)); #464043=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464044=DIRECTION('',(-1.,-7.105427357601E-17,0.)); #464045=DIRECTION('',(-0.5,0.866025403784439,0.)); #464046=DIRECTION('',(0.5,0.866025403784439,0.)); #464047=DIRECTION('',(1.,3.5527136788005E-16,0.)); #464048=DIRECTION('',(0.5,-0.866025403784438,0.)); #464049=DIRECTION('',(-0.5,-0.866025403784438,0.)); #464050=DIRECTION('center_axis',(0.,-1.,0.)); #464051=DIRECTION('ref_axis',(1.,6.93889390390723E-17,0.)); #464052=DIRECTION('',(0.,0.,-1.)); #464053=DIRECTION('',(0.,0.,-1.)); #464054=DIRECTION('center_axis',(0.866025403784439,-0.5,0.)); #464055=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #464056=DIRECTION('',(0.,0.,-1.)); #464057=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #464058=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #464059=DIRECTION('',(0.,0.,-1.)); #464060=DIRECTION('center_axis',(-2.22044604925031E-16,1.,0.)); #464061=DIRECTION('ref_axis',(-1.,-2.77555756156289E-16,0.)); #464062=DIRECTION('',(0.,0.,-1.)); #464063=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #464064=DIRECTION('ref_axis',(-0.5,-0.866025403784439,0.)); #464065=DIRECTION('',(0.,0.,-1.)); #464066=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #464067=DIRECTION('ref_axis',(0.5,-0.866025403784439,0.)); #464068=DIRECTION('center_axis',(0.,0.,1.)); #464069=DIRECTION('ref_axis',(-1.,0.,0.)); #464070=DIRECTION('',(0.,0.,-1.)); #464071=DIRECTION('center_axis',(0.,0.,1.)); #464072=DIRECTION('ref_axis',(-1.,0.,0.)); #464073=DIRECTION('center_axis',(0.,0.,1.)); #464074=DIRECTION('ref_axis',(-1.,0.,0.)); #464075=DIRECTION('',(0.,0.,-1.)); #464076=DIRECTION('center_axis',(0.,0.,1.)); #464077=DIRECTION('ref_axis',(-1.,0.,0.)); #464078=DIRECTION('',(0.,0.,-1.)); #464079=DIRECTION('center_axis',(0.,0.,1.)); #464080=DIRECTION('ref_axis',(-1.,0.,0.)); #464081=DIRECTION('',(0.,0.,-1.)); #464082=DIRECTION('center_axis',(0.,0.,1.)); #464083=DIRECTION('ref_axis',(-1.,0.,0.)); #464084=DIRECTION('',(0.,0.,-1.)); #464085=DIRECTION('center_axis',(0.,0.,1.)); #464086=DIRECTION('ref_axis',(-1.,0.,0.)); #464087=DIRECTION('',(0.,0.,-1.)); #464088=DIRECTION('center_axis',(0.,0.,1.)); #464089=DIRECTION('ref_axis',(-1.,0.,0.)); #464090=DIRECTION('',(0.,0.,-1.)); #464091=DIRECTION('center_axis',(0.,0.,1.)); #464092=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464093=DIRECTION('',(0.,0.,1.)); #464094=DIRECTION('center_axis',(0.,0.,1.)); #464095=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464096=DIRECTION('center_axis',(0.,0.,1.)); #464097=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464098=DIRECTION('',(0.,0.,1.)); #464099=DIRECTION('center_axis',(0.,0.,1.)); #464100=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464101=DIRECTION('center_axis',(0.,0.,1.)); #464102=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464103=DIRECTION('',(0.,0.,1.)); #464104=DIRECTION('center_axis',(0.,0.,1.)); #464105=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464106=DIRECTION('',(0.,0.,1.)); #464107=DIRECTION('center_axis',(0.,0.,1.)); #464108=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464109=DIRECTION('',(0.,0.,1.)); #464110=DIRECTION('center_axis',(0.,0.,1.)); #464111=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464112=DIRECTION('',(0.,0.,1.)); #464113=DIRECTION('center_axis',(0.,0.,1.)); #464114=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464115=DIRECTION('',(0.,0.,1.)); #464116=DIRECTION('center_axis',(0.,1.,0.)); #464117=DIRECTION('ref_axis',(0.,0.,1.)); #464118=DIRECTION('',(-1.,0.,0.)); #464119=DIRECTION('',(-7.137153981444E-15,0.,-1.)); #464120=DIRECTION('',(-1.,0.,0.)); #464121=DIRECTION('',(0.,0.,-1.)); #464122=DIRECTION('center_axis',(0.,1.,0.)); #464123=DIRECTION('ref_axis',(0.,0.,1.)); #464124=DIRECTION('',(-1.,0.,0.)); #464125=DIRECTION('',(7.13714620256007E-15,0.,1.)); #464126=DIRECTION('',(-1.,0.,0.)); #464127=DIRECTION('',(0.,0.,1.)); #464128=DIRECTION('center_axis',(-1.,0.,0.)); #464129=DIRECTION('ref_axis',(0.,0.,1.)); #464130=DIRECTION('',(-7.13715398144343E-15,-2.5469456057311E-29,-1.)); #464131=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #464132=DIRECTION('',(7.13715398144343E-15,2.5469456057311E-29,1.)); #464133=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #464134=DIRECTION('center_axis',(-1.,0.,0.)); #464135=DIRECTION('ref_axis',(0.,0.,1.)); #464136=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #464137=DIRECTION('',(-7.13715398144343E-15,0.,-1.)); #464138=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #464139=DIRECTION('',(-7.13715398144343E-15,-2.54694557473962E-29,-1.)); #464140=DIRECTION('center_axis',(1.,0.,0.)); #464141=DIRECTION('ref_axis',(0.,0.,-1.)); #464142=DIRECTION('',(-3.56857311546411E-15,1.,-1.28102656504955E-15)); #464143=DIRECTION('',(-7.13714620256007E-15,0.,-1.)); #464144=DIRECTION('',(-3.56857310128028E-15,1.,-1.28102656504955E-15)); #464145=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #464146=DIRECTION('center_axis',(1.,0.,0.)); #464147=DIRECTION('ref_axis',(0.,0.,-1.)); #464148=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #464149=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #464150=DIRECTION('',(7.13714620256054E-15,2.54694279583621E-29,1.)); #464151=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #464152=DIRECTION('center_axis',(0.866025403784439,-0.5,-8.05588776216133E-17)); #464153=DIRECTION('ref_axis',(-6.5209077923577E-18,1.49823211635391E-16, -1.)); #464154=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #464155=DIRECTION('',(-0.5,-0.866025403784439,0.)); #464156=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #464157=DIRECTION('',(0.5,0.866025403784439,0.)); #464158=DIRECTION('',(0.5,0.866025403784439,0.)); #464159=DIRECTION('',(0.5,0.866025403784439,0.)); #464160=DIRECTION('',(0.5,0.866025403784439,0.)); #464161=DIRECTION('center_axis',(-0.866025403784439,0.5,-8.05588776216158E-17)); #464162=DIRECTION('ref_axis',(-1.33011161249002E-16,-6.92643340137767E-17, 1.)); #464163=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #464164=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #464165=DIRECTION('',(0.5,0.866025403784439,0.)); #464166=DIRECTION('center_axis',(-0.5,-0.866025403784439,-1.26490253456642E-16)); #464167=DIRECTION('ref_axis',(6.32451267283211E-17,1.09543772824585E-16, -1.)); #464168=DIRECTION('',(0.866025403784439,-0.5,0.)); #464169=DIRECTION('',(0.866025403784438,-0.5,0.)); #464170=DIRECTION('center_axis',(0.,0.,-1.)); #464171=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464172=DIRECTION('',(0.0434828493834553,-0.999054173610969,0.)); #464173=DIRECTION('center_axis',(0.,0.,1.)); #464174=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464175=DIRECTION('center_axis',(0.,0.,1.)); #464176=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464177=DIRECTION('',(-0.886947718795699,-0.461869834610474,0.)); #464178=DIRECTION('',(-0.5,-0.866025403784438,0.)); #464179=DIRECTION('',(-0.866025403784439,0.5,0.)); #464180=DIRECTION('',(0.5,0.866025403784439,0.)); #464181=DIRECTION('',(-0.0434828493834488,0.999054173610969,0.)); #464182=DIRECTION('center_axis',(0.,0.,1.)); #464183=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464184=DIRECTION('',(0.886947718795702,0.461869834610467,0.)); #464185=DIRECTION('center_axis',(-0.866025403784439,0.5,1.49609344154423E-16)); #464186=DIRECTION('ref_axis',(-1.21102573286625E-17,2.78243107322868E-16, -1.)); #464187=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #464188=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #464189=DIRECTION('',(-0.5,-0.866025403784439,0.)); #464190=DIRECTION('',(-0.5,-0.866025403784439,0.)); #464191=DIRECTION('',(-0.5,-0.866025403784439,0.)); #464192=DIRECTION('',(-0.5,-0.866025403784439,0.)); #464193=DIRECTION('center_axis',(0.866025403784439,-0.5,1.49609344154427E-16)); #464194=DIRECTION('ref_axis',(-2.47020728033859E-16,-1.28633763168444E-16, 1.)); #464195=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #464196=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #464197=DIRECTION('center_axis',(0.5,0.866025403784439,2.34910470705193E-16)); #464198=DIRECTION('ref_axis',(-1.17455235352596E-16,-2.03438435245657E-16, 1.)); #464199=DIRECTION('',(-0.866025403784438,0.5,0.)); #464200=DIRECTION('center_axis',(-0.537184339000487,0.843464869412242,0.)); #464201=DIRECTION('ref_axis',(-0.843464869412241,-0.537184339000487,0.)); #464202=DIRECTION('',(-0.843464869412242,-0.537184339000487,0.)); #464203=DIRECTION('',(0.,0.,1.)); #464204=DIRECTION('',(0.,0.,1.)); #464205=DIRECTION('center_axis',(0.793270134887063,0.608869849061572,0.)); #464206=DIRECTION('ref_axis',(-0.608869849061572,0.793270134887063,0.)); #464207=DIRECTION('',(-0.608869849061572,0.793270134887063,0.)); #464208=DIRECTION('',(0.,0.,1.)); #464209=DIRECTION('center_axis',(-0.793270134887056,0.608869849061581,0.)); #464210=DIRECTION('ref_axis',(-0.608869849061581,-0.793270134887056,0.)); #464211=DIRECTION('',(-0.608869849061581,-0.793270134887056,0.)); #464212=DIRECTION('',(0.,0.,1.)); #464213=DIRECTION('',(0.,0.,1.)); #464214=DIRECTION('center_axis',(0.537184339000497,0.843464869412235,0.)); #464215=DIRECTION('ref_axis',(-0.843464869412235,0.537184339000497,0.)); #464216=DIRECTION('',(-0.843464869412235,0.537184339000497,0.)); #464217=DIRECTION('',(0.,0.,1.)); #464218=DIRECTION('center_axis',(-0.953675845085929,0.300836138952153,0.)); #464219=DIRECTION('ref_axis',(-0.300836138952153,-0.953675845085929,0.)); #464220=DIRECTION('',(-0.300836138952153,-0.953675845085929,0.)); #464221=DIRECTION('',(0.,0.,1.)); #464222=DIRECTION('',(0.,0.,1.)); #464223=DIRECTION('center_axis',(0.216306183833984,0.976325578296082,0.)); #464224=DIRECTION('ref_axis',(-0.976325578296082,0.216306183833984,0.)); #464225=DIRECTION('',(-0.976325578296082,0.216306183833984,0.)); #464226=DIRECTION('',(0.,0.,1.)); #464227=DIRECTION('center_axis',(-0.999054173610969,-0.0434828493834553, 0.)); #464228=DIRECTION('ref_axis',(0.0434828493834553,-0.999054173610969,0.)); #464229=DIRECTION('',(0.,0.,1.)); #464230=DIRECTION('center_axis',(0.461869834610467,-0.886947718795702,0.)); #464231=DIRECTION('ref_axis',(0.886947718795702,0.461869834610467,0.)); #464232=DIRECTION('',(0.,0.,1.)); #464233=DIRECTION('center_axis',(-0.216306183833972,0.976325578296085,0.)); #464234=DIRECTION('ref_axis',(-0.976325578296085,-0.216306183833972,0.)); #464235=DIRECTION('',(-0.976325578296085,-0.216306183833972,0.)); #464236=DIRECTION('',(0.,0.,1.)); #464237=DIRECTION('',(0.,0.,1.)); #464238=DIRECTION('center_axis',(0.953675845085932,0.300836138952143,0.)); #464239=DIRECTION('ref_axis',(-0.300836138952142,0.953675845085932,0.)); #464240=DIRECTION('',(-0.300836138952143,0.953675845085932,0.)); #464241=DIRECTION('',(0.,0.,1.)); #464242=DIRECTION('center_axis',(0.130661689442201,0.991427013406489,0.)); #464243=DIRECTION('ref_axis',(-0.991427013406489,0.130661689442201,0.)); #464244=DIRECTION('',(-0.991427013406489,0.130661689442201,0.)); #464245=DIRECTION('',(0.,0.,1.)); #464246=DIRECTION('',(0.,0.,1.)); #464247=DIRECTION('center_axis',(0.999054173610968,-0.043482849383467,0.)); #464248=DIRECTION('ref_axis',(0.0434828493834669,0.999054173610968,0.)); #464249=DIRECTION('',(0.043482849383467,0.999054173610968,0.)); #464250=DIRECTION('',(0.,0.,1.)); #464251=DIRECTION('center_axis',(0.461869834610483,0.886947718795694,0.)); #464252=DIRECTION('ref_axis',(-0.886947718795694,0.461869834610483,0.)); #464253=DIRECTION('',(-0.886947718795694,0.461869834610483,0.)); #464254=DIRECTION('',(0.,0.,1.)); #464255=DIRECTION('',(0.,0.,1.)); #464256=DIRECTION('center_axis',(0.923931824329249,-0.382557164344921,0.)); #464257=DIRECTION('ref_axis',(0.38255716434492,0.923931824329249,0.)); #464258=DIRECTION('',(0.38255716434492,0.923931824329249,0.)); #464259=DIRECTION('',(0.,0.,1.)); #464260=DIRECTION('center_axis',(0.737369661251958,0.675489439343927,0.)); #464261=DIRECTION('ref_axis',(-0.675489439343927,0.737369661251958,0.)); #464262=DIRECTION('',(-0.675489439343927,0.737369661251958,0.)); #464263=DIRECTION('',(0.,0.,1.)); #464264=DIRECTION('',(0.,0.,1.)); #464265=DIRECTION('center_axis',(0.737369661251945,-0.675489439343941,0.)); #464266=DIRECTION('ref_axis',(0.675489439343941,0.737369661251945,0.)); #464267=DIRECTION('',(0.675489439343941,0.737369661251945,0.)); #464268=DIRECTION('',(0.,0.,1.)); #464269=DIRECTION('center_axis',(0.,0.,-1.)); #464270=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464271=DIRECTION('center_axis',(0.,0.,1.)); #464272=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464273=DIRECTION('center_axis',(0.,0.,-1.)); #464274=DIRECTION('ref_axis',(0.866025403784483,-0.499999999999924,0.)); #464275=DIRECTION('center_axis',(0.,0.,1.)); #464276=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464277=DIRECTION('center_axis',(0.,0.,1.)); #464278=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464279=DIRECTION('center_axis',(0.,0.,1.)); #464280=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464281=DIRECTION('center_axis',(0.,0.,1.)); #464282=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464283=DIRECTION('center_axis',(0.,0.,1.)); #464284=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464285=DIRECTION('center_axis',(0.,0.,1.)); #464286=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464287=DIRECTION('center_axis',(0.,0.,1.)); #464288=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464289=DIRECTION('center_axis',(0.,0.,1.)); #464290=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464291=DIRECTION('center_axis',(0.,0.,-1.)); #464292=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464293=DIRECTION('center_axis',(0.,0.,-1.)); #464294=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464295=DIRECTION('center_axis',(0.,0.,-1.)); #464296=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464297=DIRECTION('center_axis',(0.,0.,-1.)); #464298=DIRECTION('ref_axis',(0.866025403784471,-0.499999999999943,0.)); #464299=DIRECTION('center_axis',(0.,0.,-1.)); #464300=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464301=DIRECTION('center_axis',(0.,0.,-1.)); #464302=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464303=DIRECTION('center_axis',(0.,0.,-1.)); #464304=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464305=DIRECTION('center_axis',(0.,0.,-1.)); #464306=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464307=DIRECTION('center_axis',(0.,0.,-1.)); #464308=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464309=DIRECTION('center_axis',(0.,0.,-1.)); #464310=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464311=DIRECTION('center_axis',(0.,0.,-1.)); #464312=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464313=DIRECTION('center_axis',(0.,0.,-1.)); #464314=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464315=DIRECTION('center_axis',(0.,0.,-1.)); #464316=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464317=DIRECTION('center_axis',(0.,0.,-1.)); #464318=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464319=DIRECTION('center_axis',(0.,0.,-1.)); #464320=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464321=DIRECTION('center_axis',(0.,0.,1.)); #464322=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464323=DIRECTION('center_axis',(0.,0.,-1.)); #464324=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464325=DIRECTION('center_axis',(0.,0.,1.)); #464326=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464327=DIRECTION('center_axis',(0.,0.,-1.)); #464328=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464329=DIRECTION('center_axis',(0.,0.,1.)); #464330=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464331=DIRECTION('center_axis',(0.,0.,-1.)); #464332=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464333=DIRECTION('center_axis',(0.,0.,1.)); #464334=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464335=DIRECTION('center_axis',(0.,0.,-1.)); #464336=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464337=DIRECTION('center_axis',(0.,0.,1.)); #464338=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464339=DIRECTION('center_axis',(0.,0.,-1.)); #464340=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464341=DIRECTION('center_axis',(0.,0.,1.)); #464342=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464343=DIRECTION('center_axis',(0.216306183833979,-0.976325578296083,0.)); #464344=DIRECTION('ref_axis',(0.976325578296083,0.216306183833979,0.)); #464345=DIRECTION('',(0.976325578296083,0.216306183833979,0.)); #464346=DIRECTION('',(0.,0.,1.)); #464347=DIRECTION('',(0.,0.,1.)); #464348=DIRECTION('center_axis',(-0.95367584508593,-0.300836138952149,0.)); #464349=DIRECTION('ref_axis',(0.300836138952149,-0.95367584508593,0.)); #464350=DIRECTION('',(0.300836138952149,-0.95367584508593,0.)); #464351=DIRECTION('',(0.,0.,1.)); #464352=DIRECTION('center_axis',(0.537184339000482,-0.843464869412245,0.)); #464353=DIRECTION('ref_axis',(0.843464869412245,0.537184339000482,0.)); #464354=DIRECTION('',(0.843464869412245,0.537184339000482,0.)); #464355=DIRECTION('',(0.,0.,1.)); #464356=DIRECTION('',(0.,0.,1.)); #464357=DIRECTION('center_axis',(-0.793270134887066,-0.608869849061567, 0.)); #464358=DIRECTION('ref_axis',(0.608869849061567,-0.793270134887066,0.)); #464359=DIRECTION('',(0.608869849061567,-0.793270134887066,0.)); #464360=DIRECTION('',(0.,0.,1.)); #464361=DIRECTION('center_axis',(0.793270134887051,-0.608869849061587,0.)); #464362=DIRECTION('ref_axis',(0.608869849061587,0.793270134887051,0.)); #464363=DIRECTION('',(0.608869849061587,0.793270134887051,0.)); #464364=DIRECTION('',(0.,0.,1.)); #464365=DIRECTION('',(0.,0.,1.)); #464366=DIRECTION('center_axis',(-0.537184339000503,-0.843464869412232, 0.)); #464367=DIRECTION('ref_axis',(0.843464869412231,-0.537184339000503,0.)); #464368=DIRECTION('',(0.843464869412231,-0.537184339000503,0.)); #464369=DIRECTION('',(0.,0.,1.)); #464370=DIRECTION('center_axis',(0.953675845085927,-0.300836138952159,0.)); #464371=DIRECTION('ref_axis',(0.300836138952159,0.953675845085927,0.)); #464372=DIRECTION('',(0.300836138952159,0.953675845085927,0.)); #464373=DIRECTION('',(0.,0.,1.)); #464374=DIRECTION('',(0.,0.,1.)); #464375=DIRECTION('center_axis',(-0.216306183833991,-0.97632557829608,0.)); #464376=DIRECTION('ref_axis',(0.976325578296081,-0.216306183833991,0.)); #464377=DIRECTION('',(0.97632557829608,-0.216306183833991,0.)); #464378=DIRECTION('',(0.,0.,1.)); #464379=DIRECTION('center_axis',(0.,0.,-1.)); #464380=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464381=DIRECTION('center_axis',(0.,0.,1.)); #464382=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464383=DIRECTION('center_axis',(0.,0.,-1.)); #464384=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464385=DIRECTION('center_axis',(0.,0.,-1.)); #464386=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464387=DIRECTION('center_axis',(0.,0.,1.)); #464388=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464389=DIRECTION('center_axis',(0.,0.,-1.)); #464390=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464391=DIRECTION('center_axis',(0.,0.,-1.)); #464392=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464393=DIRECTION('center_axis',(0.,0.,1.)); #464394=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464395=DIRECTION('center_axis',(0.,0.,-1.)); #464396=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464397=DIRECTION('center_axis',(0.,0.,-1.)); #464398=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464399=DIRECTION('center_axis',(0.,0.,-1.)); #464400=DIRECTION('ref_axis',(0.866025403784323,-0.5000000000002,0.)); #464401=DIRECTION('center_axis',(0.,0.,1.)); #464402=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464403=DIRECTION('center_axis',(0.,0.,-1.)); #464404=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464405=DIRECTION('center_axis',(0.,0.,1.)); #464406=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464407=DIRECTION('center_axis',(0.,0.,-1.)); #464408=DIRECTION('ref_axis',(0.86602540378448,-0.499999999999929,0.)); #464409=DIRECTION('center_axis',(0.,0.,-1.)); #464410=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464411=DIRECTION('center_axis',(0.,0.,1.)); #464412=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464413=DIRECTION('center_axis',(0.,0.,-1.)); #464414=DIRECTION('ref_axis',(0.866025403784351,-0.500000000000152,0.)); #464415=DIRECTION('center_axis',(0.,0.,-1.)); #464416=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464417=DIRECTION('center_axis',(0.,0.,1.)); #464418=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464419=DIRECTION('center_axis',(0.,0.,-1.)); #464420=DIRECTION('ref_axis',(0.866025403784368,-0.500000000000123,0.)); #464421=DIRECTION('center_axis',(0.,0.,1.)); #464422=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464423=DIRECTION('center_axis',(0.,0.,1.)); #464424=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #464425=DIRECTION('center_axis',(0.,0.,-1.)); #464426=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464427=DIRECTION('center_axis',(0.,0.,-1.)); #464428=DIRECTION('ref_axis',(0.86602540378445,-0.49999999999998,0.)); #464429=DIRECTION('center_axis',(0.999054173610969,0.0434828493834488,0.)); #464430=DIRECTION('ref_axis',(-0.0434828493834489,0.999054173610969,0.)); #464431=DIRECTION('',(0.,0.,1.)); #464432=DIRECTION('center_axis',(-0.461869834610474,0.886947718795699,0.)); #464433=DIRECTION('ref_axis',(-0.886947718795699,-0.461869834610474,0.)); #464434=DIRECTION('',(0.,0.,1.)); #464435=DIRECTION('center_axis',(-0.73736966125195,0.675489439343936,0.)); #464436=DIRECTION('ref_axis',(-0.675489439343936,-0.73736966125195,0.)); #464437=DIRECTION('',(-0.675489439343936,-0.73736966125195,0.)); #464438=DIRECTION('',(0.,0.,1.)); #464439=DIRECTION('',(0.,0.,1.)); #464440=DIRECTION('center_axis',(-0.737369661251953,-0.675489439343932, 0.)); #464441=DIRECTION('ref_axis',(0.675489439343932,-0.737369661251953,0.)); #464442=DIRECTION('',(0.675489439343932,-0.737369661251953,0.)); #464443=DIRECTION('',(0.,0.,1.)); #464444=DIRECTION('center_axis',(-0.923931824329251,0.382557164344914,0.)); #464445=DIRECTION('ref_axis',(-0.382557164344914,-0.923931824329251,0.)); #464446=DIRECTION('',(-0.382557164344914,-0.923931824329251,0.)); #464447=DIRECTION('',(0.,0.,1.)); #464448=DIRECTION('',(0.,0.,1.)); #464449=DIRECTION('center_axis',(-0.461869834610477,-0.886947718795697, 0.)); #464450=DIRECTION('ref_axis',(0.886947718795697,-0.461869834610477,0.)); #464451=DIRECTION('',(0.886947718795697,-0.461869834610477,0.)); #464452=DIRECTION('',(0.,0.,1.)); #464453=DIRECTION('center_axis',(-0.999054173610969,0.0434828493834601, 0.)); #464454=DIRECTION('ref_axis',(-0.0434828493834601,-0.999054173610969,0.)); #464455=DIRECTION('',(-0.0434828493834601,-0.999054173610969,0.)); #464456=DIRECTION('',(0.,0.,1.)); #464457=DIRECTION('',(0.,0.,1.)); #464458=DIRECTION('center_axis',(-0.130661689442194,-0.99142701340649,0.)); #464459=DIRECTION('ref_axis',(0.99142701340649,-0.130661689442194,0.)); #464460=DIRECTION('',(0.99142701340649,-0.130661689442194,0.)); #464461=DIRECTION('',(0.,0.,1.)); #464462=DIRECTION('center_axis',(0.,0.,-1.)); #464463=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464464=DIRECTION('center_axis',(0.,0.,1.)); #464465=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464466=DIRECTION('center_axis',(0.,0.,-1.)); #464467=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464468=DIRECTION('center_axis',(0.,0.,1.)); #464469=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464470=DIRECTION('center_axis',(0.,0.,-1.)); #464471=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464472=DIRECTION('center_axis',(0.,0.,1.)); #464473=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464474=DIRECTION('center_axis',(0.,0.,-1.)); #464475=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464476=DIRECTION('center_axis',(0.,0.,1.)); #464477=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464478=DIRECTION('center_axis',(0.,0.,-1.)); #464479=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464480=DIRECTION('center_axis',(0.,0.,1.)); #464481=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464482=DIRECTION('center_axis',(0.,0.,-1.)); #464483=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464484=DIRECTION('center_axis',(0.,0.,1.)); #464485=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464486=DIRECTION('center_axis',(0.,0.,-1.)); #464487=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464488=DIRECTION('center_axis',(0.,0.,1.)); #464489=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464490=DIRECTION('center_axis',(0.,0.,-1.)); #464491=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464492=DIRECTION('center_axis',(0.,0.,1.)); #464493=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464494=DIRECTION('center_axis',(0.,0.,-1.)); #464495=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464496=DIRECTION('center_axis',(0.,0.,-1.)); #464497=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #464498=DIRECTION('',(0.,0.,1.)); #464499=DIRECTION('center_axis',(0.,0.,1.)); #464500=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #464501=DIRECTION('',(1.,3.572543183515E-15,0.)); #464502=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #464503=DIRECTION('',(1.,3.491481338843E-15,0.)); #464504=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #464505=DIRECTION('center_axis',(0.,0.,-1.)); #464506=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #464507=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #464508=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464509=DIRECTION('',(1.,3.491481338843E-15,0.)); #464510=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #464511=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464512=DIRECTION('ref_axis',(0.,0.,-1.)); #464513=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464514=DIRECTION('ref_axis',(0.,0.,1.)); #464515=DIRECTION('',(1.,3.491481338843E-15,0.)); #464516=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464517=DIRECTION('ref_axis',(0.,0.,1.)); #464518=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464519=DIRECTION('ref_axis',(0.,0.,-1.)); #464520=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464521=DIRECTION('ref_axis',(0.,0.,-1.)); #464522=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464523=DIRECTION('ref_axis',(0.,0.,-1.)); #464524=DIRECTION('',(1.,3.491481338843E-15,0.)); #464525=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464526=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #464527=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #464528=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464529=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464530=DIRECTION('',(-1.,-3.491481338843E-15,-2.77403219247928E-16)); #464531=DIRECTION('',(2.77403219247929E-16,-3.44647048207299E-16,-1.)); #464532=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464533=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464534=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464535=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464536=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464537=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #464538=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464539=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464540=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #464541=DIRECTION('',(0.,0.,1.)); #464542=DIRECTION('center_axis',(1.,3.53252780562548E-15,0.)); #464543=DIRECTION('ref_axis',(0.,0.,-1.)); #464544=DIRECTION('',(0.,0.,1.)); #464545=DIRECTION('',(3.491481338843E-15,-1.,9.68548163339114E-31)); #464546=DIRECTION('',(0.,0.,-1.)); #464547=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464548=DIRECTION('ref_axis',(0.,0.,1.)); #464549=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464550=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464551=DIRECTION('',(-2.77403219247928E-16,-9.68548163339114E-31,1.)); #464552=DIRECTION('center_axis',(0.,0.,1.)); #464553=DIRECTION('ref_axis',(1.,0.,0.)); #464554=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464555=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464556=DIRECTION('',(1.,3.491481338843E-15,0.)); #464557=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464558=DIRECTION('ref_axis',(0.,0.,-1.)); #464559=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464560=DIRECTION('',(0.,0.,-1.)); #464561=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464562=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #464563=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464564=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #464565=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464566=DIRECTION('center_axis',(-2.77403219247928E-16,0.,1.)); #464567=DIRECTION('ref_axis',(1.,0.,2.77403219247928E-16)); #464568=DIRECTION('',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #464569=DIRECTION('',(1.,3.491481338843E-15,2.77403219247928E-16)); #464570=DIRECTION('center_axis',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #464571=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464572=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464573=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464574=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464575=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464576=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464577=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464578=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464579=DIRECTION('center_axis',(0.,0.,1.)); #464580=DIRECTION('ref_axis',(1.,0.,0.)); #464581=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464582=DIRECTION('',(1.,3.491481338843E-15,0.)); #464583=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464584=DIRECTION('ref_axis',(0.,0.,-1.)); #464585=DIRECTION('',(0.,0.,1.)); #464586=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464587=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464588=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464589=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464590=DIRECTION('center_axis',(-1.,-3.53252780562548E-15,0.)); #464591=DIRECTION('ref_axis',(0.,0.,1.)); #464592=DIRECTION('',(0.,0.,1.)); #464593=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464594=DIRECTION('ref_axis',(0.,0.,-1.)); #464595=DIRECTION('',(1.,3.491481338843E-15,0.)); #464596=DIRECTION('',(0.,0.,1.)); #464597=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464598=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464599=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #464600=DIRECTION('',(0.,0.,-1.)); #464601=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464602=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464603=DIRECTION('',(1.,3.491481338843E-15,0.)); #464604=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464605=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #464606=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #464607=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #464608=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464609=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464610=DIRECTION('',(0.,0.,1.)); #464611=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464612=DIRECTION('ref_axis',(0.,0.,-1.)); #464613=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464614=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #464615=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #464616=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464617=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #464618=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464619=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #464620=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464621=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464622=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #464623=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #464624=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464625=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #464626=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464627=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464628=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464629=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #464630=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #464631=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464632=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464633=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464634=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464635=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464636=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464637=DIRECTION('center_axis',(0.,0.,1.)); #464638=DIRECTION('ref_axis',(1.,0.,0.)); #464639=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464640=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464641=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #464642=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464643=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #464644=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464645=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #464646=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #464647=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #464648=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #464649=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464650=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #464651=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464652=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #464653=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464654=DIRECTION('ref_axis',(0.,0.,-1.)); #464655=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #464656=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #464657=DIRECTION('ref_axis',(-1.,0.,0.)); #464658=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464659=DIRECTION('ref_axis',(0.,0.,1.)); #464660=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464661=DIRECTION('ref_axis',(0.,0.,-1.)); #464662=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464663=DIRECTION('center_axis',(0.,0.,-1.)); #464664=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #464665=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464666=DIRECTION('',(1.,3.491481338843E-15,0.)); #464667=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #464668=DIRECTION('',(1.,3.572543183515E-15,0.)); #464669=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464670=DIRECTION('ref_axis',(0.,0.,-1.)); #464671=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464672=DIRECTION('ref_axis',(0.,0.,-1.)); #464673=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464674=DIRECTION('ref_axis',(0.,0.,-1.)); #464675=DIRECTION('',(1.,3.491481338843E-15,0.)); #464676=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464677=DIRECTION('ref_axis',(0.,0.,-1.)); #464678=DIRECTION('',(1.,3.491481338843E-15,0.)); #464679=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464680=DIRECTION('ref_axis',(0.,0.,1.)); #464681=DIRECTION('',(1.,3.491481338843E-15,0.)); #464682=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464683=DIRECTION('ref_axis',(0.,0.,1.)); #464684=DIRECTION('center_axis',(0.,0.,1.)); #464685=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #464686=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464687=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #464688=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #464689=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464690=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #464691=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #464692=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464693=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464694=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #464695=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #464696=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464697=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #464698=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464699=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464700=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464701=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #464702=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #464703=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464704=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464705=DIRECTION('',(0.,0.,1.)); #464706=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464707=DIRECTION('ref_axis',(0.,0.,-1.)); #464708=DIRECTION('',(0.,0.,1.)); #464709=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #464710=DIRECTION('',(0.,0.,-1.)); #464711=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464712=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464713=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464714=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #464715=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464716=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464717=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464718=DIRECTION('ref_axis',(0.,0.,-1.)); #464719=DIRECTION('',(0.,0.,1.)); #464720=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464721=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464722=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #464723=DIRECTION('',(0.,0.,-1.)); #464724=DIRECTION('',(1.,3.491481338843E-15,0.)); #464725=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464726=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #464727=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #464728=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #464729=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464730=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464731=DIRECTION('center_axis',(0.,0.,1.)); #464732=DIRECTION('ref_axis',(1.,0.,0.)); #464733=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464734=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464735=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #464736=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464737=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #464738=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464739=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #464740=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #464741=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #464742=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464743=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #464744=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464745=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #464746=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #464747=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464748=DIRECTION('ref_axis',(0.,0.,-1.)); #464749=DIRECTION('',(0.,0.,1.)); #464750=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464751=DIRECTION('ref_axis',(0.,0.,-1.)); #464752=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #464753=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #464754=DIRECTION('ref_axis',(-1.,0.,0.)); #464755=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464756=DIRECTION('ref_axis',(0.,0.,1.)); #464757=DIRECTION('center_axis',(0.,0.,1.)); #464758=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #464759=DIRECTION('',(1.,3.572543183515E-15,0.)); #464760=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #464761=DIRECTION('',(1.,3.491481338843E-15,0.)); #464762=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #464763=DIRECTION('center_axis',(0.,0.,-1.)); #464764=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #464765=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #464766=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464767=DIRECTION('',(1.,3.491481338843E-15,0.)); #464768=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #464769=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464770=DIRECTION('ref_axis',(0.,0.,-1.)); #464771=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464772=DIRECTION('ref_axis',(0.,0.,1.)); #464773=DIRECTION('',(1.,3.491481338843E-15,0.)); #464774=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464775=DIRECTION('ref_axis',(0.,0.,1.)); #464776=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464777=DIRECTION('ref_axis',(0.,0.,-1.)); #464778=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464779=DIRECTION('ref_axis',(0.,0.,-1.)); #464780=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464781=DIRECTION('ref_axis',(0.,0.,-1.)); #464782=DIRECTION('',(1.,3.491481338843E-15,0.)); #464783=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464784=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #464785=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #464786=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464787=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464788=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464789=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #464790=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464791=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464792=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464793=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464794=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #464795=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #464796=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464797=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464798=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464799=DIRECTION('',(0.,0.,1.)); #464800=DIRECTION('center_axis',(1.,3.6334571715005E-15,0.)); #464801=DIRECTION('ref_axis',(0.,0.,-1.)); #464802=DIRECTION('',(0.,0.,1.)); #464803=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464804=DIRECTION('',(0.,0.,-1.)); #464805=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464806=DIRECTION('ref_axis',(0.,0.,1.)); #464807=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464808=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464809=DIRECTION('',(0.,0.,1.)); #464810=DIRECTION('center_axis',(0.,0.,1.)); #464811=DIRECTION('ref_axis',(1.,0.,0.)); #464812=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464813=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464814=DIRECTION('',(1.,3.491481338843E-15,0.)); #464815=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464816=DIRECTION('ref_axis',(0.,0.,-1.)); #464817=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464818=DIRECTION('',(0.,0.,-1.)); #464819=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464820=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464821=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464822=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464823=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464824=DIRECTION('center_axis',(0.,0.,1.)); #464825=DIRECTION('ref_axis',(1.,0.,0.)); #464826=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464827=DIRECTION('',(1.,3.491481338843E-15,0.)); #464828=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464829=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464830=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464831=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464832=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464833=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464834=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464835=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464836=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464837=DIRECTION('center_axis',(0.,0.,1.)); #464838=DIRECTION('ref_axis',(1.,0.,0.)); #464839=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464840=DIRECTION('',(1.,3.491481338843E-15,0.)); #464841=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464842=DIRECTION('ref_axis',(0.,0.,-1.)); #464843=DIRECTION('',(0.,0.,1.)); #464844=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464845=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #464846=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464847=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #464848=DIRECTION('center_axis',(-1.,-3.6334571715005E-15,0.)); #464849=DIRECTION('ref_axis',(0.,0.,1.)); #464850=DIRECTION('',(0.,0.,1.)); #464851=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464852=DIRECTION('ref_axis',(0.,0.,-1.)); #464853=DIRECTION('',(1.,3.491481338843E-15,0.)); #464854=DIRECTION('',(0.,0.,1.)); #464855=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464856=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464857=DIRECTION('',(1.,3.491481338843E-15,0.)); #464858=DIRECTION('',(0.,0.,-1.)); #464859=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464860=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #464861=DIRECTION('',(1.,3.491481338843E-15,0.)); #464862=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464863=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464864=DIRECTION('',(0.,0.,1.)); #464865=DIRECTION('',(1.,3.491481338843E-15,0.)); #464866=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464867=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464868=DIRECTION('',(0.,0.,1.)); #464869=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464870=DIRECTION('ref_axis',(0.,0.,-1.)); #464871=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464872=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #464873=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464874=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464875=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #464876=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464877=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #464878=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464879=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464880=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464881=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #464882=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464883=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464884=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464885=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464886=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #464887=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #464888=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464889=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #464890=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464891=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464892=DIRECTION('ref_axis',(0.,0.,-1.)); #464893=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464894=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464895=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #464896=DIRECTION('ref_axis',(0.,0.,1.)); #464897=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464898=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464899=DIRECTION('center_axis',(0.,0.,1.)); #464900=DIRECTION('ref_axis',(1.,0.,0.)); #464901=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464902=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464903=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #464904=DIRECTION('ref_axis',(0.,0.,-1.)); #464905=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464906=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464907=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #464908=DIRECTION('center_axis',(0.,0.,1.)); #464909=DIRECTION('ref_axis',(1.,0.,0.)); #464910=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464911=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464912=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #464913=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #464914=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #464915=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464916=DIRECTION('center_axis',(0.,0.,1.)); #464917=DIRECTION('ref_axis',(1.,0.,0.)); #464918=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464919=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #464920=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #464921=DIRECTION('center_axis',(0.,0.,-1.)); #464922=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #464923=DIRECTION('',(1.,3.491481338843E-15,0.)); #464924=DIRECTION('center_axis',(0.,0.,1.)); #464925=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #464926=DIRECTION('',(-1.323076993872E-15,1.,0.)); #464927=DIRECTION('center_axis',(0.,0.,1.)); #464928=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #464929=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #464930=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #464931=DIRECTION('center_axis',(0.,0.,-1.)); #464932=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #464933=DIRECTION('',(-4.358843076832E-15,1.,0.)); #464934=DIRECTION('',(1.,5.55120961409737E-15,0.)); #464935=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #464936=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #464937=DIRECTION('',(-3.491481338843E-15,1.,0.)); #464938=DIRECTION('',(1.,5.55120961409737E-15,0.)); #464939=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #464940=DIRECTION('',(1.,0.,0.)); #464941=DIRECTION('center_axis',(0.,0.,-1.)); #464942=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #464943=DIRECTION('',(1.,4.730569535969E-15,0.)); #464944=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #464945=DIRECTION('',(1.,4.44089209850063E-15,0.)); #464946=DIRECTION('center_axis',(0.,0.,1.)); #464947=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #464948=DIRECTION('',(-5.659885683814E-15,1.,0.)); #464949=DIRECTION('center_axis',(0.,0.,1.)); #464950=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #464951=DIRECTION('center_axis',(0.,0.,-1.)); #464952=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #464953=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #464954=DIRECTION('center_axis',(0.,0.,1.)); #464955=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #464956=DIRECTION('',(-4.44089209850062E-15,1.,0.)); #464957=DIRECTION('center_axis',(0.,0.,1.)); #464958=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #464959=DIRECTION('',(-1.,-4.730569535969E-15,0.)); #464960=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #464961=DIRECTION('center_axis',(0.,0.,-1.)); #464962=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #464963=DIRECTION('center_axis',(0.,0.,-1.)); #464964=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #464965=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #464966=DIRECTION('center_axis',(0.,0.,1.)); #464967=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #464968=DIRECTION('',(1.,3.572543183515E-15,0.)); #464969=DIRECTION('center_axis',(0.,0.,1.)); #464970=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #464971=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #464972=DIRECTION('center_axis',(0.,0.,-1.)); #464973=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #464974=DIRECTION('',(1.,0.,0.)); #464975=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464976=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #464977=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #464978=DIRECTION('',(1.,3.491481338843E-15,0.)); #464979=DIRECTION('',(3.491481338843E-15,-1.,0.)); #464980=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #464981=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #464982=DIRECTION('',(1.,0.,0.)); #464983=DIRECTION('center_axis',(0.,0.,1.)); #464984=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464985=DIRECTION('center_axis',(0.,0.,-1.)); #464986=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #464987=DIRECTION('center_axis',(0.,0.,1.)); #464988=DIRECTION('ref_axis',(-1.,0.,0.)); #464989=DIRECTION('center_axis',(0.,0.,-1.)); #464990=DIRECTION('ref_axis',(-1.,0.,0.)); #464991=DIRECTION('center_axis',(1.,0.,0.)); #464992=DIRECTION('ref_axis',(0.,1.,0.)); #464993=DIRECTION('',(0.,0.,-1.)); #464994=DIRECTION('',(0.,-1.,0.)); #464995=DIRECTION('',(0.,0.,1.)); #464996=DIRECTION('',(0.,1.,0.)); #464997=DIRECTION('',(0.,0.,1.)); #464998=DIRECTION('',(0.,-1.,0.)); #464999=DIRECTION('center_axis',(0.,1.,0.)); #465000=DIRECTION('ref_axis',(-1.,0.,0.)); #465001=DIRECTION('',(1.,0.,0.)); #465002=DIRECTION('',(0.,0.,-1.)); #465003=DIRECTION('',(-1.,0.,0.)); #465004=DIRECTION('center_axis',(0.,0.,-1.)); #465005=DIRECTION('ref_axis',(-1.,0.,0.)); #465006=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #465007=DIRECTION('',(-1.,-2.77555756156289E-16,0.)); #465008=DIRECTION('',(0.,1.,0.)); #465009=DIRECTION('center_axis',(2.77555756156289E-16,-1.,0.)); #465010=DIRECTION('ref_axis',(1.,2.77555756156289E-16,0.)); #465011=DIRECTION('',(1.,2.77555756156289E-16,0.)); #465012=DIRECTION('',(0.,0.,1.)); #465013=DIRECTION('center_axis',(-1.,0.,0.)); #465014=DIRECTION('ref_axis',(0.,-1.,0.)); #465015=DIRECTION('',(0.,0.,1.)); #465016=DIRECTION('',(0.,-1.,0.)); #465017=DIRECTION('',(0.,1.,0.)); #465018=DIRECTION('center_axis',(0.,0.,1.)); #465019=DIRECTION('ref_axis',(1.,0.,0.)); #465020=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #465021=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #465022=DIRECTION('',(1.,3.572543183515E-15,0.)); #465023=DIRECTION('',(0.,0.,1.)); #465024=DIRECTION('',(1.,3.491481338843E-15,0.)); #465025=DIRECTION('',(0.,0.,1.)); #465026=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465027=DIRECTION('',(0.,0.,-1.)); #465028=DIRECTION('',(1.,3.491481338843E-15,0.)); #465029=DIRECTION('',(0.,0.,1.)); #465030=DIRECTION('',(1.,3.572543183515E-15,0.)); #465031=DIRECTION('center_axis',(0.,0.,1.)); #465032=DIRECTION('ref_axis',(-1.,0.,0.)); #465033=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #465034=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #465035=DIRECTION('',(-1.00308851270177E-30,2.710505431214E-16,-1.)); #465036=DIRECTION('',(1.,3.70074341541719E-15,0.)); #465037=DIRECTION('',(9.463679131916E-31,-2.710505431214E-16,1.)); #465038=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #465039=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #465040=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #465041=DIRECTION('',(3.70074341541719E-15,-1.,-1.770125995895E-16)); #465042=DIRECTION('',(1.,3.70074341541719E-15,0.)); #465043=DIRECTION('',(-3.491481338843E-15,1.,1.770125995895E-16)); #465044=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #465045=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #465046=DIRECTION('',(0.,0.,-1.)); #465047=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #465048=DIRECTION('',(0.,0.,1.)); #465049=DIRECTION('',(1.,3.644320411616E-15,0.)); #465050=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #465051=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #465052=DIRECTION('',(-3.70074341541719E-15,1.,1.770125995895E-16)); #465053=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #465054=DIRECTION('',(3.491481338843E-15,-1.,-1.770125995895E-16)); #465055=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #465056=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #465057=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #465058=DIRECTION('',(0.,0.,-1.)); #465059=DIRECTION('',(0.,0.,1.)); #465060=DIRECTION('',(1.,3.644320411616E-15,0.)); #465061=DIRECTION('center_axis',(-1.,5.446953552317E-15,0.)); #465062=DIRECTION('ref_axis',(-5.446953552317E-15,-1.,0.)); #465063=DIRECTION('',(0.,0.,1.)); #465064=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #465065=DIRECTION('',(0.,0.,1.)); #465066=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #465067=DIRECTION('center_axis',(0.,0.,1.)); #465068=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465069=DIRECTION('center_axis',(0.,0.,1.)); #465070=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465071=DIRECTION('',(0.,0.,-1.)); #465072=DIRECTION('center_axis',(0.,0.,-1.)); #465073=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465074=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #465075=DIRECTION('ref_axis',(0.,0.,1.)); #465076=DIRECTION('',(0.,0.,-1.)); #465077=DIRECTION('',(1.,3.491481338843E-15,0.)); #465078=DIRECTION('',(1.,3.491481338843E-15,0.)); #465079=DIRECTION('center_axis',(0.,0.,1.)); #465080=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465081=DIRECTION('center_axis',(0.,0.,-1.)); #465082=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #465083=DIRECTION('',(-4.279992009742E-15,1.,0.)); #465084=DIRECTION('',(0.510262918304127,-0.860018461548213,0.)); #465085=DIRECTION('center_axis',(0.,0.,-1.)); #465086=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465087=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465088=DIRECTION('',(3.644320411616E-15,-1.,0.)); #465089=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #465090=DIRECTION('ref_axis',(0.,0.,-1.)); #465091=DIRECTION('',(0.,0.,-1.)); #465092=DIRECTION('',(0.,0.,-1.)); #465093=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465094=DIRECTION('center_axis',(1.,4.279992009742E-15,0.)); #465095=DIRECTION('ref_axis',(-4.279992009742E-15,1.,0.)); #465096=DIRECTION('',(-4.279992009742E-15,1.,0.)); #465097=DIRECTION('',(0.,0.,-1.)); #465098=DIRECTION('center_axis',(0.860018461548214,0.510262918304127,0.)); #465099=DIRECTION('ref_axis',(-0.510262918304127,0.860018461548214,0.)); #465100=DIRECTION('',(-0.510262918304127,0.860018461548213,0.)); #465101=DIRECTION('',(0.,0.,1.)); #465102=DIRECTION('center_axis',(0.,0.,1.)); #465103=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465104=DIRECTION('center_axis',(0.,0.,1.)); #465105=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465106=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #465107=DIRECTION('ref_axis',(0.,0.,1.)); #465108=DIRECTION('',(0.,0.,1.)); #465109=DIRECTION('',(1.,3.491481338843E-15,0.)); #465110=DIRECTION('',(0.,0.,1.)); #465111=DIRECTION('',(1.,3.491481338843E-15,0.)); #465112=DIRECTION('center_axis',(0.,0.,1.)); #465113=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465114=DIRECTION('center_axis',(0.,0.,1.)); #465115=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465116=DIRECTION('',(0.,0.,-1.)); #465117=DIRECTION('center_axis',(0.,0.,-1.)); #465118=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465119=DIRECTION('center_axis',(1.,2.498321906492E-15,0.)); #465120=DIRECTION('ref_axis',(-2.498321906492E-15,1.,0.)); #465121=DIRECTION('',(0.,0.,-1.)); #465122=DIRECTION('',(-2.498321906492E-15,1.,0.)); #465123=DIRECTION('',(-2.498321906492E-15,1.,0.)); #465124=DIRECTION('center_axis',(-0.860018461548214,0.510262918304127,0.)); #465125=DIRECTION('ref_axis',(-0.510262918304127,-0.860018461548214,0.)); #465126=DIRECTION('',(-0.510262918304127,-0.860018461548213,0.)); #465127=DIRECTION('',(0.,0.,1.)); #465128=DIRECTION('',(0.510262918304127,0.860018461548213,0.)); #465129=DIRECTION('',(0.,0.,1.)); #465130=DIRECTION('center_axis',(-1.,-2.702970667945E-15,0.)); #465131=DIRECTION('ref_axis',(2.702970667945E-15,-1.,0.)); #465132=DIRECTION('',(2.702970667945E-15,-1.,0.)); #465133=DIRECTION('',(2.702970667945E-15,-1.,0.)); #465134=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #465135=DIRECTION('ref_axis',(0.,0.,-1.)); #465136=DIRECTION('',(0.,0.,1.)); #465137=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465138=DIRECTION('',(0.,0.,1.)); #465139=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465140=DIRECTION('center_axis',(0.,0.,1.)); #465141=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465142=DIRECTION('center_axis',(0.,0.,1.)); #465143=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465144=DIRECTION('center_axis',(0.,0.,-1.)); #465145=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #465146=DIRECTION('center_axis',(0.,0.,-1.)); #465147=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465148=DIRECTION('',(-3.644320411616E-15,1.,0.)); #465149=DIRECTION('',(-1.,0.,0.)); #465150=DIRECTION('',(3.644320411616E-15,-1.,0.)); #465151=DIRECTION('center_axis',(0.,0.,-1.)); #465152=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #465153=DIRECTION('center_axis',(0.,0.,1.)); #465154=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #465155=DIRECTION('',(0.,0.,-1.)); #465156=DIRECTION('center_axis',(0.,0.,1.)); #465157=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #465158=DIRECTION('',(0.,0.,-1.)); #465159=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465160=DIRECTION('ref_axis',(0.,0.,-1.)); #465161=DIRECTION('',(0.,0.,1.)); #465162=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465163=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465164=DIRECTION('ref_axis',(0.,0.,-1.)); #465165=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465166=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465167=DIRECTION('ref_axis',(0.,0.,-1.)); #465168=DIRECTION('',(0.,0.,-1.)); #465169=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465170=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465171=DIRECTION('',(0.,0.,1.)); #465172=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465173=DIRECTION('',(-9.463679131916E-31,2.710505431214E-16,-1.)); #465174=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465175=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #465176=DIRECTION('ref_axis',(0.,0.,1.)); #465177=DIRECTION('',(0.,0.,-1.)); #465178=DIRECTION('',(1.,3.491481338843E-15,0.)); #465179=DIRECTION('',(1.,3.491481338843E-15,0.)); #465180=DIRECTION('center_axis',(0.,0.,-1.)); #465181=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465182=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465183=DIRECTION('',(1.,3.491481338843E-15,0.)); #465184=DIRECTION('',(1.,3.491481338843E-15,0.)); #465185=DIRECTION('center_axis',(0.,0.,1.)); #465186=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465187=DIRECTION('center_axis',(0.,0.,-1.)); #465188=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #465189=DIRECTION('',(1.,3.491481338843E-15,0.)); #465190=DIRECTION('center_axis',(0.,0.,-1.)); #465191=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #465192=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #465193=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465194=DIRECTION('',(1.,3.644320411616E-15,0.)); #465195=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #465196=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #465197=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #465198=DIRECTION('',(0.,0.,1.)); #465199=DIRECTION('',(0.,0.,-1.)); #465200=DIRECTION('center_axis',(0.,0.,1.)); #465201=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465202=DIRECTION('center_axis',(0.,0.,-1.)); #465203=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465204=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #465205=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465206=DIRECTION('',(1.,3.491481338843E-15,0.)); #465207=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #465208=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #465209=DIRECTION('center_axis',(0.,0.,-1.)); #465210=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465211=DIRECTION('',(-1.,0.,0.)); #465212=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #465213=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #465214=DIRECTION('',(1.00308851270177E-30,-2.710505431214E-16,1.)); #465215=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #465216=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #465217=DIRECTION('',(0.,0.,1.)); #465218=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #465219=DIRECTION('',(0.,0.,-1.)); #465220=DIRECTION('',(1.,3.70074341541719E-15,0.)); #465221=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #465222=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #465223=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #465224=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #465225=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465226=DIRECTION('ref_axis',(0.,0.,1.)); #465227=DIRECTION('',(1.,3.70074341541719E-15,0.)); #465228=DIRECTION('center_axis',(0.,0.,-1.)); #465229=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465230=DIRECTION('',(-3.644320411616E-15,1.,0.)); #465231=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465232=DIRECTION('',(1.,3.70074341541719E-15,0.)); #465233=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #465234=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #465235=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #465236=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #465237=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465238=DIRECTION('ref_axis',(0.,0.,1.)); #465239=DIRECTION('',(1.,3.70074341541719E-15,0.)); #465240=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #465241=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #465242=DIRECTION('',(0.,0.,-1.)); #465243=DIRECTION('',(0.,0.,1.)); #465244=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #465245=DIRECTION('center_axis',(0.,0.,1.)); #465246=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465247=DIRECTION('',(-3.644320411616E-15,1.,0.)); #465248=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465249=DIRECTION('',(1.,3.491481338843E-15,0.)); #465250=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #465251=DIRECTION('ref_axis',(0.,0.,-1.)); #465252=DIRECTION('',(0.,0.,-1.)); #465253=DIRECTION('',(1.,3.491481338843E-15,0.)); #465254=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #465255=DIRECTION('ref_axis',(0.,0.,-1.)); #465256=DIRECTION('',(0.,0.,1.)); #465257=DIRECTION('',(1.,3.491481338843E-15,0.)); #465258=DIRECTION('',(1.,3.491481338843E-15,0.)); #465259=DIRECTION('center_axis',(0.,0.,1.)); #465260=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465261=DIRECTION('',(3.644320411616E-15,-1.,0.)); #465262=DIRECTION('',(1.,3.644320411616E-15,0.)); #465263=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #465264=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #465265=DIRECTION('',(0.,0.,1.)); #465266=DIRECTION('',(1.,3.644320411616E-15,0.)); #465267=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #465268=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #465269=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #465270=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #465271=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #465272=DIRECTION('center_axis',(0.,0.,-1.)); #465273=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465274=DIRECTION('',(3.644320411616E-15,-1.,0.)); #465275=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #465276=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #465277=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #465278=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #465279=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #465280=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465281=DIRECTION('ref_axis',(0.,0.,1.)); #465282=DIRECTION('',(0.,0.,-1.)); #465283=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465284=DIRECTION('center_axis',(0.,-1.,0.)); #465285=DIRECTION('ref_axis',(0.,0.,-1.)); #465286=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465287=DIRECTION('center_axis',(0.,0.,1.)); #465288=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465289=DIRECTION('center_axis',(0.,0.,-1.)); #465290=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465291=DIRECTION('',(3.644320411616E-15,-1.,0.)); #465292=DIRECTION('',(-1.,0.,0.)); #465293=DIRECTION('center_axis',(0.,0.,-1.)); #465294=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #465295=DIRECTION('',(0.,0.,1.)); #465296=DIRECTION('',(0.,0.,-1.)); #465297=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #465298=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #465299=DIRECTION('',(0.,0.,-1.)); #465300=DIRECTION('',(-3.644320411616E-15,1.,0.)); #465301=DIRECTION('',(-3.644320411616E-15,1.,0.)); #465302=DIRECTION('',(0.,0.,1.)); #465303=DIRECTION('',(3.644320411616E-15,-1.,0.)); #465304=DIRECTION('',(3.644320411616E-15,-1.,0.)); #465305=DIRECTION('center_axis',(0.,0.,1.)); #465306=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465307=DIRECTION('center_axis',(0.,0.,-1.)); #465308=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #465309=DIRECTION('center_axis',(0.,0.,1.)); #465310=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #465311=DIRECTION('center_axis',(0.,0.,1.)); #465312=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #465313=DIRECTION('center_axis',(0.,0.,1.)); #465314=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465315=DIRECTION('center_axis',(0.,0.,-1.)); #465316=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465317=DIRECTION('',(-1.,0.,0.)); #465318=DIRECTION('center_axis',(0.,0.,1.)); #465319=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465320=DIRECTION('center_axis',(0.,0.,1.)); #465321=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465322=DIRECTION('',(0.,0.,1.)); #465323=DIRECTION('center_axis',(0.,0.,1.)); #465324=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #465325=DIRECTION('',(0.,0.,-1.)); #465326=DIRECTION('center_axis',(0.,0.,-1.)); #465327=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #465328=DIRECTION('',(0.,0.,1.)); #465329=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #465330=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #465331=DIRECTION('',(0.,0.,1.)); #465332=DIRECTION('center_axis',(0.,0.,-1.)); #465333=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #465334=DIRECTION('',(0.,0.,-1.)); #465335=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #465336=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #465337=DIRECTION('',(0.,0.,1.)); #465338=DIRECTION('',(-3.644320411616E-15,1.,0.)); #465339=DIRECTION('',(-3.644320411616E-15,1.,0.)); #465340=DIRECTION('',(0.,0.,-1.)); #465341=DIRECTION('center_axis',(0.,0.,-1.)); #465342=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465343=DIRECTION('center_axis',(0.,0.,1.)); #465344=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #465345=DIRECTION('center_axis',(0.,0.,-1.)); #465346=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #465347=DIRECTION('center_axis',(0.,-1.,0.)); #465348=DIRECTION('ref_axis',(0.,0.,-1.)); #465349=DIRECTION('',(0.,0.,-1.)); #465350=DIRECTION('',(1.,0.,0.)); #465351=DIRECTION('center_axis',(0.,0.,1.)); #465352=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #465353=DIRECTION('center_axis',(0.,0.,1.)); #465354=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465355=DIRECTION('center_axis',(0.,0.,-1.)); #465356=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465357=DIRECTION('center_axis',(0.,0.,-1.)); #465358=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465359=DIRECTION('',(1.,4.44089209850063E-15,0.)); #465360=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #465361=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465362=DIRECTION('center_axis',(0.,0.,1.)); #465363=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #465364=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465365=DIRECTION('center_axis',(0.,0.,1.)); #465366=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #465367=DIRECTION('center_axis',(0.,0.,-1.)); #465368=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #465369=DIRECTION('center_axis',(0.,0.,-1.)); #465370=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #465371=DIRECTION('',(0.,0.,1.)); #465372=DIRECTION('',(0.,0.,-1.)); #465373=DIRECTION('center_axis',(0.,0.,-1.)); #465374=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465375=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #465376=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #465377=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #465378=DIRECTION('',(1.,4.44089209850063E-15,0.)); #465379=DIRECTION('center_axis',(-7.137153981444E-15,0.,-1.)); #465380=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #465381=DIRECTION('center_axis',(0.,0.,1.)); #465382=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #465383=DIRECTION('center_axis',(0.,0.,-1.)); #465384=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465385=DIRECTION('center_axis',(0.,0.,1.)); #465386=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #465387=DIRECTION('',(-1.,0.,0.)); #465388=DIRECTION('',(3.491481338843E-15,-1.,-1.51788304148E-15)); #465389=DIRECTION('',(1.,5.55111512312578E-15,0.)); #465390=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #465391=DIRECTION('',(1.,3.491481338843E-15,0.)); #465392=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #465393=DIRECTION('',(1.,5.55111512312578E-15,0.)); #465394=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #465395=DIRECTION('',(-1.,0.,0.)); #465396=DIRECTION('center_axis',(0.,0.,1.)); #465397=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #465398=DIRECTION('',(-1.,0.,0.)); #465399=DIRECTION('center_axis',(0.,0.,1.)); #465400=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #465401=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465402=DIRECTION('center_axis',(0.,0.,-1.)); #465403=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #465404=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #465405=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #465406=DIRECTION('',(1.,4.44089209850062E-15,0.)); #465407=DIRECTION('center_axis',(0.,0.,-1.)); #465408=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #465409=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465410=DIRECTION('center_axis',(0.,0.,1.)); #465411=DIRECTION('ref_axis',(-2.891205793295E-15,-1.,0.)); #465412=DIRECTION('',(-1.,0.,0.)); #465413=DIRECTION('',(0.,1.,0.)); #465414=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #465415=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #465416=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465417=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465418=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #465419=DIRECTION('',(0.,1.,0.)); #465420=DIRECTION('center_axis',(0.,0.,1.)); #465421=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #465422=DIRECTION('',(1.,0.,0.)); #465423=DIRECTION('center_axis',(0.,0.,1.)); #465424=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #465425=DIRECTION('',(4.44089209850062E-15,-1.,0.)); #465426=DIRECTION('center_axis',(0.,0.,-1.)); #465427=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #465428=DIRECTION('',(1.,4.44089209850062E-15,0.)); #465429=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #465430=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #465431=DIRECTION('center_axis',(0.,0.,-1.)); #465432=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #465433=DIRECTION('',(1.323076993872E-15,-1.,0.)); #465434=DIRECTION('center_axis',(0.,0.,1.)); #465435=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #465436=DIRECTION('',(1.,0.,0.)); #465437=DIRECTION('center_axis',(7.13715398144343E-15,0.,1.)); #465438=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #465439=DIRECTION('',(7.13715398144343E-15,0.,1.)); #465440=DIRECTION('center_axis',(0.,1.,0.)); #465441=DIRECTION('ref_axis',(0.,0.,1.)); #465442=DIRECTION('',(0.,0.,-1.)); #465443=DIRECTION('center_axis',(7.13714620256007E-15,0.,1.)); #465444=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #465445=DIRECTION('center_axis',(0.,-1.,0.)); #465446=DIRECTION('ref_axis',(0.,0.,-1.)); #465447=DIRECTION('center_axis',(-7.13714620256054E-15,0.,-1.)); #465448=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #465449=DIRECTION('',(-7.13714620256054E-15,0.,-1.)); #465450=DIRECTION('center_axis',(-1.,-3.56857310128027E-15,7.13714620256054E-15)); #465451=DIRECTION('ref_axis',(7.13714620256054E-15,0.,1.)); #465452=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #465453=DIRECTION('center_axis',(-1.,-4.358843076832E-15,0.)); #465454=DIRECTION('ref_axis',(4.358843076832E-15,-1.,0.)); #465455=DIRECTION('',(0.,0.,-1.)); #465456=DIRECTION('center_axis',(0.,1.,0.)); #465457=DIRECTION('ref_axis',(0.,0.,1.)); #465458=DIRECTION('',(0.,0.,1.)); #465459=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465460=DIRECTION('ref_axis',(0.,0.,1.)); #465461=DIRECTION('',(0.,0.,1.)); #465462=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465463=DIRECTION('ref_axis',(0.,0.,-1.)); #465464=DIRECTION('',(0.,0.,-1.)); #465465=DIRECTION('center_axis',(1.,2.624119600855E-15,0.)); #465466=DIRECTION('ref_axis',(-2.624119600855E-15,1.,0.)); #465467=DIRECTION('',(0.,0.,1.)); #465468=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #465469=DIRECTION('ref_axis',(0.,0.,-1.)); #465470=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #465471=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #465472=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #465473=DIRECTION('',(0.,0.,1.)); #465474=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #465475=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #465476=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465477=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #465478=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #465479=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #465480=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #465481=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #465482=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465483=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #465484=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #465485=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #465486=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465487=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #465488=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465489=DIRECTION('ref_axis',(0.,0.,-1.)); #465490=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465491=DIRECTION('ref_axis',(0.,0.,-1.)); #465492=DIRECTION('',(1.,3.491481338843E-15,0.)); #465493=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465494=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #465495=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465496=DIRECTION('ref_axis',(0.,0.,-1.)); #465497=DIRECTION('',(-1.,-3.491481338843E-15,1.730597518943E-45)); #465498=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465499=DIRECTION('ref_axis',(0.,0.,-1.)); #465500=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #465501=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #465502=DIRECTION('',(0.,0.,1.)); #465503=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #465504=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #465505=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #465506=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #465507=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #465508=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #465509=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #465510=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #465511=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #465512=DIRECTION('',(1.,3.491481338843E-15,0.)); #465513=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #465514=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #465515=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #465516=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #465517=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #465518=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #465519=DIRECTION('',(-3.491481338843E-15,1.,0.)); #465520=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #465521=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #465522=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #465523=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #465524=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465525=DIRECTION('center_axis',(0.,0.,1.)); #465526=DIRECTION('ref_axis',(1.,0.,0.)); #465527=DIRECTION('',(3.491481338843E-15,-1.,0.)); #465528=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #465529=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #465530=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #465531=DIRECTION('ref_axis',(0.,0.,1.)); #465532=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #465533=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #465534=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #465535=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #465536=DIRECTION('',(0.,0.,1.)); #465537=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #465538=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #465539=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #465540=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #465541=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #465542=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #465543=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #465544=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #465545=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #465546=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #465547=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #465548=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #465549=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465550=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #465551=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465552=DIRECTION('ref_axis',(0.,0.,1.)); #465553=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465554=DIRECTION('ref_axis',(0.,0.,1.)); #465555=DIRECTION('',(-1.,-3.780601918173E-15,0.)); #465556=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465557=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #465558=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #465559=DIRECTION('ref_axis',(0.,0.,1.)); #465560=DIRECTION('',(1.,3.491481338843E-15,9.806685017958E-31)); #465561=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465562=DIRECTION('ref_axis',(0.,0.,1.)); #465563=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #465564=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #465565=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #465566=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #465567=DIRECTION('',(0.,0.,1.)); #465568=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #465569=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #465570=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #465571=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #465572=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #465573=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #465574=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #465575=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #465576=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #465577=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #465578=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #465579=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #465580=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #465581=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #465582=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #465583=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #465584=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #465585=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #465586=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #465587=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #465588=DIRECTION('ref_axis',(1.,0.,0.)); #465589=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #465590=DIRECTION('center_axis',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #465591=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #465592=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #465593=DIRECTION('ref_axis',(0.,0.,1.)); #465594=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #465595=DIRECTION('ref_axis',(0.,0.,-1.)); #465596=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #465597=DIRECTION('ref_axis',(0.,0.,1.)); #465598=DIRECTION('',(0.,0.,-1.)); #465599=DIRECTION('center_axis',(1.,3.56857699072172E-15,-7.13715398144343E-15)); #465600=DIRECTION('ref_axis',(-7.13715398144343E-15,0.,-1.)); #465601=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #465602=DIRECTION('ref_axis',(0.,0.,-1.)); #465603=DIRECTION('',(0.,0.,-1.)); #465604=DIRECTION('center_axis',(-9.81833656705719E-31,1.73472347597681E-16, -1.)); #465605=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #465606=DIRECTION('',(-9.81833656705719E-31,1.73472347597681E-16,-1.)); #465607=DIRECTION('',(9.81833656705719E-31,-1.73472347597681E-16,1.)); #465608=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #465609=DIRECTION('ref_axis',(0.,-1.73472347597681E-16,1.)); #465610=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #465611=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #465612=DIRECTION('',(3.407492542097E-15,-1.73472347597666E-16,1.)); #465613=DIRECTION('',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #465614=DIRECTION('',(-3.407492542097E-15,1.73472347597666E-16,-1.)); #465615=DIRECTION('center_axis',(4.44089209850063E-15,-1.,-1.73472347597681E-16)); #465616=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #465617=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #465618=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #465619=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #465620=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #465621=DIRECTION('',(1.,4.44089209850063E-15,-1.0053794163196E-30)); #465622=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #465623=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #465624=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #465625=DIRECTION('ref_axis',(1.,0.,0.)); #465626=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #465627=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #465628=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #465629=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #465630=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #465631=DIRECTION('',(3.321410409826E-15,-1.,1.700709290173E-16)); #465632=DIRECTION('',(-3.407492542097E-15,1.73472347597665E-16,-1.)); #465633=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #465634=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #465635=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #465636=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #465637=DIRECTION('center_axis',(2.29517272179458E-31,-1.73472347597681E-16, 1.)); #465638=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #465639=DIRECTION('',(2.29517272179458E-31,-1.73472347597681E-16,1.)); #465640=DIRECTION('',(-2.29517272179458E-31,1.73472347597681E-16,-1.)); #465641=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #465642=DIRECTION('ref_axis',(0.,1.73472347597681E-16,-1.)); #465643=DIRECTION('center_axis',(-4.44089209850062E-15,1.,1.73472347597681E-16)); #465644=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #465645=DIRECTION('',(3.407492542097E-15,-1.73472347597665E-16,1.)); #465646=DIRECTION('',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #465647=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #465648=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #465649=DIRECTION('center_axis',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #465650=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #465651=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #465652=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #465653=DIRECTION('',(-1.,-4.44089209850062E-15,1.0053794163196E-30)); #465654=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #465655=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #465656=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #465657=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #465658=DIRECTION('',(-3.321410409826E-15,1.,-1.700709290173E-16)); #465659=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,0.)); #465660=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #465661=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #465662=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #465663=DIRECTION('',(1.,4.44089209850063E-15,0.)); #465664=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #465665=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #465666=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #465667=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #465668=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #465669=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #465670=DIRECTION('',(3.66155226786E-15,-1.,1.700709290173E-16)); #465671=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #465672=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #465673=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #465674=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465675=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465676=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465677=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #465678=DIRECTION('ref_axis',(0.,0.,1.)); #465679=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #465680=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #465681=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #465682=DIRECTION('',(1.,4.44089209850063E-15,0.)); #465683=DIRECTION('',(0.,0.,1.)); #465684=DIRECTION('center_axis',(4.44089209850063E-15,-1.,0.)); #465685=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #465686=DIRECTION('center_axis',(0.,0.,1.)); #465687=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #465688=DIRECTION('',(0.,0.,-1.)); #465689=DIRECTION('center_axis',(1.,4.44089209850062E-15,0.)); #465690=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #465691=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #465692=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #465693=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #465694=DIRECTION('',(1.,4.44089209850062E-15,0.)); #465695=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #465696=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #465697=DIRECTION('',(-3.66155226786E-15,1.,0.)); #465698=DIRECTION('',(-3.66155226786E-15,1.,0.)); #465699=DIRECTION('',(-3.66155226786E-15,1.,0.)); #465700=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #465701=DIRECTION('',(-3.66155226786E-15,1.,-1.700709290173E-16)); #465702=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #465703=DIRECTION('ref_axis',(0.,0.,-1.)); #465704=DIRECTION('center_axis',(-4.44089209850062E-15,1.,0.)); #465705=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #465706=DIRECTION('',(0.,0.,-1.)); #465707=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #465708=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #465709=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #465710=DIRECTION('center_axis',(0.,0.,-1.)); #465711=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #465712=DIRECTION('',(0.,0.,1.)); #465713=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #465714=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #465715=DIRECTION('center_axis',(0.,0.,-1.)); #465716=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #465717=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #465718=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #465719=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #465720=DIRECTION('center_axis',(0.,0.,1.)); #465721=DIRECTION('ref_axis',(1.,0.,0.)); #465722=DIRECTION('',(1.,3.491481338843E-15,0.)); #465723=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #465724=DIRECTION('ref_axis',(1.323076993872E-15,-1.,0.)); #465725=DIRECTION('',(0.,0.,-1.)); #465726=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #465727=DIRECTION('ref_axis',(5.659885683814E-15,-1.,0.)); #465728=DIRECTION('',(0.,0.,-1.)); #465729=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #465730=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #465731=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #465732=DIRECTION('ref_axis',(1.,0.,0.)); #465733=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #465734=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #465735=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #465736=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #465737=DIRECTION('ref_axis',(-5.659885683814E-15,1.,0.)); #465738=DIRECTION('',(0.,0.,-1.)); #465739=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #465740=DIRECTION('ref_axis',(-1.323076993872E-15,1.,0.)); #465741=DIRECTION('',(0.,0.,-1.)); #465742=DIRECTION('center_axis',(0.,0.,1.)); #465743=DIRECTION('ref_axis',(1.,0.,0.)); #465744=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465745=DIRECTION('center_axis',(4.730569535969E-15,-1.,0.)); #465746=DIRECTION('ref_axis',(1.,4.730569535969E-15,0.)); #465747=DIRECTION('',(0.,0.,-1.)); #465748=DIRECTION('center_axis',(-4.730569535969E-15,1.,0.)); #465749=DIRECTION('ref_axis',(-1.,-4.730569535969E-15,0.)); #465750=DIRECTION('',(0.,0.,-1.)); #465751=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #465752=DIRECTION('center_axis',(0.,0.,-1.)); #465753=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #465754=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #465755=DIRECTION('center_axis',(0.,0.,-1.)); #465756=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #465757=DIRECTION('center_axis',(0.,0.,1.)); #465758=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #465759=DIRECTION('center_axis',(0.,0.,1.)); #465760=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #465761=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465762=DIRECTION('ref_axis',(0.,0.,-1.)); #465763=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #465764=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #465765=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465766=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #465767=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #465768=DIRECTION('',(1.,3.491481338843E-15,0.)); #465769=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465770=DIRECTION('ref_axis',(0.,0.,-1.)); #465771=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #465772=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #465773=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465774=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #465775=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #465776=DIRECTION('',(1.,3.491481338843E-15,0.)); #465777=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465778=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465779=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #465780=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465781=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465782=DIRECTION('',(1.,3.491481338843E-15,0.)); #465783=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465784=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465785=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465786=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465787=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #465788=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465789=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #465790=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465791=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465792=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #465793=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #465794=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #465795=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465796=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465797=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465798=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465799=DIRECTION('ref_axis',(2.478176394253E-15,-1.21333938210291E-16, 1.)); #465800=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #465801=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #465802=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465803=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465804=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #465805=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465806=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #465807=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #465808=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #465809=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #465810=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465811=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #465812=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465813=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #465814=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #465815=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465816=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #465817=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #465818=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #465819=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465820=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #465821=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #465822=DIRECTION('ref_axis',(-0.959437118914254,-3.18668443433527E-15, -0.281922710772857)); #465823=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465824=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465825=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465826=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465827=DIRECTION('ref_axis',(1.156482317318E-14,-1.2133393821026E-16,1.)); #465828=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #465829=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #465830=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #465831=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #465832=DIRECTION('center_axis',(0.,0.,-1.)); #465833=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #465834=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465835=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465836=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465837=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #465838=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465839=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465840=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #465841=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #465842=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #465843=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465844=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #465845=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #465846=DIRECTION('',(3.440251503174E-15,-1.,-7.8026980481E-17)); #465847=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #465848=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #465849=DIRECTION('',(3.321410409826E-15,-1.,0.)); #465850=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #465851=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #465852=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #465853=DIRECTION('ref_axis',(-0.959437118913447,-3.18668443433259E-15, -0.281922710775605)); #465854=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #465855=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465856=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465857=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465858=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #465859=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #465860=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #465861=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #465862=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #465863=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465864=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465865=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #465866=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465867=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465868=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #465869=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465870=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465871=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #465872=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465873=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465874=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465875=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465876=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #465877=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465878=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465879=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #465880=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465881=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465882=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #465883=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465884=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465885=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465886=DIRECTION('ref_axis',(0.,0.,-1.)); #465887=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #465888=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #465889=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465890=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #465891=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #465892=DIRECTION('',(1.,3.491481338843E-15,0.)); #465893=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #465894=DIRECTION('ref_axis',(0.,0.,-1.)); #465895=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #465896=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #465897=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465898=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #465899=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #465900=DIRECTION('',(1.,3.491481338843E-15,0.)); #465901=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465902=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465903=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #465904=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465905=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465906=DIRECTION('',(1.,3.491481338843E-15,0.)); #465907=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465908=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465909=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465910=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465911=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #465912=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465913=DIRECTION('ref_axis',(-7.434529182758E-15,-1.21333938210326E-16, 1.)); #465914=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #465915=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465916=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #465917=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #465918=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #465919=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465920=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465921=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465922=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465923=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #465924=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #465925=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #465926=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465927=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #465928=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #465929=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465930=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465931=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #465932=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #465933=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465934=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #465935=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465936=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #465937=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #465938=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #465939=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465940=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #465941=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #465942=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465943=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #465944=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #465945=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #465946=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #465947=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #465948=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465949=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #465950=DIRECTION('center_axis',(0.,0.,-1.)); #465951=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #465952=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465953=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465954=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465955=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #465956=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465957=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465958=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #465959=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #465960=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #465961=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465962=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #465963=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #465964=DIRECTION('',(3.542711174512E-15,-1.,-7.8026980481E-17)); #465965=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #465966=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #465967=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #465968=DIRECTION('',(3.66155226786E-15,-1.,0.)); #465969=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #465970=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #465971=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #465972=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #465973=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #465974=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #465975=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465976=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465977=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #465978=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465979=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465980=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #465981=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465982=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #465983=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465984=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #465985=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465986=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465987=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #465988=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #465989=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #465990=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465991=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465992=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #465993=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465994=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465995=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #465996=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #465997=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #465998=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #465999=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #466000=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #466001=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #466002=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #466003=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #466004=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #466005=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #466006=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #466007=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #466008=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #466009=DIRECTION('',(0.,0.,1.)); #466010=DIRECTION('',(1.,0.,0.)); #466011=DIRECTION('axis',(0.,0.,1.)); #466012=DIRECTION('refdir',(1.,0.,0.)); #466013=DIRECTION('axis',(0.,0.,1.)); #466014=DIRECTION('refdir',(1.,0.,0.)); #466015=DIRECTION('center_axis',(0.,0.,-1.)); #466016=DIRECTION('ref_axis',(1.,0.,0.)); #466017=DIRECTION('center_axis',(0.,0.,-1.)); #466018=DIRECTION('ref_axis',(1.,0.,0.)); #466019=DIRECTION('',(0.,0.,-1.)); #466020=DIRECTION('center_axis',(0.,0.,-1.)); #466021=DIRECTION('ref_axis',(1.,0.,0.)); #466022=DIRECTION('center_axis',(0.,0.,1.)); #466023=DIRECTION('ref_axis',(1.,0.,0.)); #466024=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #466025=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #466026=DIRECTION('',(0.,-1.,0.)); #466027=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #466028=DIRECTION('',(0.,1.,0.)); #466029=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #466030=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #466031=DIRECTION('ref_axis',(1.,0.,0.)); #466032=DIRECTION('',(1.,0.,0.)); #466033=DIRECTION('',(-1.,0.,0.)); #466034=DIRECTION('',(0.577350269189629,0.577350269189624,0.577350269189624)); #466035=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #466036=DIRECTION('ref_axis',(1.,0.,0.)); #466037=DIRECTION('',(1.,0.,0.)); #466038=DIRECTION('',(-1.,0.,0.)); #466039=DIRECTION('',(0.577350269189624,-0.577350269189629,0.577350269189624)); #466040=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #466041=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #466042=DIRECTION('',(0.,1.,0.)); #466043=DIRECTION('',(0.,-1.,0.)); #466044=DIRECTION('center_axis',(0.,1.,0.)); #466045=DIRECTION('ref_axis',(1.,0.,0.)); #466046=DIRECTION('',(0.,0.,-1.)); #466047=DIRECTION('',(1.,0.,0.)); #466048=DIRECTION('',(0.,0.,-1.)); #466049=DIRECTION('center_axis',(1.,0.,0.)); #466050=DIRECTION('ref_axis',(0.,-1.,0.)); #466051=DIRECTION('',(0.,0.,-1.)); #466052=DIRECTION('',(0.,-1.,0.)); #466053=DIRECTION('center_axis',(0.,0.,1.)); #466054=DIRECTION('ref_axis',(1.,0.,0.)); #466055=DIRECTION('center_axis',(-1.,0.,0.)); #466056=DIRECTION('ref_axis',(0.,1.,0.)); #466057=DIRECTION('',(0.,1.,0.)); #466058=DIRECTION('',(0.,0.,-1.)); #466059=DIRECTION('center_axis',(0.,-1.,0.)); #466060=DIRECTION('ref_axis',(-1.,0.,0.)); #466061=DIRECTION('',(-1.,0.,0.)); #466062=DIRECTION('center_axis',(0.,0.,-1.)); #466063=DIRECTION('ref_axis',(-1.,0.,0.)); #466064=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466065=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466066=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466067=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466068=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466069=DIRECTION('',(0.,1.,0.)); #466070=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466071=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466072=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466073=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466074=DIRECTION('center_axis',(0.,0.,1.)); #466075=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466076=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466077=DIRECTION('center_axis',(0.,0.,1.)); #466078=DIRECTION('ref_axis',(1.,0.,0.)); #466079=DIRECTION('',(1.,0.,0.)); #466080=DIRECTION('',(0.,1.,0.)); #466081=DIRECTION('',(1.,0.,0.)); #466082=DIRECTION('',(0.,1.,0.)); #466083=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466084=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466085=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466086=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466087=DIRECTION('center_axis',(0.,0.,-1.)); #466088=DIRECTION('ref_axis',(-1.,0.,0.)); #466089=DIRECTION('',(-1.,0.,0.)); #466090=DIRECTION('',(0.,1.,0.)); #466091=DIRECTION('',(-1.,0.,0.)); #466092=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466093=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466094=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466095=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466096=DIRECTION('center_axis',(0.,1.,0.)); #466097=DIRECTION('ref_axis',(0.,0.,1.)); #466098=DIRECTION('center_axis',(0.,1.,0.)); #466099=DIRECTION('ref_axis',(1.,0.,0.)); #466100=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466101=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466102=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466103=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466104=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466105=DIRECTION('',(0.,1.,0.)); #466106=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466107=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466108=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466109=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466110=DIRECTION('center_axis',(0.,0.,-1.)); #466111=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466112=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466113=DIRECTION('center_axis',(0.,0.,-1.)); #466114=DIRECTION('ref_axis',(-1.,0.,0.)); #466115=DIRECTION('',(-1.,0.,0.)); #466116=DIRECTION('',(0.,1.,0.)); #466117=DIRECTION('',(-1.,0.,0.)); #466118=DIRECTION('',(0.,1.,0.)); #466119=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466120=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466121=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466122=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466123=DIRECTION('center_axis',(0.,0.,1.)); #466124=DIRECTION('ref_axis',(1.,0.,0.)); #466125=DIRECTION('',(1.,0.,0.)); #466126=DIRECTION('',(0.,1.,0.)); #466127=DIRECTION('',(1.,0.,0.)); #466128=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466129=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466130=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466131=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466132=DIRECTION('center_axis',(0.,-1.,0.)); #466133=DIRECTION('ref_axis',(0.,0.,1.)); #466134=DIRECTION('center_axis',(0.,-1.,0.)); #466135=DIRECTION('ref_axis',(-1.,0.,0.)); #466136=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466137=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466138=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466139=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466140=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466141=DIRECTION('',(0.,1.,0.)); #466142=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466143=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466144=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466145=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466146=DIRECTION('center_axis',(0.,0.,1.)); #466147=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466148=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466149=DIRECTION('center_axis',(0.,0.,1.)); #466150=DIRECTION('ref_axis',(1.,0.,0.)); #466151=DIRECTION('',(1.,0.,0.)); #466152=DIRECTION('',(0.,1.,0.)); #466153=DIRECTION('',(1.,0.,0.)); #466154=DIRECTION('',(0.,1.,0.)); #466155=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466156=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466157=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466158=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466159=DIRECTION('center_axis',(0.,0.,-1.)); #466160=DIRECTION('ref_axis',(-1.,0.,0.)); #466161=DIRECTION('',(-1.,0.,0.)); #466162=DIRECTION('',(0.,1.,0.)); #466163=DIRECTION('',(-1.,0.,0.)); #466164=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466165=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466166=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466167=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466168=DIRECTION('center_axis',(0.,1.,0.)); #466169=DIRECTION('ref_axis',(0.,0.,1.)); #466170=DIRECTION('center_axis',(0.,1.,0.)); #466171=DIRECTION('ref_axis',(1.,0.,0.)); #466172=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466173=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466174=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466175=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466176=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466177=DIRECTION('',(0.,1.,0.)); #466178=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466179=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466180=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466181=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466182=DIRECTION('center_axis',(0.,0.,1.)); #466183=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466184=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466185=DIRECTION('center_axis',(0.,0.,1.)); #466186=DIRECTION('ref_axis',(1.,0.,0.)); #466187=DIRECTION('',(1.,0.,0.)); #466188=DIRECTION('',(0.,1.,0.)); #466189=DIRECTION('',(1.,0.,0.)); #466190=DIRECTION('',(0.,1.,0.)); #466191=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466192=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466193=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466194=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466195=DIRECTION('center_axis',(0.,0.,-1.)); #466196=DIRECTION('ref_axis',(-1.,0.,0.)); #466197=DIRECTION('',(-1.,0.,0.)); #466198=DIRECTION('',(0.,1.,0.)); #466199=DIRECTION('',(-1.,0.,0.)); #466200=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466201=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466202=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466203=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466204=DIRECTION('center_axis',(0.,1.,0.)); #466205=DIRECTION('ref_axis',(0.,0.,1.)); #466206=DIRECTION('center_axis',(0.,1.,0.)); #466207=DIRECTION('ref_axis',(1.,0.,0.)); #466208=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466209=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466210=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466211=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466212=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466213=DIRECTION('',(0.,1.,0.)); #466214=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466215=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466216=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466217=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466218=DIRECTION('center_axis',(0.,0.,1.)); #466219=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466220=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466221=DIRECTION('center_axis',(0.,0.,1.)); #466222=DIRECTION('ref_axis',(1.,0.,0.)); #466223=DIRECTION('',(1.,0.,0.)); #466224=DIRECTION('',(0.,1.,0.)); #466225=DIRECTION('',(1.,0.,0.)); #466226=DIRECTION('',(0.,1.,0.)); #466227=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466228=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466229=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466230=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466231=DIRECTION('center_axis',(0.,0.,-1.)); #466232=DIRECTION('ref_axis',(-1.,0.,0.)); #466233=DIRECTION('',(-1.,0.,0.)); #466234=DIRECTION('',(0.,1.,0.)); #466235=DIRECTION('',(-1.,0.,0.)); #466236=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466237=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466238=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466239=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466240=DIRECTION('center_axis',(0.,1.,0.)); #466241=DIRECTION('ref_axis',(0.,0.,1.)); #466242=DIRECTION('center_axis',(0.,1.,0.)); #466243=DIRECTION('ref_axis',(1.,0.,0.)); #466244=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466245=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466246=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466247=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466248=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466249=DIRECTION('',(0.,1.,0.)); #466250=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466251=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466252=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466253=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466254=DIRECTION('center_axis',(0.,0.,1.)); #466255=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466256=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466257=DIRECTION('center_axis',(0.,0.,1.)); #466258=DIRECTION('ref_axis',(1.,0.,0.)); #466259=DIRECTION('',(1.,0.,0.)); #466260=DIRECTION('',(0.,1.,0.)); #466261=DIRECTION('',(1.,0.,0.)); #466262=DIRECTION('',(0.,1.,0.)); #466263=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466264=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466265=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466266=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466267=DIRECTION('center_axis',(0.,0.,-1.)); #466268=DIRECTION('ref_axis',(-1.,0.,0.)); #466269=DIRECTION('',(-1.,0.,0.)); #466270=DIRECTION('',(0.,1.,0.)); #466271=DIRECTION('',(-1.,0.,0.)); #466272=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466273=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466274=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466275=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466276=DIRECTION('center_axis',(0.,1.,0.)); #466277=DIRECTION('ref_axis',(0.,0.,1.)); #466278=DIRECTION('center_axis',(0.,1.,0.)); #466279=DIRECTION('ref_axis',(1.,0.,0.)); #466280=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466281=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466282=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466283=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466284=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466285=DIRECTION('',(0.,1.,0.)); #466286=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466287=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466288=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466289=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466290=DIRECTION('center_axis',(0.,0.,1.)); #466291=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466292=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466293=DIRECTION('center_axis',(0.,0.,1.)); #466294=DIRECTION('ref_axis',(1.,0.,0.)); #466295=DIRECTION('',(1.,0.,0.)); #466296=DIRECTION('',(0.,1.,0.)); #466297=DIRECTION('',(1.,0.,0.)); #466298=DIRECTION('',(0.,1.,0.)); #466299=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466300=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466301=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466302=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466303=DIRECTION('center_axis',(0.,0.,-1.)); #466304=DIRECTION('ref_axis',(-1.,0.,0.)); #466305=DIRECTION('',(-1.,0.,0.)); #466306=DIRECTION('',(0.,1.,0.)); #466307=DIRECTION('',(-1.,0.,0.)); #466308=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466309=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466310=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466311=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466312=DIRECTION('center_axis',(0.,1.,0.)); #466313=DIRECTION('ref_axis',(0.,0.,1.)); #466314=DIRECTION('center_axis',(0.,1.,0.)); #466315=DIRECTION('ref_axis',(1.,0.,0.)); #466316=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466317=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466318=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466319=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466320=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466321=DIRECTION('',(0.,1.,0.)); #466322=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466323=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466324=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466325=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466326=DIRECTION('center_axis',(0.,0.,1.)); #466327=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466328=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466329=DIRECTION('center_axis',(0.,0.,1.)); #466330=DIRECTION('ref_axis',(1.,0.,0.)); #466331=DIRECTION('',(1.,0.,0.)); #466332=DIRECTION('',(0.,1.,0.)); #466333=DIRECTION('',(1.,0.,0.)); #466334=DIRECTION('',(0.,1.,0.)); #466335=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466336=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466337=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466338=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466339=DIRECTION('center_axis',(0.,0.,-1.)); #466340=DIRECTION('ref_axis',(-1.,0.,0.)); #466341=DIRECTION('',(-1.,0.,0.)); #466342=DIRECTION('',(0.,1.,0.)); #466343=DIRECTION('',(-1.,0.,0.)); #466344=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466345=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466346=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466347=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466348=DIRECTION('center_axis',(0.,1.,0.)); #466349=DIRECTION('ref_axis',(0.,0.,1.)); #466350=DIRECTION('center_axis',(0.,1.,0.)); #466351=DIRECTION('ref_axis',(1.,0.,0.)); #466352=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466353=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466354=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466355=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466356=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466357=DIRECTION('',(0.,1.,0.)); #466358=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466359=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466360=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466361=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466362=DIRECTION('center_axis',(0.,0.,1.)); #466363=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466364=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466365=DIRECTION('center_axis',(0.,0.,1.)); #466366=DIRECTION('ref_axis',(1.,0.,0.)); #466367=DIRECTION('',(1.,0.,0.)); #466368=DIRECTION('',(0.,1.,0.)); #466369=DIRECTION('',(1.,0.,0.)); #466370=DIRECTION('',(0.,1.,0.)); #466371=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466372=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466373=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466374=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466375=DIRECTION('center_axis',(0.,0.,-1.)); #466376=DIRECTION('ref_axis',(-1.,0.,0.)); #466377=DIRECTION('',(-1.,0.,0.)); #466378=DIRECTION('',(0.,1.,0.)); #466379=DIRECTION('',(-1.,0.,0.)); #466380=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466381=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466382=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466383=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466384=DIRECTION('center_axis',(0.,1.,0.)); #466385=DIRECTION('ref_axis',(0.,0.,1.)); #466386=DIRECTION('center_axis',(0.,1.,0.)); #466387=DIRECTION('ref_axis',(1.,0.,0.)); #466388=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466389=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466390=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466391=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466392=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466393=DIRECTION('',(0.,1.,0.)); #466394=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466395=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466396=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466397=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466398=DIRECTION('center_axis',(0.,0.,1.)); #466399=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466400=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466401=DIRECTION('center_axis',(0.,0.,1.)); #466402=DIRECTION('ref_axis',(1.,0.,0.)); #466403=DIRECTION('',(1.,0.,0.)); #466404=DIRECTION('',(0.,1.,0.)); #466405=DIRECTION('',(1.,0.,0.)); #466406=DIRECTION('',(0.,1.,0.)); #466407=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466408=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466409=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466410=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466411=DIRECTION('center_axis',(0.,0.,-1.)); #466412=DIRECTION('ref_axis',(-1.,0.,0.)); #466413=DIRECTION('',(-1.,0.,0.)); #466414=DIRECTION('',(0.,1.,0.)); #466415=DIRECTION('',(-1.,0.,0.)); #466416=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466417=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466418=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466419=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466420=DIRECTION('center_axis',(0.,1.,0.)); #466421=DIRECTION('ref_axis',(0.,0.,1.)); #466422=DIRECTION('center_axis',(0.,1.,0.)); #466423=DIRECTION('ref_axis',(1.,0.,0.)); #466424=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466425=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466426=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466427=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466428=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466429=DIRECTION('',(0.,1.,0.)); #466430=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466431=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466432=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466433=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466434=DIRECTION('center_axis',(0.,0.,1.)); #466435=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466436=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466437=DIRECTION('center_axis',(0.,0.,1.)); #466438=DIRECTION('ref_axis',(1.,0.,0.)); #466439=DIRECTION('',(1.,0.,0.)); #466440=DIRECTION('',(0.,1.,0.)); #466441=DIRECTION('',(1.,0.,0.)); #466442=DIRECTION('',(0.,1.,0.)); #466443=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466444=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466445=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466446=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466447=DIRECTION('center_axis',(0.,0.,-1.)); #466448=DIRECTION('ref_axis',(-1.,0.,0.)); #466449=DIRECTION('',(-1.,0.,0.)); #466450=DIRECTION('',(0.,1.,0.)); #466451=DIRECTION('',(-1.,0.,0.)); #466452=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466453=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466454=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466455=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466456=DIRECTION('center_axis',(0.,1.,0.)); #466457=DIRECTION('ref_axis',(0.,0.,1.)); #466458=DIRECTION('center_axis',(0.,1.,0.)); #466459=DIRECTION('ref_axis',(1.,0.,0.)); #466460=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466461=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466462=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466463=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466464=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466465=DIRECTION('',(0.,1.,0.)); #466466=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466467=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466468=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466469=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466470=DIRECTION('center_axis',(0.,0.,1.)); #466471=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466472=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466473=DIRECTION('center_axis',(0.,0.,1.)); #466474=DIRECTION('ref_axis',(1.,0.,0.)); #466475=DIRECTION('',(1.,0.,0.)); #466476=DIRECTION('',(0.,1.,0.)); #466477=DIRECTION('',(1.,0.,0.)); #466478=DIRECTION('',(0.,1.,0.)); #466479=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466480=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466481=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466482=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466483=DIRECTION('center_axis',(0.,0.,-1.)); #466484=DIRECTION('ref_axis',(-1.,0.,0.)); #466485=DIRECTION('',(-1.,0.,0.)); #466486=DIRECTION('',(0.,1.,0.)); #466487=DIRECTION('',(-1.,0.,0.)); #466488=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466489=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466490=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466491=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466492=DIRECTION('center_axis',(0.,1.,0.)); #466493=DIRECTION('ref_axis',(0.,0.,1.)); #466494=DIRECTION('center_axis',(0.,1.,0.)); #466495=DIRECTION('ref_axis',(1.,0.,0.)); #466496=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #466497=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #466498=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #466499=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466500=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466501=DIRECTION('',(0.,1.,0.)); #466502=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #466503=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #466504=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466505=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #466506=DIRECTION('center_axis',(0.,0.,1.)); #466507=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466508=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #466509=DIRECTION('center_axis',(0.,0.,1.)); #466510=DIRECTION('ref_axis',(1.,0.,0.)); #466511=DIRECTION('',(1.,0.,0.)); #466512=DIRECTION('',(0.,1.,0.)); #466513=DIRECTION('',(1.,0.,0.)); #466514=DIRECTION('',(0.,1.,0.)); #466515=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #466516=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #466517=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466518=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #466519=DIRECTION('center_axis',(0.,0.,-1.)); #466520=DIRECTION('ref_axis',(-1.,0.,0.)); #466521=DIRECTION('',(-1.,0.,0.)); #466522=DIRECTION('',(0.,1.,0.)); #466523=DIRECTION('',(-1.,0.,0.)); #466524=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #466525=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #466526=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466527=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #466528=DIRECTION('center_axis',(0.,1.,0.)); #466529=DIRECTION('ref_axis',(0.,0.,1.)); #466530=DIRECTION('center_axis',(0.,1.,0.)); #466531=DIRECTION('ref_axis',(1.,0.,0.)); #466532=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466533=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466534=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466535=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466536=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466537=DIRECTION('',(0.,1.,0.)); #466538=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466539=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466540=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466541=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466542=DIRECTION('center_axis',(0.,0.,-1.)); #466543=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466544=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466545=DIRECTION('center_axis',(0.,0.,-1.)); #466546=DIRECTION('ref_axis',(-1.,0.,0.)); #466547=DIRECTION('',(-1.,0.,0.)); #466548=DIRECTION('',(0.,1.,0.)); #466549=DIRECTION('',(-1.,0.,0.)); #466550=DIRECTION('',(0.,1.,0.)); #466551=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466552=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466553=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466554=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466555=DIRECTION('center_axis',(0.,0.,1.)); #466556=DIRECTION('ref_axis',(1.,0.,0.)); #466557=DIRECTION('',(1.,0.,0.)); #466558=DIRECTION('',(0.,1.,0.)); #466559=DIRECTION('',(1.,0.,0.)); #466560=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466561=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466562=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466563=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466564=DIRECTION('center_axis',(0.,-1.,0.)); #466565=DIRECTION('ref_axis',(0.,0.,1.)); #466566=DIRECTION('center_axis',(0.,-1.,0.)); #466567=DIRECTION('ref_axis',(-1.,0.,0.)); #466568=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466569=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466570=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466571=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466572=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466573=DIRECTION('',(0.,1.,0.)); #466574=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466575=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466576=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466577=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466578=DIRECTION('center_axis',(0.,0.,-1.)); #466579=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466580=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466581=DIRECTION('center_axis',(0.,0.,-1.)); #466582=DIRECTION('ref_axis',(-1.,0.,0.)); #466583=DIRECTION('',(-1.,0.,0.)); #466584=DIRECTION('',(0.,1.,0.)); #466585=DIRECTION('',(-1.,0.,0.)); #466586=DIRECTION('',(0.,1.,0.)); #466587=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466588=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466589=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466590=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466591=DIRECTION('center_axis',(0.,0.,1.)); #466592=DIRECTION('ref_axis',(1.,0.,0.)); #466593=DIRECTION('',(1.,0.,0.)); #466594=DIRECTION('',(0.,1.,0.)); #466595=DIRECTION('',(1.,0.,0.)); #466596=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466597=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466598=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466599=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466600=DIRECTION('center_axis',(0.,-1.,0.)); #466601=DIRECTION('ref_axis',(0.,0.,1.)); #466602=DIRECTION('center_axis',(0.,-1.,0.)); #466603=DIRECTION('ref_axis',(-1.,0.,0.)); #466604=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466605=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466606=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466607=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466608=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466609=DIRECTION('',(0.,1.,0.)); #466610=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466611=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466612=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466613=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466614=DIRECTION('center_axis',(0.,0.,-1.)); #466615=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466616=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466617=DIRECTION('center_axis',(0.,0.,-1.)); #466618=DIRECTION('ref_axis',(-1.,0.,0.)); #466619=DIRECTION('',(-1.,0.,0.)); #466620=DIRECTION('',(0.,1.,0.)); #466621=DIRECTION('',(-1.,0.,0.)); #466622=DIRECTION('',(0.,1.,0.)); #466623=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466624=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466625=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466626=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466627=DIRECTION('center_axis',(0.,0.,1.)); #466628=DIRECTION('ref_axis',(1.,0.,0.)); #466629=DIRECTION('',(1.,0.,0.)); #466630=DIRECTION('',(0.,1.,0.)); #466631=DIRECTION('',(1.,0.,0.)); #466632=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466633=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466634=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466635=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466636=DIRECTION('center_axis',(0.,-1.,0.)); #466637=DIRECTION('ref_axis',(0.,0.,1.)); #466638=DIRECTION('center_axis',(0.,-1.,0.)); #466639=DIRECTION('ref_axis',(-1.,0.,0.)); #466640=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466641=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466642=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466643=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466644=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466645=DIRECTION('',(0.,1.,0.)); #466646=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466647=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466648=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466649=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466650=DIRECTION('center_axis',(0.,0.,-1.)); #466651=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466652=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466653=DIRECTION('center_axis',(0.,0.,-1.)); #466654=DIRECTION('ref_axis',(-1.,0.,0.)); #466655=DIRECTION('',(-1.,0.,0.)); #466656=DIRECTION('',(0.,1.,0.)); #466657=DIRECTION('',(-1.,0.,0.)); #466658=DIRECTION('',(0.,1.,0.)); #466659=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466660=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466661=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466662=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466663=DIRECTION('center_axis',(0.,0.,1.)); #466664=DIRECTION('ref_axis',(1.,0.,0.)); #466665=DIRECTION('',(1.,0.,0.)); #466666=DIRECTION('',(0.,1.,0.)); #466667=DIRECTION('',(1.,0.,0.)); #466668=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466669=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466670=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466671=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466672=DIRECTION('center_axis',(0.,-1.,0.)); #466673=DIRECTION('ref_axis',(0.,0.,1.)); #466674=DIRECTION('center_axis',(0.,-1.,0.)); #466675=DIRECTION('ref_axis',(-1.,0.,0.)); #466676=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466677=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466678=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466679=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466680=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466681=DIRECTION('',(0.,1.,0.)); #466682=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466683=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466684=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466685=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466686=DIRECTION('center_axis',(0.,0.,-1.)); #466687=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466688=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466689=DIRECTION('center_axis',(0.,0.,-1.)); #466690=DIRECTION('ref_axis',(-1.,0.,0.)); #466691=DIRECTION('',(-1.,0.,0.)); #466692=DIRECTION('',(0.,1.,0.)); #466693=DIRECTION('',(-1.,0.,0.)); #466694=DIRECTION('',(0.,1.,0.)); #466695=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466696=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466697=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466698=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466699=DIRECTION('center_axis',(0.,0.,1.)); #466700=DIRECTION('ref_axis',(1.,0.,0.)); #466701=DIRECTION('',(1.,0.,0.)); #466702=DIRECTION('',(0.,1.,0.)); #466703=DIRECTION('',(1.,0.,0.)); #466704=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466705=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466706=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466707=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466708=DIRECTION('center_axis',(0.,-1.,0.)); #466709=DIRECTION('ref_axis',(0.,0.,1.)); #466710=DIRECTION('center_axis',(0.,-1.,0.)); #466711=DIRECTION('ref_axis',(-1.,0.,0.)); #466712=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466713=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466714=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466715=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466716=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466717=DIRECTION('',(0.,1.,0.)); #466718=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466719=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466720=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466721=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466722=DIRECTION('center_axis',(0.,0.,-1.)); #466723=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466724=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466725=DIRECTION('center_axis',(0.,0.,-1.)); #466726=DIRECTION('ref_axis',(-1.,0.,0.)); #466727=DIRECTION('',(-1.,0.,0.)); #466728=DIRECTION('',(0.,1.,0.)); #466729=DIRECTION('',(-1.,0.,0.)); #466730=DIRECTION('',(0.,1.,0.)); #466731=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466732=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466733=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466734=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466735=DIRECTION('center_axis',(0.,0.,1.)); #466736=DIRECTION('ref_axis',(1.,0.,0.)); #466737=DIRECTION('',(1.,0.,0.)); #466738=DIRECTION('',(0.,1.,0.)); #466739=DIRECTION('',(1.,0.,0.)); #466740=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466741=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466742=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466743=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466744=DIRECTION('center_axis',(0.,-1.,0.)); #466745=DIRECTION('ref_axis',(0.,0.,1.)); #466746=DIRECTION('center_axis',(0.,-1.,0.)); #466747=DIRECTION('ref_axis',(-1.,0.,0.)); #466748=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466749=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466750=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466751=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466752=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466753=DIRECTION('',(0.,1.,0.)); #466754=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466755=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466756=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466757=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466758=DIRECTION('center_axis',(0.,0.,-1.)); #466759=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466760=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466761=DIRECTION('center_axis',(0.,0.,-1.)); #466762=DIRECTION('ref_axis',(-1.,0.,0.)); #466763=DIRECTION('',(-1.,0.,0.)); #466764=DIRECTION('',(0.,1.,0.)); #466765=DIRECTION('',(-1.,0.,0.)); #466766=DIRECTION('',(0.,1.,0.)); #466767=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466768=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466769=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466770=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466771=DIRECTION('center_axis',(0.,0.,1.)); #466772=DIRECTION('ref_axis',(1.,0.,0.)); #466773=DIRECTION('',(1.,0.,0.)); #466774=DIRECTION('',(0.,1.,0.)); #466775=DIRECTION('',(1.,0.,0.)); #466776=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466777=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466778=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466779=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466780=DIRECTION('center_axis',(0.,-1.,0.)); #466781=DIRECTION('ref_axis',(0.,0.,1.)); #466782=DIRECTION('center_axis',(0.,-1.,0.)); #466783=DIRECTION('ref_axis',(-1.,0.,0.)); #466784=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466785=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466786=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466787=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466788=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466789=DIRECTION('',(0.,1.,0.)); #466790=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466791=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466792=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466793=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466794=DIRECTION('center_axis',(0.,0.,-1.)); #466795=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466796=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466797=DIRECTION('center_axis',(0.,0.,-1.)); #466798=DIRECTION('ref_axis',(-1.,0.,0.)); #466799=DIRECTION('',(-1.,0.,0.)); #466800=DIRECTION('',(0.,1.,0.)); #466801=DIRECTION('',(-1.,0.,0.)); #466802=DIRECTION('',(0.,1.,0.)); #466803=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466804=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466805=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466806=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466807=DIRECTION('center_axis',(0.,0.,1.)); #466808=DIRECTION('ref_axis',(1.,0.,0.)); #466809=DIRECTION('',(1.,0.,0.)); #466810=DIRECTION('',(0.,1.,0.)); #466811=DIRECTION('',(1.,0.,0.)); #466812=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466813=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466814=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466815=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466816=DIRECTION('center_axis',(0.,-1.,0.)); #466817=DIRECTION('ref_axis',(0.,0.,1.)); #466818=DIRECTION('center_axis',(0.,-1.,0.)); #466819=DIRECTION('ref_axis',(-1.,0.,0.)); #466820=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466821=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466822=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466823=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466824=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466825=DIRECTION('',(0.,1.,0.)); #466826=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466827=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466828=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466829=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466830=DIRECTION('center_axis',(0.,0.,-1.)); #466831=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466832=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466833=DIRECTION('center_axis',(0.,0.,-1.)); #466834=DIRECTION('ref_axis',(-1.,0.,0.)); #466835=DIRECTION('',(-1.,0.,0.)); #466836=DIRECTION('',(0.,1.,0.)); #466837=DIRECTION('',(-1.,0.,0.)); #466838=DIRECTION('',(0.,1.,0.)); #466839=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466840=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466841=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466842=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466843=DIRECTION('center_axis',(0.,0.,1.)); #466844=DIRECTION('ref_axis',(1.,0.,0.)); #466845=DIRECTION('',(1.,0.,0.)); #466846=DIRECTION('',(0.,1.,0.)); #466847=DIRECTION('',(1.,0.,0.)); #466848=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466849=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466850=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466851=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466852=DIRECTION('center_axis',(0.,-1.,0.)); #466853=DIRECTION('ref_axis',(0.,0.,1.)); #466854=DIRECTION('center_axis',(0.,-1.,0.)); #466855=DIRECTION('ref_axis',(-1.,0.,0.)); #466856=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466857=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466858=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466859=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466860=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466861=DIRECTION('',(0.,1.,0.)); #466862=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466863=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466864=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466865=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466866=DIRECTION('center_axis',(0.,0.,-1.)); #466867=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466868=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466869=DIRECTION('center_axis',(0.,0.,-1.)); #466870=DIRECTION('ref_axis',(-1.,0.,0.)); #466871=DIRECTION('',(-1.,0.,0.)); #466872=DIRECTION('',(0.,1.,0.)); #466873=DIRECTION('',(-1.,0.,0.)); #466874=DIRECTION('',(0.,1.,0.)); #466875=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466876=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466877=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466878=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466879=DIRECTION('center_axis',(0.,0.,1.)); #466880=DIRECTION('ref_axis',(1.,0.,0.)); #466881=DIRECTION('',(1.,0.,0.)); #466882=DIRECTION('',(0.,1.,0.)); #466883=DIRECTION('',(1.,0.,0.)); #466884=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466885=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466886=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466887=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466888=DIRECTION('center_axis',(0.,-1.,0.)); #466889=DIRECTION('ref_axis',(0.,0.,1.)); #466890=DIRECTION('center_axis',(0.,-1.,0.)); #466891=DIRECTION('ref_axis',(-1.,0.,0.)); #466892=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #466893=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #466894=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #466895=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466896=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466897=DIRECTION('',(0.,1.,0.)); #466898=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #466899=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #466900=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #466901=DIRECTION('',(1.11022302462516E-14,0.,1.)); #466902=DIRECTION('center_axis',(0.,0.,-1.)); #466903=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #466904=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #466905=DIRECTION('center_axis',(0.,0.,-1.)); #466906=DIRECTION('ref_axis',(-1.,0.,0.)); #466907=DIRECTION('',(-1.,0.,0.)); #466908=DIRECTION('',(0.,1.,0.)); #466909=DIRECTION('',(-1.,0.,0.)); #466910=DIRECTION('',(0.,1.,0.)); #466911=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #466912=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #466913=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466914=DIRECTION('',(3.70074341541719E-15,0.,1.)); #466915=DIRECTION('center_axis',(0.,0.,1.)); #466916=DIRECTION('ref_axis',(1.,0.,0.)); #466917=DIRECTION('',(1.,0.,0.)); #466918=DIRECTION('',(0.,1.,0.)); #466919=DIRECTION('',(1.,0.,0.)); #466920=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #466921=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #466922=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466923=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #466924=DIRECTION('center_axis',(0.,-1.,0.)); #466925=DIRECTION('ref_axis',(0.,0.,1.)); #466926=DIRECTION('center_axis',(0.,-1.,0.)); #466927=DIRECTION('ref_axis',(-1.,0.,0.)); #466928=DIRECTION('center_axis',(0.,0.,-1.)); #466929=DIRECTION('ref_axis',(0.,1.,0.)); #466930=DIRECTION('center_axis',(0.,0.,1.)); #466931=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #466932=DIRECTION('',(0.,-1.,0.)); #466933=DIRECTION('',(1.,0.,0.)); #466934=DIRECTION('',(0.,1.,0.)); #466935=DIRECTION('center_axis',(0.,0.,1.)); #466936=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #466937=DIRECTION('center_axis',(0.,0.,-1.)); #466938=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #466939=DIRECTION('',(0.,0.,-1.)); #466940=DIRECTION('',(0.,0.,1.)); #466941=DIRECTION('center_axis',(0.,0.,1.)); #466942=DIRECTION('ref_axis',(0.,-1.,0.)); #466943=DIRECTION('',(0.,-1.,0.)); #466944=DIRECTION('',(1.,0.,0.)); #466945=DIRECTION('',(0.,1.,0.)); #466946=DIRECTION('center_axis',(0.,-1.,0.)); #466947=DIRECTION('ref_axis',(0.,0.,-1.)); #466948=DIRECTION('',(0.,0.,-1.)); #466949=DIRECTION('',(0.,0.,-1.)); #466950=DIRECTION('',(1.,0.,0.)); #466951=DIRECTION('center_axis',(0.,0.,1.)); #466952=DIRECTION('ref_axis',(0.,-1.,0.)); #466953=DIRECTION('',(0.,-1.,0.)); #466954=DIRECTION('',(0.,-1.,0.)); #466955=DIRECTION('',(1.,0.,0.)); #466956=DIRECTION('center_axis',(0.,1.,0.)); #466957=DIRECTION('ref_axis',(0.,0.,1.)); #466958=DIRECTION('',(0.,0.,1.)); #466959=DIRECTION('',(0.,0.,1.)); #466960=DIRECTION('center_axis',(1.,0.,0.)); #466961=DIRECTION('ref_axis',(0.,0.,-1.)); #466962=DIRECTION('center_axis',(1.,0.,0.)); #466963=DIRECTION('ref_axis',(0.,0.,-1.)); #466964=DIRECTION('center_axis',(0.,0.,1.)); #466965=DIRECTION('ref_axis',(0.,-1.,0.)); #466966=DIRECTION('center_axis',(0.,0.,-1.)); #466967=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466968=DIRECTION('',(0.,-1.,0.)); #466969=DIRECTION('',(1.,0.,0.)); #466970=DIRECTION('',(0.,1.,0.)); #466971=DIRECTION('center_axis',(0.,0.,-1.)); #466972=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #466973=DIRECTION('center_axis',(0.,0.,1.)); #466974=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #466975=DIRECTION('',(0.,0.,1.)); #466976=DIRECTION('',(0.,0.,-1.)); #466977=DIRECTION('center_axis',(0.,0.,-1.)); #466978=DIRECTION('ref_axis',(0.,1.,0.)); #466979=DIRECTION('',(0.,-1.,0.)); #466980=DIRECTION('',(1.,0.,0.)); #466981=DIRECTION('',(0.,1.,0.)); #466982=DIRECTION('center_axis',(0.,-1.,0.)); #466983=DIRECTION('ref_axis',(0.,0.,-1.)); #466984=DIRECTION('',(0.,0.,-1.)); #466985=DIRECTION('',(1.,0.,0.)); #466986=DIRECTION('',(0.,0.,-1.)); #466987=DIRECTION('center_axis',(0.,0.,-1.)); #466988=DIRECTION('ref_axis',(0.,1.,0.)); #466989=DIRECTION('',(0.,1.,0.)); #466990=DIRECTION('',(1.,0.,0.)); #466991=DIRECTION('',(0.,1.,0.)); #466992=DIRECTION('center_axis',(0.,1.,0.)); #466993=DIRECTION('ref_axis',(0.,0.,1.)); #466994=DIRECTION('',(0.,0.,1.)); #466995=DIRECTION('',(0.,0.,1.)); #466996=DIRECTION('center_axis',(-1.,0.,0.)); #466997=DIRECTION('ref_axis',(0.,0.,-1.)); #466998=DIRECTION('center_axis',(-1.,0.,0.)); #466999=DIRECTION('ref_axis',(0.,0.,-1.)); #467000=DIRECTION('center_axis',(0.,0.,-1.)); #467001=DIRECTION('ref_axis',(0.,1.,0.)); #467002=DIRECTION('center_axis',(0.,0.,1.)); #467003=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467004=DIRECTION('',(0.,-1.,0.)); #467005=DIRECTION('',(1.,0.,0.)); #467006=DIRECTION('',(0.,1.,0.)); #467007=DIRECTION('center_axis',(0.,0.,1.)); #467008=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467009=DIRECTION('center_axis',(0.,0.,-1.)); #467010=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467011=DIRECTION('',(0.,0.,-1.)); #467012=DIRECTION('',(0.,0.,1.)); #467013=DIRECTION('center_axis',(0.,0.,1.)); #467014=DIRECTION('ref_axis',(0.,-1.,0.)); #467015=DIRECTION('',(0.,-1.,0.)); #467016=DIRECTION('',(1.,0.,0.)); #467017=DIRECTION('',(0.,1.,0.)); #467018=DIRECTION('center_axis',(0.,-1.,0.)); #467019=DIRECTION('ref_axis',(0.,0.,-1.)); #467020=DIRECTION('',(0.,0.,-1.)); #467021=DIRECTION('',(0.,0.,-1.)); #467022=DIRECTION('',(1.,0.,0.)); #467023=DIRECTION('center_axis',(0.,0.,1.)); #467024=DIRECTION('ref_axis',(0.,-1.,0.)); #467025=DIRECTION('',(0.,-1.,0.)); #467026=DIRECTION('',(0.,-1.,0.)); #467027=DIRECTION('',(1.,0.,0.)); #467028=DIRECTION('center_axis',(0.,1.,0.)); #467029=DIRECTION('ref_axis',(0.,0.,1.)); #467030=DIRECTION('',(0.,0.,1.)); #467031=DIRECTION('',(0.,0.,1.)); #467032=DIRECTION('center_axis',(1.,0.,0.)); #467033=DIRECTION('ref_axis',(0.,0.,-1.)); #467034=DIRECTION('center_axis',(1.,0.,0.)); #467035=DIRECTION('ref_axis',(0.,0.,-1.)); #467036=DIRECTION('center_axis',(0.,0.,-1.)); #467037=DIRECTION('ref_axis',(0.,1.,0.)); #467038=DIRECTION('center_axis',(0.,0.,1.)); #467039=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467040=DIRECTION('',(0.,-1.,0.)); #467041=DIRECTION('',(1.,0.,0.)); #467042=DIRECTION('',(0.,1.,0.)); #467043=DIRECTION('center_axis',(0.,0.,1.)); #467044=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467045=DIRECTION('center_axis',(0.,0.,-1.)); #467046=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467047=DIRECTION('',(0.,0.,-1.)); #467048=DIRECTION('',(0.,0.,1.)); #467049=DIRECTION('center_axis',(0.,0.,1.)); #467050=DIRECTION('ref_axis',(0.,-1.,0.)); #467051=DIRECTION('',(0.,-1.,0.)); #467052=DIRECTION('',(1.,0.,0.)); #467053=DIRECTION('',(0.,1.,0.)); #467054=DIRECTION('center_axis',(0.,-1.,0.)); #467055=DIRECTION('ref_axis',(0.,0.,-1.)); #467056=DIRECTION('',(0.,0.,-1.)); #467057=DIRECTION('',(0.,0.,-1.)); #467058=DIRECTION('',(1.,0.,0.)); #467059=DIRECTION('center_axis',(0.,0.,1.)); #467060=DIRECTION('ref_axis',(0.,-1.,0.)); #467061=DIRECTION('',(0.,-1.,0.)); #467062=DIRECTION('',(0.,-1.,0.)); #467063=DIRECTION('',(1.,0.,0.)); #467064=DIRECTION('center_axis',(0.,1.,0.)); #467065=DIRECTION('ref_axis',(0.,0.,1.)); #467066=DIRECTION('',(0.,0.,1.)); #467067=DIRECTION('',(0.,0.,1.)); #467068=DIRECTION('center_axis',(1.,0.,0.)); #467069=DIRECTION('ref_axis',(0.,0.,-1.)); #467070=DIRECTION('center_axis',(1.,0.,0.)); #467071=DIRECTION('ref_axis',(0.,0.,-1.)); #467072=DIRECTION('center_axis',(0.,0.,-1.)); #467073=DIRECTION('ref_axis',(0.,1.,0.)); #467074=DIRECTION('center_axis',(0.,0.,1.)); #467075=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467076=DIRECTION('',(0.,-1.,0.)); #467077=DIRECTION('',(1.,0.,0.)); #467078=DIRECTION('',(0.,1.,0.)); #467079=DIRECTION('center_axis',(0.,0.,1.)); #467080=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467081=DIRECTION('center_axis',(0.,0.,-1.)); #467082=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467083=DIRECTION('',(0.,0.,-1.)); #467084=DIRECTION('',(0.,0.,1.)); #467085=DIRECTION('center_axis',(0.,0.,1.)); #467086=DIRECTION('ref_axis',(0.,-1.,0.)); #467087=DIRECTION('',(0.,-1.,0.)); #467088=DIRECTION('',(1.,0.,0.)); #467089=DIRECTION('',(0.,1.,0.)); #467090=DIRECTION('center_axis',(0.,-1.,0.)); #467091=DIRECTION('ref_axis',(0.,0.,-1.)); #467092=DIRECTION('',(0.,0.,-1.)); #467093=DIRECTION('',(0.,0.,-1.)); #467094=DIRECTION('',(1.,0.,0.)); #467095=DIRECTION('center_axis',(0.,0.,1.)); #467096=DIRECTION('ref_axis',(0.,-1.,0.)); #467097=DIRECTION('',(0.,-1.,0.)); #467098=DIRECTION('',(0.,-1.,0.)); #467099=DIRECTION('',(1.,0.,0.)); #467100=DIRECTION('center_axis',(0.,1.,0.)); #467101=DIRECTION('ref_axis',(0.,0.,1.)); #467102=DIRECTION('',(0.,0.,1.)); #467103=DIRECTION('',(0.,0.,1.)); #467104=DIRECTION('center_axis',(1.,0.,0.)); #467105=DIRECTION('ref_axis',(0.,0.,-1.)); #467106=DIRECTION('center_axis',(1.,0.,0.)); #467107=DIRECTION('ref_axis',(0.,0.,-1.)); #467108=DIRECTION('center_axis',(0.,0.,-1.)); #467109=DIRECTION('ref_axis',(0.,1.,0.)); #467110=DIRECTION('center_axis',(0.,0.,1.)); #467111=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467112=DIRECTION('',(0.,-1.,0.)); #467113=DIRECTION('',(1.,0.,0.)); #467114=DIRECTION('',(0.,1.,0.)); #467115=DIRECTION('center_axis',(0.,0.,1.)); #467116=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467117=DIRECTION('center_axis',(0.,0.,-1.)); #467118=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467119=DIRECTION('',(0.,0.,-1.)); #467120=DIRECTION('',(0.,0.,1.)); #467121=DIRECTION('center_axis',(0.,0.,1.)); #467122=DIRECTION('ref_axis',(0.,-1.,0.)); #467123=DIRECTION('',(0.,-1.,0.)); #467124=DIRECTION('',(1.,0.,0.)); #467125=DIRECTION('',(0.,1.,0.)); #467126=DIRECTION('center_axis',(0.,-1.,0.)); #467127=DIRECTION('ref_axis',(0.,0.,-1.)); #467128=DIRECTION('',(0.,0.,-1.)); #467129=DIRECTION('',(0.,0.,-1.)); #467130=DIRECTION('',(1.,0.,0.)); #467131=DIRECTION('center_axis',(0.,0.,1.)); #467132=DIRECTION('ref_axis',(0.,-1.,0.)); #467133=DIRECTION('',(0.,-1.,0.)); #467134=DIRECTION('',(0.,-1.,0.)); #467135=DIRECTION('',(1.,0.,0.)); #467136=DIRECTION('center_axis',(0.,1.,0.)); #467137=DIRECTION('ref_axis',(0.,0.,1.)); #467138=DIRECTION('',(0.,0.,1.)); #467139=DIRECTION('',(0.,0.,1.)); #467140=DIRECTION('center_axis',(1.,0.,0.)); #467141=DIRECTION('ref_axis',(0.,0.,-1.)); #467142=DIRECTION('center_axis',(1.,0.,0.)); #467143=DIRECTION('ref_axis',(0.,0.,-1.)); #467144=DIRECTION('center_axis',(0.,0.,-1.)); #467145=DIRECTION('ref_axis',(0.,1.,0.)); #467146=DIRECTION('center_axis',(0.,0.,1.)); #467147=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467148=DIRECTION('',(0.,-1.,0.)); #467149=DIRECTION('',(1.,0.,0.)); #467150=DIRECTION('',(0.,1.,0.)); #467151=DIRECTION('center_axis',(0.,0.,1.)); #467152=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467153=DIRECTION('center_axis',(0.,0.,-1.)); #467154=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467155=DIRECTION('',(0.,0.,-1.)); #467156=DIRECTION('',(0.,0.,1.)); #467157=DIRECTION('center_axis',(0.,0.,1.)); #467158=DIRECTION('ref_axis',(0.,-1.,0.)); #467159=DIRECTION('',(0.,-1.,0.)); #467160=DIRECTION('',(1.,0.,0.)); #467161=DIRECTION('',(0.,1.,0.)); #467162=DIRECTION('center_axis',(0.,-1.,0.)); #467163=DIRECTION('ref_axis',(0.,0.,-1.)); #467164=DIRECTION('',(0.,0.,-1.)); #467165=DIRECTION('',(0.,0.,-1.)); #467166=DIRECTION('',(1.,0.,0.)); #467167=DIRECTION('center_axis',(0.,0.,1.)); #467168=DIRECTION('ref_axis',(0.,-1.,0.)); #467169=DIRECTION('',(0.,-1.,0.)); #467170=DIRECTION('',(0.,-1.,0.)); #467171=DIRECTION('',(1.,0.,0.)); #467172=DIRECTION('center_axis',(0.,1.,0.)); #467173=DIRECTION('ref_axis',(0.,0.,1.)); #467174=DIRECTION('',(0.,0.,1.)); #467175=DIRECTION('',(0.,0.,1.)); #467176=DIRECTION('center_axis',(1.,0.,0.)); #467177=DIRECTION('ref_axis',(0.,0.,-1.)); #467178=DIRECTION('center_axis',(1.,0.,0.)); #467179=DIRECTION('ref_axis',(0.,0.,-1.)); #467180=DIRECTION('center_axis',(0.,0.,-1.)); #467181=DIRECTION('ref_axis',(0.,1.,0.)); #467182=DIRECTION('center_axis',(0.,0.,1.)); #467183=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467184=DIRECTION('',(0.,-1.,0.)); #467185=DIRECTION('',(1.,0.,0.)); #467186=DIRECTION('',(0.,1.,0.)); #467187=DIRECTION('center_axis',(0.,0.,1.)); #467188=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467189=DIRECTION('center_axis',(0.,0.,-1.)); #467190=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467191=DIRECTION('',(0.,0.,-1.)); #467192=DIRECTION('',(0.,0.,1.)); #467193=DIRECTION('center_axis',(0.,0.,1.)); #467194=DIRECTION('ref_axis',(0.,-1.,0.)); #467195=DIRECTION('',(0.,-1.,0.)); #467196=DIRECTION('',(1.,0.,0.)); #467197=DIRECTION('',(0.,1.,0.)); #467198=DIRECTION('center_axis',(0.,-1.,0.)); #467199=DIRECTION('ref_axis',(0.,0.,-1.)); #467200=DIRECTION('',(0.,0.,-1.)); #467201=DIRECTION('',(0.,0.,-1.)); #467202=DIRECTION('',(1.,0.,0.)); #467203=DIRECTION('center_axis',(0.,0.,1.)); #467204=DIRECTION('ref_axis',(0.,-1.,0.)); #467205=DIRECTION('',(0.,-1.,0.)); #467206=DIRECTION('',(0.,-1.,0.)); #467207=DIRECTION('',(1.,0.,0.)); #467208=DIRECTION('center_axis',(0.,1.,0.)); #467209=DIRECTION('ref_axis',(0.,0.,1.)); #467210=DIRECTION('',(0.,0.,1.)); #467211=DIRECTION('',(0.,0.,1.)); #467212=DIRECTION('center_axis',(1.,0.,0.)); #467213=DIRECTION('ref_axis',(0.,0.,-1.)); #467214=DIRECTION('center_axis',(1.,0.,0.)); #467215=DIRECTION('ref_axis',(0.,0.,-1.)); #467216=DIRECTION('center_axis',(0.,0.,-1.)); #467217=DIRECTION('ref_axis',(0.,1.,0.)); #467218=DIRECTION('center_axis',(0.,0.,1.)); #467219=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467220=DIRECTION('',(0.,-1.,0.)); #467221=DIRECTION('',(1.,0.,0.)); #467222=DIRECTION('',(0.,1.,0.)); #467223=DIRECTION('center_axis',(0.,0.,1.)); #467224=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467225=DIRECTION('center_axis',(0.,0.,-1.)); #467226=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467227=DIRECTION('',(0.,0.,-1.)); #467228=DIRECTION('',(0.,0.,1.)); #467229=DIRECTION('center_axis',(0.,0.,1.)); #467230=DIRECTION('ref_axis',(0.,-1.,0.)); #467231=DIRECTION('',(0.,-1.,0.)); #467232=DIRECTION('',(1.,0.,0.)); #467233=DIRECTION('',(0.,1.,0.)); #467234=DIRECTION('center_axis',(0.,-1.,0.)); #467235=DIRECTION('ref_axis',(0.,0.,-1.)); #467236=DIRECTION('',(0.,0.,-1.)); #467237=DIRECTION('',(0.,0.,-1.)); #467238=DIRECTION('',(1.,0.,0.)); #467239=DIRECTION('center_axis',(0.,0.,1.)); #467240=DIRECTION('ref_axis',(0.,-1.,0.)); #467241=DIRECTION('',(0.,-1.,0.)); #467242=DIRECTION('',(0.,-1.,0.)); #467243=DIRECTION('',(1.,0.,0.)); #467244=DIRECTION('center_axis',(0.,1.,0.)); #467245=DIRECTION('ref_axis',(0.,0.,1.)); #467246=DIRECTION('',(0.,0.,1.)); #467247=DIRECTION('',(0.,0.,1.)); #467248=DIRECTION('center_axis',(1.,0.,0.)); #467249=DIRECTION('ref_axis',(0.,0.,-1.)); #467250=DIRECTION('center_axis',(1.,0.,0.)); #467251=DIRECTION('ref_axis',(0.,0.,-1.)); #467252=DIRECTION('center_axis',(0.,0.,-1.)); #467253=DIRECTION('ref_axis',(0.,1.,0.)); #467254=DIRECTION('center_axis',(0.,0.,1.)); #467255=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467256=DIRECTION('',(0.,-1.,0.)); #467257=DIRECTION('',(1.,0.,0.)); #467258=DIRECTION('',(0.,1.,0.)); #467259=DIRECTION('center_axis',(0.,0.,1.)); #467260=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467261=DIRECTION('center_axis',(0.,0.,-1.)); #467262=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467263=DIRECTION('',(0.,0.,-1.)); #467264=DIRECTION('',(0.,0.,1.)); #467265=DIRECTION('center_axis',(0.,0.,1.)); #467266=DIRECTION('ref_axis',(0.,-1.,0.)); #467267=DIRECTION('',(0.,-1.,0.)); #467268=DIRECTION('',(1.,0.,0.)); #467269=DIRECTION('',(0.,1.,0.)); #467270=DIRECTION('center_axis',(0.,-1.,0.)); #467271=DIRECTION('ref_axis',(0.,0.,-1.)); #467272=DIRECTION('',(0.,0.,-1.)); #467273=DIRECTION('',(0.,0.,-1.)); #467274=DIRECTION('',(1.,0.,0.)); #467275=DIRECTION('center_axis',(0.,0.,1.)); #467276=DIRECTION('ref_axis',(0.,-1.,0.)); #467277=DIRECTION('',(0.,-1.,0.)); #467278=DIRECTION('',(0.,-1.,0.)); #467279=DIRECTION('',(1.,0.,0.)); #467280=DIRECTION('center_axis',(0.,1.,0.)); #467281=DIRECTION('ref_axis',(0.,0.,1.)); #467282=DIRECTION('',(0.,0.,1.)); #467283=DIRECTION('',(0.,0.,1.)); #467284=DIRECTION('center_axis',(1.,0.,0.)); #467285=DIRECTION('ref_axis',(0.,0.,-1.)); #467286=DIRECTION('center_axis',(1.,0.,0.)); #467287=DIRECTION('ref_axis',(0.,0.,-1.)); #467288=DIRECTION('center_axis',(0.,0.,-1.)); #467289=DIRECTION('ref_axis',(0.,1.,0.)); #467290=DIRECTION('center_axis',(0.,0.,1.)); #467291=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467292=DIRECTION('',(0.,-1.,0.)); #467293=DIRECTION('',(1.,0.,0.)); #467294=DIRECTION('',(0.,1.,0.)); #467295=DIRECTION('center_axis',(0.,0.,1.)); #467296=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467297=DIRECTION('center_axis',(0.,0.,-1.)); #467298=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467299=DIRECTION('',(0.,0.,-1.)); #467300=DIRECTION('',(0.,0.,1.)); #467301=DIRECTION('center_axis',(0.,0.,1.)); #467302=DIRECTION('ref_axis',(0.,-1.,0.)); #467303=DIRECTION('',(0.,-1.,0.)); #467304=DIRECTION('',(1.,0.,0.)); #467305=DIRECTION('',(0.,1.,0.)); #467306=DIRECTION('center_axis',(0.,-1.,0.)); #467307=DIRECTION('ref_axis',(0.,0.,-1.)); #467308=DIRECTION('',(0.,0.,-1.)); #467309=DIRECTION('',(0.,0.,-1.)); #467310=DIRECTION('',(1.,0.,0.)); #467311=DIRECTION('center_axis',(0.,0.,1.)); #467312=DIRECTION('ref_axis',(0.,-1.,0.)); #467313=DIRECTION('',(0.,-1.,0.)); #467314=DIRECTION('',(0.,-1.,0.)); #467315=DIRECTION('',(1.,0.,0.)); #467316=DIRECTION('center_axis',(0.,1.,0.)); #467317=DIRECTION('ref_axis',(0.,0.,1.)); #467318=DIRECTION('',(0.,0.,1.)); #467319=DIRECTION('',(0.,0.,1.)); #467320=DIRECTION('center_axis',(1.,0.,0.)); #467321=DIRECTION('ref_axis',(0.,0.,-1.)); #467322=DIRECTION('center_axis',(1.,0.,0.)); #467323=DIRECTION('ref_axis',(0.,0.,-1.)); #467324=DIRECTION('center_axis',(0.,0.,-1.)); #467325=DIRECTION('ref_axis',(0.,1.,0.)); #467326=DIRECTION('center_axis',(0.,0.,1.)); #467327=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467328=DIRECTION('',(0.,-1.,0.)); #467329=DIRECTION('',(1.,0.,0.)); #467330=DIRECTION('',(0.,1.,0.)); #467331=DIRECTION('center_axis',(0.,0.,1.)); #467332=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467333=DIRECTION('center_axis',(0.,0.,-1.)); #467334=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467335=DIRECTION('',(0.,0.,-1.)); #467336=DIRECTION('',(0.,0.,1.)); #467337=DIRECTION('center_axis',(0.,0.,1.)); #467338=DIRECTION('ref_axis',(0.,-1.,0.)); #467339=DIRECTION('',(0.,-1.,0.)); #467340=DIRECTION('',(1.,0.,0.)); #467341=DIRECTION('',(0.,1.,0.)); #467342=DIRECTION('center_axis',(0.,-1.,0.)); #467343=DIRECTION('ref_axis',(0.,0.,-1.)); #467344=DIRECTION('',(0.,0.,-1.)); #467345=DIRECTION('',(0.,0.,-1.)); #467346=DIRECTION('',(1.,0.,0.)); #467347=DIRECTION('center_axis',(0.,0.,1.)); #467348=DIRECTION('ref_axis',(0.,-1.,0.)); #467349=DIRECTION('',(0.,-1.,0.)); #467350=DIRECTION('',(0.,-1.,0.)); #467351=DIRECTION('',(1.,0.,0.)); #467352=DIRECTION('center_axis',(0.,1.,0.)); #467353=DIRECTION('ref_axis',(0.,0.,1.)); #467354=DIRECTION('',(0.,0.,1.)); #467355=DIRECTION('',(0.,0.,1.)); #467356=DIRECTION('center_axis',(1.,0.,0.)); #467357=DIRECTION('ref_axis',(0.,0.,-1.)); #467358=DIRECTION('center_axis',(1.,0.,0.)); #467359=DIRECTION('ref_axis',(0.,0.,-1.)); #467360=DIRECTION('center_axis',(0.,0.,-1.)); #467361=DIRECTION('ref_axis',(0.,1.,0.)); #467362=DIRECTION('center_axis',(0.,0.,1.)); #467363=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467364=DIRECTION('',(0.,-1.,0.)); #467365=DIRECTION('',(1.,0.,0.)); #467366=DIRECTION('',(0.,1.,0.)); #467367=DIRECTION('center_axis',(0.,0.,1.)); #467368=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #467369=DIRECTION('center_axis',(0.,0.,-1.)); #467370=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #467371=DIRECTION('',(0.,0.,-1.)); #467372=DIRECTION('',(0.,0.,1.)); #467373=DIRECTION('center_axis',(0.,0.,1.)); #467374=DIRECTION('ref_axis',(0.,-1.,0.)); #467375=DIRECTION('',(0.,-1.,0.)); #467376=DIRECTION('',(1.,0.,0.)); #467377=DIRECTION('',(0.,1.,0.)); #467378=DIRECTION('center_axis',(0.,-1.,0.)); #467379=DIRECTION('ref_axis',(0.,0.,-1.)); #467380=DIRECTION('',(0.,0.,-1.)); #467381=DIRECTION('',(0.,0.,-1.)); #467382=DIRECTION('',(1.,0.,0.)); #467383=DIRECTION('center_axis',(0.,0.,1.)); #467384=DIRECTION('ref_axis',(0.,-1.,0.)); #467385=DIRECTION('',(0.,-1.,0.)); #467386=DIRECTION('',(0.,-1.,0.)); #467387=DIRECTION('',(1.,0.,0.)); #467388=DIRECTION('center_axis',(0.,1.,0.)); #467389=DIRECTION('ref_axis',(0.,0.,1.)); #467390=DIRECTION('',(0.,0.,1.)); #467391=DIRECTION('',(0.,0.,1.)); #467392=DIRECTION('center_axis',(1.,0.,0.)); #467393=DIRECTION('ref_axis',(0.,0.,-1.)); #467394=DIRECTION('center_axis',(1.,0.,0.)); #467395=DIRECTION('ref_axis',(0.,0.,-1.)); #467396=DIRECTION('center_axis',(0.,0.,1.)); #467397=DIRECTION('ref_axis',(0.,-1.,0.)); #467398=DIRECTION('center_axis',(0.,0.,-1.)); #467399=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467400=DIRECTION('',(0.,-1.,0.)); #467401=DIRECTION('',(1.,0.,0.)); #467402=DIRECTION('',(0.,1.,0.)); #467403=DIRECTION('center_axis',(0.,0.,-1.)); #467404=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467405=DIRECTION('center_axis',(0.,0.,1.)); #467406=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467407=DIRECTION('',(0.,0.,1.)); #467408=DIRECTION('',(0.,0.,-1.)); #467409=DIRECTION('center_axis',(0.,0.,-1.)); #467410=DIRECTION('ref_axis',(0.,1.,0.)); #467411=DIRECTION('',(0.,-1.,0.)); #467412=DIRECTION('',(1.,0.,0.)); #467413=DIRECTION('',(0.,1.,0.)); #467414=DIRECTION('center_axis',(0.,-1.,0.)); #467415=DIRECTION('ref_axis',(0.,0.,-1.)); #467416=DIRECTION('',(0.,0.,-1.)); #467417=DIRECTION('',(1.,0.,0.)); #467418=DIRECTION('',(0.,0.,-1.)); #467419=DIRECTION('center_axis',(0.,0.,-1.)); #467420=DIRECTION('ref_axis',(0.,1.,0.)); #467421=DIRECTION('',(0.,1.,0.)); #467422=DIRECTION('',(1.,0.,0.)); #467423=DIRECTION('',(0.,1.,0.)); #467424=DIRECTION('center_axis',(0.,1.,0.)); #467425=DIRECTION('ref_axis',(0.,0.,1.)); #467426=DIRECTION('',(0.,0.,1.)); #467427=DIRECTION('',(0.,0.,1.)); #467428=DIRECTION('center_axis',(-1.,0.,0.)); #467429=DIRECTION('ref_axis',(0.,0.,-1.)); #467430=DIRECTION('center_axis',(-1.,0.,0.)); #467431=DIRECTION('ref_axis',(0.,0.,-1.)); #467432=DIRECTION('center_axis',(0.,0.,1.)); #467433=DIRECTION('ref_axis',(0.,-1.,0.)); #467434=DIRECTION('center_axis',(0.,0.,-1.)); #467435=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467436=DIRECTION('',(0.,-1.,0.)); #467437=DIRECTION('',(1.,0.,0.)); #467438=DIRECTION('',(0.,1.,0.)); #467439=DIRECTION('center_axis',(0.,0.,-1.)); #467440=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467441=DIRECTION('center_axis',(0.,0.,1.)); #467442=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467443=DIRECTION('',(0.,0.,1.)); #467444=DIRECTION('',(0.,0.,-1.)); #467445=DIRECTION('center_axis',(0.,0.,-1.)); #467446=DIRECTION('ref_axis',(0.,1.,0.)); #467447=DIRECTION('',(0.,-1.,0.)); #467448=DIRECTION('',(1.,0.,0.)); #467449=DIRECTION('',(0.,1.,0.)); #467450=DIRECTION('center_axis',(0.,-1.,0.)); #467451=DIRECTION('ref_axis',(0.,0.,-1.)); #467452=DIRECTION('',(0.,0.,-1.)); #467453=DIRECTION('',(1.,0.,0.)); #467454=DIRECTION('',(0.,0.,-1.)); #467455=DIRECTION('center_axis',(0.,0.,-1.)); #467456=DIRECTION('ref_axis',(0.,1.,0.)); #467457=DIRECTION('',(0.,1.,0.)); #467458=DIRECTION('',(1.,0.,0.)); #467459=DIRECTION('',(0.,1.,0.)); #467460=DIRECTION('center_axis',(0.,1.,0.)); #467461=DIRECTION('ref_axis',(0.,0.,1.)); #467462=DIRECTION('',(0.,0.,1.)); #467463=DIRECTION('',(0.,0.,1.)); #467464=DIRECTION('center_axis',(-1.,0.,0.)); #467465=DIRECTION('ref_axis',(0.,0.,-1.)); #467466=DIRECTION('center_axis',(-1.,0.,0.)); #467467=DIRECTION('ref_axis',(0.,0.,-1.)); #467468=DIRECTION('center_axis',(0.,0.,1.)); #467469=DIRECTION('ref_axis',(0.,-1.,0.)); #467470=DIRECTION('center_axis',(0.,0.,-1.)); #467471=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467472=DIRECTION('',(0.,-1.,0.)); #467473=DIRECTION('',(1.,0.,0.)); #467474=DIRECTION('',(0.,1.,0.)); #467475=DIRECTION('center_axis',(0.,0.,-1.)); #467476=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467477=DIRECTION('center_axis',(0.,0.,1.)); #467478=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467479=DIRECTION('',(0.,0.,1.)); #467480=DIRECTION('',(0.,0.,-1.)); #467481=DIRECTION('center_axis',(0.,0.,-1.)); #467482=DIRECTION('ref_axis',(0.,1.,0.)); #467483=DIRECTION('',(0.,-1.,0.)); #467484=DIRECTION('',(1.,0.,0.)); #467485=DIRECTION('',(0.,1.,0.)); #467486=DIRECTION('center_axis',(0.,-1.,0.)); #467487=DIRECTION('ref_axis',(0.,0.,-1.)); #467488=DIRECTION('',(0.,0.,-1.)); #467489=DIRECTION('',(1.,0.,0.)); #467490=DIRECTION('',(0.,0.,-1.)); #467491=DIRECTION('center_axis',(0.,0.,-1.)); #467492=DIRECTION('ref_axis',(0.,1.,0.)); #467493=DIRECTION('',(0.,1.,0.)); #467494=DIRECTION('',(1.,0.,0.)); #467495=DIRECTION('',(0.,1.,0.)); #467496=DIRECTION('center_axis',(0.,1.,0.)); #467497=DIRECTION('ref_axis',(0.,0.,1.)); #467498=DIRECTION('',(0.,0.,1.)); #467499=DIRECTION('',(0.,0.,1.)); #467500=DIRECTION('center_axis',(-1.,0.,0.)); #467501=DIRECTION('ref_axis',(0.,0.,-1.)); #467502=DIRECTION('center_axis',(-1.,0.,0.)); #467503=DIRECTION('ref_axis',(0.,0.,-1.)); #467504=DIRECTION('center_axis',(0.,0.,1.)); #467505=DIRECTION('ref_axis',(0.,-1.,0.)); #467506=DIRECTION('center_axis',(0.,0.,-1.)); #467507=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467508=DIRECTION('',(0.,-1.,0.)); #467509=DIRECTION('',(1.,0.,0.)); #467510=DIRECTION('',(0.,1.,0.)); #467511=DIRECTION('center_axis',(0.,0.,-1.)); #467512=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467513=DIRECTION('center_axis',(0.,0.,1.)); #467514=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467515=DIRECTION('',(0.,0.,1.)); #467516=DIRECTION('',(0.,0.,-1.)); #467517=DIRECTION('center_axis',(0.,0.,-1.)); #467518=DIRECTION('ref_axis',(0.,1.,0.)); #467519=DIRECTION('',(0.,-1.,0.)); #467520=DIRECTION('',(1.,0.,0.)); #467521=DIRECTION('',(0.,1.,0.)); #467522=DIRECTION('center_axis',(0.,-1.,0.)); #467523=DIRECTION('ref_axis',(0.,0.,-1.)); #467524=DIRECTION('',(0.,0.,-1.)); #467525=DIRECTION('',(1.,0.,0.)); #467526=DIRECTION('',(0.,0.,-1.)); #467527=DIRECTION('center_axis',(0.,0.,-1.)); #467528=DIRECTION('ref_axis',(0.,1.,0.)); #467529=DIRECTION('',(0.,1.,0.)); #467530=DIRECTION('',(1.,0.,0.)); #467531=DIRECTION('',(0.,1.,0.)); #467532=DIRECTION('center_axis',(0.,1.,0.)); #467533=DIRECTION('ref_axis',(0.,0.,1.)); #467534=DIRECTION('',(0.,0.,1.)); #467535=DIRECTION('',(0.,0.,1.)); #467536=DIRECTION('center_axis',(-1.,0.,0.)); #467537=DIRECTION('ref_axis',(0.,0.,-1.)); #467538=DIRECTION('center_axis',(-1.,0.,0.)); #467539=DIRECTION('ref_axis',(0.,0.,-1.)); #467540=DIRECTION('center_axis',(0.,0.,1.)); #467541=DIRECTION('ref_axis',(0.,-1.,0.)); #467542=DIRECTION('center_axis',(0.,0.,-1.)); #467543=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467544=DIRECTION('',(0.,-1.,0.)); #467545=DIRECTION('',(1.,0.,0.)); #467546=DIRECTION('',(0.,1.,0.)); #467547=DIRECTION('center_axis',(0.,0.,-1.)); #467548=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467549=DIRECTION('center_axis',(0.,0.,1.)); #467550=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467551=DIRECTION('',(0.,0.,1.)); #467552=DIRECTION('',(0.,0.,-1.)); #467553=DIRECTION('center_axis',(0.,0.,-1.)); #467554=DIRECTION('ref_axis',(0.,1.,0.)); #467555=DIRECTION('',(0.,-1.,0.)); #467556=DIRECTION('',(1.,0.,0.)); #467557=DIRECTION('',(0.,1.,0.)); #467558=DIRECTION('center_axis',(0.,-1.,0.)); #467559=DIRECTION('ref_axis',(0.,0.,-1.)); #467560=DIRECTION('',(0.,0.,-1.)); #467561=DIRECTION('',(1.,0.,0.)); #467562=DIRECTION('',(0.,0.,-1.)); #467563=DIRECTION('center_axis',(0.,0.,-1.)); #467564=DIRECTION('ref_axis',(0.,1.,0.)); #467565=DIRECTION('',(0.,1.,0.)); #467566=DIRECTION('',(1.,0.,0.)); #467567=DIRECTION('',(0.,1.,0.)); #467568=DIRECTION('center_axis',(0.,1.,0.)); #467569=DIRECTION('ref_axis',(0.,0.,1.)); #467570=DIRECTION('',(0.,0.,1.)); #467571=DIRECTION('',(0.,0.,1.)); #467572=DIRECTION('center_axis',(-1.,0.,0.)); #467573=DIRECTION('ref_axis',(0.,0.,-1.)); #467574=DIRECTION('center_axis',(-1.,0.,0.)); #467575=DIRECTION('ref_axis',(0.,0.,-1.)); #467576=DIRECTION('center_axis',(0.,0.,1.)); #467577=DIRECTION('ref_axis',(0.,-1.,0.)); #467578=DIRECTION('center_axis',(0.,0.,-1.)); #467579=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467580=DIRECTION('',(0.,-1.,0.)); #467581=DIRECTION('',(1.,0.,0.)); #467582=DIRECTION('',(0.,1.,0.)); #467583=DIRECTION('center_axis',(0.,0.,-1.)); #467584=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467585=DIRECTION('center_axis',(0.,0.,1.)); #467586=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467587=DIRECTION('',(0.,0.,1.)); #467588=DIRECTION('',(0.,0.,-1.)); #467589=DIRECTION('center_axis',(0.,0.,-1.)); #467590=DIRECTION('ref_axis',(0.,1.,0.)); #467591=DIRECTION('',(0.,-1.,0.)); #467592=DIRECTION('',(1.,0.,0.)); #467593=DIRECTION('',(0.,1.,0.)); #467594=DIRECTION('center_axis',(0.,-1.,0.)); #467595=DIRECTION('ref_axis',(0.,0.,-1.)); #467596=DIRECTION('',(0.,0.,-1.)); #467597=DIRECTION('',(1.,0.,0.)); #467598=DIRECTION('',(0.,0.,-1.)); #467599=DIRECTION('center_axis',(0.,0.,-1.)); #467600=DIRECTION('ref_axis',(0.,1.,0.)); #467601=DIRECTION('',(0.,1.,0.)); #467602=DIRECTION('',(1.,0.,0.)); #467603=DIRECTION('',(0.,1.,0.)); #467604=DIRECTION('center_axis',(0.,1.,0.)); #467605=DIRECTION('ref_axis',(0.,0.,1.)); #467606=DIRECTION('',(0.,0.,1.)); #467607=DIRECTION('',(0.,0.,1.)); #467608=DIRECTION('center_axis',(-1.,0.,0.)); #467609=DIRECTION('ref_axis',(0.,0.,-1.)); #467610=DIRECTION('center_axis',(-1.,0.,0.)); #467611=DIRECTION('ref_axis',(0.,0.,-1.)); #467612=DIRECTION('center_axis',(0.,0.,1.)); #467613=DIRECTION('ref_axis',(0.,-1.,0.)); #467614=DIRECTION('center_axis',(0.,0.,-1.)); #467615=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467616=DIRECTION('',(0.,-1.,0.)); #467617=DIRECTION('',(1.,0.,0.)); #467618=DIRECTION('',(0.,1.,0.)); #467619=DIRECTION('center_axis',(0.,0.,-1.)); #467620=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467621=DIRECTION('center_axis',(0.,0.,1.)); #467622=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467623=DIRECTION('',(0.,0.,1.)); #467624=DIRECTION('',(0.,0.,-1.)); #467625=DIRECTION('center_axis',(0.,0.,-1.)); #467626=DIRECTION('ref_axis',(0.,1.,0.)); #467627=DIRECTION('',(0.,-1.,0.)); #467628=DIRECTION('',(1.,0.,0.)); #467629=DIRECTION('',(0.,1.,0.)); #467630=DIRECTION('center_axis',(0.,-1.,0.)); #467631=DIRECTION('ref_axis',(0.,0.,-1.)); #467632=DIRECTION('',(0.,0.,-1.)); #467633=DIRECTION('',(1.,0.,0.)); #467634=DIRECTION('',(0.,0.,-1.)); #467635=DIRECTION('center_axis',(0.,0.,-1.)); #467636=DIRECTION('ref_axis',(0.,1.,0.)); #467637=DIRECTION('',(0.,1.,0.)); #467638=DIRECTION('',(1.,0.,0.)); #467639=DIRECTION('',(0.,1.,0.)); #467640=DIRECTION('center_axis',(0.,1.,0.)); #467641=DIRECTION('ref_axis',(0.,0.,1.)); #467642=DIRECTION('',(0.,0.,1.)); #467643=DIRECTION('',(0.,0.,1.)); #467644=DIRECTION('center_axis',(-1.,0.,0.)); #467645=DIRECTION('ref_axis',(0.,0.,-1.)); #467646=DIRECTION('center_axis',(-1.,0.,0.)); #467647=DIRECTION('ref_axis',(0.,0.,-1.)); #467648=DIRECTION('center_axis',(0.,0.,1.)); #467649=DIRECTION('ref_axis',(0.,-1.,0.)); #467650=DIRECTION('center_axis',(0.,0.,-1.)); #467651=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467652=DIRECTION('',(0.,-1.,0.)); #467653=DIRECTION('',(1.,0.,0.)); #467654=DIRECTION('',(0.,1.,0.)); #467655=DIRECTION('center_axis',(0.,0.,-1.)); #467656=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467657=DIRECTION('center_axis',(0.,0.,1.)); #467658=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467659=DIRECTION('',(0.,0.,1.)); #467660=DIRECTION('',(0.,0.,-1.)); #467661=DIRECTION('center_axis',(0.,0.,-1.)); #467662=DIRECTION('ref_axis',(0.,1.,0.)); #467663=DIRECTION('',(0.,-1.,0.)); #467664=DIRECTION('',(1.,0.,0.)); #467665=DIRECTION('',(0.,1.,0.)); #467666=DIRECTION('center_axis',(0.,-1.,0.)); #467667=DIRECTION('ref_axis',(0.,0.,-1.)); #467668=DIRECTION('',(0.,0.,-1.)); #467669=DIRECTION('',(1.,0.,0.)); #467670=DIRECTION('',(0.,0.,-1.)); #467671=DIRECTION('center_axis',(0.,0.,-1.)); #467672=DIRECTION('ref_axis',(0.,1.,0.)); #467673=DIRECTION('',(0.,1.,0.)); #467674=DIRECTION('',(1.,0.,0.)); #467675=DIRECTION('',(0.,1.,0.)); #467676=DIRECTION('center_axis',(0.,1.,0.)); #467677=DIRECTION('ref_axis',(0.,0.,1.)); #467678=DIRECTION('',(0.,0.,1.)); #467679=DIRECTION('',(0.,0.,1.)); #467680=DIRECTION('center_axis',(-1.,0.,0.)); #467681=DIRECTION('ref_axis',(0.,0.,-1.)); #467682=DIRECTION('center_axis',(-1.,0.,0.)); #467683=DIRECTION('ref_axis',(0.,0.,-1.)); #467684=DIRECTION('center_axis',(0.,0.,1.)); #467685=DIRECTION('ref_axis',(0.,-1.,0.)); #467686=DIRECTION('center_axis',(0.,0.,-1.)); #467687=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467688=DIRECTION('',(0.,-1.,0.)); #467689=DIRECTION('',(1.,0.,0.)); #467690=DIRECTION('',(0.,1.,0.)); #467691=DIRECTION('center_axis',(0.,0.,-1.)); #467692=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467693=DIRECTION('center_axis',(0.,0.,1.)); #467694=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467695=DIRECTION('',(0.,0.,1.)); #467696=DIRECTION('',(0.,0.,-1.)); #467697=DIRECTION('center_axis',(0.,0.,-1.)); #467698=DIRECTION('ref_axis',(0.,1.,0.)); #467699=DIRECTION('',(0.,-1.,0.)); #467700=DIRECTION('',(1.,0.,0.)); #467701=DIRECTION('',(0.,1.,0.)); #467702=DIRECTION('center_axis',(0.,-1.,0.)); #467703=DIRECTION('ref_axis',(0.,0.,-1.)); #467704=DIRECTION('',(0.,0.,-1.)); #467705=DIRECTION('',(1.,0.,0.)); #467706=DIRECTION('',(0.,0.,-1.)); #467707=DIRECTION('center_axis',(0.,0.,-1.)); #467708=DIRECTION('ref_axis',(0.,1.,0.)); #467709=DIRECTION('',(0.,1.,0.)); #467710=DIRECTION('',(1.,0.,0.)); #467711=DIRECTION('',(0.,1.,0.)); #467712=DIRECTION('center_axis',(0.,1.,0.)); #467713=DIRECTION('ref_axis',(0.,0.,1.)); #467714=DIRECTION('',(0.,0.,1.)); #467715=DIRECTION('',(0.,0.,1.)); #467716=DIRECTION('center_axis',(-1.,0.,0.)); #467717=DIRECTION('ref_axis',(0.,0.,-1.)); #467718=DIRECTION('center_axis',(-1.,0.,0.)); #467719=DIRECTION('ref_axis',(0.,0.,-1.)); #467720=DIRECTION('center_axis',(0.,0.,1.)); #467721=DIRECTION('ref_axis',(0.,-1.,0.)); #467722=DIRECTION('center_axis',(0.,0.,-1.)); #467723=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467724=DIRECTION('',(0.,-1.,0.)); #467725=DIRECTION('',(1.,0.,0.)); #467726=DIRECTION('',(0.,1.,0.)); #467727=DIRECTION('center_axis',(0.,0.,-1.)); #467728=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467729=DIRECTION('center_axis',(0.,0.,1.)); #467730=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467731=DIRECTION('',(0.,0.,1.)); #467732=DIRECTION('',(0.,0.,-1.)); #467733=DIRECTION('center_axis',(0.,0.,-1.)); #467734=DIRECTION('ref_axis',(0.,1.,0.)); #467735=DIRECTION('',(0.,-1.,0.)); #467736=DIRECTION('',(1.,0.,0.)); #467737=DIRECTION('',(0.,1.,0.)); #467738=DIRECTION('center_axis',(0.,-1.,0.)); #467739=DIRECTION('ref_axis',(0.,0.,-1.)); #467740=DIRECTION('',(0.,0.,-1.)); #467741=DIRECTION('',(1.,0.,0.)); #467742=DIRECTION('',(0.,0.,-1.)); #467743=DIRECTION('center_axis',(0.,0.,-1.)); #467744=DIRECTION('ref_axis',(0.,1.,0.)); #467745=DIRECTION('',(0.,1.,0.)); #467746=DIRECTION('',(1.,0.,0.)); #467747=DIRECTION('',(0.,1.,0.)); #467748=DIRECTION('center_axis',(0.,1.,0.)); #467749=DIRECTION('ref_axis',(0.,0.,1.)); #467750=DIRECTION('',(0.,0.,1.)); #467751=DIRECTION('',(0.,0.,1.)); #467752=DIRECTION('center_axis',(-1.,0.,0.)); #467753=DIRECTION('ref_axis',(0.,0.,-1.)); #467754=DIRECTION('center_axis',(-1.,0.,0.)); #467755=DIRECTION('ref_axis',(0.,0.,-1.)); #467756=DIRECTION('center_axis',(0.,0.,1.)); #467757=DIRECTION('ref_axis',(0.,-1.,0.)); #467758=DIRECTION('center_axis',(0.,0.,-1.)); #467759=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467760=DIRECTION('',(0.,-1.,0.)); #467761=DIRECTION('',(1.,0.,0.)); #467762=DIRECTION('',(0.,1.,0.)); #467763=DIRECTION('center_axis',(0.,0.,-1.)); #467764=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #467765=DIRECTION('center_axis',(0.,0.,1.)); #467766=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #467767=DIRECTION('',(0.,0.,1.)); #467768=DIRECTION('',(0.,0.,-1.)); #467769=DIRECTION('center_axis',(0.,0.,-1.)); #467770=DIRECTION('ref_axis',(0.,1.,0.)); #467771=DIRECTION('',(0.,-1.,0.)); #467772=DIRECTION('',(1.,0.,0.)); #467773=DIRECTION('',(0.,1.,0.)); #467774=DIRECTION('center_axis',(0.,-1.,0.)); #467775=DIRECTION('ref_axis',(0.,0.,-1.)); #467776=DIRECTION('',(0.,0.,-1.)); #467777=DIRECTION('',(1.,0.,0.)); #467778=DIRECTION('',(0.,0.,-1.)); #467779=DIRECTION('center_axis',(0.,0.,-1.)); #467780=DIRECTION('ref_axis',(0.,1.,0.)); #467781=DIRECTION('',(0.,1.,0.)); #467782=DIRECTION('',(1.,0.,0.)); #467783=DIRECTION('',(0.,1.,0.)); #467784=DIRECTION('center_axis',(0.,1.,0.)); #467785=DIRECTION('ref_axis',(0.,0.,1.)); #467786=DIRECTION('',(0.,0.,1.)); #467787=DIRECTION('',(0.,0.,1.)); #467788=DIRECTION('center_axis',(-1.,0.,0.)); #467789=DIRECTION('ref_axis',(0.,0.,-1.)); #467790=DIRECTION('center_axis',(-1.,0.,0.)); #467791=DIRECTION('ref_axis',(0.,0.,-1.)); #467792=DIRECTION('center_axis',(0.,-1.,0.)); #467793=DIRECTION('ref_axis',(1.,0.,0.)); #467794=DIRECTION('',(1.,0.,0.)); #467795=DIRECTION('',(0.,0.,1.)); #467796=DIRECTION('',(1.,0.,0.)); #467797=DIRECTION('',(0.,0.,1.)); #467798=DIRECTION('center_axis',(-1.,0.,0.)); #467799=DIRECTION('ref_axis',(0.,-1.,0.)); #467800=DIRECTION('',(0.,-1.,0.)); #467801=DIRECTION('',(0.,-1.,0.)); #467802=DIRECTION('',(0.,0.,1.)); #467803=DIRECTION('center_axis',(0.,1.,0.)); #467804=DIRECTION('ref_axis',(-1.,0.,0.)); #467805=DIRECTION('',(-1.,0.,0.)); #467806=DIRECTION('',(-1.,0.,0.)); #467807=DIRECTION('',(0.,0.,1.)); #467808=DIRECTION('center_axis',(1.,0.,0.)); #467809=DIRECTION('ref_axis',(0.,1.,0.)); #467810=DIRECTION('',(0.,1.,0.)); #467811=DIRECTION('',(0.,1.,0.)); #467812=DIRECTION('center_axis',(0.,0.,1.)); #467813=DIRECTION('ref_axis',(1.,0.,0.)); #467814=DIRECTION('center_axis',(0.,0.,1.)); #467815=DIRECTION('ref_axis',(1.,0.,0.)); #467816=DIRECTION('',(0.,0.,1.)); #467817=DIRECTION('',(1.,0.,0.)); #467818=DIRECTION('axis',(0.,0.,1.)); #467819=DIRECTION('refdir',(1.,0.,0.)); #467820=DIRECTION('axis',(0.,0.,1.)); #467821=DIRECTION('refdir',(1.,0.,0.)); #467822=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463862)); #467823=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189625,0.577350269189628)); #467824=DIRECTION('center_axis',(0.,-1.,0.)); #467825=DIRECTION('ref_axis',(-1.,0.,0.)); #467826=DIRECTION('center_axis',(0.,0.,1.)); #467827=DIRECTION('ref_axis',(0.,-1.,0.)); #467828=DIRECTION('center_axis',(-1.,0.,0.)); #467829=DIRECTION('ref_axis',(0.,0.,1.)); #467830=DIRECTION('center_axis',(-0.408248290463861,-0.816496580927727, -0.408248290463864)); #467831=DIRECTION('ref_axis',(0.577350269189628,-0.577350269189625,0.577350269189625)); #467832=DIRECTION('center_axis',(1.,0.,0.)); #467833=DIRECTION('ref_axis',(0.,-1.,0.)); #467834=DIRECTION('center_axis',(0.,0.,1.)); #467835=DIRECTION('ref_axis',(1.,0.,0.)); #467836=DIRECTION('center_axis',(0.,-1.,0.)); #467837=DIRECTION('ref_axis',(0.,0.,1.)); #467838=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #467839=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #467840=DIRECTION('center_axis',(0.,0.,-1.)); #467841=DIRECTION('ref_axis',(-1.,0.,0.)); #467842=DIRECTION('center_axis',(0.,-1.,0.)); #467843=DIRECTION('ref_axis',(0.,0.,-1.)); #467844=DIRECTION('center_axis',(-1.,0.,0.)); #467845=DIRECTION('ref_axis',(0.,-1.,0.)); #467846=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #467847=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #467848=DIRECTION('center_axis',(-1.,0.,0.)); #467849=DIRECTION('ref_axis',(0.,1.,0.)); #467850=DIRECTION('center_axis',(0.,0.,1.)); #467851=DIRECTION('ref_axis',(-1.,0.,0.)); #467852=DIRECTION('center_axis',(0.,1.,0.)); #467853=DIRECTION('ref_axis',(0.,0.,1.)); #467854=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #467855=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #467856=DIRECTION('center_axis',(0.,0.,-1.)); #467857=DIRECTION('ref_axis',(0.,-1.,0.)); #467858=DIRECTION('center_axis',(1.,0.,0.)); #467859=DIRECTION('ref_axis',(0.,0.,-1.)); #467860=DIRECTION('center_axis',(0.,-1.,0.)); #467861=DIRECTION('ref_axis',(1.,0.,0.)); #467862=DIRECTION('center_axis',(1.,0.,0.)); #467863=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #467864=DIRECTION('',(-1.,0.,0.)); #467865=DIRECTION('',(1.,0.,0.)); #467866=DIRECTION('center_axis',(0.408248290463861,-0.816496580927728,0.408248290463861)); #467867=DIRECTION('ref_axis',(0.577350269189627,0.577350269189624,0.577350269189627)); #467868=DIRECTION('center_axis',(0.,1.,0.)); #467869=DIRECTION('ref_axis',(1.,0.,0.)); #467870=DIRECTION('center_axis',(0.,0.,1.)); #467871=DIRECTION('ref_axis',(0.,1.,0.)); #467872=DIRECTION('center_axis',(1.,0.,0.)); #467873=DIRECTION('ref_axis',(0.,0.,1.)); #467874=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #467875=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189627,-0.577350269189626)); #467876=DIRECTION('center_axis',(-1.,0.,0.)); #467877=DIRECTION('ref_axis',(0.,0.,-1.)); #467878=DIRECTION('center_axis',(0.,1.,0.)); #467879=DIRECTION('ref_axis',(-1.,0.,0.)); #467880=DIRECTION('center_axis',(0.,0.,-1.)); #467881=DIRECTION('ref_axis',(0.,1.,0.)); #467882=DIRECTION('center_axis',(0.,-1.,0.)); #467883=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #467884=DIRECTION('',(0.,1.,0.)); #467885=DIRECTION('',(0.,-1.,0.)); #467886=DIRECTION('center_axis',(0.,0.,1.)); #467887=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #467888=DIRECTION('',(0.,0.,-1.)); #467889=DIRECTION('',(0.,0.,1.)); #467890=DIRECTION('center_axis',(-0.816496580927726,0.408248290463865,-0.408248290463861)); #467891=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #467892=DIRECTION('center_axis',(0.,0.,-1.)); #467893=DIRECTION('ref_axis',(1.,0.,0.)); #467894=DIRECTION('center_axis',(0.,1.,0.)); #467895=DIRECTION('ref_axis',(0.,0.,-1.)); #467896=DIRECTION('center_axis',(1.,0.,0.)); #467897=DIRECTION('ref_axis',(0.,1.,0.)); #467898=DIRECTION('center_axis',(0.,-1.,0.)); #467899=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #467900=DIRECTION('',(0.,1.,0.)); #467901=DIRECTION('',(0.,-1.,0.)); #467902=DIRECTION('center_axis',(-1.,0.,0.)); #467903=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #467904=DIRECTION('',(1.,0.,0.)); #467905=DIRECTION('',(-1.,0.,0.)); #467906=DIRECTION('center_axis',(0.,0.,1.)); #467907=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #467908=DIRECTION('',(0.,0.,-1.)); #467909=DIRECTION('',(0.,0.,1.)); #467910=DIRECTION('center_axis',(-1.,0.,0.)); #467911=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #467912=DIRECTION('',(1.,0.,0.)); #467913=DIRECTION('',(-1.,0.,0.)); #467914=DIRECTION('center_axis',(0.,1.,0.)); #467915=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #467916=DIRECTION('',(0.,-1.,0.)); #467917=DIRECTION('',(0.,1.,0.)); #467918=DIRECTION('center_axis',(0.,0.,1.)); #467919=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #467920=DIRECTION('',(0.,0.,-1.)); #467921=DIRECTION('',(0.,0.,1.)); #467922=DIRECTION('center_axis',(0.,-1.,0.)); #467923=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #467924=DIRECTION('',(0.,1.,0.)); #467925=DIRECTION('',(0.,-1.,0.)); #467926=DIRECTION('center_axis',(0.,0.,1.)); #467927=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #467928=DIRECTION('',(0.,0.,-1.)); #467929=DIRECTION('',(0.,0.,1.)); #467930=DIRECTION('center_axis',(1.,0.,0.)); #467931=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #467932=DIRECTION('',(-1.,0.,0.)); #467933=DIRECTION('',(1.,0.,0.)); #467934=DIRECTION('center_axis',(0.,-1.,0.)); #467935=DIRECTION('ref_axis',(1.,0.,0.)); #467936=DIRECTION('center_axis',(0.,0.,1.)); #467937=DIRECTION('ref_axis',(1.,0.,0.)); #467938=DIRECTION('center_axis',(-1.,0.,0.)); #467939=DIRECTION('ref_axis',(0.,-1.,0.)); #467940=DIRECTION('center_axis',(0.,1.,0.)); #467941=DIRECTION('ref_axis',(-1.,0.,0.)); #467942=DIRECTION('center_axis',(1.,0.,0.)); #467943=DIRECTION('ref_axis',(0.,1.,0.)); #467944=DIRECTION('center_axis',(0.,0.,1.)); #467945=DIRECTION('ref_axis',(1.,0.,0.)); #467946=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463862)); #467947=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189624,0.577350269189627)); #467948=DIRECTION('center_axis',(0.,-1.,0.)); #467949=DIRECTION('ref_axis',(-1.,0.,0.)); #467950=DIRECTION('center_axis',(0.,0.,1.)); #467951=DIRECTION('ref_axis',(0.,-1.,0.)); #467952=DIRECTION('center_axis',(-1.,0.,0.)); #467953=DIRECTION('ref_axis',(0.,0.,1.)); #467954=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #467955=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #467956=DIRECTION('center_axis',(1.,0.,0.)); #467957=DIRECTION('ref_axis',(0.,-1.,0.)); #467958=DIRECTION('center_axis',(0.,0.,1.)); #467959=DIRECTION('ref_axis',(1.,0.,0.)); #467960=DIRECTION('center_axis',(0.,-1.,0.)); #467961=DIRECTION('ref_axis',(0.,0.,1.)); #467962=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #467963=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #467964=DIRECTION('center_axis',(0.,0.,-1.)); #467965=DIRECTION('ref_axis',(-1.,0.,0.)); #467966=DIRECTION('center_axis',(0.,-1.,0.)); #467967=DIRECTION('ref_axis',(0.,0.,-1.)); #467968=DIRECTION('center_axis',(-1.,0.,0.)); #467969=DIRECTION('ref_axis',(0.,-1.,0.)); #467970=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #467971=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,0.577350269189625)); #467972=DIRECTION('center_axis',(-1.,0.,0.)); #467973=DIRECTION('ref_axis',(0.,1.,0.)); #467974=DIRECTION('center_axis',(0.,0.,1.)); #467975=DIRECTION('ref_axis',(-1.,0.,0.)); #467976=DIRECTION('center_axis',(0.,1.,0.)); #467977=DIRECTION('ref_axis',(0.,0.,1.)); #467978=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463862)); #467979=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #467980=DIRECTION('center_axis',(0.,0.,-1.)); #467981=DIRECTION('ref_axis',(0.,-1.,0.)); #467982=DIRECTION('center_axis',(1.,0.,0.)); #467983=DIRECTION('ref_axis',(0.,0.,-1.)); #467984=DIRECTION('center_axis',(0.,-1.,0.)); #467985=DIRECTION('ref_axis',(1.,0.,0.)); #467986=DIRECTION('center_axis',(1.,0.,0.)); #467987=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #467988=DIRECTION('',(-1.,0.,0.)); #467989=DIRECTION('',(1.,0.,0.)); #467990=DIRECTION('center_axis',(0.408248290463864,-0.816496580927727,0.408248290463861)); #467991=DIRECTION('ref_axis',(0.577350269189625,0.577350269189625,0.577350269189628)); #467992=DIRECTION('center_axis',(0.,1.,0.)); #467993=DIRECTION('ref_axis',(1.,0.,0.)); #467994=DIRECTION('center_axis',(0.,0.,1.)); #467995=DIRECTION('ref_axis',(0.,1.,0.)); #467996=DIRECTION('center_axis',(1.,0.,0.)); #467997=DIRECTION('ref_axis',(0.,0.,1.)); #467998=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #467999=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189625)); #468000=DIRECTION('center_axis',(-1.,0.,0.)); #468001=DIRECTION('ref_axis',(0.,0.,-1.)); #468002=DIRECTION('center_axis',(0.,1.,0.)); #468003=DIRECTION('ref_axis',(-1.,0.,0.)); #468004=DIRECTION('center_axis',(0.,0.,-1.)); #468005=DIRECTION('ref_axis',(0.,1.,0.)); #468006=DIRECTION('center_axis',(0.,-1.,0.)); #468007=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #468008=DIRECTION('',(0.,1.,0.)); #468009=DIRECTION('',(0.,-1.,0.)); #468010=DIRECTION('center_axis',(0.,0.,1.)); #468011=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #468012=DIRECTION('',(0.,0.,-1.)); #468013=DIRECTION('',(0.,0.,1.)); #468014=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,-0.40824829046386)); #468015=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #468016=DIRECTION('center_axis',(0.,0.,-1.)); #468017=DIRECTION('ref_axis',(1.,0.,0.)); #468018=DIRECTION('center_axis',(0.,1.,0.)); #468019=DIRECTION('ref_axis',(0.,0.,-1.)); #468020=DIRECTION('center_axis',(1.,0.,0.)); #468021=DIRECTION('ref_axis',(0.,1.,0.)); #468022=DIRECTION('center_axis',(0.,1.,0.)); #468023=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #468024=DIRECTION('',(0.,-1.,0.)); #468025=DIRECTION('',(0.,1.,0.)); #468026=DIRECTION('center_axis',(-1.,0.,0.)); #468027=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #468028=DIRECTION('',(1.,0.,0.)); #468029=DIRECTION('',(-1.,0.,0.)); #468030=DIRECTION('center_axis',(0.,0.,1.)); #468031=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #468032=DIRECTION('',(0.,0.,-1.)); #468033=DIRECTION('',(0.,0.,1.)); #468034=DIRECTION('center_axis',(-1.,0.,0.)); #468035=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #468036=DIRECTION('',(1.,0.,0.)); #468037=DIRECTION('',(-1.,0.,0.)); #468038=DIRECTION('center_axis',(0.,1.,0.)); #468039=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #468040=DIRECTION('',(0.,-1.,0.)); #468041=DIRECTION('',(0.,1.,0.)); #468042=DIRECTION('center_axis',(0.,0.,1.)); #468043=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #468044=DIRECTION('',(0.,0.,-1.)); #468045=DIRECTION('',(0.,0.,1.)); #468046=DIRECTION('center_axis',(0.,-1.,0.)); #468047=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #468048=DIRECTION('',(0.,1.,0.)); #468049=DIRECTION('',(0.,-1.,0.)); #468050=DIRECTION('center_axis',(0.,0.,1.)); #468051=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #468052=DIRECTION('',(0.,0.,-1.)); #468053=DIRECTION('',(0.,0.,1.)); #468054=DIRECTION('center_axis',(1.,0.,0.)); #468055=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #468056=DIRECTION('',(-1.,0.,0.)); #468057=DIRECTION('',(1.,0.,0.)); #468058=DIRECTION('center_axis',(0.,-1.,0.)); #468059=DIRECTION('ref_axis',(1.,0.,0.)); #468060=DIRECTION('center_axis',(0.,0.,1.)); #468061=DIRECTION('ref_axis',(1.,0.,0.)); #468062=DIRECTION('center_axis',(-1.,0.,0.)); #468063=DIRECTION('ref_axis',(0.,-1.,0.)); #468064=DIRECTION('center_axis',(0.,1.,0.)); #468065=DIRECTION('ref_axis',(-1.,0.,0.)); #468066=DIRECTION('center_axis',(1.,0.,0.)); #468067=DIRECTION('ref_axis',(0.,1.,0.)); #468068=DIRECTION('center_axis',(0.,0.,1.)); #468069=DIRECTION('ref_axis',(1.,0.,0.)); #468070=DIRECTION('center_axis',(1.,0.,0.)); #468071=DIRECTION('ref_axis',(0.,1.,0.)); #468072=DIRECTION('',(0.,-1.,0.)); #468073=DIRECTION('',(0.,0.,1.)); #468074=DIRECTION('',(0.,1.,0.)); #468075=DIRECTION('',(0.,0.,1.)); #468076=DIRECTION('center_axis',(0.,-1.,0.)); #468077=DIRECTION('ref_axis',(1.,0.,0.)); #468078=DIRECTION('',(1.,0.,0.)); #468079=DIRECTION('',(1.,0.,0.)); #468080=DIRECTION('',(0.,0.,1.)); #468081=DIRECTION('center_axis',(-1.,0.,0.)); #468082=DIRECTION('ref_axis',(0.,-1.,0.)); #468083=DIRECTION('',(0.,-1.,0.)); #468084=DIRECTION('',(0.,-1.,0.)); #468085=DIRECTION('',(0.,0.,1.)); #468086=DIRECTION('center_axis',(0.,1.,0.)); #468087=DIRECTION('ref_axis',(-1.,0.,0.)); #468088=DIRECTION('',(-1.,0.,0.)); #468089=DIRECTION('',(-1.,0.,0.)); #468090=DIRECTION('center_axis',(0.,0.,1.)); #468091=DIRECTION('ref_axis',(1.,0.,0.)); #468092=DIRECTION('center_axis',(0.,0.,1.)); #468093=DIRECTION('ref_axis',(1.,0.,0.)); #468094=DIRECTION('',(0.,0.,1.)); #468095=DIRECTION('',(1.,0.,0.)); #468096=DIRECTION('axis',(0.,0.,1.)); #468097=DIRECTION('refdir',(1.,0.,0.)); #468098=DIRECTION('axis',(0.,0.,1.)); #468099=DIRECTION('refdir',(1.,0.,0.)); #468100=DIRECTION('center_axis',(-0.990678839845296,0.,0.136218340478728)); #468101=DIRECTION('ref_axis',(0.136218340478728,0.,0.990678839845296)); #468102=DIRECTION('',(0.,1.,0.)); #468103=DIRECTION('',(-0.134971865202591,0.13497186520259,-0.981613565109747)); #468104=DIRECTION('',(0.,-1.,0.)); #468105=DIRECTION('',(-0.13497186520259,-0.13497186520259,-0.981613565109747)); #468106=DIRECTION('center_axis',(0.,0.990678839845296,0.136218340478728)); #468107=DIRECTION('ref_axis',(0.,-0.136218340478728,0.990678839845296)); #468108=DIRECTION('',(1.,0.,0.)); #468109=DIRECTION('',(0.13497186520259,0.13497186520259,-0.981613565109747)); #468110=DIRECTION('',(-1.,0.,0.)); #468111=DIRECTION('center_axis',(0.990678839845296,0.,0.136218340478728)); #468112=DIRECTION('ref_axis',(0.136218340478728,0.,-0.990678839845296)); #468113=DIRECTION('',(0.,-1.,0.)); #468114=DIRECTION('',(0.134971865202591,-0.13497186520259,-0.981613565109747)); #468115=DIRECTION('',(0.,1.,0.)); #468116=DIRECTION('center_axis',(0.,-0.990678839845296,0.136218340478728)); #468117=DIRECTION('ref_axis',(0.,-0.136218340478728,-0.990678839845296)); #468118=DIRECTION('',(1.,0.,0.)); #468119=DIRECTION('',(-1.,0.,0.)); #468120=DIRECTION('center_axis',(0.,-1.,0.)); #468121=DIRECTION('ref_axis',(1.,0.,0.)); #468122=DIRECTION('',(0.,0.,1.)); #468123=DIRECTION('',(1.,0.,0.)); #468124=DIRECTION('',(0.,0.,1.)); #468125=DIRECTION('center_axis',(-1.,0.,0.)); #468126=DIRECTION('ref_axis',(0.,-1.,0.)); #468127=DIRECTION('',(0.,0.,1.)); #468128=DIRECTION('',(0.,-1.,0.)); #468129=DIRECTION('center_axis',(0.,0.,1.)); #468130=DIRECTION('ref_axis',(1.,0.,0.)); #468131=DIRECTION('center_axis',(0.,1.,0.)); #468132=DIRECTION('ref_axis',(-1.,0.,0.)); #468133=DIRECTION('',(0.,0.,1.)); #468134=DIRECTION('',(-1.,0.,0.)); #468135=DIRECTION('center_axis',(1.,0.,0.)); #468136=DIRECTION('ref_axis',(0.,1.,0.)); #468137=DIRECTION('',(0.,1.,0.)); #468138=DIRECTION('center_axis',(0.,0.,1.)); #468139=DIRECTION('ref_axis',(1.,0.,0.)); #468140=DIRECTION('center_axis',(1.,0.,0.)); #468141=DIRECTION('ref_axis',(0.,1.,0.)); #468142=DIRECTION('',(0.,-1.,0.)); #468143=DIRECTION('',(0.,0.,1.)); #468144=DIRECTION('',(0.,1.,0.)); #468145=DIRECTION('',(0.,0.,1.)); #468146=DIRECTION('center_axis',(0.,-1.,0.)); #468147=DIRECTION('ref_axis',(1.,0.,0.)); #468148=DIRECTION('',(1.,0.,0.)); #468149=DIRECTION('',(1.,0.,0.)); #468150=DIRECTION('',(0.,0.,1.)); #468151=DIRECTION('center_axis',(-1.,0.,0.)); #468152=DIRECTION('ref_axis',(0.,-1.,0.)); #468153=DIRECTION('',(0.,-1.,0.)); #468154=DIRECTION('',(0.,-1.,0.)); #468155=DIRECTION('',(0.,0.,1.)); #468156=DIRECTION('center_axis',(0.,1.,0.)); #468157=DIRECTION('ref_axis',(-1.,0.,0.)); #468158=DIRECTION('',(-1.,0.,0.)); #468159=DIRECTION('',(-1.,0.,0.)); #468160=DIRECTION('center_axis',(0.,0.,1.)); #468161=DIRECTION('ref_axis',(1.,0.,0.)); #468162=DIRECTION('center_axis',(0.,0.,1.)); #468163=DIRECTION('ref_axis',(1.,0.,0.)); #468164=DIRECTION('center_axis',(0.,1.,0.)); #468165=DIRECTION('ref_axis',(-1.,0.,0.)); #468166=DIRECTION('',(-1.,0.,0.)); #468167=DIRECTION('',(0.,0.,1.)); #468168=DIRECTION('',(-1.,0.,0.)); #468169=DIRECTION('',(0.,0.,1.)); #468170=DIRECTION('center_axis',(1.,0.,0.)); #468171=DIRECTION('ref_axis',(0.,1.,0.)); #468172=DIRECTION('',(0.,1.,0.)); #468173=DIRECTION('',(0.,1.,0.)); #468174=DIRECTION('',(0.,0.,1.)); #468175=DIRECTION('center_axis',(0.,-1.,0.)); #468176=DIRECTION('ref_axis',(1.,0.,0.)); #468177=DIRECTION('',(1.,0.,0.)); #468178=DIRECTION('',(1.,0.,0.)); #468179=DIRECTION('',(0.,0.,1.)); #468180=DIRECTION('center_axis',(-1.,0.,0.)); #468181=DIRECTION('ref_axis',(0.,-1.,0.)); #468182=DIRECTION('',(0.,-1.,0.)); #468183=DIRECTION('',(0.,-1.,0.)); #468184=DIRECTION('center_axis',(0.,0.,1.)); #468185=DIRECTION('ref_axis',(1.,0.,0.)); #468186=DIRECTION('center_axis',(0.,0.,1.)); #468187=DIRECTION('ref_axis',(1.,0.,0.)); #468188=DIRECTION('center_axis',(0.,1.,0.)); #468189=DIRECTION('ref_axis',(-1.,0.,0.)); #468190=DIRECTION('',(1.,0.,0.)); #468191=DIRECTION('',(0.,0.,1.)); #468192=DIRECTION('',(-1.,0.,0.)); #468193=DIRECTION('',(0.,0.,1.)); #468194=DIRECTION('center_axis',(1.,0.,0.)); #468195=DIRECTION('ref_axis',(0.,1.,0.)); #468196=DIRECTION('',(0.,-1.,0.)); #468197=DIRECTION('',(0.,1.,0.)); #468198=DIRECTION('',(0.,0.,1.)); #468199=DIRECTION('center_axis',(0.,-1.,0.)); #468200=DIRECTION('ref_axis',(1.,0.,0.)); #468201=DIRECTION('',(-1.,0.,0.)); #468202=DIRECTION('',(1.,0.,0.)); #468203=DIRECTION('',(0.,0.,1.)); #468204=DIRECTION('center_axis',(-1.,0.,0.)); #468205=DIRECTION('ref_axis',(0.,-1.,0.)); #468206=DIRECTION('',(0.,1.,0.)); #468207=DIRECTION('',(0.,-1.,0.)); #468208=DIRECTION('center_axis',(0.,0.,1.)); #468209=DIRECTION('ref_axis',(1.,0.,0.)); #468210=DIRECTION('center_axis',(0.,0.,1.)); #468211=DIRECTION('ref_axis',(1.,0.,0.)); #468212=DIRECTION('center_axis',(0.,-1.,0.)); #468213=DIRECTION('ref_axis',(1.,0.,0.)); #468214=DIRECTION('',(-1.,0.,0.)); #468215=DIRECTION('',(0.,0.,1.)); #468216=DIRECTION('',(1.,0.,0.)); #468217=DIRECTION('',(0.,0.,1.)); #468218=DIRECTION('center_axis',(-1.,0.,0.)); #468219=DIRECTION('ref_axis',(0.,-1.,0.)); #468220=DIRECTION('',(0.,1.,0.)); #468221=DIRECTION('',(0.,-1.,0.)); #468222=DIRECTION('',(0.,0.,1.)); #468223=DIRECTION('center_axis',(0.,1.,0.)); #468224=DIRECTION('ref_axis',(-1.,0.,0.)); #468225=DIRECTION('',(1.,0.,0.)); #468226=DIRECTION('',(-1.,0.,0.)); #468227=DIRECTION('',(0.,0.,1.)); #468228=DIRECTION('center_axis',(1.,0.,0.)); #468229=DIRECTION('ref_axis',(0.,1.,0.)); #468230=DIRECTION('',(0.,1.,0.)); #468231=DIRECTION('',(0.,1.,0.)); #468232=DIRECTION('center_axis',(0.,0.,1.)); #468233=DIRECTION('ref_axis',(1.,0.,0.)); #468234=DIRECTION('center_axis',(0.,0.,1.)); #468235=DIRECTION('ref_axis',(1.,0.,0.)); #468236=DIRECTION('center_axis',(1.,-1.16294311238669E-16,0.)); #468237=DIRECTION('ref_axis',(1.16294311238669E-16,1.,0.)); #468238=DIRECTION('',(-1.16294311238669E-16,-1.,0.)); #468239=DIRECTION('',(0.,0.,1.)); #468240=DIRECTION('',(1.16294311238669E-16,1.,0.)); #468241=DIRECTION('',(0.,0.,1.)); #468242=DIRECTION('center_axis',(0.,-1.,0.)); #468243=DIRECTION('ref_axis',(1.,0.,0.)); #468244=DIRECTION('',(1.,0.,0.)); #468245=DIRECTION('',(1.,0.,0.)); #468246=DIRECTION('',(0.,0.,1.)); #468247=DIRECTION('center_axis',(-1.,0.,0.)); #468248=DIRECTION('ref_axis',(0.,-1.,0.)); #468249=DIRECTION('',(0.,-1.,0.)); #468250=DIRECTION('',(0.,-1.,0.)); #468251=DIRECTION('',(0.,0.,1.)); #468252=DIRECTION('center_axis',(4.97411749384031E-17,1.,0.)); #468253=DIRECTION('ref_axis',(-1.,4.97411749384031E-17,0.)); #468254=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #468255=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #468256=DIRECTION('center_axis',(0.,0.,1.)); #468257=DIRECTION('ref_axis',(1.,0.,0.)); #468258=DIRECTION('center_axis',(0.,0.,1.)); #468259=DIRECTION('ref_axis',(1.,0.,0.)); #468260=DIRECTION('',(0.,0.,1.)); #468261=DIRECTION('',(1.,0.,0.)); #468262=DIRECTION('axis',(0.,0.,1.)); #468263=DIRECTION('refdir',(1.,0.,0.)); #468264=DIRECTION('axis',(0.,0.,1.)); #468265=DIRECTION('refdir',(1.,0.,0.)); #468266=DIRECTION('center_axis',(0.,0.,-1.)); #468267=DIRECTION('ref_axis',(1.,0.,0.)); #468268=DIRECTION('center_axis',(0.,0.,-1.)); #468269=DIRECTION('ref_axis',(1.,0.,0.)); #468270=DIRECTION('',(0.,0.,-1.)); #468271=DIRECTION('center_axis',(0.,0.,-1.)); #468272=DIRECTION('ref_axis',(1.,0.,0.)); #468273=DIRECTION('center_axis',(0.,0.,1.)); #468274=DIRECTION('ref_axis',(1.,0.,0.)); #468275=DIRECTION('center_axis',(-0.928476690885259,0.,0.371390676354104)); #468276=DIRECTION('ref_axis',(0.371390676354104,0.,0.928476690885259)); #468277=DIRECTION('',(0.,1.,0.)); #468278=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #468279=DIRECTION('',(0.,-1.,0.)); #468280=DIRECTION('',(-0.348155311911396,-0.348155311911396,-0.870388279778489)); #468281=DIRECTION('center_axis',(0.,0.928476690885259,0.371390676354104)); #468282=DIRECTION('ref_axis',(0.,-0.371390676354104,0.928476690885259)); #468283=DIRECTION('',(1.,0.,0.)); #468284=DIRECTION('',(0.348155311911396,0.348155311911396,-0.870388279778489)); #468285=DIRECTION('',(-1.,0.,0.)); #468286=DIRECTION('center_axis',(0.928476690885259,0.,0.371390676354104)); #468287=DIRECTION('ref_axis',(0.371390676354104,0.,-0.928476690885259)); #468288=DIRECTION('',(0.,-1.,0.)); #468289=DIRECTION('',(0.348155311911396,-0.348155311911396,-0.870388279778489)); #468290=DIRECTION('',(0.,1.,0.)); #468291=DIRECTION('center_axis',(0.,-0.928476690885259,0.371390676354104)); #468292=DIRECTION('ref_axis',(0.,-0.371390676354104,-0.928476690885259)); #468293=DIRECTION('',(1.,0.,0.)); #468294=DIRECTION('',(-1.,0.,0.)); #468295=DIRECTION('center_axis',(0.,-1.,0.)); #468296=DIRECTION('ref_axis',(1.,0.,0.)); #468297=DIRECTION('',(0.,0.,1.)); #468298=DIRECTION('',(-1.,0.,0.)); #468299=DIRECTION('',(0.,0.,1.)); #468300=DIRECTION('center_axis',(-1.,0.,0.)); #468301=DIRECTION('ref_axis',(0.,-1.,0.)); #468302=DIRECTION('',(0.,0.,1.)); #468303=DIRECTION('',(0.,1.,0.)); #468304=DIRECTION('center_axis',(0.,0.,1.)); #468305=DIRECTION('ref_axis',(1.,0.,0.)); #468306=DIRECTION('center_axis',(0.928476690885259,0.,-0.371390676354104)); #468307=DIRECTION('ref_axis',(-0.371390676354104,0.,-0.928476690885259)); #468308=DIRECTION('',(0.,-1.,0.)); #468309=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #468310=DIRECTION('',(0.,1.,0.)); #468311=DIRECTION('',(0.348155311911396,0.348155311911396,0.870388279778489)); #468312=DIRECTION('center_axis',(0.,-0.928476690885259,-0.371390676354104)); #468313=DIRECTION('ref_axis',(0.,0.371390676354104,-0.928476690885259)); #468314=DIRECTION('',(1.,0.,0.)); #468315=DIRECTION('',(-0.348155311911396,-0.348155311911396,0.870388279778489)); #468316=DIRECTION('center_axis',(0.,0.928476690885259,-0.371390676354104)); #468317=DIRECTION('ref_axis',(0.,0.371390676354104,0.928476690885259)); #468318=DIRECTION('',(1.,0.,0.)); #468319=DIRECTION('',(-1.,0.,0.)); #468320=DIRECTION('',(-0.348155311911396,0.348155311911396,0.870388279778489)); #468321=DIRECTION('center_axis',(-0.928476690885259,0.,-0.371390676354104)); #468322=DIRECTION('ref_axis',(-0.371390676354104,0.,0.928476690885259)); #468323=DIRECTION('',(0.,-1.,0.)); #468324=DIRECTION('center_axis',(1.,0.,0.)); #468325=DIRECTION('ref_axis',(0.,1.,0.)); #468326=DIRECTION('',(0.,0.,1.)); #468327=DIRECTION('center_axis',(0.,0.,1.)); #468328=DIRECTION('ref_axis',(1.,0.,0.)); #468329=DIRECTION('center_axis',(0.,1.,0.)); #468330=DIRECTION('ref_axis',(-1.,0.,0.)); #468331=DIRECTION('center_axis',(0.,1.,0.)); #468332=DIRECTION('ref_axis',(1.,0.,0.)); #468333=DIRECTION('center_axis',(0.,-1.,0.)); #468334=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468335=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #468336=DIRECTION('center_axis',(0.,1.,0.)); #468337=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468338=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #468339=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #468340=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #468341=DIRECTION('center_axis',(0.,-1.,0.)); #468342=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468343=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #468344=DIRECTION('center_axis',(0.,1.,0.)); #468345=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468346=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #468347=DIRECTION('',(0.,0.,-1.)); #468348=DIRECTION('',(1.,0.,0.)); #468349=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #468350=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #468351=DIRECTION('',(0.,-1.,0.)); #468352=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #468353=DIRECTION('',(0.,1.,0.)); #468354=DIRECTION('center_axis',(0.,1.,0.)); #468355=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468356=DIRECTION('center_axis',(0.,-1.,0.)); #468357=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468358=DIRECTION('',(0.,1.,0.)); #468359=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #468360=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #468361=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #468362=DIRECTION('',(0.,1.,0.)); #468363=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #468364=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #468365=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #468366=DIRECTION('',(0.,1.,0.)); #468367=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #468368=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #468369=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #468370=DIRECTION('',(0.,1.,0.)); #468371=DIRECTION('center_axis',(0.,1.,0.)); #468372=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468373=DIRECTION('center_axis',(0.,1.,0.)); #468374=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468375=DIRECTION('',(0.,1.,0.)); #468376=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #468377=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #468378=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #468379=DIRECTION('',(0.,1.,0.)); #468380=DIRECTION('center_axis',(0.,1.,0.)); #468381=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468382=DIRECTION('center_axis',(0.,-1.,0.)); #468383=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468384=DIRECTION('',(0.,1.,0.)); #468385=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #468386=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #468387=DIRECTION('',(1.,0.,4.95238232924399E-13)); #468388=DIRECTION('',(0.,1.,0.)); #468389=DIRECTION('center_axis',(-1.,0.,0.)); #468390=DIRECTION('ref_axis',(0.,0.,1.)); #468391=DIRECTION('',(0.,0.,1.)); #468392=DIRECTION('',(0.,1.,0.)); #468393=DIRECTION('center_axis',(0.,0.,-1.)); #468394=DIRECTION('ref_axis',(-1.,0.,0.)); #468395=DIRECTION('',(-1.,0.,0.)); #468396=DIRECTION('',(0.,1.,0.)); #468397=DIRECTION('center_axis',(0.,1.,0.)); #468398=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468399=DIRECTION('center_axis',(0.,1.,0.)); #468400=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468401=DIRECTION('center_axis',(0.,1.,0.)); #468402=DIRECTION('ref_axis',(1.,0.,0.)); #468403=DIRECTION('center_axis',(0.,-1.,0.)); #468404=DIRECTION('ref_axis',(-1.,0.,0.)); #468405=DIRECTION('center_axis',(0.,1.,0.)); #468406=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468407=DIRECTION('',(-1.,0.,0.)); #468408=DIRECTION('',(0.,0.,-1.)); #468409=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #468410=DIRECTION('center_axis',(0.,-1.,0.)); #468411=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468412=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #468413=DIRECTION('center_axis',(0.,1.,0.)); #468414=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468415=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #468416=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #468417=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #468418=DIRECTION('center_axis',(0.,-1.,0.)); #468419=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468420=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #468421=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #468422=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #468423=DIRECTION('',(0.,-1.,0.)); #468424=DIRECTION('',(0.,1.,0.)); #468425=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #468426=DIRECTION('center_axis',(0.,-1.,0.)); #468427=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468428=DIRECTION('',(0.,1.,0.)); #468429=DIRECTION('center_axis',(0.,1.,0.)); #468430=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468431=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #468432=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #468433=DIRECTION('',(0.,1.,0.)); #468434=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #468435=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #468436=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #468437=DIRECTION('',(0.,1.,0.)); #468438=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #468439=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #468440=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #468441=DIRECTION('',(0.,1.,0.)); #468442=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #468443=DIRECTION('center_axis',(0.,-1.,0.)); #468444=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468445=DIRECTION('',(0.,1.,0.)); #468446=DIRECTION('center_axis',(0.,-1.,0.)); #468447=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468448=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #468449=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #468450=DIRECTION('',(0.,1.,0.)); #468451=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #468452=DIRECTION('center_axis',(0.,-1.,0.)); #468453=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468454=DIRECTION('',(0.,1.,0.)); #468455=DIRECTION('center_axis',(0.,1.,0.)); #468456=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468457=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #468458=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #468459=DIRECTION('',(0.,1.,0.)); #468460=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #468461=DIRECTION('center_axis',(-1.,0.,0.)); #468462=DIRECTION('ref_axis',(0.,0.,1.)); #468463=DIRECTION('',(0.,1.,0.)); #468464=DIRECTION('',(0.,0.,1.)); #468465=DIRECTION('center_axis',(0.,0.,1.)); #468466=DIRECTION('ref_axis',(1.,0.,0.)); #468467=DIRECTION('',(0.,1.,0.)); #468468=DIRECTION('',(1.,0.,0.)); #468469=DIRECTION('center_axis',(0.,-1.,0.)); #468470=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468471=DIRECTION('center_axis',(0.,-1.,0.)); #468472=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468473=DIRECTION('center_axis',(0.,-1.,0.)); #468474=DIRECTION('ref_axis',(-1.,0.,0.)); #468475=DIRECTION('center_axis',(0.,1.,0.)); #468476=DIRECTION('ref_axis',(1.,0.,0.)); #468477=DIRECTION('center_axis',(0.,-1.,0.)); #468478=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468479=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #468480=DIRECTION('center_axis',(0.,1.,0.)); #468481=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468482=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #468483=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #468484=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #468485=DIRECTION('center_axis',(0.,-1.,0.)); #468486=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468487=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #468488=DIRECTION('center_axis',(0.,1.,0.)); #468489=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468490=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #468491=DIRECTION('',(0.,0.,-1.)); #468492=DIRECTION('',(1.,0.,0.)); #468493=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #468494=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #468495=DIRECTION('',(0.,-1.,0.)); #468496=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #468497=DIRECTION('',(0.,1.,0.)); #468498=DIRECTION('center_axis',(0.,1.,0.)); #468499=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468500=DIRECTION('center_axis',(0.,-1.,0.)); #468501=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468502=DIRECTION('',(0.,1.,0.)); #468503=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #468504=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #468505=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #468506=DIRECTION('',(0.,1.,0.)); #468507=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #468508=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #468509=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #468510=DIRECTION('',(0.,1.,0.)); #468511=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #468512=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #468513=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #468514=DIRECTION('',(0.,1.,0.)); #468515=DIRECTION('center_axis',(0.,1.,0.)); #468516=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468517=DIRECTION('center_axis',(0.,1.,0.)); #468518=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468519=DIRECTION('',(0.,1.,0.)); #468520=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #468521=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #468522=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #468523=DIRECTION('',(0.,1.,0.)); #468524=DIRECTION('center_axis',(0.,1.,0.)); #468525=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468526=DIRECTION('center_axis',(0.,-1.,0.)); #468527=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468528=DIRECTION('',(0.,1.,0.)); #468529=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #468530=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #468531=DIRECTION('',(1.,0.,4.95238232924399E-13)); #468532=DIRECTION('',(0.,1.,0.)); #468533=DIRECTION('center_axis',(-1.,0.,0.)); #468534=DIRECTION('ref_axis',(0.,0.,1.)); #468535=DIRECTION('',(0.,0.,1.)); #468536=DIRECTION('',(0.,1.,0.)); #468537=DIRECTION('center_axis',(0.,0.,-1.)); #468538=DIRECTION('ref_axis',(-1.,0.,0.)); #468539=DIRECTION('',(-1.,0.,0.)); #468540=DIRECTION('',(0.,1.,0.)); #468541=DIRECTION('center_axis',(0.,1.,0.)); #468542=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468543=DIRECTION('center_axis',(0.,1.,0.)); #468544=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468545=DIRECTION('center_axis',(0.,1.,0.)); #468546=DIRECTION('ref_axis',(1.,0.,0.)); #468547=DIRECTION('center_axis',(0.,1.,0.)); #468548=DIRECTION('ref_axis',(1.,0.,0.)); #468549=DIRECTION('center_axis',(0.,-1.,0.)); #468550=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468551=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #468552=DIRECTION('center_axis',(0.,1.,0.)); #468553=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468554=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #468555=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #468556=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #468557=DIRECTION('center_axis',(0.,-1.,0.)); #468558=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468559=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #468560=DIRECTION('center_axis',(0.,1.,0.)); #468561=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468562=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #468563=DIRECTION('',(0.,0.,-1.)); #468564=DIRECTION('',(1.,0.,0.)); #468565=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #468566=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #468567=DIRECTION('',(0.,-1.,0.)); #468568=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #468569=DIRECTION('',(0.,1.,0.)); #468570=DIRECTION('center_axis',(0.,1.,0.)); #468571=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468572=DIRECTION('center_axis',(0.,-1.,0.)); #468573=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468574=DIRECTION('',(0.,1.,0.)); #468575=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #468576=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #468577=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #468578=DIRECTION('',(0.,1.,0.)); #468579=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #468580=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #468581=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #468582=DIRECTION('',(0.,1.,0.)); #468583=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #468584=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #468585=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #468586=DIRECTION('',(0.,1.,0.)); #468587=DIRECTION('center_axis',(0.,1.,0.)); #468588=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468589=DIRECTION('center_axis',(0.,1.,0.)); #468590=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468591=DIRECTION('',(0.,1.,0.)); #468592=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #468593=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #468594=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #468595=DIRECTION('',(0.,1.,0.)); #468596=DIRECTION('center_axis',(0.,1.,0.)); #468597=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468598=DIRECTION('center_axis',(0.,-1.,0.)); #468599=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468600=DIRECTION('',(0.,1.,0.)); #468601=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #468602=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #468603=DIRECTION('',(1.,0.,4.95238232924399E-13)); #468604=DIRECTION('',(0.,1.,0.)); #468605=DIRECTION('center_axis',(-1.,0.,0.)); #468606=DIRECTION('ref_axis',(0.,0.,1.)); #468607=DIRECTION('',(0.,0.,1.)); #468608=DIRECTION('',(0.,1.,0.)); #468609=DIRECTION('center_axis',(0.,0.,-1.)); #468610=DIRECTION('ref_axis',(-1.,0.,0.)); #468611=DIRECTION('',(-1.,0.,0.)); #468612=DIRECTION('',(0.,1.,0.)); #468613=DIRECTION('center_axis',(0.,1.,0.)); #468614=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468615=DIRECTION('center_axis',(0.,1.,0.)); #468616=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468617=DIRECTION('center_axis',(0.,1.,0.)); #468618=DIRECTION('ref_axis',(1.,0.,0.)); #468619=DIRECTION('center_axis',(0.,1.,0.)); #468620=DIRECTION('ref_axis',(1.,0.,0.)); #468621=DIRECTION('center_axis',(0.,-1.,0.)); #468622=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468623=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #468624=DIRECTION('center_axis',(0.,1.,0.)); #468625=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468626=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #468627=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #468628=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #468629=DIRECTION('center_axis',(0.,-1.,0.)); #468630=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468631=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #468632=DIRECTION('center_axis',(0.,1.,0.)); #468633=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468634=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #468635=DIRECTION('',(0.,0.,-1.)); #468636=DIRECTION('',(1.,0.,0.)); #468637=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #468638=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #468639=DIRECTION('',(0.,-1.,0.)); #468640=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #468641=DIRECTION('',(0.,1.,0.)); #468642=DIRECTION('center_axis',(0.,1.,0.)); #468643=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468644=DIRECTION('center_axis',(0.,-1.,0.)); #468645=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #468646=DIRECTION('',(0.,1.,0.)); #468647=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #468648=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #468649=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #468650=DIRECTION('',(0.,1.,0.)); #468651=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #468652=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #468653=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #468654=DIRECTION('',(0.,1.,0.)); #468655=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #468656=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #468657=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #468658=DIRECTION('',(0.,1.,0.)); #468659=DIRECTION('center_axis',(0.,1.,0.)); #468660=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468661=DIRECTION('center_axis',(0.,1.,0.)); #468662=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #468663=DIRECTION('',(0.,1.,0.)); #468664=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #468665=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #468666=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #468667=DIRECTION('',(0.,1.,0.)); #468668=DIRECTION('center_axis',(0.,1.,0.)); #468669=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468670=DIRECTION('center_axis',(0.,-1.,0.)); #468671=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #468672=DIRECTION('',(0.,1.,0.)); #468673=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #468674=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #468675=DIRECTION('',(1.,0.,4.95238232924399E-13)); #468676=DIRECTION('',(0.,1.,0.)); #468677=DIRECTION('center_axis',(-1.,0.,0.)); #468678=DIRECTION('ref_axis',(0.,0.,1.)); #468679=DIRECTION('',(0.,0.,1.)); #468680=DIRECTION('',(0.,1.,0.)); #468681=DIRECTION('center_axis',(0.,0.,-1.)); #468682=DIRECTION('ref_axis',(-1.,0.,0.)); #468683=DIRECTION('',(-1.,0.,0.)); #468684=DIRECTION('',(0.,1.,0.)); #468685=DIRECTION('center_axis',(0.,1.,0.)); #468686=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468687=DIRECTION('center_axis',(0.,1.,0.)); #468688=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #468689=DIRECTION('center_axis',(0.,1.,0.)); #468690=DIRECTION('ref_axis',(1.,0.,0.)); #468691=DIRECTION('center_axis',(0.,-1.,0.)); #468692=DIRECTION('ref_axis',(-1.,0.,0.)); #468693=DIRECTION('center_axis',(0.,1.,0.)); #468694=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468695=DIRECTION('',(-1.,0.,0.)); #468696=DIRECTION('',(0.,0.,-1.)); #468697=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #468698=DIRECTION('center_axis',(0.,-1.,0.)); #468699=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468700=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #468701=DIRECTION('center_axis',(0.,1.,0.)); #468702=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468703=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #468704=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #468705=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #468706=DIRECTION('center_axis',(0.,-1.,0.)); #468707=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468708=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #468709=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #468710=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #468711=DIRECTION('',(0.,-1.,0.)); #468712=DIRECTION('',(0.,1.,0.)); #468713=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #468714=DIRECTION('center_axis',(0.,-1.,0.)); #468715=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468716=DIRECTION('',(0.,1.,0.)); #468717=DIRECTION('center_axis',(0.,1.,0.)); #468718=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468719=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #468720=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #468721=DIRECTION('',(0.,1.,0.)); #468722=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #468723=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #468724=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #468725=DIRECTION('',(0.,1.,0.)); #468726=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #468727=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #468728=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #468729=DIRECTION('',(0.,1.,0.)); #468730=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #468731=DIRECTION('center_axis',(0.,-1.,0.)); #468732=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468733=DIRECTION('',(0.,1.,0.)); #468734=DIRECTION('center_axis',(0.,-1.,0.)); #468735=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468736=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #468737=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #468738=DIRECTION('',(0.,1.,0.)); #468739=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #468740=DIRECTION('center_axis',(0.,-1.,0.)); #468741=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468742=DIRECTION('',(0.,1.,0.)); #468743=DIRECTION('center_axis',(0.,1.,0.)); #468744=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468745=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #468746=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #468747=DIRECTION('',(0.,1.,0.)); #468748=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #468749=DIRECTION('center_axis',(-1.,0.,0.)); #468750=DIRECTION('ref_axis',(0.,0.,1.)); #468751=DIRECTION('',(0.,1.,0.)); #468752=DIRECTION('',(0.,0.,1.)); #468753=DIRECTION('center_axis',(0.,0.,1.)); #468754=DIRECTION('ref_axis',(1.,0.,0.)); #468755=DIRECTION('',(0.,1.,0.)); #468756=DIRECTION('',(1.,0.,0.)); #468757=DIRECTION('center_axis',(0.,-1.,0.)); #468758=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468759=DIRECTION('center_axis',(0.,-1.,0.)); #468760=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468761=DIRECTION('center_axis',(0.,-1.,0.)); #468762=DIRECTION('ref_axis',(-1.,0.,0.)); #468763=DIRECTION('center_axis',(0.,-1.,0.)); #468764=DIRECTION('ref_axis',(-1.,0.,0.)); #468765=DIRECTION('center_axis',(0.,1.,0.)); #468766=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468767=DIRECTION('',(-1.,0.,0.)); #468768=DIRECTION('',(0.,0.,-1.)); #468769=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #468770=DIRECTION('center_axis',(0.,-1.,0.)); #468771=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468772=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #468773=DIRECTION('center_axis',(0.,1.,0.)); #468774=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468775=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #468776=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #468777=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #468778=DIRECTION('center_axis',(0.,-1.,0.)); #468779=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468780=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #468781=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #468782=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #468783=DIRECTION('',(0.,-1.,0.)); #468784=DIRECTION('',(0.,1.,0.)); #468785=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #468786=DIRECTION('center_axis',(0.,-1.,0.)); #468787=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468788=DIRECTION('',(0.,1.,0.)); #468789=DIRECTION('center_axis',(0.,1.,0.)); #468790=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468791=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #468792=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #468793=DIRECTION('',(0.,1.,0.)); #468794=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #468795=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #468796=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #468797=DIRECTION('',(0.,1.,0.)); #468798=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #468799=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #468800=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #468801=DIRECTION('',(0.,1.,0.)); #468802=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #468803=DIRECTION('center_axis',(0.,-1.,0.)); #468804=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468805=DIRECTION('',(0.,1.,0.)); #468806=DIRECTION('center_axis',(0.,-1.,0.)); #468807=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468808=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #468809=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #468810=DIRECTION('',(0.,1.,0.)); #468811=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #468812=DIRECTION('center_axis',(0.,-1.,0.)); #468813=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468814=DIRECTION('',(0.,1.,0.)); #468815=DIRECTION('center_axis',(0.,1.,0.)); #468816=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468817=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #468818=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #468819=DIRECTION('',(0.,1.,0.)); #468820=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #468821=DIRECTION('center_axis',(-1.,0.,0.)); #468822=DIRECTION('ref_axis',(0.,0.,1.)); #468823=DIRECTION('',(0.,1.,0.)); #468824=DIRECTION('',(0.,0.,1.)); #468825=DIRECTION('center_axis',(0.,0.,1.)); #468826=DIRECTION('ref_axis',(1.,0.,0.)); #468827=DIRECTION('',(0.,1.,0.)); #468828=DIRECTION('',(1.,0.,0.)); #468829=DIRECTION('center_axis',(0.,-1.,0.)); #468830=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468831=DIRECTION('center_axis',(0.,-1.,0.)); #468832=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468833=DIRECTION('center_axis',(0.,-1.,0.)); #468834=DIRECTION('ref_axis',(-1.,0.,0.)); #468835=DIRECTION('center_axis',(0.,-1.,0.)); #468836=DIRECTION('ref_axis',(-1.,0.,0.)); #468837=DIRECTION('center_axis',(0.,1.,0.)); #468838=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468839=DIRECTION('',(-1.,0.,0.)); #468840=DIRECTION('',(0.,0.,-1.)); #468841=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #468842=DIRECTION('center_axis',(0.,-1.,0.)); #468843=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468844=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #468845=DIRECTION('center_axis',(0.,1.,0.)); #468846=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468847=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #468848=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #468849=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #468850=DIRECTION('center_axis',(0.,-1.,0.)); #468851=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468852=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #468853=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #468854=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #468855=DIRECTION('',(0.,-1.,0.)); #468856=DIRECTION('',(0.,1.,0.)); #468857=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #468858=DIRECTION('center_axis',(0.,-1.,0.)); #468859=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468860=DIRECTION('',(0.,1.,0.)); #468861=DIRECTION('center_axis',(0.,1.,0.)); #468862=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #468863=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #468864=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #468865=DIRECTION('',(0.,1.,0.)); #468866=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #468867=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #468868=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #468869=DIRECTION('',(0.,1.,0.)); #468870=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #468871=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #468872=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #468873=DIRECTION('',(0.,1.,0.)); #468874=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #468875=DIRECTION('center_axis',(0.,-1.,0.)); #468876=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468877=DIRECTION('',(0.,1.,0.)); #468878=DIRECTION('center_axis',(0.,-1.,0.)); #468879=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #468880=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #468881=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #468882=DIRECTION('',(0.,1.,0.)); #468883=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #468884=DIRECTION('center_axis',(0.,-1.,0.)); #468885=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468886=DIRECTION('',(0.,1.,0.)); #468887=DIRECTION('center_axis',(0.,1.,0.)); #468888=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #468889=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #468890=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #468891=DIRECTION('',(0.,1.,0.)); #468892=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #468893=DIRECTION('center_axis',(-1.,0.,0.)); #468894=DIRECTION('ref_axis',(0.,0.,1.)); #468895=DIRECTION('',(0.,1.,0.)); #468896=DIRECTION('',(0.,0.,1.)); #468897=DIRECTION('center_axis',(0.,0.,1.)); #468898=DIRECTION('ref_axis',(1.,0.,0.)); #468899=DIRECTION('',(0.,1.,0.)); #468900=DIRECTION('',(1.,0.,0.)); #468901=DIRECTION('center_axis',(0.,-1.,0.)); #468902=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468903=DIRECTION('center_axis',(0.,-1.,0.)); #468904=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #468905=DIRECTION('center_axis',(0.,-1.,0.)); #468906=DIRECTION('ref_axis',(-1.,0.,0.)); #468907=DIRECTION('',(0.,0.,1.)); #468908=DIRECTION('',(1.,0.,0.)); #468909=DIRECTION('axis',(0.,0.,1.)); #468910=DIRECTION('refdir',(1.,0.,0.)); #468911=DIRECTION('axis',(0.,0.,1.)); #468912=DIRECTION('refdir',(1.,0.,0.)); #468913=DIRECTION('center_axis',(0.,0.,-1.)); #468914=DIRECTION('ref_axis',(1.,0.,0.)); #468915=DIRECTION('center_axis',(0.,0.,-1.)); #468916=DIRECTION('ref_axis',(1.,0.,0.)); #468917=DIRECTION('',(0.,0.,-1.)); #468918=DIRECTION('center_axis',(0.,0.,-1.)); #468919=DIRECTION('ref_axis',(1.,0.,0.)); #468920=DIRECTION('center_axis',(0.,0.,1.)); #468921=DIRECTION('ref_axis',(1.,0.,0.)); #468922=DIRECTION('center_axis',(-0.969483457617158,0.,0.245156736408936)); #468923=DIRECTION('ref_axis',(0.245156736408936,0.,0.969483457617158)); #468924=DIRECTION('',(0.,1.,0.)); #468925=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #468926=DIRECTION('',(0.,-1.,0.)); #468927=DIRECTION('',(-0.238105835984066,-0.238105835984067,-0.941600351391533)); #468928=DIRECTION('center_axis',(0.,0.969483457617158,0.245156736408937)); #468929=DIRECTION('ref_axis',(0.,-0.245156736408937,0.969483457617158)); #468930=DIRECTION('',(1.,0.,0.)); #468931=DIRECTION('',(0.238105835984066,0.238105835984067,-0.941600351391533)); #468932=DIRECTION('',(-1.,0.,0.)); #468933=DIRECTION('center_axis',(0.969483457617158,0.,0.245156736408936)); #468934=DIRECTION('ref_axis',(0.245156736408936,0.,-0.969483457617158)); #468935=DIRECTION('',(0.,-1.,0.)); #468936=DIRECTION('',(0.238105835984066,-0.238105835984066,-0.941600351391533)); #468937=DIRECTION('',(0.,1.,0.)); #468938=DIRECTION('center_axis',(0.,-0.969483457617158,0.245156736408937)); #468939=DIRECTION('ref_axis',(0.,-0.245156736408937,-0.969483457617158)); #468940=DIRECTION('',(1.,0.,0.)); #468941=DIRECTION('',(-1.,0.,0.)); #468942=DIRECTION('center_axis',(0.,-1.,0.)); #468943=DIRECTION('ref_axis',(1.,0.,0.)); #468944=DIRECTION('',(0.,0.,1.)); #468945=DIRECTION('',(-1.,0.,0.)); #468946=DIRECTION('',(0.,0.,1.)); #468947=DIRECTION('center_axis',(-1.,0.,0.)); #468948=DIRECTION('ref_axis',(0.,-1.,0.)); #468949=DIRECTION('',(0.,0.,1.)); #468950=DIRECTION('',(0.,1.,0.)); #468951=DIRECTION('center_axis',(0.,0.,1.)); #468952=DIRECTION('ref_axis',(1.,0.,0.)); #468953=DIRECTION('center_axis',(0.969483457617158,0.,-0.245156736408936)); #468954=DIRECTION('ref_axis',(-0.245156736408936,0.,-0.969483457617158)); #468955=DIRECTION('',(0.,-1.,0.)); #468956=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #468957=DIRECTION('',(0.,1.,0.)); #468958=DIRECTION('',(0.238105835984066,0.238105835984066,0.941600351391533)); #468959=DIRECTION('center_axis',(0.,-0.969483457617158,-0.245156736408937)); #468960=DIRECTION('ref_axis',(0.,0.245156736408937,-0.969483457617158)); #468961=DIRECTION('',(1.,0.,0.)); #468962=DIRECTION('',(-0.238105835984066,-0.238105835984066,0.941600351391533)); #468963=DIRECTION('center_axis',(0.,0.969483457617158,-0.245156736408937)); #468964=DIRECTION('ref_axis',(0.,0.245156736408937,0.969483457617158)); #468965=DIRECTION('',(1.,0.,0.)); #468966=DIRECTION('',(-1.,0.,0.)); #468967=DIRECTION('',(-0.238105835984066,0.238105835984066,0.941600351391533)); #468968=DIRECTION('center_axis',(-0.969483457617158,0.,-0.245156736408936)); #468969=DIRECTION('ref_axis',(-0.245156736408936,0.,0.969483457617158)); #468970=DIRECTION('',(0.,-1.,0.)); #468971=DIRECTION('center_axis',(1.,0.,0.)); #468972=DIRECTION('ref_axis',(0.,1.,0.)); #468973=DIRECTION('',(0.,0.,1.)); #468974=DIRECTION('center_axis',(0.,0.,1.)); #468975=DIRECTION('ref_axis',(1.,0.,0.)); #468976=DIRECTION('center_axis',(0.,1.,0.)); #468977=DIRECTION('ref_axis',(-1.,0.,0.)); #468978=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #468979=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #468980=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #468981=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #468982=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #468983=DIRECTION('',(0.,0.,1.)); #468984=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #468985=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #468986=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #468987=DIRECTION('',(0.,0.,1.)); #468988=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #468989=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #468990=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468991=DIRECTION('',(0.,0.,-1.)); #468992=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #468993=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #468994=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #468995=DIRECTION('',(0.,0.,-1.)); #468996=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #468997=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #468998=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #468999=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #469000=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469001=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #469002=DIRECTION('ref_axis',(0.,0.,-1.)); #469003=DIRECTION('',(0.,0.,-1.)); #469004=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469005=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #469006=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #469007=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #469008=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469009=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469010=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #469011=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469012=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #469013=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469014=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #469015=DIRECTION('ref_axis',(0.,0.,-1.)); #469016=DIRECTION('',(0.,0.,-1.)); #469017=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469018=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469019=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #469020=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469021=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #469022=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469023=DIRECTION('center_axis',(0.,0.,1.)); #469024=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #469025=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #469026=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469027=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #469028=DIRECTION('ref_axis',(0.,0.,1.)); #469029=DIRECTION('',(0.,0.,1.)); #469030=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469031=DIRECTION('center_axis',(0.,0.,1.)); #469032=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #469033=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #469034=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469035=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469036=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #469037=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469038=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #469039=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469040=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #469041=DIRECTION('ref_axis',(0.,0.,1.)); #469042=DIRECTION('',(0.,0.,1.)); #469043=DIRECTION('',(1.22464679914735E-16,1.,0.)); #469044=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469045=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469046=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469047=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469048=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469049=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469050=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469051=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469052=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469053=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469054=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #469055=DIRECTION('',(0.,0.,1.)); #469056=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #469057=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469058=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #469059=DIRECTION('',(0.,0.,1.)); #469060=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469061=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #469062=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469063=DIRECTION('',(0.,0.,-1.)); #469064=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469065=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469066=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #469067=DIRECTION('',(0.,0.,-1.)); #469068=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #469069=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #469070=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469071=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #469072=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469073=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #469074=DIRECTION('ref_axis',(0.,0.,-1.)); #469075=DIRECTION('',(0.,0.,-1.)); #469076=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469077=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #469078=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #469079=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #469080=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469081=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469082=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #469083=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469084=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #469085=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469086=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #469087=DIRECTION('ref_axis',(0.,0.,-1.)); #469088=DIRECTION('',(0.,0.,-1.)); #469089=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469090=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469091=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #469092=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469093=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #469094=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469095=DIRECTION('center_axis',(0.,0.,1.)); #469096=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #469097=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #469098=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469099=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #469100=DIRECTION('ref_axis',(0.,0.,1.)); #469101=DIRECTION('',(0.,0.,1.)); #469102=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469103=DIRECTION('center_axis',(0.,0.,1.)); #469104=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #469105=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #469106=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469107=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469108=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #469109=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469110=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #469111=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #469112=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #469113=DIRECTION('ref_axis',(0.,0.,1.)); #469114=DIRECTION('',(0.,0.,1.)); #469115=DIRECTION('',(1.22464679914735E-16,1.,0.)); #469116=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469117=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469118=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #469119=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469120=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #469121=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #469122=DIRECTION('center_axis',(0.,1.,0.)); #469123=DIRECTION('ref_axis',(1.,0.,0.)); #469124=DIRECTION('center_axis',(0.,1.,0.)); #469125=DIRECTION('ref_axis',(1.,0.,0.)); #469126=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #469127=DIRECTION('',(0.,0.,1.)); #469128=DIRECTION('',(1.,0.,4.72033598905254E-17)); #469129=DIRECTION('center_axis',(0.,-1.,0.)); #469130=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469131=DIRECTION('',(0.,0.,1.)); #469132=DIRECTION('center_axis',(0.,1.,0.)); #469133=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469134=DIRECTION('',(1.,0.,0.)); #469135=DIRECTION('',(0.,0.,-1.)); #469136=DIRECTION('',(1.,0.,0.)); #469137=DIRECTION('center_axis',(0.,-1.,0.)); #469138=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469139=DIRECTION('',(0.,0.,-1.)); #469140=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #469141=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #469142=DIRECTION('',(0.,1.,0.)); #469143=DIRECTION('',(1.,0.,4.33680868994202E-17)); #469144=DIRECTION('',(0.,1.,0.)); #469145=DIRECTION('center_axis',(-1.,0.,0.)); #469146=DIRECTION('ref_axis',(0.,0.,-1.)); #469147=DIRECTION('',(0.,0.,-1.)); #469148=DIRECTION('',(0.,1.,0.)); #469149=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #469150=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #469151=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #469152=DIRECTION('',(0.,1.,0.)); #469153=DIRECTION('center_axis',(0.,-1.,0.)); #469154=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469155=DIRECTION('center_axis',(0.,1.,0.)); #469156=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469157=DIRECTION('',(0.,1.,0.)); #469158=DIRECTION('center_axis',(-1.,0.,0.)); #469159=DIRECTION('ref_axis',(0.,0.,-1.)); #469160=DIRECTION('',(0.,0.,-1.)); #469161=DIRECTION('',(0.,1.,0.)); #469162=DIRECTION('center_axis',(0.,-1.,0.)); #469163=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469164=DIRECTION('center_axis',(0.,-1.,0.)); #469165=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469166=DIRECTION('',(0.,1.,0.)); #469167=DIRECTION('center_axis',(0.,0.,1.)); #469168=DIRECTION('ref_axis',(-1.,0.,0.)); #469169=DIRECTION('',(-1.,0.,0.)); #469170=DIRECTION('',(0.,1.,0.)); #469171=DIRECTION('center_axis',(1.,0.,0.)); #469172=DIRECTION('ref_axis',(0.,0.,1.)); #469173=DIRECTION('',(0.,0.,1.)); #469174=DIRECTION('',(0.,1.,0.)); #469175=DIRECTION('center_axis',(0.,0.,1.)); #469176=DIRECTION('ref_axis',(-1.,0.,0.)); #469177=DIRECTION('',(-1.,0.,0.)); #469178=DIRECTION('',(0.,1.,0.)); #469179=DIRECTION('center_axis',(0.,-1.,0.)); #469180=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469181=DIRECTION('center_axis',(0.,1.,0.)); #469182=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469183=DIRECTION('',(0.,1.,0.)); #469184=DIRECTION('center_axis',(1.,0.,0.)); #469185=DIRECTION('ref_axis',(0.,0.,1.)); #469186=DIRECTION('',(0.,0.,1.)); #469187=DIRECTION('',(0.,-1.,0.)); #469188=DIRECTION('center_axis',(0.,-1.,0.)); #469189=DIRECTION('ref_axis',(1.,0.,0.)); #469190=DIRECTION('center_axis',(0.,-1.,0.)); #469191=DIRECTION('ref_axis',(1.,0.,0.)); #469192=DIRECTION('center_axis',(0.,1.,0.)); #469193=DIRECTION('ref_axis',(1.,0.,0.)); #469194=DIRECTION('center_axis',(0.,1.,0.)); #469195=DIRECTION('ref_axis',(1.,0.,0.)); #469196=DIRECTION('center_axis',(0.,1.,0.)); #469197=DIRECTION('ref_axis',(1.,0.,0.)); #469198=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #469199=DIRECTION('',(0.,0.,1.)); #469200=DIRECTION('',(1.,0.,4.72033598905254E-17)); #469201=DIRECTION('center_axis',(0.,-1.,0.)); #469202=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469203=DIRECTION('',(0.,0.,1.)); #469204=DIRECTION('center_axis',(0.,1.,0.)); #469205=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469206=DIRECTION('',(1.,0.,0.)); #469207=DIRECTION('',(0.,0.,-1.)); #469208=DIRECTION('',(1.,0.,0.)); #469209=DIRECTION('center_axis',(0.,-1.,0.)); #469210=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469211=DIRECTION('',(0.,0.,-1.)); #469212=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #469213=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #469214=DIRECTION('',(0.,1.,0.)); #469215=DIRECTION('',(1.,0.,4.33680868994202E-17)); #469216=DIRECTION('',(0.,1.,0.)); #469217=DIRECTION('center_axis',(-1.,0.,0.)); #469218=DIRECTION('ref_axis',(0.,0.,-1.)); #469219=DIRECTION('',(0.,0.,-1.)); #469220=DIRECTION('',(0.,1.,0.)); #469221=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #469222=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #469223=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #469224=DIRECTION('',(0.,1.,0.)); #469225=DIRECTION('center_axis',(0.,-1.,0.)); #469226=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469227=DIRECTION('center_axis',(0.,1.,0.)); #469228=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469229=DIRECTION('',(0.,1.,0.)); #469230=DIRECTION('center_axis',(-1.,0.,0.)); #469231=DIRECTION('ref_axis',(0.,0.,-1.)); #469232=DIRECTION('',(0.,0.,-1.)); #469233=DIRECTION('',(0.,1.,0.)); #469234=DIRECTION('center_axis',(0.,-1.,0.)); #469235=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469236=DIRECTION('center_axis',(0.,-1.,0.)); #469237=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469238=DIRECTION('',(0.,1.,0.)); #469239=DIRECTION('center_axis',(0.,0.,1.)); #469240=DIRECTION('ref_axis',(-1.,0.,0.)); #469241=DIRECTION('',(-1.,0.,0.)); #469242=DIRECTION('',(0.,1.,0.)); #469243=DIRECTION('center_axis',(1.,0.,0.)); #469244=DIRECTION('ref_axis',(0.,0.,1.)); #469245=DIRECTION('',(0.,0.,1.)); #469246=DIRECTION('',(0.,1.,0.)); #469247=DIRECTION('center_axis',(0.,0.,1.)); #469248=DIRECTION('ref_axis',(-1.,0.,0.)); #469249=DIRECTION('',(-1.,0.,0.)); #469250=DIRECTION('',(0.,1.,0.)); #469251=DIRECTION('center_axis',(0.,-1.,0.)); #469252=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469253=DIRECTION('center_axis',(0.,1.,0.)); #469254=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469255=DIRECTION('',(0.,1.,0.)); #469256=DIRECTION('center_axis',(1.,0.,0.)); #469257=DIRECTION('ref_axis',(0.,0.,1.)); #469258=DIRECTION('',(0.,0.,1.)); #469259=DIRECTION('',(0.,-1.,0.)); #469260=DIRECTION('center_axis',(0.,-1.,0.)); #469261=DIRECTION('ref_axis',(1.,0.,0.)); #469262=DIRECTION('center_axis',(0.,-1.,0.)); #469263=DIRECTION('ref_axis',(1.,0.,0.)); #469264=DIRECTION('center_axis',(0.,1.,0.)); #469265=DIRECTION('ref_axis',(1.,0.,0.)); #469266=DIRECTION('center_axis',(0.,1.,0.)); #469267=DIRECTION('ref_axis',(1.,0.,0.)); #469268=DIRECTION('center_axis',(0.,1.,0.)); #469269=DIRECTION('ref_axis',(1.,0.,0.)); #469270=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #469271=DIRECTION('',(0.,0.,1.)); #469272=DIRECTION('',(1.,0.,4.72033598905254E-17)); #469273=DIRECTION('center_axis',(0.,-1.,0.)); #469274=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469275=DIRECTION('',(0.,0.,1.)); #469276=DIRECTION('center_axis',(0.,1.,0.)); #469277=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469278=DIRECTION('',(1.,0.,0.)); #469279=DIRECTION('',(0.,0.,-1.)); #469280=DIRECTION('',(1.,0.,0.)); #469281=DIRECTION('center_axis',(0.,-1.,0.)); #469282=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469283=DIRECTION('',(0.,0.,-1.)); #469284=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #469285=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #469286=DIRECTION('',(0.,1.,0.)); #469287=DIRECTION('',(1.,0.,4.33680868994202E-17)); #469288=DIRECTION('',(0.,1.,0.)); #469289=DIRECTION('center_axis',(-1.,0.,0.)); #469290=DIRECTION('ref_axis',(0.,0.,-1.)); #469291=DIRECTION('',(0.,0.,-1.)); #469292=DIRECTION('',(0.,1.,0.)); #469293=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #469294=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #469295=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #469296=DIRECTION('',(0.,1.,0.)); #469297=DIRECTION('center_axis',(0.,-1.,0.)); #469298=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469299=DIRECTION('center_axis',(0.,1.,0.)); #469300=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #469301=DIRECTION('',(0.,1.,0.)); #469302=DIRECTION('center_axis',(-1.,0.,0.)); #469303=DIRECTION('ref_axis',(0.,0.,-1.)); #469304=DIRECTION('',(0.,0.,-1.)); #469305=DIRECTION('',(0.,1.,0.)); #469306=DIRECTION('center_axis',(0.,-1.,0.)); #469307=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469308=DIRECTION('center_axis',(0.,-1.,0.)); #469309=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #469310=DIRECTION('',(0.,1.,0.)); #469311=DIRECTION('center_axis',(0.,0.,1.)); #469312=DIRECTION('ref_axis',(-1.,0.,0.)); #469313=DIRECTION('',(-1.,0.,0.)); #469314=DIRECTION('',(0.,1.,0.)); #469315=DIRECTION('center_axis',(1.,0.,0.)); #469316=DIRECTION('ref_axis',(0.,0.,1.)); #469317=DIRECTION('',(0.,0.,1.)); #469318=DIRECTION('',(0.,1.,0.)); #469319=DIRECTION('center_axis',(0.,0.,1.)); #469320=DIRECTION('ref_axis',(-1.,0.,0.)); #469321=DIRECTION('',(-1.,0.,0.)); #469322=DIRECTION('',(0.,1.,0.)); #469323=DIRECTION('center_axis',(0.,-1.,0.)); #469324=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469325=DIRECTION('center_axis',(0.,1.,0.)); #469326=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #469327=DIRECTION('',(0.,1.,0.)); #469328=DIRECTION('center_axis',(1.,0.,0.)); #469329=DIRECTION('ref_axis',(0.,0.,1.)); #469330=DIRECTION('',(0.,0.,1.)); #469331=DIRECTION('',(0.,-1.,0.)); #469332=DIRECTION('center_axis',(0.,-1.,0.)); #469333=DIRECTION('ref_axis',(1.,0.,0.)); #469334=DIRECTION('center_axis',(0.,-1.,0.)); #469335=DIRECTION('ref_axis',(1.,0.,0.)); #469336=DIRECTION('center_axis',(0.,1.,0.)); #469337=DIRECTION('ref_axis',(1.,0.,0.)); #469338=DIRECTION('',(0.,0.,1.)); #469339=DIRECTION('',(1.,0.,0.)); #469340=DIRECTION('axis',(0.,0.,1.)); #469341=DIRECTION('refdir',(1.,0.,0.)); #469342=DIRECTION('axis',(0.,0.,1.)); #469343=DIRECTION('refdir',(1.,0.,0.)); #469344=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #469345=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,0.577350269189625)); #469346=DIRECTION('center_axis',(0.,-1.,0.)); #469347=DIRECTION('ref_axis',(-1.,0.,0.)); #469348=DIRECTION('center_axis',(0.,0.,1.)); #469349=DIRECTION('ref_axis',(0.,-1.,0.)); #469350=DIRECTION('center_axis',(-1.,0.,0.)); #469351=DIRECTION('ref_axis',(0.,0.,1.)); #469352=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #469353=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #469354=DIRECTION('center_axis',(1.,0.,0.)); #469355=DIRECTION('ref_axis',(0.,-1.,0.)); #469356=DIRECTION('center_axis',(0.,0.,1.)); #469357=DIRECTION('ref_axis',(1.,0.,0.)); #469358=DIRECTION('center_axis',(0.,-1.,0.)); #469359=DIRECTION('ref_axis',(0.,0.,1.)); #469360=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,0.408248290463866)); #469361=DIRECTION('ref_axis',(-0.577350269189621,-0.577350269189626,-0.577350269189631)); #469362=DIRECTION('center_axis',(0.,0.,-1.)); #469363=DIRECTION('ref_axis',(-1.,0.,0.)); #469364=DIRECTION('center_axis',(0.,-1.,0.)); #469365=DIRECTION('ref_axis',(0.,0.,-1.)); #469366=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #469367=DIRECTION('ref_axis',(0.,-1.,0.)); #469368=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #469369=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #469370=DIRECTION('center_axis',(-1.,0.,0.)); #469371=DIRECTION('ref_axis',(0.,1.,0.)); #469372=DIRECTION('center_axis',(0.,0.,1.)); #469373=DIRECTION('ref_axis',(-1.,0.,0.)); #469374=DIRECTION('center_axis',(0.,1.,0.)); #469375=DIRECTION('ref_axis',(0.,0.,1.)); #469376=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #469377=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #469378=DIRECTION('center_axis',(0.,0.,-1.)); #469379=DIRECTION('ref_axis',(0.,-1.,0.)); #469380=DIRECTION('center_axis',(1.,0.,0.)); #469381=DIRECTION('ref_axis',(0.,0.,-1.)); #469382=DIRECTION('center_axis',(0.,-1.,0.)); #469383=DIRECTION('ref_axis',(1.,0.,0.)); #469384=DIRECTION('center_axis',(1.,0.,0.)); #469385=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #469386=DIRECTION('',(-1.,0.,0.)); #469387=DIRECTION('',(1.,0.,0.)); #469388=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,0.408248290463859)); #469389=DIRECTION('ref_axis',(0.577350269189622,0.57735026918963,0.577350269189625)); #469390=DIRECTION('center_axis',(0.,1.,0.)); #469391=DIRECTION('ref_axis',(1.,0.,0.)); #469392=DIRECTION('center_axis',(0.,0.,1.)); #469393=DIRECTION('ref_axis',(0.,1.,0.)); #469394=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #469395=DIRECTION('ref_axis',(0.,0.,1.)); #469396=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #469397=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #469398=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #469399=DIRECTION('ref_axis',(0.,0.,-1.)); #469400=DIRECTION('center_axis',(0.,1.,0.)); #469401=DIRECTION('ref_axis',(-1.,0.,0.)); #469402=DIRECTION('center_axis',(0.,0.,-1.)); #469403=DIRECTION('ref_axis',(0.,1.,0.)); #469404=DIRECTION('center_axis',(0.,-1.,0.)); #469405=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #469406=DIRECTION('',(0.,1.,0.)); #469407=DIRECTION('',(0.,-1.,0.)); #469408=DIRECTION('center_axis',(0.,0.,1.)); #469409=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #469410=DIRECTION('',(0.,0.,-1.)); #469411=DIRECTION('',(0.,0.,1.)); #469412=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463862, -0.816496580927726)); #469413=DIRECTION('ref_axis',(0.577350269189628,0.577350269189623,-0.577350269189627)); #469414=DIRECTION('center_axis',(0.,0.,-1.)); #469415=DIRECTION('ref_axis',(1.,0.,0.)); #469416=DIRECTION('center_axis',(0.,1.,0.)); #469417=DIRECTION('ref_axis',(0.,0.,-1.)); #469418=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #469419=DIRECTION('ref_axis',(0.,1.,0.)); #469420=DIRECTION('center_axis',(0.,-1.,0.)); #469421=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #469422=DIRECTION('',(0.,1.,0.)); #469423=DIRECTION('',(0.,-1.,0.)); #469424=DIRECTION('center_axis',(-1.,0.,0.)); #469425=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #469426=DIRECTION('',(1.,0.,0.)); #469427=DIRECTION('',(-1.,0.,0.)); #469428=DIRECTION('center_axis',(0.,0.,1.)); #469429=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #469430=DIRECTION('',(0.,0.,-1.)); #469431=DIRECTION('',(0.,0.,1.)); #469432=DIRECTION('center_axis',(-1.,0.,0.)); #469433=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #469434=DIRECTION('',(1.,0.,0.)); #469435=DIRECTION('',(-1.,0.,0.)); #469436=DIRECTION('center_axis',(0.,1.,0.)); #469437=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #469438=DIRECTION('',(0.,-1.,0.)); #469439=DIRECTION('',(0.,1.,0.)); #469440=DIRECTION('center_axis',(0.,0.,1.)); #469441=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #469442=DIRECTION('',(0.,0.,-1.)); #469443=DIRECTION('',(0.,0.,1.)); #469444=DIRECTION('center_axis',(0.,-1.,0.)); #469445=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #469446=DIRECTION('',(0.,1.,0.)); #469447=DIRECTION('',(0.,-1.,0.)); #469448=DIRECTION('center_axis',(0.,0.,1.)); #469449=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #469450=DIRECTION('',(0.,0.,-1.)); #469451=DIRECTION('',(0.,0.,1.)); #469452=DIRECTION('center_axis',(1.,0.,0.)); #469453=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #469454=DIRECTION('',(-1.,0.,0.)); #469455=DIRECTION('',(1.,0.,0.)); #469456=DIRECTION('center_axis',(0.,-1.,0.)); #469457=DIRECTION('ref_axis',(1.,0.,0.)); #469458=DIRECTION('center_axis',(0.,0.,1.)); #469459=DIRECTION('ref_axis',(1.,0.,0.)); #469460=DIRECTION('center_axis',(-1.,0.,0.)); #469461=DIRECTION('ref_axis',(0.,-1.,0.)); #469462=DIRECTION('center_axis',(0.,1.,0.)); #469463=DIRECTION('ref_axis',(-1.,0.,0.)); #469464=DIRECTION('center_axis',(1.,0.,0.)); #469465=DIRECTION('ref_axis',(0.,1.,0.)); #469466=DIRECTION('center_axis',(0.,0.,1.)); #469467=DIRECTION('ref_axis',(1.,0.,0.)); #469468=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,-0.408248290463859)); #469469=DIRECTION('ref_axis',(-0.577350269189622,-0.57735026918963,0.577350269189625)); #469470=DIRECTION('center_axis',(0.,-1.,0.)); #469471=DIRECTION('ref_axis',(-1.,0.,0.)); #469472=DIRECTION('center_axis',(0.,0.,1.)); #469473=DIRECTION('ref_axis',(0.,-1.,0.)); #469474=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #469475=DIRECTION('ref_axis',(0.,0.,1.)); #469476=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #469477=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #469478=DIRECTION('center_axis',(1.,0.,0.)); #469479=DIRECTION('ref_axis',(0.,-1.,0.)); #469480=DIRECTION('center_axis',(0.,0.,1.)); #469481=DIRECTION('ref_axis',(1.,0.,0.)); #469482=DIRECTION('center_axis',(0.,-1.,0.)); #469483=DIRECTION('ref_axis',(0.,0.,1.)); #469484=DIRECTION('center_axis',(0.408248290463867,0.408248290463858,-0.816496580927727)); #469485=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189622,-0.577350269189625)); #469486=DIRECTION('center_axis',(0.,0.,-1.)); #469487=DIRECTION('ref_axis',(-1.,0.,0.)); #469488=DIRECTION('center_axis',(0.,-1.,0.)); #469489=DIRECTION('ref_axis',(0.,0.,-1.)); #469490=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #469491=DIRECTION('ref_axis',(0.,-1.,0.)); #469492=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #469493=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #469494=DIRECTION('center_axis',(-1.,0.,0.)); #469495=DIRECTION('ref_axis',(0.,1.,0.)); #469496=DIRECTION('center_axis',(0.,0.,1.)); #469497=DIRECTION('ref_axis',(-1.,0.,0.)); #469498=DIRECTION('center_axis',(0.,1.,0.)); #469499=DIRECTION('ref_axis',(0.,0.,1.)); #469500=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #469501=DIRECTION('ref_axis',(0.57735026918962,-0.577350269189628,-0.577350269189629)); #469502=DIRECTION('center_axis',(0.,0.,-1.)); #469503=DIRECTION('ref_axis',(0.,-1.,0.)); #469504=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #469505=DIRECTION('ref_axis',(0.,0.,-1.)); #469506=DIRECTION('center_axis',(0.,-1.,0.)); #469507=DIRECTION('ref_axis',(1.,0.,0.)); #469508=DIRECTION('center_axis',(1.,0.,0.)); #469509=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #469510=DIRECTION('',(-1.,0.,0.)); #469511=DIRECTION('',(1.,0.,0.)); #469512=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #469513=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,0.577350269189625)); #469514=DIRECTION('center_axis',(0.,1.,0.)); #469515=DIRECTION('ref_axis',(1.,0.,0.)); #469516=DIRECTION('center_axis',(0.,0.,1.)); #469517=DIRECTION('ref_axis',(0.,1.,0.)); #469518=DIRECTION('center_axis',(1.,0.,0.)); #469519=DIRECTION('ref_axis',(0.,0.,1.)); #469520=DIRECTION('center_axis',(0.408248290463863,-0.40824829046386,-0.816496580927728)); #469521=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,-0.577350269189624)); #469522=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #469523=DIRECTION('ref_axis',(0.,0.,-1.)); #469524=DIRECTION('center_axis',(0.,1.,0.)); #469525=DIRECTION('ref_axis',(-1.,0.,0.)); #469526=DIRECTION('center_axis',(0.,0.,-1.)); #469527=DIRECTION('ref_axis',(0.,1.,0.)); #469528=DIRECTION('center_axis',(0.,-1.,0.)); #469529=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #469530=DIRECTION('',(0.,1.,0.)); #469531=DIRECTION('',(0.,-1.,0.)); #469532=DIRECTION('center_axis',(0.,0.,1.)); #469533=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #469534=DIRECTION('',(0.,0.,-1.)); #469535=DIRECTION('',(0.,0.,1.)); #469536=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,-0.408248290463866)); #469537=DIRECTION('ref_axis',(0.577350269189621,0.577350269189626,-0.577350269189631)); #469538=DIRECTION('center_axis',(0.,0.,-1.)); #469539=DIRECTION('ref_axis',(1.,0.,0.)); #469540=DIRECTION('center_axis',(0.,1.,0.)); #469541=DIRECTION('ref_axis',(0.,0.,-1.)); #469542=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #469543=DIRECTION('ref_axis',(0.,1.,0.)); #469544=DIRECTION('center_axis',(0.,1.,0.)); #469545=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #469546=DIRECTION('',(0.,-1.,0.)); #469547=DIRECTION('',(0.,1.,0.)); #469548=DIRECTION('center_axis',(-1.,0.,0.)); #469549=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #469550=DIRECTION('',(1.,0.,0.)); #469551=DIRECTION('',(-1.,0.,0.)); #469552=DIRECTION('center_axis',(0.,0.,1.)); #469553=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #469554=DIRECTION('',(0.,0.,-1.)); #469555=DIRECTION('',(0.,0.,1.)); #469556=DIRECTION('center_axis',(-1.,0.,0.)); #469557=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #469558=DIRECTION('',(1.,0.,0.)); #469559=DIRECTION('',(-1.,0.,0.)); #469560=DIRECTION('center_axis',(0.,1.,0.)); #469561=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #469562=DIRECTION('',(0.,-1.,0.)); #469563=DIRECTION('',(0.,1.,0.)); #469564=DIRECTION('center_axis',(0.,0.,1.)); #469565=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #469566=DIRECTION('',(0.,0.,-1.)); #469567=DIRECTION('',(0.,0.,1.)); #469568=DIRECTION('center_axis',(0.,-1.,0.)); #469569=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #469570=DIRECTION('',(0.,1.,0.)); #469571=DIRECTION('',(0.,-1.,0.)); #469572=DIRECTION('center_axis',(0.,0.,1.)); #469573=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #469574=DIRECTION('',(0.,0.,-1.)); #469575=DIRECTION('',(0.,0.,1.)); #469576=DIRECTION('center_axis',(1.,0.,0.)); #469577=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #469578=DIRECTION('',(-1.,0.,0.)); #469579=DIRECTION('',(1.,0.,0.)); #469580=DIRECTION('center_axis',(0.,-1.,0.)); #469581=DIRECTION('ref_axis',(1.,0.,0.)); #469582=DIRECTION('center_axis',(0.,0.,1.)); #469583=DIRECTION('ref_axis',(1.,0.,0.)); #469584=DIRECTION('center_axis',(-1.,0.,0.)); #469585=DIRECTION('ref_axis',(0.,-1.,0.)); #469586=DIRECTION('center_axis',(0.,1.,0.)); #469587=DIRECTION('ref_axis',(-1.,0.,0.)); #469588=DIRECTION('center_axis',(1.,0.,0.)); #469589=DIRECTION('ref_axis',(0.,1.,0.)); #469590=DIRECTION('center_axis',(0.,0.,1.)); #469591=DIRECTION('ref_axis',(1.,0.,0.)); #469592=DIRECTION('center_axis',(1.,0.,0.)); #469593=DIRECTION('ref_axis',(0.,1.,0.)); #469594=DIRECTION('',(0.,-1.,0.)); #469595=DIRECTION('',(0.,0.,1.)); #469596=DIRECTION('',(0.,1.,0.)); #469597=DIRECTION('',(0.,0.,1.)); #469598=DIRECTION('center_axis',(0.,-1.,0.)); #469599=DIRECTION('ref_axis',(1.,0.,0.)); #469600=DIRECTION('',(1.,0.,0.)); #469601=DIRECTION('',(1.,0.,0.)); #469602=DIRECTION('',(0.,0.,1.)); #469603=DIRECTION('center_axis',(-1.,0.,0.)); #469604=DIRECTION('ref_axis',(0.,-1.,0.)); #469605=DIRECTION('',(0.,-1.,0.)); #469606=DIRECTION('',(0.,-1.,0.)); #469607=DIRECTION('',(0.,0.,1.)); #469608=DIRECTION('center_axis',(0.,1.,0.)); #469609=DIRECTION('ref_axis',(-1.,0.,0.)); #469610=DIRECTION('',(-1.,0.,0.)); #469611=DIRECTION('',(-1.,0.,0.)); #469612=DIRECTION('center_axis',(0.,0.,1.)); #469613=DIRECTION('ref_axis',(1.,0.,0.)); #469614=DIRECTION('center_axis',(0.,0.,1.)); #469615=DIRECTION('ref_axis',(1.,0.,0.)); #469616=DIRECTION('',(0.,0.,1.)); #469617=DIRECTION('',(1.,0.,0.)); #469618=DIRECTION('',(0.,0.,1.)); #469619=DIRECTION('',(1.,0.,0.)); #469620=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469621=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #469622=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469623=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #469624=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469625=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #469626=DIRECTION('',(0.,0.,1.)); #469627=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469628=DIRECTION('',(0.,0.,1.)); #469629=DIRECTION('',(1.,0.,0.)); #469630=DIRECTION('',(0.,0.,1.)); #469631=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469632=DIRECTION('',(0.,0.,1.)); #469633=DIRECTION('',(1.,0.,0.)); #469634=DIRECTION('',(0.,0.,1.)); #469635=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #469636=DIRECTION('',(0.,0.,1.)); #469637=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #469638=DIRECTION('',(0.,0.,1.)); #469639=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #469640=DIRECTION('',(0.,0.,1.)); #469641=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #469642=DIRECTION('',(0.,0.,1.)); #469643=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469644=DIRECTION('',(0.,0.,1.)); #469645=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469646=DIRECTION('',(0.,0.,1.)); #469647=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469648=DIRECTION('',(0.,0.,1.)); #469649=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469650=DIRECTION('',(0.,0.,1.)); #469651=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469652=DIRECTION('',(0.,0.,1.)); #469653=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469654=DIRECTION('',(0.,0.,1.)); #469655=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469656=DIRECTION('',(0.,0.,1.)); #469657=DIRECTION('',(1.,0.,0.)); #469658=DIRECTION('',(0.,0.,1.)); #469659=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469660=DIRECTION('',(0.,0.,1.)); #469661=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469662=DIRECTION('',(0.,0.,1.)); #469663=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469664=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469665=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469666=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469667=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469668=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469669=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #469670=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469671=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469672=DIRECTION('',(0.,0.,1.)); #469673=DIRECTION('',(1.,0.,0.)); #469674=DIRECTION('',(0.,0.,1.)); #469675=DIRECTION('',(1.,0.,0.)); #469676=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469677=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469678=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469679=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #469680=DIRECTION('',(0.,0.,1.)); #469681=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469682=DIRECTION('',(0.,0.,1.)); #469683=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469684=DIRECTION('',(0.,0.,1.)); #469685=DIRECTION('',(1.,0.,0.)); #469686=DIRECTION('',(0.,0.,1.)); #469687=DIRECTION('',(1.,0.,0.)); #469688=DIRECTION('',(0.,0.,1.)); #469689=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469690=DIRECTION('',(0.,0.,1.)); #469691=DIRECTION('',(1.,0.,0.)); #469692=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469693=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #469694=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469695=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #469696=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469697=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469698=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469699=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469700=DIRECTION('',(0.,0.,1.)); #469701=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #469702=DIRECTION('',(0.,0.,1.)); #469703=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #469704=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469705=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469706=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469707=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #469708=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469709=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #469710=DIRECTION('',(0.,0.,1.)); #469711=DIRECTION('',(1.,0.,0.)); #469712=DIRECTION('',(0.,0.,1.)); #469713=DIRECTION('',(1.,0.,0.)); #469714=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469715=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469716=DIRECTION('',(0.,0.,1.)); #469717=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469718=DIRECTION('',(0.,0.,1.)); #469719=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469720=DIRECTION('',(0.,0.,1.)); #469721=DIRECTION('',(1.,0.,0.)); #469722=DIRECTION('',(0.,0.,1.)); #469723=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469724=DIRECTION('',(0.,0.,1.)); #469725=DIRECTION('',(1.,0.,0.)); #469726=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469727=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #469728=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469729=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #469730=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469731=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #469732=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469733=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #469734=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469735=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469736=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469737=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #469738=DIRECTION('',(0.,0.,1.)); #469739=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469740=DIRECTION('',(0.,0.,1.)); #469741=DIRECTION('',(1.,0.,0.)); #469742=DIRECTION('',(0.,0.,1.)); #469743=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469744=DIRECTION('',(0.,0.,1.)); #469745=DIRECTION('',(1.,0.,0.)); #469746=DIRECTION('',(0.,0.,1.)); #469747=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #469748=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469749=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #469750=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #469751=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #469752=DIRECTION('',(0.,0.,1.)); #469753=DIRECTION('',(1.,0.,0.)); #469754=DIRECTION('',(0.,0.,1.)); #469755=DIRECTION('',(1.,0.,0.)); #469756=DIRECTION('',(0.,0.,1.)); #469757=DIRECTION('',(1.,0.,0.)); #469758=DIRECTION('',(0.,0.,1.)); #469759=DIRECTION('',(1.,0.,0.)); #469760=DIRECTION('',(0.,0.,1.)); #469761=DIRECTION('',(1.,0.,0.)); #469762=DIRECTION('',(0.,0.,1.)); #469763=DIRECTION('',(1.,0.,0.)); #469764=DIRECTION('',(0.,0.,1.)); #469765=DIRECTION('',(1.,0.,0.)); #469766=DIRECTION('axis',(0.,0.,1.)); #469767=DIRECTION('refdir',(1.,0.,0.)); #469768=DIRECTION('axis',(0.,0.,1.)); #469769=DIRECTION('refdir',(1.,0.,0.)); #469770=DIRECTION('center_axis',(0.,0.,-1.)); #469771=DIRECTION('ref_axis',(1.,0.,0.)); #469772=DIRECTION('center_axis',(0.,0.,-1.)); #469773=DIRECTION('ref_axis',(1.,0.,0.)); #469774=DIRECTION('',(0.,0.,-1.)); #469775=DIRECTION('center_axis',(0.,0.,1.)); #469776=DIRECTION('ref_axis',(1.,0.,0.)); #469777=DIRECTION('center_axis',(0.,0.,-1.)); #469778=DIRECTION('ref_axis',(1.,0.,0.)); #469779=DIRECTION('center_axis',(0.,0.,-1.)); #469780=DIRECTION('ref_axis',(1.,0.,0.)); #469781=DIRECTION('',(0.,0.,-1.)); #469782=DIRECTION('center_axis',(0.,0.,1.)); #469783=DIRECTION('ref_axis',(1.,0.,0.)); #469784=DIRECTION('center_axis',(0.,1.,0.)); #469785=DIRECTION('ref_axis',(-1.,0.,0.)); #469786=DIRECTION('',(-1.,0.,0.)); #469787=DIRECTION('',(0.,0.,1.)); #469788=DIRECTION('',(-1.,0.,0.)); #469789=DIRECTION('',(0.,0.,1.)); #469790=DIRECTION('center_axis',(-1.,-6.01370805005293E-16,0.)); #469791=DIRECTION('ref_axis',(6.01370805005293E-16,-1.,0.)); #469792=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #469793=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #469794=DIRECTION('',(0.,0.,1.)); #469795=DIRECTION('center_axis',(0.,1.,0.)); #469796=DIRECTION('ref_axis',(-1.,0.,0.)); #469797=DIRECTION('',(-1.,0.,0.)); #469798=DIRECTION('',(-1.,0.,0.)); #469799=DIRECTION('',(0.,0.,1.)); #469800=DIRECTION('center_axis',(1.,0.,0.)); #469801=DIRECTION('ref_axis',(0.,1.,0.)); #469802=DIRECTION('',(0.,1.,0.)); #469803=DIRECTION('',(0.,1.,0.)); #469804=DIRECTION('',(0.,0.,1.)); #469805=DIRECTION('center_axis',(0.,1.,0.)); #469806=DIRECTION('ref_axis',(-1.,0.,0.)); #469807=DIRECTION('',(-1.,0.,0.)); #469808=DIRECTION('',(-1.,0.,0.)); #469809=DIRECTION('',(0.,0.,1.)); #469810=DIRECTION('center_axis',(1.,0.,0.)); #469811=DIRECTION('ref_axis',(0.,1.,0.)); #469812=DIRECTION('',(0.,1.,0.)); #469813=DIRECTION('',(0.,1.,0.)); #469814=DIRECTION('',(0.,0.,1.)); #469815=DIRECTION('center_axis',(0.,-1.,0.)); #469816=DIRECTION('ref_axis',(1.,0.,0.)); #469817=DIRECTION('',(1.,0.,0.)); #469818=DIRECTION('',(1.,0.,0.)); #469819=DIRECTION('',(0.,0.,1.)); #469820=DIRECTION('center_axis',(1.,0.,0.)); #469821=DIRECTION('ref_axis',(0.,1.,0.)); #469822=DIRECTION('',(0.,1.,0.)); #469823=DIRECTION('',(0.,1.,0.)); #469824=DIRECTION('',(0.,0.,1.)); #469825=DIRECTION('center_axis',(0.,-1.,0.)); #469826=DIRECTION('ref_axis',(1.,0.,0.)); #469827=DIRECTION('',(1.,0.,0.)); #469828=DIRECTION('',(1.,0.,0.)); #469829=DIRECTION('',(0.,0.,1.)); #469830=DIRECTION('center_axis',(-1.,0.,0.)); #469831=DIRECTION('ref_axis',(0.,-1.,0.)); #469832=DIRECTION('',(0.,-1.,0.)); #469833=DIRECTION('',(0.,-1.,0.)); #469834=DIRECTION('',(0.,0.,1.)); #469835=DIRECTION('center_axis',(0.,-1.,0.)); #469836=DIRECTION('ref_axis',(1.,0.,0.)); #469837=DIRECTION('',(1.,0.,0.)); #469838=DIRECTION('',(1.,0.,0.)); #469839=DIRECTION('',(0.,0.,1.)); #469840=DIRECTION('center_axis',(-1.,0.,0.)); #469841=DIRECTION('ref_axis',(0.,-1.,0.)); #469842=DIRECTION('',(0.,-1.,0.)); #469843=DIRECTION('',(0.,-1.,0.)); #469844=DIRECTION('center_axis',(0.,0.,1.)); #469845=DIRECTION('ref_axis',(1.,0.,0.)); #469846=DIRECTION('center_axis',(0.,0.,1.)); #469847=DIRECTION('ref_axis',(1.,0.,0.)); #469848=DIRECTION('axis',(0.,0.,1.)); #469849=DIRECTION('refdir',(1.,0.,0.)); #469850=DIRECTION('center_axis',(0.,0.,1.)); #469851=DIRECTION('ref_axis',(-1.,0.,0.)); #469852=DIRECTION('center_axis',(0.,0.,1.)); #469853=DIRECTION('ref_axis',(-1.,0.,0.)); #469854=DIRECTION('',(0.,0.,1.)); #469855=DIRECTION('center_axis',(0.,0.,-1.)); #469856=DIRECTION('ref_axis',(-1.,0.,0.)); #469857=DIRECTION('center_axis',(0.,0.,1.)); #469858=DIRECTION('ref_axis',(-1.,0.,0.)); #469859=DIRECTION('center_axis',(0.,0.,1.)); #469860=DIRECTION('ref_axis',(-1.,0.,0.)); #469861=DIRECTION('',(0.,0.,1.)); #469862=DIRECTION('center_axis',(0.,0.,-1.)); #469863=DIRECTION('ref_axis',(-1.,0.,0.)); #469864=DIRECTION('center_axis',(0.,1.,0.)); #469865=DIRECTION('ref_axis',(-1.,0.,0.)); #469866=DIRECTION('',(-1.,0.,0.)); #469867=DIRECTION('',(0.,0.,1.)); #469868=DIRECTION('',(-1.,0.,0.)); #469869=DIRECTION('',(0.,0.,1.)); #469870=DIRECTION('center_axis',(-1.,-6.01370805005293E-16,0.)); #469871=DIRECTION('ref_axis',(6.01370805005293E-16,-1.,0.)); #469872=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #469873=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #469874=DIRECTION('',(0.,0.,1.)); #469875=DIRECTION('center_axis',(0.,1.,0.)); #469876=DIRECTION('ref_axis',(-1.,0.,0.)); #469877=DIRECTION('',(-1.,0.,0.)); #469878=DIRECTION('',(-1.,0.,0.)); #469879=DIRECTION('',(0.,0.,1.)); #469880=DIRECTION('center_axis',(1.,0.,0.)); #469881=DIRECTION('ref_axis',(0.,1.,0.)); #469882=DIRECTION('',(0.,1.,0.)); #469883=DIRECTION('',(0.,1.,0.)); #469884=DIRECTION('',(0.,0.,1.)); #469885=DIRECTION('center_axis',(0.,1.,0.)); #469886=DIRECTION('ref_axis',(-1.,0.,0.)); #469887=DIRECTION('',(-1.,0.,0.)); #469888=DIRECTION('',(-1.,0.,0.)); #469889=DIRECTION('',(0.,0.,1.)); #469890=DIRECTION('center_axis',(1.,0.,0.)); #469891=DIRECTION('ref_axis',(0.,1.,0.)); #469892=DIRECTION('',(0.,1.,0.)); #469893=DIRECTION('',(0.,1.,0.)); #469894=DIRECTION('',(0.,0.,1.)); #469895=DIRECTION('center_axis',(0.,-1.,0.)); #469896=DIRECTION('ref_axis',(1.,0.,0.)); #469897=DIRECTION('',(1.,0.,0.)); #469898=DIRECTION('',(1.,0.,0.)); #469899=DIRECTION('',(0.,0.,1.)); #469900=DIRECTION('center_axis',(1.,0.,0.)); #469901=DIRECTION('ref_axis',(0.,1.,0.)); #469902=DIRECTION('',(0.,1.,0.)); #469903=DIRECTION('',(0.,1.,0.)); #469904=DIRECTION('',(0.,0.,1.)); #469905=DIRECTION('center_axis',(0.,-1.,0.)); #469906=DIRECTION('ref_axis',(1.,0.,0.)); #469907=DIRECTION('',(1.,0.,0.)); #469908=DIRECTION('',(1.,0.,0.)); #469909=DIRECTION('',(0.,0.,1.)); #469910=DIRECTION('center_axis',(-1.,0.,0.)); #469911=DIRECTION('ref_axis',(0.,-1.,0.)); #469912=DIRECTION('',(0.,-1.,0.)); #469913=DIRECTION('',(0.,-1.,0.)); #469914=DIRECTION('',(0.,0.,1.)); #469915=DIRECTION('center_axis',(0.,-1.,0.)); #469916=DIRECTION('ref_axis',(1.,0.,0.)); #469917=DIRECTION('',(1.,0.,0.)); #469918=DIRECTION('',(1.,0.,0.)); #469919=DIRECTION('',(0.,0.,1.)); #469920=DIRECTION('center_axis',(-1.,0.,0.)); #469921=DIRECTION('ref_axis',(0.,-1.,0.)); #469922=DIRECTION('',(0.,-1.,0.)); #469923=DIRECTION('',(0.,-1.,0.)); #469924=DIRECTION('center_axis',(0.,0.,1.)); #469925=DIRECTION('ref_axis',(1.,0.,0.)); #469926=DIRECTION('center_axis',(0.,0.,1.)); #469927=DIRECTION('ref_axis',(1.,0.,0.)); #469928=DIRECTION('axis',(0.,0.,1.)); #469929=DIRECTION('refdir',(1.,0.,0.)); #469930=DIRECTION('center_axis',(0.,0.,-1.)); #469931=DIRECTION('ref_axis',(1.,0.,0.)); #469932=DIRECTION('center_axis',(0.,0.,-1.)); #469933=DIRECTION('ref_axis',(1.,0.,0.)); #469934=DIRECTION('',(0.,0.,-1.)); #469935=DIRECTION('center_axis',(0.,0.,1.)); #469936=DIRECTION('ref_axis',(1.,0.,0.)); #469937=DIRECTION('center_axis',(0.,0.,-1.)); #469938=DIRECTION('ref_axis',(1.,0.,0.)); #469939=DIRECTION('center_axis',(0.,0.,-1.)); #469940=DIRECTION('ref_axis',(1.,0.,0.)); #469941=DIRECTION('',(0.,0.,-1.)); #469942=DIRECTION('center_axis',(0.,0.,1.)); #469943=DIRECTION('ref_axis',(1.,0.,0.)); #469944=DIRECTION('center_axis',(0.,-1.,0.)); #469945=DIRECTION('ref_axis',(-1.,0.,0.)); #469946=DIRECTION('',(1.,0.,0.)); #469947=DIRECTION('',(0.,0.,-1.)); #469948=DIRECTION('',(-1.,0.,0.)); #469949=DIRECTION('',(0.,0.,-1.)); #469950=DIRECTION('center_axis',(-1.,0.,0.)); #469951=DIRECTION('ref_axis',(0.,1.,0.)); #469952=DIRECTION('',(0.,-1.,0.)); #469953=DIRECTION('',(0.,1.,0.)); #469954=DIRECTION('',(0.,0.,-1.)); #469955=DIRECTION('center_axis',(0.,-1.,0.)); #469956=DIRECTION('ref_axis',(-1.,0.,0.)); #469957=DIRECTION('',(1.,0.,0.)); #469958=DIRECTION('',(-1.,0.,0.)); #469959=DIRECTION('',(0.,0.,-1.)); #469960=DIRECTION('center_axis',(1.,0.,0.)); #469961=DIRECTION('ref_axis',(0.,-1.,0.)); #469962=DIRECTION('',(0.,1.,0.)); #469963=DIRECTION('',(0.,-1.,0.)); #469964=DIRECTION('',(0.,0.,-1.)); #469965=DIRECTION('center_axis',(0.,-1.,0.)); #469966=DIRECTION('ref_axis',(-1.,0.,0.)); #469967=DIRECTION('',(1.,0.,0.)); #469968=DIRECTION('',(-1.,0.,0.)); #469969=DIRECTION('',(0.,0.,-1.)); #469970=DIRECTION('center_axis',(1.,0.,0.)); #469971=DIRECTION('ref_axis',(0.,-1.,0.)); #469972=DIRECTION('',(0.,1.,0.)); #469973=DIRECTION('',(0.,-1.,0.)); #469974=DIRECTION('',(0.,0.,-1.)); #469975=DIRECTION('center_axis',(0.,1.,0.)); #469976=DIRECTION('ref_axis',(1.,0.,0.)); #469977=DIRECTION('',(-1.,0.,0.)); #469978=DIRECTION('',(1.,0.,0.)); #469979=DIRECTION('',(0.,0.,-1.)); #469980=DIRECTION('center_axis',(1.,0.,0.)); #469981=DIRECTION('ref_axis',(0.,-1.,0.)); #469982=DIRECTION('',(0.,1.,0.)); #469983=DIRECTION('',(0.,-1.,0.)); #469984=DIRECTION('',(0.,0.,-1.)); #469985=DIRECTION('center_axis',(0.,1.,0.)); #469986=DIRECTION('ref_axis',(1.,0.,0.)); #469987=DIRECTION('',(-1.,0.,0.)); #469988=DIRECTION('',(1.,0.,0.)); #469989=DIRECTION('',(0.,0.,-1.)); #469990=DIRECTION('center_axis',(-1.,-6.01370805005293E-16,0.)); #469991=DIRECTION('ref_axis',(-6.01370805005293E-16,1.,0.)); #469992=DIRECTION('',(6.01370805005293E-16,-1.,0.)); #469993=DIRECTION('',(-6.01370805005293E-16,1.,0.)); #469994=DIRECTION('',(0.,0.,-1.)); #469995=DIRECTION('center_axis',(0.,1.,0.)); #469996=DIRECTION('ref_axis',(1.,0.,0.)); #469997=DIRECTION('',(-1.,0.,0.)); #469998=DIRECTION('',(1.,0.,0.)); #469999=DIRECTION('',(0.,0.,-1.)); #470000=DIRECTION('center_axis',(-1.,0.,0.)); #470001=DIRECTION('ref_axis',(0.,1.,0.)); #470002=DIRECTION('',(0.,-1.,0.)); #470003=DIRECTION('',(0.,1.,0.)); #470004=DIRECTION('center_axis',(0.,0.,1.)); #470005=DIRECTION('ref_axis',(1.,0.,0.)); #470006=DIRECTION('center_axis',(0.,0.,-1.)); #470007=DIRECTION('ref_axis',(-1.,0.,0.)); #470008=DIRECTION('center_axis',(0.,0.,-1.)); #470009=DIRECTION('ref_axis',(-1.,0.,0.)); #470010=DIRECTION('',(1.,7.09407683466554E-17,0.)); #470011=DIRECTION('',(-1.11022302462516E-16,1.,0.)); #470012=DIRECTION('',(-1.,0.,0.)); #470013=DIRECTION('',(0.,-1.,0.)); #470014=DIRECTION('center_axis',(-1.,-1.11022302462516E-16,0.)); #470015=DIRECTION('ref_axis',(1.11022302462516E-16,-1.,1.44762674070265E-15)); #470016=DIRECTION('',(0.,0.,1.)); #470017=DIRECTION('',(-1.11022302462516E-16,1.,-1.44762674070265E-15)); #470018=DIRECTION('',(0.,0.,1.)); #470019=DIRECTION('center_axis',(-7.09407683466554E-17,1.,0.)); #470020=DIRECTION('ref_axis',(-1.,-7.09407683466554E-17,-9.25001112270061E-16)); #470021=DIRECTION('',(0.,0.,1.)); #470022=DIRECTION('',(1.,7.09407683466554E-17,9.25001112270061E-16)); #470023=DIRECTION('center_axis',(1.,0.,0.)); #470024=DIRECTION('ref_axis',(0.,1.,0.)); #470025=DIRECTION('',(0.,0.,1.)); #470026=DIRECTION('',(0.,-1.,0.)); #470027=DIRECTION('center_axis',(0.,-1.,0.)); #470028=DIRECTION('ref_axis',(1.,0.,0.)); #470029=DIRECTION('',(-1.,0.,0.)); #470030=DIRECTION('center_axis',(0.,0.,1.)); #470031=DIRECTION('ref_axis',(-1.,0.,0.)); #470032=DIRECTION('',(0.,0.,1.)); #470033=DIRECTION('',(1.,0.,0.)); #470034=DIRECTION('',(0.,0.,1.)); #470035=DIRECTION('',(1.,0.,0.)); #470036=DIRECTION('',(0.,0.,1.)); #470037=DIRECTION('',(1.,0.,0.)); #470038=DIRECTION('center_axis',(0.,0.,-1.)); #470039=DIRECTION('ref_axis',(1.,0.,0.)); #470040=DIRECTION('center_axis',(0.,0.,1.)); #470041=DIRECTION('ref_axis',(1.,0.,0.)); #470042=DIRECTION('',(-0.707106781186548,-8.65956056235493E-17,-0.707106781186548)); #470043=DIRECTION('center_axis',(0.,0.,-1.)); #470044=DIRECTION('ref_axis',(1.,0.,0.)); #470045=DIRECTION('center_axis',(0.,0.,1.)); #470046=DIRECTION('ref_axis',(1.,0.,0.)); #470047=DIRECTION('center_axis',(0.,0.,-1.)); #470048=DIRECTION('ref_axis',(1.,0.,0.)); #470049=DIRECTION('',(0.,1.,0.)); #470050=DIRECTION('',(-1.,0.,0.)); #470051=DIRECTION('',(0.,-1.,0.)); #470052=DIRECTION('center_axis',(0.,0.,1.)); #470053=DIRECTION('ref_axis',(1.,0.,0.)); #470054=DIRECTION('center_axis',(0.,0.,1.)); #470055=DIRECTION('ref_axis',(1.,0.,0.)); #470056=DIRECTION('',(0.,0.,-1.)); #470057=DIRECTION('center_axis',(0.,0.,1.)); #470058=DIRECTION('ref_axis',(1.,0.,0.)); #470059=DIRECTION('center_axis',(-1.,0.,0.)); #470060=DIRECTION('ref_axis',(0.,1.,0.)); #470061=DIRECTION('',(0.,0.,-1.)); #470062=DIRECTION('',(0.,1.,0.)); #470063=DIRECTION('',(0.,0.,-1.)); #470064=DIRECTION('center_axis',(0.,-1.,0.)); #470065=DIRECTION('ref_axis',(-1.,0.,0.)); #470066=DIRECTION('',(0.,0.,1.)); #470067=DIRECTION('',(-1.,0.,0.)); #470068=DIRECTION('center_axis',(1.,0.,0.)); #470069=DIRECTION('ref_axis',(0.,-1.,0.)); #470070=DIRECTION('',(0.,0.,1.)); #470071=DIRECTION('',(0.,1.,0.)); #470072=DIRECTION('center_axis',(0.,0.,-1.)); #470073=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #470074=DIRECTION('center_axis',(0.,0.,-1.)); #470075=DIRECTION('ref_axis',(1.,0.,0.)); #470076=DIRECTION('center_axis',(0.,0.,-1.)); #470077=DIRECTION('ref_axis',(-1.,0.,0.)); #470078=DIRECTION('center_axis',(0.,0.,-1.)); #470079=DIRECTION('ref_axis',(-1.,0.,0.)); #470080=DIRECTION('',(0.707106781186546,8.65956056235491E-17,-0.707106781186549)); #470081=DIRECTION('center_axis',(0.,0.,1.)); #470082=DIRECTION('ref_axis',(-1.,0.,0.)); #470083=DIRECTION('center_axis',(0.,0.,1.)); #470084=DIRECTION('ref_axis',(-1.,0.,0.)); #470085=DIRECTION('center_axis',(0.,0.,1.)); #470086=DIRECTION('ref_axis',(-1.,0.,0.)); #470087=DIRECTION('center_axis',(0.,0.,1.)); #470088=DIRECTION('ref_axis',(-1.,0.,0.)); #470089=DIRECTION('',(0.,0.,-1.)); #470090=DIRECTION('center_axis',(0.,0.,-1.)); #470091=DIRECTION('ref_axis',(-1.,0.,0.)); #470092=DIRECTION('center_axis',(0.,0.,1.)); #470093=DIRECTION('ref_axis',(-1.,0.,0.)); #470094=DIRECTION('',(0.,0.,-1.)); #470095=DIRECTION('center_axis',(0.,0.,-1.)); #470096=DIRECTION('ref_axis',(-1.,0.,0.)); #470097=DIRECTION('',(0.,0.,1.)); #470098=DIRECTION('',(0.,0.,-1.)); #470099=DIRECTION('center_axis',(0.,0.,-1.)); #470100=DIRECTION('ref_axis',(-1.,0.,0.)); #470101=DIRECTION('',(0.,0.,-1.)); #470102=DIRECTION('center_axis',(0.,0.,1.)); #470103=DIRECTION('ref_axis',(-1.,0.,0.)); #470104=DIRECTION('',(0.,0.,-1.)); #470105=DIRECTION('center_axis',(0.,0.,-1.)); #470106=DIRECTION('ref_axis',(-1.,0.,0.)); #470107=DIRECTION('',(0.,0.,1.)); #470108=DIRECTION('center_axis',(0.,0.,1.)); #470109=DIRECTION('ref_axis',(-1.,0.,0.)); #470110=DIRECTION('center_axis',(0.,0.,1.)); #470111=DIRECTION('ref_axis',(-1.,0.,0.)); #470112=DIRECTION('',(-0.707106781186546,-8.65956056235492E-17,-0.707106781186549)); #470113=DIRECTION('center_axis',(0.,0.,-1.)); #470114=DIRECTION('ref_axis',(-1.,0.,0.)); #470115=DIRECTION('center_axis',(0.,0.,1.)); #470116=DIRECTION('ref_axis',(-1.,0.,0.)); #470117=DIRECTION('',(0.,0.,-1.)); #470118=DIRECTION('center_axis',(0.,0.,1.)); #470119=DIRECTION('ref_axis',(-1.,0.,0.)); #470120=DIRECTION('center_axis',(0.,0.,-1.)); #470121=DIRECTION('ref_axis',(-1.,0.,0.)); #470122=DIRECTION('',(0.707106781186547,8.65956056235493E-17,-0.707106781186548)); #470123=DIRECTION('center_axis',(-0.901103262759533,0.433604554685631,0.)); #470124=DIRECTION('ref_axis',(0.,0.,1.)); #470125=DIRECTION('',(0.,0.,-1.)); #470126=DIRECTION('center_axis',(0.0750390718253738,-0.997180594325615, 0.)); #470127=DIRECTION('ref_axis',(0.,0.,-1.)); #470128=DIRECTION('',(0.,0.,-1.)); #470129=DIRECTION('center_axis',(-0.247057652721941,-0.969000782368892, 0.)); #470130=DIRECTION('ref_axis',(0.,0.,-1.)); #470131=DIRECTION('',(0.,0.,1.)); #470132=DIRECTION('center_axis',(0.962708120179425,0.2705421877279,0.)); #470133=DIRECTION('ref_axis',(0.,0.,-1.)); #470134=DIRECTION('',(0.,0.,1.)); #470135=DIRECTION('center_axis',(-0.715650467457489,0.698458594640997,0.)); #470136=DIRECTION('ref_axis',(0.,0.,1.)); #470137=DIRECTION('',(0.,0.,1.)); #470138=DIRECTION('center_axis',(0.826064190934161,0.563576039639985,0.)); #470139=DIRECTION('ref_axis',(0.,0.,-1.)); #470140=DIRECTION('',(0.,0.,-1.)); #470141=DIRECTION('center_axis',(0.,0.,1.)); #470142=DIRECTION('ref_axis',(-0.90630778703665,-0.422618261740699,0.)); #470143=DIRECTION('center_axis',(0.,0.,-1.)); #470144=DIRECTION('ref_axis',(-1.,0.,0.)); #470145=DIRECTION('center_axis',(0.,0.,-1.)); #470146=DIRECTION('ref_axis',(-0.90630778703665,-0.422618261740699,0.)); #470147=DIRECTION('center_axis',(0.,0.,1.)); #470148=DIRECTION('ref_axis',(-1.,0.,0.)); #470149=DIRECTION('center_axis',(0.,0.,1.)); #470150=DIRECTION('ref_axis',(-1.,0.,0.)); #470151=DIRECTION('center_axis',(0.,0.,1.)); #470152=DIRECTION('ref_axis',(1.,0.,0.)); #470153=DIRECTION('center_axis',(0.,0.,-1.)); #470154=DIRECTION('ref_axis',(1.,0.,0.)); #470155=DIRECTION('center_axis',(0.,0.,-1.)); #470156=DIRECTION('ref_axis',(1.,0.,0.)); #470157=DIRECTION('center_axis',(0.,0.,1.)); #470158=DIRECTION('ref_axis',(1.,0.,0.)); #470159=DIRECTION('center_axis',(0.,0.,1.)); #470160=DIRECTION('ref_axis',(-1.,0.,0.)); #470161=DIRECTION('center_axis',(0.,0.,1.)); #470162=DIRECTION('ref_axis',(1.,0.,0.)); #470163=DIRECTION('center_axis',(0.,0.,-1.)); #470164=DIRECTION('ref_axis',(1.,0.,0.)); #470165=DIRECTION('center_axis',(0.,0.,-1.)); #470166=DIRECTION('ref_axis',(1.,0.,0.)); #470167=DIRECTION('center_axis',(0.,0.,1.)); #470168=DIRECTION('ref_axis',(1.,0.,0.)); #470169=DIRECTION('center_axis',(0.,0.,1.)); #470170=DIRECTION('ref_axis',(-1.,0.,0.)); #470171=DIRECTION('center_axis',(0.,0.,-1.)); #470172=DIRECTION('ref_axis',(-1.,0.,0.)); #470173=DIRECTION('center_axis',(0.,0.,1.)); #470174=DIRECTION('ref_axis',(-1.,0.,0.)); #470175=DIRECTION('',(-0.707106781186548,8.65956056235493E-17,0.707106781186548)); #470176=DIRECTION('center_axis',(0.,0.,1.)); #470177=DIRECTION('ref_axis',(1.,0.,0.)); #470178=DIRECTION('center_axis',(0.629675643792731,0.776858148965569,0.)); #470179=DIRECTION('ref_axis',(0.,0.,-1.)); #470180=DIRECTION('',(0.,0.,-1.)); #470181=DIRECTION('',(0.,0.,1.)); #470182=DIRECTION('center_axis',(0.,0.,-1.)); #470183=DIRECTION('ref_axis',(1.,0.,0.)); #470184=DIRECTION('center_axis',(0.,0.,1.)); #470185=DIRECTION('ref_axis',(1.,0.,0.)); #470186=DIRECTION('center_axis',(0.,0.,-1.)); #470187=DIRECTION('ref_axis',(1.,0.,0.)); #470188=DIRECTION('center_axis',(-0.629675643792731,0.776858148965569,0.)); #470189=DIRECTION('ref_axis',(0.,0.,1.)); #470190=DIRECTION('',(0.,0.,-1.)); #470191=DIRECTION('',(0.,0.,1.)); #470192=DIRECTION('center_axis',(0.,0.,1.)); #470193=DIRECTION('ref_axis',(1.,0.,0.)); #470194=DIRECTION('center_axis',(0.,0.,-1.)); #470195=DIRECTION('ref_axis',(1.,0.,0.)); #470196=DIRECTION('center_axis',(0.,0.,1.)); #470197=DIRECTION('ref_axis',(1.,0.,0.)); #470198=DIRECTION('center_axis',(1.,0.,0.)); #470199=DIRECTION('ref_axis',(0.,0.,1.)); #470200=DIRECTION('',(0.,-1.,0.)); #470201=DIRECTION('',(0.,0.,1.)); #470202=DIRECTION('',(0.,-1.,0.)); #470203=DIRECTION('center_axis',(0.,0.,1.)); #470204=DIRECTION('ref_axis',(-0.97714816888322,0.21255930007452,0.)); #470205=DIRECTION('',(0.,-1.,0.)); #470206=DIRECTION('center_axis',(0.,0.,1.)); #470207=DIRECTION('ref_axis',(1.,0.,0.)); #470208=DIRECTION('center_axis',(0.,0.,-1.)); #470209=DIRECTION('ref_axis',(1.,0.,0.)); #470210=DIRECTION('center_axis',(0.,0.,-1.)); #470211=DIRECTION('ref_axis',(0.97714816888322,0.21255930007452,0.)); #470212=DIRECTION('center_axis',(0.,0.,-1.)); #470213=DIRECTION('ref_axis',(1.,0.,0.)); #470214=DIRECTION('',(0.,-1.,0.)); #470215=DIRECTION('center_axis',(-1.,0.,0.)); #470216=DIRECTION('ref_axis',(0.,0.,-1.)); #470217=DIRECTION('',(0.,0.,-1.)); #470218=DIRECTION('center_axis',(0.,-1.,0.)); #470219=DIRECTION('ref_axis',(-1.,0.,0.)); #470220=DIRECTION('center_axis',(0.,1.,0.)); #470221=DIRECTION('ref_axis',(3.62817981903646E-16,0.,-1.)); #470222=DIRECTION('',(-1.,0.,-6.96064592241477E-16)); #470223=DIRECTION('',(0.,0.,-1.)); #470224=DIRECTION('center_axis',(0.,-1.,0.)); #470225=DIRECTION('ref_axis',(-1.,0.,0.)); #470226=DIRECTION('center_axis',(0.,-1.,0.)); #470227=DIRECTION('ref_axis',(-7.25635963807292E-16,0.,1.)); #470228=DIRECTION('',(-1.,0.,-6.96064592241477E-16)); #470229=DIRECTION('center_axis',(0.,-1.,0.)); #470230=DIRECTION('ref_axis',(-1.,0.,-7.25635963807292E-16)); #470231=DIRECTION('',(0.,0.,-1.)); #470232=DIRECTION('',(-1.,0.,0.)); #470233=DIRECTION('',(0.,0.,1.)); #470234=DIRECTION('center_axis',(0.,0.,-1.)); #470235=DIRECTION('ref_axis',(-1.,0.,0.)); #470236=DIRECTION('',(0.,0.,-1.)); #470237=DIRECTION('center_axis',(0.,0.,1.)); #470238=DIRECTION('ref_axis',(1.,0.,0.)); #470239=DIRECTION('center_axis',(0.,0.,-1.)); #470240=DIRECTION('ref_axis',(-1.,0.,0.)); #470241=DIRECTION('center_axis',(0.,0.,1.)); #470242=DIRECTION('ref_axis',(1.,0.,0.)); #470243=DIRECTION('',(0.,0.,-1.)); #470244=DIRECTION('center_axis',(0.,0.,-1.)); #470245=DIRECTION('ref_axis',(-1.,0.,0.)); #470246=DIRECTION('',(0.,0.,-1.)); #470247=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #470248=DIRECTION('ref_axis',(-1.,0.,0.)); #470249=DIRECTION('center_axis',(0.,0.,-1.)); #470250=DIRECTION('ref_axis',(-1.,0.,0.)); #470251=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #470252=DIRECTION('ref_axis',(-1.,0.,0.)); #470253=DIRECTION('',(0.,0.,-1.)); #470254=DIRECTION('center_axis',(0.,-1.,0.)); #470255=DIRECTION('ref_axis',(-1.,0.,0.)); #470256=DIRECTION('center_axis',(0.,1.,0.)); #470257=DIRECTION('ref_axis',(1.,0.,7.25635963807292E-16)); #470258=DIRECTION('',(0.,0.,-1.)); #470259=DIRECTION('',(-1.,0.,-6.96064592241477E-16)); #470260=DIRECTION('center_axis',(0.,-1.,0.)); #470261=DIRECTION('ref_axis',(1.,0.,7.25635963807292E-16)); #470262=DIRECTION('',(0.,-1.,0.)); #470263=DIRECTION('',(0.,-1.,0.)); #470264=DIRECTION('center_axis',(6.96064592241477E-16,0.,-1.)); #470265=DIRECTION('ref_axis',(1.,0.,6.96064592241477E-16)); #470266=DIRECTION('',(0.,-1.,0.)); #470267=DIRECTION('center_axis',(6.96064592241477E-16,0.,-1.)); #470268=DIRECTION('ref_axis',(1.,0.,0.)); #470269=DIRECTION('',(0.,-1.,0.)); #470270=DIRECTION('',(0.,-1.,0.)); #470271=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #470272=DIRECTION('ref_axis',(1.,0.,0.)); #470273=DIRECTION('',(0.,-1.,0.)); #470274=DIRECTION('',(0.,1.,0.)); #470275=DIRECTION('center_axis',(0.,-1.,0.)); #470276=DIRECTION('ref_axis',(3.62817981903646E-16,0.,-1.)); #470277=DIRECTION('',(0.,-1.,0.)); #470278=DIRECTION('center_axis',(0.,-1.,0.)); #470279=DIRECTION('ref_axis',(3.62817981903646E-16,0.,-1.)); #470280=DIRECTION('',(0.,-1.,0.)); #470281=DIRECTION('center_axis',(0.,0.,1.)); #470282=DIRECTION('ref_axis',(1.,0.,0.)); #470283=DIRECTION('center_axis',(0.,0.,1.)); #470284=DIRECTION('ref_axis',(1.,0.,0.)); #470285=DIRECTION('',(0.,-1.,0.)); #470286=DIRECTION('',(0.,1.,0.)); #470287=DIRECTION('center_axis',(0.,0.,1.)); #470288=DIRECTION('ref_axis',(1.,0.,0.)); #470289=DIRECTION('center_axis',(0.,-1.,0.)); #470290=DIRECTION('ref_axis',(-7.25635963807292E-16,0.,1.)); #470291=DIRECTION('',(0.,-1.,0.)); #470292=DIRECTION('',(0.,-1.,0.)); #470293=DIRECTION('center_axis',(0.,-1.,0.)); #470294=DIRECTION('ref_axis',(-7.25635963807292E-16,0.,1.)); #470295=DIRECTION('',(0.,-1.,0.)); #470296=DIRECTION('',(0.,-1.,0.)); #470297=DIRECTION('center_axis',(-1.,0.,0.)); #470298=DIRECTION('ref_axis',(0.,0.,-1.)); #470299=DIRECTION('',(0.,-1.,0.)); #470300=DIRECTION('',(0.,0.,1.)); #470301=DIRECTION('',(0.,0.,-1.)); #470302=DIRECTION('',(0.,-1.,0.)); #470303=DIRECTION('',(0.,-1.,0.)); #470304=DIRECTION('',(0.,0.,1.)); #470305=DIRECTION('center_axis',(0.,-1.,0.)); #470306=DIRECTION('ref_axis',(-1.,0.,-7.25635963807292E-16)); #470307=DIRECTION('',(0.,1.,0.)); #470308=DIRECTION('center_axis',(0.,-1.,0.)); #470309=DIRECTION('ref_axis',(1.,0.,7.25635963807292E-16)); #470310=DIRECTION('',(0.,-1.,0.)); #470311=DIRECTION('center_axis',(1.,0.,0.)); #470312=DIRECTION('ref_axis',(0.,0.,1.)); #470313=DIRECTION('',(0.,0.,-1.)); #470314=DIRECTION('',(0.,0.,-1.)); #470315=DIRECTION('',(0.,-1.,0.)); #470316=DIRECTION('',(0.,0.,1.)); #470317=DIRECTION('center_axis',(0.,-1.,0.)); #470318=DIRECTION('ref_axis',(-1.,0.,-7.25635963807292E-16)); #470319=DIRECTION('',(0.,-1.,0.)); #470320=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #470321=DIRECTION('ref_axis',(-1.,0.,-6.96064592241477E-16)); #470322=DIRECTION('center_axis',(-6.96064592241477E-16,0.,1.)); #470323=DIRECTION('ref_axis',(1.,0.,0.)); #470324=DIRECTION('center_axis',(0.,0.,-1.)); #470325=DIRECTION('ref_axis',(1.,0.,0.)); #470326=DIRECTION('',(0.,0.,-1.)); #470327=DIRECTION('center_axis',(0.,0.,1.)); #470328=DIRECTION('ref_axis',(1.,0.,0.)); #470329=DIRECTION('',(0.,0.,1.)); #470330=DIRECTION('center_axis',(0.,0.,-1.)); #470331=DIRECTION('ref_axis',(1.,0.,0.)); #470332=DIRECTION('center_axis',(0.,0.,-1.)); #470333=DIRECTION('ref_axis',(1.,0.,0.)); #470334=DIRECTION('',(0.,0.,-1.)); #470335=DIRECTION('center_axis',(0.,0.,1.)); #470336=DIRECTION('ref_axis',(1.,0.,0.)); #470337=DIRECTION('',(0.,0.,1.)); #470338=DIRECTION('center_axis',(0.,0.,-1.)); #470339=DIRECTION('ref_axis',(1.,0.,0.)); #470340=DIRECTION('center_axis',(0.,0.,1.)); #470341=DIRECTION('ref_axis',(1.,0.,0.)); #470342=DIRECTION('',(0.,0.,1.)); #470343=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #470344=DIRECTION('ref_axis',(0.,-0.707106781186549,-0.707106781186546)); #470345=DIRECTION('center_axis',(0.,0.,-1.)); #470346=DIRECTION('ref_axis',(1.,0.,0.)); #470347=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #470348=DIRECTION('ref_axis',(0.,-0.707106781186549,-0.707106781186546)); #470349=DIRECTION('',(0.,0.,-1.)); #470350=DIRECTION('center_axis',(0.,0.,1.)); #470351=DIRECTION('ref_axis',(1.,0.,0.)); #470352=DIRECTION('',(0.,0.,1.)); #470353=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #470354=DIRECTION('ref_axis',(0.,-0.707106781186549,0.707106781186546)); #470355=DIRECTION('center_axis',(0.,0.,-1.)); #470356=DIRECTION('ref_axis',(1.,0.,0.)); #470357=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #470358=DIRECTION('ref_axis',(0.,-0.707106781186549,0.707106781186546)); #470359=DIRECTION('center_axis',(0.,0.,-1.)); #470360=DIRECTION('ref_axis',(-1.,0.,0.)); #470361=DIRECTION('center_axis',(0.,0.,-1.)); #470362=DIRECTION('ref_axis',(1.,0.,0.)); #470363=DIRECTION('',(0.,-1.,0.)); #470364=DIRECTION('center_axis',(0.,0.,-1.)); #470365=DIRECTION('ref_axis',(-1.,0.,0.)); #470366=DIRECTION('',(0.,1.,0.)); #470367=DIRECTION('center_axis',(0.,0.,1.)); #470368=DIRECTION('ref_axis',(1.,0.,0.)); #470369=DIRECTION('',(0.,1.,0.)); #470370=DIRECTION('center_axis',(-1.,0.,0.)); #470371=DIRECTION('ref_axis',(0.,0.,1.)); #470372=DIRECTION('',(0.,0.,1.)); #470373=DIRECTION('',(0.,1.,0.)); #470374=DIRECTION('',(0.,1.,0.)); #470375=DIRECTION('center_axis',(0.,0.,1.)); #470376=DIRECTION('ref_axis',(1.,0.,0.)); #470377=DIRECTION('center_axis',(0.,0.,-1.)); #470378=DIRECTION('ref_axis',(1.,0.,0.)); #470379=DIRECTION('',(0.,1.,0.)); #470380=DIRECTION('center_axis',(0.,0.,1.)); #470381=DIRECTION('ref_axis',(1.,0.,0.)); #470382=DIRECTION('center_axis',(1.,0.,0.)); #470383=DIRECTION('ref_axis',(0.,0.,-1.)); #470384=DIRECTION('',(0.,0.,-1.)); #470385=DIRECTION('center_axis',(0.,0.,-1.)); #470386=DIRECTION('ref_axis',(1.,0.,0.)); #470387=DIRECTION('center_axis',(0.,0.,-1.)); #470388=DIRECTION('ref_axis',(-1.,0.,0.)); #470389=DIRECTION('center_axis',(0.,0.,1.)); #470390=DIRECTION('ref_axis',(1.,0.,0.)); #470391=DIRECTION('center_axis',(0.,0.,-1.)); #470392=DIRECTION('ref_axis',(1.,0.,0.)); #470393=DIRECTION('center_axis',(0.,0.,-1.)); #470394=DIRECTION('ref_axis',(1.,0.,0.)); #470395=DIRECTION('center_axis',(0.,0.,1.)); #470396=DIRECTION('ref_axis',(1.,0.,0.)); #470397=DIRECTION('',(0.,0.,1.)); #470398=DIRECTION('center_axis',(0.,0.,-1.)); #470399=DIRECTION('ref_axis',(-1.,0.,0.)); #470400=DIRECTION('center_axis',(0.,0.,-1.)); #470401=DIRECTION('ref_axis',(1.,0.,0.)); #470402=DIRECTION('center_axis',(0.,0.,-1.)); #470403=DIRECTION('ref_axis',(1.,0.,0.)); #470404=DIRECTION('',(-1.,0.,0.)); #470405=DIRECTION('center_axis',(0.,0.,1.)); #470406=DIRECTION('ref_axis',(1.,0.,0.)); #470407=DIRECTION('',(-1.,0.,0.)); #470408=DIRECTION('center_axis',(0.,0.,-1.)); #470409=DIRECTION('ref_axis',(1.,0.,0.)); #470410=DIRECTION('',(-1.,0.,0.)); #470411=DIRECTION('',(-1.,0.,0.)); #470412=DIRECTION('center_axis',(0.,0.,1.)); #470413=DIRECTION('ref_axis',(1.,0.,0.)); #470414=DIRECTION('center_axis',(0.,0.,1.)); #470415=DIRECTION('ref_axis',(1.,0.,0.)); #470416=DIRECTION('center_axis',(0.,0.,-1.)); #470417=DIRECTION('ref_axis',(1.,0.,0.)); #470418=DIRECTION('',(0.,0.,1.)); #470419=DIRECTION('center_axis',(0.,0.,-1.)); #470420=DIRECTION('ref_axis',(1.,0.,0.)); #470421=DIRECTION('center_axis',(0.,0.,-1.)); #470422=DIRECTION('ref_axis',(1.,0.,0.)); #470423=DIRECTION('center_axis',(0.,0.,-1.)); #470424=DIRECTION('ref_axis',(-1.,0.,0.)); #470425=DIRECTION('',(-1.,0.,0.)); #470426=DIRECTION('center_axis',(0.,0.,-1.)); #470427=DIRECTION('ref_axis',(-1.,0.,0.)); #470428=DIRECTION('',(-1.,0.,0.)); #470429=DIRECTION('center_axis',(0.,-0.707106781186546,0.707106781186549)); #470430=DIRECTION('ref_axis',(1.,0.,0.)); #470431=DIRECTION('',(1.,0.,0.)); #470432=DIRECTION('',(-1.,0.,0.)); #470433=DIRECTION('center_axis',(0.,-1.,0.)); #470434=DIRECTION('ref_axis',(1.,0.,0.)); #470435=DIRECTION('center_axis',(0.,0.707106781186546,0.707106781186549)); #470436=DIRECTION('ref_axis',(1.,0.,0.)); #470437=DIRECTION('',(-1.,0.,0.)); #470438=DIRECTION('',(1.,0.,0.)); #470439=DIRECTION('center_axis',(0.,1.,0.)); #470440=DIRECTION('ref_axis',(-1.,0.,0.)); #470441=DIRECTION('center_axis',(0.,0.,-1.)); #470442=DIRECTION('ref_axis',(1.,0.,0.)); #470443=DIRECTION('center_axis',(0.,0.,-1.)); #470444=DIRECTION('ref_axis',(1.,0.,0.)); #470445=DIRECTION('center_axis',(0.,0.,-1.)); #470446=DIRECTION('ref_axis',(-1.,0.,0.)); #470447=DIRECTION('center_axis',(0.,0.,-1.)); #470448=DIRECTION('ref_axis',(1.,0.,0.)); #470449=DIRECTION('center_axis',(0.,0.,-1.)); #470450=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #470451=DIRECTION('center_axis',(0.,0.,-1.)); #470452=DIRECTION('ref_axis',(1.,0.,0.)); #470453=DIRECTION('',(0.,0.,1.)); #470454=DIRECTION('center_axis',(0.86112779872168,-0.508388546555441,0.)); #470455=DIRECTION('ref_axis',(0.,0.,-1.)); #470456=DIRECTION('',(-0.50838854655544,-0.86112779872168,0.)); #470457=DIRECTION('',(0.,0.,-1.)); #470458=DIRECTION('',(0.50838854655544,0.86112779872168,0.)); #470459=DIRECTION('',(0.,0.,1.)); #470460=DIRECTION('center_axis',(0.,0.,-1.)); #470461=DIRECTION('ref_axis',(1.,0.,0.)); #470462=DIRECTION('center_axis',(0.,0.,-1.)); #470463=DIRECTION('ref_axis',(1.,0.,0.)); #470464=DIRECTION('',(0.,0.,1.)); #470465=DIRECTION('center_axis',(0.,0.,1.)); #470466=DIRECTION('ref_axis',(1.,0.,0.)); #470467=DIRECTION('center_axis',(0.861127798721681,0.508388546555439,0.)); #470468=DIRECTION('ref_axis',(0.,0.,-1.)); #470469=DIRECTION('',(0.508388546555439,-0.861127798721681,0.)); #470470=DIRECTION('',(0.,0.,-1.)); #470471=DIRECTION('',(-0.508388546555439,0.861127798721681,0.)); #470472=DIRECTION('',(0.,0.,1.)); #470473=DIRECTION('center_axis',(0.,-1.,0.)); #470474=DIRECTION('ref_axis',(-1.,0.,0.)); #470475=DIRECTION('',(-1.,0.,0.)); #470476=DIRECTION('',(0.,0.,1.)); #470477=DIRECTION('',(1.,0.,0.)); #470478=DIRECTION('center_axis',(-0.86112779872168,-0.508388546555441,0.)); #470479=DIRECTION('ref_axis',(0.,0.,1.)); #470480=DIRECTION('',(-0.50838854655544,0.86112779872168,0.)); #470481=DIRECTION('',(0.,0.,-1.)); #470482=DIRECTION('',(0.50838854655544,-0.86112779872168,0.)); #470483=DIRECTION('center_axis',(0.,1.,0.)); #470484=DIRECTION('ref_axis',(1.,0.,0.)); #470485=DIRECTION('',(-1.,0.,0.)); #470486=DIRECTION('',(1.,0.,0.)); #470487=DIRECTION('center_axis',(-0.861127798721681,0.508388546555439,0.)); #470488=DIRECTION('ref_axis',(0.,0.,1.)); #470489=DIRECTION('',(0.508388546555439,0.861127798721681,0.)); #470490=DIRECTION('',(0.,0.,-1.)); #470491=DIRECTION('',(-0.508388546555439,-0.861127798721681,0.)); #470492=DIRECTION('center_axis',(0.,0.,-1.)); #470493=DIRECTION('ref_axis',(1.,0.,0.)); #470494=DIRECTION('center_axis',(0.,0.,1.)); #470495=DIRECTION('ref_axis',(1.,0.,0.)); #470496=DIRECTION('center_axis',(0.,0.,-1.)); #470497=DIRECTION('ref_axis',(1.,0.,0.)); #470498=DIRECTION('center_axis',(0.,0.,1.)); #470499=DIRECTION('ref_axis',(1.,0.,0.)); #470500=DIRECTION('center_axis',(0.,0.,1.)); #470501=DIRECTION('ref_axis',(1.,0.,0.)); #470502=DIRECTION('',(0.707106781186548,8.65956056235493E-17,-0.707106781186548)); #470503=DIRECTION('center_axis',(0.,0.,-1.)); #470504=DIRECTION('ref_axis',(1.,0.,0.)); #470505=DIRECTION('center_axis',(0.,0.,1.)); #470506=DIRECTION('ref_axis',(1.,0.,0.)); #470507=DIRECTION('',(1.,0.,0.)); #470508=DIRECTION('center_axis',(0.,0.,-1.)); #470509=DIRECTION('ref_axis',(1.,0.,0.)); #470510=DIRECTION('',(-1.,0.,0.)); #470511=DIRECTION('center_axis',(0.,0.,-1.)); #470512=DIRECTION('ref_axis',(1.,0.,0.)); #470513=DIRECTION('center_axis',(0.,0.,1.)); #470514=DIRECTION('ref_axis',(1.,0.,0.)); #470515=DIRECTION('center_axis',(0.,0.,1.)); #470516=DIRECTION('ref_axis',(-1.,0.,0.)); #470517=DIRECTION('',(0.866025403784439,0.5,0.)); #470518=DIRECTION('center_axis',(0.,0.,1.)); #470519=DIRECTION('ref_axis',(1.,0.,0.)); #470520=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #470521=DIRECTION('center_axis',(0.,0.,-1.)); #470522=DIRECTION('ref_axis',(1.,0.,0.)); #470523=DIRECTION('',(0.,0.,-1.)); #470524=DIRECTION('center_axis',(0.,0.,-1.)); #470525=DIRECTION('ref_axis',(1.,0.,0.)); #470526=DIRECTION('center_axis',(0.,0.,1.)); #470527=DIRECTION('ref_axis',(1.,0.,0.)); #470528=DIRECTION('',(0.707106781186548,8.65956056235493E-17,-0.707106781186548)); #470529=DIRECTION('center_axis',(0.,0.,-1.)); #470530=DIRECTION('ref_axis',(1.,0.,0.)); #470531=DIRECTION('center_axis',(0.,0.,-1.)); #470532=DIRECTION('ref_axis',(1.,0.,0.)); #470533=DIRECTION('',(0.,0.,-1.)); #470534=DIRECTION('center_axis',(0.,0.,-1.)); #470535=DIRECTION('ref_axis',(1.,0.,0.)); #470536=DIRECTION('center_axis',(0.,0.,-1.)); #470537=DIRECTION('ref_axis',(1.,0.,0.)); #470538=DIRECTION('center_axis',(0.,0.,-1.)); #470539=DIRECTION('ref_axis',(1.,0.,0.)); #470540=DIRECTION('',(0.707106781186547,-8.65956056235494E-17,0.707106781186547)); #470541=DIRECTION('center_axis',(0.,0.,1.)); #470542=DIRECTION('ref_axis',(1.,0.,0.)); #470543=DIRECTION('center_axis',(0.,0.,1.)); #470544=DIRECTION('ref_axis',(1.,0.,0.)); #470545=DIRECTION('center_axis',(0.,0.,-1.)); #470546=DIRECTION('ref_axis',(1.,0.,0.)); #470547=DIRECTION('center_axis',(0.,0.,-1.)); #470548=DIRECTION('ref_axis',(1.,0.,0.)); #470549=DIRECTION('center_axis',(0.,0.,-1.)); #470550=DIRECTION('ref_axis',(1.,0.,0.)); #470551=DIRECTION('',(-0.866025403784439,-0.5,0.)); #470552=DIRECTION('center_axis',(0.,0.,-1.)); #470553=DIRECTION('ref_axis',(-1.,0.,0.)); #470554=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #470555=DIRECTION('center_axis',(0.,0.,-1.)); #470556=DIRECTION('ref_axis',(1.,0.,0.)); #470557=DIRECTION('center_axis',(0.,0.,1.)); #470558=DIRECTION('ref_axis',(1.,0.,0.)); #470559=DIRECTION('',(0.,0.,1.)); #470560=DIRECTION('center_axis',(0.,0.,1.)); #470561=DIRECTION('ref_axis',(1.,0.,0.)); #470562=DIRECTION('center_axis',(0.,0.,-1.)); #470563=DIRECTION('ref_axis',(1.,0.,0.)); #470564=DIRECTION('',(0.707106781186547,-8.65956056235494E-17,0.707106781186547)); #470565=DIRECTION('center_axis',(0.,0.,1.)); #470566=DIRECTION('ref_axis',(1.,0.,0.)); #470567=DIRECTION('center_axis',(0.,0.,1.)); #470568=DIRECTION('ref_axis',(1.,0.,0.)); #470569=DIRECTION('',(0.,0.,1.)); #470570=DIRECTION('center_axis',(0.,0.,1.)); #470571=DIRECTION('ref_axis',(1.,0.,0.)); #470572=DIRECTION('center_axis',(0.353553390593277,-0.612372435695798,-0.707106781186543)); #470573=DIRECTION('ref_axis',(-0.894427190999913,0.,-0.447213595499964)); #470574=DIRECTION('',(-0.866025403784438,-0.500000000000001,0.)); #470575=DIRECTION('center_axis',(0.,0.,-1.)); #470576=DIRECTION('ref_axis',(1.,0.,0.)); #470577=DIRECTION('center_axis',(0.,0.,1.)); #470578=DIRECTION('ref_axis',(1.,0.,0.)); #470579=DIRECTION('center_axis',(0.500000000000001,-0.866025403784438,0.)); #470580=DIRECTION('ref_axis',(0.866025403784438,0.500000000000001,0.)); #470581=DIRECTION('',(0.,0.,1.)); #470582=DIRECTION('',(0.866025403784438,0.500000000000001,0.)); #470583=DIRECTION('',(0.,0.,1.)); #470584=DIRECTION('center_axis',(0.,0.,1.)); #470585=DIRECTION('ref_axis',(1.,0.,0.)); #470586=DIRECTION('center_axis',(0.,0.,-1.)); #470587=DIRECTION('ref_axis',(1.,0.,0.)); #470588=DIRECTION('center_axis',(0.353553390593274,-0.612372435695793,0.707106781186549)); #470589=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499957)); #470590=DIRECTION('center_axis',(0.,0.,1.)); #470591=DIRECTION('ref_axis',(1.,0.,0.)); #470592=DIRECTION('center_axis',(0.,0.,1.)); #470593=DIRECTION('ref_axis',(-1.,0.,0.)); #470594=DIRECTION('center_axis',(0.,0.,-1.)); #470595=DIRECTION('ref_axis',(-1.,0.,0.)); #470596=DIRECTION('center_axis',(-0.353553390593273,0.612372435695794,0.707106781186548)); #470597=DIRECTION('ref_axis',(0.894427190999916,0.,0.447213595499957)); #470598=DIRECTION('',(-0.866025403784439,-0.5,0.)); #470599=DIRECTION('center_axis',(0.,0.,1.)); #470600=DIRECTION('ref_axis',(-0.5,0.866025403784438,0.)); #470601=DIRECTION('',(0.,0.,1.)); #470602=DIRECTION('center_axis',(0.,0.,1.)); #470603=DIRECTION('ref_axis',(-1.,0.,0.)); #470604=DIRECTION('',(0.,0.,1.)); #470605=DIRECTION('center_axis',(-0.353553390593276,0.612372435695799,-0.707106781186542)); #470606=DIRECTION('ref_axis',(-0.894427190999913,0.,0.447213595499963)); #470607=DIRECTION('',(0.866025403784439,0.5,0.)); #470608=DIRECTION('center_axis',(0.,0.,-1.)); #470609=DIRECTION('ref_axis',(-1.,0.,0.)); #470610=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #470611=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #470612=DIRECTION('center_axis',(0.,0.,1.)); #470613=DIRECTION('ref_axis',(1.,0.,0.)); #470614=DIRECTION('center_axis',(0.,0.,1.)); #470615=DIRECTION('ref_axis',(1.,0.,0.)); #470616=DIRECTION('center_axis',(0.,0.,-1.)); #470617=DIRECTION('ref_axis',(-1.,0.,0.)); #470618=DIRECTION('',(1.,0.,0.)); #470619=DIRECTION('center_axis',(0.,0.,-1.)); #470620=DIRECTION('ref_axis',(1.,0.,0.)); #470621=DIRECTION('',(-1.,0.,0.)); #470622=DIRECTION('center_axis',(0.,0.,1.)); #470623=DIRECTION('ref_axis',(1.,0.,0.)); #470624=DIRECTION('center_axis',(0.,0.,-1.)); #470625=DIRECTION('ref_axis',(-1.,0.,0.)); #470626=DIRECTION('center_axis',(0.,0.,-1.)); #470627=DIRECTION('ref_axis',(1.,0.,0.)); #470628=DIRECTION('',(1.,0.,0.)); #470629=DIRECTION('center_axis',(0.,0.,1.)); #470630=DIRECTION('ref_axis',(1.,0.,0.)); #470631=DIRECTION('',(-1.,0.,0.)); #470632=DIRECTION('center_axis',(0.,0.,-1.)); #470633=DIRECTION('ref_axis',(1.,0.,0.)); #470634=DIRECTION('',(0.,0.,-1.)); #470635=DIRECTION('',(0.,0.,-1.)); #470636=DIRECTION('center_axis',(0.,-1.,0.)); #470637=DIRECTION('ref_axis',(-1.,0.,0.)); #470638=DIRECTION('',(1.,0.,0.)); #470639=DIRECTION('',(0.,0.,-1.)); #470640=DIRECTION('center_axis',(0.,0.,-1.)); #470641=DIRECTION('ref_axis',(1.,0.,0.)); #470642=DIRECTION('center_axis',(0.,0.,1.)); #470643=DIRECTION('ref_axis',(1.,0.,0.)); #470644=DIRECTION('',(0.,0.,-1.)); #470645=DIRECTION('center_axis',(0.,1.,0.)); #470646=DIRECTION('ref_axis',(1.,0.,0.)); #470647=DIRECTION('',(-1.,0.,0.)); #470648=DIRECTION('center_axis',(0.,-1.,0.)); #470649=DIRECTION('ref_axis',(-1.,0.,0.)); #470650=DIRECTION('',(1.,0.,0.)); #470651=DIRECTION('',(0.,0.,-1.)); #470652=DIRECTION('',(0.,0.,-1.)); #470653=DIRECTION('center_axis',(0.,0.,-1.)); #470654=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #470655=DIRECTION('',(0.,0.,-1.)); #470656=DIRECTION('center_axis',(0.,1.,0.)); #470657=DIRECTION('ref_axis',(1.,0.,0.)); #470658=DIRECTION('',(-1.,0.,0.)); #470659=DIRECTION('',(0.,0.,-1.)); #470660=DIRECTION('center_axis',(0.,0.,-1.)); #470661=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #470662=DIRECTION('center_axis',(0.,0.,1.)); #470663=DIRECTION('ref_axis',(1.,0.,0.)); #470664=DIRECTION('center_axis',(0.,0.,1.)); #470665=DIRECTION('ref_axis',(1.,0.,0.)); #470666=DIRECTION('',(1.,0.,0.)); #470667=DIRECTION('center_axis',(0.,0.,-1.)); #470668=DIRECTION('ref_axis',(1.,0.,0.)); #470669=DIRECTION('',(-1.,0.,0.)); #470670=DIRECTION('center_axis',(0.,0.,-1.)); #470671=DIRECTION('ref_axis',(1.,0.,0.)); #470672=DIRECTION('center_axis',(0.,0.,1.)); #470673=DIRECTION('ref_axis',(1.,0.,0.)); #470674=DIRECTION('',(0.,0.,1.)); #470675=DIRECTION('',(0.,0.,-1.)); #470676=DIRECTION('center_axis',(0.,-1.,0.)); #470677=DIRECTION('ref_axis',(1.,0.,0.)); #470678=DIRECTION('',(0.,0.,1.)); #470679=DIRECTION('center_axis',(0.,0.,1.)); #470680=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #470681=DIRECTION('',(0.,0.,-1.)); #470682=DIRECTION('center_axis',(0.,1.,0.)); #470683=DIRECTION('ref_axis',(-1.,0.,0.)); #470684=DIRECTION('center_axis',(0.,0.,-1.)); #470685=DIRECTION('ref_axis',(-1.,0.,0.)); #470686=DIRECTION('center_axis',(0.,0.,-1.)); #470687=DIRECTION('ref_axis',(1.,0.,0.)); #470688=DIRECTION('',(1.,-1.98254111540206E-16,0.)); #470689=DIRECTION('',(0.,-1.,0.)); #470690=DIRECTION('center_axis',(-1.98254111540206E-16,-1.,0.)); #470691=DIRECTION('ref_axis',(1.,-1.98254111540206E-16,0.)); #470692=DIRECTION('',(1.,-1.98254111540206E-16,0.)); #470693=DIRECTION('',(0.,0.,1.)); #470694=DIRECTION('',(1.,-1.98254111540206E-16,0.)); #470695=DIRECTION('',(0.,0.,1.)); #470696=DIRECTION('center_axis',(0.,0.,-1.)); #470697=DIRECTION('ref_axis',(-1.,0.,0.)); #470698=DIRECTION('center_axis',(0.,0.,-1.)); #470699=DIRECTION('ref_axis',(1.,0.,0.)); #470700=DIRECTION('',(0.,1.,0.)); #470701=DIRECTION('center_axis',(0.,0.,-1.)); #470702=DIRECTION('ref_axis',(-1.,0.,0.)); #470703=DIRECTION('center_axis',(0.,0.,-1.)); #470704=DIRECTION('ref_axis',(1.,0.,0.)); #470705=DIRECTION('',(-1.,0.,0.)); #470706=DIRECTION('',(0.,1.,0.)); #470707=DIRECTION('center_axis',(0.,1.,0.)); #470708=DIRECTION('ref_axis',(-1.,0.,0.)); #470709=DIRECTION('',(-1.,0.,0.)); #470710=DIRECTION('',(0.,0.,1.)); #470711=DIRECTION('',(-1.,0.,0.)); #470712=DIRECTION('',(0.,0.,1.)); #470713=DIRECTION('center_axis',(0.,0.,-1.)); #470714=DIRECTION('ref_axis',(-1.,0.,0.)); #470715=DIRECTION('center_axis',(0.,0.,-1.)); #470716=DIRECTION('ref_axis',(1.,0.,0.)); #470717=DIRECTION('',(0.,-1.,0.)); #470718=DIRECTION('center_axis',(0.,0.,-1.)); #470719=DIRECTION('ref_axis',(-1.,0.,0.)); #470720=DIRECTION('',(1.,1.98254111540206E-16,0.)); #470721=DIRECTION('center_axis',(0.,0.,-1.)); #470722=DIRECTION('ref_axis',(1.,0.,0.)); #470723=DIRECTION('',(0.,1.,0.)); #470724=DIRECTION('center_axis',(0.,0.,-1.)); #470725=DIRECTION('ref_axis',(-1.,0.,0.)); #470726=DIRECTION('',(0.,1.,0.)); #470727=DIRECTION('center_axis',(0.,0.,-1.)); #470728=DIRECTION('ref_axis',(1.,0.,0.)); #470729=DIRECTION('',(-1.,0.,0.)); #470730=DIRECTION('center_axis',(0.,1.,0.)); #470731=DIRECTION('ref_axis',(-1.,0.,0.)); #470732=DIRECTION('',(-1.,0.,0.)); #470733=DIRECTION('',(0.,0.,1.)); #470734=DIRECTION('',(-1.,0.,0.)); #470735=DIRECTION('',(0.,0.,1.)); #470736=DIRECTION('center_axis',(0.,0.,-1.)); #470737=DIRECTION('ref_axis',(-1.,0.,0.)); #470738=DIRECTION('center_axis',(0.,0.,-1.)); #470739=DIRECTION('ref_axis',(1.,0.,0.)); #470740=DIRECTION('',(0.,-1.,0.)); #470741=DIRECTION('center_axis',(1.98254111540206E-16,-1.,0.)); #470742=DIRECTION('ref_axis',(1.,1.98254111540206E-16,0.)); #470743=DIRECTION('',(1.,1.98254111540206E-16,0.)); #470744=DIRECTION('',(0.,0.,1.)); #470745=DIRECTION('',(1.,1.98254111540206E-16,0.)); #470746=DIRECTION('',(0.,0.,1.)); #470747=DIRECTION('center_axis',(0.,0.,-1.)); #470748=DIRECTION('ref_axis',(-1.,0.,0.)); #470749=DIRECTION('',(0.,-1.,0.)); #470750=DIRECTION('center_axis',(0.,0.,-1.)); #470751=DIRECTION('ref_axis',(1.,0.,0.)); #470752=DIRECTION('center_axis',(0.,0.,-1.)); #470753=DIRECTION('ref_axis',(-1.,0.,0.)); #470754=DIRECTION('',(0.,1.,0.)); #470755=DIRECTION('',(1.,2.64338815386789E-16,0.)); #470756=DIRECTION('',(0.,-1.,0.)); #470757=DIRECTION('center_axis',(0.,0.,-1.)); #470758=DIRECTION('ref_axis',(-1.,0.,0.)); #470759=DIRECTION('',(0.,1.,0.)); #470760=DIRECTION('',(1.,-1.32169407693395E-16,0.)); #470761=DIRECTION('',(0.,-1.,0.)); #470762=DIRECTION('center_axis',(1.,0.,0.)); #470763=DIRECTION('ref_axis',(0.,1.,0.)); #470764=DIRECTION('',(0.,1.,0.)); #470765=DIRECTION('',(0.,0.,1.)); #470766=DIRECTION('',(0.,1.,0.)); #470767=DIRECTION('',(0.,0.,1.)); #470768=DIRECTION('center_axis',(2.64338815386789E-16,-1.,0.)); #470769=DIRECTION('ref_axis',(1.,2.64338815386789E-16,0.)); #470770=DIRECTION('',(1.,0.,0.)); #470771=DIRECTION('',(0.,0.,1.)); #470772=DIRECTION('center_axis',(-1.,0.,0.)); #470773=DIRECTION('ref_axis',(0.,-1.,0.)); #470774=DIRECTION('',(0.,-1.,0.)); #470775=DIRECTION('',(0.,0.,1.)); #470776=DIRECTION('',(0.,-1.,0.)); #470777=DIRECTION('center_axis',(-1.32169407693394E-16,1.,0.)); #470778=DIRECTION('ref_axis',(-1.,-1.32169407693394E-16,0.)); #470779=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #470780=DIRECTION('',(-1.,-1.32169407693394E-16,0.)); #470781=DIRECTION('center_axis',(0.,0.,-1.)); #470782=DIRECTION('ref_axis',(-1.,0.,0.)); #470783=DIRECTION('center_axis',(1.32169407693394E-16,1.,0.)); #470784=DIRECTION('ref_axis',(-1.,1.32169407693394E-16,0.)); #470785=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #470786=DIRECTION('',(0.,0.,1.)); #470787=DIRECTION('',(-1.,1.32169407693394E-16,0.)); #470788=DIRECTION('',(0.,0.,1.)); #470789=DIRECTION('center_axis',(0.,0.,-1.)); #470790=DIRECTION('ref_axis',(-1.,0.,0.)); #470791=DIRECTION('',(0.,-1.,0.)); #470792=DIRECTION('',(0.,1.,0.)); #470793=DIRECTION('center_axis',(1.,0.,0.)); #470794=DIRECTION('ref_axis',(0.,1.,0.)); #470795=DIRECTION('',(0.,1.,0.)); #470796=DIRECTION('',(0.,0.,1.)); #470797=DIRECTION('center_axis',(-1.32169407693395E-16,-1.,0.)); #470798=DIRECTION('ref_axis',(1.,-1.32169407693395E-16,0.)); #470799=DIRECTION('',(1.,0.,0.)); #470800=DIRECTION('',(0.,0.,1.)); #470801=DIRECTION('center_axis',(-1.,0.,0.)); #470802=DIRECTION('ref_axis',(0.,-1.,0.)); #470803=DIRECTION('',(0.,-1.,0.)); #470804=DIRECTION('center_axis',(0.,0.,1.)); #470805=DIRECTION('ref_axis',(1.,0.,0.)); #470806=DIRECTION('',(1.,0.,0.)); #470807=DIRECTION('',(3.55292653368372E-15,1.,0.)); #470808=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #470809=DIRECTION('center_axis',(0.,0.,1.)); #470810=DIRECTION('ref_axis',(1.,0.,0.)); #470811=DIRECTION('',(1.,0.,0.)); #470812=DIRECTION('',(7.65684800472817E-17,1.,0.)); #470813=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #470814=DIRECTION('center_axis',(0.,0.,1.)); #470815=DIRECTION('ref_axis',(1.,0.,0.)); #470816=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #470817=DIRECTION('',(-1.04497839225992E-16,-1.,0.)); #470818=DIRECTION('',(1.,0.,0.)); #470819=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547)); #470820=DIRECTION('ref_axis',(-1.,0.,0.)); #470821=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #470822=DIRECTION('',(1.,0.,0.)); #470823=DIRECTION('',(5.41420914665797E-17,-0.707106781186547,-0.707106781186548)); #470824=DIRECTION('',(-1.,0.,0.)); #470825=DIRECTION('center_axis',(0.,0.,1.)); #470826=DIRECTION('ref_axis',(1.,0.,0.)); #470827=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #470828=DIRECTION('',(7.65684800472817E-17,-1.,0.)); #470829=DIRECTION('center_axis',(1.86915768890817E-16,-0.707106781186548, -0.707106781186547)); #470830=DIRECTION('ref_axis',(-1.,0.,-2.64338815386789E-16)); #470831=DIRECTION('',(5.41420914665797E-17,-0.707106781186547,0.707106781186548)); #470832=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #470833=DIRECTION('',(1.,2.64338815386789E-16,0.)); #470834=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547)); #470835=DIRECTION('ref_axis',(-1.,0.,0.)); #470836=DIRECTION('',(5.41420914665797E-17,0.707106781186547,0.707106781186548)); #470837=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #470838=DIRECTION('',(-1.,0.,0.)); #470839=DIRECTION('center_axis',(-1.86915768890818E-16,-0.707106781186548, -0.707106781186547)); #470840=DIRECTION('ref_axis',(-1.,0.,2.64338815386789E-16)); #470841=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #470842=DIRECTION('',(5.41420914665797E-17,0.707106781186547,-0.707106781186548)); #470843=DIRECTION('',(1.,-2.64338815386789E-16,0.)); #470844=DIRECTION('center_axis',(1.86915768890818E-16,-0.707106781186548, 0.707106781186547)); #470845=DIRECTION('ref_axis',(1.,0.,-2.64338815386789E-16)); #470846=DIRECTION('',(-5.41420914665797E-17,0.707106781186547,0.707106781186548)); #470847=DIRECTION('',(-1.,-2.64338815386789E-16,0.)); #470848=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548)); #470849=DIRECTION('',(1.,2.64338815386789E-16,0.)); #470850=DIRECTION('center_axis',(2.64338815386789E-16,-1.,0.)); #470851=DIRECTION('ref_axis',(1.,2.64338815386789E-16,0.)); #470852=DIRECTION('',(0.,0.,1.)); #470853=DIRECTION('',(0.,0.,1.)); #470854=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547)); #470855=DIRECTION('ref_axis',(1.,0.,0.)); #470856=DIRECTION('',(-5.41420914665797E-17,0.707106781186547,-0.707106781186548)); #470857=DIRECTION('',(-1.,0.,0.)); #470858=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #470859=DIRECTION('',(1.,0.,0.)); #470860=DIRECTION('center_axis',(0.,0.,-1.)); #470861=DIRECTION('ref_axis',(-1.,0.,0.)); #470862=DIRECTION('',(0.,-1.,0.)); #470863=DIRECTION('',(7.65684800472817E-17,-1.,0.)); #470864=DIRECTION('center_axis',(0.,0.,1.)); #470865=DIRECTION('ref_axis',(1.,0.,0.)); #470866=DIRECTION('',(7.65684800472817E-17,-1.,0.)); #470867=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547)); #470868=DIRECTION('ref_axis',(1.,0.,0.)); #470869=DIRECTION('',(-5.41420914665797E-17,-0.707106781186547,0.707106781186548)); #470870=DIRECTION('',(1.,0.,0.)); #470871=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #470872=DIRECTION('',(-1.,0.,0.)); #470873=DIRECTION('center_axis',(0.,1.,0.)); #470874=DIRECTION('ref_axis',(-1.,0.,0.)); #470875=DIRECTION('',(0.,0.,1.)); #470876=DIRECTION('',(0.,0.,1.)); #470877=DIRECTION('center_axis',(-1.86915768890818E-16,-0.707106781186548, 0.707106781186547)); #470878=DIRECTION('ref_axis',(1.,0.,2.6433881538679E-16)); #470879=DIRECTION('',(-5.41420914665797E-17,-0.707106781186547,-0.707106781186548)); #470880=DIRECTION('',(1.,-2.64338815386789E-16,0.)); #470881=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #470882=DIRECTION('',(-1.,2.64338815386789E-16,0.)); #470883=DIRECTION('center_axis',(0.,0.,-1.)); #470884=DIRECTION('ref_axis',(-1.,0.,0.)); #470885=DIRECTION('',(0.,1.,0.)); #470886=DIRECTION('',(7.65684800472817E-17,1.,0.)); #470887=DIRECTION('center_axis',(0.,0.,1.)); #470888=DIRECTION('ref_axis',(1.,0.,0.)); #470889=DIRECTION('center_axis',(0.,0.,1.)); #470890=DIRECTION('ref_axis',(1.,0.,0.)); #470891=DIRECTION('',(7.65684800472817E-17,1.,0.)); #470892=DIRECTION('center_axis',(0.,0.,-1.)); #470893=DIRECTION('ref_axis',(1.,0.,0.)); #470894=DIRECTION('center_axis',(0.,0.,-1.)); #470895=DIRECTION('ref_axis',(1.,0.,0.)); #470896=DIRECTION('',(0.,0.,-1.)); #470897=DIRECTION('center_axis',(0.,0.,-1.)); #470898=DIRECTION('ref_axis',(1.,0.,0.)); #470899=DIRECTION('center_axis',(0.,0.,-1.)); #470900=DIRECTION('ref_axis',(1.,0.,0.)); #470901=DIRECTION('',(0.,0.,-1.)); #470902=DIRECTION('center_axis',(-2.64338815386789E-16,-1.,0.)); #470903=DIRECTION('ref_axis',(1.,-2.64338815386789E-16,0.)); #470904=DIRECTION('',(0.,0.,1.)); #470905=DIRECTION('',(0.,0.,1.)); #470906=DIRECTION('center_axis',(-1.,7.65684800472817E-17,0.)); #470907=DIRECTION('ref_axis',(-7.65684800472817E-17,-1.,0.)); #470908=DIRECTION('',(0.,0.,1.)); #470909=DIRECTION('',(0.,0.,-1.)); #470910=DIRECTION('',(-7.65684800472817E-17,-1.,0.)); #470911=DIRECTION('center_axis',(-1.,0.,0.)); #470912=DIRECTION('ref_axis',(0.,-1.,0.)); #470913=DIRECTION('',(1.04497839225992E-16,1.,0.)); #470914=DIRECTION('',(0.,0.,1.)); #470915=DIRECTION('',(0.,-1.,0.)); #470916=DIRECTION('',(0.,0.,1.)); #470917=DIRECTION('',(0.,-1.,0.)); #470918=DIRECTION('',(0.,0.,1.)); #470919=DIRECTION('',(-1.04497839225992E-16,-1.,0.)); #470920=DIRECTION('',(0.,0.,-1.)); #470921=DIRECTION('center_axis',(0.,1.,0.)); #470922=DIRECTION('ref_axis',(-1.,0.,0.)); #470923=DIRECTION('',(0.,0.,1.)); #470924=DIRECTION('',(0.,0.,1.)); #470925=DIRECTION('center_axis',(1.,0.,0.)); #470926=DIRECTION('ref_axis',(0.,1.,0.)); #470927=DIRECTION('',(-3.55292653368372E-15,-1.,0.)); #470928=DIRECTION('',(0.,0.,1.)); #470929=DIRECTION('',(0.,1.,0.)); #470930=DIRECTION('',(0.,0.,1.)); #470931=DIRECTION('',(0.,1.,0.)); #470932=DIRECTION('',(0.,0.,1.)); #470933=DIRECTION('',(3.55292653368372E-15,1.,0.)); #470934=DIRECTION('',(0.,0.,-1.)); #470935=DIRECTION('center_axis',(0.,0.,-1.)); #470936=DIRECTION('ref_axis',(-1.,0.,0.)); #470937=DIRECTION('center_axis',(0.,0.,-1.)); #470938=DIRECTION('ref_axis',(1.,0.,0.)); #470939=DIRECTION('center_axis',(0.,0.,-1.)); #470940=DIRECTION('ref_axis',(1.,0.,0.)); #470941=DIRECTION('center_axis',(0.,0.,-1.)); #470942=DIRECTION('ref_axis',(-1.,0.,0.)); #470943=DIRECTION('center_axis',(0.,0.,-1.)); #470944=DIRECTION('ref_axis',(1.,0.,0.)); #470945=DIRECTION('',(1.,-6.98253474607017E-17,0.)); #470946=DIRECTION('',(-1.,0.,0.)); #470947=DIRECTION('center_axis',(1.,7.65684800472817E-17,0.)); #470948=DIRECTION('ref_axis',(-7.65684800472817E-17,1.,0.)); #470949=DIRECTION('',(0.,0.,1.)); #470950=DIRECTION('',(0.,0.,-1.)); #470951=DIRECTION('',(-7.65684800472817E-17,1.,0.)); #470952=DIRECTION('center_axis',(0.,0.,-1.)); #470953=DIRECTION('ref_axis',(-1.,0.,0.)); #470954=DIRECTION('center_axis',(0.,0.,-1.)); #470955=DIRECTION('ref_axis',(1.,0.,0.)); #470956=DIRECTION('center_axis',(0.,0.,-1.)); #470957=DIRECTION('ref_axis',(1.,0.,0.)); #470958=DIRECTION('center_axis',(0.,0.,-1.)); #470959=DIRECTION('ref_axis',(1.,0.,0.)); #470960=DIRECTION('center_axis',(0.,0.,1.)); #470961=DIRECTION('ref_axis',(1.,0.,0.)); #470962=DIRECTION('center_axis',(0.,0.,1.)); #470963=DIRECTION('ref_axis',(1.,0.,0.)); #470964=DIRECTION('',(0.,0.,1.)); #470965=DIRECTION('center_axis',(0.,0.,1.)); #470966=DIRECTION('ref_axis',(1.,0.,0.)); #470967=DIRECTION('center_axis',(0.,0.,1.)); #470968=DIRECTION('ref_axis',(1.,0.,0.)); #470969=DIRECTION('center_axis',(0.,0.,1.)); #470970=DIRECTION('ref_axis',(1.,0.,0.)); #470971=DIRECTION('center_axis',(0.,0.,-1.)); #470972=DIRECTION('ref_axis',(-1.,0.,0.)); #470973=DIRECTION('',(-1.,6.98253474607017E-17,0.)); #470974=DIRECTION('center_axis',(0.,0.,-1.)); #470975=DIRECTION('ref_axis',(-1.,0.,0.)); #470976=DIRECTION('',(1.,0.,0.)); #470977=DIRECTION('center_axis',(0.,0.,-1.)); #470978=DIRECTION('ref_axis',(1.,0.,0.)); #470979=DIRECTION('',(0.,0.,1.)); #470980=DIRECTION('center_axis',(0.,0.,-1.)); #470981=DIRECTION('ref_axis',(1.,0.,0.)); #470982=DIRECTION('center_axis',(0.,1.,0.)); #470983=DIRECTION('ref_axis',(-1.,0.,0.)); #470984=DIRECTION('center_axis',(-6.98253474607017E-17,-1.,0.)); #470985=DIRECTION('ref_axis',(1.,-6.98253474607017E-17,0.)); #470986=DIRECTION('center_axis',(0.,1.,0.)); #470987=DIRECTION('ref_axis',(-1.,0.,0.)); #470988=DIRECTION('',(-1.,0.,0.)); #470989=DIRECTION('',(0.,0.,-1.)); #470990=DIRECTION('',(1.,0.,0.)); #470991=DIRECTION('',(0.,0.,1.)); #470992=DIRECTION('',(-1.,0.,0.)); #470993=DIRECTION('center_axis',(0.,0.,-1.)); #470994=DIRECTION('ref_axis',(1.,0.,0.)); #470995=DIRECTION('center_axis',(0.,0.,1.)); #470996=DIRECTION('ref_axis',(1.,0.,0.)); #470997=DIRECTION('',(0.,0.,-1.)); #470998=DIRECTION('center_axis',(0.,0.,-1.)); #470999=DIRECTION('ref_axis',(1.,0.,0.)); #471000=DIRECTION('center_axis',(0.,0.,-1.)); #471001=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #471002=DIRECTION('center_axis',(0.,0.,-1.)); #471003=DIRECTION('ref_axis',(1.,0.,0.)); #471004=DIRECTION('',(0.,0.,1.)); #471005=DIRECTION('center_axis',(0.,0.,1.)); #471006=DIRECTION('ref_axis',(1.,0.,0.)); #471007=DIRECTION('center_axis',(0.,-1.,0.)); #471008=DIRECTION('ref_axis',(1.,0.,0.)); #471009=DIRECTION('',(1.,0.,0.)); #471010=DIRECTION('',(1.,0.,0.)); #471011=DIRECTION('',(-1.,0.,0.)); #471012=DIRECTION('center_axis',(0.,0.,-1.)); #471013=DIRECTION('ref_axis',(-1.,0.,0.)); #471014=DIRECTION('center_axis',(0.,0.,-1.)); #471015=DIRECTION('ref_axis',(-1.,0.,0.)); #471016=DIRECTION('center_axis',(0.,0.,-1.)); #471017=DIRECTION('ref_axis',(-1.,0.,0.)); #471018=DIRECTION('center_axis',(-0.861127798721681,0.508388546555439,0.)); #471019=DIRECTION('ref_axis',(0.,0.,1.)); #471020=DIRECTION('',(0.508388546555439,0.861127798721681,0.)); #471021=DIRECTION('',(0.,0.,-1.)); #471022=DIRECTION('',(-0.508388546555439,-0.861127798721681,0.)); #471023=DIRECTION('',(0.,0.,1.)); #471024=DIRECTION('center_axis',(0.,0.,-1.)); #471025=DIRECTION('ref_axis',(1.,0.,0.)); #471026=DIRECTION('center_axis',(0.,0.,-1.)); #471027=DIRECTION('ref_axis',(1.,0.,0.)); #471028=DIRECTION('',(0.,0.,1.)); #471029=DIRECTION('center_axis',(0.,0.,1.)); #471030=DIRECTION('ref_axis',(1.,0.,0.)); #471031=DIRECTION('center_axis',(-0.86112779872168,-0.508388546555441,0.)); #471032=DIRECTION('ref_axis',(0.,0.,1.)); #471033=DIRECTION('',(-0.50838854655544,0.86112779872168,0.)); #471034=DIRECTION('',(0.,0.,-1.)); #471035=DIRECTION('',(0.50838854655544,-0.86112779872168,0.)); #471036=DIRECTION('',(0.,0.,1.)); #471037=DIRECTION('center_axis',(0.,1.,0.)); #471038=DIRECTION('ref_axis',(1.,0.,0.)); #471039=DIRECTION('',(1.,0.,0.)); #471040=DIRECTION('',(0.,0.,1.)); #471041=DIRECTION('',(-1.,0.,0.)); #471042=DIRECTION('center_axis',(0.86112779872168,-0.508388546555441,0.)); #471043=DIRECTION('ref_axis',(0.,0.,-1.)); #471044=DIRECTION('',(-0.50838854655544,-0.86112779872168,0.)); #471045=DIRECTION('',(0.,0.,-1.)); #471046=DIRECTION('',(0.50838854655544,0.86112779872168,0.)); #471047=DIRECTION('center_axis',(0.,0.,-1.)); #471048=DIRECTION('ref_axis',(1.,0.,0.)); #471049=DIRECTION('center_axis',(0.,0.,1.)); #471050=DIRECTION('ref_axis',(1.,0.,0.)); #471051=DIRECTION('center_axis',(0.,0.,-1.)); #471052=DIRECTION('ref_axis',(1.,0.,0.)); #471053=DIRECTION('center_axis',(0.861127798721681,0.508388546555439,0.)); #471054=DIRECTION('ref_axis',(0.,0.,-1.)); #471055=DIRECTION('',(0.508388546555439,-0.861127798721681,0.)); #471056=DIRECTION('',(0.,0.,-1.)); #471057=DIRECTION('',(-0.508388546555439,0.861127798721681,0.)); #471058=DIRECTION('center_axis',(0.,-1.,0.)); #471059=DIRECTION('ref_axis',(-1.,0.,0.)); #471060=DIRECTION('',(1.,0.,0.)); #471061=DIRECTION('',(-1.,0.,0.)); #471062=DIRECTION('center_axis',(0.,-1.,0.)); #471063=DIRECTION('ref_axis',(1.,0.,0.)); #471064=DIRECTION('',(-1.,0.,0.)); #471065=DIRECTION('',(0.,0.,1.)); #471066=DIRECTION('',(1.,0.,0.)); #471067=DIRECTION('',(0.,0.,1.)); #471068=DIRECTION('center_axis',(1.,0.,0.)); #471069=DIRECTION('ref_axis',(0.,1.,0.)); #471070=DIRECTION('',(0.,-1.,0.)); #471071=DIRECTION('',(0.,1.,0.)); #471072=DIRECTION('',(0.,0.,1.)); #471073=DIRECTION('center_axis',(0.,1.,0.)); #471074=DIRECTION('ref_axis',(-1.,0.,0.)); #471075=DIRECTION('',(1.,0.,0.)); #471076=DIRECTION('',(-1.,0.,0.)); #471077=DIRECTION('',(0.,0.,1.)); #471078=DIRECTION('center_axis',(-1.,0.,0.)); #471079=DIRECTION('ref_axis',(0.,-1.,0.)); #471080=DIRECTION('',(0.,1.,0.)); #471081=DIRECTION('',(0.,-1.,0.)); #471082=DIRECTION('center_axis',(0.,0.,1.)); #471083=DIRECTION('ref_axis',(1.,0.,0.)); #471084=DIRECTION('center_axis',(0.,0.,-1.)); #471085=DIRECTION('ref_axis',(1.,0.,0.)); #471086=DIRECTION('',(0.,0.,-1.)); #471087=DIRECTION('center_axis',(0.,0.,1.)); #471088=DIRECTION('ref_axis',(1.,0.,0.)); #471089=DIRECTION('center_axis',(0.,-1.,0.)); #471090=DIRECTION('ref_axis',(1.,0.,0.)); #471091=DIRECTION('',(1.,0.,0.)); #471092=DIRECTION('',(0.,0.,1.)); #471093=DIRECTION('',(1.,0.,0.)); #471094=DIRECTION('',(0.,0.,1.)); #471095=DIRECTION('center_axis',(0.,0.,1.)); #471096=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #471097=DIRECTION('center_axis',(0.,0.,1.)); #471098=DIRECTION('ref_axis',(1.,0.,0.)); #471099=DIRECTION('center_axis',(0.,0.,1.)); #471100=DIRECTION('ref_axis',(1.,0.,0.)); #471101=DIRECTION('',(0.,0.,-1.)); #471102=DIRECTION('center_axis',(0.,1.,0.)); #471103=DIRECTION('ref_axis',(-1.,0.,0.)); #471104=DIRECTION('',(-1.,0.,0.)); #471105=DIRECTION('',(-1.,0.,0.)); #471106=DIRECTION('',(0.,0.,-1.)); #471107=DIRECTION('center_axis',(0.,0.,1.)); #471108=DIRECTION('ref_axis',(1.,0.,0.)); #471109=DIRECTION('center_axis',(0.,0.,1.)); #471110=DIRECTION('ref_axis',(1.,0.,0.)); #471111=DIRECTION('center_axis',(0.,0.,1.)); #471112=DIRECTION('ref_axis',(1.,0.,0.)); #471113=DIRECTION('center_axis',(0.,0.,-1.)); #471114=DIRECTION('ref_axis',(1.,0.,0.)); #471115=DIRECTION('center_axis',(0.,0.,-1.)); #471116=DIRECTION('ref_axis',(1.,0.,0.)); #471117=DIRECTION('',(0.,0.,1.)); #471118=DIRECTION('',(1.,0.,0.)); #471119=DIRECTION('',(0.,0.,-1.)); #471120=DIRECTION('',(1.,0.,0.)); #471121=DIRECTION('axis',(0.,0.,1.)); #471122=DIRECTION('refdir',(1.,0.,0.)); #471123=DIRECTION('axis',(0.,0.,1.)); #471124=DIRECTION('refdir',(1.,0.,0.)); #471125=DIRECTION('center_axis',(0.,0.,-1.)); #471126=DIRECTION('ref_axis',(-1.,0.,0.)); #471127=DIRECTION('center_axis',(0.,0.,-1.)); #471128=DIRECTION('ref_axis',(-1.,0.,0.)); #471129=DIRECTION('center_axis',(0.,0.,-1.)); #471130=DIRECTION('ref_axis',(-1.,0.,0.)); #471131=DIRECTION('center_axis',(0.,0.,-1.)); #471132=DIRECTION('ref_axis',(-1.,0.,0.)); #471133=DIRECTION('center_axis',(0.,0.,-1.)); #471134=DIRECTION('ref_axis',(-1.,0.,0.)); #471135=DIRECTION('center_axis',(0.,0.,-1.)); #471136=DIRECTION('ref_axis',(-1.,0.,0.)); #471137=DIRECTION('center_axis',(0.,0.,-1.)); #471138=DIRECTION('ref_axis',(-1.,0.,0.)); #471139=DIRECTION('center_axis',(0.,0.,-1.)); #471140=DIRECTION('ref_axis',(-1.,0.,0.)); #471141=DIRECTION('center_axis',(0.,0.,-1.)); #471142=DIRECTION('ref_axis',(-1.,0.,0.)); #471143=DIRECTION('center_axis',(0.,0.,1.)); #471144=DIRECTION('ref_axis',(1.,0.,0.)); #471145=DIRECTION('',(-4.44089209850063E-16,-1.,0.)); #471146=DIRECTION('center_axis',(0.,0.,1.)); #471147=DIRECTION('ref_axis',(-1.,6.3441315692866E-16,0.)); #471148=DIRECTION('',(1.,-1.48029736616688E-16,0.)); #471149=DIRECTION('center_axis',(0.,0.,1.)); #471150=DIRECTION('ref_axis',(-6.3441315692866E-16,-1.,0.)); #471151=DIRECTION('',(0.,1.,0.)); #471152=DIRECTION('center_axis',(0.,0.,1.)); #471153=DIRECTION('ref_axis',(1.,6.3441315692866E-16,0.)); #471154=DIRECTION('',(-1.,0.,0.)); #471155=DIRECTION('center_axis',(0.,0.,1.)); #471156=DIRECTION('ref_axis',(-9.5161973539299E-16,1.,0.)); #471157=DIRECTION('',(-0.29557883504027,0.0671770079637245,-0.952953515066333)); #471158=DIRECTION('center_axis',(0.,0.,1.)); #471159=DIRECTION('ref_axis',(-1.,0.,0.)); #471160=DIRECTION('',(-0.282744788589118,-0.11576182017847,-0.95218411324421)); #471161=DIRECTION('center_axis',(0.,0.,1.)); #471162=DIRECTION('ref_axis',(-1.,0.,0.)); #471163=DIRECTION('',(-0.197043814465196,-0.329766221077449,-0.923270260875603)); #471164=DIRECTION('center_axis',(0.,0.,1.)); #471165=DIRECTION('ref_axis',(-1.,0.,0.)); #471166=DIRECTION('',(0.233033333753111,-0.29706802864551,-0.925983829079418)); #471167=DIRECTION('center_axis',(0.,0.,1.)); #471168=DIRECTION('ref_axis',(-1.,0.,0.)); #471169=DIRECTION('',(0.295578835040344,-0.0671770079636881,-0.952953515066312)); #471170=DIRECTION('center_axis',(0.,0.,1.)); #471171=DIRECTION('ref_axis',(-1.,0.,0.)); #471172=DIRECTION('center_axis',(0.,0.,1.)); #471173=DIRECTION('ref_axis',(-1.,0.,0.)); #471174=DIRECTION('',(0.295578835040344,0.0671770079637218,-0.95295351506631)); #471175=DIRECTION('center_axis',(0.,0.,1.)); #471176=DIRECTION('ref_axis',(-1.,0.,0.)); #471177=DIRECTION('',(0.225597230150551,0.304207380074086,-0.925507298543269)); #471178=DIRECTION('center_axis',(0.,0.,1.)); #471179=DIRECTION('ref_axis',(-1.,0.,0.)); #471180=DIRECTION('',(-0.225597230150475,0.304207380074093,-0.925507298543285)); #471181=DIRECTION('center_axis',(0.,0.,1.)); #471182=DIRECTION('ref_axis',(-1.,0.,0.)); #471183=DIRECTION('center_axis',(0.,0.,1.)); #471184=DIRECTION('ref_axis',(-1.,0.,0.)); #471185=DIRECTION('center_axis',(0.,0.,-1.)); #471186=DIRECTION('ref_axis',(-1.,0.,0.)); #471187=DIRECTION('',(-0.707106781186549,-8.65956056235495E-17,-0.707106781186547)); #471188=DIRECTION('center_axis',(0.,0.,1.)); #471189=DIRECTION('ref_axis',(-1.,0.,0.)); #471190=DIRECTION('center_axis',(0.,0.,1.)); #471191=DIRECTION('ref_axis',(-1.,0.,0.)); #471192=DIRECTION('center_axis',(0.,0.,-1.)); #471193=DIRECTION('ref_axis',(-1.,0.,0.)); #471194=DIRECTION('',(0.,0.,-1.)); #471195=DIRECTION('center_axis',(0.,0.,1.)); #471196=DIRECTION('ref_axis',(-1.,0.,0.)); #471197=DIRECTION('center_axis',(0.,0.,-1.)); #471198=DIRECTION('ref_axis',(-1.,0.,0.)); #471199=DIRECTION('center_axis',(0.,0.,1.)); #471200=DIRECTION('ref_axis',(-1.,0.,0.)); #471201=DIRECTION('',(0.,0.,-1.)); #471202=DIRECTION('center_axis',(0.,0.,1.)); #471203=DIRECTION('ref_axis',(-1.,0.,0.)); #471204=DIRECTION('center_axis',(0.,0.,1.)); #471205=DIRECTION('ref_axis',(-1.,0.,0.)); #471206=DIRECTION('center_axis',(0.,0.,1.)); #471207=DIRECTION('ref_axis',(-1.,0.,0.)); #471208=DIRECTION('',(0.,0.,-1.)); #471209=DIRECTION('center_axis',(0.,0.,1.)); #471210=DIRECTION('ref_axis',(-1.,0.,0.)); #471211=DIRECTION('center_axis',(0.,0.,1.)); #471212=DIRECTION('ref_axis',(-1.,0.,0.)); #471213=DIRECTION('',(0.,0.,1.)); #471214=DIRECTION('center_axis',(0.,0.,1.)); #471215=DIRECTION('ref_axis',(-1.,0.,0.)); #471216=DIRECTION('center_axis',(0.,0.,-1.)); #471217=DIRECTION('ref_axis',(-1.,0.,0.)); #471218=DIRECTION('center_axis',(0.,0.,-1.)); #471219=DIRECTION('ref_axis',(-1.,0.,0.)); #471220=DIRECTION('center_axis',(0.,0.,1.)); #471221=DIRECTION('ref_axis',(-1.,0.,0.)); #471222=DIRECTION('center_axis',(0.,0.,1.)); #471223=DIRECTION('ref_axis',(-1.,0.,0.)); #471224=DIRECTION('',(0.,0.,1.)); #471225=DIRECTION('center_axis',(0.,0.,1.)); #471226=DIRECTION('ref_axis',(-1.,0.,0.)); #471227=DIRECTION('center_axis',(0.,0.,-1.)); #471228=DIRECTION('ref_axis',(-1.,0.,0.)); #471229=DIRECTION('center_axis',(0.,0.,-1.)); #471230=DIRECTION('ref_axis',(-1.,0.,0.)); #471231=DIRECTION('center_axis',(0.,0.,1.)); #471232=DIRECTION('ref_axis',(-1.,0.,0.)); #471233=DIRECTION('center_axis',(0.,0.,1.)); #471234=DIRECTION('ref_axis',(-1.,0.,0.)); #471235=DIRECTION('',(0.,0.,1.)); #471236=DIRECTION('center_axis',(0.,0.,1.)); #471237=DIRECTION('ref_axis',(-1.,0.,0.)); #471238=DIRECTION('center_axis',(0.,0.,-1.)); #471239=DIRECTION('ref_axis',(-1.,0.,0.)); #471240=DIRECTION('center_axis',(0.,0.,-1.)); #471241=DIRECTION('ref_axis',(-1.,0.,0.)); #471242=DIRECTION('center_axis',(0.,0.,1.)); #471243=DIRECTION('ref_axis',(-1.,0.,0.)); #471244=DIRECTION('center_axis',(0.,0.,1.)); #471245=DIRECTION('ref_axis',(-1.,0.,0.)); #471246=DIRECTION('',(0.,0.,1.)); #471247=DIRECTION('center_axis',(0.,0.,1.)); #471248=DIRECTION('ref_axis',(-1.,0.,0.)); #471249=DIRECTION('center_axis',(0.,0.,-1.)); #471250=DIRECTION('ref_axis',(-1.,0.,0.)); #471251=DIRECTION('center_axis',(0.,0.,-1.)); #471252=DIRECTION('ref_axis',(-1.,0.,0.)); #471253=DIRECTION('',(0.,0.,1.)); #471254=DIRECTION('',(1.,0.,0.)); #471255=DIRECTION('',(0.,0.,1.)); #471256=DIRECTION('',(1.,0.,0.)); #471257=DIRECTION('',(0.,0.,1.)); #471258=DIRECTION('',(1.,0.,0.)); #471259=DIRECTION('',(0.,0.,1.)); #471260=DIRECTION('',(1.,0.,0.)); #471261=DIRECTION('center_axis',(0.,0.,1.)); #471262=DIRECTION('ref_axis',(1.,0.,0.)); #471263=CARTESIAN_POINT('',(0.,0.,0.)); #471264=CARTESIAN_POINT('',(0.,0.,0.)); #471265=CARTESIAN_POINT('',(0.,0.,0.)); #471266=CARTESIAN_POINT('Origin',(0.,0.,-2.195754495236)); #471267=CARTESIAN_POINT('',(15.,1.00192587078112E-12,8.)); #471268=CARTESIAN_POINT('',(15.,5.4850064442175E-12,7.12544895083373)); #471269=CARTESIAN_POINT('',(15.,1.00192587078112E-12,-2.195754495236)); #471270=CARTESIAN_POINT('',(14.9417110028623,-1.32108081723345,8.00001322140626)); #471271=CARTESIAN_POINT('Origin',(0.,0.,8.)); #471272=CARTESIAN_POINT('',(14.99368775891,-0.4351176900248,7.464544251463)); #471273=CARTESIAN_POINT('Ctrl Pts',(14.9936877583816,-0.435117690009463, 7.464544251463)); #471274=CARTESIAN_POINT('Ctrl Pts',(14.9909797312447,-0.528433393468313, 7.532118674048)); #471275=CARTESIAN_POINT('Ctrl Pts',(14.9873485301414,-0.623532496766485, 7.59713429248999)); #471276=CARTESIAN_POINT('Ctrl Pts',(14.9826898839914,-0.720419211392161, 7.66003683076315)); #471277=CARTESIAN_POINT('Ctrl Pts',(14.9780312378413,-0.817305926017877, 7.72293936903633)); #471278=CARTESIAN_POINT('Ctrl Pts',(14.9723444885833,-0.915979991110032, 7.78372882714067)); #471279=CARTESIAN_POINT('Ctrl Pts',(14.965528742483,-1.01634121136276,7.84106858175235)); #471280=CARTESIAN_POINT('Ctrl Pts',(14.9609950329042,-1.08309965847981, 7.87920993721125)); #471281=CARTESIAN_POINT('Ctrl Pts',(14.9559575346548,-1.1506045510079,7.91582491376972)); #471282=CARTESIAN_POINT('Ctrl Pts',(14.9504768377901,-1.21788436343644, 7.95057604414077)); #471283=CARTESIAN_POINT('Ctrl Pts',(14.9478067557826,-1.25066169468946, 7.96750607516305)); #471284=CARTESIAN_POINT('Ctrl Pts',(14.9450322697152,-1.28338565621129, 7.98399373410833)); #471285=CARTESIAN_POINT('Ctrl Pts',(14.9421648591264,-1.31594427035827, 8.)); #471286=CARTESIAN_POINT('Ctrl Pts',(15.,7.34351978744002E-12,7.12544895083594)); #471287=CARTESIAN_POINT('Ctrl Pts',(15.,-0.0328645177238803,7.15313012649096)); #471288=CARTESIAN_POINT('Ctrl Pts',(14.999895513181,-0.0650167418784703, 7.17961036387732)); #471289=CARTESIAN_POINT('Ctrl Pts',(14.9996794039148,-0.0980702797773122, 7.20643128327261)); #471290=CARTESIAN_POINT('Ctrl Pts',(14.9995014754271,-0.125284133734607, 7.22851365719752)); #471291=CARTESIAN_POINT('Ctrl Pts',(14.9992444233065,-0.153108926483966, 7.25082696790726)); #471292=CARTESIAN_POINT('Ctrl Pts',(14.9989084489215,-0.180956737569892, 7.27283023112623)); #471293=CARTESIAN_POINT('Ctrl Pts',(14.9985724745364,-0.208804548655831, 7.29483349434522)); #471294=CARTESIAN_POINT('Ctrl Pts',(14.9981589695565,-0.236675401827603, 7.31652671007345)); #471295=CARTESIAN_POINT('Ctrl Pts',(14.9976636214249,-0.264737414406574, 7.33801457989019)); #471296=CARTESIAN_POINT('Ctrl Pts',(14.9966729253417,-0.320861429368583, 7.38099031171636)); #471297=CARTESIAN_POINT('Ctrl Pts',(14.9953525713632,-0.377750024670225, 7.423144660195)); #471298=CARTESIAN_POINT('Ctrl Pts',(14.9936877583816,-0.435117690009459, 7.464544251463)); #471299=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #471300=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,23.00424550476)); #471301=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,22.00424550476)); #471302=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,23.00424550476)); #471303=CARTESIAN_POINT('',(-24.,-10.,23.00424550476)); #471304=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #471305=CARTESIAN_POINT('',(-24.,-10.,22.00424550476)); #471306=CARTESIAN_POINT('',(-24.,-10.,22.00424550476)); #471307=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #471308=CARTESIAN_POINT('Origin',(-21.87644714648,-15.5124861158,16.00424550476)); #471309=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,22.00424550476)); #471310=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,22.00424550476)); #471311=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,16.50424550476)); #471312=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,22.00424550476)); #471313=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,16.50424550476)); #471314=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,16.50424550476)); #471315=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,15.50424550476)); #471316=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,16.50424550476)); #471317=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,15.50424550476)); #471318=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,15.50424550476)); #471319=CARTESIAN_POINT('',(-20.5709137770151,-14.2069527475515,7.00424550476252)); #471320=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,15.50424550476)); #471321=CARTESIAN_POINT('',(-21.2892994769658,-14.925338447502,4.00424550476543)); #471322=CARTESIAN_POINT('Origin',(5550.6286965141,5497.44832247386,-2648.58926862508)); #471323=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,4.004245504764)); #471324=CARTESIAN_POINT('',(-21.28929947757,-14.92533844689,4.004245504764)); #471325=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,28.00424550476)); #471326=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,4.004245504764)); #471327=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,28.00424550476)); #471328=CARTESIAN_POINT('',(-23.18198051534,-16.81801948466,28.00424550476)); #471329=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,23.00424550476)); #471330=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,28.00424550476)); #471331=CARTESIAN_POINT('',(-20.57091377762,-14.20695274694,23.00424550476)); #471332=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,23.00424550476)); #471333=CARTESIAN_POINT('',(-22.91287847478,-10.,23.00424550476)); #471334=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #471335=CARTESIAN_POINT('',(-22.91287847478,-10.,23.00424550476)); #471336=CARTESIAN_POINT('Origin',(-23.90643923739,-10.,16.00424550476)); #471337=CARTESIAN_POINT('',(-24.9,-10.,28.00424550476)); #471338=CARTESIAN_POINT('',(-24.9,-10.,4.004245504764)); #471339=CARTESIAN_POINT('',(-24.9,-10.,28.00424550476)); #471340=CARTESIAN_POINT('',(-24.,-10.,4.004245504764)); #471341=CARTESIAN_POINT('',(-24.9,-10.,4.004245504764)); #471342=CARTESIAN_POINT('',(-22.91287847478,-10.,7.004245504761)); #471343=CARTESIAN_POINT('Ctrl Pts',(-23.9999999999999,-10.,4.00424550476396)); #471344=CARTESIAN_POINT('Ctrl Pts',(-23.445781183221,-10.,5.53900530507411)); #471345=CARTESIAN_POINT('Ctrl Pts',(-22.9128784747797,-10.,7.00424550476089)); #471346=CARTESIAN_POINT('',(-22.91287847478,-10.,15.50424550476)); #471347=CARTESIAN_POINT('',(-22.91287847478,-10.,7.004245504761)); #471348=CARTESIAN_POINT('',(-24.,-10.,15.50424550476)); #471349=CARTESIAN_POINT('',(-24.,-10.,15.50424550476)); #471350=CARTESIAN_POINT('',(-24.,-10.,16.50424550476)); #471351=CARTESIAN_POINT('',(-24.,-10.,15.50424550476)); #471352=CARTESIAN_POINT('',(-22.91287847478,-10.,16.50424550476)); #471353=CARTESIAN_POINT('',(-22.91287847478,-10.,16.50424550476)); #471354=CARTESIAN_POINT('',(-22.91287847478,-10.,22.00424550476)); #471355=CARTESIAN_POINT('',(-22.91287847478,-10.,16.50424550476)); #471356=CARTESIAN_POINT('',(-24.,-10.,22.00424550476)); #471357=CARTESIAN_POINT('',(-22.91287847478,-9.999999999999,28.00424550476)); #471358=CARTESIAN_POINT('',(-22.91287847478,-10.,23.00424550476)); #471359=CARTESIAN_POINT('',(-22.91287847478,-10.,28.00424550476)); #471360=CARTESIAN_POINT('Origin',(-24.9,-1.996625087486E-12,16.00424550476)); #471361=CARTESIAN_POINT('',(-24.9,9.999999999999,28.00424550476)); #471362=CARTESIAN_POINT('',(-24.9,9.999999999999,4.004245504764)); #471363=CARTESIAN_POINT('',(-24.9,9.999999999999,28.00424550476)); #471364=CARTESIAN_POINT('',(-24.9,9.999999999999,4.004245504764)); #471365=CARTESIAN_POINT('',(-24.9,-10.,28.00424550476)); #471366=CARTESIAN_POINT('Origin',(-23.90643923739,9.999999999999,16.00424550476)); #471367=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,28.00424550476)); #471368=CARTESIAN_POINT('',(-24.9,9.999999999999,28.00424550476)); #471369=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,23.00424550476)); #471370=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,28.00424550476)); #471371=CARTESIAN_POINT('',(-24.,10.,23.00424550476)); #471372=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,23.00424550476)); #471373=CARTESIAN_POINT('',(-24.,10.,22.00424550476)); #471374=CARTESIAN_POINT('',(-24.,10.,23.00424550476)); #471375=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,22.00424550476)); #471376=CARTESIAN_POINT('',(-24.,10.,22.00424550476)); #471377=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,16.50424550476)); #471378=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,22.00424550476)); #471379=CARTESIAN_POINT('',(-24.,9.999999999999,16.50424550476)); #471380=CARTESIAN_POINT('',(-24.,9.999999999999,16.50424550476)); #471381=CARTESIAN_POINT('',(-24.,10.,15.50424550476)); #471382=CARTESIAN_POINT('',(-24.,9.999999999999,16.50424550476)); #471383=CARTESIAN_POINT('',(-22.91287847478,10.,15.50424550476)); #471384=CARTESIAN_POINT('',(-22.91287847478,10.,15.50424550476)); #471385=CARTESIAN_POINT('',(-22.91287847478,9.999999999999,7.004245504761)); #471386=CARTESIAN_POINT('',(-22.91287847478,10.,15.50424550476)); #471387=CARTESIAN_POINT('',(-24.,9.999999999999,4.004245504764)); #471388=CARTESIAN_POINT('Ctrl Pts',(-22.9128784747798,9.999999999999,7.00424550476092)); #471389=CARTESIAN_POINT('Ctrl Pts',(-23.4457811832211,9.999999999999,5.5390053050741)); #471390=CARTESIAN_POINT('Ctrl Pts',(-24.,9.999999999999,4.00424550476399)); #471391=CARTESIAN_POINT('',(-24.,9.999999999999,4.004245504764)); #471392=CARTESIAN_POINT('Origin',(4.973799150321E-13,-2.999378523327E-12, 4.004245504764)); #471393=CARTESIAN_POINT('',(24.4,-11.,4.004245504764)); #471394=CARTESIAN_POINT('',(24.10912690248,-11.,4.004245504764)); #471395=CARTESIAN_POINT('',(24.4,-11.,4.004245504764)); #471396=CARTESIAN_POINT('',(25.,-10.4,4.004245504764)); #471397=CARTESIAN_POINT('Origin',(24.4,-10.4,4.004245504764)); #471398=CARTESIAN_POINT('',(25.,20.,4.004245504764)); #471399=CARTESIAN_POINT('',(25.,-10.4,4.004245504764)); #471400=CARTESIAN_POINT('',(20.,25.,4.004245504764)); #471401=CARTESIAN_POINT('Origin',(20.,20.,4.004245504764)); #471402=CARTESIAN_POINT('',(-20.,25.,4.004245504764)); #471403=CARTESIAN_POINT('',(-20.,25.,4.004245504764)); #471404=CARTESIAN_POINT('',(-25.,20.,4.004245504764)); #471405=CARTESIAN_POINT('Origin',(-20.,20.,4.004245504764)); #471406=CARTESIAN_POINT('',(-25.,-20.,4.004245504764)); #471407=CARTESIAN_POINT('',(-25.,-20.,4.004245504764)); #471408=CARTESIAN_POINT('',(-20.,-25.,4.004245504764)); #471409=CARTESIAN_POINT('Origin',(-20.,-20.,4.004245504764)); #471410=CARTESIAN_POINT('',(20.,-25.,4.004245504764)); #471411=CARTESIAN_POINT('',(20.,-25.,4.004245504764)); #471412=CARTESIAN_POINT('',(25.,-20.,4.004245504764)); #471413=CARTESIAN_POINT('Origin',(20.,-20.,4.004245504764)); #471414=CARTESIAN_POINT('',(25.,-12.89984585655,4.004245504764)); #471415=CARTESIAN_POINT('',(25.,-12.89984585655,4.004245504764)); #471416=CARTESIAN_POINT('',(24.4135995728,-12.3,4.004245504764)); #471417=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,4.004245504764)); #471418=CARTESIAN_POINT('',(24.,-13.73610252712,4.004245504764)); #471419=CARTESIAN_POINT('',(24.,-13.73610252712,4.004245504764)); #471420=CARTESIAN_POINT('',(24.,-16.31801948466,4.004245504764)); #471421=CARTESIAN_POINT('',(24.,-16.31801948466,4.004245504764)); #471422=CARTESIAN_POINT('',(23.5,-16.81801948466,4.004245504764)); #471423=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,4.004245504764)); #471424=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,4.004245504764)); #471425=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,4.004245504764)); #471426=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,4.004245504764)); #471427=CARTESIAN_POINT('Origin',(22.65165042945,-17.34834957055,4.004245504764)); #471428=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,4.004245504764)); #471429=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,4.004245504764)); #471430=CARTESIAN_POINT('',(19.6551090998436,-17.0198908980658,4.00424550605283)); #471431=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471432=CARTESIAN_POINT('',(17.01989089636,-19.65510910364,4.004245504763)); #471433=CARTESIAN_POINT('Origin',(20.,-20.,4.004245504764)); #471434=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,4.004245504764)); #471435=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #471436=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,4.004245504764)); #471437=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,4.004245504764)); #471438=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,4.004245504764)); #471439=CARTESIAN_POINT('Origin',(17.34834957055,-22.65165042945,4.004245504764)); #471440=CARTESIAN_POINT('',(14.9253384463864,-21.2892994780705,4.00424550476296)); #471441=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,4.004245504764)); #471442=CARTESIAN_POINT('',(10.,-24.,4.004245504764)); #471443=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471444=CARTESIAN_POINT('',(10.,-24.9,4.004245504764)); #471445=CARTESIAN_POINT('',(10.,-24.9,4.004245504764)); #471446=CARTESIAN_POINT('',(-10.,-24.9,4.004245504764)); #471447=CARTESIAN_POINT('',(-10.,-24.9,4.004245504764)); #471448=CARTESIAN_POINT('',(-10.,-24.,4.004245504764)); #471449=CARTESIAN_POINT('',(-10.,-24.,4.004245504764)); #471450=CARTESIAN_POINT('',(-14.9253384463296,-21.2892994781322,4.00424550476389)); #471451=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471452=CARTESIAN_POINT('',(-16.81801948466,-23.18198051534,4.004245504764)); #471453=CARTESIAN_POINT('',(-16.81801948466,-23.18198051534,4.004245504764)); #471454=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,4.004245504764)); #471455=CARTESIAN_POINT('Origin',(-17.34834957055,-22.65165042945,4.004245504764)); #471456=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,4.004245504764)); #471457=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,4.004245504764)); #471458=CARTESIAN_POINT('',(-17.0198908980658,-19.6551090998436,4.00424550605283)); #471459=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471460=CARTESIAN_POINT('',(-19.65510910364,-17.01989089636,4.004245504763)); #471461=CARTESIAN_POINT('Origin',(-20.,-20.,4.004245504764)); #471462=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,4.004245504764)); #471463=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #471464=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,4.004245504764)); #471465=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,4.004245504764)); #471466=CARTESIAN_POINT('Origin',(-22.65165042945,-17.34834957055,4.004245504764)); #471467=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471468=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,4.004245504764)); #471469=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471470=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,4.004245504764)); #471471=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,4.004245504764)); #471472=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,4.004245504764)); #471473=CARTESIAN_POINT('Origin',(-22.65165042945,17.34834957055,4.004245504764)); #471474=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,4.004245504764)); #471475=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,4.004245504764)); #471476=CARTESIAN_POINT('',(-19.6551090998436,17.0198908980658,4.00424550605283)); #471477=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471478=CARTESIAN_POINT('',(-17.01989089636,19.65510910364,4.004245504763)); #471479=CARTESIAN_POINT('Origin',(-20.,20.,4.004245504764)); #471480=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,4.004245504764)); #471481=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #471482=CARTESIAN_POINT('',(-17.87867965644,22.12132034356,4.004245504764)); #471483=CARTESIAN_POINT('',(-17.87867965644,22.12132034356,4.004245504764)); #471484=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,4.004245504764)); #471485=CARTESIAN_POINT('Origin',(-17.34834957055,22.65165042945,4.004245504764)); #471486=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,4.004245504764)); #471487=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,4.004245504764)); #471488=CARTESIAN_POINT('',(-10.,24.,4.004245504764)); #471489=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471490=CARTESIAN_POINT('',(-10.,24.9,4.004245504764)); #471491=CARTESIAN_POINT('',(-10.,24.9,4.004245504764)); #471492=CARTESIAN_POINT('',(10.,24.9,4.004245504764)); #471493=CARTESIAN_POINT('',(10.,24.9,4.004245504764)); #471494=CARTESIAN_POINT('',(10.,24.,4.004245504764)); #471495=CARTESIAN_POINT('',(10.,24.,4.004245504764)); #471496=CARTESIAN_POINT('',(14.9253384471601,21.2892994773025,4.00424550476427)); #471497=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471498=CARTESIAN_POINT('',(16.81801948466,23.18198051534,4.004245504764)); #471499=CARTESIAN_POINT('',(16.81801948466,23.18198051534,4.004245504764)); #471500=CARTESIAN_POINT('',(17.87867965644,22.12132034356,4.004245504764)); #471501=CARTESIAN_POINT('Origin',(17.34834957055,22.65165042945,4.004245504764)); #471502=CARTESIAN_POINT('',(16.14066202419,20.38330271131,4.004245504764)); #471503=CARTESIAN_POINT('',(16.14066202419,20.38330271131,4.004245504764)); #471504=CARTESIAN_POINT('',(17.0198908980658,19.6551090998436,4.00424550605283)); #471505=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471506=CARTESIAN_POINT('',(19.65510910364,17.01989089636,4.004245504763)); #471507=CARTESIAN_POINT('Origin',(20.,20.,4.004245504764)); #471508=CARTESIAN_POINT('',(20.38330271131,16.14066202419,4.004245504764)); #471509=CARTESIAN_POINT('Origin',(0.,0.,4.004245504763)); #471510=CARTESIAN_POINT('',(22.12132034356,17.87867965644,4.004245504764)); #471511=CARTESIAN_POINT('',(22.12132034356,17.87867965644,4.004245504764)); #471512=CARTESIAN_POINT('',(23.18198051534,16.81801948466,4.004245504764)); #471513=CARTESIAN_POINT('Origin',(22.65165042945,17.34834957055,4.004245504764)); #471514=CARTESIAN_POINT('',(21.2892994778575,14.9253384466009,4.00424550476315)); #471515=CARTESIAN_POINT('',(21.28929947757,14.92533844689,4.004245504764)); #471516=CARTESIAN_POINT('',(24.,10.,4.004245504764)); #471517=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471518=CARTESIAN_POINT('',(24.9,10.,4.004245504764)); #471519=CARTESIAN_POINT('',(24.9,10.,4.004245504764)); #471520=CARTESIAN_POINT('',(24.9,-10.,4.004245504764)); #471521=CARTESIAN_POINT('',(24.9,-10.,4.004245504764)); #471522=CARTESIAN_POINT('',(22.9100000008095,-10.0000000003369,4.004245504764)); #471523=CARTESIAN_POINT('',(22.91033397136,-10.,4.004245504764)); #471524=CARTESIAN_POINT('',(21.99697461715,-9.086974617145,4.004245504764)); #471525=CARTESIAN_POINT('',(14.4545005300104,-1.54450052999874,4.004245504764)); #471526=CARTESIAN_POINT('',(19.9513353351447,-12.9762944649641,4.00424550709039)); #471527=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #471528=CARTESIAN_POINT('',(20.5709137776217,-14.2069527469477,4.00424551736603)); #471529=CARTESIAN_POINT('',(20.57091377762,-14.20695274695,4.004245517785)); #471530=CARTESIAN_POINT('',(21.9436435546513,-15.5796825239713,4.00424550655511)); #471531=CARTESIAN_POINT('',(21.94364355465,-15.57968252397,4.004245508484)); #471532=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,4.004245504764)); #471533=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,4.004245504764)); #471534=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #471535=CARTESIAN_POINT('',(22.25,20.,4.004245504764)); #471536=CARTESIAN_POINT('Origin',(20.,20.,4.004245504764)); #471537=CARTESIAN_POINT('',(-17.75,-20.,4.004245504764)); #471538=CARTESIAN_POINT('Origin',(-20.,-20.,4.004245504764)); #471539=CARTESIAN_POINT('',(-17.75,20.,4.004245504764)); #471540=CARTESIAN_POINT('Origin',(-20.,20.,4.004245504764)); #471541=CARTESIAN_POINT('',(22.25,-20.,4.004245504764)); #471542=CARTESIAN_POINT('Origin',(20.,-20.,4.004245504764)); #471543=CARTESIAN_POINT('Origin',(24.25456345124,-11.,2.004245504764)); #471544=CARTESIAN_POINT('',(24.10912690248,-11.,0.00424550476367)); #471545=CARTESIAN_POINT('',(24.4,-11.,0.00424550476367)); #471546=CARTESIAN_POINT('',(24.10912690248,-11.,0.00424550476367)); #471547=CARTESIAN_POINT('',(24.4,-11.,0.00424550476367)); #471548=CARTESIAN_POINT('',(24.10912690248,-11.,4.004245504764)); #471549=CARTESIAN_POINT('Origin',(4.902744876745E-13,-3.001154880167E-12, 0.00424550476367)); #471550=CARTESIAN_POINT('',(25.,-10.4,0.00424550476367)); #471551=CARTESIAN_POINT('',(25.,20.,0.00424550476367)); #471552=CARTESIAN_POINT('',(25.,-10.4,0.00424550476367)); #471553=CARTESIAN_POINT('Origin',(24.4,-10.4,0.004245504763669)); #471554=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,0.004245504763669)); #471555=CARTESIAN_POINT('Origin',(0.,0.,0.004245504763669)); #471556=CARTESIAN_POINT('',(21.94364355464,-15.57968252396,0.004245504763671)); #471557=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,0.004245504763669)); #471558=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,0.004245504763672)); #471559=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,0.004245504763672)); #471560=CARTESIAN_POINT('',(23.5,-16.81801948466,0.00424550476367)); #471561=CARTESIAN_POINT('',(23.5,-16.81801948466,0.00424550476367)); #471562=CARTESIAN_POINT('',(24.,-16.31801948466,0.00424550476367)); #471563=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,0.00424550476367)); #471564=CARTESIAN_POINT('',(24.,-13.73610252712,0.00424550476367)); #471565=CARTESIAN_POINT('',(24.,-13.73610252712,0.00424550476367)); #471566=CARTESIAN_POINT('',(24.4135995728,-12.3,0.00424550476367)); #471567=CARTESIAN_POINT('',(24.4135995728,-12.3,0.00424550476367)); #471568=CARTESIAN_POINT('',(25.,-12.89984585655,0.00424550476367)); #471569=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,0.00424550476367)); #471570=CARTESIAN_POINT('',(25.,-20.,0.004245504763669)); #471571=CARTESIAN_POINT('',(25.,-20.,0.00424550476367)); #471572=CARTESIAN_POINT('',(20.,-25.,0.004245504763669)); #471573=CARTESIAN_POINT('Origin',(20.,-20.,0.004245504763669)); #471574=CARTESIAN_POINT('',(-20.,-25.,0.004245504763669)); #471575=CARTESIAN_POINT('',(-20.,-25.,0.004245504763669)); #471576=CARTESIAN_POINT('',(-25.,-20.,0.00424550476367)); #471577=CARTESIAN_POINT('Origin',(-20.,-20.,0.004245504763669)); #471578=CARTESIAN_POINT('',(-25.,20.,0.004245504763669)); #471579=CARTESIAN_POINT('',(-25.,20.,0.00424550476367)); #471580=CARTESIAN_POINT('',(-20.,25.,0.00424550476367)); #471581=CARTESIAN_POINT('Origin',(-20.,20.,0.004245504763669)); #471582=CARTESIAN_POINT('',(20.,25.,0.004245504763669)); #471583=CARTESIAN_POINT('',(20.,25.,0.00424550476367)); #471584=CARTESIAN_POINT('Origin',(20.,20.,0.004245504763669)); #471585=CARTESIAN_POINT('',(-19.6025424858,-16.7743950142,0.004245504764626)); #471586=CARTESIAN_POINT('',(-23.8,-9.959919678392,0.004245504763998)); #471587=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764626)); #471588=CARTESIAN_POINT('',(-16.7743950142,-19.6025424858,0.004245504764242)); #471589=CARTESIAN_POINT('Origin',(-20.,-20.,0.00424550476367)); #471590=CARTESIAN_POINT('',(-9.959919678391,-23.8,0.004245504763835)); #471591=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764242)); #471592=CARTESIAN_POINT('',(9.959919678393,-23.8,0.004245504765606)); #471593=CARTESIAN_POINT('',(9.959919678391,-23.8,0.00424550476429)); #471594=CARTESIAN_POINT('',(16.7743950142,-19.6025424858,0.00424550476367)); #471595=CARTESIAN_POINT('Origin',(0.,0.,0.004245504765606)); #471596=CARTESIAN_POINT('',(19.6025424858,-16.7743950142,0.004245504765258)); #471597=CARTESIAN_POINT('Origin',(20.,-20.,0.00424550476367)); #471598=CARTESIAN_POINT('',(23.8,-9.959919678393,0.004245504764211)); #471599=CARTESIAN_POINT('Origin',(0.,0.,0.004245504765258)); #471600=CARTESIAN_POINT('',(23.8,9.959919678392,0.004245504764626)); #471601=CARTESIAN_POINT('',(23.8,9.959919678391,0.004245504764)); #471602=CARTESIAN_POINT('',(19.6025424858,16.7743950142,0.00424550476367)); #471603=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764626)); #471604=CARTESIAN_POINT('',(16.7743950142,19.6025424858,0.004245504764242)); #471605=CARTESIAN_POINT('Origin',(20.,20.,0.00424550476367)); #471606=CARTESIAN_POINT('',(9.959919678391,23.8,0.004245504763959)); #471607=CARTESIAN_POINT('Origin',(0.,0.,0.004245504764242)); #471608=CARTESIAN_POINT('',(-9.959919678391,23.8,0.004245504763615)); #471609=CARTESIAN_POINT('',(-9.959919678391,23.8,0.004245504763615)); #471610=CARTESIAN_POINT('',(-16.7743950142,19.6025424858,0.004245504763212)); #471611=CARTESIAN_POINT('Origin',(0.,0.,0.004245504763212)); #471612=CARTESIAN_POINT('',(-19.6025424858,16.7743950142,0.004245504763392)); #471613=CARTESIAN_POINT('Origin',(-20.,20.,0.00424550476367)); #471614=CARTESIAN_POINT('',(-23.8,9.959919678391,0.004245504763402)); #471615=CARTESIAN_POINT('Origin',(0.,0.,0.004245504762835)); #471616=CARTESIAN_POINT('',(-23.8,-9.959919678392,0.004245504763998)); #471617=CARTESIAN_POINT('',(22.25,-20.,0.00424550476367001)); #471618=CARTESIAN_POINT('Origin',(20.,-20.,0.00424550476367)); #471619=CARTESIAN_POINT('',(22.25,20.,0.00424550476367001)); #471620=CARTESIAN_POINT('Origin',(20.,20.,0.00424550476367)); #471621=CARTESIAN_POINT('',(-17.75,20.,0.00424550476367001)); #471622=CARTESIAN_POINT('Origin',(-20.,20.,0.00424550476367)); #471623=CARTESIAN_POINT('',(-17.75,-20.,0.00424550476367001)); #471624=CARTESIAN_POINT('Origin',(-20.,-20.,0.00424550476367)); #471625=CARTESIAN_POINT('Origin',(25.,4.799999999997,2.004245504764)); #471626=CARTESIAN_POINT('',(25.,-10.4,4.004245504764)); #471627=CARTESIAN_POINT('',(25.,20.,0.00424550476367)); #471628=CARTESIAN_POINT('Origin',(20.,20.,4.099930485524)); #471629=CARTESIAN_POINT('',(20.,25.,4.004245504764)); #471630=CARTESIAN_POINT('Origin',(4.902744876745E-13,25.,2.004245504764)); #471631=CARTESIAN_POINT('',(-20.,25.,0.00424550476367)); #471632=CARTESIAN_POINT('Origin',(-20.,20.,4.099930485524)); #471633=CARTESIAN_POINT('',(-25.,20.,4.004245504764)); #471634=CARTESIAN_POINT('Origin',(-25.,-3.000266701747E-12,2.004245504764)); #471635=CARTESIAN_POINT('',(-25.,-20.,0.00424550476367)); #471636=CARTESIAN_POINT('Origin',(-20.,-20.,4.099930485524)); #471637=CARTESIAN_POINT('',(-20.,-25.,4.004245504764)); #471638=CARTESIAN_POINT('Origin',(4.902744876745E-13,-25.,2.004245504764)); #471639=CARTESIAN_POINT('',(20.,-25.,0.004245504763669)); #471640=CARTESIAN_POINT('Origin',(20.,-20.,4.099930485524)); #471641=CARTESIAN_POINT('',(25.,-20.,4.004245504764)); #471642=CARTESIAN_POINT('Origin',(25.,-16.44992292828,2.004245504764)); #471643=CARTESIAN_POINT('',(25.,-12.89984585655,0.00424550476367)); #471644=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,-0.09143947599633)); #471645=CARTESIAN_POINT('',(24.4135995728,-12.3,4.004245504764)); #471646=CARTESIAN_POINT('Origin',(24.2067997864,-13.01805126356,2.004245504764)); #471647=CARTESIAN_POINT('',(24.,-13.73610252712,0.00424550476367)); #471648=CARTESIAN_POINT('Origin',(24.,-15.02706100589,2.004245504764)); #471649=CARTESIAN_POINT('',(24.,-16.31801948466,0.00424550476367)); #471650=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,-0.09143947599633)); #471651=CARTESIAN_POINT('',(23.5,-16.81801948466,4.004245504764)); #471652=CARTESIAN_POINT('Origin',(23.34099025767,-16.81801948466,2.004245504764)); #471653=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,0.004245504763672)); #471654=CARTESIAN_POINT('Origin',(21.87644714648,-15.5124861158,16.00424550476)); #471655=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,7.004245504761)); #471656=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,15.50424550476)); #471657=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,7.004245504761)); #471658=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,15.50424550476)); #471659=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,15.50424550476)); #471660=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,16.50424550476)); #471661=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,15.50424550476)); #471662=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,16.50424550476)); #471663=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,16.50424550476)); #471664=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,22.00424550476)); #471665=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,16.50424550476)); #471666=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,22.00424550476)); #471667=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,22.00424550476)); #471668=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,23.00424550476)); #471669=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,22.00424550476)); #471670=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,23.00424550476)); #471671=CARTESIAN_POINT('',(21.28929947757,-14.92533844689,23.00424550476)); #471672=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,28.00424550476)); #471673=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,23.00424550476)); #471674=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,28.00424550476)); #471675=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,28.00424550476)); #471676=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,32.00424550476)); #471677=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,28.00424550476)); #471678=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,32.00424550476)); #471679=CARTESIAN_POINT('',(21.94364355463,-15.57968252395,32.00424550476)); #471680=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,28.00424550476)); #471681=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,28.00424550476)); #471682=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,28.00424550476)); #471683=CARTESIAN_POINT('',(21.94364355464,-15.57968252396,0.004245504763671)); #471684=CARTESIAN_POINT('',(20.57091377762,-14.20695274694,7.004245504761)); #471685=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #471686=CARTESIAN_POINT('',(22.9128784743619,-10.0000000002732,15.50424550476)); #471687=CARTESIAN_POINT('',(22.9114392373877,-10.,7.004245504761)); #471688=CARTESIAN_POINT('',(22.9128784747792,-10.,15.67424550476)); #471689=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #471690=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #471691=CARTESIAN_POINT('Origin',(23.905,-10.,16.00424550476)); #471692=CARTESIAN_POINT('',(22.9099999999962,-10.,10.754245504761)); #471693=CARTESIAN_POINT('',(24.9,-10.,28.00424550476)); #471694=CARTESIAN_POINT('',(24.9,-10.,28.00424550476)); #471695=CARTESIAN_POINT('',(22.91287847478,-10.,28.00424550476)); #471696=CARTESIAN_POINT('',(24.9,-10.,28.00424550476)); #471697=CARTESIAN_POINT('',(22.91287847478,-10.,23.00424550476)); #471698=CARTESIAN_POINT('',(22.91287847478,-10.,28.00424550476)); #471699=CARTESIAN_POINT('',(24.,-10.,23.00424550476)); #471700=CARTESIAN_POINT('',(24.,-10.,23.00424550476)); #471701=CARTESIAN_POINT('',(24.,-10.,22.00424550476)); #471702=CARTESIAN_POINT('',(24.,-10.,23.00424550476)); #471703=CARTESIAN_POINT('',(22.91287847478,-10.,22.00424550476)); #471704=CARTESIAN_POINT('',(22.91287847478,-10.,22.00424550476)); #471705=CARTESIAN_POINT('',(22.91287847478,-10.,16.50424550476)); #471706=CARTESIAN_POINT('',(22.91287847478,-10.,22.00424550476)); #471707=CARTESIAN_POINT('',(24.,-10.,16.50424550476)); #471708=CARTESIAN_POINT('',(24.,-10.,16.50424550476)); #471709=CARTESIAN_POINT('',(24.,-10.,15.50424550476)); #471710=CARTESIAN_POINT('',(24.,-10.,16.50424550476)); #471711=CARTESIAN_POINT('',(23.095228444405,-10.,15.50424550476)); #471712=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,15.50424550476)); #471713=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #471714=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #471715=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #471716=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,16.50424550476)); #471717=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #471718=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #471719=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #471720=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,22.00424550476)); #471721=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #471722=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #471723=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #471724=CARTESIAN_POINT('Origin',(22.28545688881,-12.46266922345,23.00424550476)); #471725=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #471726=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #471727=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471728=CARTESIAN_POINT('Origin',(4.973799150321E-13,-2.999378523327E-12, 28.00424550476)); #471729=CARTESIAN_POINT('',(25.,-10.4,28.00424550476)); #471730=CARTESIAN_POINT('',(25.,20.,28.00424550476)); #471731=CARTESIAN_POINT('',(25.,-10.4,28.00424550476)); #471732=CARTESIAN_POINT('',(24.4,-11.,28.00424550476)); #471733=CARTESIAN_POINT('Origin',(24.4,-10.4,28.00424550476)); #471734=CARTESIAN_POINT('',(24.10912690248,-11.,28.00424550476)); #471735=CARTESIAN_POINT('',(24.10912690248,-11.,28.00424550476)); #471736=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,28.00424550476)); #471737=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471738=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,28.00424550476)); #471739=CARTESIAN_POINT('',(24.9,10.,28.00424550476)); #471740=CARTESIAN_POINT('',(24.9,10.,28.00424550476)); #471741=CARTESIAN_POINT('',(22.91287847478,10.,28.00424550476)); #471742=CARTESIAN_POINT('',(22.91287847478,10.,28.00424550476)); #471743=CARTESIAN_POINT('',(20.57091377762,14.20695274694,28.00424550476)); #471744=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471745=CARTESIAN_POINT('',(23.18198051534,16.81801948466,28.00424550476)); #471746=CARTESIAN_POINT('',(23.18198051534,16.81801948466,28.00424550476)); #471747=CARTESIAN_POINT('',(22.12132034358,17.87867965645,28.00424550476)); #471748=CARTESIAN_POINT('Origin',(22.65165042945,17.34834957055,28.00424550476)); #471749=CARTESIAN_POINT('',(19.67124911835,15.42860843122,28.00424550476)); #471750=CARTESIAN_POINT('',(19.67124911834,15.42860843122,28.00424550476)); #471751=CARTESIAN_POINT('',(15.42860843123,19.67124911834,28.00424550476)); #471752=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471753=CARTESIAN_POINT('',(17.87867965644,22.12132034356,28.00424550476)); #471754=CARTESIAN_POINT('',(17.87867965644,22.12132034356,28.00424550476)); #471755=CARTESIAN_POINT('',(16.81801948466,23.18198051534,28.00424550476)); #471756=CARTESIAN_POINT('Origin',(17.34834957055,22.65165042945,28.00424550476)); #471757=CARTESIAN_POINT('',(14.20695274694,20.57091377762,28.00424550476)); #471758=CARTESIAN_POINT('',(14.20695274694,20.57091377762,28.00424550476)); #471759=CARTESIAN_POINT('',(10.,22.91287847478,28.00424550476)); #471760=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471761=CARTESIAN_POINT('',(10.,24.9,28.00424550476)); #471762=CARTESIAN_POINT('',(10.,24.9,28.00424550476)); #471763=CARTESIAN_POINT('',(-10.,24.9,28.00424550476)); #471764=CARTESIAN_POINT('',(-10.,24.9,28.00424550476)); #471765=CARTESIAN_POINT('',(-10.,22.91287847478,28.00424550476)); #471766=CARTESIAN_POINT('',(-10.,22.91287847478,28.00424550476)); #471767=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,28.00424550476)); #471768=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471769=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,28.00424550476)); #471770=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,28.00424550476)); #471771=CARTESIAN_POINT('',(-17.87867965643,22.12132034355,28.00424550476)); #471772=CARTESIAN_POINT('Origin',(-17.34834957055,22.65165042945,28.00424550476)); #471773=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,28.00424550476)); #471774=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,28.00424550476)); #471775=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,28.00424550476)); #471776=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471777=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,28.00424550476)); #471778=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,28.00424550476)); #471779=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,28.00424550476)); #471780=CARTESIAN_POINT('Origin',(-22.65165042945,17.34834957055,28.00424550476)); #471781=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,28.00424550476)); #471782=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,28.00424550476)); #471783=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471784=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471785=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,28.00424550476)); #471786=CARTESIAN_POINT('Origin',(-22.65165042945,-17.34834957055,28.00424550476)); #471787=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,28.00424550476)); #471788=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,28.00424550476)); #471789=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,28.00424550476)); #471790=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471791=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,28.00424550476)); #471792=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,28.00424550476)); #471793=CARTESIAN_POINT('',(-16.81801948465,-23.18198051534,28.00424550476)); #471794=CARTESIAN_POINT('Origin',(-17.34834957055,-22.65165042945,28.00424550476)); #471795=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,28.00424550476)); #471796=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,28.00424550476)); #471797=CARTESIAN_POINT('',(-10.,-22.91287847478,28.00424550476)); #471798=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471799=CARTESIAN_POINT('',(-10.,-24.9,28.00424550476)); #471800=CARTESIAN_POINT('',(-10.,-24.9,28.00424550476)); #471801=CARTESIAN_POINT('',(10.,-24.9,28.00424550476)); #471802=CARTESIAN_POINT('',(10.,-24.9,28.00424550476)); #471803=CARTESIAN_POINT('',(10.,-22.91287847478,28.00424550476)); #471804=CARTESIAN_POINT('',(10.,-22.91287847478,28.00424550476)); #471805=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,28.00424550476)); #471806=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471807=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,28.00424550476)); #471808=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,28.00424550476)); #471809=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,28.00424550476)); #471810=CARTESIAN_POINT('Origin',(17.34834957055,-22.65165042945,28.00424550476)); #471811=CARTESIAN_POINT('',(15.42860843122,-19.67124911834,28.00424550476)); #471812=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,28.00424550476)); #471813=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,28.00424550476)); #471814=CARTESIAN_POINT('Origin',(0.,0.,28.00424550476)); #471815=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,28.00424550476)); #471816=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,28.00424550476)); #471817=CARTESIAN_POINT('Origin',(22.65165042945,-17.34834957055,28.00424550476)); #471818=CARTESIAN_POINT('',(23.5,-16.81801948466,28.00424550476)); #471819=CARTESIAN_POINT('',(23.5,-16.81801948466,28.00424550476)); #471820=CARTESIAN_POINT('',(24.,-16.31801948466,28.00424550476)); #471821=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,28.00424550476)); #471822=CARTESIAN_POINT('',(24.,-13.73610252712,28.00424550476)); #471823=CARTESIAN_POINT('',(24.,-13.73610252712,28.00424550476)); #471824=CARTESIAN_POINT('',(24.4135995728,-12.3,28.00424550476)); #471825=CARTESIAN_POINT('',(24.4135995728,-12.3,28.00424550476)); #471826=CARTESIAN_POINT('',(25.,-12.89984585655,28.00424550476)); #471827=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,28.00424550476)); #471828=CARTESIAN_POINT('',(25.,-20.,28.00424550476)); #471829=CARTESIAN_POINT('',(25.,-20.,28.00424550476)); #471830=CARTESIAN_POINT('',(20.,-25.,28.00424550476)); #471831=CARTESIAN_POINT('Origin',(20.,-20.,28.00424550476)); #471832=CARTESIAN_POINT('',(-20.,-25.,28.00424550476)); #471833=CARTESIAN_POINT('',(-20.,-25.,28.00424550476)); #471834=CARTESIAN_POINT('',(-25.,-20.,28.00424550476)); #471835=CARTESIAN_POINT('Origin',(-20.,-20.,28.00424550476)); #471836=CARTESIAN_POINT('',(-25.,20.,28.00424550476)); #471837=CARTESIAN_POINT('',(-25.,20.,28.00424550476)); #471838=CARTESIAN_POINT('',(-20.,25.,28.00424550476)); #471839=CARTESIAN_POINT('Origin',(-20.,20.,28.00424550476)); #471840=CARTESIAN_POINT('',(20.,25.,28.00424550476)); #471841=CARTESIAN_POINT('',(20.,25.,28.00424550476)); #471842=CARTESIAN_POINT('Origin',(20.,20.,28.00424550476)); #471843=CARTESIAN_POINT('',(-17.75,-20.,28.00424550476)); #471844=CARTESIAN_POINT('Origin',(-20.,-20.,28.00424550476)); #471845=CARTESIAN_POINT('',(22.25,-20.,28.00424550476)); #471846=CARTESIAN_POINT('Origin',(20.,-20.,28.00424550476)); #471847=CARTESIAN_POINT('',(-17.75,20.,28.00424550476)); #471848=CARTESIAN_POINT('Origin',(-20.,20.,28.00424550476)); #471849=CARTESIAN_POINT('',(22.25,20.,28.00424550476)); #471850=CARTESIAN_POINT('Origin',(20.,20.,28.00424550476)); #471851=CARTESIAN_POINT('Origin',(25.,4.799999999997,30.00424550476)); #471852=CARTESIAN_POINT('',(25.,-10.4,32.00424550476)); #471853=CARTESIAN_POINT('',(25.,20.,32.00424550476)); #471854=CARTESIAN_POINT('',(25.,-10.4,32.00424550476)); #471855=CARTESIAN_POINT('',(25.,-10.4,32.00424550476)); #471856=CARTESIAN_POINT('',(25.,20.,28.00424550476)); #471857=CARTESIAN_POINT('Origin',(4.973799150321E-13,-3.001154880167E-12, 32.00424550476)); #471858=CARTESIAN_POINT('',(24.4,-11.,32.00424550476)); #471859=CARTESIAN_POINT('',(24.10912690248,-11.,32.00424550476)); #471860=CARTESIAN_POINT('',(24.4,-11.,32.00424550476)); #471861=CARTESIAN_POINT('Origin',(24.4,-10.4,32.00424550476)); #471862=CARTESIAN_POINT('',(20.,25.,32.00424550476)); #471863=CARTESIAN_POINT('Origin',(20.,20.,32.00424550476)); #471864=CARTESIAN_POINT('',(-20.,25.,32.00424550476)); #471865=CARTESIAN_POINT('',(-20.,25.,32.00424550476)); #471866=CARTESIAN_POINT('',(-25.,20.,32.00424550476)); #471867=CARTESIAN_POINT('Origin',(-20.,20.,32.00424550476)); #471868=CARTESIAN_POINT('',(-25.,-20.,32.00424550476)); #471869=CARTESIAN_POINT('',(-25.,-20.,32.00424550476)); #471870=CARTESIAN_POINT('',(-20.,-25.,32.00424550476)); #471871=CARTESIAN_POINT('Origin',(-20.,-20.,32.00424550476)); #471872=CARTESIAN_POINT('',(20.,-25.,32.00424550476)); #471873=CARTESIAN_POINT('',(20.,-25.,32.00424550476)); #471874=CARTESIAN_POINT('',(25.,-20.,32.00424550476)); #471875=CARTESIAN_POINT('Origin',(20.,-20.,32.00424550476)); #471876=CARTESIAN_POINT('',(25.,-12.89984585655,32.00424550476)); #471877=CARTESIAN_POINT('',(25.,-12.89984585655,32.00424550476)); #471878=CARTESIAN_POINT('',(24.4135995728,-12.3,32.00424550476)); #471879=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,32.00424550476)); #471880=CARTESIAN_POINT('',(24.,-13.73610252712,32.00424550476)); #471881=CARTESIAN_POINT('',(24.,-13.73610252712,32.00424550476)); #471882=CARTESIAN_POINT('',(24.,-16.31801948466,32.00424550476)); #471883=CARTESIAN_POINT('',(24.,-16.31801948466,32.00424550476)); #471884=CARTESIAN_POINT('',(23.5,-16.81801948466,32.00424550476)); #471885=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,32.00424550476)); #471886=CARTESIAN_POINT('',(23.18198051534,-16.81801948466,32.00424550476)); #471887=CARTESIAN_POINT('',(21.88428589071,-14.94416377901,32.00424550476)); #471888=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,32.00424550476)); #471889=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471890=CARTESIAN_POINT('',(19.6025424858,-16.7743950142,32.00424550476)); #471891=CARTESIAN_POINT('',(23.8,-9.959919678392,32.00424550476)); #471892=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471893=CARTESIAN_POINT('',(16.7743950142,-19.6025424858,32.00424550476)); #471894=CARTESIAN_POINT('Origin',(20.,-20.,32.00424550476)); #471895=CARTESIAN_POINT('',(9.959919678391,-23.8,32.00424550476)); #471896=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471897=CARTESIAN_POINT('',(-9.959919678392,-23.8,32.00424550476)); #471898=CARTESIAN_POINT('',(-9.959919678391,-23.8,32.00424550476)); #471899=CARTESIAN_POINT('',(-16.7743950142,-19.6025424858,32.00424550476)); #471900=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471901=CARTESIAN_POINT('',(-19.6025424858,-16.7743950142,32.00424550476)); #471902=CARTESIAN_POINT('Origin',(-20.,-20.,32.00424550476)); #471903=CARTESIAN_POINT('',(-23.8,-9.959919678392,32.00424550476)); #471904=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471905=CARTESIAN_POINT('',(-23.8,9.959919678391,32.00424550476)); #471906=CARTESIAN_POINT('',(-23.8,9.95991967839,32.00424550476)); #471907=CARTESIAN_POINT('',(-19.6025424858,16.7743950142,32.00424550476)); #471908=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471909=CARTESIAN_POINT('',(-16.7743950142,19.6025424858,32.00424550476)); #471910=CARTESIAN_POINT('Origin',(-20.,20.,32.00424550476)); #471911=CARTESIAN_POINT('',(-9.959919678391,23.8,32.00424550476)); #471912=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471913=CARTESIAN_POINT('',(9.95991967839,23.8,32.00424550476)); #471914=CARTESIAN_POINT('',(9.95991967839,23.8,32.00424550476)); #471915=CARTESIAN_POINT('',(16.7743950142,19.6025424858,32.00424550476)); #471916=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471917=CARTESIAN_POINT('',(19.6025424858,16.7743950142,32.00424550476)); #471918=CARTESIAN_POINT('Origin',(20.,20.,32.00424550476)); #471919=CARTESIAN_POINT('',(23.8,9.959919678391,32.00424550476)); #471920=CARTESIAN_POINT('Origin',(0.,0.,32.00424550476)); #471921=CARTESIAN_POINT('',(23.8,-9.959919678392,32.00424550476)); #471922=CARTESIAN_POINT('',(-17.75,-20.,32.00424550476)); #471923=CARTESIAN_POINT('Origin',(-20.,-20.,32.00424550476)); #471924=CARTESIAN_POINT('',(-17.75,20.,32.00424550476)); #471925=CARTESIAN_POINT('Origin',(-20.,20.,32.00424550476)); #471926=CARTESIAN_POINT('',(22.25,20.,32.00424550476)); #471927=CARTESIAN_POINT('Origin',(20.,20.,32.00424550476)); #471928=CARTESIAN_POINT('',(22.25,-20.,32.00424550476)); #471929=CARTESIAN_POINT('Origin',(20.,-20.,32.00424550476)); #471930=CARTESIAN_POINT('Origin',(24.25456345124,-11.,30.00424550476)); #471931=CARTESIAN_POINT('',(24.4,-11.,28.00424550476)); #471932=CARTESIAN_POINT('',(24.10912690248,-11.,32.00424550476)); #471933=CARTESIAN_POINT('Origin',(0.,0.,32.09993048552)); #471934=CARTESIAN_POINT('',(21.88428589069,-14.94416377903,28.00424550476)); #471935=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,32.09993048552)); #471936=CARTESIAN_POINT('Origin',(24.4,-10.4,32.09993048552)); #471937=CARTESIAN_POINT('Origin',(23.34099025767,-16.81801948466,30.00424550476)); #471938=CARTESIAN_POINT('',(23.5,-16.81801948466,32.00424550476)); #471939=CARTESIAN_POINT('Origin',(23.5,-16.31801948466,27.908560524)); #471940=CARTESIAN_POINT('',(24.,-16.31801948466,28.00424550476)); #471941=CARTESIAN_POINT('Origin',(24.,-15.02706100589,30.00424550476)); #471942=CARTESIAN_POINT('',(24.,-13.73610252712,28.00424550476)); #471943=CARTESIAN_POINT('Origin',(24.2067997864,-13.01805126356,30.00424550476)); #471944=CARTESIAN_POINT('',(24.4135995728,-12.3,32.00424550476)); #471945=CARTESIAN_POINT('Origin',(24.4,-12.89984585655,27.908560524)); #471946=CARTESIAN_POINT('',(25.,-12.89984585655,28.00424550476)); #471947=CARTESIAN_POINT('Origin',(25.,-16.44992292828,30.00424550476)); #471948=CARTESIAN_POINT('',(25.,-20.,32.00424550476)); #471949=CARTESIAN_POINT('Origin',(20.,-20.,32.09993048552)); #471950=CARTESIAN_POINT('',(20.,-25.,28.00424550476)); #471951=CARTESIAN_POINT('Origin',(4.902744876745E-13,-25.,30.00424550476)); #471952=CARTESIAN_POINT('',(-20.,-25.,32.00424550476)); #471953=CARTESIAN_POINT('Origin',(-20.,-20.,32.09993048552)); #471954=CARTESIAN_POINT('',(-25.,-20.,28.00424550476)); #471955=CARTESIAN_POINT('Origin',(-25.,-3.000266701747E-12,30.00424550476)); #471956=CARTESIAN_POINT('',(-25.,20.,32.00424550476)); #471957=CARTESIAN_POINT('Origin',(-20.,20.,32.09993048552)); #471958=CARTESIAN_POINT('',(-20.,25.,28.00424550476)); #471959=CARTESIAN_POINT('Origin',(4.902744876745E-13,25.,30.00424550476)); #471960=CARTESIAN_POINT('',(20.,25.,32.00424550476)); #471961=CARTESIAN_POINT('Origin',(20.,20.,32.09993048552)); #471962=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #471963=CARTESIAN_POINT('Ctrl Pts',(19.6025424857987,-16.774395014202,32.004245504761)); #471964=CARTESIAN_POINT('Ctrl Pts',(19.5021358463281,-16.7867670726248, 31.8506968168871)); #471965=CARTESIAN_POINT('Ctrl Pts',(19.3994373099917,-16.8041404015428, 31.7012622957841)); #471966=CARTESIAN_POINT('Ctrl Pts',(19.0690222069879,-16.8776856467104, 31.2497550083705)); #471967=CARTESIAN_POINT('Ctrl Pts',(18.8060912561654,-16.9616655363999, 30.9323266550127)); #471968=CARTESIAN_POINT('Ctrl Pts',(18.3949289451034,-17.1698329126581, 30.5700693836024)); #471969=CARTESIAN_POINT('Ctrl Pts',(18.255255559109,-17.2527293461742,30.4683578465142)); #471970=CARTESIAN_POINT('Ctrl Pts',(17.9766283133872,-17.4509227435091, 30.3240677548423)); #471971=CARTESIAN_POINT('Ctrl Pts',(17.8376781550644,-17.5661277672231, 30.2813558115492)); #471972=CARTESIAN_POINT('Ctrl Pts',(17.5661277672231,-17.8376781550644, 30.2813558115492)); #471973=CARTESIAN_POINT('Ctrl Pts',(17.4509227435091,-17.9766283133872, 30.3240677548423)); #471974=CARTESIAN_POINT('Ctrl Pts',(17.2527293461742,-18.255255559109,30.4683578465142)); #471975=CARTESIAN_POINT('Ctrl Pts',(17.1698329126581,-18.3949289451034, 30.5700693836024)); #471976=CARTESIAN_POINT('Ctrl Pts',(16.9616655363999,-18.8060912561654, 30.9323266550127)); #471977=CARTESIAN_POINT('Ctrl Pts',(16.8776856467104,-19.0690222069879, 31.2497550083705)); #471978=CARTESIAN_POINT('Ctrl Pts',(16.8041404015428,-19.3994373099917, 31.7012622957841)); #471979=CARTESIAN_POINT('Ctrl Pts',(16.7867670726248,-19.5021358463281, 31.8506968168871)); #471980=CARTESIAN_POINT('Ctrl Pts',(16.774395014202,-19.6025424857987,32.004245504761)); #471981=CARTESIAN_POINT('',(23.8,-3.134218349092E-13,27.50424550476)); #471982=CARTESIAN_POINT('Ctrl Pts',(23.8,-2.06122116807976E-13,27.5042455047602)); #471983=CARTESIAN_POINT('Ctrl Pts',(23.8,-4.77915500694306,27.5042455047603)); #471984=CARTESIAN_POINT('Ctrl Pts',(23.8,-9.95991967833018,32.004245504707)); #471985=CARTESIAN_POINT('',(-3.965190907737E-13,-23.8,27.50424550476)); #471986=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #471987=CARTESIAN_POINT('Ctrl Pts',(9.95991967832961,-23.8,32.0042455047065)); #471988=CARTESIAN_POINT('Ctrl Pts',(4.77915500694257,-23.8,27.5042455047601)); #471989=CARTESIAN_POINT('Ctrl Pts',(-2.5511835768856E-13,-23.8,27.5042455047602)); #471990=CARTESIAN_POINT('Origin',(20.,-20.,30.18591311927)); #471991=CARTESIAN_POINT('Origin',(0.,-23.8,29.75424550476)); #471992=CARTESIAN_POINT('Ctrl Pts',(-2.61041632359803E-13,-23.8,27.5042455047602)); #471993=CARTESIAN_POINT('Ctrl Pts',(-4.77915500694414,-23.8,27.5042455047603)); #471994=CARTESIAN_POINT('Ctrl Pts',(-9.95991967833255,-23.8,32.004245504709)); #471995=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #471996=CARTESIAN_POINT('Ctrl Pts',(-16.774395014202,-19.6025424857987, 32.004245504761)); #471997=CARTESIAN_POINT('Ctrl Pts',(-16.7867670726248,-19.5021358463281, 31.8506968168871)); #471998=CARTESIAN_POINT('Ctrl Pts',(-16.8041404015428,-19.3994373099917, 31.7012622957841)); #471999=CARTESIAN_POINT('Ctrl Pts',(-16.8776856467104,-19.0690222069879, 31.2497550083705)); #472000=CARTESIAN_POINT('Ctrl Pts',(-16.9616655363999,-18.8060912561654, 30.9323266550127)); #472001=CARTESIAN_POINT('Ctrl Pts',(-17.1698329126581,-18.3949289451034, 30.5700693836024)); #472002=CARTESIAN_POINT('Ctrl Pts',(-17.2527293461742,-18.255255559109, 30.4683578465142)); #472003=CARTESIAN_POINT('Ctrl Pts',(-17.4509227435091,-17.9766283133872, 30.3240677548423)); #472004=CARTESIAN_POINT('Ctrl Pts',(-17.5661277672231,-17.8376781550644, 30.2813558115492)); #472005=CARTESIAN_POINT('Ctrl Pts',(-17.8376781550644,-17.5661277672231, 30.2813558115492)); #472006=CARTESIAN_POINT('Ctrl Pts',(-17.9766283133872,-17.4509227435091, 30.3240677548423)); #472007=CARTESIAN_POINT('Ctrl Pts',(-18.255255559109,-17.2527293461742, 30.4683578465142)); #472008=CARTESIAN_POINT('Ctrl Pts',(-18.3949289451034,-17.1698329126581, 30.5700693836024)); #472009=CARTESIAN_POINT('Ctrl Pts',(-18.8060912561654,-16.9616655363999, 30.9323266550127)); #472010=CARTESIAN_POINT('Ctrl Pts',(-19.0690222069879,-16.8776856467104, 31.2497550083705)); #472011=CARTESIAN_POINT('Ctrl Pts',(-19.3994373099917,-16.8041404015428, 31.7012622957841)); #472012=CARTESIAN_POINT('Ctrl Pts',(-19.5021358463281,-16.7867670726248, 31.8506968168871)); #472013=CARTESIAN_POINT('Ctrl Pts',(-19.6025424857987,-16.774395014202, 32.004245504761)); #472014=CARTESIAN_POINT('',(-23.8,-9.896485012768E-13,27.50424550476)); #472015=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #472016=CARTESIAN_POINT('Ctrl Pts',(-23.8,-9.95991967833018,32.004245504707)); #472017=CARTESIAN_POINT('Ctrl Pts',(-23.8,-4.77915500694329,27.5042455047605)); #472018=CARTESIAN_POINT('Ctrl Pts',(-23.8,-6.53846386923662E-13,27.5042455047602)); #472019=CARTESIAN_POINT('Origin',(-20.,-20.,30.18591311927)); #472020=CARTESIAN_POINT('Origin',(-23.8,-1.498356994034E-12,29.75424550476)); #472021=CARTESIAN_POINT('Ctrl Pts',(-23.8,-6.53871273289605E-13,27.5042455047602)); #472022=CARTESIAN_POINT('Ctrl Pts',(-23.8,4.77915500694341,27.5042455047599)); #472023=CARTESIAN_POINT('Ctrl Pts',(-23.8,9.95991967833199,32.0042455047086)); #472024=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #472025=CARTESIAN_POINT('Ctrl Pts',(-19.6025424857987,16.774395014202,32.004245504761)); #472026=CARTESIAN_POINT('Ctrl Pts',(-19.5021358463281,16.7867670726248, 31.8506968168871)); #472027=CARTESIAN_POINT('Ctrl Pts',(-19.3994373099917,16.8041404015428, 31.7012622957841)); #472028=CARTESIAN_POINT('Ctrl Pts',(-19.0690222069879,16.8776856467104, 31.2497550083705)); #472029=CARTESIAN_POINT('Ctrl Pts',(-18.8060912561654,16.9616655363999, 30.9323266550127)); #472030=CARTESIAN_POINT('Ctrl Pts',(-18.3949289451034,17.1698329126581, 30.5700693836024)); #472031=CARTESIAN_POINT('Ctrl Pts',(-18.255255559109,17.2527293461742,30.4683578465142)); #472032=CARTESIAN_POINT('Ctrl Pts',(-17.9766283133872,17.4509227435091, 30.3240677548423)); #472033=CARTESIAN_POINT('Ctrl Pts',(-17.8376781550644,17.5661277672231, 30.2813558115492)); #472034=CARTESIAN_POINT('Ctrl Pts',(-17.5661277672231,17.8376781550644, 30.2813558115492)); #472035=CARTESIAN_POINT('Ctrl Pts',(-17.4509227435091,17.9766283133872, 30.3240677548423)); #472036=CARTESIAN_POINT('Ctrl Pts',(-17.2527293461742,18.255255559109,30.4683578465142)); #472037=CARTESIAN_POINT('Ctrl Pts',(-17.1698329126581,18.3949289451034, 30.5700693836024)); #472038=CARTESIAN_POINT('Ctrl Pts',(-16.9616655363999,18.8060912561654, 30.9323266550127)); #472039=CARTESIAN_POINT('Ctrl Pts',(-16.8776856467104,19.0690222069879, 31.2497550083705)); #472040=CARTESIAN_POINT('Ctrl Pts',(-16.8041404015428,19.3994373099917, 31.7012622957841)); #472041=CARTESIAN_POINT('Ctrl Pts',(-16.7867670726248,19.5021358463281, 31.8506968168871)); #472042=CARTESIAN_POINT('Ctrl Pts',(-16.774395014202,19.6025424857987,32.004245504761)); #472043=CARTESIAN_POINT('',(3.994337501557E-13,23.8,27.50424550476)); #472044=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #472045=CARTESIAN_POINT('Ctrl Pts',(-9.9599196783911,23.8,32.0042455047599)); #472046=CARTESIAN_POINT('Ctrl Pts',(-4.77915500696978,23.8,27.5042455047601)); #472047=CARTESIAN_POINT('Ctrl Pts',(2.66100273748002E-13,23.8,27.5042455047602)); #472048=CARTESIAN_POINT('Origin',(-20.,20.,30.18591311927)); #472049=CARTESIAN_POINT('Origin',(0.,23.8,29.75424550476)); #472050=CARTESIAN_POINT('Ctrl Pts',(2.66110401920188E-13,23.8,27.5042455047602)); #472051=CARTESIAN_POINT('Ctrl Pts',(4.7791550069698,23.8,27.5042455047603)); #472052=CARTESIAN_POINT('Ctrl Pts',(9.95991967839052,23.8,32.0042455047594)); #472053=CARTESIAN_POINT('Origin',(0.,0.,29.75424550476)); #472054=CARTESIAN_POINT('Ctrl Pts',(16.774395014202,19.6025424857987,32.004245504761)); #472055=CARTESIAN_POINT('Ctrl Pts',(16.7867670726248,19.5021358463281,31.8506968168871)); #472056=CARTESIAN_POINT('Ctrl Pts',(16.8041404015428,19.3994373099917,31.7012622957841)); #472057=CARTESIAN_POINT('Ctrl Pts',(16.8776856467104,19.0690222069879,31.2497550083705)); #472058=CARTESIAN_POINT('Ctrl Pts',(16.9616655363999,18.8060912561654,30.9323266550127)); #472059=CARTESIAN_POINT('Ctrl Pts',(17.1698329126581,18.3949289451034,30.5700693836024)); #472060=CARTESIAN_POINT('Ctrl Pts',(17.2527293461742,18.255255559109,30.4683578465142)); #472061=CARTESIAN_POINT('Ctrl Pts',(17.4509227435091,17.9766283133872,30.3240677548423)); #472062=CARTESIAN_POINT('Ctrl Pts',(17.5661277672231,17.8376781550644,30.2813558115492)); #472063=CARTESIAN_POINT('Ctrl Pts',(17.8376781550644,17.5661277672231,30.2813558115492)); #472064=CARTESIAN_POINT('Ctrl Pts',(17.9766283133872,17.4509227435091,30.3240677548423)); #472065=CARTESIAN_POINT('Ctrl Pts',(18.255255559109,17.2527293461742,30.4683578465142)); #472066=CARTESIAN_POINT('Ctrl Pts',(18.3949289451034,17.1698329126581,30.5700693836024)); #472067=CARTESIAN_POINT('Ctrl Pts',(18.8060912561654,16.9616655363999,30.9323266550127)); #472068=CARTESIAN_POINT('Ctrl Pts',(19.0690222069878,16.8776856467104,31.2497550083705)); #472069=CARTESIAN_POINT('Ctrl Pts',(19.3994373099917,16.8041404015428,31.7012622957841)); #472070=CARTESIAN_POINT('Ctrl Pts',(19.5021358463281,16.7867670726248,31.8506968168871)); #472071=CARTESIAN_POINT('Ctrl Pts',(19.6025424857987,16.774395014202,32.004245504761)); #472072=CARTESIAN_POINT('Origin',(0.,0.,27.50424550476)); #472073=CARTESIAN_POINT('Ctrl Pts',(23.8,9.9599196783911,32.0042455047599)); #472074=CARTESIAN_POINT('Ctrl Pts',(23.8,4.77915500696982,27.5042455047601)); #472075=CARTESIAN_POINT('Ctrl Pts',(23.8,-1.90916386879519E-13,27.5042455047602)); #472076=CARTESIAN_POINT('Origin',(20.,20.,30.18591311927)); #472077=CARTESIAN_POINT('Origin',(23.8,-9.992007221626E-13,29.75424550476)); #472078=CARTESIAN_POINT('Origin',(0.,0.,3.534245504764)); #472079=CARTESIAN_POINT('',(-23.7662146766702,-1.28746341173955,4.0021227523825)); #472080=CARTESIAN_POINT('',(-23.78348712375,-0.8864198972969,4.)); #472081=CARTESIAN_POINT('Origin',(0.,0.,4.)); #472082=CARTESIAN_POINT('',(-23.4191896793289,4.2404659686404,11.9800292028852)); #472083=CARTESIAN_POINT('Ctrl Pts',(-23.7834871237519,-0.886419897297954, 3.99999999999449)); #472084=CARTESIAN_POINT('Ctrl Pts',(-23.78391936086,-0.874822565464157, 4.04745546883266)); #472085=CARTESIAN_POINT('Ctrl Pts',(-23.7843466574488,-0.86313044903162, 4.09446607725933)); #472086=CARTESIAN_POINT('Ctrl Pts',(-23.7847700234872,-0.851301902868336, 4.14119406699909)); #472087=CARTESIAN_POINT('Ctrl Pts',(-23.7861244497976,-0.813460194539161, 4.29068555429865)); #472088=CARTESIAN_POINT('Ctrl Pts',(-23.7874387831524,-0.774253786510929, 4.43730624603191)); #472089=CARTESIAN_POINT('Ctrl Pts',(-23.7887132021093,-0.732887568315983, 4.58553631068587)); #472090=CARTESIAN_POINT('Ctrl Pts',(-23.7899481095878,-0.69280384864617, 4.72917072102104)); #472091=CARTESIAN_POINT('Ctrl Pts',(-23.7911740097638,-0.649387895408419, 4.87834713205869)); #472092=CARTESIAN_POINT('Ctrl Pts',(-23.7922175488024,-0.608591907978716, 5.01373284575581)); #472093=CARTESIAN_POINT('Ctrl Pts',(-23.7935044603559,-0.558281545246025, 5.18069300027255)); #472094=CARTESIAN_POINT('Ctrl Pts',(-23.7945020435965,-0.513224635682036, 5.32317462711952)); #472095=CARTESIAN_POINT('Ctrl Pts',(-23.795325642954,-0.471675254573134, 5.44958898287367)); #472096=CARTESIAN_POINT('Ctrl Pts',(-23.7964138626666,-0.416776164189641, 5.61661994596138)); #472097=CARTESIAN_POINT('Ctrl Pts',(-23.7972586748186,-0.363006120934056, 5.77239769818639)); #472098=CARTESIAN_POINT('Ctrl Pts',(-23.7977529508644,-0.327038972639509, 5.87951248815236)); #472099=CARTESIAN_POINT('Ctrl Pts',(-23.7983699918144,-0.282138550010221, 6.01323171715359)); #472100=CARTESIAN_POINT('Ctrl Pts',(-23.798638204252,-0.256211442493129, 6.09197160000094)); #472101=CARTESIAN_POINT('Ctrl Pts',(-23.7989127019093,-0.227495509623518, 6.17581964333557)); #472102=CARTESIAN_POINT('Ctrl Pts',(-23.7991080716374,-0.207057360703729, 6.2354972726185)); #472103=CARTESIAN_POINT('Ctrl Pts',(-23.7992548988458,-0.189087686954277, 6.2865958262392)); #472104=CARTESIAN_POINT('Ctrl Pts',(-23.7993776066414,-0.172120703271928, 6.33349147915959)); #472105=CARTESIAN_POINT('Ctrl Pts',(-23.7997475413101,-0.120969303685531, 6.47487066159444)); #472106=CARTESIAN_POINT('Ctrl Pts',(-23.7999815148616,-0.0795162138676129, 6.58047339938073)); #472107=CARTESIAN_POINT('Ctrl Pts',(-23.7999993078333,-0.00573995952279968, 6.76298305049508)); #472108=CARTESIAN_POINT('Ctrl Pts',(-23.8000164146775,0.0651913560070138, 6.93845481665339)); #472109=CARTESIAN_POINT('Ctrl Pts',(-23.799559265264,0.157854541792477, 7.16065760546741)); #472110=CARTESIAN_POINT('Ctrl Pts',(-23.7989774737966,0.220615506527177, 7.30548861909009)); #472111=CARTESIAN_POINT('Ctrl Pts',(-23.7985677365373,0.264816061416199, 7.40748850380064)); #472112=CARTESIAN_POINT('Ctrl Pts',(-23.7981353924413,0.301984953674523, 7.49145830107019)); #472113=CARTESIAN_POINT('Ctrl Pts',(-23.7974055967233,0.351406979218881, 7.59957878474942)); #472114=CARTESIAN_POINT('Ctrl Pts',(-23.7967033995917,0.398960018679723, 7.70361049065975)); #472115=CARTESIAN_POINT('Ctrl Pts',(-23.7957282337224,0.459019086461438, 7.82945651143015)); #472116=CARTESIAN_POINT('Ctrl Pts',(-23.793761278447,0.544907537363136, 8.0164841748275)); #472117=CARTESIAN_POINT('Ctrl Pts',(-23.7924713289576,0.601234066377558, 8.13913883908391)); #472118=CARTESIAN_POINT('Ctrl Pts',(-23.7909644047312,0.658346660253041, 8.26745098040484)); #472119=CARTESIAN_POINT('Ctrl Pts',(-23.7892065464984,0.716695115120799, 8.38893486620683)); #472120=CARTESIAN_POINT('Ctrl Pts',(-23.7889979576208,0.723618789899691, 8.4033502422108)); #472121=CARTESIAN_POINT('Ctrl Pts',(-23.7887810871279,0.730717781655498, 8.41800462599308)); #472122=CARTESIAN_POINT('Ctrl Pts',(-23.7885530165986,0.738068680054189, 8.43301502170394)); #472123=CARTESIAN_POINT('Ctrl Pts',(-23.7875455458355,0.770540281017132, 8.49932141765492)); #472124=CARTESIAN_POINT('Ctrl Pts',(-23.7863314596468,0.80792457204398, 8.57257402899938)); #472125=CARTESIAN_POINT('Ctrl Pts',(-23.7846043298068,0.855918731268242, 8.66329560876308)); #472126=CARTESIAN_POINT('Ctrl Pts',(-23.7838604392885,0.876590251817548, 8.70237021764596)); #472127=CARTESIAN_POINT('Ctrl Pts',(-23.7833169444621,0.891849706746439, 8.73100711315651)); #472128=CARTESIAN_POINT('Ctrl Pts',(-23.7817709935051,0.931326157948598, 8.80433436324218)); #472129=CARTESIAN_POINT('Ctrl Pts',(-23.7797933668938,0.981825612710319, 8.89813677039219)); #472130=CARTESIAN_POINT('Ctrl Pts',(-23.7761371559676,1.06894479503637, 9.05943139899598)); #472131=CARTESIAN_POINT('Ctrl Pts',(-23.7719808784024,1.15452376193525, 9.21154783605322)); #472132=CARTESIAN_POINT('Ctrl Pts',(-23.7679547071781,1.23742380133916, 9.35890248501655)); #472133=CARTESIAN_POINT('Ctrl Pts',(-23.763384098298,1.32184723263539,9.50370779436652)); #472134=CARTESIAN_POINT('Ctrl Pts',(-23.7589502508537,1.39724120231915, 9.63537335788151)); #472135=CARTESIAN_POINT('Ctrl Pts',(-23.7542808759292,1.47664012524643, 9.77403304079185)); #472136=CARTESIAN_POINT('Ctrl Pts',(-23.7500078241755,1.54306977320151, 9.89143621980811)); #472137=CARTESIAN_POINT('Ctrl Pts',(-23.7457752572372,1.60566417185581, 9.99753446218872)); #472138=CARTESIAN_POINT('Ctrl Pts',(-23.7397009822614,1.69549514084321, 10.149799011826)); #472139=CARTESIAN_POINT('Ctrl Pts',(-23.7337347984685,1.77725219398404, 10.2808992130742)); #472140=CARTESIAN_POINT('Ctrl Pts',(-23.7263642571452,1.87073224627769, 10.4180857138343)); #472141=CARTESIAN_POINT('Ctrl Pts',(-23.7216770509923,1.9301797491224,10.5053278012538)); #472142=CARTESIAN_POINT('Ctrl Pts',(-23.7166342386783,1.99124764401388, 10.5899287114873)); #472143=CARTESIAN_POINT('Ctrl Pts',(-23.71131276858,2.05271692899292,10.6720801098363)); #472144=CARTESIAN_POINT('Ctrl Pts',(-23.7092054197553,2.07705930488948, 10.7046127839958)); #472145=CARTESIAN_POINT('Ctrl Pts',(-23.706943587837,2.10291846875805,10.7388321348738)); #472146=CARTESIAN_POINT('Ctrl Pts',(-23.7035299436727,2.14071675132653, 10.787832754198)); #472147=CARTESIAN_POINT('Ctrl Pts',(-23.6956715924894,2.22772997363526, 10.9006342227513)); #472148=CARTESIAN_POINT('Ctrl Pts',(-23.6852184256444,2.33662698779357, 11.0347000927001)); #472149=CARTESIAN_POINT('Ctrl Pts',(-23.675308207622,2.43306006380996,11.1353869733459)); #472150=CARTESIAN_POINT('Ctrl Pts',(-23.6627558052956,2.55520336731618, 11.2629181973808)); #472151=CARTESIAN_POINT('Ctrl Pts',(-23.6497365899515,2.672231144689,11.3621797154686)); #472152=CARTESIAN_POINT('Ctrl Pts',(-23.6375826602092,2.77573161198603, 11.4482505096141)); #472153=CARTESIAN_POINT('Ctrl Pts',(-23.6225489921185,2.90375536278545, 11.5547148202452)); #472154=CARTESIAN_POINT('Ctrl Pts',(-23.6086035640848,3.01379112318055, 11.6395213907597)); #472155=CARTESIAN_POINT('Ctrl Pts',(-23.596145343769,3.10836370390075,11.7071786781595)); #472156=CARTESIAN_POINT('Ctrl Pts',(-23.594319140182,3.12222674226148,11.7170963050601)); #472157=CARTESIAN_POINT('Ctrl Pts',(-23.5925272272823,3.13573536883977, 11.7266387369366)); #472158=CARTESIAN_POINT('Ctrl Pts',(-23.590765623352,3.14893272460279,11.7358511238711)); #472159=CARTESIAN_POINT('Ctrl Pts',(-23.5708834230835,3.29788361292909, 11.8398259838457)); #472160=CARTESIAN_POINT('Ctrl Pts',(-23.5535942795207,3.41818248721178, 11.9084657866638)); #472161=CARTESIAN_POINT('Ctrl Pts',(-23.5349002412119,3.54238205678101, 11.9504454763768)); #472162=CARTESIAN_POINT('Ctrl Pts',(-23.5330209884655,3.55486744802516, 11.9546655622611)); #472163=CARTESIAN_POINT('Ctrl Pts',(-23.5311246794853,3.56739878880056, 11.9586036405294)); #472164=CARTESIAN_POINT('Ctrl Pts',(-23.5292054160382,3.58001291755738, 11.9622510872118)); #472165=CARTESIAN_POINT('Ctrl Pts',(-23.4974198832087,3.78891951885404, 12.0226576126653)); #472166=CARTESIAN_POINT('Ctrl Pts',(-23.459071777776,4.02020600814587,12.0018871584287)); #472167=CARTESIAN_POINT('Ctrl Pts',(-23.4191897477417,4.24046596015915, 11.9800291129308)); #472168=CARTESIAN_POINT('',(-23.7741510096746,1.10893812685384,4.00197404580722)); #472169=CARTESIAN_POINT('Ctrl Pts',(-23.4191897000595,4.24046622349782, 11.980029332178)); #472170=CARTESIAN_POINT('Ctrl Pts',(-23.4090583112989,4.29641972077983, 11.8041280163715)); #472171=CARTESIAN_POINT('Ctrl Pts',(-23.3986086253498,4.35261319809426, 11.6266034744379)); #472172=CARTESIAN_POINT('Ctrl Pts',(-23.3943350594061,4.37552645897146, 11.2615329282982)); #472173=CARTESIAN_POINT('Ctrl Pts',(-23.4023365721341,4.33298695681792, 11.1236859834579)); #472174=CARTESIAN_POINT('Ctrl Pts',(-23.4165303546932,4.25515288255406, 10.8793115998331)); #472175=CARTESIAN_POINT('Ctrl Pts',(-23.427081720069,4.19680425368875,10.6870292949114)); #472176=CARTESIAN_POINT('Ctrl Pts',(-23.4439261362945,4.10194133859286, 10.4066147512557)); #472177=CARTESIAN_POINT('Ctrl Pts',(-23.4520332774349,4.05574195739502, 10.3121665844317)); #472178=CARTESIAN_POINT('Ctrl Pts',(-23.4970108744979,3.7901419638062,9.76256711298323)); #472179=CARTESIAN_POINT('Ctrl Pts',(-23.500146805718,3.7669256975475,9.70683002236695)); #472180=CARTESIAN_POINT('Ctrl Pts',(-23.5449478539478,3.48118058907618, 9.23400451616215)); #472181=CARTESIAN_POINT('Ctrl Pts',(-23.5750547201581,3.27073647159371, 8.89711423681447)); #472182=CARTESIAN_POINT('Ctrl Pts',(-23.6068521681646,3.02629885937817, 8.47910771219878)); #472183=CARTESIAN_POINT('Ctrl Pts',(-23.6124282786312,2.98247280079548, 8.40458534718046)); #472184=CARTESIAN_POINT('Ctrl Pts',(-23.6311378706148,2.83212579400338, 8.14513768344616)); #472185=CARTESIAN_POINT('Ctrl Pts',(-23.6388763960394,2.76589968769908, 8.02397343416389)); #472186=CARTESIAN_POINT('Ctrl Pts',(-23.6595521258605,2.58377818507121, 7.68297769098529)); #472187=CARTESIAN_POINT('Ctrl Pts',(-23.6700911740135,2.48515765781278, 7.49084337478094)); #472188=CARTESIAN_POINT('Ctrl Pts',(-23.6861664643572,2.32585944187804, 7.16571618151082)); #472189=CARTESIAN_POINT('Ctrl Pts',(-23.6924249360276,2.26114014930797, 7.02963251199273)); #472190=CARTESIAN_POINT('Ctrl Pts',(-23.7034918217414,2.14193635160002, 6.76927156472818)); #472191=CARTESIAN_POINT('Ctrl Pts',(-23.7079984003398,2.09120376709495, 6.65279120182809)); #472192=CARTESIAN_POINT('Ctrl Pts',(-23.7183313837787,1.97128553149315, 6.37654834255095)); #472193=CARTESIAN_POINT('Ctrl Pts',(-23.727241088942,1.86154705625453,6.14063098508617)); #472194=CARTESIAN_POINT('Ctrl Pts',(-23.7381949569503,1.7151801300606,5.76132564623165)); #472195=CARTESIAN_POINT('Ctrl Pts',(-23.7422662106866,1.65785116273264, 5.60181511917181)); #472196=CARTESIAN_POINT('Ctrl Pts',(-23.7487639538346,1.5612560087428,5.34900206534582)); #472197=CARTESIAN_POINT('Ctrl Pts',(-23.7521453247979,1.50919331360284, 5.21277596842672)); #472198=CARTESIAN_POINT('Ctrl Pts',(-23.7595440446909,1.38912162393263, 4.8784421740808)); #472199=CARTESIAN_POINT('Ctrl Pts',(-23.7629357909849,1.32851770389818, 4.68573125757766)); #472200=CARTESIAN_POINT('Ctrl Pts',(-23.7705069699825,1.18827593398801, 4.24983786079489)); #472201=CARTESIAN_POINT('Ctrl Pts',(-23.7718108572414,1.15910786670225, 4.17793364696297)); #472202=CARTESIAN_POINT('Ctrl Pts',(-23.7741510096746,1.10893812685384, 4.00197404580722)); #472203=CARTESIAN_POINT('',(-8.19179628001235,22.3463425916291,4.00233887816857)); #472204=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #472205=CARTESIAN_POINT('',(-3.2040044956799,23.5833491091845,11.9800290786449)); #472206=CARTESIAN_POINT('Ctrl Pts',(-8.19209330895731,22.3456843085491, 4.00193246815102)); #472207=CARTESIAN_POINT('Ctrl Pts',(-8.18134094533182,22.3496262046618, 4.04873905695486)); #472208=CARTESIAN_POINT('Ctrl Pts',(-8.17050266470473,22.3535908196688, 4.0950985310357)); #472209=CARTESIAN_POINT('Ctrl Pts',(-8.15953436662918,22.3575937640838, 4.14119406699419)); #472210=CARTESIAN_POINT('Ctrl Pts',(-8.1241065548383,22.3705233508225,4.29008352651553)); #472211=CARTESIAN_POINT('Ctrl Pts',(-8.08716886751329,22.3839098494891, 4.43697543288272)); #472212=CARTESIAN_POINT('Ctrl Pts',(-8.04813415133133,22.3979359915635, 4.58553631068282)); #472213=CARTESIAN_POINT('Ctrl Pts',(-8.00908226536692,22.4119683031668, 4.73416253436478)); #472214=CARTESIAN_POINT('Ctrl Pts',(-7.9667818899127,22.427033379575,4.88784667756645)); #472215=CARTESIAN_POINT('Ctrl Pts',(-7.93100485629014,22.4396782946972, 5.01373284575619)); #472216=CARTESIAN_POINT('Ctrl Pts',(-7.88198055761967,22.4570052788778, 5.18623124718664)); #472217=CARTESIAN_POINT('Ctrl Pts',(-7.84182541721332,22.4710385504397, 5.32055279488827)); #472218=CARTESIAN_POINT('Ctrl Pts',(-7.80174983479405,22.4849438406079, 5.44958898287068)); #472219=CARTESIAN_POINT('Ctrl Pts',(-7.75683967773894,22.5005266151467, 5.59419163411737)); #472220=CARTESIAN_POINT('Ctrl Pts',(-7.70861640428901,22.5170904261575, 5.74213950614765)); #472221=CARTESIAN_POINT('Ctrl Pts',(-7.66494263575497,22.5319474167367, 5.87951248815166)); #472222=CARTESIAN_POINT('Ctrl Pts',(-7.61355801060148,22.5494274949952, 6.04113946524644)); #472223=CARTESIAN_POINT('Ctrl Pts',(-7.56682230595213,22.565146492944,6.19158766856902)); #472224=CARTESIAN_POINT('Ctrl Pts',(-7.51810865242631,22.5813649341733, 6.33349147916032)); #472225=CARTESIAN_POINT('Ctrl Pts',(-7.46649256892719,22.5985496936017, 6.48385012414352)); #472226=CARTESIAN_POINT('Ctrl Pts',(-7.41320237411061,22.6160905756535, 6.62475025122379)); #472227=CARTESIAN_POINT('Ctrl Pts',(-7.36006327812383,22.6333706845006, 6.76298305049743)); #472228=CARTESIAN_POINT('Ctrl Pts',(-7.26488296018884,22.6643220250057, 7.0105793172307)); #472229=CARTESIAN_POINT('Ctrl Pts',(-7.17103917391292,22.6942353647475, 7.24655083991244)); #472230=CARTESIAN_POINT('Ctrl Pts',(-7.06590495889846,22.7269220773913, 7.49241604126015)); #472231=CARTESIAN_POINT('Ctrl Pts',(-7.05139872313202,22.7314321330375, 7.5263400932638)); #472232=CARTESIAN_POINT('Ctrl Pts',(-7.03614726011833,22.7361591263933, 7.56168539099384)); #472233=CARTESIAN_POINT('Ctrl Pts',(-7.01959485394514,22.7412683922086, 7.59957878474953)); #472234=CARTESIAN_POINT('Ctrl Pts',(-6.96420375249911,22.7583660792839, 7.72638553391303)); #472235=CARTESIAN_POINT('Ctrl Pts',(-6.89636981649739,22.779031224272,7.87573242876819)); #472236=CARTESIAN_POINT('Ctrl Pts',(-6.83443873093603,22.7975974004517, 8.01648417482883)); #472237=CARTESIAN_POINT('Ctrl Pts',(-6.76340866638072,22.8188913383931, 8.17791531265467)); #472238=CARTESIAN_POINT('Ctrl Pts',(-6.69669194809237,22.8384931948599, 8.33189882905601)); #472239=CARTESIAN_POINT('Ctrl Pts',(-6.64912212607382,22.8523341248231, 8.43301502170742)); #472240=CARTESIAN_POINT('Ctrl Pts',(-6.59448684015861,22.8682308243226, 8.54914982864474)); #472241=CARTESIAN_POINT('Ctrl Pts',(-6.56001876526448,22.8782925115385, 8.61766822620813)); #472242=CARTESIAN_POINT('Ctrl Pts',(-6.46322758201896,22.9056038825225, 8.80433436324227)); #472243=CARTESIAN_POINT('Ctrl Pts',(-6.40218555143723,22.922827987931,8.92205665636178)); #472244=CARTESIAN_POINT('Ctrl Pts',(-6.32340236717095,22.9447314299094, 9.07268108498538)); #472245=CARTESIAN_POINT('Ctrl Pts',(-6.24792873438269,22.9652647824943, 9.21154783605049)); #472246=CARTESIAN_POINT('Ctrl Pts',(-6.16461566831445,22.987930930887,9.36483865270729)); #472247=CARTESIAN_POINT('Ctrl Pts',(-6.07611345409635,23.0114122028327, 9.52177031130421)); #472248=CARTESIAN_POINT('Ctrl Pts',(-6.01306404571777,23.0278757331651, 9.63537335787906)); #472249=CARTESIAN_POINT('Ctrl Pts',(-5.92469637168622,23.0509503966591, 9.79459510550339)); #472250=CARTESIAN_POINT('Ctrl Pts',(-5.88244328087514,23.0616658778539, 9.87188070413695)); #472251=CARTESIAN_POINT('Ctrl Pts',(-5.82449431638131,23.0762922922735, 9.97353064372905)); #472252=CARTESIAN_POINT('Ctrl Pts',(-5.8199221658409,23.077446310627,9.98155078404214)); #472253=CARTESIAN_POINT('Ctrl Pts',(-5.81534861530093,23.0785992375624, 9.98955096320977)); #472254=CARTESIAN_POINT('Ctrl Pts',(-5.8107707254654,23.0797518092391,9.99753446219004)); #472255=CARTESIAN_POINT('Ctrl Pts',(-5.72961391000451,23.1001845960104, 10.1390659050057)); #472256=CARTESIAN_POINT('Ctrl Pts',(-5.64077395948303,23.1220647186167, 10.2855424158304)); #472257=CARTESIAN_POINT('Ctrl Pts',(-5.55267767710443,23.1432013907797, 10.4180857138429)); #472258=CARTESIAN_POINT('Ctrl Pts',(-5.45338672598601,23.1670239656475, 10.5674717011821)); #472259=CARTESIAN_POINT('Ctrl Pts',(-5.36114191772537,23.1884379550582, 10.6921030946913)); #472260=CARTESIAN_POINT('Ctrl Pts',(-5.28885096337012,23.2049144684323, 10.7878327542)); #472261=CARTESIAN_POINT('Ctrl Pts',(-5.14107911100379,23.238594545332,10.9835162838775)); #472262=CARTESIAN_POINT('Ctrl Pts',(-5.10063317703957,23.2471101396891, 11.0275275369236)); #472263=CARTESIAN_POINT('Ctrl Pts',(-5.03442642885943,23.261439132874,11.1004137166491)); #472264=CARTESIAN_POINT('Ctrl Pts',(-5.02362225198015,23.2637774591191, 11.1123078996358)); #472265=CARTESIAN_POINT('Ctrl Pts',(-5.01284579285986,23.2661019076879, 11.123965607174)); #472266=CARTESIAN_POINT('Ctrl Pts',(-5.00209495499743,23.268413054207,11.1353869733392)); #472267=CARTESIAN_POINT('Ctrl Pts',(-4.88192102487674,23.2942472788349, 11.2630561352206)); #472268=CARTESIAN_POINT('Ctrl Pts',(-4.76666189856962,23.3180140756748, 11.3622351166577)); #472269=CARTESIAN_POINT('Ctrl Pts',(-4.66453711086836,23.3384252583873, 11.4482505096173)); #472270=CARTESIAN_POINT('Ctrl Pts',(-4.53833185534638,23.3636492866348, 11.5545478629987)); #472271=CARTESIAN_POINT('Ctrl Pts',(-4.42952577655646,23.3843637705025, 11.6392676600764)); #472272=CARTESIAN_POINT('Ctrl Pts',(-4.33538035734549,23.4018049978446, 11.7071786781654)); #472273=CARTESIAN_POINT('Ctrl Pts',(-4.32164649539585,23.4043493107868, 11.7170854856884)); #472274=CARTESIAN_POINT('Ctrl Pts',(-4.30824730725761,23.4068191532674, 11.726626771278)); #472275=CARTESIAN_POINT('Ctrl Pts',(-4.29513450081139,23.4092250965285, 11.7358511238774)); #472276=CARTESIAN_POINT('Ctrl Pts',(-4.14698072337579,23.4364084141422, 11.8400715810018)); #472277=CARTESIAN_POINT('Ctrl Pts',(-4.02724240420293,23.4571312981182, 11.9085788793855)); #472278=CARTESIAN_POINT('Ctrl Pts',(-3.90367859712285,23.4776764908788, 11.9504454763866)); #472279=CARTESIAN_POINT('Ctrl Pts',(-3.89122059615234,23.4797479067521, 11.9546665676463)); #472280=CARTESIAN_POINT('Ctrl Pts',(-3.87871670463923,23.4818167834428, 11.9586044673078)); #472281=CARTESIAN_POINT('Ctrl Pts',(-3.86612972401124,23.4838889657807, 11.9622510872213)); #472282=CARTESIAN_POINT('Ctrl Pts',(-3.65751905972293,23.5182323358727, 12.0226884411073)); #472283=CARTESIAN_POINT('Ctrl Pts',(-3.42579958247911,23.5532163040881, 12.0018862589174)); #472284=CARTESIAN_POINT('Ctrl Pts',(-3.20400449563487,23.5833491088936, 11.9800290787008)); #472285=CARTESIAN_POINT('',(-6.29196585480875,22.9532386752267,4.00193046088705)); #472286=CARTESIAN_POINT('Ctrl Pts',(-3.20400449650279,23.5833491087757, 11.9800290783635)); #472287=CARTESIAN_POINT('Ctrl Pts',(-3.14765783008424,23.591004296838,11.8041247744535)); #472288=CARTESIAN_POINT('Ctrl Pts',(-3.09098603936488,23.5984307222309, 11.6266044219632)); #472289=CARTESIAN_POINT('Ctrl Pts',(-3.06787451002478,23.6014467912834, 11.2615286821511)); #472290=CARTESIAN_POINT('Ctrl Pts',(-3.11080579603129,23.5959110933901, 11.1236810225436)); #472291=CARTESIAN_POINT('Ctrl Pts',(-3.18921672564386,23.5853580974217, 10.8793060899184)); #472292=CARTESIAN_POINT('Ctrl Pts',(-3.24797016678307,23.5773623141382, 10.6870232953858)); #472293=CARTESIAN_POINT('Ctrl Pts',(-3.34339560834014,23.5640680106057, 10.4066097814292)); #472294=CARTESIAN_POINT('Ctrl Pts',(-3.38983970292095,23.5575018767282, 10.3121610804064)); #472295=CARTESIAN_POINT('Ctrl Pts',(-3.65633529177285,23.5182037249756, 9.76256945988258)); #472296=CARTESIAN_POINT('Ctrl Pts',(-3.67938511569346,23.514011893396,9.70682980341868)); #472297=CARTESIAN_POINT('Ctrl Pts',(-3.96499370129062,23.4683193246956, 9.23399981069816)); #472298=CARTESIAN_POINT('Ctrl Pts',(-4.17443775334231,23.4319224659179, 8.89711354220133)); #472299=CARTESIAN_POINT('Ctrl Pts',(-4.4167378131998,23.3866282610547,8.47910673357272)); #472300=CARTESIAN_POINT('Ctrl Pts',(-4.46014483382126,23.3783879155072, 8.40457951421821)); #472301=CARTESIAN_POINT('Ctrl Pts',(-4.60891124507469,23.3497226814128, 8.14513786345251)); #472302=CARTESIAN_POINT('Ctrl Pts',(-4.67428665785125,23.3366176211326, 8.02397505588859)); #472303=CARTESIAN_POINT('Ctrl Pts',(-4.85387897434803,23.3000037591549, 7.68298862294105)); #472304=CARTESIAN_POINT('Ctrl Pts',(-4.95093010712704,23.2795514530339, 7.49085331380419)); #472305=CARTESIAN_POINT('Ctrl Pts',(-5.10740772172853,23.2456123039981, 7.16570906152208)); #472306=CARTESIAN_POINT('Ctrl Pts',(-5.17090224449081,23.231563121793,7.02960613205245)); #472307=CARTESIAN_POINT('Ctrl Pts',(-5.28770915217032,23.2052483064768, 6.76920380562448)); #472308=CARTESIAN_POINT('Ctrl Pts',(-5.33748625639615,23.1938256757763, 6.6524029219416)); #472309=CARTESIAN_POINT('Ctrl Pts',(-5.42829325636428,23.1727355486825, 6.43844563498127)); #472310=CARTESIAN_POINT('Ctrl Pts',(-5.47293009035167,23.1622352131232, 6.33507097414158)); #472311=CARTESIAN_POINT('Ctrl Pts',(-5.60480033334704,23.1308075640414, 6.0295656671791)); #472312=CARTESIAN_POINT('Ctrl Pts',(-5.63538515191751,23.1233165476811, 5.9484699219207)); #472313=CARTESIAN_POINT('Ctrl Pts',(-5.74843406990517,23.0953975996483, 5.63443266625125)); #472314=CARTESIAN_POINT('Ctrl Pts',(-5.91422755382209,23.0537059787018, 5.19822816620774)); #472315=CARTESIAN_POINT('Ctrl Pts',(-6.06972992748114,23.0130672884457, 4.72238516823504)); #472316=CARTESIAN_POINT('Ctrl Pts',(-6.105059034155,23.0037184073246,4.60143110002687)); #472317=CARTESIAN_POINT('Ctrl Pts',(-6.20685495622267,22.9764596503574, 4.28201183550225)); #472318=CARTESIAN_POINT('Ctrl Pts',(-6.24574189554732,22.9659096354106, 4.16985415440322)); #472319=CARTESIAN_POINT('Ctrl Pts',(-6.29196585480875,22.9532386752267, 4.00193046088705)); #472320=CARTESIAN_POINT('',(-5.728750807066E-13,23.8,4.004245504764)); #472321=CARTESIAN_POINT('Origin',(0.,0.,4.004245504764)); #472322=CARTESIAN_POINT('',(18.7205174275137,14.6963338028964,4.00196428368506)); #472323=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #472324=CARTESIAN_POINT('',(21.4390060071346,10.3348450128733,11.9800290786407)); #472325=CARTESIAN_POINT('Ctrl Pts',(21.4390060068708,10.33484501274,11.9800290786969)); #472326=CARTESIAN_POINT('Ctrl Pts',(21.3504569725354,10.5185345868633,11.9999416595864)); #472327=CARTESIAN_POINT('Ctrl Pts',(21.2560128414001,10.7079731843827,12.0192966219997)); #472328=CARTESIAN_POINT('Ctrl Pts',(21.1388424567132,10.9358520438084,11.963683739509)); #472329=CARTESIAN_POINT('Ctrl Pts',(21.1107628076521,10.990021638502,11.9444414492697)); #472330=CARTESIAN_POINT('Ctrl Pts',(21.0221228308012,11.1590768378028,11.8591337787136)); #472331=CARTESIAN_POINT('Ctrl Pts',(20.932529177641,11.3312169724091,11.7478262343444)); #472332=CARTESIAN_POINT('Ctrl Pts',(20.6665819517781,11.8053943397823,11.2997096049599)); #472333=CARTESIAN_POINT('Ctrl Pts',(20.6107784783173,11.9015056367241,11.1948624040579)); #472334=CARTESIAN_POINT('Ctrl Pts',(20.5251949492876,12.0482879153455,11.0094778961206)); #472335=CARTESIAN_POINT('Ctrl Pts',(20.4918624475295,12.104861255692,10.9298243338272)); #472336=CARTESIAN_POINT('Ctrl Pts',(20.3910842077981,12.2744224832113,10.6797391111996)); #472337=CARTESIAN_POINT('Ctrl Pts',(20.313053441253,12.4039119571972,10.484060125925)); #472338=CARTESIAN_POINT('Ctrl Pts',(20.1472955198799,12.6704833948921,9.97954648658728)); #472339=CARTESIAN_POINT('Ctrl Pts',(20.0969178396728,12.7508504033221,9.80831114986176)); #472340=CARTESIAN_POINT('Ctrl Pts',(19.9696341727978,12.948259043155,9.40117204466273)); #472341=CARTESIAN_POINT('Ctrl Pts',(19.9379385258783,12.9970228402532,9.30061970435508)); #472342=CARTESIAN_POINT('Ctrl Pts',(19.8470856562083,13.1355888453166,9.00528661819051)); #472343=CARTESIAN_POINT('Ctrl Pts',(19.7693602595532,13.2521892510246,8.74750396886341)); #472344=CARTESIAN_POINT('Ctrl Pts',(19.6559254539215,13.4200311996643,8.35597084689192)); #472345=CARTESIAN_POINT('Ctrl Pts',(19.6212976751812,13.4704274424557,8.21350701628426)); #472346=CARTESIAN_POINT('Ctrl Pts',(19.5075087557294,13.6348688149087,7.77777251404245)); #472347=CARTESIAN_POINT('Ctrl Pts',(19.4392971301654,13.7330260627149,7.53872751752987)); #472348=CARTESIAN_POINT('Ctrl Pts',(19.1990735350156,14.06690761253,6.55257243185671)); #472349=CARTESIAN_POINT('Ctrl Pts',(19.0939410102523,14.2088050629079,6.0473854982725)); #472350=CARTESIAN_POINT('Ctrl Pts',(18.9620923917311,14.3833751582334,5.39324889127028)); #472351=CARTESIAN_POINT('Ctrl Pts',(18.9413481798065,14.4106517070864,5.28587537498209)); #472352=CARTESIAN_POINT('Ctrl Pts',(18.8824522082462,14.4877990567183,4.97211803299898)); #472353=CARTESIAN_POINT('Ctrl Pts',(18.8473558739751,14.5334148724594,4.776705407611)); #472354=CARTESIAN_POINT('Ctrl Pts',(18.781485996284,14.6184334679379,4.39118862398213)); #472355=CARTESIAN_POINT('Ctrl Pts',(18.7504024996076,14.6582655322338,4.19976989113941)); #472356=CARTESIAN_POINT('Ctrl Pts',(18.7205174275137,14.6963338028964,4.00196428368506)); #472357=CARTESIAN_POINT('',(19.8855189343525,13.076931471546,4.00203311498567)); #472358=CARTESIAN_POINT('Ctrl Pts',(21.439006006489,10.3348450135321,11.9800290783582)); #472359=CARTESIAN_POINT('Ctrl Pts',(21.4561451966372,10.2992908083116,11.8579334688915)); #472360=CARTESIAN_POINT('Ctrl Pts',(21.4732600862857,10.2635126730569,11.7348922624282)); #472361=CARTESIAN_POINT('Ctrl Pts',(21.4975002497109,10.2126641065546,11.4500591094746)); #472362=CARTESIAN_POINT('Ctrl Pts',(21.4954618790564,10.2169147841843,11.3398797458493)); #472363=CARTESIAN_POINT('Ctrl Pts',(21.4718873901604,10.2664321220027,10.9984769766304)); #472364=CARTESIAN_POINT('Ctrl Pts',(21.4328124505026,10.3480370468453,10.7615402003301)); #472365=CARTESIAN_POINT('Ctrl Pts',(21.3672230613106,10.4826474639547,10.349778497623)); #472366=CARTESIAN_POINT('Ctrl Pts',(21.3406488110121,10.5367535187476,10.2383882374114)); #472367=CARTESIAN_POINT('Ctrl Pts',(21.2511191058089,10.7165499378935,9.82375600023187)); #472368=CARTESIAN_POINT('Ctrl Pts',(21.2435225372156,10.7323360558121,9.75816758692107)); #472369=CARTESIAN_POINT('Ctrl Pts',(21.0912083548236,11.0284265249746,9.23690226564183)); #472370=CARTESIAN_POINT('Ctrl Pts',(21.0183659138357,11.1664866504302,8.9861325350086)); #472371=CARTESIAN_POINT('Ctrl Pts',(20.8859252983462,11.4120589340778,8.5078017564717)); #472372=CARTESIAN_POINT('Ctrl Pts',(20.8524321337218,11.4727398814276,8.39352890518679)); #472373=CARTESIAN_POINT('Ctrl Pts',(20.7734658704271,11.6150225591219,8.11060132131043)); #472374=CARTESIAN_POINT('Ctrl Pts',(20.7383058794344,11.6776334943653,7.97998825300575)); #472375=CARTESIAN_POINT('Ctrl Pts',(20.6548686992232,11.8248424260299,7.66445677989435)); #472376=CARTESIAN_POINT('Ctrl Pts',(20.6055717308729,11.910484773235,7.47243997356827)); #472377=CARTESIAN_POINT('Ctrl Pts',(20.525231443816,12.0481901348601,7.14770288512232)); #472378=CARTESIAN_POINT('Ctrl Pts',(20.4924999119103,12.1037657277088,7.01234218619794)); #472379=CARTESIAN_POINT('Ctrl Pts',(20.406812405988,12.2479976983885,6.64627113800245)); #472380=CARTESIAN_POINT('Ctrl Pts',(20.3543279653115,12.3349896964441,6.41045866047019)); #472381=CARTESIAN_POINT('Ctrl Pts',(20.2465660313629,12.5110845753153,5.93986265219693)); #472382=CARTESIAN_POINT('Ctrl Pts',(20.2294291262192,12.5385769742755,5.84078660799375)); #472383=CARTESIAN_POINT('Ctrl Pts',(20.1601165041701,12.6496150213518,5.49053955375356)); #472384=CARTESIAN_POINT('Ctrl Pts',(20.1344445647673,12.6904169204056,5.36814247975264)); #472385=CARTESIAN_POINT('Ctrl Pts',(20.0540730396157,12.817336744431,4.96657109630004)); #472386=CARTESIAN_POINT('Ctrl Pts',(20.0210853519432,12.8685140062947,4.77626184859794)); #472387=CARTESIAN_POINT('Ctrl Pts',(19.9581101542461,12.9660399540149,4.41381767583154)); #472388=CARTESIAN_POINT('Ctrl Pts',(19.9181387544569,13.0273279348346,4.21001938789432)); #472389=CARTESIAN_POINT('Ctrl Pts',(19.8855189343525,13.076931471546,4.00203311498567)); #472390=CARTESIAN_POINT('',(23.8,-2.390407871644E-12,4.004245504765)); #472391=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #472392=CARTESIAN_POINT('',(23.8,1.0030035599642E-12,3.534245504764)); #472393=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #472394=CARTESIAN_POINT('',(21.99697461715,-9.086974617144,7.004245504761)); #472395=CARTESIAN_POINT('',(21.99697461715,-9.086974617145,7.004245504761)); #472396=CARTESIAN_POINT('',(19.9513353094985,-12.9762944896749,7.004245504761)); #472397=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #472398=CARTESIAN_POINT('',(19.95133532732,-12.97629445483,4.00424551174)); #472399=CARTESIAN_POINT('',(19.7620020901629,-13.2628531390646,4.00196404399307)); #472400=CARTESIAN_POINT('Origin',(0.,0.,4.004245504766)); #472401=CARTESIAN_POINT('',(16.4540388536853,-17.1960636767402,11.9800290082186)); #472402=CARTESIAN_POINT('Ctrl Pts',(19.7620020901629,-13.2628531390646, 4.00196404399307)); #472403=CARTESIAN_POINT('Ctrl Pts',(19.744130279846,-13.2894826092951,4.13304056628238)); #472404=CARTESIAN_POINT('Ctrl Pts',(19.7258161564768,-13.3166575146927, 4.26049768595259)); #472405=CARTESIAN_POINT('Ctrl Pts',(19.6870644736743,-13.3738808921026, 4.51805506917938)); #472406=CARTESIAN_POINT('Ctrl Pts',(19.6665883312964,-13.4039799198899, 4.6483525361953)); #472407=CARTESIAN_POINT('Ctrl Pts',(19.6066838575085,-13.4915794602083, 5.01527002265389)); #472408=CARTESIAN_POINT('Ctrl Pts',(19.5716654573327,-13.5425826723835, 5.22300581822737)); #472409=CARTESIAN_POINT('Ctrl Pts',(19.412461538104,-13.7704493330926,6.05359918171727)); #472410=CARTESIAN_POINT('Ctrl Pts',(19.3095369766913,-13.9149000536903, 6.56026510421133)); #472411=CARTESIAN_POINT('Ctrl Pts',(19.0666971917923,-14.2457934407532, 7.54313796529938)); #472412=CARTESIAN_POINT('Ctrl Pts',(18.9954790408021,-14.3396476578185, 7.77811250170312)); #472413=CARTESIAN_POINT('Ctrl Pts',(18.8715235965064,-14.5021796464383, 8.22434184319386)); #472414=CARTESIAN_POINT('Ctrl Pts',(18.8338474933165,-14.5512593374563, 8.36750767430492)); #472415=CARTESIAN_POINT('Ctrl Pts',(18.7088360115362,-14.7114731709651, 8.7587083831381)); #472416=CARTESIAN_POINT('Ctrl Pts',(18.622999424004,-14.8200951948106,9.01371981392867)); #472417=CARTESIAN_POINT('Ctrl Pts',(18.5176181516599,-14.9513091031091, 9.31293981021172)); #472418=CARTESIAN_POINT('Ctrl Pts',(18.479538121443,-14.9983547843722,9.41751254831832)); #472419=CARTESIAN_POINT('Ctrl Pts',(18.2706843603278,-15.2542957053082, 9.99025801281602)); #472420=CARTESIAN_POINT('Ctrl Pts',(18.138797219994,-15.4129619801003,10.3613835227223)); #472421=CARTESIAN_POINT('Ctrl Pts',(17.8538595822246,-15.7379742796872, 10.912797973608)); #472422=CARTESIAN_POINT('Ctrl Pts',(17.8102506574095,-15.7873322361461, 10.9935343613397)); #472423=CARTESIAN_POINT('Ctrl Pts',(17.6912173838902,-15.9208258701261, 11.1912980215091)); #472424=CARTESIAN_POINT('Ctrl Pts',(17.6166071693848,-16.0039792507433, 11.2958330190488)); #472425=CARTESIAN_POINT('Ctrl Pts',(17.2391286858306,-16.4132512885165, 11.7563565547787)); #472426=CARTESIAN_POINT('Ctrl Pts',(17.1017712399776,-16.5523467186929, 11.8629999509778)); #472427=CARTESIAN_POINT('Ctrl Pts',(16.9802892834532,-16.6767712067722, 11.9420304726393)); #472428=CARTESIAN_POINT('Ctrl Pts',(16.9370581762594,-16.7206353303041, 11.962009527247)); #472429=CARTESIAN_POINT('Ctrl Pts',(16.7551073937915,-16.9040160642923, 12.0196550705775)); #472430=CARTESIAN_POINT('Ctrl Pts',(16.6027155747063,-17.0538024665251, 12.0001228527944)); #472431=CARTESIAN_POINT('Ctrl Pts',(16.4540388408848,-17.1960636723249, 11.9800290716716)); #472432=CARTESIAN_POINT('',(18.5820445700829,-14.871301396668,4.00216484502935)); #472433=CARTESIAN_POINT('Ctrl Pts',(16.4540388741451,-17.1960636404999, 11.9800289953405)); #472434=CARTESIAN_POINT('Ctrl Pts',(16.4084722099583,-17.2396640643858, 11.7849403867197)); #472435=CARTESIAN_POINT('Ctrl Pts',(16.362427824009,-17.2832565328418,11.5879304685117)); #472436=CARTESIAN_POINT('Ctrl Pts',(16.3613046022826,-17.284319837248,11.2197433389086)); #472437=CARTESIAN_POINT('Ctrl Pts',(16.3962705954263,-17.2513710384923, 11.0866776094406)); #472438=CARTESIAN_POINT('Ctrl Pts',(16.4712933552902,-17.1795458221148, 10.7564878150086)); #472439=CARTESIAN_POINT('Ctrl Pts',(16.4834253559226,-17.1679061406696, 10.7022517598749)); #472440=CARTESIAN_POINT('Ctrl Pts',(16.535900070701,-17.1174485957679,10.4791368633169)); #472441=CARTESIAN_POINT('Ctrl Pts',(16.5651014098749,-17.0892677308748, 10.3830164306236)); #472442=CARTESIAN_POINT('Ctrl Pts',(16.6772267432555,-16.9798921889796, 10.0591438987117)); #472443=CARTESIAN_POINT('Ctrl Pts',(16.7320348281941,-16.9259201917849, 9.88843497703228)); #472444=CARTESIAN_POINT('Ctrl Pts',(16.832016005115,-16.8263497335828,9.62425458120151)); #472445=CARTESIAN_POINT('Ctrl Pts',(16.8682503276376,-16.7900931259823, 9.54263856639276)); #472446=CARTESIAN_POINT('Ctrl Pts',(17.0546294870986,-16.6017196746941, 9.13286528834598)); #472447=CARTESIAN_POINT('Ctrl Pts',(17.1776332561412,-16.4743054326421, 8.82918371122113)); #472448=CARTESIAN_POINT('Ctrl Pts',(17.3346975554768,-16.3079818579377, 8.44170739872419)); #472449=CARTESIAN_POINT('Ctrl Pts',(17.3652077931529,-16.2754872447447, 8.36636025020219)); #472450=CARTESIAN_POINT('Ctrl Pts',(17.4510901770471,-16.1835152531556, 8.14960303079884)); #472451=CARTESIAN_POINT('Ctrl Pts',(17.5000704579988,-16.1304624269534, 8.0190511647009)); #472452=CARTESIAN_POINT('Ctrl Pts',(17.6109664882462,-16.0094844820914, 7.71487393308687)); #472453=CARTESIAN_POINT('Ctrl Pts',(17.6787740561872,-15.9345597316356, 7.52015973408635)); #472454=CARTESIAN_POINT('Ctrl Pts',(17.7863160020579,-15.8142724639974, 7.1940703232758)); #472455=CARTESIAN_POINT('Ctrl Pts',(17.8298890142253,-15.7651162708918, 7.05717466347569)); #472456=CARTESIAN_POINT('Ctrl Pts',(17.9430278028385,-15.6364868200562, 6.68656098171496)); #472457=CARTESIAN_POINT('Ctrl Pts',(18.0101550050476,-15.5590946967578, 6.44674329787021)); #472458=CARTESIAN_POINT('Ctrl Pts',(18.1457601354398,-15.4007148267666, 5.97504924628931)); #472459=CARTESIAN_POINT('Ctrl Pts',(18.1662451107907,-15.3763812616705, 5.88321874951574)); #472460=CARTESIAN_POINT('Ctrl Pts',(18.2665614699131,-15.2571077565804, 5.46344707167509)); #472461=CARTESIAN_POINT('Ctrl Pts',(18.2834869041041,-15.2366865124789, 5.39923858995891)); #472462=CARTESIAN_POINT('Ctrl Pts',(18.3813374066169,-15.1187655887601, 4.99254459896841)); #472463=CARTESIAN_POINT('Ctrl Pts',(18.4067763838474,-15.0876150732015, 4.8689761685227)); #472464=CARTESIAN_POINT('Ctrl Pts',(18.4965950036173,-14.9773350384475, 4.42494367590713)); #472465=CARTESIAN_POINT('Ctrl Pts',(18.5437667226074,-14.9188616601091, 4.21563606903042)); #472466=CARTESIAN_POINT('Ctrl Pts',(18.5818641817905,-14.8712583035025, 4.00203311525899)); #472467=CARTESIAN_POINT('',(-7.611607409816E-13,-23.8,4.004245504765)); #472468=CARTESIAN_POINT('Origin',(0.,0.,4.004245504766)); #472469=CARTESIAN_POINT('',(-6.50692856096748,-22.8932278349399,4.00196466917811)); #472470=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #472471=CARTESIAN_POINT('',(-11.2698508020333,-20.9625967455005,11.9800289663363)); #472472=CARTESIAN_POINT('Ctrl Pts',(-6.50692856096748,-22.8932278349399, 4.00196466917811)); #472473=CARTESIAN_POINT('Ctrl Pts',(-6.55350402162462,-22.8799897193987, 4.19986357185192)); #472474=CARTESIAN_POINT('Ctrl Pts',(-6.60208828099477,-22.8660282171624, 4.39137189162224)); #472475=CARTESIAN_POINT('Ctrl Pts',(-6.70540231778535,-22.8359487217404, 4.77706162701279)); #472476=CARTESIAN_POINT('Ctrl Pts',(-6.76063872564239,-22.8196645560213, 4.97257036950289)); #472477=CARTESIAN_POINT('Ctrl Pts',(-6.85369547590923,-22.7918497404955, 5.28650870251439)); #472478=CARTESIAN_POINT('Ctrl Pts',(-6.88649248492685,-22.7819809376397, 5.39380563083008)); #472479=CARTESIAN_POINT('Ctrl Pts',(-7.09591162440225,-22.71820403973,6.04831780924926)); #472480=CARTESIAN_POINT('Ctrl Pts',(-7.26447973786685,-22.6651649103441, 6.5537264149624)); #472481=CARTESIAN_POINT('Ctrl Pts',(-7.65280650521716,-22.5369874563269, 7.53398616688774)); #472482=CARTESIAN_POINT('Ctrl Pts',(-7.76310762984933,-22.4986215307688, 7.76747991440927)); #472483=CARTESIAN_POINT('Ctrl Pts',(-7.95695141865197,-22.4306631428089, 8.21519803090237)); #472484=CARTESIAN_POINT('Ctrl Pts',(-8.01465225598151,-22.4102218076152, 8.35768484303466)); #472485=CARTESIAN_POINT('Ctrl Pts',(-8.20517752498505,-22.3410645879123, 8.74918977356093)); #472486=CARTESIAN_POINT('Ctrl Pts',(-8.3363418394114,-22.2925106799954, 9.0065273302118)); #472487=CARTESIAN_POINT('Ctrl Pts',(-8.4909756636829,-22.2339202559965, 9.30115810397917)); #472488=CARTESIAN_POINT('Ctrl Pts',(-8.54493439794553,-22.2132327243277, 9.40109377991377)); #472489=CARTESIAN_POINT('Ctrl Pts',(-8.81530552857708,-22.1084424626466, 9.90370568359177)); #472490=CARTESIAN_POINT('Ctrl Pts',(-9.01617079771633,-22.029845421688, 10.3093444752398)); #472491=CARTESIAN_POINT('Ctrl Pts',(-9.44903931571865,-21.8439876832925, 10.9107450546246)); #472492=CARTESIAN_POINT('Ctrl Pts',(-9.50948221954183,-21.8177596879923, 10.9916107127933)); #472493=CARTESIAN_POINT('Ctrl Pts',(-9.67324751380683,-21.7458076875132, 11.1897060029103)); #472494=CARTESIAN_POINT('Ctrl Pts',(-9.77525230392521,-21.7006169614857, 11.2943114541884)); #472495=CARTESIAN_POINT('Ctrl Pts',(-10.2859531976213,-21.4659095046325, 11.7594032714659)); #472496=CARTESIAN_POINT('Ctrl Pts',(-10.4615239682197,-21.3777033325751, 11.8649620845553)); #472497=CARTESIAN_POINT('Ctrl Pts',(-10.6205400819681,-21.299069650755, 11.94574437463)); #472498=CARTESIAN_POINT('Ctrl Pts',(-10.6765742150255,-21.2709490553608, 11.9640078878874)); #472499=CARTESIAN_POINT('Ctrl Pts',(-10.8986495769552,-21.1588963304928, 12.0196982055166)); #472500=CARTESIAN_POINT('Ctrl Pts',(-11.0884121356709,-21.0601412920666, 12.0001446453173)); #472501=CARTESIAN_POINT('Ctrl Pts',(-11.2698508165894,-20.9625967516389, 11.9800290678638)); #472502=CARTESIAN_POINT('',(-8.40129579638763,-22.2678743696294,4.00203498998032)); #472503=CARTESIAN_POINT('Ctrl Pts',(-11.2698507554505,-20.9625967845082, 11.9800289471017)); #472504=CARTESIAN_POINT('Ctrl Pts',(-11.3046330258027,-20.9438972407525, 11.857869638829)); #472505=CARTESIAN_POINT('Ctrl Pts',(-11.3395273412246,-20.9250020464311, 11.73476406132)); #472506=CARTESIAN_POINT('Ctrl Pts',(-11.3890088501458,-20.8981219612256, 11.4498417962179)); #472507=CARTESIAN_POINT('Ctrl Pts',(-11.3848362866687,-20.9003763960343, 11.3396170525048)); #472508=CARTESIAN_POINT('Ctrl Pts',(-11.3375579286747,-20.9260907078042, 11.0053730841182)); #472509=CARTESIAN_POINT('Ctrl Pts',(-11.2037100977936,-20.9980361766687, 10.5952132351072)); #472510=CARTESIAN_POINT('Ctrl Pts',(-11.1246302099806,-21.0401218348695, 10.349085364029)); #472511=CARTESIAN_POINT('Ctrl Pts',(-11.0711223758206,-21.0683815934596, 10.2373262413831)); #472512=CARTESIAN_POINT('Ctrl Pts',(-10.8929948774831,-21.1612190356633, 9.82253904898269)); #472513=CARTESIAN_POINT('Ctrl Pts',(-10.8788129182647,-21.1688987294476, 9.75937437152413)); #472514=CARTESIAN_POINT('Ctrl Pts',(-10.580010416132,-21.3196782683323, 9.23561876072471)); #472515=CARTESIAN_POINT('Ctrl Pts',(-10.4399453181722,-21.3885412107077, 8.98465360304864)); #472516=CARTESIAN_POINT('Ctrl Pts',(-10.2064672921876,-21.5007015063974, 8.5405949802872)); #472517=CARTESIAN_POINT('Ctrl Pts',(-10.1565392093645,-21.5241497296762, 8.44873413660112)); #472518=CARTESIAN_POINT('Ctrl Pts',(-9.99642874618162,-21.5991612900703, 8.14622308380451)); #472519=CARTESIAN_POINT('Ctrl Pts',(-9.93573698327667,-21.6270229694014, 8.02483727724684)); #472520=CARTESIAN_POINT('Ctrl Pts',(-9.76686183507006,-21.7038890342723, 7.67951402096349)); #472521=CARTESIAN_POINT('Ctrl Pts',(-9.67653615787982,-21.7442835663727, 7.48771922250872)); #472522=CARTESIAN_POINT('Ctrl Pts',(-9.53016011749587,-21.8087168961729, 7.16278762725908)); #472523=CARTESIAN_POINT('Ctrl Pts',(-9.47064569924497,-21.8346195651168, 7.02688317538274)); #472524=CARTESIAN_POINT('Ctrl Pts',(-9.36085416633844,-21.881906150218, 6.76676926147332)); #472525=CARTESIAN_POINT('Ctrl Pts',(-9.31146233634981,-21.9029384606152, 6.64390588327797)); #472526=CARTESIAN_POINT('Ctrl Pts',(-9.17282866823063,-21.9615642470394, 6.29844875472574)); #472527=CARTESIAN_POINT('Ctrl Pts',(-9.11223278581994,-21.9867026707517, 6.165626281584)); #472528=CARTESIAN_POINT('Ctrl Pts',(-8.97256021599502,-22.0439794599019, 5.77886802566332)); #472529=CARTESIAN_POINT('Ctrl Pts',(-8.91913500305428,-22.0656468248076, 5.61780795940468)); #472530=CARTESIAN_POINT('Ctrl Pts',(-8.82479184995478,-22.103499486734, 5.35227559536013)); #472531=CARTESIAN_POINT('Ctrl Pts',(-8.77962063936025,-22.1214917336763, 5.22572618456174)); #472532=CARTESIAN_POINT('Ctrl Pts',(-8.6615007042579,-22.1681061582632, 4.87394561925665)); #472533=CARTESIAN_POINT('Ctrl Pts',(-8.61623899997841,-22.185655465858, 4.71780430259565)); #472534=CARTESIAN_POINT('Ctrl Pts',(-8.47342333741982,-22.2407502923092, 4.24227637785881)); #472535=CARTESIAN_POINT('Ctrl Pts',(-8.447920411454,-22.2502836837803,4.17661515922057)); #472536=CARTESIAN_POINT('Ctrl Pts',(-8.40129579638763,-22.2678743696294, 4.00203498998032)); #472537=CARTESIAN_POINT('Origin',(0.,0.,4.004245504765)); #472538=CARTESIAN_POINT('Ctrl Pts',(-9.660618264889,-11.45787152487,-0.4418422915246)); #472539=CARTESIAN_POINT('Ctrl Pts',(-10.36353837749,-11.14769838957,-0.2671521862545)); #472540=CARTESIAN_POINT('Ctrl Pts',(-12.40964627453,-10.20385757513,0.2465349100831)); #472541=CARTESIAN_POINT('Ctrl Pts',(-17.25210611309,-7.417141522499,1.541964122114)); #472542=CARTESIAN_POINT('Ctrl Pts',(-21.22380711711,-3.982218791924,2.771900102525)); #472543=CARTESIAN_POINT('Ctrl Pts',(-23.6307270549,-1.139126142423,3.622469067872)); #472544=CARTESIAN_POINT('Ctrl Pts',(-23.89401037042,-0.8290194694558,3.715386562116)); #472545=CARTESIAN_POINT('Ctrl Pts',(-9.662507803714,-11.45627887505,-0.4315112997048)); #472546=CARTESIAN_POINT('Ctrl Pts',(-10.36537081624,-11.14602861772,-0.2567753487044)); #472547=CARTESIAN_POINT('Ctrl Pts',(-12.41130038542,-10.2019646441,0.2570529377072)); #472548=CARTESIAN_POINT('Ctrl Pts',(-17.25327176717,-7.414808891228,1.552813748728)); #472549=CARTESIAN_POINT('Ctrl Pts',(-21.22438177726,-3.979663042327,2.783081923947)); #472550=CARTESIAN_POINT('Ctrl Pts',(-23.63086140875,-1.136543604689,3.633874787186)); #472551=CARTESIAN_POINT('Ctrl Pts',(-23.89409665518,-0.8264338738795,3.726816745549)); #472552=CARTESIAN_POINT('Ctrl Pts',(-9.688337921915,-11.43445172413,-0.2906567616374)); #472553=CARTESIAN_POINT('Ctrl Pts',(-10.39041946956,-11.12314918236,-0.1152954676972)); #472554=CARTESIAN_POINT('Ctrl Pts',(-12.43390899528,-10.17604031212,0.4004586527311)); #472555=CARTESIAN_POINT('Ctrl Pts',(-17.26919898141,-7.382884220993,1.700742531795)); #472556=CARTESIAN_POINT('Ctrl Pts',(-21.23223059192,-3.944691843576,2.935541834324)); #472557=CARTESIAN_POINT('Ctrl Pts',(-23.63269214904,-1.101204340185,3.789388698526)); #472558=CARTESIAN_POINT('Ctrl Pts',(-23.89527038995,-0.7910526086203,3.882664351135)); #472559=CARTESIAN_POINT('Ctrl Pts',(-9.740305699862,-11.38885918561,-0.01533950244071)); #472560=CARTESIAN_POINT('Ctrl Pts',(-10.44079569042,-11.07545974581,0.1612458643276)); #472561=CARTESIAN_POINT('Ctrl Pts',(-12.47932709878,-10.12228192938,0.6807699282261)); #472562=CARTESIAN_POINT('Ctrl Pts',(-17.30108590778,-7.317114765563,1.989907386264)); #472563=CARTESIAN_POINT('Ctrl Pts',(-21.24787623577,-3.872716863036,3.233576809713)); #472564=CARTESIAN_POINT('Ctrl Pts',(-23.63625049106,-1.0283401447,4.093401720385)); #472565=CARTESIAN_POINT('Ctrl Pts',(-23.89750912631,-0.7180877660951,4.187330562401)); #472566=CARTESIAN_POINT('Ctrl Pts',(-9.809895274502,-11.32971608154,0.3827575228395)); #472567=CARTESIAN_POINT('Ctrl Pts',(-10.50830563998,-11.01254124217,0.5608815538243)); #472568=CARTESIAN_POINT('Ctrl Pts',(-12.54032042448,-10.04840715181,1.08517696916)); #472569=CARTESIAN_POINT('Ctrl Pts',(-17.34414268489,-7.220437838833,2.405434173054)); #472570=CARTESIAN_POINT('Ctrl Pts',(-21.26900268772,-3.76194008503,3.660350560699)); #472571=CARTESIAN_POINT('Ctrl Pts',(-23.64101567137,-0.912577001849,4.527692019725)); #472572=CARTESIAN_POINT('Ctrl Pts',(-23.90048813382,-0.6017749906274,4.622442217404)); #472573=CARTESIAN_POINT('Ctrl Pts',(-9.90443051005,-11.24702228095,0.7753357817561)); #472574=CARTESIAN_POINT('Ctrl Pts',(-10.59971198366,-10.92659103819,0.955287182755)); #472575=CARTESIAN_POINT('Ctrl Pts',(-12.62212894923,-9.953023872517,1.485203087125)); #472576=CARTESIAN_POINT('Ctrl Pts',(-17.40035533073,-7.106071563893,2.818679583084)); #472577=CARTESIAN_POINT('Ctrl Pts',(-21.29606994976,-3.637115291604,4.08681537633)); #472578=CARTESIAN_POINT('Ctrl Pts',(-23.64654912634,-0.7854210613237,4.963080735078)); #472579=CARTESIAN_POINT('Ctrl Pts',(-23.90367054533,-0.4743593378018,5.05880598549)); #472580=CARTESIAN_POINT('Ctrl Pts',(-9.985888056279,-11.17579435976,1.171145228401)); #472581=CARTESIAN_POINT('Ctrl Pts',(-10.67851966494,-10.85132719545,1.35260431211)); #472582=CARTESIAN_POINT('Ctrl Pts',(-12.69274567332,-9.866040236058,1.887201904643)); #472583=CARTESIAN_POINT('Ctrl Pts',(-17.44887419629,-6.994412180283,3.231572426174)); #472584=CARTESIAN_POINT('Ctrl Pts',(-21.31874087041,-3.509636454585,4.510747878484)); #472585=CARTESIAN_POINT('Ctrl Pts',(-23.65005049554,-0.6517482117852,5.39437885552)); #472586=CARTESIAN_POINT('Ctrl Pts',(-23.90507906113,-0.3400049486885,5.490908981328)); #472587=CARTESIAN_POINT('Ctrl Pts',(-10.09574333437,-11.07605381675,1.564748869977)); #472588=CARTESIAN_POINT('Ctrl Pts',(-10.78452289953,-10.74802152178,1.748081264193)); #472589=CARTESIAN_POINT('Ctrl Pts',(-12.78702171063,-9.752398424,2.28843936086)); #472590=CARTESIAN_POINT('Ctrl Pts',(-17.51223637145,-6.859636645483,3.646361875504)); #472591=CARTESIAN_POINT('Ctrl Pts',(-21.34787654614,-3.362537633126,4.939085230967)); #472592=CARTESIAN_POINT('Ctrl Pts',(-23.6540005789,-0.5010872526709,5.831864372736)); #472593=CARTESIAN_POINT('Ctrl Pts',(-23.9062793131,-0.1889497408595,5.9293940527)); #472594=CARTESIAN_POINT('Ctrl Pts',(-10.19617720462,-10.98424585009,1.963887890244)); #472595=CARTESIAN_POINT('Ctrl Pts',(-10.88149454418,-10.65256987311,2.149020937131)); #472596=CARTESIAN_POINT('Ctrl Pts',(-12.87340800874,-9.646380653229,2.694927318826)); #472597=CARTESIAN_POINT('Ctrl Pts',(-17.57053513734,-6.731929425303,4.065873018474)); #472598=CARTESIAN_POINT('Ctrl Pts',(-21.37457609016,-3.222011506049,5.371652304664)); #472599=CARTESIAN_POINT('Ctrl Pts',(-23.65739358884,-0.356661310535,6.273225523288)); #472600=CARTESIAN_POINT('Ctrl Pts',(-23.90712769967,-0.04409265619409,6.371716090267)); #472601=CARTESIAN_POINT('Ctrl Pts',(-10.34289727249,-10.845001271,2.485928990921)); #472602=CARTESIAN_POINT('Ctrl Pts',(-11.02301187887,-10.50766936839,2.673217712197)); #472603=CARTESIAN_POINT('Ctrl Pts',(-12.99909427454,-9.485051814997,3.225801077117)); #472604=CARTESIAN_POINT('Ctrl Pts',(-17.65452285184,-6.535849260333,4.612327769471)); #472605=CARTESIAN_POINT('Ctrl Pts',(-21.41248837913,-3.003337054707,5.933841087105)); #472606=CARTESIAN_POINT('Ctrl Pts',(-23.66141112192,-0.1288150816233,6.845944516274)); #472607=CARTESIAN_POINT('Ctrl Pts',(-23.90744450265,0.1847621697671,6.945585736045)); #472608=CARTESIAN_POINT('Ctrl Pts',(-10.4981058608,-10.69667566222,2.996316293105)); #472609=CARTESIAN_POINT('Ctrl Pts',(-11.17248800396,-10.35376309012,3.18580509544)); #472610=CARTESIAN_POINT('Ctrl Pts',(-13.13119202433,-9.314936164896,3.745191784167)); #472611=CARTESIAN_POINT('Ctrl Pts',(-17.74111071896,-6.331459557983,5.147623618685)); #472612=CARTESIAN_POINT('Ctrl Pts',(-21.44951201153,-2.776690724123,6.485161599516)); #472613=CARTESIAN_POINT('Ctrl Pts',(-23.66205172427,0.1068381663971,7.408011429611)); #472614=CARTESIAN_POINT('Ctrl Pts',(-23.90411262943,0.4214059343983,7.508826904167)); #472615=CARTESIAN_POINT('Ctrl Pts',(-10.66317010941,-10.52975623501,3.497359481817)); #472616=CARTESIAN_POINT('Ctrl Pts',(-11.3312351246,-10.18096381352,3.688929131822)); #472617=CARTESIAN_POINT('Ctrl Pts',(-13.2708464617,-9.12504997205,4.254769211672)); #472618=CARTESIAN_POINT('Ctrl Pts',(-17.83100470256,-6.105118464743,5.672238876662)); #472619=CARTESIAN_POINT('Ctrl Pts',(-21.4858693495,-2.52604551058,7.024989173135)); #472620=CARTESIAN_POINT('Ctrl Pts',(-23.6591821917,0.3679612564194,7.958004227557)); #472621=CARTESIAN_POINT('Ctrl Pts',(-23.89696005393,0.6836801749975,8.059930487963)); #472622=CARTESIAN_POINT('Ctrl Pts',(-10.79044351846,-10.39902964065,3.858619576466)); #472623=CARTESIAN_POINT('Ctrl Pts',(-11.45360394531,-10.04673785203,4.052149332355)); #472624=CARTESIAN_POINT('Ctrl Pts',(-13.37841716435,-8.980676295136,4.623994565129)); #472625=CARTESIAN_POINT('Ctrl Pts',(-17.90011212766,-5.939911003533,6.05565044266)); #472626=CARTESIAN_POINT('Ctrl Pts',(-21.51388324539,-2.348518435361,7.422509851214)); #472627=CARTESIAN_POINT('Ctrl Pts',(-23.6571185099,0.5492434303703,8.365095477367)); #472628=CARTESIAN_POINT('Ctrl Pts',(-23.89161227989,0.8653774755955,8.468067402627)); #472629=CARTESIAN_POINT('Ctrl Pts',(-10.9123878924,-10.27207612551,4.224701003037)); #472630=CARTESIAN_POINT('Ctrl Pts',(-11.57074243669,-9.914842901617,4.419424104393)); #472631=CARTESIAN_POINT('Ctrl Pts',(-13.48104569092,-8.834404705175,4.995026561492)); #472632=CARTESIAN_POINT('Ctrl Pts',(-17.96498647555,-5.762329949823,6.435290502534)); #472633=CARTESIAN_POINT('Ctrl Pts',(-21.53831116513,-2.148838670535,7.811042195504)); #472634=CARTESIAN_POINT('Ctrl Pts',(-23.65193011434,0.7596643742017,8.759461147236)); #472635=CARTESIAN_POINT('Ctrl Pts',(-23.88319016703,1.076976490024,8.863070606107)); #472636=CARTESIAN_POINT('Ctrl Pts',(-11.0534679643,-10.1185754104,4.583743399692)); #472637=CARTESIAN_POINT('Ctrl Pts',(-11.70604707936,-9.757248257978,4.780287806138)); #472638=CARTESIAN_POINT('Ctrl Pts',(-13.59898775787,-8.66492152834,5.361494822615)); #472639=CARTESIAN_POINT('Ctrl Pts',(-18.03805986911,-5.567804545173,6.81493340784)); #472640=CARTESIAN_POINT('Ctrl Pts',(-21.5641685404,-1.938237029558,8.203868774586)); #472641=CARTESIAN_POINT('Ctrl Pts',(-23.64330939419,0.9765830736852,9.161182185878)); #472642=CARTESIAN_POINT('Ctrl Pts',(-23.87080480284,1.294590227106,9.26576348598)); #472643=CARTESIAN_POINT('Ctrl Pts',(-11.18563876404,-9.971644861216,4.948431800555)); #472644=CARTESIAN_POINT('Ctrl Pts',(-11.83281062457,-9.605342002063,5.146208680324)); #472645=CARTESIAN_POINT('Ctrl Pts',(-13.7094687025,-8.498540608085,5.731293211769)); #472646=CARTESIAN_POINT('Ctrl Pts',(-18.1063517821,-5.369964610653,7.193622929361)); #472647=CARTESIAN_POINT('Ctrl Pts',(-21.58775417593,-1.71831327917,8.591733468848)); #472648=CARTESIAN_POINT('Ctrl Pts',(-23.63421435083,1.207128003442,9.555071281624)); #472649=CARTESIAN_POINT('Ctrl Pts',(-23.85814140533,1.526300231531,9.660310992772)); #472650=CARTESIAN_POINT('Ctrl Pts',(-11.33064224504,-9.807453698428,5.312568167467)); #472651=CARTESIAN_POINT('Ctrl Pts',(-11.97172405785,-9.436943080252,5.512176647454)); #472652=CARTESIAN_POINT('Ctrl Pts',(-13.8300867073,-8.317922133719,6.102902216523)); #472653=CARTESIAN_POINT('Ctrl Pts',(-18.17974417342,-5.163589074913,7.578479686606)); #472654=CARTESIAN_POINT('Ctrl Pts',(-21.61160013517,-1.49536838584,8.989862761608)); #472655=CARTESIAN_POINT('Ctrl Pts',(-23.62189753031,1.436618948731,9.962145623815)); #472656=CARTESIAN_POINT('Ctrl Pts',(-23.841875976,1.756511345635,10.06836271304)); #472657=CARTESIAN_POINT('Ctrl Pts',(-11.4707002728,-9.642849862729,5.667787272776)); #472658=CARTESIAN_POINT('Ctrl Pts',(-12.1057440975,-9.267673922497,5.868822914897)); #472659=CARTESIAN_POINT('Ctrl Pts',(-13.94597345039,-8.135088852653,6.463997528443)); #472660=CARTESIAN_POINT('Ctrl Pts',(-18.24884758727,-4.951564567823,7.949882268891)); #472661=CARTESIAN_POINT('Ctrl Pts',(-21.63168748471,-1.263397331864,9.371767385374)); #472662=CARTESIAN_POINT('Ctrl Pts',(-23.60621676758,1.677669987527,10.35102362583)); #472663=CARTESIAN_POINT('Ctrl Pts',(-23.82228969159,1.998559160867,10.4580027619)); #472664=CARTESIAN_POINT('Ctrl Pts',(-11.63190966327,-9.447576813381,6.012540217035)); #472665=CARTESIAN_POINT('Ctrl Pts',(-12.25978846354,-9.067380957926,6.2149561583)); #472666=CARTESIAN_POINT('Ctrl Pts',(-14.0785675369,-7.92019504701,6.814449574168)); #472667=CARTESIAN_POINT('Ctrl Pts',(-18.32638144227,-4.705029686033,8.310298628608)); #472668=CARTESIAN_POINT('Ctrl Pts',(-21.65231735562,-0.9948248423112,9.742388304544)); #472669=CARTESIAN_POINT('Ctrl Pts',(-23.58541204178,1.956677641622,10.72838997582)); #472670=CARTESIAN_POINT('Ctrl Pts',(-23.79696064158,2.278711727799,10.83610627915)); #472671=CARTESIAN_POINT('Ctrl Pts',(-11.8091233666,-9.22652222387,6.320264115679)); #472672=CARTESIAN_POINT('Ctrl Pts',(-12.42898088851,-8.842050892555,6.524813908349)); #472673=CARTESIAN_POINT('Ctrl Pts',(-14.22380827649,-7.682444699214,7.130830060576)); #472674=CARTESIAN_POINT('Ctrl Pts',(-18.41039900826,-4.440944197483,8.642126986762)); #472675=CARTESIAN_POINT('Ctrl Pts',(-21.67378521869,-0.7134964451565,10.08953242873)); #472676=CARTESIAN_POINT('Ctrl Pts',(-23.56141813032,2.245128052738,11.0859520556)); #472677=CARTESIAN_POINT('Ctrl Pts',(-23.76800259672,2.567945113461,11.1948065793)); #472678=CARTESIAN_POINT('Ctrl Pts',(-11.99655057159,-8.979195883851,6.58574007682)); #472679=CARTESIAN_POINT('Ctrl Pts',(-12.607470664,-8.589502211513,6.791571588701)); #472680=CARTESIAN_POINT('Ctrl Pts',(-14.37567003055,-7.414695834794,7.401604806828)); #472681=CARTESIAN_POINT('Ctrl Pts',(-18.49444386606,-4.139854256503,8.922152497434)); #472682=CARTESIAN_POINT('Ctrl Pts',(-21.6892406448,-0.3884252346637,10.37905374896)); #472683=CARTESIAN_POINT('Ctrl Pts',(-23.52710363136,2.582275438932,11.38173749066)); #472684=CARTESIAN_POINT('Ctrl Pts',(-23.72825346999,2.906416373984,11.49127659438)); #472685=CARTESIAN_POINT('Ctrl Pts',(-12.1334323482,-8.793442050017,6.749238981756)); #472686=CARTESIAN_POINT('Ctrl Pts',(-12.73768721911,-8.400378158357,6.956271853845)); #472687=CARTESIAN_POINT('Ctrl Pts',(-14.48604811321,-7.215768530844,7.570008603416)); #472688=CARTESIAN_POINT('Ctrl Pts',(-18.55437946681,-3.919656506383,9.099244589915)); #472689=CARTESIAN_POINT('Ctrl Pts',(-21.69839283385,-0.1533634796667,10.56486195517)); #472690=CARTESIAN_POINT('Ctrl Pts',(-23.49943395293,2.824422415197,11.57341305179)); #472691=CARTESIAN_POINT('Ctrl Pts',(-23.6965630027,3.149340647465,11.683593257)); #472692=CARTESIAN_POINT('Ctrl Pts',(-12.27318961003,-8.597624030131,6.900486139843)); #472693=CARTESIAN_POINT('Ctrl Pts',(-12.87051654926,-8.201188365024,7.108667662765)); #472694=CARTESIAN_POINT('Ctrl Pts',(-14.59827281068,-7.006769727523,7.725950683054)); #472695=CARTESIAN_POINT('Ctrl Pts',(-18.61427958348,-3.689327754554,9.263492282897)); #472696=CARTESIAN_POINT('Ctrl Pts',(-21.70580164988,0.09195975465614,10.73745897804)); #472697=CARTESIAN_POINT('Ctrl Pts',(-23.46880476063,3.076977120613,11.75162034207)); #472698=CARTESIAN_POINT('Ctrl Pts',(-23.66178020448,3.402688568991,11.86241356793)); #472699=CARTESIAN_POINT('Ctrl Pts',(-12.35791138539,-8.475081991904,6.979091345564)); #472700=CARTESIAN_POINT('Ctrl Pts',(-12.95096015558,-8.076610177183,7.188078238314)); #472701=CARTESIAN_POINT('Ctrl Pts',(-14.66600182578,-6.876266570808,7.807827111579)); #472702=CARTESIAN_POINT('Ctrl Pts',(-18.64975928261,-3.545883866906,9.351197993395)); #472703=CARTESIAN_POINT('Ctrl Pts',(-21.70903945513,0.2446077419402,10.83095722215)); #472704=CARTESIAN_POINT('Ctrl Pts',(-23.44869149934,3.234164640518,11.84905084908)); #472705=CARTESIAN_POINT('Ctrl Pts',(-23.63911708388,3.560373879001,11.96027370451)); #472706=CARTESIAN_POINT('Ctrl Pts',(-12.56012266412,-8.170727180192,7.060368746725)); #472707=CARTESIAN_POINT('Ctrl Pts',(-13.14259572119,-7.767300439222,7.27110519083)); #472708=CARTESIAN_POINT('Ctrl Pts',(-14.82623063062,-6.552533681622,7.896227063187)); #472709=CARTESIAN_POINT('Ctrl Pts',(-18.73042822288,-3.190464713547,9.452256605149)); #472710=CARTESIAN_POINT('Ctrl Pts',(-21.71045170942,0.6229106570661,10.94464795875)); #472711=CARTESIAN_POINT('Ctrl Pts',(-23.39273648864,3.624076267146,11.97128492954)); #472712=CARTESIAN_POINT('Ctrl Pts',(-23.57689772717,3.951558012656,12.0834412414)); #472713=CARTESIAN_POINT('Ctrl Pts',(-12.69482686483,-7.956435255427,7.011106361776)); #472714=CARTESIAN_POINT('Ctrl Pts',(-13.26985907431,-7.54954401459,7.222205219661)); #472715=CARTESIAN_POINT('Ctrl Pts',(-14.93142085997,-6.324686337773,7.848545307742)); #472716=CARTESIAN_POINT('Ctrl Pts',(-18.77980328414,-2.94021320156,9.407167162862)); #472717=CARTESIAN_POINT('Ctrl Pts',(-21.7045104935,0.8897820875339,10.90248968527)); #472718=CARTESIAN_POINT('Ctrl Pts',(-23.3467908252,3.899781082118,11.93090324477)); #472719=CARTESIAN_POINT('Ctrl Pts',(-23.52658365868,4.22823054754,12.04325387072)); #472720=CARTESIAN_POINT('Ctrl Pts',(-12.82215045053,-7.755373016751,6.977874887024)); #472721=CARTESIAN_POINT('Ctrl Pts',(-13.390200532,-7.34522821182,7.189419837387)); #472722=CARTESIAN_POINT('Ctrl Pts',(-15.03104704564,-6.110894762935,7.817215794346)); #472723=CARTESIAN_POINT('Ctrl Pts',(-18.82703553167,-2.705412123912,9.37904090006)); #472724=CARTESIAN_POINT('Ctrl Pts',(-21.69978207716,1.140115083773,10.87784099585)); #472725=CARTESIAN_POINT('Ctrl Pts',(-23.30448049495,4.158321628599,11.90843849986)); #472726=CARTESIAN_POINT('Ctrl Pts',(-23.4801694629,4.487670315995,12.02102791105)); #472727=CARTESIAN_POINT('',(-9.90196060795091,-11.3157139024214,0.0411676040813694)); #472728=CARTESIAN_POINT('Ctrl Pts',(-23.783487123751,-0.886419897297727, 4.0000000000001)); #472729=CARTESIAN_POINT('Ctrl Pts',(-23.5613792535548,-1.15336311731615, 3.90422638512088)); #472730=CARTESIAN_POINT('Ctrl Pts',(-23.3367618983792,-1.42214088934016, 3.80951275537988)); #472731=CARTESIAN_POINT('Ctrl Pts',(-23.1054532378371,-1.69047982797914, 3.71414564659577)); #472732=CARTESIAN_POINT('Ctrl Pts',(-22.0775367860513,-2.88295575618421, 3.2903421459023)); #472733=CARTESIAN_POINT('Ctrl Pts',(-20.9235269958859,-4.06893564844436, 2.85203805705329)); #472734=CARTESIAN_POINT('Ctrl Pts',(-19.5115649868156,-5.29570287415171, 2.38163128034593)); #472735=CARTESIAN_POINT('Ctrl Pts',(-18.7342657744518,-5.97105050544106, 2.12266764345174)); #472736=CARTESIAN_POINT('Ctrl Pts',(-17.8660784936472,-6.66633429593545, 1.85079758782033)); #472737=CARTESIAN_POINT('Ctrl Pts',(-16.9095167701189,-7.35437655775232, 1.57850269499275)); #472738=CARTESIAN_POINT('Ctrl Pts',(-16.4807570081394,-7.66277781315725, 1.45645192653178)); #472739=CARTESIAN_POINT('Ctrl Pts',(-16.0489299462938,-7.95925908164434, 1.33853042274257)); #472740=CARTESIAN_POINT('Ctrl Pts',(-15.6131445931663,-8.24504517063717, 1.22473784431298)); #472741=CARTESIAN_POINT('Ctrl Pts',(-14.3803496789062,-9.05350657152689, 0.902829567768604)); #472742=CARTESIAN_POINT('Ctrl Pts',(-13.1164250883288,-9.77753092644873, 0.613078958318361)); #472743=CARTESIAN_POINT('Ctrl Pts',(-11.7938068976415,-10.4335067906207, 0.361926417638824)); #472744=CARTESIAN_POINT('Ctrl Pts',(-11.2371034803678,-10.7096136881402, 0.256213764782739)); #472745=CARTESIAN_POINT('Ctrl Pts',(-10.6699309924387,-10.9733644978816, 0.15741828019281)); #472746=CARTESIAN_POINT('Ctrl Pts',(-10.092103752596,-11.2312292197033, 0.0695993914018162)); #472747=CARTESIAN_POINT('Ctrl Pts',(-10.028850632547,-11.2594569443261, 0.0599861050555729)); #472748=CARTESIAN_POINT('Ctrl Pts',(-9.96546977513688,-11.2876153721919, 0.0505059356372956)); #472749=CARTESIAN_POINT('Ctrl Pts',(-9.901960777728,-11.3157134877629,0.0411652023967746)); #472750=CARTESIAN_POINT('',(-10.05521010771,-11.18394600597,0.7585880961714)); #472751=CARTESIAN_POINT('Ctrl Pts',(-9.90196001590952,-11.3157140618424, 0.0411721046042034)); #472752=CARTESIAN_POINT('Ctrl Pts',(-9.90234709376587,-11.3153806985445, 0.0432318959505476)); #472753=CARTESIAN_POINT('Ctrl Pts',(-9.902733798248,-11.3150476462229,0.045291473747324)); #472754=CARTESIAN_POINT('Ctrl Pts',(-9.90312017135222,-11.314714899768, 0.047350682096073)); #472755=CARTESIAN_POINT('Ctrl Pts',(-9.91036120916491,-11.3084788816929, 0.0859424095080143)); #472756=CARTESIAN_POINT('Ctrl Pts',(-9.91748501607024,-11.3023510347734, 0.124404823684045)); #472757=CARTESIAN_POINT('Ctrl Pts',(-9.92468081636526,-11.2961804004491, 0.162694751170991)); #472758=CARTESIAN_POINT('Ctrl Pts',(-9.92511664389959,-11.2958066640706, 0.16501385453716)); #472759=CARTESIAN_POINT('Ctrl Pts',(-9.92555272788967,-11.295432772145, 0.167332327471595)); #472760=CARTESIAN_POINT('Ctrl Pts',(-9.92598911967636,-11.2950586876782, 0.169650144598182)); #472761=CARTESIAN_POINT('Ctrl Pts',(-9.92934751431893,-11.29217979924,0.187487658634407)); #472762=CARTESIAN_POINT('Ctrl Pts',(-9.93272410142537,-11.2892895461788, 0.20528632313553)); #472763=CARTESIAN_POINT('Ctrl Pts',(-9.9361382793727,-11.2863735566806, 0.223041355521185)); #472764=CARTESIAN_POINT('Ctrl Pts',(-9.93806718849752,-11.2847261092312, 0.23307242142007)); #472765=CARTESIAN_POINT('Ctrl Pts',(-9.94000811072137,-11.2830704381403, 0.243089531346681)); #472766=CARTESIAN_POINT('Ctrl Pts',(-9.94196455565508,-11.2814039120278, 0.253091777419486)); #472767=CARTESIAN_POINT('Ctrl Pts',(-9.94294277812193,-11.2805706489715, 0.258092900455888)); #472768=CARTESIAN_POINT('Ctrl Pts',(-9.94392488110953,-11.2797346717924, 0.263090307531651)); #472769=CARTESIAN_POINT('Ctrl Pts',(-9.94491130237355,-11.2788956493574, 0.268083885202177)); #472770=CARTESIAN_POINT('Ctrl Pts',(-9.94589772363756,-11.2780566269224, 0.273077462872703)); #472771=CARTESIAN_POINT('Ctrl Pts',(-9.94688846317822,-11.2772145592319, 0.278067211136882)); #472772=CARTESIAN_POINT('Ctrl Pts',(-9.94788395811598,-11.2763691136739, 0.283053016599485)); #472773=CARTESIAN_POINT('Ctrl Pts',(-9.94829303992173,-11.2760216921227, 0.285101849018819)); #472774=CARTESIAN_POINT('Ctrl Pts',(-9.9487025157921,-11.2756738175094, 0.287150731176586)); #472775=CARTESIAN_POINT('Ctrl Pts',(-9.9491124286559,-11.2753254664361, 0.289199586373242)); #472776=CARTESIAN_POINT('Ctrl Pts',(-9.95692278528404,-11.2686880896802, 0.328237858109679)); #472777=CARTESIAN_POINT('Ctrl Pts',(-9.96489326146664,-11.2618770022373, 0.36726289638458)); #472778=CARTESIAN_POINT('Ctrl Pts',(-9.97328843915549,-11.2547390729443, 0.405873990291257)); #472779=CARTESIAN_POINT('Ctrl Pts',(-9.97746912089031,-11.2511844834257, 0.42510177808088)); #472780=CARTESIAN_POINT('Ctrl Pts',(-9.98175470944442,-11.2475489928145, 0.444227890439383)); #472781=CARTESIAN_POINT('Ctrl Pts',(-9.98610200540865,-11.2438438020202, 0.463379772164031)); #472782=CARTESIAN_POINT('Ctrl Pts',(-9.99040583281174,-11.2401756593849, 0.482340154448917)); #472783=CARTESIAN_POINT('Ctrl Pts',(-9.99477008901046,-11.2364392837189, 0.501325724902338)); #472784=CARTESIAN_POINT('Ctrl Pts',(-9.99917611843528,-11.2326523624605, 0.52032280347739)); #472785=CARTESIAN_POINT('Ctrl Pts',(-9.999239852247,-11.2325975841322,0.520597598789088)); #472786=CARTESIAN_POINT('Ctrl Pts',(-9.99930360094955,-11.2325427928053, 0.520872393990671)); #472787=CARTESIAN_POINT('Ctrl Pts',(-9.99936736441341,-11.2324879885413, 0.52114718929053)); #472788=CARTESIAN_POINT('Ctrl Pts',(-10.0015874958717,-11.2305798006371, 0.530715077432245)); #472789=CARTESIAN_POINT('Ctrl Pts',(-10.0038255185134,-11.2286559375961, 0.540283068279414)); #472790=CARTESIAN_POINT('Ctrl Pts',(-10.0060771292917,-11.2267193002152, 0.54985482645336)); #472791=CARTESIAN_POINT('Ctrl Pts',(-10.0070905010683,-11.2258476870207, 0.554162742226087)); #472792=CARTESIAN_POINT('Ctrl Pts',(-10.0081066246947,-11.2249734856192, 0.558471421627741)); #472793=CARTESIAN_POINT('Ctrl Pts',(-10.0091251061317,-11.2240969573247, 0.562781199084693)); #472794=CARTESIAN_POINT('Ctrl Pts',(-10.0100799324789,-11.2232752120485, 0.566821615450586)); #472795=CARTESIAN_POINT('Ctrl Pts',(-10.0110368311099,-11.2224514216281, 0.570862996900625)); #472796=CARTESIAN_POINT('Ctrl Pts',(-10.0119954767041,-11.2216257999589, 0.574905618771576)); #472797=CARTESIAN_POINT('Ctrl Pts',(-10.0155903976821,-11.2185297186993, 0.590065450787644)); #472798=CARTESIAN_POINT('Ctrl Pts',(-10.0192098929466,-11.2154079025172, 0.605242728898004)); #472799=CARTESIAN_POINT('Ctrl Pts',(-10.0228367398986,-11.212271481883, 0.620451949345861)); #472800=CARTESIAN_POINT('Ctrl Pts',(-10.0242657302625,-11.2110357212009, 0.626444435312192)); #472801=CARTESIAN_POINT('Ctrl Pts',(-10.0256958036472,-11.2097976822763, 0.632442208280399)); #472802=CARTESIAN_POINT('Ctrl Pts',(-10.0271259838219,-11.2085580431578, 0.638445754435874)); #472803=CARTESIAN_POINT('Ctrl Pts',(-10.036585403896,-11.200358889394,0.678154083515756)); #472804=CARTESIAN_POINT('Ctrl Pts',(-10.0460491765211,-11.1920904619019, 0.718114095552292)); #472805=CARTESIAN_POINT('Ctrl Pts',(-10.0552101077357,-11.1839460060289, 0.758588096177425)); #472806=CARTESIAN_POINT('',(-10.8374839269,-10.45256675748,3.466917585113)); #472807=CARTESIAN_POINT('Ctrl Pts',(-10.0552101077375,-11.1839460060331, 0.758588096177856)); #472808=CARTESIAN_POINT('Ctrl Pts',(-10.0602129268412,-11.1797241891355, 0.77848426906628)); #472809=CARTESIAN_POINT('Ctrl Pts',(-10.0651007932785,-11.1755186614095, 0.798883391267541)); #472810=CARTESIAN_POINT('Ctrl Pts',(-10.0699452583893,-11.1713239669465, 0.819547668898017)); #472811=CARTESIAN_POINT('Ctrl Pts',(-10.072250608814,-11.1693278248668, 0.829381241876965)); #472812=CARTESIAN_POINT('Ctrl Pts',(-10.0745463085859,-11.1673341635138, 0.839274174910917)); #472813=CARTESIAN_POINT('Ctrl Pts',(-10.0768411221136,-11.1653425335934, 0.849196738568544)); #472814=CARTESIAN_POINT('Ctrl Pts',(-10.0836943594035,-11.1593947246435, 0.87882950935815)); #472815=CARTESIAN_POINT('Ctrl Pts',(-10.0905386173609,-11.1534669177007, 0.908724345849216)); #472816=CARTESIAN_POINT('Ctrl Pts',(-10.0974816551435,-11.1474517356688, 0.938964955525574)); #472817=CARTESIAN_POINT('Ctrl Pts',(-10.104744889058,-11.141159147971,0.970600189075333)); #472818=CARTESIAN_POINT('Ctrl Pts',(-10.1121156153875,-11.1347709249976, 1.0026155951322)); #472819=CARTESIAN_POINT('Ctrl Pts',(-10.1197299138203,-11.1281758793611, 1.03502989703021)); #472820=CARTESIAN_POINT('Ctrl Pts',(-10.1254521348587,-11.1232196369413, 1.05938956744498)); #472821=CARTESIAN_POINT('Ctrl Pts',(-10.1313123773118,-11.1181462246278, 1.08397415897926)); #472822=CARTESIAN_POINT('Ctrl Pts',(-10.1373818275715,-11.1128968173867, 1.10878325504158)); #472823=CARTESIAN_POINT('Ctrl Pts',(-10.1377175111918,-11.1126064879578, 1.11015537390349)); #472824=CARTESIAN_POINT('Ctrl Pts',(-10.1380537102966,-11.1123158156646, 1.11152682199834)); #472825=CARTESIAN_POINT('Ctrl Pts',(-10.1383904373271,-11.1120247905405, 1.11289760260134)); #472826=CARTESIAN_POINT('Ctrl Pts',(-10.1453095754012,-11.1060447448818, 1.14106469168054)); #472827=CARTESIAN_POINT('Ctrl Pts',(-10.1524510087355,-11.0999163108976, 1.1689500493877)); #472828=CARTESIAN_POINT('Ctrl Pts',(-10.1598881720608,-11.0935540634008, 1.19672517348127)); #472829=CARTESIAN_POINT('Ctrl Pts',(-10.1672322907494,-11.0872714125164, 1.22415280939712)); #472830=CARTESIAN_POINT('Ctrl Pts',(-10.1748663163189,-11.0807601320733, 1.251469516916)); #472831=CARTESIAN_POINT('Ctrl Pts',(-10.1826382967556,-11.0740292635897, 1.27928074704807)); #472832=CARTESIAN_POINT('Ctrl Pts',(-10.1940709209811,-11.0641281205398, 1.32019121329829)); #472833=CARTESIAN_POINT('Ctrl Pts',(-10.2058023366271,-11.0537501204477, 1.36217823768551)); #472834=CARTESIAN_POINT('Ctrl Pts',(-10.2177262522435,-11.0429987477257, 1.40550458854808)); #472835=CARTESIAN_POINT('Ctrl Pts',(-10.221571998578,-11.0395311743574, 1.41947836699279)); #472836=CARTESIAN_POINT('Ctrl Pts',(-10.2254375058737,-11.0360249524051, 1.43359164152365)); #472837=CARTESIAN_POINT('Ctrl Pts',(-10.2293182949243,-11.0324842266081, 1.447853782812)); #472838=CARTESIAN_POINT('Ctrl Pts',(-10.2324258942421,-11.029648937978, 1.45927440371139)); #472839=CARTESIAN_POINT('Ctrl Pts',(-10.2355433052851,-11.0267915257883, 1.47079041622088)); #472840=CARTESIAN_POINT('Ctrl Pts',(-10.2386635238957,-11.0239151997481, 1.48242151106467)); #472841=CARTESIAN_POINT('Ctrl Pts',(-10.2432836230268,-11.0196562316763, 1.49964364127061)); #472842=CARTESIAN_POINT('Ctrl Pts',(-10.2478881607629,-11.0153801602133, 1.51700384724115)); #472843=CARTESIAN_POINT('Ctrl Pts',(-10.2524549683517,-11.0110951107295, 1.53458605951938)); #472844=CARTESIAN_POINT('Ctrl Pts',(-10.2600130267461,-11.0040033614952, 1.56368458940447)); #472845=CARTESIAN_POINT('Ctrl Pts',(-10.267467053355,-10.9968866769807, 1.59339511267118)); #472846=CARTESIAN_POINT('Ctrl Pts',(-10.2749592512271,-10.9897641688859, 1.62329923835001)); #472847=CARTESIAN_POINT('Ctrl Pts',(-10.2841189754317,-10.981056415713, 1.65985907679386)); #472848=CARTESIAN_POINT('Ctrl Pts',(-10.2933350670598,-10.9723416707436, 1.69670501612561)); #472849=CARTESIAN_POINT('Ctrl Pts',(-10.3027636089916,-10.9634821011898, 1.7339857504566)); #472850=CARTESIAN_POINT('Ctrl Pts',(-10.308239633915,-10.9583365310648, 1.75563811535428)); #472851=CARTESIAN_POINT('Ctrl Pts',(-10.3137876141695,-10.9531419486155, 1.77743654356854)); #472852=CARTESIAN_POINT('Ctrl Pts',(-10.3194422909901,-10.9478685984495, 1.79940335372255)); #472853=CARTESIAN_POINT('Ctrl Pts',(-10.3288048528591,-10.9391374067735, 1.83577424204293)); #472854=CARTESIAN_POINT('Ctrl Pts',(-10.3384585886124,-10.9301919392617, 1.872606191272)); #472855=CARTESIAN_POINT('Ctrl Pts',(-10.3485365282966,-10.9208873880519, 1.91012673804029)); #472856=CARTESIAN_POINT('Ctrl Pts',(-10.3539477767245,-10.9158914027926, 1.93027301853769)); #472857=CARTESIAN_POINT('Ctrl Pts',(-10.3594239114952,-10.9108324346767, 1.9504986390093)); #472858=CARTESIAN_POINT('Ctrl Pts',(-10.3649883542289,-10.9056913974643, 1.97079500948968)); #472859=CARTESIAN_POINT('Ctrl Pts',(-10.3755015757309,-10.8959781403511, 2.00914210887727)); #472860=CARTESIAN_POINT('Ctrl Pts',(-10.386331720035,-10.8859712617676, 2.04773759063164)); #472861=CARTESIAN_POINT('Ctrl Pts',(-10.397443683844,-10.8756452726463, 2.08684874385667)); #472862=CARTESIAN_POINT('Ctrl Pts',(-10.4023313092259,-10.8711033602184, 2.10405188350908)); #472863=CARTESIAN_POINT('Ctrl Pts',(-10.4072732517145,-10.8664997494652, 2.12135545733462)); #472864=CARTESIAN_POINT('Ctrl Pts',(-10.4122656057583,-10.8618324694637, 2.13878519614234)); #472865=CARTESIAN_POINT('Ctrl Pts',(-10.4280155977787,-10.8471080284217, 2.19377293233419)); #472866=CARTESIAN_POINT('Ctrl Pts',(-10.4442692239422,-10.831747298831, 2.25001886082917)); #472867=CARTESIAN_POINT('Ctrl Pts',(-10.4610520824683,-10.8158351737881, 2.30733403921866)); #472868=CARTESIAN_POINT('Ctrl Pts',(-10.468241430926,-10.8090188253419, 2.33188639951623)); #472869=CARTESIAN_POINT('Ctrl Pts',(-10.4755274140237,-10.8021017477536, 2.35663506482729)); #472870=CARTESIAN_POINT('Ctrl Pts',(-10.4829306916266,-10.7950833194398, 2.38152854207641)); #472871=CARTESIAN_POINT('Ctrl Pts',(-10.4922418811142,-10.7862561594386, 2.41283736177824)); #472872=CARTESIAN_POINT('Ctrl Pts',(-10.5017155074446,-10.7772540467391, 2.44454793757664)); #472873=CARTESIAN_POINT('Ctrl Pts',(-10.511360803932,-10.7680856765341, 2.47658659286374)); #472874=CARTESIAN_POINT('Ctrl Pts',(-10.5317711643847,-10.7486845372439, 2.54438342043426)); #472875=CARTESIAN_POINT('Ctrl Pts',(-10.5529484613331,-10.7285419315946, 2.61364718421036)); #472876=CARTESIAN_POINT('Ctrl Pts',(-10.5749584248982,-10.7075478421265, 2.68429906050351)); #472877=CARTESIAN_POINT('Ctrl Pts',(-10.5880681385927,-10.6950432097863, 2.72638117787915)); #472878=CARTESIAN_POINT('Ctrl Pts',(-10.6014738432552,-10.6822359456742, 2.76895525522102)); #472879=CARTESIAN_POINT('Ctrl Pts',(-10.6151948760989,-10.6690973867531, 2.81200022905491)); #472880=CARTESIAN_POINT('Ctrl Pts',(-10.6250850828799,-10.6596270308394, 2.84302731695335)); #472881=CARTESIAN_POINT('Ctrl Pts',(-10.6351392609864,-10.649984451944, 2.8742988707088)); #472882=CARTESIAN_POINT('Ctrl Pts',(-10.6453607919208,-10.6401585505571, 2.90581811665187)); #472883=CARTESIAN_POINT('Ctrl Pts',(-10.6669388570612,-10.6194156753901, 2.97235651941145)); #472884=CARTESIAN_POINT('Ctrl Pts',(-10.6889224490893,-10.5982055663322, 3.03882083600253)); #472885=CARTESIAN_POINT('Ctrl Pts',(-10.7115522609832,-10.5762534606893, 3.10578699523488)); #472886=CARTESIAN_POINT('Ctrl Pts',(-10.7155869196563,-10.5723396299495, 3.11772636072401)); #472887=CARTESIAN_POINT('Ctrl Pts',(-10.7196421954953,-10.5684021141559, 3.12968163099226)); #472888=CARTESIAN_POINT('Ctrl Pts',(-10.7237195564271,-10.5644392185605, 3.1416560112153)); #472889=CARTESIAN_POINT('Ctrl Pts',(-10.7467672632659,-10.5420385389441, 3.20934244113122)); #472890=CARTESIAN_POINT('Ctrl Pts',(-10.7705208101118,-10.5188289097548, 3.2776369132079)); #472891=CARTESIAN_POINT('Ctrl Pts',(-10.7950742330807,-10.4946165769362, 3.3473140551811)); #472892=CARTESIAN_POINT('Ctrl Pts',(-10.8089501845813,-10.480933386762, 3.3866909128987)); #472893=CARTESIAN_POINT('Ctrl Pts',(-10.8230816219476,-10.4669294863006, 3.42650982555347)); #472894=CARTESIAN_POINT('Ctrl Pts',(-10.8374839269019,-10.452566757484, 3.46691758511376)); #472895=CARTESIAN_POINT('',(-11.56512507766,-9.674529566215,5.369965453595)); #472896=CARTESIAN_POINT('Ctrl Pts',(-11.56512507766,-9.674529566215,5.369965453595)); #472897=CARTESIAN_POINT('Ctrl Pts',(-11.5229615272,-9.723033779021,5.26682478105)); #472898=CARTESIAN_POINT('Ctrl Pts',(-11.45876000382,-9.794678191679,5.114312459729)); #472899=CARTESIAN_POINT('Ctrl Pts',(-11.3751583126,-9.885604350379,4.909827291101)); #472900=CARTESIAN_POINT('Ctrl Pts',(-11.32509029945,-9.941649302174,4.776490953184)); #472901=CARTESIAN_POINT('Ctrl Pts',(-11.28544998001,-9.986772264496,4.667431731725)); #472902=CARTESIAN_POINT('Ctrl Pts',(-11.24552648049,-10.03241107638,4.557706249859)); #472903=CARTESIAN_POINT('Ctrl Pts',(-11.19260354974,-10.0891637127,4.427505555075)); #472904=CARTESIAN_POINT('Ctrl Pts',(-11.12758457316,-10.15446882266,4.278279262465)); #472905=CARTESIAN_POINT('Ctrl Pts',(-11.06629166667,-10.21546826634,4.125941546106)); #472906=CARTESIAN_POINT('Ctrl Pts',(-11.01934734867,-10.26461312139,3.989467926063)); #472907=CARTESIAN_POINT('Ctrl Pts',(-10.98250635044,-10.30435470104,3.876412388197)); #472908=CARTESIAN_POINT('Ctrl Pts',(-10.94458087457,-10.34429820272,3.765387238041)); #472909=CARTESIAN_POINT('Ctrl Pts',(-10.89619894048,-10.39414426437,3.628538222763)); #472910=CARTESIAN_POINT('Ctrl Pts',(-10.85684872899,-10.43330717806,3.52097177949)); #472911=CARTESIAN_POINT('Ctrl Pts',(-10.8374839269,-10.45256675748,3.466917585113)); #472912=CARTESIAN_POINT('',(-11.84414820598,-9.366626978068,5.937382457255)); #472913=CARTESIAN_POINT('Ctrl Pts',(-11.8441482059794,-9.3666269780667, 5.93738245725455)); #472914=CARTESIAN_POINT('Ctrl Pts',(-11.8182501355251,-9.39449172170906, 5.8941882712834)); #472915=CARTESIAN_POINT('Ctrl Pts',(-11.7930734773901,-9.4216935679302, 5.85004809203369)); #472916=CARTESIAN_POINT('Ctrl Pts',(-11.7685563496394,-9.44826726761949, 5.80500144972418)); #472917=CARTESIAN_POINT('Ctrl Pts',(-11.7601887564925,-9.4573367601664, 5.78962721913279)); #472918=CARTESIAN_POINT('Ctrl Pts',(-11.7518956427158,-9.46633420680959, 5.77414802646309)); #472919=CARTESIAN_POINT('Ctrl Pts',(-11.7436825653222,-9.47526208274092, 5.75854945388138)); #472920=CARTESIAN_POINT('Ctrl Pts',(-11.72783124758,-9.49249296848188,5.72844405995062)); #472921=CARTESIAN_POINT('Ctrl Pts',(-11.7122782092623,-9.50946462764557, 5.69789393432627)); #472922=CARTESIAN_POINT('Ctrl Pts',(-11.6970313853289,-9.52622715511496, 5.66681005706251)); #472923=CARTESIAN_POINT('Ctrl Pts',(-11.6767561274237,-9.54851799870758, 5.62547465298314)); #472924=CARTESIAN_POINT('Ctrl Pts',(-11.6570153982603,-9.57043859627876, 5.58320118698832)); #472925=CARTESIAN_POINT('Ctrl Pts',(-11.6376982087543,-9.59210109619813, 5.54006525009102)); #472926=CARTESIAN_POINT('Ctrl Pts',(-11.6189252546385,-9.61315328481457, 5.49814460920982)); #472927=CARTESIAN_POINT('Ctrl Pts',(-11.6005523680864,-9.63396163814683, 5.45540934441121)); #472928=CARTESIAN_POINT('Ctrl Pts',(-11.5824499414174,-9.65465974176359, 5.41195587045484)); #472929=CARTESIAN_POINT('Ctrl Pts',(-11.5766490714787,-9.66129238882542, 5.39803133119754)); #472930=CARTESIAN_POINT('Ctrl Pts',(-11.5708757525343,-9.66791396037798, 5.38403325828043)); #472931=CARTESIAN_POINT('Ctrl Pts',(-11.5651250773663,-9.67452956562838, 5.36996545343921)); #472932=CARTESIAN_POINT('',(-12.14780600767,-9.046307804535,6.357688242667)); #472933=CARTESIAN_POINT('Ctrl Pts',(-12.14780600767,-9.046307804535,6.357688242667)); #472934=CARTESIAN_POINT('Ctrl Pts',(-12.09275356697,-9.103136112112,6.294756673615)); #472935=CARTESIAN_POINT('Ctrl Pts',(-11.98715537499,-9.21353176791,6.16196864684)); #472936=CARTESIAN_POINT('Ctrl Pts',(-11.89043681951,-9.316824326285,6.014583591241)); #472937=CARTESIAN_POINT('Ctrl Pts',(-11.84414820598,-9.366626978068,5.937382457255)); #472938=CARTESIAN_POINT('',(-12.34192830042,-8.846971760342,6.560470023669)); #472939=CARTESIAN_POINT('Ctrl Pts',(-12.34192830042,-8.846971760342,6.560470023669)); #472940=CARTESIAN_POINT('Ctrl Pts',(-12.27570090407,-8.915529665768,6.495497593231)); #472941=CARTESIAN_POINT('Ctrl Pts',(-12.21031243892,-8.981781936175,6.429144097999)); #472942=CARTESIAN_POINT('Ctrl Pts',(-12.14780600767,-9.046307804535,6.357688242667)); #472943=CARTESIAN_POINT('',(-12.81471575512,-8.347695395788,6.976158920285)); #472944=CARTESIAN_POINT('Ctrl Pts',(-12.81471575512,-8.347695395788,6.976158920285)); #472945=CARTESIAN_POINT('Ctrl Pts',(-12.77352916027,-8.390667100882,6.945026723025)); #472946=CARTESIAN_POINT('Ctrl Pts',(-12.71241521585,-8.454684967017,6.897105696633)); #472947=CARTESIAN_POINT('Ctrl Pts',(-12.63266401549,-8.539329230984,6.830076305689)); #472948=CARTESIAN_POINT('Ctrl Pts',(-12.5542216445,-8.623595283082,6.760634717376)); #472949=CARTESIAN_POINT('Ctrl Pts',(-12.45712631361,-8.727129089213,6.671401992263)); #472950=CARTESIAN_POINT('Ctrl Pts',(-12.38011998206,-8.807436077196,6.597938044902)); #472951=CARTESIAN_POINT('Ctrl Pts',(-12.34192830042,-8.846971760342,6.560470023669)); #472952=CARTESIAN_POINT('',(-15.0960721666383,-6.06883642263404,7.89371108806933)); #472953=CARTESIAN_POINT('Ctrl Pts',(-15.0960721679241,-6.06883642345213, 7.89371108654058)); #472954=CARTESIAN_POINT('Ctrl Pts',(-15.0880889973222,-6.08598520949758, 7.89617334309847)); #472955=CARTESIAN_POINT('Ctrl Pts',(-15.0798787140907,-6.10266139553002, 7.89815984737462)); #472956=CARTESIAN_POINT('Ctrl Pts',(-15.0714754935953,-6.11898128017133, 7.89974273099817)); #472957=CARTESIAN_POINT('Ctrl Pts',(-15.0581002694987,-6.14495728715935, 7.90226217258553)); #472958=CARTESIAN_POINT('Ctrl Pts',(-15.0442377618829,-6.17003194290092, 7.90375982287441)); #472959=CARTESIAN_POINT('Ctrl Pts',(-15.0299670961486,-6.19444099409707, 7.90441462267108)); #472960=CARTESIAN_POINT('Ctrl Pts',(-15.0263048390483,-6.20070504811673, 7.9045826628487)); #472961=CARTESIAN_POINT('Ctrl Pts',(-15.0226157376085,-6.20692533622627, 7.90469547015994)); #472962=CARTESIAN_POINT('Ctrl Pts',(-15.0189011994626,-6.21310595328943, 7.90475647661228)); #472963=CARTESIAN_POINT('Ctrl Pts',(-14.9993124278746,-6.24569969473602, 7.90507819667908)); #472964=CARTESIAN_POINT('Ctrl Pts',(-14.9790164945777,-6.27718945686197, 7.90395457467778)); #472965=CARTESIAN_POINT('Ctrl Pts',(-14.9580748931647,-6.30782865609077, 7.90164120134148)); #472966=CARTESIAN_POINT('Ctrl Pts',(-14.9401263322971,-6.3340888031278, 7.89965846270954)); #472967=CARTESIAN_POINT('Ctrl Pts',(-14.9217037045926,-6.35972491895638, 7.89680527205759)); #472968=CARTESIAN_POINT('Ctrl Pts',(-14.9028501364641,-6.38490050184682, 7.89325300836601)); #472969=CARTESIAN_POINT('Ctrl Pts',(-14.876603771633,-6.41994784826272, 7.88830784317556)); #472970=CARTESIAN_POINT('Ctrl Pts',(-14.8495165271652,-6.45409768754023, 7.88200302365228)); #472971=CARTESIAN_POINT('Ctrl Pts',(-14.8216826511284,-6.48759519192132, 7.87467664710984)); #472972=CARTESIAN_POINT('Ctrl Pts',(-14.8061945563747,-6.50623479932566, 7.87059990214121)); #472973=CARTESIAN_POINT('Ctrl Pts',(-14.7904754163739,-6.52467236329061, 7.86620622828887)); #472974=CARTESIAN_POINT('Ctrl Pts',(-14.7745422653351,-6.542949073954,7.86154641590017)); #472975=CARTESIAN_POINT('Ctrl Pts',(-14.7461540424645,-6.57551283578559, 7.85324399083035)); #472976=CARTESIAN_POINT('Ctrl Pts',(-14.717086157,-6.60756634696755,7.84409887410517)); #472977=CARTESIAN_POINT('Ctrl Pts',(-14.6873877531165,-6.6392853138129, 7.83431252435572)); #472978=CARTESIAN_POINT('Ctrl Pts',(-14.6704624517691,-6.65736214630498, 7.82873522399811)); #472979=CARTESIAN_POINT('Ctrl Pts',(-14.6533324068281,-6.6753301702729, 7.82294875307455)); #472980=CARTESIAN_POINT('Ctrl Pts',(-14.6360069374622,-6.69322060088544, 7.8169828494156)); #472981=CARTESIAN_POINT('Ctrl Pts',(-14.6006804211939,-6.72969906936047, 7.80481841171919)); #472982=CARTESIAN_POINT('Ctrl Pts',(-14.564543711603,-6.76585729725997, 7.79191194752116)); #472983=CARTESIAN_POINT('Ctrl Pts',(-14.5278119943656,-6.80170765745693, 7.77849504521327)); #472984=CARTESIAN_POINT('Ctrl Pts',(-14.5149192504035,-6.81429104722831, 7.77378574493464)); #472985=CARTESIAN_POINT('Ctrl Pts',(-14.5019532372763,-6.82683645042661, 7.76901309264652)); #472986=CARTESIAN_POINT('Ctrl Pts',(-14.4889233621512,-6.83934421469041, 7.76418559374433)); #472987=CARTESIAN_POINT('Ctrl Pts',(-14.4474647780014,-6.87914154139384, 7.74882541050784)); #472988=CARTESIAN_POINT('Ctrl Pts',(-14.4053610553459,-6.91855985940575, 7.73291451711222)); #472989=CARTESIAN_POINT('Ctrl Pts',(-14.3629744574539,-6.95749000643658, 7.71670503658823)); #472990=CARTESIAN_POINT('Ctrl Pts',(-14.3540861587382,-6.96565350133983, 7.71330597386347)); #472991=CARTESIAN_POINT('Ctrl Pts',(-14.3451854404993,-6.97379551793566, 7.70989359975811)); #472992=CARTESIAN_POINT('Ctrl Pts',(-14.3362756720684,-6.98191503069993, 7.7064699535246)); #472993=CARTESIAN_POINT('Ctrl Pts',(-14.3220276377779,-6.99489932958313, 7.70099503806392)); #472994=CARTESIAN_POINT('Ctrl Pts',(-14.3077555229236,-7.00782559359242, 7.69549106229208)); #472995=CARTESIAN_POINT('Ctrl Pts',(-14.2934616907621,-7.02070076639049, 7.68996139507709)); #472996=CARTESIAN_POINT('Ctrl Pts',(-14.2536036272114,-7.05660292683379, 7.67454202924297)); #472997=CARTESIAN_POINT('Ctrl Pts',(-14.2135768813621,-7.09210851800503, 7.65892667162486)); #472998=CARTESIAN_POINT('Ctrl Pts',(-14.1734313483191,-7.12736190396121, 7.6431564228853)); #472999=CARTESIAN_POINT('Ctrl Pts',(-14.1704793398262,-7.1299541797852, 7.64199679428688)); #473000=CARTESIAN_POINT('Ctrl Pts',(-14.1675266879281,-7.13254508769288, 7.6408363054383)); #473001=CARTESIAN_POINT('Ctrl Pts',(-14.1645734119296,-7.13513468308862, 7.63967496199186)); #473002=CARTESIAN_POINT('Ctrl Pts',(-14.1607683029055,-7.13847121269655, 7.6381786445361)); #473003=CARTESIAN_POINT('Ctrl Pts',(-14.1569621578381,-7.14180556346789, 7.63668090851751)); #473004=CARTESIAN_POINT('Ctrl Pts',(-14.1531550184363,-7.14513785453079, 7.63518176305165)); #473005=CARTESIAN_POINT('Ctrl Pts',(-14.1105402329642,-7.18243747868133, 7.61840124737396)); #473006=CARTESIAN_POINT('Ctrl Pts',(-14.0678011146029,-7.21947999354256, 7.60144913681986)); #473007=CARTESIAN_POINT('Ctrl Pts',(-14.0249943348322,-7.25642788876704, 7.58432422301764)); #473008=CARTESIAN_POINT('Ctrl Pts',(-13.9638129401238,-7.30923549946521, 7.55984851808741)); #473009=CARTESIAN_POINT('Ctrl Pts',(-13.9025012372974,-7.36184635909868, 7.535016627153)); #473010=CARTESIAN_POINT('Ctrl Pts',(-13.8411652216848,-7.41433238488447, 7.50979398806349)); #473011=CARTESIAN_POINT('Ctrl Pts',(-13.7977970931459,-7.45144305616034, 7.49196011601951)); #473012=CARTESIAN_POINT('Ctrl Pts',(-13.7544167742031,-7.48849108489789, 7.47392968652102)); #473013=CARTESIAN_POINT('Ctrl Pts',(-13.7110617907292,-7.5254977722929, 7.45567543466636)); #473014=CARTESIAN_POINT('Ctrl Pts',(-13.6587255867913,-7.57017059611581, 7.43363971532683)); #473015=CARTESIAN_POINT('Ctrl Pts',(-13.6064281099416,-7.61478582547909, 7.41128089082253)); #473016=CARTESIAN_POINT('Ctrl Pts',(-13.5542648852128,-7.65946159797999, 7.38847192580091)); #473017=CARTESIAN_POINT('Ctrl Pts',(-13.5021016604841,-7.70413737048089, 7.36566296077929)); #473018=CARTESIAN_POINT('Ctrl Pts',(-13.4500723982923,-7.74887406444252, 7.34240307222246)); #473019=CARTESIAN_POINT('Ctrl Pts',(-13.3982759099081,-7.79376695660562, 7.31851169650716)); #473020=CARTESIAN_POINT('Ctrl Pts',(-13.3587367330495,-7.82803622964527, 7.30027406381675)); #473021=CARTESIAN_POINT('Ctrl Pts',(-13.3193318757625,-7.86239543724263, 7.28167152699547)); #473022=CARTESIAN_POINT('Ctrl Pts',(-13.2802576202251,-7.89691258192433, 7.26255034797665)); #473023=CARTESIAN_POINT('Ctrl Pts',(-13.2681444362671,-7.90761304230378, 7.25662270186379)); #473024=CARTESIAN_POINT('Ctrl Pts',(-13.2560630798503,-7.91832850274447, 7.25064487306482)); #473025=CARTESIAN_POINT('Ctrl Pts',(-13.2440195794141,-7.92906047000664, 7.24461145267909)); #473026=CARTESIAN_POINT('Ctrl Pts',(-13.2023133955154,-7.96622486441188, 7.22371794738313)); #473027=CARTESIAN_POINT('Ctrl Pts',(-13.1610613024051,-8.00358774795179, 7.20215998678319)); #473028=CARTESIAN_POINT('Ctrl Pts',(-13.1205075438495,-8.04116649631082, 7.17971624551523)); #473029=CARTESIAN_POINT('Ctrl Pts',(-13.1115746036587,-8.04944411898977, 7.17477247197745)); #473030=CARTESIAN_POINT('Ctrl Pts',(-13.1026756347642,-8.05773204063341, 7.16978573135666)); #473031=CARTESIAN_POINT('Ctrl Pts',(-13.0938134125062,-8.06603013210692, 7.16475378706336)); #473032=CARTESIAN_POINT('Ctrl Pts',(-13.0692659447209,-8.08901501413707, 7.15081580550064)); #473033=CARTESIAN_POINT('Ctrl Pts',(-13.0449995575448,-8.11208167090096, 7.1365328085953)); #473034=CARTESIAN_POINT('Ctrl Pts',(-13.0212106372343,-8.13541274427046, 7.1216341974781)); #473035=CARTESIAN_POINT('Ctrl Pts',(-13.0163612325835,-8.14016881618254, 7.11859709478071)); #473036=CARTESIAN_POINT('Ctrl Pts',(-13.0115315542162,-8.1449357025002, 7.11553439926448)); #473037=CARTESIAN_POINT('Ctrl Pts',(-13.0067195664506,-8.1497116542957, 7.11244780190612)); #473038=CARTESIAN_POINT('Ctrl Pts',(-12.9883020693273,-8.16799122663492, 7.10063409814401)); #473039=CARTESIAN_POINT('Ctrl Pts',(-12.9701440319352,-8.18640266084148, 7.08846760366401)); #473040=CARTESIAN_POINT('Ctrl Pts',(-12.9521291814147,-8.20485522888051, 7.07606371616189)); #473041=CARTESIAN_POINT('Ctrl Pts',(-12.9517615134834,-8.20523183025773, 7.07581056326164)); #473042=CARTESIAN_POINT('Ctrl Pts',(-12.9513939050423,-8.20560844920659, 7.07555731261871)); #473043=CARTESIAN_POINT('Ctrl Pts',(-12.9510263550642,-8.20598508504558, 7.07530396502009)); #473044=CARTESIAN_POINT('Ctrl Pts',(-12.9246013356084,-8.23306333281543, 7.05708952991583)); #473045=CARTESIAN_POINT('Ctrl Pts',(-12.8984764105562,-8.26022973430137, 7.03836860643982)); #473046=CARTESIAN_POINT('Ctrl Pts',(-12.8725465282111,-8.28729128306267, 7.01931756814033)); #473047=CARTESIAN_POINT('Ctrl Pts',(-12.8531776034603,-8.30750553219108, 7.00508695489134)); #473048=CARTESIAN_POINT('Ctrl Pts',(-12.8339172036961,-8.32766220140026, 6.99067260088075)); #473049=CARTESIAN_POINT('Ctrl Pts',(-12.8147157550399,-8.34769539556251, 6.97615892007971)); #473050=CARTESIAN_POINT('',(-15.1105435766774,-6.0364184754361,7.88850863191853)); #473051=CARTESIAN_POINT('Ctrl Pts',(-15.11054373428,-6.036418608009,7.888508513226)); #473052=CARTESIAN_POINT('Ctrl Pts',(-15.10579263237,-6.047362550922,7.890383631872)); #473053=CARTESIAN_POINT('Ctrl Pts',(-15.10099948478,-6.058251946136,7.892191271295)); #473054=CARTESIAN_POINT('Ctrl Pts',(-15.09607216625,-6.068836422387,7.893711088531)); #473055=CARTESIAN_POINT('Ctrl Pts',(-15.1105435161297,-6.03641836761291, 7.88850855841394)); #473056=CARTESIAN_POINT('Ctrl Pts',(-15.935674395114,-5.34932856897764, 8.21671110168259)); #473057=CARTESIAN_POINT('Ctrl Pts',(-16.7292897371612,-4.62464320964595, 8.54577210015086)); #473058=CARTESIAN_POINT('Ctrl Pts',(-17.4856568162626,-3.8637041961662, 8.87686859516959)); #473059=CARTESIAN_POINT('Ctrl Pts',(-18.8514699364663,-2.48963528571022, 9.47474752121612)); #473060=CARTESIAN_POINT('Ctrl Pts',(-20.0958360140395,-0.997360488665486, 10.0792609471443)); #473061=CARTESIAN_POINT('Ctrl Pts',(-21.2034375955469,0.592313954273445, 10.6774782622253)); #473062=CARTESIAN_POINT('Ctrl Pts',(-21.8349881497949,1.49874077080584, 11.018579686427)); #473063=CARTESIAN_POINT('Ctrl Pts',(-22.422072668769,2.43683533190658,11.3576341988191)); #473064=CARTESIAN_POINT('Ctrl Pts',(-22.961845698061,3.4027453006102,11.6922446608777)); #473065=CARTESIAN_POINT('Ctrl Pts',(-23.1170018786823,3.68039329974185, 11.7884274608169)); #473066=CARTESIAN_POINT('Ctrl Pts',(-23.2682488334573,3.96033950516813, 11.8842431230047)); #473067=CARTESIAN_POINT('Ctrl Pts',(-23.4191894360993,4.24046567136029, 11.9800293058174)); #473068=CARTESIAN_POINT('Ctrl Pts',(-13.70763854154,-7.202641766505,7.653859712799)); #473069=CARTESIAN_POINT('Ctrl Pts',(-13.9434120324,-7.02532452385,7.743960771317)); #473070=CARTESIAN_POINT('Ctrl Pts',(-15.25644051354,-6.016025461846,8.251077271384)); #473071=CARTESIAN_POINT('Ctrl Pts',(-18.79111392453,-2.890211097393,9.697703376639)); #473072=CARTESIAN_POINT('Ctrl Pts',(-21.70223454157,0.9415496057494,11.20533591891)); #473073=CARTESIAN_POINT('Ctrl Pts',(-23.33469706557,3.946808561881,12.24146872292)); #473074=CARTESIAN_POINT('Ctrl Pts',(-23.51138151786,4.271191611576,12.35341416266)); #473075=CARTESIAN_POINT('Ctrl Pts',(-13.72460630374,-7.171230888649,7.55652195167)); #473076=CARTESIAN_POINT('Ctrl Pts',(-13.95987451045,-6.993944340602,7.646393672637)); #473077=CARTESIAN_POINT('Ctrl Pts',(-15.27008380625,-5.984793647072,8.152218270588)); #473078=CARTESIAN_POINT('Ctrl Pts',(-18.79699507594,-2.858950200489,9.595276216168)); #473079=CARTESIAN_POINT('Ctrl Pts',(-21.701110194,0.9741609756986,11.09904342427)); #473080=CARTESIAN_POINT('Ctrl Pts',(-23.32865072861,3.981205852977,12.13261276071)); #473081=CARTESIAN_POINT('Ctrl Pts',(-23.50480352527,4.305781106233,12.24428116907)); #473082=CARTESIAN_POINT('Ctrl Pts',(-13.77557616812,-7.076875005713,7.264126736327)); #473083=CARTESIAN_POINT('Ctrl Pts',(-14.00932653976,-6.899680661928,7.353309544769)); #473084=CARTESIAN_POINT('Ctrl Pts',(-15.31106721764,-5.890975655981,7.855253367228)); #473085=CARTESIAN_POINT('Ctrl Pts',(-18.81466160649,-2.765044848893,9.287592833116)); #473086=CARTESIAN_POINT('Ctrl Pts',(-21.69773273959,1.072123045385,10.77974887198)); #473087=CARTESIAN_POINT('Ctrl Pts',(-23.31048799322,4.084532693668,11.80561774726)); #473088=CARTESIAN_POINT('Ctrl Pts',(-23.4850437369,4.409685311772,11.91645397447)); #473089=CARTESIAN_POINT('Ctrl Pts',(-13.8289097239,-6.978143435468,6.958171899776)); #473090=CARTESIAN_POINT('Ctrl Pts',(-14.06107187192,-6.801045571856,7.046633847863)); #473091=CARTESIAN_POINT('Ctrl Pts',(-15.35395120574,-5.792806921943,7.544516926615)); #473092=CARTESIAN_POINT('Ctrl Pts',(-18.83314740991,-2.666784703067,8.965640850898)); #473093=CARTESIAN_POINT('Ctrl Pts',(-21.69419865814,1.174628036733,10.44564726076)); #473094=CARTESIAN_POINT('Ctrl Pts',(-23.29148297383,4.19265124348,11.46345857143)); #473095=CARTESIAN_POINT('Ctrl Pts',(-23.46436760229,4.518408001317,11.57342402571)); #473096=CARTESIAN_POINT('Ctrl Pts',(-13.82744622282,-6.983733624717,6.623247000946)); #473097=CARTESIAN_POINT('Ctrl Pts',(-14.05959825033,-6.807542168978,6.711037654815)); #473098=CARTESIAN_POINT('Ctrl Pts',(-15.35248273109,-5.804311373103,7.20513720813)); #473099=CARTESIAN_POINT('Ctrl Pts',(-18.83258914187,-2.691001973209,8.615864250142)); #473100=CARTESIAN_POINT('Ctrl Pts',(-21.69757234859,1.142005242713,10.08448759879)); #473101=CARTESIAN_POINT('Ctrl Pts',(-23.2979866566,4.156809448516,11.09484520391)); #473102=CARTESIAN_POINT('Ctrl Pts',(-23.4712092255,4.482216163472,11.20400506554)); #473103=CARTESIAN_POINT('Ctrl Pts',(-13.80723271458,-7.022602051826,6.466882452711)); #473104=CARTESIAN_POINT('Ctrl Pts',(-14.03991194749,-6.846940045975,6.554397322578)); #473105=CARTESIAN_POINT('Ctrl Pts',(-15.33578563902,-5.846644242443,7.046941762614)); #473106=CARTESIAN_POINT('Ctrl Pts',(-18.82481628076,-2.740973144619,8.45341531834)); #473107=CARTESIAN_POINT('Ctrl Pts',(-21.70026277371,1.086368199208,9.917336414372)); #473108=CARTESIAN_POINT('Ctrl Pts',(-23.30831304757,4.098415946567,10.92465053978)); #473109=CARTESIAN_POINT('Ctrl Pts',(-23.48236030987,4.423523842613,11.03348143421)); #473110=CARTESIAN_POINT('Ctrl Pts',(-13.77394985401,-7.085973502979,6.211923286492)); #473111=CARTESIAN_POINT('Ctrl Pts',(-14.00745935727,-6.910994534631,6.298991813429)); #473112=CARTESIAN_POINT('Ctrl Pts',(-15.3080425631,-5.91447172136,6.789019221568)); #473113=CARTESIAN_POINT('Ctrl Pts',(-18.81116128251,-2.818364215405,8.18861245107)); #473114=CARTESIAN_POINT('Ctrl Pts',(-21.70321481945,1.002701177143,9.644917768389)); #473115=CARTESIAN_POINT('Ctrl Pts',(-23.32339281728,4.012390598121,10.64731008818)); #473116=CARTESIAN_POINT('Ctrl Pts',(-23.49874988495,4.337241972149,10.7556089825)); #473117=CARTESIAN_POINT('Ctrl Pts',(-13.75728986034,-7.118266138117,6.066730133346)); #473118=CARTESIAN_POINT('Ctrl Pts',(-13.99121734748,-6.9437090289,6.153551228474)); #473119=CARTESIAN_POINT('Ctrl Pts',(-15.29417482843,-5.949519193423,6.64218309605)); #473120=CARTESIAN_POINT('Ctrl Pts',(-18.80444402754,-2.859376754601,8.037967300408)); #473121=CARTESIAN_POINT('Ctrl Pts',(-21.70508009836,0.9576047664911,9.490043377437)); #473122=CARTESIAN_POINT('Ctrl Pts',(-23.33153960038,3.965596925015,10.48971263692)); #473123=CARTESIAN_POINT('Ctrl Pts',(-23.50757507087,4.290263944491,10.59771718593)); #473124=CARTESIAN_POINT('Ctrl Pts',(-13.72041958338,-7.188452276075,5.83517745002)); #473125=CARTESIAN_POINT('Ctrl Pts',(-13.95529186193,-7.014743152926,5.921637986916)); #473126=CARTESIAN_POINT('Ctrl Pts',(-15.26359918831,-6.025256172576,6.408235150698)); #473127=CARTESIAN_POINT('Ctrl Pts',(-18.78973945254,-2.947392252064,7.798495431024)); #473128=CARTESIAN_POINT('Ctrl Pts',(-21.7086609919,0.860355436247,9.244370090638)); #473129=CARTESIAN_POINT('Ctrl Pts',(-23.34843564053,3.863748293117,10.24009920808)); #473130=CARTESIAN_POINT('Ctrl Pts',(-23.52590917418,4.187916849858,10.34767780864)); #473131=CARTESIAN_POINT('Ctrl Pts',(-13.67017420456,-7.282064009537,5.600918248555)); #473132=CARTESIAN_POINT('Ctrl Pts',(-13.90632343445,-7.109262140742,5.687010346494)); #473133=CARTESIAN_POINT('Ctrl Pts',(-15.22184768451,-6.124808325939,6.171528126654)); #473134=CARTESIAN_POINT('Ctrl Pts',(-18.76920072246,-3.060116061422,7.556148674111)); #473135=CARTESIAN_POINT('Ctrl Pts',(-21.71192658862,0.737628050835,8.995679227953)); #473136=CARTESIAN_POINT('Ctrl Pts',(-23.36897631223,3.735956023441,9.987387254237)); #473137=CARTESIAN_POINT('Ctrl Pts',(-23.54831561264,4.059575758289,10.09453114706)); #473138=CARTESIAN_POINT('Ctrl Pts',(-13.59113410094,-7.420817434529,5.273137928586)); #473139=CARTESIAN_POINT('Ctrl Pts',(-13.82917707781,-7.248817888436,5.358441025039)); #473140=CARTESIAN_POINT('Ctrl Pts',(-15.15540138933,-6.268782068234,5.838513395063)); #473141=CARTESIAN_POINT('Ctrl Pts',(-18.73416508842,-3.215022314872,7.210877586827)); #473142=CARTESIAN_POINT('Ctrl Pts',(-21.71200853656,0.5764216347771,8.637081966058)); #473143=CARTESIAN_POINT('Ctrl Pts',(-23.3945310964,3.573221546988,9.619991084086)); #473144=CARTESIAN_POINT('Ctrl Pts',(-23.57662155452,3.896673720221,9.726184055834)); #473145=CARTESIAN_POINT('Ctrl Pts',(-13.49756174018,-7.586224694262,4.931080597524)); #473146=CARTESIAN_POINT('Ctrl Pts',(-13.73795631497,-7.416012251459,5.016020881174)); #473147=CARTESIAN_POINT('Ctrl Pts',(-15.07745656236,-6.445917825308,5.494039925908)); #473148=CARTESIAN_POINT('Ctrl Pts',(-18.6950334672,-3.418690509729,6.860985884445)); #473149=CARTESIAN_POINT('Ctrl Pts',(-21.71575268394,0.350960041614,8.280746768427)); #473150=CARTESIAN_POINT('Ctrl Pts',(-23.42932615286,3.335362916484,9.259838253091)); #473151=CARTESIAN_POINT('Ctrl Pts',(-23.61477024014,3.657473395612,9.365618284159)); #473152=CARTESIAN_POINT('Ctrl Pts',(-13.39177211457,-7.763938487138,4.617207763256)); #473153=CARTESIAN_POINT('Ctrl Pts',(-13.63464592563,-7.594816083038,4.70136609296)); #473154=CARTESIAN_POINT('Ctrl Pts',(-14.98813607183,-6.630752464443,5.174978822566)); #473155=CARTESIAN_POINT('Ctrl Pts',(-18.64651837597,-3.618995499735,6.529792461504)); #473156=CARTESIAN_POINT('Ctrl Pts',(-21.71200307127,0.1398990554096,7.93632509571)); #473157=CARTESIAN_POINT('Ctrl Pts',(-23.45796597343,3.119680306934,8.906711543398)); #473158=CARTESIAN_POINT('Ctrl Pts',(-23.64690826801,3.441289011246,9.011550774288)); #473159=CARTESIAN_POINT('Ctrl Pts',(-13.2875969024,-7.933571392231,4.282453157257)); #473160=CARTESIAN_POINT('Ctrl Pts',(-13.53286656048,-7.765670372848,4.36586371962)); #473161=CARTESIAN_POINT('Ctrl Pts',(-14.89988079588,-6.808371876215,4.835261550439)); #473162=CARTESIAN_POINT('Ctrl Pts',(-18.59777801702,-3.814114494542,6.17850242053)); #473163=CARTESIAN_POINT('Ctrl Pts',(-21.70683588519,-0.0678594110949,7.572342902508)); #473164=CARTESIAN_POINT('Ctrl Pts',(-23.48434725681,2.906062575884,8.53443546147)); #473165=CARTESIAN_POINT('Ctrl Pts',(-23.67669692213,3.227035735816,8.638378279058)); #473166=CARTESIAN_POINT('Ctrl Pts',(-13.1917427465,-8.086758410437,3.956032485327)); #473167=CARTESIAN_POINT('Ctrl Pts',(-13.43923216717,-7.920302657641,4.038890283095)); #473168=CARTESIAN_POINT('Ctrl Pts',(-14.81877878401,-6.9710303339,4.505168882009)); #473169=CARTESIAN_POINT('Ctrl Pts',(-18.55332574053,-3.99793495657,5.839938003004)); #473170=CARTESIAN_POINT('Ctrl Pts',(-21.70290335101,-0.2682539694941,7.224275756475)); #473171=CARTESIAN_POINT('Ctrl Pts',(-23.50976265336,2.696859893597,8.180324476363)); #473172=CARTESIAN_POINT('Ctrl Pts',(-23.70528201768,3.016879118054,8.283613930928)); #473173=CARTESIAN_POINT('Ctrl Pts',(-13.08640037414,-8.250673464978,3.62232013053)); #473174=CARTESIAN_POINT('Ctrl Pts',(-13.33620659663,-8.085385885874,3.704391863445)); #473175=CARTESIAN_POINT('Ctrl Pts',(-14.72883285332,-7.142580659741,4.166240642516)); #473176=CARTESIAN_POINT('Ctrl Pts',(-18.50162121502,-4.186151123433,5.488821738804)); #473177=CARTESIAN_POINT('Ctrl Pts',(-21.69344976244,-0.4683050977957,6.859849988841)); #473178=CARTESIAN_POINT('Ctrl Pts',(-23.53090812484,2.491498960126,7.807156382891)); #473179=CARTESIAN_POINT('Ctrl Pts',(-23.72973231643,2.810942008982,7.909500993927)); #473180=CARTESIAN_POINT('Ctrl Pts',(-12.99834419507,-8.384906244704,3.2858008632)); #473181=CARTESIAN_POINT('Ctrl Pts',(-13.25009826955,-8.221038252407,3.367308138343)); #473182=CARTESIAN_POINT('Ctrl Pts',(-14.65372989889,-7.286115186153,3.825972056537)); #473183=CARTESIAN_POINT('Ctrl Pts',(-18.4588195328,-4.350441266933,5.139893748354)); #473184=CARTESIAN_POINT('Ctrl Pts',(-21.68674298963,-0.6487667726547,6.501230491271)); #473185=CARTESIAN_POINT('Ctrl Pts',(-23.55038134746,2.302510911313,7.442356334466)); #473186=CARTESIAN_POINT('Ctrl Pts',(-23.75203307321,2.62103046159,7.544032817595)); #473187=CARTESIAN_POINT('Ctrl Pts',(-12.90513613417,-8.52210403722,2.948629929613)); #473188=CARTESIAN_POINT('Ctrl Pts',(-13.1588755268,-8.359487237567,3.029447439776)); #473189=CARTESIAN_POINT('Ctrl Pts',(-14.57372349295,-7.431499977218,3.484222725339)); #473190=CARTESIAN_POINT('Ctrl Pts',(-18.41172324944,-4.513873605403,4.787485306522)); #473191=CARTESIAN_POINT('Ctrl Pts',(-21.67638830411,-0.8255771370194,6.137091214708)); #473192=CARTESIAN_POINT('Ctrl Pts',(-23.56667249457,2.119199860768,7.070583281585)); #473193=CARTESIAN_POINT('Ctrl Pts',(-23.77120206781,2.437014682662,7.171434670629)); #473194=CARTESIAN_POINT('Ctrl Pts',(-12.80687738891,-8.662276327658,2.613905579913)); #473195=CARTESIAN_POINT('Ctrl Pts',(-13.0626650789,-8.500673258509,2.693901035447)); #473196=CARTESIAN_POINT('Ctrl Pts',(-14.48908300189,-7.578288414451,3.144044845264)); #473197=CARTESIAN_POINT('Ctrl Pts',(-18.360998995,-4.674914999903,4.434533745012)); #473198=CARTESIAN_POINT('Ctrl Pts',(-21.66342644479,-0.9961434196378,5.770260835811)); #473199=CARTESIAN_POINT('Ctrl Pts',(-23.58108698931,1.944889598886,6.69458131812)); #473200=CARTESIAN_POINT('Ctrl Pts',(-23.78857331295,2.262297442325,6.794441516371)); #473201=CARTESIAN_POINT('Ctrl Pts',(-12.72660192297,-8.775454358545,2.273461763018)); #473202=CARTESIAN_POINT('Ctrl Pts',(-12.98405424972,-8.615069133127,2.352807188408)); #473203=CARTESIAN_POINT('Ctrl Pts',(-14.41988620997,-7.699434903147,2.799285843726)); #473204=CARTESIAN_POINT('Ctrl Pts',(-18.31955966943,-4.813620922273,4.079742307184)); #473205=CARTESIAN_POINT('Ctrl Pts',(-21.65341761028,-1.14784560615,5.40439600593)); #473206=CARTESIAN_POINT('Ctrl Pts',(-23.59391440891,1.786889183513,6.321535731195)); #473207=CARTESIAN_POINT('Ctrl Pts',(-23.80386711496,2.103614225538,6.420619778788)); #473208=CARTESIAN_POINT('Ctrl Pts',(-12.63970889448,-8.897047016124,1.938173494504)); #473209=CARTESIAN_POINT('Ctrl Pts',(-12.89893583422,-8.737904784636,2.016853942877)); #473210=CARTESIAN_POINT('Ctrl Pts',(-14.34479933302,-7.8291624631,2.45958352369)); #473211=CARTESIAN_POINT('Ctrl Pts',(-18.27398714939,-4.961311363423,3.729768376844)); #473212=CARTESIAN_POINT('Ctrl Pts',(-21.64105806423,-1.308945931947,5.043106364677)); #473213=CARTESIAN_POINT('Ctrl Pts',(-23.60566672461,1.619192256222,5.952891312246)); #473214=CARTESIAN_POINT('Ctrl Pts',(-23.81822359009,1.935202271892,6.051180413834)); #473215=CARTESIAN_POINT('Ctrl Pts',(-12.55842941568,-9.00610602287,1.590253139098)); #473216=CARTESIAN_POINT('Ctrl Pts',(-12.81924637684,-8.847895608573,1.668138987023)); #473217=CARTESIAN_POINT('Ctrl Pts',(-14.2741059929,-7.944296354787,2.106391362439)); #473218=CARTESIAN_POINT('Ctrl Pts',(-18.22987717955,-5.089402437253,3.364238817254)); #473219=CARTESIAN_POINT('Ctrl Pts',(-21.6272376204,-1.445279636589,4.664147465257)); #473220=CARTESIAN_POINT('Ctrl Pts',(-23.61387129883,1.480011160904,5.565077289324)); #473221=CARTESIAN_POINT('Ctrl Pts',(-23.82880692383,1.795711055573,5.662409384722)); #473222=CARTESIAN_POINT('Ctrl Pts',(-12.47793881308,-9.113672896375,1.232321126512)); #473223=CARTESIAN_POINT('Ctrl Pts',(-12.74035509139,-8.956695210425,1.309509955231)); #473224=CARTESIAN_POINT('Ctrl Pts',(-14.20426462489,-8.059926622012,1.743832714336)); #473225=CARTESIAN_POINT('Ctrl Pts',(-18.18679545986,-5.222753563063,2.990911029244)); #473226=CARTESIAN_POINT('Ctrl Pts',(-21.61470223543,-1.591596635138,4.278962466092)); #473227=CARTESIAN_POINT('Ctrl Pts',(-23.6235529059,1.327538257249,5.17218040401)); #473228=CARTESIAN_POINT('Ctrl Pts',(-23.84088802204,1.642570620928,5.268678959405)); #473229=CARTESIAN_POINT('Ctrl Pts',(-12.40733195982,-9.207826191839,0.875356758713)); #473230=CARTESIAN_POINT('Ctrl Pts',(-12.67109209674,-9.052074289616,0.9518784360687)); #473231=CARTESIAN_POINT('Ctrl Pts',(-14.1426158676,-8.162098952068,1.382439566622)); #473232=CARTESIAN_POINT('Ctrl Pts',(-18.14780259837,-5.342570017533,2.619219704734)); #473233=CARTESIAN_POINT('Ctrl Pts',(-21.60202831036,-1.7243880926,3.895908253513)); #473234=CARTESIAN_POINT('Ctrl Pts',(-23.6300639862,1.188530343207,4.781754730876)); #473235=CARTESIAN_POINT('Ctrl Pts',(-23.84947111442,1.502888681409,4.877456525421)); #473236=CARTESIAN_POINT('Ctrl Pts',(-12.33331591214,-9.302523216181,0.5251935263676)); #473237=CARTESIAN_POINT('Ctrl Pts',(-12.59845530161,-9.147636280682,0.6009303902225)); #473238=CARTESIAN_POINT('Ctrl Pts',(-14.07779103773,-8.262429437639,1.027069411278)); #473239=CARTESIAN_POINT('Ctrl Pts',(-18.10618171939,-5.454806829753,2.251665273784)); #473240=CARTESIAN_POINT('Ctrl Pts',(-21.58723365359,-1.843844701635,3.515088200099)); #473241=CARTESIAN_POINT('Ctrl Pts',(-23.63481224323,1.066930673612,4.39218984361)); #473242=CARTESIAN_POINT('Ctrl Pts',(-23.85633004293,1.381054988192,4.486946549451)); #473243=CARTESIAN_POINT('Ctrl Pts',(-12.27086854419,-9.381686626234,0.2047186551461)); #473244=CARTESIAN_POINT('Ctrl Pts',(-12.53718969051,-9.227928148358,0.2798619720281)); #473245=CARTESIAN_POINT('Ctrl Pts',(-14.02322218063,-8.348977593673,0.7026543088931)); #473246=CARTESIAN_POINT('Ctrl Pts',(-18.07155281407,-5.557650502079,1.918089639986)); #473247=CARTESIAN_POINT('Ctrl Pts',(-21.57581357938,-1.958819313773,3.171401151706)); #473248=CARTESIAN_POINT('Ctrl Pts',(-23.64031421073,0.9460174689904,4.041946159287)); #473249=CARTESIAN_POINT('Ctrl Pts',(-23.86365961711,1.259498045407,4.13599417395)); #473250=CARTESIAN_POINT('Ctrl Pts',(-12.23525086423,-9.426492018466,-0.002891358777848)); #473251=CARTESIAN_POINT('Ctrl Pts',(-12.50221047609,-9.27323783093,0.07181536072341)); #473252=CARTESIAN_POINT('Ctrl Pts',(-13.99186712615,-8.397067523903,0.4921472652255)); #473253=CARTESIAN_POINT('Ctrl Pts',(-18.05107648569,-5.612684928253,1.700813456327)); #473254=CARTESIAN_POINT('Ctrl Pts',(-21.56828055861,-2.018073338553,2.946732072234)); #473255=CARTESIAN_POINT('Ctrl Pts',(-23.6422441791,0.8855020273264,3.812421772706)); #473256=CARTESIAN_POINT('Ctrl Pts',(-23.86661159281,1.198844874331,3.905945040216)); #473257=CARTESIAN_POINT('Ctrl Pts',(-12.22016981269,-9.445458355743,-0.09022799943888)); #473258=CARTESIAN_POINT('Ctrl Pts',(-12.48740084322,-9.292419857572,-0.01570441619108)); #473259=CARTESIAN_POINT('Ctrl Pts',(-13.97859799594,-8.417439041704,0.4035954171617)); #473260=CARTESIAN_POINT('Ctrl Pts',(-18.04242912595,-5.636034357897,1.609422490439)); #473261=CARTESIAN_POINT('Ctrl Pts',(-21.56512447812,-2.043254888354,2.852239653182)); #473262=CARTESIAN_POINT('Ctrl Pts',(-23.64310214833,0.8597492498993,3.715893031013)); #473263=CARTESIAN_POINT('Ctrl Pts',(-23.86790308159,1.173029774256,3.809196218361)); #473264=CARTESIAN_POINT('',(-15.0766177518591,-6.06478851185169,7.87585366475878)); #473265=CARTESIAN_POINT('Ctrl Pts',(-15.110543479623,-6.03641845068608, 7.88850882411581)); #473266=CARTESIAN_POINT('Ctrl Pts',(-15.1048957518648,-6.04112135348984, 7.8862624859601)); #473267=CARTESIAN_POINT('Ctrl Pts',(-15.0992370424505,-6.04584420643082, 7.8840858069253)); #473268=CARTESIAN_POINT('Ctrl Pts',(-15.0879267213581,-6.05530326047836, 7.87987124165029)); #473269=CARTESIAN_POINT('Ctrl Pts',(-15.0822775186171,-6.06003735661618, 7.87783360707947)); #473270=CARTESIAN_POINT('Ctrl Pts',(-15.0766178272961,-6.0647886565648, 7.87585379640033)); #473271=CARTESIAN_POINT('',(-13.8819206219834,-7.05589194266282,7.63779143559528)); #473272=CARTESIAN_POINT('Ctrl Pts',(-15.0766178271377,-6.06478865698038, 7.87585379785049)); #473273=CARTESIAN_POINT('Ctrl Pts',(-15.0187373789957,-6.11337918129752, 7.85560669762549)); #473274=CARTESIAN_POINT('Ctrl Pts',(-14.9565175868383,-6.16648442743747, 7.84044286619993)); #473275=CARTESIAN_POINT('Ctrl Pts',(-14.8174694536227,-6.28518236707459, 7.81099824291658)); #473276=CARTESIAN_POINT('Ctrl Pts',(-14.7249433524036,-6.36439213808788, 7.79538814752402)); #473277=CARTESIAN_POINT('Ctrl Pts',(-14.3003054529839,-6.72142655507773, 7.72018732578958)); #473278=CARTESIAN_POINT('Ctrl Pts',(-14.0970535257094,-6.88459143922897, 7.67828354509103)); #473279=CARTESIAN_POINT('Ctrl Pts',(-13.8819205697725,-7.05589272491883, 7.63779502263025)); #473280=CARTESIAN_POINT('',(-13.88963310799,-7.041013424129,7.590814244121)); #473281=CARTESIAN_POINT('Ctrl Pts',(-13.8819208586985,-7.05589053119102, 7.63778419332125)); #473282=CARTESIAN_POINT('Ctrl Pts',(-13.8843628316406,-7.05103034283837, 7.62208316145078)); #473283=CARTESIAN_POINT('Ctrl Pts',(-13.8872018627702,-7.04586514335658, 7.60651882878634)); #473284=CARTESIAN_POINT('Ctrl Pts',(-13.8896331196941,-7.04101343821557, 7.59081424158109)); #473285=CARTESIAN_POINT('',(-13.92233111073,-6.950101889337,6.418044801934)); #473286=CARTESIAN_POINT('Ctrl Pts',(-13.8896330893974,-7.04101340177655, 7.59081424814812)); #473287=CARTESIAN_POINT('Ctrl Pts',(-13.8948261806553,-7.03072164287769, 7.55766567702626)); #473288=CARTESIAN_POINT('Ctrl Pts',(-13.9000370485623,-7.02034747081096, 7.52410242343594)); #473289=CARTESIAN_POINT('Ctrl Pts',(-13.9053000511349,-7.00982107492611, 7.49000247335624)); #473290=CARTESIAN_POINT('Ctrl Pts',(-13.9099782054452,-7.00046441898681, 7.45969186144942)); #473291=CARTESIAN_POINT('Ctrl Pts',(-13.9146988926819,-6.99098476534793, 7.42895274470732)); #473292=CARTESIAN_POINT('Ctrl Pts',(-13.9193795198869,-6.98154942378172, 7.39805668830559)); #473293=CARTESIAN_POINT('Ctrl Pts',(-13.9198250177601,-6.9806513764866, 7.39511602954016)); #473294=CARTESIAN_POINT('Ctrl Pts',(-13.9202701430584,-6.97975375009797, 7.39217398184568)); #473295=CARTESIAN_POINT('Ctrl Pts',(-13.9207148183207,-6.97885670146467, 7.38923080061959)); #473296=CARTESIAN_POINT('Ctrl Pts',(-13.9247440771387,-6.97072843029167, 7.36256226311934)); #473297=CARTESIAN_POINT('Ctrl Pts',(-13.928737237952,-6.96264597157494, 7.33580091862094)); #473298=CARTESIAN_POINT('Ctrl Pts',(-13.9326158555453,-6.95475931427837, 7.30891172829987)); #473299=CARTESIAN_POINT('Ctrl Pts',(-13.9343487974787,-6.95123560542731, 7.29689780727235)); #473300=CARTESIAN_POINT('Ctrl Pts',(-13.9360699078241,-6.94774309511261, 7.28486503572973)); #473301=CARTESIAN_POINT('Ctrl Pts',(-13.9377660033472,-6.94430140835084, 7.27281255890391)); #473302=CARTESIAN_POINT('Ctrl Pts',(-13.9407341705128,-6.93827845651775, 7.25172072445872)); #473303=CARTESIAN_POINT('Ctrl Pts',(-13.9436256441656,-6.93241104889009, 7.23056856959858)); #473304=CARTESIAN_POINT('Ctrl Pts',(-13.9463710964213,-6.9268059470908, 7.20935119149322)); #473305=CARTESIAN_POINT('Ctrl Pts',(-13.9471170940855,-6.92528292193477, 7.20358597941385)); #473306=CARTESIAN_POINT('Ctrl Pts',(-13.947852321,-6.92377928127802,7.19781600352881)); #473307=CARTESIAN_POINT('Ctrl Pts',(-13.9485754012268,-6.92229717849698, 7.19204106711733)); #473308=CARTESIAN_POINT('Ctrl Pts',(-13.9498481538977,-6.91968840812409, 7.18187612902506)); #473309=CARTESIAN_POINT('Ctrl Pts',(-13.951083282406,-6.91714635300043, 7.17169581317365)); #473310=CARTESIAN_POINT('Ctrl Pts',(-13.9522729319958,-6.91468240625485, 7.16149982691915)); #473311=CARTESIAN_POINT('Ctrl Pts',(-13.9550712075473,-6.90888674844286, 7.13751698415166)); #473312=CARTESIAN_POINT('Ctrl Pts',(-13.9576177533933,-6.90352306778086, 7.11344699227189)); #473313=CARTESIAN_POINT('Ctrl Pts',(-13.9598116911732,-6.89874026528731, 7.08928209605384)); #473314=CARTESIAN_POINT('Ctrl Pts',(-13.9620056289532,-6.89395746279375, 7.06511719983579)); #473315=CARTESIAN_POINT('Ctrl Pts',(-13.9638469621665,-6.88975559604885, 7.04085743308594)); #473316=CARTESIAN_POINT('Ctrl Pts',(-13.9652364661184,-6.88628440475277, 7.01649331626216)); #473317=CARTESIAN_POINT('Ctrl Pts',(-13.9655630611398,-6.88546852093034, 7.01076666881791)); #473318=CARTESIAN_POINT('Ctrl Pts',(-13.9658647148636,-6.88469289960817, 7.00503325515537)); #473319=CARTESIAN_POINT('Ctrl Pts',(-13.966141831036,-6.88395826847708, 6.99929410603499)); #473320=CARTESIAN_POINT('Ctrl Pts',(-13.9673996048351,-6.88062392757371, 6.97324527846328)); #473321=CARTESIAN_POINT('Ctrl Pts',(-13.9681512913475,-6.87813464888948, 6.94708200520009)); #473322=CARTESIAN_POINT('Ctrl Pts',(-13.9684111249687,-6.87656811247046, 6.92089213915402)); #473323=CARTESIAN_POINT('Ctrl Pts',(-13.9684668699296,-6.87623202620505, 6.91527333951165)); #473324=CARTESIAN_POINT('Ctrl Pts',(-13.9685000066969,-6.87593838370063, 6.90965313225976)); #473325=CARTESIAN_POINT('Ctrl Pts',(-13.9685107299652,-6.87568791122039, 6.90403205877209)); #473326=CARTESIAN_POINT('Ctrl Pts',(-13.9685342030634,-6.8751396301393, 6.89172760023128)); #473327=CARTESIAN_POINT('Ctrl Pts',(-13.9684502414741,-6.87479821241551, 6.87941923524745)); #473328=CARTESIAN_POINT('Ctrl Pts',(-13.9682641776291,-6.87466956206697, 6.86710191962615)); #473329=CARTESIAN_POINT('Ctrl Pts',(-13.9682170183161,-6.87463695464633, 6.86398000121551)); #473330=CARTESIAN_POINT('Ctrl Pts',(-13.9681631745998,-6.87461812643797, 6.86085806602934)); #473331=CARTESIAN_POINT('Ctrl Pts',(-13.9681026821976,-6.87461320777971, 6.85773623186053)); #473332=CARTESIAN_POINT('Ctrl Pts',(-13.9677900423642,-6.87458778692615, 6.84160181366172)); #473333=CARTESIAN_POINT('Ctrl Pts',(-13.9673464791579,-6.8748988309529, 6.8254836285919)); #473334=CARTESIAN_POINT('Ctrl Pts',(-13.9666974231528,-6.87562228064919, 6.80937809953077)); #473335=CARTESIAN_POINT('Ctrl Pts',(-13.9658526745259,-6.87656385292152, 6.78841669796686)); #473336=CARTESIAN_POINT('Ctrl Pts',(-13.9646600757966,-6.87820461630545, 6.76747456759912)); #473337=CARTESIAN_POINT('Ctrl Pts',(-13.9631427827855,-6.88046524782155, 6.7465967603891)); #473338=CARTESIAN_POINT('Ctrl Pts',(-13.9624423313527,-6.88150885810181, 6.73695861543778)); #473339=CARTESIAN_POINT('Ctrl Pts',(-13.9616727218414,-6.88268428087983, 6.72733491726391)); #473340=CARTESIAN_POINT('Ctrl Pts',(-13.9608362147873,-6.88398237249531, 6.71773353671723)); #473341=CARTESIAN_POINT('Ctrl Pts',(-13.9607348635344,-6.88413964936583, 6.71657023278161)); #473342=CARTESIAN_POINT('Ctrl Pts',(-13.960632382424,-6.88429884201035, 6.71540716767132)); #473343=CARTESIAN_POINT('Ctrl Pts',(-13.9605288020017,-6.88445991566528, 6.71424433481279)); #473344=CARTESIAN_POINT('Ctrl Pts',(-13.9584299084906,-6.88772381864863, 6.69068136520166)); #473345=CARTESIAN_POINT('Ctrl Pts',(-13.9558806994619,-6.89175783271767, 6.66721620894837)); #473346=CARTESIAN_POINT('Ctrl Pts',(-13.9530347086581,-6.89635270659879, 6.64373394530928)); #473347=CARTESIAN_POINT('Ctrl Pts',(-13.9519966106288,-6.89802872379272, 6.63516860083419)); #473348=CARTESIAN_POINT('Ctrl Pts',(-13.9509191472075,-6.89977941155864, 6.62660105425424)); #473349=CARTESIAN_POINT('Ctrl Pts',(-13.9498100389329,-6.90159488165526, 6.61802592261344)); #473350=CARTESIAN_POINT('Ctrl Pts',(-13.9497226251441,-6.90173796698167, 6.61735007809687)); #473351=CARTESIAN_POINT('Ctrl Pts',(-13.9496350147131,-6.90188145491798, 6.61667418630023)); #473352=CARTESIAN_POINT('Ctrl Pts',(-13.9495472118179,-6.90202534023722, 6.61599824421353)); #473353=CARTESIAN_POINT('Ctrl Pts',(-13.945592419764,-6.90850618025802, 6.58555266053317)); #473354=CARTESIAN_POINT('Ctrl Pts',(-13.9412381793579,-6.91579766812012, 6.55500077354971)); #473355=CARTESIAN_POINT('Ctrl Pts',(-13.9368447029055,-6.92344829119656, 6.52411479698122)); #473356=CARTESIAN_POINT('Ctrl Pts',(-13.9318920391078,-6.93207266061152, 6.48929775410679)); #473357=CARTESIAN_POINT('Ctrl Pts',(-13.9268895350039,-6.94115428577994, 6.4540535461923)); #473358=CARTESIAN_POINT('Ctrl Pts',(-13.9223311106893,-6.95010188927872, 6.41804480192468)); #473359=CARTESIAN_POINT('',(-13.86646861802,-7.056083499505,6.008922173275)); #473360=CARTESIAN_POINT('Ctrl Pts',(-13.86646861802,-7.056083499505,6.008922173275)); #473361=CARTESIAN_POINT('Ctrl Pts',(-13.87849259966,-7.035452920949,6.07295850375)); #473362=CARTESIAN_POINT('Ctrl Pts',(-13.8932057678,-7.008674348234,6.172770342451)); #473363=CARTESIAN_POINT('Ctrl Pts',(-13.9099124589,-6.97569129087,6.31176583683)); #473364=CARTESIAN_POINT('Ctrl Pts',(-13.9180347538,-6.95866265727,6.383307703323)); #473365=CARTESIAN_POINT('Ctrl Pts',(-13.92233111073,-6.950101889337,6.418044801934)); #473366=CARTESIAN_POINT('',(-13.5201583533302,-7.67623516953062,4.64915568862248)); #473367=CARTESIAN_POINT('Ctrl Pts',(-13.52015834016,-7.67623514687359,4.6491556806749)); #473368=CARTESIAN_POINT('Ctrl Pts',(-13.5293004600879,-7.66019874680171, 4.67972265118885)); #473369=CARTESIAN_POINT('Ctrl Pts',(-13.5383752329999,-7.64412442133911, 4.70998196889592)); #473370=CARTESIAN_POINT('Ctrl Pts',(-13.5473745892176,-7.62810811566802, 4.73998152545636)); #473371=CARTESIAN_POINT('Ctrl Pts',(-13.5506037707503,-7.62236108696472, 4.75074607479624)); #473372=CARTESIAN_POINT('Ctrl Pts',(-13.5538231944434,-7.61662160310535, 4.76147708527734)); #473373=CARTESIAN_POINT('Ctrl Pts',(-13.5570317311653,-7.61089377748963, 4.77217747265852)); #473374=CARTESIAN_POINT('Ctrl Pts',(-13.5615881155032,-7.60275979705283, 4.78737289714664)); #473375=CARTESIAN_POINT('Ctrl Pts',(-13.5661231856993,-7.59464924842052, 4.80250572619847)); #473376=CARTESIAN_POINT('Ctrl Pts',(-13.570635053941,-7.58657390847623, 4.8175823476394)); #473377=CARTESIAN_POINT('Ctrl Pts',(-13.5726089962968,-7.5830409472506, 4.82417836951981)); #473378=CARTESIAN_POINT('Ctrl Pts',(-13.5745784981688,-7.57951472576769, 4.8307636330802)); #473379=CARTESIAN_POINT('Ctrl Pts',(-13.5765434030029,-7.57599623204919, 4.83733867257219)); #473380=CARTESIAN_POINT('Ctrl Pts',(-13.5846085878553,-7.56155415742556, 4.86432670171014)); #473381=CARTESIAN_POINT('Ctrl Pts',(-13.5926134772244,-7.54722331737272, 4.89116727512135)); #473382=CARTESIAN_POINT('Ctrl Pts',(-13.6005249872483,-7.53310067035117, 4.91785338743212)); #473383=CARTESIAN_POINT('Ctrl Pts',(-13.6125052517256,-7.51171498722312, 4.95826371142638)); #473384=CARTESIAN_POINT('Ctrl Pts',(-13.6242697893686,-7.490808850196,4.99832116996576)); #473385=CARTESIAN_POINT('Ctrl Pts',(-13.6356993854029,-7.47046970644241, 5.038164615055)); #473386=CARTESIAN_POINT('Ctrl Pts',(-13.6410196828866,-7.46100215439537, 5.05671111311243)); #473387=CARTESIAN_POINT('Ctrl Pts',(-13.6462677132807,-7.45165697618409, 5.07521102820888)); #473388=CARTESIAN_POINT('Ctrl Pts',(-13.6514336596354,-7.44244015869086, 5.09367627867559)); #473389=CARTESIAN_POINT('Ctrl Pts',(-13.6546236451419,-7.43674874956625, 5.10507861956729)); #473390=CARTESIAN_POINT('Ctrl Pts',(-13.6577823813896,-7.43110623990366, 5.1164676757604)); #473391=CARTESIAN_POINT('Ctrl Pts',(-13.6609126603615,-7.4255131509056, 5.12783567420437)); #473392=CARTESIAN_POINT('Ctrl Pts',(-13.674224523378,-7.40172791155518, 5.17617936478729)); #473393=CARTESIAN_POINT('Ctrl Pts',(-13.686905773755,-7.37885160101418, 5.22431227399136)); #473394=CARTESIAN_POINT('Ctrl Pts',(-13.6991385585295,-7.35681274782708, 5.27204629662541)); #473395=CARTESIAN_POINT('Ctrl Pts',(-13.7065333434498,-7.34349014122423, 5.3009017728939)); #473396=CARTESIAN_POINT('Ctrl Pts',(-13.7137641140374,-7.33047297896233, 5.32961251213985)); #473397=CARTESIAN_POINT('Ctrl Pts',(-13.7208577057987,-7.31770690940434, 5.35821291983623)); #473398=CARTESIAN_POINT('Ctrl Pts',(-13.7232112219621,-7.31347137512784, 5.36770198039398)); #473399=CARTESIAN_POINT('Ctrl Pts',(-13.7255497946882,-7.30926442139002, 5.37717539145766)); #473400=CARTESIAN_POINT('Ctrl Pts',(-13.7278744829667,-7.30508457846651, 5.38663237713069)); #473401=CARTESIAN_POINT('Ctrl Pts',(-13.7299085852104,-7.30142721590843, 5.39490723959458)); #473402=CARTESIAN_POINT('Ctrl Pts',(-13.7319320570196,-7.29779060976878, 5.4031695262275)); #473403=CARTESIAN_POINT('Ctrl Pts',(-13.733945607249,-7.29417377085968, 5.41141871455583)); #473404=CARTESIAN_POINT('Ctrl Pts',(-13.7373970816351,-7.28797406117748, 5.4255588446625)); #473405=CARTESIAN_POINT('Ctrl Pts',(-13.7408234514416,-7.28183244092474, 5.43965167375952)); #473406=CARTESIAN_POINT('Ctrl Pts',(-13.7442219558154,-7.27574397903594, 5.45370796581407)); #473407=CARTESIAN_POINT('Ctrl Pts',(-13.7534673017688,-7.25918082939854, 5.49194692877359)); #473408=CARTESIAN_POINT('Ctrl Pts',(-13.7625086968274,-7.24300894779849, 5.52991214019127)); #473409=CARTESIAN_POINT('Ctrl Pts',(-13.7714249820552,-7.2271033336178, 5.56749731361891)); #473410=CARTESIAN_POINT('Ctrl Pts',(-13.7726157236711,-7.22497918928546, 5.57251669433627)); #473411=CARTESIAN_POINT('Ctrl Pts',(-13.7738041961861,-7.22285983335734, 5.57752934916279)); #473412=CARTESIAN_POINT('Ctrl Pts',(-13.7749905544318,-7.22074500212982, 5.5825350722567)); #473413=CARTESIAN_POINT('Ctrl Pts',(-13.7794964822621,-7.2127126249629, 5.6015473959638)); #473414=CARTESIAN_POINT('Ctrl Pts',(-13.783969901777,-7.20475036290037, 5.62044577269617)); #473415=CARTESIAN_POINT('Ctrl Pts',(-13.7883860393497,-7.19685281266422, 5.63926875819094)); #473416=CARTESIAN_POINT('Ctrl Pts',(-13.7925051283504,-7.18948648591953, 5.65682562802006)); #473417=CARTESIAN_POINT('Ctrl Pts',(-13.7965743754359,-7.18217675270543, 5.67431666054631)); #473418=CARTESIAN_POINT('Ctrl Pts',(-13.8005835417255,-7.17495706469146, 5.69171490675033)); #473419=CARTESIAN_POINT('Ctrl Pts',(-13.803729293226,-7.16929221001553, 5.70536626345316)); #473420=CARTESIAN_POINT('Ctrl Pts',(-13.8068318828973,-7.16367991742969, 5.71898649162387)); #473421=CARTESIAN_POINT('Ctrl Pts',(-13.8099003478075,-7.15813161242069, 5.73254737894394)); #473422=CARTESIAN_POINT('Ctrl Pts',(-13.8129093967951,-7.1526907414774, 5.74584568133817)); #473423=CARTESIAN_POINT('Ctrl Pts',(-13.8158856281513,-7.14731135631085, 5.75908698211892)); #473424=CARTESIAN_POINT('Ctrl Pts',(-13.8188130576703,-7.14200590511182, 5.77230478067176)); #473425=CARTESIAN_POINT('Ctrl Pts',(-13.8199216820433,-7.13999671839313, 5.77731039175107)); #473426=CARTESIAN_POINT('Ctrl Pts',(-13.8210242220878,-7.13799809683098, 5.78231044320841)); #473427=CARTESIAN_POINT('Ctrl Pts',(-13.8221204918011,-7.13601067447212, 5.78730510102723)); #473428=CARTESIAN_POINT('Ctrl Pts',(-13.8248684452938,-7.13102892212965, 5.79982491000383)); #473429=CARTESIAN_POINT('Ctrl Pts',(-13.8275767818466,-7.12611416461115, 5.81232489005994)); #473430=CARTESIAN_POINT('Ctrl Pts',(-13.8302382976273,-7.12127875923918, 5.82480906879862)); #473431=CARTESIAN_POINT('Ctrl Pts',(-13.836806684162,-7.10934540465778, 5.85561892238605)); #473432=CARTESIAN_POINT('Ctrl Pts',(-13.843088994718,-7.09789823244963, 5.8863319929944)); #473433=CARTESIAN_POINT('Ctrl Pts',(-13.8491023903601,-7.08708990794441, 5.91669179408963)); #473434=CARTESIAN_POINT('Ctrl Pts',(-13.8538873358759,-7.07848956847691, 5.94084952505511)); #473435=CARTESIAN_POINT('Ctrl Pts',(-13.8585009047873,-7.07029371165565, 5.96478649330688)); #473436=CARTESIAN_POINT('Ctrl Pts',(-13.8628558087095,-7.06253163439473, 5.98872023279166)); #473437=CARTESIAN_POINT('Ctrl Pts',(-13.8640809264291,-7.06034801368492, 5.99545325121512)); #473438=CARTESIAN_POINT('Ctrl Pts',(-13.865285719142,-7.058198551297,6.00218580948201)); #473439=CARTESIAN_POINT('Ctrl Pts',(-13.8664686180071,-7.05608349948594, 6.00892217327128)); #473440=CARTESIAN_POINT('',(-13.49500045114,-7.722901650946,4.556148337588)); #473441=CARTESIAN_POINT('Ctrl Pts',(-13.49500045114,-7.722901650946,4.556148337588)); #473442=CARTESIAN_POINT('Ctrl Pts',(-13.50324334439,-7.707589317773,4.58723003765)); #473443=CARTESIAN_POINT('Ctrl Pts',(-13.51180510541,-7.691942531928,4.618220957965)); #473444=CARTESIAN_POINT('Ctrl Pts',(-13.52015837967,-7.676235214845,4.649155704518)); #473445=CARTESIAN_POINT('',(-13.06946761041,-8.441895658959,2.901022393337)); #473446=CARTESIAN_POINT('Ctrl Pts',(-13.06946761041,-8.441895658959,2.901022393337)); #473447=CARTESIAN_POINT('Ctrl Pts',(-13.08682126508,-8.414294069773,2.971094921441)); #473448=CARTESIAN_POINT('Ctrl Pts',(-13.12207757724,-8.358946827198,3.108683573199)); #473449=CARTESIAN_POINT('Ctrl Pts',(-13.16547719209,-8.290240685454,3.28172625171)); #473450=CARTESIAN_POINT('Ctrl Pts',(-13.19954340093,-8.235525549043,3.420628184722)); #473451=CARTESIAN_POINT('Ctrl Pts',(-13.22452615139,-8.193979447148,3.526465643762)); #473452=CARTESIAN_POINT('Ctrl Pts',(-13.2494442046,-8.151160411054,3.633271600761)); #473453=CARTESIAN_POINT('Ctrl Pts',(-13.28307185554,-8.090879521355,3.776735535818)); #473454=CARTESIAN_POINT('Ctrl Pts',(-13.32024119846,-8.027117759344,3.913262900254)); #473455=CARTESIAN_POINT('Ctrl Pts',(-13.35840942137,-7.963972300195,4.046110599127)); #473456=CARTESIAN_POINT('Ctrl Pts',(-13.41402625714,-7.869813622511,4.247875719443)); #473457=CARTESIAN_POINT('Ctrl Pts',(-13.45873395129,-7.790275310515,4.419400181486)); #473458=CARTESIAN_POINT('Ctrl Pts',(-13.49500045114,-7.722901650946,4.556148337588)); #473459=CARTESIAN_POINT('',(-12.8711825305117,-8.74988688530215,2.01838925157969)); #473460=CARTESIAN_POINT('Ctrl Pts',(-12.8711825305111,-8.74988688530096, 2.01838925157942)); #473461=CARTESIAN_POINT('Ctrl Pts',(-12.8836975304155,-8.73050374515071, 2.07853421993739)); #473462=CARTESIAN_POINT('Ctrl Pts',(-12.8967232071494,-8.71047560205228, 2.13764663172672)); #473463=CARTESIAN_POINT('Ctrl Pts',(-12.9101030019336,-8.6903269445423, 2.19599676809861)); #473464=CARTESIAN_POINT('Ctrl Pts',(-12.91679290323,-8.68025260990775,2.22517185331168)); #473465=CARTESIAN_POINT('Ctrl Pts',(-12.9235713611407,-8.67014819499154, 2.25415638145382)); #473466=CARTESIAN_POINT('Ctrl Pts',(-12.9303484252679,-8.66012611987522, 2.28295689525529)); #473467=CARTESIAN_POINT('Ctrl Pts',(-12.937125489395,-8.65010404475892, 2.31175740905673)); #473468=CARTESIAN_POINT('Ctrl Pts',(-12.9439011776885,-8.64016434166096, 2.34037391557531)); #473469=CARTESIAN_POINT('Ctrl Pts',(-12.9505428111399,-8.63029672468948, 2.3692336941888)); #473470=CARTESIAN_POINT('Ctrl Pts',(-12.9638260780428,-8.6105614907465, 2.42695325141579)); #473471=CARTESIAN_POINT('Ctrl Pts',(-12.9765730820887,-8.59111452407177, 2.48564588070372)); #473472=CARTESIAN_POINT('Ctrl Pts',(-12.9892731322624,-8.57117215342841, 2.54502825190713)); #473473=CARTESIAN_POINT('Ctrl Pts',(-12.9956231573492,-8.56120096810672, 2.57471943750884)); #473474=CARTESIAN_POINT('Ctrl Pts',(-13.0019614536835,-8.55110594877969, 2.60458306175416)); #473475=CARTESIAN_POINT('Ctrl Pts',(-13.0083313197408,-8.54076218178368, 2.63462876358195)); #473476=CARTESIAN_POINT('Ctrl Pts',(-13.0147011857981,-8.53041841478768, 2.66467446540971)); #473477=CARTESIAN_POINT('Ctrl Pts',(-13.0211025731696,-8.51982581529531, 2.69490222680726)); #473478=CARTESIAN_POINT('Ctrl Pts',(-13.0277293140095,-8.50899136568908, 2.72480998644017)); #473479=CARTESIAN_POINT('Ctrl Pts',(-13.0409827956898,-8.48732246647572, 2.78462550570844)); #473480=CARTESIAN_POINT('Ctrl Pts',(-13.055138144428,-8.46468696893028, 2.84316120894906)); #473481=CARTESIAN_POINT('Ctrl Pts',(-13.0694676103974,-8.44189565893668, 2.90102239333133)); #473482=CARTESIAN_POINT('',(-12.5450640461112,-9.22104118365113,0.28192855352566)); #473483=CARTESIAN_POINT('Ctrl Pts',(-12.5450637416124,-9.22104054297208, 0.281928458960606)); #473484=CARTESIAN_POINT('Ctrl Pts',(-12.5461066159064,-9.21957656936661, 0.288488843504683)); #473485=CARTESIAN_POINT('Ctrl Pts',(-12.5474515534386,-9.21795580504293, 0.294959052445523)); #473486=CARTESIAN_POINT('Ctrl Pts',(-12.5487250885823,-9.21636723587394, 0.301446768931918)); #473487=CARTESIAN_POINT('Ctrl Pts',(-12.5502215665162,-9.21450057462028, 0.309070213492184)); #473488=CARTESIAN_POINT('Ctrl Pts',(-12.5516196614886,-9.21267871076241, 0.316717879694378)); #473489=CARTESIAN_POINT('Ctrl Pts',(-12.5529483316585,-9.21088759874452, 0.324381829219706)); #473490=CARTESIAN_POINT('Ctrl Pts',(-12.553664567922,-9.20992207742238, 0.328513177010703)); #473491=CARTESIAN_POINT('Ctrl Pts',(-12.5543606218648,-9.20896547839541, 0.332649254730177)); #473492=CARTESIAN_POINT('Ctrl Pts',(-12.5550409906916,-9.20801553713578, 0.33678881024991)); #473493=CARTESIAN_POINT('Ctrl Pts',(-12.5581470222922,-9.20367884877656, 0.355686781100867)); #473494=CARTESIAN_POINT('Ctrl Pts',(-12.5609260412104,-9.19948071834583, 0.374657217807601)); #473495=CARTESIAN_POINT('Ctrl Pts',(-12.563805126267,-9.19520442473648, 0.39358074451592)); #473496=CARTESIAN_POINT('Ctrl Pts',(-12.5684847819781,-9.18825375067936, 0.424338984753016)); #473497=CARTESIAN_POINT('Ctrl Pts',(-12.5734295667912,-9.18109785917373, 0.454973431605711)); #473498=CARTESIAN_POINT('Ctrl Pts',(-12.5785641148999,-9.17378853503983, 0.485505247025168)); #473499=CARTESIAN_POINT('Ctrl Pts',(-12.5804895704407,-9.17104753848962, 0.496954677807465)); #473500=CARTESIAN_POINT('Ctrl Pts',(-12.5824416936414,-9.16828493562498, 0.508389672620662)); #473501=CARTESIAN_POINT('Ctrl Pts',(-12.5844164614431,-9.16550337450157, 0.519811337679529)); #473502=CARTESIAN_POINT('Ctrl Pts',(-12.5861443832696,-9.16306950851858, 0.529805294606039)); #473503=CARTESIAN_POINT('Ctrl Pts',(-12.5878896418527,-9.1606211267285, 0.539789045829482)); #473504=CARTESIAN_POINT('Ctrl Pts',(-12.5896495337617,-9.15815998859977, 0.549763330652451)); #473505=CARTESIAN_POINT('Ctrl Pts',(-12.6019687771249,-9.14093202169861, 0.619583324413235)); #473506=CARTESIAN_POINT('Ctrl Pts',(-12.6150047582802,-9.12307851025955, 0.688939367177547)); #473507=CARTESIAN_POINT('Ctrl Pts',(-12.6278296847447,-9.10520185709643, 0.758085098450133)); #473508=CARTESIAN_POINT('Ctrl Pts',(-12.6334133261986,-9.0974188244268, 0.788189362405463)); #473509=CARTESIAN_POINT('Ctrl Pts',(-12.6389570649611,-9.08963157688749, 0.818253792322458)); #473510=CARTESIAN_POINT('Ctrl Pts',(-12.6444863375924,-9.08183689419123, 0.848296258436578)); #473511=CARTESIAN_POINT('Ctrl Pts',(-12.6500156102238,-9.07404221149497, 0.878338724550697)); #473512=CARTESIAN_POINT('Ctrl Pts',(-12.6555304124319,-9.06624008695514, 0.908359225536355)); #473513=CARTESIAN_POINT('Ctrl Pts',(-12.6610561090315,-9.05842718005368, 0.938375607649093)); #473514=CARTESIAN_POINT('Ctrl Pts',(-12.6721075022308,-9.04280136625073, 0.998408371874627)); #473515=CARTESIAN_POINT('Ctrl Pts',(-12.6832024275021,-9.02713234337791, 1.05842464766879)); #473516=CARTESIAN_POINT('Ctrl Pts',(-12.6942904061903,-9.0112548479939, 1.11870491463683)); #473517=CARTESIAN_POINT('Ctrl Pts',(-12.7053783848785,-8.9953773526099, 1.17898518160482)); #473518=CARTESIAN_POINT('Ctrl Pts',(-12.7164594502343,-8.97929144103003, 1.23952945047443)); #473519=CARTESIAN_POINT('Ctrl Pts',(-12.7277908451469,-8.96275670951212, 1.29993332607297)); #473520=CARTESIAN_POINT('Ctrl Pts',(-12.7391222400596,-8.94622197799413, 1.36033720167179)); #473521=CARTESIAN_POINT('Ctrl Pts',(-12.7507039692148,-8.92923843537151, 1.42060068383406)); #473522=CARTESIAN_POINT('Ctrl Pts',(-12.7625041811812,-8.91198159013294, 1.48047264827408)); #473523=CARTESIAN_POINT('Ctrl Pts',(-12.7743043931477,-8.89472474489443, 1.54034461271387)); #473524=CARTESIAN_POINT('Ctrl Pts',(-12.7863230855613,-8.87719459276037, 1.59982505911935)); #473525=CARTESIAN_POINT('Ctrl Pts',(-12.7983259832165,-8.85956491129208, 1.65934573709307)); #473526=CARTESIAN_POINT('Ctrl Pts',(-12.8103288808717,-8.84193522982381, 1.71886641506674)); #473527=CARTESIAN_POINT('Ctrl Pts',(-12.8223159800583,-8.82420601238467, 1.77842732354687)); #473528=CARTESIAN_POINT('Ctrl Pts',(-12.8344007950459,-8.80603952621231, 1.83827216856313)); #473529=CARTESIAN_POINT('Ctrl Pts',(-12.8464856170864,-8.78787302943769, 1.89811704850586)); #473530=CARTESIAN_POINT('Ctrl Pts',(-12.8586681911338,-8.76926932749826, 1.95824587669413)); #473531=CARTESIAN_POINT('Ctrl Pts',(-12.8711825305131,-8.74988688530442, 2.01838925158014)); #473532=CARTESIAN_POINT('Ctrl Pts',(-12.5450646634615,-9.22104242242576, 0.281929021382484)); #473533=CARTESIAN_POINT('Ctrl Pts',(-13.8471194469199,-8.46913884843034, 0.554694643654966)); #473534=CARTESIAN_POINT('Ctrl Pts',(-16.020860457646,-7.12588188578234, 1.07461269446585)); #473535=CARTESIAN_POINT('Ctrl Pts',(-20.9479630126514,-2.70910564567266, 2.70364609674912)); #473536=CARTESIAN_POINT('Ctrl Pts',(-22.6174167880039,-0.533555446972208, 3.44343509589527)); #473537=CARTESIAN_POINT('Ctrl Pts',(-23.7750813549386,1.10965896686256, 4.00043499230337)); #473538=CARTESIAN_POINT('Origin',(0.,0.,2.012776594297)); #473539=CARTESIAN_POINT('',(-9.69890304388186,-11.4425207139883,0.024708830800308)); #473540=CARTESIAN_POINT('',(-12.1249703617383,-8.83091697558486,0.0247088462295373)); #473541=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346698)); #473542=CARTESIAN_POINT('Ctrl Pts',(-9.90196102942287,-11.3157141580098, 0.0411655051266898)); #473543=CARTESIAN_POINT('Ctrl Pts',(-9.86522918914381,-11.3319906680145, 0.035771699339171)); #473544=CARTESIAN_POINT('Ctrl Pts',(-9.82947242712395,-11.350893381946, 0.0316275932715132)); #473545=CARTESIAN_POINT('Ctrl Pts',(-9.7615039861359,-11.3933362573449, 0.0261170719088536)); #473546=CARTESIAN_POINT('Ctrl Pts',(-9.72924173406883,-11.4167847527932, 0.0247018371292148)); #473547=CARTESIAN_POINT('Ctrl Pts',(-9.6989030673711,-11.4425207416737, 0.0247088480695127)); #473548=CARTESIAN_POINT('',(-23.8,1.57309484827E-12,4.)); #473549=CARTESIAN_POINT('Origin',(0.,0.,4.000000000001)); #473550=CARTESIAN_POINT('',(-23.7777861103533,1.04732758009971,4.00045062204418)); #473551=CARTESIAN_POINT('Origin',(0.,0.,4.000000000001)); #473552=CARTESIAN_POINT('Origin',(0.,0.,4.00078224286806)); #473553=CARTESIAN_POINT('Ctrl Pts',(-12.1249703918656,-8.83091699754582, 0.0247088539009435)); #473554=CARTESIAN_POINT('Ctrl Pts',(-12.108085048832,-8.85408291442256, 0.0247040957416075)); #473555=CARTESIAN_POINT('Ctrl Pts',(-12.0943964942041,-8.87945372374824, 0.0264911740518339)); #473556=CARTESIAN_POINT('Ctrl Pts',(-12.0750263924651,-8.93268504410089, 0.0337133647881608)); #473557=CARTESIAN_POINT('Ctrl Pts',(-12.0695142145306,-8.95993403043714, 0.0390449712002144)); #473558=CARTESIAN_POINT('Ctrl Pts',(-12.0663332229965,-9.0261056157397, 0.0557465203538345)); #473559=CARTESIAN_POINT('Ctrl Pts',(-12.0731979987014,-9.06004142038974, 0.0674319645119284)); #473560=CARTESIAN_POINT('Ctrl Pts',(-12.0973785282392,-9.12637452603771, 0.0941730803430761)); #473561=CARTESIAN_POINT('Ctrl Pts',(-12.119002307026,-9.15704251530088, 0.110323666275636)); #473562=CARTESIAN_POINT('Ctrl Pts',(-12.1691830530422,-9.2084641183618, 0.142415089581584)); #473563=CARTESIAN_POINT('Ctrl Pts',(-12.2023349888608,-9.23024415584551, 0.160293430654757)); #473564=CARTESIAN_POINT('Ctrl Pts',(-12.2739070858481,-9.25980116052328, 0.194139725892274)); #473565=CARTESIAN_POINT('Ctrl Pts',(-12.3175611560011,-9.27002728031751, 0.212657310288037)); #473566=CARTESIAN_POINT('Ctrl Pts',(-12.3978105541315,-9.2693337025078, 0.241477415400143)); #473567=CARTESIAN_POINT('Ctrl Pts',(-12.4287337435904,-9.26510657700747, 0.251524970328154)); #473568=CARTESIAN_POINT('Ctrl Pts',(-12.4886841809992,-9.24872183681227, 0.26884918454528)); #473569=CARTESIAN_POINT('Ctrl Pts',(-12.5178144227428,-9.23671390414591, 0.276203058434109)); #473570=CARTESIAN_POINT('Ctrl Pts',(-12.5450637653714,-9.2210405655883, 0.281928197703589)); #473571=CARTESIAN_POINT('Origin',(0.,0.,-2.195754495236)); #473572=CARTESIAN_POINT('',(14.9999996680788,0.00315557202477409,7.12278922063018)); #473573=CARTESIAN_POINT('Ctrl Pts',(14.9999996680788,0.00315557202498393, 7.12278922063)); #473574=CARTESIAN_POINT('Ctrl Pts',(14.9999998895203,0.00210295086285334, 7.1236770594557)); #473575=CARTESIAN_POINT('Ctrl Pts',(15.,0.00105111112920486,7.12456361937193)); #473576=CARTESIAN_POINT('Ctrl Pts',(15.,7.34351978744002E-12,7.12544895083594)); #473577=CARTESIAN_POINT('',(14.99832972653,0.2238423871963,6.92777708889)); #473578=CARTESIAN_POINT('Ctrl Pts',(14.9983297265294,0.223842387196288, 6.92777708889)); #473579=CARTESIAN_POINT('Ctrl Pts',(14.9989222466765,0.184141182039947, 6.96451159110373)); #473580=CARTESIAN_POINT('Ctrl Pts',(14.9993573642754,0.144706495460172, 6.99981258032558)); #473581=CARTESIAN_POINT('Ctrl Pts',(14.9996398207739,0.103948290288981, 7.03561581882679)); #473582=CARTESIAN_POINT('Ctrl Pts',(14.999729102045,0.0910650874453206, 7.04693281333461)); #473583=CARTESIAN_POINT('Ctrl Pts',(14.9998027467132,0.0780496468440026, 7.05829998856486)); #473584=CARTESIAN_POINT('Ctrl Pts',(14.9998598076857,0.0648517522868996, 7.06977847789666)); #473585=CARTESIAN_POINT('Ctrl Pts',(14.9999484937355,0.0443391482719706, 7.08761872547055)); #473586=CARTESIAN_POINT('Ctrl Pts',(14.9999954122194,0.0233857835931764, 7.105727867885)); #473587=CARTESIAN_POINT('Ctrl Pts',(14.9999996680788,0.00315557202498393, 7.12278922063)); #473588=CARTESIAN_POINT('',(14.99208897039,0.4871019440694,6.666807360041)); #473589=CARTESIAN_POINT('Ctrl Pts',(14.99208897039,0.4871019440694,6.666807360041)); #473590=CARTESIAN_POINT('Ctrl Pts',(14.99484239161,0.4023566828459,6.757124831021)); #473591=CARTESIAN_POINT('Ctrl Pts',(14.99697748388,0.3144482488872,6.843940684224)); #473592=CARTESIAN_POINT('Ctrl Pts',(14.99832972653,0.2238423871963,6.92777708889)); #473593=CARTESIAN_POINT('',(14.97275923658,0.9035932952801,6.161281822434)); #473594=CARTESIAN_POINT('Ctrl Pts',(14.97275923658,0.9035932952801,6.161281822434)); #473595=CARTESIAN_POINT('Ctrl Pts',(14.97664081909,0.8392743286366,6.250137232316)); #473596=CARTESIAN_POINT('Ctrl Pts',(14.98387204336,0.7057102117818,6.423396397108)); #473597=CARTESIAN_POINT('Ctrl Pts',(14.98966398107,0.561739074537,6.587262849231)); #473598=CARTESIAN_POINT('Ctrl Pts',(14.99208897039,0.4871019440694,6.666807360041)); #473599=CARTESIAN_POINT('',(14.94356848265,1.299908075408,5.543265708844)); #473600=CARTESIAN_POINT('Ctrl Pts',(14.94356848265,1.299908075408,5.543265708844)); #473601=CARTESIAN_POINT('Ctrl Pts',(14.94897770971,1.237715003025,5.648583640165)); #473602=CARTESIAN_POINT('Ctrl Pts',(14.9566150318,1.143360112477,5.805945801795)); #473603=CARTESIAN_POINT('Ctrl Pts',(14.96610898531,1.009505431648,6.010866033923)); #473604=CARTESIAN_POINT('Ctrl Pts',(14.97058789882,0.9395811384153,6.111572126551)); #473605=CARTESIAN_POINT('Ctrl Pts',(14.97275923658,0.9035932952801,6.161281822434)); #473606=CARTESIAN_POINT('',(14.81852941908,2.326195575682,3.592568214077)); #473607=CARTESIAN_POINT('Ctrl Pts',(14.8185294190648,2.32619557567961,3.592568214077)); #473608=CARTESIAN_POINT('Ctrl Pts',(14.8226311473561,2.30006639634946,3.648162239048)); #473609=CARTESIAN_POINT('Ctrl Pts',(14.8267113103819,2.27362188631709,3.70356527718454)); #473610=CARTESIAN_POINT('Ctrl Pts',(14.8307441480575,2.24700423116188,3.75886607290819)); #473611=CARTESIAN_POINT('Ctrl Pts',(14.8347769955489,2.2203865112198,3.81416700323291)); #473612=CARTESIAN_POINT('Ctrl Pts',(14.8387623529858,2.19359561999845,3.86936569064702)); #473613=CARTESIAN_POINT('Ctrl Pts',(14.8426651449889,2.16686210768777,3.92460477974917)); #473614=CARTESIAN_POINT('Ctrl Pts',(14.8446165409904,2.15349535153247,3.95222432430018)); #473615=CARTESIAN_POINT('Ctrl Pts',(14.8465473661219,2.14014295037495,3.97985396927319)); #473616=CARTESIAN_POINT('Ctrl Pts',(14.8484525362466,2.12684209071406,4.00751673411208)); #473617=CARTESIAN_POINT('Ctrl Pts',(14.8503577063712,2.11354123105325,4.03517949895077)); #473618=CARTESIAN_POINT('Ctrl Pts',(14.8522372677548,2.10029191934325,4.06287538365533)); #473619=CARTESIAN_POINT('Ctrl Pts',(14.8540936305915,2.087079877154,4.09059552605671)); #473620=CARTESIAN_POINT('Ctrl Pts',(14.857806356265,2.06065579277523,4.14603581085999)); #473621=CARTESIAN_POINT('Ctrl Pts',(14.861427366292,2.03438093791254,4.20157312645049)); #473622=CARTESIAN_POINT('Ctrl Pts',(14.8650414905672,2.0076208515839,4.25681516159313)); #473623=CARTESIAN_POINT('Ctrl Pts',(14.8686556148425,1.98086076525526,4.31205719673578)); #473624=CARTESIAN_POINT('Ctrl Pts',(14.8722625219233,1.95361540620209,4.36700395143055)); #473625=CARTESIAN_POINT('Ctrl Pts',(14.8759060729589,1.92546579001129,4.42139713182799)); #473626=CARTESIAN_POINT('Ctrl Pts',(14.8795496239945,1.89731617382065,4.47579031222511)); #473627=CARTESIAN_POINT('Ctrl Pts',(14.8832281032487,1.86826207808319,4.52962991832489)); #473628=CARTESIAN_POINT('Ctrl Pts',(14.8868686473355,1.83878815445953,4.58321615909075)); #473629=CARTESIAN_POINT('Ctrl Pts',(14.8905091914223,1.80931423083569,4.63680239985694)); #473630=CARTESIAN_POINT('Ctrl Pts',(14.8941116386084,1.77942045595696,4.69013527528919)); #473631=CARTESIAN_POINT('Ctrl Pts',(14.897608332071,1.74964738853508,4.74354874122139)); #473632=CARTESIAN_POINT('Ctrl Pts',(14.8993566788023,1.73476085482432,4.77025547418716)); #473633=CARTESIAN_POINT('Ctrl Pts',(14.9010788052368,1.71990452363994,4.79698235477792)); #473634=CARTESIAN_POINT('Ctrl Pts',(14.9027692091767,1.70513046363431,4.82376148430055)); #473635=CARTESIAN_POINT('Ctrl Pts',(14.9044596131167,1.6903564036285,4.85054061382351)); #473636=CARTESIAN_POINT('Ctrl Pts',(14.9061183999816,1.675664626989,4.87737199227834)); #473637=CARTESIAN_POINT('Ctrl Pts',(14.9077522200466,1.66100082651894,4.90422207900218)); #473638=CARTESIAN_POINT('Ctrl Pts',(14.9110198601765,1.63167322557882,4.95792225244986)); #473639=CARTESIAN_POINT('Ctrl Pts',(14.914188048348,1.60245757518486,5.01169725897359)); #473640=CARTESIAN_POINT('Ctrl Pts',(14.9172908591478,1.5730331921438,5.06534938528929)); #473641=CARTESIAN_POINT('Ctrl Pts',(14.9203936699476,1.54360880910291,5.11900151160466)); #473642=CARTESIAN_POINT('Ctrl Pts',(14.9234308823761,1.51397567382108,5.172530757712)); #473643=CARTESIAN_POINT('Ctrl Pts',(14.9264178768834,1.48393037729366,5.22581213735974)); #473644=CARTESIAN_POINT('Ctrl Pts',(14.9323918658979,1.42383978423976,5.33237489665355)); #473645=CARTESIAN_POINT('Ctrl Pts',(14.9381585521968,1.3620999085551,5.437946190109)); #473646=CARTESIAN_POINT('Ctrl Pts',(14.9435684826446,1.29990807540753,5.543265708844)); #473647=CARTESIAN_POINT('',(14.62154185026,3.348210555092,0.828635521891)); #473648=CARTESIAN_POINT('Ctrl Pts',(14.6215418502556,3.348210555091,0.828635521891)); #473649=CARTESIAN_POINT('Ctrl Pts',(14.6235065451233,3.33963078755317,0.8582705753313)); #473650=CARTESIAN_POINT('Ctrl Pts',(14.6252612328711,3.33193668189377,0.888199014587462)); #473651=CARTESIAN_POINT('Ctrl Pts',(14.6270909718992,3.32388473021935,0.91802818841551)); #473652=CARTESIAN_POINT('Ctrl Pts',(14.6289207109274,3.31583277854449,0.947857362245229)); #473653=CARTESIAN_POINT('Ctrl Pts',(14.6308245664514,3.30742276796667,0.977587270646822)); #473654=CARTESIAN_POINT('Ctrl Pts',(14.6327019339222,3.29909595389264,1.00734502703914)); #473655=CARTESIAN_POINT('Ctrl Pts',(14.6364566688637,3.28244232574457,1.06686053982376)); #473656=CARTESIAN_POINT('Ctrl Pts',(14.6401078485306,3.26612202354291,1.12648744457128)); #473657=CARTESIAN_POINT('Ctrl Pts',(14.6439268435929,3.24890852433542,1.18575690623411)); #473658=CARTESIAN_POINT('Ctrl Pts',(14.6458363411241,3.24030177473169,1.21539163706549)); #473659=CARTESIAN_POINT('Ctrl Pts',(14.6477874729487,3.23147165543939,1.2449370071257)); #473660=CARTESIAN_POINT('Ctrl Pts',(14.6497902149789,3.22236662363378,1.27436645552966)); #473661=CARTESIAN_POINT('Ctrl Pts',(14.6517929570091,3.21326159182815,1.30379590393373)); #473662=CARTESIAN_POINT('Ctrl Pts',(14.6538472473612,3.20388163376043,1.33310943068155)); #473663=CARTESIAN_POINT('Ctrl Pts',(14.6559410305591,3.19427495822993,1.36232637129912)); #473664=CARTESIAN_POINT('Ctrl Pts',(14.6601285969548,3.175061607169,1.42076025253407)); #473665=CARTESIAN_POINT('Ctrl Pts',(14.6644733384159,3.15494121241909,1.47880778924802)); #473666=CARTESIAN_POINT('Ctrl Pts',(14.6688185214803,3.13460734125937,1.53676354082531)); #473667=CARTESIAN_POINT('Ctrl Pts',(14.6709911130124,3.12444040567952,1.56574141661395)); #473668=CARTESIAN_POINT('Ctrl Pts',(14.6731639782875,3.11422013576949,1.59469634611843)); #473669=CARTESIAN_POINT('Ctrl Pts',(14.6753163914515,3.10404394472672,1.62366882432329)); #473670=CARTESIAN_POINT('Ctrl Pts',(14.6774688046155,3.09386775368391,1.65264130252825)); #473671=CARTESIAN_POINT('Ctrl Pts',(14.6796007922579,3.0837356471313,1.68163132943359)); #473672=CARTESIAN_POINT('Ctrl Pts',(14.6817001490385,3.07370797795141,1.71066705207191)); #473673=CARTESIAN_POINT('Ctrl Pts',(14.6858988625997,3.05365263959173,1.76873849734826)); #473674=CARTESIAN_POINT('Ctrl Pts',(14.68996788475,3.0340152246994,1.82699272555649)); #473675=CARTESIAN_POINT('Ctrl Pts',(14.6940111939343,3.01430506626877,1.88522325972722)); #473676=CARTESIAN_POINT('Ctrl Pts',(14.6980545031186,2.99459490783806,1.94345379389824)); #473677=CARTESIAN_POINT('Ctrl Pts',(14.702072045433,2.97481199612891,2.00166063403176)); #473678=CARTESIAN_POINT('Ctrl Pts',(14.7061509396778,2.95450918756654,2.05965383574457)); #473679=CARTESIAN_POINT('Ctrl Pts',(14.7102298339225,2.93420637900427,2.11764703745709)); #473680=CARTESIAN_POINT('Ctrl Pts',(14.7143694136811,2.91338353990809,2.17542660074891)); #473681=CARTESIAN_POINT('Ctrl Pts',(14.718553317594,2.89209063432371,2.23300946302806)); #473682=CARTESIAN_POINT('Ctrl Pts',(14.7227372215069,2.87079772873923,2.29059232530748)); #473683=CARTESIAN_POINT('Ctrl Pts',(14.7269651546273,2.84903469759645,2.34797848657424)); #473684=CARTESIAN_POINT('Ctrl Pts',(14.7311852491845,2.82704459713834,2.40527158080078)); #473685=CARTESIAN_POINT('Ctrl Pts',(14.7354053437417,2.80505449668023,2.46256467502732)); #473686=CARTESIAN_POINT('Ctrl Pts',(14.7396178697513,2.78283737876735,2.51976470221364)); #473687=CARTESIAN_POINT('Ctrl Pts',(14.7438096452749,2.76044872147618,2.57689640188955)); #473688=CARTESIAN_POINT('Ctrl Pts',(14.752193196322,2.71567140689393,2.69115980124111)); #473689=CARTESIAN_POINT('Ctrl Pts',(14.7604951546315,2.67020818277923,2.80514989055105)); #473690=CARTESIAN_POINT('Ctrl Pts',(14.7687531954825,2.62372427113142,2.91873972308881)); #473691=CARTESIAN_POINT('Ctrl Pts',(14.7770112363336,2.57724035948361,3.03232955562657)); #473692=CARTESIAN_POINT('Ctrl Pts',(14.7852282197566,2.52973631127555,3.14551913139214)); #473693=CARTESIAN_POINT('Ctrl Pts',(14.7935597618531,2.48003821996362,3.25777777360203)); #473694=CARTESIAN_POINT('Ctrl Pts',(14.8011510992277,2.43475548914982,3.3600629467852)); #473695=CARTESIAN_POINT('Ctrl Pts',(14.8088299306728,2.38764994767905,3.46157525346619)); #473696=CARTESIAN_POINT('Ctrl Pts',(14.8163380711532,2.34011242492674,3.5629250774437)); #473697=CARTESIAN_POINT('Ctrl Pts',(14.8170701736655,2.33547714407003,3.5728074792121)); #473698=CARTESIAN_POINT('Ctrl Pts',(14.8178006938761,2.33083776301764,3.5826883361311)); #473699=CARTESIAN_POINT('Ctrl Pts',(14.8185294190648,2.32619557567961,3.592568214077)); #473700=CARTESIAN_POINT('',(14.5723386150147,3.55625471487319,0.0247088278271775)); #473701=CARTESIAN_POINT('Ctrl Pts',(14.5723386077929,3.5562547012031,0.0247088249726692)); #473702=CARTESIAN_POINT('Ctrl Pts',(14.5726727090765,3.55488566622429,0.0304198068416239)); #473703=CARTESIAN_POINT('Ctrl Pts',(14.5730583593315,3.55330444371867,0.0360717521993283)); #473704=CARTESIAN_POINT('Ctrl Pts',(14.573426273257,3.55179485020477,0.0417437395340808)); #473705=CARTESIAN_POINT('Ctrl Pts',(14.5747328398649,3.54643385585294,0.0618865738701413)); #473706=CARTESIAN_POINT('Ctrl Pts',(14.5758164553601,3.54197639727222,0.0822821698769798)); #473707=CARTESIAN_POINT('Ctrl Pts',(14.5769260750263,3.53740387900782,0.102646617897997)); #473708=CARTESIAN_POINT('Ctrl Pts',(14.5791453143586,3.52825884247902,0.143375513940076)); #473709=CARTESIAN_POINT('Ctrl Pts',(14.5814668525893,3.51865315090215,0.18397981803887)); #473710=CARTESIAN_POINT('Ctrl Pts',(14.5837538737065,3.50914846524759,0.224611236477125)); #473711=CARTESIAN_POINT('Ctrl Pts',(14.5860408948237,3.49964377959304,0.265242654915316)); #473712=CARTESIAN_POINT('Ctrl Pts',(14.5882940320313,3.49024025078993,0.305901187692967)); #473713=CARTESIAN_POINT('Ctrl Pts',(14.5906117491237,3.48052421142776,0.34646785661494)); #473714=CARTESIAN_POINT('Ctrl Pts',(14.592929466216,3.47080817206557,0.387034525536993)); #473715=CARTESIAN_POINT('Ctrl Pts',(14.5953115983166,3.46077958404345,0.427509330603367)); #473716=CARTESIAN_POINT('Ctrl Pts',(14.5977887888947,3.45029889644661,0.467849671379684)); #473717=CARTESIAN_POINT('Ctrl Pts',(14.5990273841837,3.4450585526482,0.48801984176779)); #473718=CARTESIAN_POINT('Ctrl Pts',(14.6002897136442,3.43970517660084,0.508156396083382)); #473719=CARTESIAN_POINT('Ctrl Pts',(14.6015731463149,3.43424833883846,0.528262483584178)); #473720=CARTESIAN_POINT('Ctrl Pts',(14.6028565789857,3.42879150107607,0.548368571084999)); #473721=CARTESIAN_POINT('Ctrl Pts',(14.6041611108547,3.42323120077403,0.568444191771024)); #473722=CARTESIAN_POINT('Ctrl Pts',(14.6054819048916,3.4175866230255,0.58849489431007)); #473723=CARTESIAN_POINT('Ctrl Pts',(14.6081234929654,3.40629746752843,0.62859629938819)); #473724=CARTESIAN_POINT('Ctrl Pts',(14.6108298945111,3.39467114600262,0.668598031878395)); #473725=CARTESIAN_POINT('Ctrl Pts',(14.6135212139553,3.3830456292045,0.708603102074121)); #473726=CARTESIAN_POINT('Ctrl Pts',(14.6162125333999,3.37142011240442,0.748608172276649)); #473727=CARTESIAN_POINT('Ctrl Pts',(14.6188890049532,3.35979545633119,0.7886165801847)); #473728=CARTESIAN_POINT('Ctrl Pts',(14.6215418502556,3.348210555091,0.828635521891)); #473729=CARTESIAN_POINT('',(14.999989831282,0.0174916930638557,0.0247088346802472)); #473730=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346465)); #473731=CARTESIAN_POINT('',(15.00000012203,2.830602080859E-5,0.09205485614024)); #473732=CARTESIAN_POINT('Ctrl Pts',(15.00000012203,2.830602080859E-5,0.09205485614024)); #473733=CARTESIAN_POINT('Ctrl Pts',(15.0000000932113,0.00582522841799536, 0.0696063313121992)); #473734=CARTESIAN_POINT('Ctrl Pts',(14.9999965427096,0.0117415073257486, 0.0471743804760852)); #473735=CARTESIAN_POINT('Ctrl Pts',(14.9999898268053,0.0174916786529156, 0.02470883099309)); #473736=CARTESIAN_POINT('',(15.000000122518,1.00191301315442E-12,0.0921644730061758)); #473737=CARTESIAN_POINT('Ctrl Pts',(15.000000122518,1.00190014734411E-12, 0.0921644730061758)); #473738=CARTESIAN_POINT('Ctrl Pts',(15.0000001223643,9.43512159248705E-6, 0.0921279340025324)); #473739=CARTESIAN_POINT('Ctrl Pts',(15.0000001222017,1.88704612628056E-5, 0.0920913950471469)); #473740=CARTESIAN_POINT('Ctrl Pts',(15.00000012203,2.830602080859E-5,0.09205485614024)); #473741=CARTESIAN_POINT('',(15.,0.,0.)); #473742=CARTESIAN_POINT('',(15.,1.00192587078112E-12,-2.195754495236)); #473743=CARTESIAN_POINT('Origin',(0.,0.,0.)); #473744=CARTESIAN_POINT('',(14.9880376252108,-0.598954801754146,1.96513794857482)); #473745=CARTESIAN_POINT('Ctrl Pts',(14.98803774982,-0.5989548073377,1.965137948339)); #473746=CARTESIAN_POINT('Ctrl Pts',(14.98894067185,-0.5763319910073,1.9066639132)); #473747=CARTESIAN_POINT('Ctrl Pts',(14.99061950649,-0.5317007268398,1.789533651074)); #473748=CARTESIAN_POINT('Ctrl Pts',(14.99268837452,-0.469640032277,1.612004748536)); #473749=CARTESIAN_POINT('Ctrl Pts',(14.99450363477,-0.4075855210319,1.434491035995)); #473750=CARTESIAN_POINT('Ctrl Pts',(14.99601291345,-0.3474744111561,1.256271238022)); #473751=CARTESIAN_POINT('Ctrl Pts',(14.99722531231,-0.2903160172728,1.076990231357)); #473752=CARTESIAN_POINT('Ctrl Pts',(14.99820059459,-0.2345511550268,0.8972059490116)); #473753=CARTESIAN_POINT('Ctrl Pts',(14.99922799721,-0.1599969722825,0.6575639505107)); #473754=CARTESIAN_POINT('Ctrl Pts',(14.99974101229,-0.096423989886,0.4472709886104)); #473755=CARTESIAN_POINT('Ctrl Pts',(14.99993746,-0.04568026823391,0.2656841655536)); #473756=CARTESIAN_POINT('Ctrl Pts',(14.999989760087,-0.0217927345275428, 0.1776291056697)); #473757=CARTESIAN_POINT('Ctrl Pts',(15.0000002380344,-0.00709206353343009, 0.119629615908796)); #473758=CARTESIAN_POINT('Ctrl Pts',(15.000000122518,1.00190014734411E-12, 0.0921644730061758)); #473759=CARTESIAN_POINT('',(14.96957254388,-0.954933429516,2.836251461258)); #473760=CARTESIAN_POINT('Ctrl Pts',(14.969572543837,-0.954933429513253, 2.836251461258)); #473761=CARTESIAN_POINT('Ctrl Pts',(14.9722426168099,-0.913077264644057, 2.74529715961)); #473762=CARTESIAN_POINT('Ctrl Pts',(14.9746822497618,-0.872026262753983, 2.65238288770901)); #473763=CARTESIAN_POINT('Ctrl Pts',(14.9768599699087,-0.832865800562478, 2.55711421377253)); #473764=CARTESIAN_POINT('Ctrl Pts',(14.9787087830526,-0.799619849596084, 2.47623422704416)); #473765=CARTESIAN_POINT('Ctrl Pts',(14.9803751384113,-0.767736873667317, 2.39365731578947)); #473766=CARTESIAN_POINT('Ctrl Pts',(14.9819703573229,-0.735230720453411, 2.31010752576306)); #473767=CARTESIAN_POINT('Ctrl Pts',(14.9822541494806,-0.729447820506779, 2.2952438760071)); #473768=CARTESIAN_POINT('Ctrl Pts',(14.9825355579925,-0.723645190628225, 2.28034943559613)); #473769=CARTESIAN_POINT('Ctrl Pts',(14.9828150375828,-0.717811639346828, 2.26542828120722)); #473770=CARTESIAN_POINT('Ctrl Pts',(14.9846654947911,-0.679187219559274, 2.16663409855048)); #473771=CARTESIAN_POINT('Ctrl Pts',(14.9864284286994,-0.639207159901884, 2.066668809209)); #473772=CARTESIAN_POINT('Ctrl Pts',(14.9880370020415,-0.598954777454831, 1.965137948339)); #473773=CARTESIAN_POINT('',(14.89577484108,-1.765188908833,4.471909429056)); #473774=CARTESIAN_POINT('Ctrl Pts',(14.89577484108,-1.765188908833,4.471909429056)); #473775=CARTESIAN_POINT('Ctrl Pts',(14.90457791007,-1.6908912578,4.339215201232)); #473776=CARTESIAN_POINT('Ctrl Pts',(14.91438522843,-1.602384120544,4.171061947296)); #473777=CARTESIAN_POINT('Ctrl Pts',(14.9251589187,-1.496858307386,3.968864120777)); #473778=CARTESIAN_POINT('Ctrl Pts',(14.93041346695,-1.443514255169,3.868061660307)); #473779=CARTESIAN_POINT('Ctrl Pts',(14.93549771843,-1.389906747856,3.767382649916)); #473780=CARTESIAN_POINT('Ctrl Pts',(14.94031480593,-1.337128631889,3.666260348892)); #473781=CARTESIAN_POINT('Ctrl Pts',(14.94476566889,-1.286391511382,3.564063545325)); #473782=CARTESIAN_POINT('Ctrl Pts',(14.94884601061,-1.238049712446,3.46061861139)); #473783=CARTESIAN_POINT('Ctrl Pts',(14.95267119662,-1.190950480464,3.356524181951)); #473784=CARTESIAN_POINT('Ctrl Pts',(14.95753476554,-1.128659816711,3.217464928651)); #473785=CARTESIAN_POINT('Ctrl Pts',(14.96330242281,-1.050043650485,3.044005616413)); #473786=CARTESIAN_POINT('Ctrl Pts',(14.96754951039,-0.9866565236546,2.905504802976)); #473787=CARTESIAN_POINT('Ctrl Pts',(14.96957254388,-0.954933429516,2.836251461258)); #473788=CARTESIAN_POINT('',(14.8896030825458,-1.8165132737809,4.56203222463792)); #473789=CARTESIAN_POINT('Ctrl Pts',(14.8896030896546,-1.81651327464737, 4.56203222463838)); #473790=CARTESIAN_POINT('Ctrl Pts',(14.8917103342585,-1.79924060408306, 4.53207632727113)); #473791=CARTESIAN_POINT('Ctrl Pts',(14.8937737395481,-1.7820754628678,4.502069408085)); #473792=CARTESIAN_POINT('Ctrl Pts',(14.8957748410845,-1.76518890883353, 4.471909429056)); #473793=CARTESIAN_POINT('',(14.79138153047,-2.492996674724,5.961067571755)); #473794=CARTESIAN_POINT('Ctrl Pts',(14.7913815304663,-2.49299667472337, 5.961067571755)); #473795=CARTESIAN_POINT('Ctrl Pts',(14.7931674027586,-2.48240078476144, 5.927692985922)); #473796=CARTESIAN_POINT('Ctrl Pts',(14.7950573965507,-2.47111745891985, 5.89467523862113)); #473797=CARTESIAN_POINT('Ctrl Pts',(14.797030678692,-2.45924441523145,5.86196361685591)); #473798=CARTESIAN_POINT('Ctrl Pts',(14.7990039608335,-2.44737137154189, 5.82925199508747)); #473799=CARTESIAN_POINT('Ctrl Pts',(14.8010604423663,-2.43490859519249, 5.79684649885474)); #473800=CARTESIAN_POINT('Ctrl Pts',(14.8031662726965,-2.42203804736845, 5.76465189028637)); #473801=CARTESIAN_POINT('Ctrl Pts',(14.8052721030267,-2.40916749954439, 5.732457281718)); #473802=CARTESIAN_POINT('Ctrl Pts',(14.8074272583985,-2.39588917639926, 5.700473560814)); #473803=CARTESIAN_POINT('Ctrl Pts',(14.8095989289163,-2.38238946535626, 5.6686036222435)); #473804=CARTESIAN_POINT('Ctrl Pts',(14.8117705994341,-2.36888975431325, 5.636733683673)); #473805=CARTESIAN_POINT('Ctrl Pts',(14.8139588023876,-2.35516865773248, 5.604977527436)); #473806=CARTESIAN_POINT('Ctrl Pts',(14.8161374218542,-2.34138247553298, 5.57325376738114)); #473807=CARTESIAN_POINT('Ctrl Pts',(14.8204946607873,-2.31381011113399, 5.50980624727141)); #473808=CARTESIAN_POINT('Ctrl Pts',(14.8248151568788,-2.2859776561473,5.44648831189023)); #473809=CARTESIAN_POINT('Ctrl Pts',(14.829172312121,-2.25735432252531,5.38357515926329)); #473810=CARTESIAN_POINT('Ctrl Pts',(14.8335294673632,-2.2287309889033,5.3206620066363)); #473811=CARTESIAN_POINT('Ctrl Pts',(14.8379228714117,-2.19931671677579, 5.25815363676356)); #473812=CARTESIAN_POINT('Ctrl Pts',(14.8423774237557,-2.168832038399,5.19619419045966)); #473813=CARTESIAN_POINT('Ctrl Pts',(14.8468319760997,-2.13834736002232, 5.13423474415594)); #473814=CARTESIAN_POINT('Ctrl Pts',(14.8513471094473,-2.10679219103936, 5.07282422142106)); #473815=CARTESIAN_POINT('Ctrl Pts',(14.8559004504028,-2.07417979156114, 5.01195339553502)); #473816=CARTESIAN_POINT('Ctrl Pts',(14.8604537913584,-2.04156739208281, 4.95108256964879)); #473817=CARTESIAN_POINT('Ctrl Pts',(14.8650448090501,-2.00789769229589, 4.89075144061139)); #473818=CARTESIAN_POINT('Ctrl Pts',(14.8696217559687,-1.9734104576649,4.83083748728357)); #473819=CARTESIAN_POINT('Ctrl Pts',(14.8741987028873,-1.9389232230339,4.77092353395574)); #473820=CARTESIAN_POINT('Ctrl Pts',(14.8787593350245,-1.90361815175415, 4.71142675633748)); #473821=CARTESIAN_POINT('Ctrl Pts',(14.883148659224,-1.86865887401609,4.65173224540079)); #473822=CARTESIAN_POINT('Ctrl Pts',(14.8853433213667,-1.85117923480489, 4.62188498934817)); #473823=CARTESIAN_POINT('Ctrl Pts',(14.8874958016147,-1.83378612408715, 4.591988299964)); #473824=CARTESIAN_POINT('Ctrl Pts',(14.8896030683317,-1.81651327204838, 4.562032224637)); #473825=CARTESIAN_POINT('',(14.77196776585,-2.605564876359,6.379033803211)); #473826=CARTESIAN_POINT('Ctrl Pts',(14.77196776585,-2.605564876359,6.379033803211)); #473827=CARTESIAN_POINT('Ctrl Pts',(14.77357288715,-2.596465393036,6.344136015568)); #473828=CARTESIAN_POINT('Ctrl Pts',(14.77670611874,-2.578604053551,6.274253144125)); #473829=CARTESIAN_POINT('Ctrl Pts',(14.78282033827,-2.543379773317,6.134370898747)); #473830=CARTESIAN_POINT('Ctrl Pts',(14.78769385374,-2.514874882709,6.029976963081)); #473831=CARTESIAN_POINT('Ctrl Pts',(14.79138153047,-2.492996674724,5.961067571755)); #473832=CARTESIAN_POINT('',(14.7916957654,-2.491131546898,7.63197531231)); #473833=CARTESIAN_POINT('Ctrl Pts',(14.7916957654,-2.491131546898,7.63197531231)); #473834=CARTESIAN_POINT('Ctrl Pts',(14.78981614839,-2.502292227452,7.598333408365)); #473835=CARTESIAN_POINT('Ctrl Pts',(14.78604457463,-2.5245322955,7.531031532488)); #473836=CARTESIAN_POINT('Ctrl Pts',(14.77841081798,-2.568945034552,7.396414002608)); #473837=CARTESIAN_POINT('Ctrl Pts',(14.76896658239,-2.622744660425,7.227754186862)); #473838=CARTESIAN_POINT('Ctrl Pts',(14.76179561367,-2.662626670346,7.055739804761)); #473839=CARTESIAN_POINT('Ctrl Pts',(14.7587513169,-2.679417242026,6.914632578337)); #473840=CARTESIAN_POINT('Ctrl Pts',(14.75817281931,-2.682598120603,6.806273900838)); #473841=CARTESIAN_POINT('Ctrl Pts',(14.75972452166,-2.67405804507,6.696210142063)); #473842=CARTESIAN_POINT('Ctrl Pts',(14.76396636539,-2.650592833648,6.553733402118)); #473843=CARTESIAN_POINT('Ctrl Pts',(14.76875773992,-2.62376377056,6.448829041863)); #473844=CARTESIAN_POINT('Ctrl Pts',(14.77196776585,-2.605564876359,6.379033803211)); #473845=CARTESIAN_POINT('',(14.8121221595071,-2.36664829293518,8.00000011444385)); #473846=CARTESIAN_POINT('Ctrl Pts',(14.8120947654169,-2.36682248179053, 8.001592064396)); #473847=CARTESIAN_POINT('Ctrl Pts',(14.8054186049131,-2.40860336008296, 7.878303458269)); #473848=CARTESIAN_POINT('Ctrl Pts',(14.7985983205215,-2.45014595722112, 7.755518821415)); #473849=CARTESIAN_POINT('Ctrl Pts',(14.7916957653966,-2.49113154689743, 7.63197531231)); #473850=CARTESIAN_POINT('',(3.36256663111221,-14.6182401874401,8.00000000790662)); #473851=CARTESIAN_POINT('Origin',(0.,0.,8.)); #473852=CARTESIAN_POINT('',(4.219466347305,-14.39430803283,7.464517929104)); #473853=CARTESIAN_POINT('Ctrl Pts',(4.21946634730469,-14.3943080328289, 7.464517929104)); #473854=CARTESIAN_POINT('Ctrl Pts',(4.12989589788429,-14.420564209658,7.532100780327)); #473855=CARTESIAN_POINT('Ctrl Pts',(4.03833173032635,-14.4464972602697, 7.59712303380949)); #473856=CARTESIAN_POINT('Ctrl Pts',(3.94475976273611,-14.4720029855683, 7.66002782185773)); #473857=CARTESIAN_POINT('Ctrl Pts',(3.85118779514584,-14.4975087108669, 7.722932609906)); #473858=CARTESIAN_POINT('Ctrl Pts',(3.75560826952604,-14.5225869753561, 7.78371993252001)); #473859=CARTESIAN_POINT('Ctrl Pts',(3.65801869007205,-14.5471268387639, 7.84106038704202)); #473860=CARTESIAN_POINT('Ctrl Pts',(3.59386290020348,-14.5632594456364, 7.87875623706889)); #473861=CARTESIAN_POINT('Ctrl Pts',(3.52883707514156,-14.5791551195062, 7.91496241911419)); #473862=CARTESIAN_POINT('Ctrl Pts',(3.46389460443934,-14.5945686530756, 7.94935248101753)); #473863=CARTESIAN_POINT('Ctrl Pts',(3.43005084840328,-14.6026011757704, 7.96727432557527)); #473864=CARTESIAN_POINT('Ctrl Pts',(3.39622994297664,-14.6105035932266, 7.98470294627907)); #473865=CARTESIAN_POINT('Ctrl Pts',(3.36256812379784,-14.6182466668482, 8.001592140276)); #473866=CARTESIAN_POINT('',(4.901164948469,-14.17669150923,6.874684433915)); #473867=CARTESIAN_POINT('Ctrl Pts',(4.901164948469,-14.17669150923,6.874684433915)); #473868=CARTESIAN_POINT('Ctrl Pts',(4.794709536026,-14.21349645695,6.981804061886)); #473869=CARTESIAN_POINT('Ctrl Pts',(4.656598994717,-14.25955777853,7.109448312367)); #473870=CARTESIAN_POINT('Ctrl Pts',(4.484610779417,-14.31401438352,7.255221196627)); #473871=CARTESIAN_POINT('Ctrl Pts',(4.368359849461,-14.35005185868,7.350657537646)); #473872=CARTESIAN_POINT('Ctrl Pts',(4.279290154359,-14.37677090064,7.41954554485)); #473873=CARTESIAN_POINT('Ctrl Pts',(4.219466347305,-14.39430803283,7.464517929104)); #473874=CARTESIAN_POINT('',(5.126036562551,-14.09694112775,6.632540403893)); #473875=CARTESIAN_POINT('Ctrl Pts',(5.126036562551,-14.09694112775,6.632540403893)); #473876=CARTESIAN_POINT('Ctrl Pts',(5.089874348294,-14.11009069413,6.674297065561)); #473877=CARTESIAN_POINT('Ctrl Pts',(5.016219581388,-14.13655859468,6.756387761443)); #473878=CARTESIAN_POINT('Ctrl Pts',(4.939929616454,-14.16328980387,6.835683882131)); #473879=CARTESIAN_POINT('Ctrl Pts',(4.901164948469,-14.17669150923,6.874684433915)); #473880=CARTESIAN_POINT('',(5.50347979521,-13.95391379305,6.135526376035)); #473881=CARTESIAN_POINT('Ctrl Pts',(5.50347979521,-13.95391379305,6.135526376035)); #473882=CARTESIAN_POINT('Ctrl Pts',(5.445386151373,-13.97682614996,6.222685840045)); #473883=CARTESIAN_POINT('Ctrl Pts',(5.32459034516,-14.02363275037,6.392835086476)); #473884=CARTESIAN_POINT('Ctrl Pts',(5.193927206574,-14.07225422994,6.554146647756)); #473885=CARTESIAN_POINT('Ctrl Pts',(5.126036562551,-14.09694112775,6.632540403893)); #473886=CARTESIAN_POINT('',(6.43505926887558,-13.549539205795,4.4060858396499)); #473887=CARTESIAN_POINT('Ctrl Pts',(6.43505926196252,-13.549539191243,4.406085839649)); #473888=CARTESIAN_POINT('Ctrl Pts',(6.42243293061912,-13.5555357929952, 4.433068558327)); #473889=CARTESIAN_POINT('Ctrl Pts',(6.40973858247113,-13.5615432898389, 4.46001245390548)); #473890=CARTESIAN_POINT('Ctrl Pts',(6.39678488090592,-13.5676506141415, 4.48680348352572)); #473891=CARTESIAN_POINT('Ctrl Pts',(6.38383117928685,-13.5737579384694, 4.51359451325736)); #473892=CARTESIAN_POINT('Ctrl Pts',(6.37061796922987,-13.5799647600798, 4.54023267702948)); #473893=CARTESIAN_POINT('Ctrl Pts',(6.35730026588874,-13.5861964261279, 4.56681217586561)); #473894=CARTESIAN_POINT('Ctrl Pts',(6.33066485920633,-13.5986597582242, 4.61997117353815)); #473895=CARTESIAN_POINT('Ctrl Pts',(6.30361130101096,-13.6112220900619, 4.67289551146672)); #473896=CARTESIAN_POINT('Ctrl Pts',(6.27663449679394,-13.6236507366292, 4.72589058205345)); #473897=CARTESIAN_POINT('Ctrl Pts',(6.24965769257707,-13.6360793831964, 4.77888565263989)); #473898=CARTESIAN_POINT('Ctrl Pts',(6.22275801973271,-13.6483751798437, 4.8319514558845)); #473899=CARTESIAN_POINT('Ctrl Pts',(6.19601346246273,-13.6605057436751, 4.8851345480721)); #473900=CARTESIAN_POINT('Ctrl Pts',(6.1529363480127,-13.6800442868348,4.97079587070478)); #473901=CARTESIAN_POINT('Ctrl Pts',(6.11026365133688,-13.6991584593348, 5.05676147757428)); #473902=CARTESIAN_POINT('Ctrl Pts',(6.06674381363112,-13.7184044079393, 5.14227005607864)); #473903=CARTESIAN_POINT('Ctrl Pts',(6.05622480464229,-13.723056270562,5.16293799863832)); #473904=CARTESIAN_POINT('Ctrl Pts',(6.04565620762457,-13.727715614526,5.18357924076691)); #473905=CARTESIAN_POINT('Ctrl Pts',(6.03502016498699,-13.7323898724221, 5.20418303203786)); #473906=CARTESIAN_POINT('Ctrl Pts',(6.00770012570662,-13.744396303167,5.25710651175938)); #473907=CARTESIAN_POINT('Ctrl Pts',(5.97993491197833,-13.7565007225281, 5.30978289630472)); #473908=CARTESIAN_POINT('Ctrl Pts',(5.95188713517065,-13.7686251866405, 5.36231251090308)); #473909=CARTESIAN_POINT('Ctrl Pts',(5.923839358363,-13.7807496507528,5.41484212550139)); #473910=CARTESIAN_POINT('Ctrl Pts',(5.89550903475066,-13.792894209936,5.46722497015273)); #473911=CARTESIAN_POINT('Ctrl Pts',(5.86703122497451,-13.8049970881987, 5.51954365272024)); #473912=CARTESIAN_POINT('Ctrl Pts',(5.83855341519839,-13.8170999664614, 5.57186233528771)); #473913=CARTESIAN_POINT('Ctrl Pts',(5.80992843841349,-13.829161916298,5.62411685577135)); #473914=CARTESIAN_POINT('Ctrl Pts',(5.78092750311074,-13.8412744067798, 5.67617035357196)); #473915=CARTESIAN_POINT('Ctrl Pts',(5.751926567808,-13.8533868972616,5.72822385137258)); #473916=CARTESIAN_POINT('Ctrl Pts',(5.72254972553665,-13.8655500508344, 5.78007632649016)); #473917=CARTESIAN_POINT('Ctrl Pts',(5.69251913274761,-13.8778682052865, 5.83156340684053)); #473918=CARTESIAN_POINT('Ctrl Pts',(5.63653802304125,-13.9008309206951, 5.92754232786295)); #473919=CARTESIAN_POINT('Ctrl Pts',(5.57828589343286,-13.9243340314635, 6.02225150093683)); #473920=CARTESIAN_POINT('Ctrl Pts',(5.51694216607359,-13.9485966726477, 6.11522551024898)); #473921=CARTESIAN_POINT('Ctrl Pts',(5.51247124611491,-13.9503650087334, 6.12200174266533)); #473922=CARTESIAN_POINT('Ctrl Pts',(5.50798389625138,-13.9521373574548, 6.12876875798938)); #473923=CARTESIAN_POINT('Ctrl Pts',(5.50347979520809,-13.9539137930452, 6.135526376035)); #473924=CARTESIAN_POINT('',(7.45920021129876,-13.0138518199835,1.71254192778781)); #473925=CARTESIAN_POINT('Ctrl Pts',(7.45920009559741,-13.0138516179431, 1.71254192782)); #473926=CARTESIAN_POINT('Ctrl Pts',(7.44145908333254,-13.0240203031461, 1.770594345662)); #473927=CARTESIAN_POINT('Ctrl Pts',(7.4238650794525,-13.0340567297474,1.82871592086143)); #473928=CARTESIAN_POINT('Ctrl Pts',(7.40630962949953,-13.044024596419,1.88686708720633)); #473929=CARTESIAN_POINT('Ctrl Pts',(7.38875417934927,-13.0539924632025, 1.9450182542047)); #473930=CARTESIAN_POINT('Ctrl Pts',(7.37123762525497,-13.0638923721545, 2.00319901234919)); #473931=CARTESIAN_POINT('Ctrl Pts',(7.35320495075007,-13.0740344558313, 2.06116462695622)); #473932=CARTESIAN_POINT('Ctrl Pts',(7.33517227624507,-13.0841765395082, 2.11913024156356)); #473933=CARTESIAN_POINT('Ctrl Pts',(7.31662296957391,-13.0945598858084, 2.17688071263342)); #473934=CARTESIAN_POINT('Ctrl Pts',(7.29767648832922,-13.1051103723581, 2.23437260271873)); #473935=CARTESIAN_POINT('Ctrl Pts',(7.27873000708462,-13.1156608589077, 2.29186449280376)); #473936=CARTESIAN_POINT('Ctrl Pts',(7.25938628956796,-13.1263783779504, 2.34909780190424)); #473937=CARTESIAN_POINT('Ctrl Pts',(7.23982957295738,-13.1371559994746, 2.40621393548217)); #473938=CARTESIAN_POINT('Ctrl Pts',(7.22027285634669,-13.1479336209989, 2.46333006906036)); #473939=CARTESIAN_POINT('Ctrl Pts',(7.20050323555618,-13.1587715183206, 2.520329027116)); #473940=CARTESIAN_POINT('Ctrl Pts',(7.18058428382229,-13.1696320883662, 2.57724686290793)); #473941=CARTESIAN_POINT('Ctrl Pts',(7.14074638035461,-13.1913532284574, 2.69108253449153)); #473942=CARTESIAN_POINT('Ctrl Pts',(7.10031215014455,-13.2131668885698, 2.80459371702031)); #473943=CARTESIAN_POINT('Ctrl Pts',(7.05881475971491,-13.235298794815,2.91749666515582)); #473944=CARTESIAN_POINT('Ctrl Pts',(7.0173173692853,-13.2574307010602,3.03039961329124)); #473945=CARTESIAN_POINT('Ctrl Pts',(6.97475670893983,-13.2798806278888, 3.1426943270334)); #473946=CARTESIAN_POINT('Ctrl Pts',(6.93076449173499,-13.302800590857,3.25415755538522)); #473947=CARTESIAN_POINT('Ctrl Pts',(6.88677227452998,-13.3257205538253, 3.36562078373749)); #473948=CARTESIAN_POINT('Ctrl Pts',(6.84134742873778,-13.3491085002286, 3.47625252669943)); #473949=CARTESIAN_POINT('Ctrl Pts',(6.79474568841559,-13.3727869582132, 3.58622296885055)); #473950=CARTESIAN_POINT('Ctrl Pts',(6.77144481825467,-13.3846261872054, 3.64120818992572)); #473951=CARTESIAN_POINT('Ctrl Pts',(6.74784973541427,-13.3965380677821, 3.69602808579819)); #473952=CARTESIAN_POINT('Ctrl Pts',(6.72406040650627,-13.408467908365,3.75074523589594)); #473953=CARTESIAN_POINT('Ctrl Pts',(6.70027107759813,-13.420397748948,3.80546238599404)); #473954=CARTESIAN_POINT('Ctrl Pts',(6.67628739461011,-13.4323453346846, 3.86007679031744)); #473955=CARTESIAN_POINT('Ctrl Pts',(6.65232860979502,-13.4442003877993, 3.91472493463765)); #473956=CARTESIAN_POINT('Ctrl Pts',(6.64034921738753,-13.4501279143566, 3.94204900679763)); #473957=CARTESIAN_POINT('Ctrl Pts',(6.62837608866603,-13.4560323866319, 3.96938151395682)); #473958=CARTESIAN_POINT('Ctrl Pts',(6.61643066421392,-13.4619034785445, 3.9967356042065)); #473959=CARTESIAN_POINT('Ctrl Pts',(6.60448523976182,-13.4677745704572, 4.02408969445618)); #473960=CARTESIAN_POINT('Ctrl Pts',(6.59256751208535,-13.4736122681886, 4.05146536779637)); #473961=CARTESIAN_POINT('Ctrl Pts',(6.58071535031416,-13.4793985577302, 4.07888643703475)); #473962=CARTESIAN_POINT('Ctrl Pts',(6.55701102677168,-13.4909711368135, 4.13372857551177)); #473963=CARTESIAN_POINT('Ctrl Pts',(6.53356989129705,-13.5023399642611, 4.18875229758157)); #473964=CARTESIAN_POINT('Ctrl Pts',(6.50939475405486,-13.5139846061731, 4.24334799278171)); #473965=CARTESIAN_POINT('Ctrl Pts',(6.4852196167623,-13.5256292481093,4.29794368809558)); #473966=CARTESIAN_POINT('Ctrl Pts',(6.46030928559356,-13.5375472411008, 4.352111356538)); #473967=CARTESIAN_POINT('Ctrl Pts',(6.43505926196252,-13.549539191243,4.406085839649)); #473968=CARTESIAN_POINT('',(7.7016910544,-12.87182795498,0.8323703958393)); #473969=CARTESIAN_POINT('Ctrl Pts',(7.7016910544,-12.87182795498,0.8323703958393)); #473970=CARTESIAN_POINT('Ctrl Pts',(7.679840856326,-12.88490173717,0.926978413741)); #473971=CARTESIAN_POINT('Ctrl Pts',(7.632778326481,-12.91291068617,1.118320493011)); #473972=CARTESIAN_POINT('Ctrl Pts',(7.552048990604,-12.96031787754,1.411725041381)); #473973=CARTESIAN_POINT('Ctrl Pts',(7.491311037787,-12.99544678598,1.611562428499)); #473974=CARTESIAN_POINT('Ctrl Pts',(7.459200234533,-13.01385186034,1.71254192782)); #473975=CARTESIAN_POINT('',(7.88527880867547,-12.7601872109228,0.0247088113868075)); #473976=CARTESIAN_POINT('Ctrl Pts',(7.88527879570382,-12.7601872249798, 0.0247088068438528)); #473977=CARTESIAN_POINT('Ctrl Pts',(7.87968178603374,-12.7636457423711, 0.0513917544592153)); #473978=CARTESIAN_POINT('Ctrl Pts',(7.86523203712342,-12.772561716772,0.118624814216479)); #473979=CARTESIAN_POINT('Ctrl Pts',(7.843703677304,-12.78579704753,0.227022274712)); #473980=CARTESIAN_POINT('Ctrl Pts',(7.818617478017,-12.80115291969,0.3491922909109)); #473981=CARTESIAN_POINT('Ctrl Pts',(7.79658876159,-12.81457809847,0.450626476362)); #473982=CARTESIAN_POINT('Ctrl Pts',(7.77769035805,-12.82605263741,0.531346398576)); #473983=CARTESIAN_POINT('Ctrl Pts',(7.758070372932,-12.83793545391,0.6118266891067)); #473984=CARTESIAN_POINT('Ctrl Pts',(7.732447637359,-12.85339080344,0.7120636722526)); #473985=CARTESIAN_POINT('Ctrl Pts',(7.711904204962,-12.86571717175,0.792254384547)); #473986=CARTESIAN_POINT('Ctrl Pts',(7.7016910544,-12.87182795498,0.8323703958393)); #473987=CARTESIAN_POINT('',(4.65194224004149,-14.2604149330676,0.0247088303572059)); #473988=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346465)); #473989=CARTESIAN_POINT('',(4.1869487672511,-14.4038001949571,1.61025691944599)); #473990=CARTESIAN_POINT('Ctrl Pts',(4.186948767252,-14.40380019496,1.610256919446)); #473991=CARTESIAN_POINT('Ctrl Pts',(4.20685451924,-14.39801429745,1.551170602083)); #473992=CARTESIAN_POINT('Ctrl Pts',(4.246069782778,-14.38652600804,1.432785022627)); #473993=CARTESIAN_POINT('Ctrl Pts',(4.303800054999,-14.36935831417,1.254825398038)); #473994=CARTESIAN_POINT('Ctrl Pts',(4.358408304797,-14.35288554342,1.075720409096)); #473995=CARTESIAN_POINT('Ctrl Pts',(4.411695889363,-14.33659576577,0.8961384380689)); #473996=CARTESIAN_POINT('Ctrl Pts',(4.482845692471,-14.31455646947,0.6567619025371)); #473997=CARTESIAN_POINT('Ctrl Pts',(4.543326256305,-14.29544422412,0.4466836191486)); #473998=CARTESIAN_POINT('Ctrl Pts',(4.591683621937,-14.27993872706,0.2653263948895)); #473999=CARTESIAN_POINT('Ctrl Pts',(4.61990511266033,-14.2708383695503, 0.154934673292418)); #474000=CARTESIAN_POINT('Ctrl Pts',(4.63979285308188,-14.2643781616341, 0.0746374721612938)); #474001=CARTESIAN_POINT('Ctrl Pts',(4.65194222009064,-14.2604149337776, 0.0247088254461096)); #474002=CARTESIAN_POINT('',(3.71899725892,-14.53165714541,2.833235979171)); #474003=CARTESIAN_POINT('Ctrl Pts',(3.71899725892,-14.53165714541,2.833235979171)); #474004=CARTESIAN_POINT('Ctrl Pts',(3.744387078964,-14.52515994449,2.776111555165)); #474005=CARTESIAN_POINT('Ctrl Pts',(3.781632129494,-14.51552055401,2.690078312066)); #474006=CARTESIAN_POINT('Ctrl Pts',(3.828825298634,-14.50312146377,2.574554339929)); #474007=CARTESIAN_POINT('Ctrl Pts',(3.873840093292,-14.49117970327,2.45821543765)); #474008=CARTESIAN_POINT('Ctrl Pts',(3.917393783737,-14.47946654347,2.341347347125)); #474009=CARTESIAN_POINT('Ctrl Pts',(3.962093834541,-14.46728144361,2.224914000935)); #474010=CARTESIAN_POINT('Ctrl Pts',(3.996121512026,-14.45791964774,2.137788229706)); #474011=CARTESIAN_POINT('Ctrl Pts',(4.030032013575,-14.44850326078,2.050629884758)); #474012=CARTESIAN_POINT('Ctrl Pts',(4.063273712743,-14.43918977934,1.963234442446)); #474013=CARTESIAN_POINT('Ctrl Pts',(4.09529358928,-14.43013987814,1.875392405091)); #474014=CARTESIAN_POINT('Ctrl Pts',(4.136558758306,-14.41838034083,1.757744384398)); #474015=CARTESIAN_POINT('Ctrl Pts',(4.167043072377,-14.40958585866,1.669343072373)); #474016=CARTESIAN_POINT('Ctrl Pts',(4.186948767252,-14.40380019496,1.610256919446)); #474017=CARTESIAN_POINT('',(2.933081055366,-14.71043967809,4.455905720788)); #474018=CARTESIAN_POINT('Ctrl Pts',(2.933081055366,-14.71043967809,4.455905720788)); #474019=CARTESIAN_POINT('Ctrl Pts',(3.005577564887,-14.69598331084,4.324155282581)); #474020=CARTESIAN_POINT('Ctrl Pts',(3.091879274384,-14.6781029189,4.157289482514)); #474021=CARTESIAN_POINT('Ctrl Pts',(3.194769830779,-14.65586518515,3.956786951925)); #474022=CARTESIAN_POINT('Ctrl Pts',(3.264093850202,-14.6406262399,3.82353748852)); #474023=CARTESIAN_POINT('Ctrl Pts',(3.333627637691,-14.62494408708,3.690436310792)); #474024=CARTESIAN_POINT('Ctrl Pts',(3.398935639664,-14.60986100583,3.555127880128)); #474025=CARTESIAN_POINT('Ctrl Pts',(3.445646053586,-14.59891342047,3.452464813706)); #474026=CARTESIAN_POINT('Ctrl Pts',(3.491181365111,-14.58809032103,3.349198170415)); #474027=CARTESIAN_POINT('Ctrl Pts',(3.551435504732,-14.57357193561,3.211294221739)); #474028=CARTESIAN_POINT('Ctrl Pts',(3.62738011821,-14.55489872292,3.039281257493)); #474029=CARTESIAN_POINT('Ctrl Pts',(3.688467375915,-14.53947114086,2.901923909015)); #474030=CARTESIAN_POINT('Ctrl Pts',(3.71899725892,-14.53165714541,2.833235979171)); #474031=CARTESIAN_POINT('',(2.209016343572,-14.83644993905,5.932724420541)); #474032=CARTESIAN_POINT('Ctrl Pts',(2.20901634357148,-14.8364499390465, 5.932724420541)); #474033=CARTESIAN_POINT('Ctrl Pts',(2.21994565204505,-14.8348226615549, 5.899751923658)); #474034=CARTESIAN_POINT('Ctrl Pts',(2.23167565899944,-14.8330635888984, 5.86717880470386)); #474035=CARTESIAN_POINT('Ctrl Pts',(2.24385289646288,-14.8312212639093, 5.83485386875443)); #474036=CARTESIAN_POINT('Ctrl Pts',(2.2560301339277,-14.82937893892,5.80252893280132)); #474037=CARTESIAN_POINT('Ctrl Pts',(2.26865463259943,-14.8274535633441, 5.77045217985299)); #474038=CARTESIAN_POINT('Ctrl Pts',(2.28165509383885,-14.8254527766527, 5.73857006515527)); #474039=CARTESIAN_POINT('Ctrl Pts',(2.29465555507812,-14.8234519899613, 5.70668795045788)); #474040=CARTESIAN_POINT('Ctrl Pts',(2.30803195505807,-14.82137563881,5.67500047401109)); #474041=CARTESIAN_POINT('Ctrl Pts',(2.32155397243229,-14.8192573077426, 5.64339144832603)); #474042=CARTESIAN_POINT('Ctrl Pts',(2.33507598980663,-14.8171389766753, 5.61178242264067)); #474043=CARTESIAN_POINT('Ctrl Pts',(2.34874364584717,-14.8149787980876, 5.58025184771703)); #474044=CARTESIAN_POINT('Ctrl Pts',(2.3624805202897,-14.8127879142061,5.54875926623956)); #474045=CARTESIAN_POINT('Ctrl Pts',(2.38995426917465,-14.8084061464433, 5.48577410328489)); #474046=CARTESIAN_POINT('Ctrl Pts',(2.41770510046959,-14.8039028750765, 5.42294091411492)); #474047=CARTESIAN_POINT('Ctrl Pts',(2.44627067272855,-14.7991810515227, 5.36054337324559)); #474048=CARTESIAN_POINT('Ctrl Pts',(2.47483624498749,-14.7944592279688, 5.29814583237632)); #474049=CARTESIAN_POINT('Ctrl Pts',(2.50421646200405,-14.7895182805334, 5.23618393980768)); #474050=CARTESIAN_POINT('Ctrl Pts',(2.53461224990083,-14.7843072459501, 5.17476401386522)); #474051=CARTESIAN_POINT('Ctrl Pts',(2.56500803779762,-14.7790962113668, 5.1133440879227)); #474052=CARTESIAN_POINT('Ctrl Pts',(2.59641932915459,-14.7736146894657, 5.05246612860635)); #474053=CARTESIAN_POINT('Ctrl Pts',(2.6288387821967,-14.767843669853,4.99212791982133)); #474054=CARTESIAN_POINT('Ctrl Pts',(2.6612582352388,-14.7620726502404,4.93178971103632)); #474055=CARTESIAN_POINT('Ctrl Pts',(2.6946857127691,-14.7560113879884,4.87199125278264)); #474056=CARTESIAN_POINT('Ctrl Pts',(2.72879369354715,-14.74970118267,4.81256212018788)); #474057=CARTESIAN_POINT('Ctrl Pts',(2.76290167432517,-14.7433909773517, 4.75313298759317)); #474058=CARTESIAN_POINT('Ctrl Pts',(2.79768978170305,-14.7368297635344, 4.69407318065738)); #474059=CARTESIAN_POINT('Ctrl Pts',(2.83198441032428,-14.7302363965987, 4.63475971242537)); #474060=CARTESIAN_POINT('Ctrl Pts',(2.86627903894411,-14.7236430296632, 4.57544624419578)); #474061=CARTESIAN_POINT('Ctrl Pts',(2.90008058729699,-14.717019566237,4.51587911467)); #474062=CARTESIAN_POINT('Ctrl Pts',(2.93308105536553,-14.7104396780877, 4.455905720788)); #474063=CARTESIAN_POINT('',(2.196084665781,-14.83836959173,7.615159092561)); #474064=CARTESIAN_POINT('Ctrl Pts',(2.196084665781,-14.83836959173,7.615159092561)); #474065=CARTESIAN_POINT('Ctrl Pts',(2.153620572092,-14.84465429469,7.506194012962)); #474066=CARTESIAN_POINT('Ctrl Pts',(2.084847378044,-14.85451941299,7.285110370608)); #474067=CARTESIAN_POINT('Ctrl Pts',(1.998297263912,-14.86631065682,6.936634045663)); #474068=CARTESIAN_POINT('Ctrl Pts',(2.024374921378,-14.8628127117,6.593477729737)); #474069=CARTESIAN_POINT('Ctrl Pts',(2.117536914438,-14.84989038288,6.263323284755)); #474070=CARTESIAN_POINT('Ctrl Pts',(2.176403555033,-14.84130569519,6.042520274194)); #474071=CARTESIAN_POINT('Ctrl Pts',(2.209016343572,-14.83644993905,5.932724420541)); #474072=CARTESIAN_POINT('',(2.32637382982556,-14.8185011836041,8.00000011835218)); #474073=CARTESIAN_POINT('Ctrl Pts',(2.32619667306518,-14.8185292467984, 8.001592064361)); #474074=CARTESIAN_POINT('Ctrl Pts',(2.28250171632067,-14.8253884337876, 7.872711275002)); #474075=CARTESIAN_POINT('Ctrl Pts',(2.23902973685638,-14.8320137039416, 7.7443176563)); #474076=CARTESIAN_POINT('Ctrl Pts',(2.19608466578073,-14.8383695917282, 7.615159092561)); #474077=CARTESIAN_POINT('',(-12.8636814737385,-7.71527706841132,8.00000000791746)); #474078=CARTESIAN_POINT('Origin',(0.,0.,8.)); #474079=CARTESIAN_POINT('',(-12.38591407619,-8.461036136143,7.464518482169)); #474080=CARTESIAN_POINT('Ctrl Pts',(-12.3859140761933,-8.46103613614527, 7.464518482169)); #474081=CARTESIAN_POINT('Ctrl Pts',(-12.4410452971975,-8.38033082354693, 7.535079493874)); #474082=CARTESIAN_POINT('Ctrl Pts',(-12.4955921974107,-8.29878896101208, 7.60208243453599)); #474083=CARTESIAN_POINT('Ctrl Pts',(-12.5496023655631,-8.21629359664392, 7.66584264687798)); #474084=CARTESIAN_POINT('Ctrl Pts',(-12.6036125337157,-8.13379823227573, 7.72960285921999)); #474085=CARTESIAN_POINT('Ctrl Pts',(-12.6570826951775,-8.0503475017471, 7.79012034324201)); #474086=CARTESIAN_POINT('Ctrl Pts',(-12.7097574747238,-7.96630811189861, 7.84644906385927)); #474087=CARTESIAN_POINT('Ctrl Pts',(-12.7451052080762,-7.90991296475155, 7.88424879674099)); #474088=CARTESIAN_POINT('Ctrl Pts',(-12.7800922874891,-7.85325078677385, 7.92016226109896)); #474089=CARTESIAN_POINT('Ctrl Pts',(-12.814205601471,-7.79718762139468, 7.95380362534255)); #474090=CARTESIAN_POINT('Ctrl Pts',(-12.8309275497018,-7.76970611227991, 7.97029422932184)); #474091=CARTESIAN_POINT('Ctrl Pts',(-12.847440237908,-7.74236895797533, 7.98623888264835)); #474092=CARTESIAN_POINT('Ctrl Pts',(-12.8636871526386,-7.7152804770171, 8.001592140263)); #474093=CARTESIAN_POINT('',(-12.00233238198,-8.996889317563,6.927777088905)); #474094=CARTESIAN_POINT('Ctrl Pts',(-12.0023323819799,-8.9968893175629, 6.927777088905)); #474095=CARTESIAN_POINT('Ctrl Pts',(-12.0336742091,-8.95507764096268,6.976129996087)); #474096=CARTESIAN_POINT('Ctrl Pts',(-12.0651846317885,-8.91258653333005, 7.02357469848256)); #474097=CARTESIAN_POINT('Ctrl Pts',(-12.0969104719884,-8.86931547712089, 7.0700090385803)); #474098=CARTESIAN_POINT('Ctrl Pts',(-12.1286363118606,-8.82604442135874, 7.11644337819835)); #474099=CARTESIAN_POINT('Ctrl Pts',(-12.1605766766993,-8.78199278060141, 7.16186735553945)); #474100=CARTESIAN_POINT('Ctrl Pts',(-12.1925992111063,-8.73730647724635, 7.20652135429249)); #474101=CARTESIAN_POINT('Ctrl Pts',(-12.2086104783098,-8.71496332556882, 7.22884835366901)); #474102=CARTESIAN_POINT('Ctrl Pts',(-12.2246424303616,-8.69246160671487, 7.25098285839851)); #474103=CARTESIAN_POINT('Ctrl Pts',(-12.2406947963826,-8.66979762750048, 7.27292398433214)); #474104=CARTESIAN_POINT('Ctrl Pts',(-12.2567471624035,-8.64713364828611, 7.29486511026575)); #474105=CARTESIAN_POINT('Ctrl Pts',(-12.2728199943625,-8.62430744773731, 7.31661285740348)); #474106=CARTESIAN_POINT('Ctrl Pts',(-12.2889326341413,-8.60128680567841, 7.3381122086288)); #474107=CARTESIAN_POINT('Ctrl Pts',(-12.3211579116073,-8.55524552454879, 7.38111090828874)); #474108=CARTESIAN_POINT('Ctrl Pts',(-12.3535413955912,-8.50842575059696, 7.423116024428)); #474109=CARTESIAN_POINT('Ctrl Pts',(-12.3859140761933,-8.46103613614527, 7.464518482169)); #474110=CARTESIAN_POINT('',(-11.84254341914,-9.206202548599,6.66680736004)); #474111=CARTESIAN_POINT('Ctrl Pts',(-11.84254341914,-9.206202548599,6.66680736004)); #474112=CARTESIAN_POINT('Ctrl Pts',(-11.89458299845,-9.13926061246,6.757124831027)); #474113=CARTESIAN_POINT('Ctrl Pts',(-11.94798160542,-9.069396171175,6.843940684234)); #474114=CARTESIAN_POINT('Ctrl Pts',(-12.00233238198,-8.996889317563,6.927777088905)); #474115=CARTESIAN_POINT('',(-11.58209786204,-9.531789397276,6.161281822438)); #474116=CARTESIAN_POINT('Ctrl Pts',(-11.58209786204,-9.531789397276,6.161281822438)); #474117=CARTESIAN_POINT('Ctrl Pts',(-11.62304386829,-9.482035797156,6.250137232319)); #474118=CARTESIAN_POINT('Ctrl Pts',(-11.70740106974,-9.378230563759,6.423396397106)); #474119=CARTESIAN_POINT('Ctrl Pts',(-11.79671095701,-9.265159882602,6.58726284923)); #474120=CARTESIAN_POINT('Ctrl Pts',(-11.84254341914,-9.206202548599,6.66680736004)); #474121=CARTESIAN_POINT('',(-11.325534063,-9.835256894851,5.543265708844)); #474122=CARTESIAN_POINT('Ctrl Pts',(-11.325534063,-9.835256894851,5.543265708844)); #474123=CARTESIAN_POINT('Ctrl Pts',(-11.36646639036,-9.788121106258,5.648583640165)); #474124=CARTESIAN_POINT('Ctrl Pts',(-11.42810552688,-9.716275501597,5.805945801796)); #474125=CARTESIAN_POINT('Ctrl Pts',(-11.51446410395,-9.613565195882,6.010866033924)); #474126=CARTESIAN_POINT('Ctrl Pts',(-11.55918808943,-9.559627893646,6.111572126555)); #474127=CARTESIAN_POINT('Ctrl Pts',(-11.58209786204,-9.531789397276,6.161281822438)); #474128=CARTESIAN_POINT('',(-10.62113867835,-10.59204480617,3.592568214076)); #474129=CARTESIAN_POINT('Ctrl Pts',(-10.6211386783345,-10.5920448061546, 3.592568214076)); #474130=CARTESIAN_POINT('Ctrl Pts',(-10.6398153924943,-10.5733167914249, 3.648162239047)); #474131=CARTESIAN_POINT('Ctrl Pts',(-10.6586600067218,-10.5543209930556, 3.70356527718389)); #474132=CARTESIAN_POINT('Ctrl Pts',(-10.6775681060883,-10.5351573001947, 3.75886607290831)); #474133=CARTESIAN_POINT('Ctrl Pts',(-10.6964762514764,-10.5159935606901, 3.81416700323311)); #474134=CARTESIAN_POINT('Ctrl Pts',(-10.7154477641292,-10.4966618087221, 3.86936569064773)); #474135=CARTESIAN_POINT('Ctrl Pts',(-10.7343187534638,-10.477327946525, 3.92460477974896)); #474136=CARTESIAN_POINT('Ctrl Pts',(-10.7437542481311,-10.4676610154265, 3.95222432429954)); #474137=CARTESIAN_POINT('Ctrl Pts',(-10.7531646629587,-10.4579936065116, 3.97985396927177)); #474138=CARTESIAN_POINT('Ctrl Pts',(-10.7625240271177,-10.448352815909, 4.00751673411041)); #474139=CARTESIAN_POINT('Ctrl Pts',(-10.7718833912768,-10.4387120253064, 4.03517949894905)); #474140=CARTESIAN_POINT('Ctrl Pts',(-10.7811917384034,-10.4290978854321, 4.0628753836541)); #474141=CARTESIAN_POINT('Ctrl Pts',(-10.7904594110373,-10.4195002614692, 4.09059552605588)); #474142=CARTESIAN_POINT('Ctrl Pts',(-10.8089947563049,-10.4003050135436, 4.14603581085924)); #474143=CARTESIAN_POINT('Ctrl Pts',(-10.8273681871491,-10.381176585726, 4.20157312644952)); #474144=CARTESIAN_POINT('Ctrl Pts',(-10.8460212592024,-10.3616515500633, 4.25681516159208)); #474145=CARTESIAN_POINT('Ctrl Pts',(-10.8646743312557,-10.3421265144006, 4.31205719673485)); #474146=CARTESIAN_POINT('Ctrl Pts',(-10.8836068006265,-10.3222046426968, 4.36700395142989)); #474147=CARTESIAN_POINT('Ctrl Pts',(-10.9031004245884,-10.3015727503784, 4.42139713182744)); #474148=CARTESIAN_POINT('Ctrl Pts',(-10.9225940485503,-10.2809408580601, 4.47579031222506)); #474149=CARTESIAN_POINT('Ctrl Pts',(-10.9426475697725,-10.2595977567099, 4.5296299183252)); #474150=CARTESIAN_POINT('Ctrl Pts',(-10.9629171694398,-10.2378927097329, 4.58321615909132)); #474151=CARTESIAN_POINT('Ctrl Pts',(-10.983186769107,-10.216187662756,4.63680239985712)); #474152=CARTESIAN_POINT('Ctrl Pts',(-11.0036723301102,-10.1941205561822, 4.69013527528891)); #474153=CARTESIAN_POINT('Ctrl Pts',(-11.0240013844919,-10.1720889435121, 4.74354874122074)); #474154=CARTESIAN_POINT('Ctrl Pts',(-11.0341659116828,-10.161073137177, 4.77025547418684)); #474155=CARTESIAN_POINT('Ctrl Pts',(-11.0442914736086,-10.150066353295, 4.79698235477795)); #474156=CARTESIAN_POINT('Ctrl Pts',(-11.0543430137117,-10.1391074821803, 4.8237614843009)); #474157=CARTESIAN_POINT('Ctrl Pts',(-11.0643945538147,-10.1281486110656, 4.85054061382378)); #474158=CARTESIAN_POINT('Ctrl Pts',(-11.0743721502175,-10.1172377245422, 4.8773719922785)); #474159=CARTESIAN_POINT('Ctrl Pts',(-11.0843131040751,-10.1063347960984, 4.90422207900232)); #474160=CARTESIAN_POINT('Ctrl Pts',(-11.1041950117904,-10.0845289392107, 4.95792225245004)); #474161=CARTESIAN_POINT('Ctrl Pts',(-11.1239306583018,-10.0627551958221, 5.01169725897418)); #474162=CARTESIAN_POINT('Ctrl Pts',(-11.1437361033789,-10.0407741563213, 5.06534938528997)); #474163=CARTESIAN_POINT('Ctrl Pts',(-11.1635415484559,-10.0187931168205, 5.11900151160544)); #474164=CARTESIAN_POINT('Ctrl Pts',(-11.1834166248231,-9.99660463545542, 5.17253075771256)); #474165=CARTESIAN_POINT('Ctrl Pts',(-11.2034933363406,-9.97405319128448, 5.22581213736017)); #474166=CARTESIAN_POINT('Ctrl Pts',(-11.2436467593748,-9.92895030294335, 5.33237489665359)); #474167=CARTESIAN_POINT('Ctrl Pts',(-11.284601894997,-9.8823912674457,5.437946190109)); #474168=CARTESIAN_POINT('Ctrl Pts',(-11.3255340630028,-9.83525689485346, 5.543265708844)); #474169=CARTESIAN_POINT('',(-9.86104705497,-11.30308590518,0.82863552189)); #474170=CARTESIAN_POINT('Ctrl Pts',(-9.86104705496537,-11.3030859051747, 0.82863552189)); #474171=CARTESIAN_POINT('Ctrl Pts',(-9.86767958732779,-11.2972995460982, 0.8582705753294)); #474172=CARTESIAN_POINT('Ctrl Pts',(-9.87362164137424,-11.2921062634416, 0.888199014585735)); #474173=CARTESIAN_POINT('Ctrl Pts',(-9.87983474979101,-11.2866675913142, 0.918028188413889)); #474174=CARTESIAN_POINT('Ctrl Pts',(-9.88604785820821,-11.2812289191864, 0.947857362244067)); #474175=CARTESIAN_POINT('Ctrl Pts',(-9.89253138987151,-11.2755441359053, 0.977587270646051)); #474176=CARTESIAN_POINT('Ctrl Pts',(-9.89894459057181,-11.2699110907225, 1.00734502703855)); #474177=CARTESIAN_POINT('Ctrl Pts',(-9.91177099197241,-11.2586450003568, 1.06686053982352)); #474178=CARTESIAN_POINT('Ctrl Pts',(-9.92431769132095,-11.2475877080827, 1.12648744457054)); #474179=CARTESIAN_POINT('Ctrl Pts',(-9.93752516420075,-11.2359064436687, 1.18575690623321)); #474180=CARTESIAN_POINT('Ctrl Pts',(-9.94412890064064,-11.2300658114618, 1.2153916370645)); #474181=CARTESIAN_POINT('Ctrl Pts',(-9.95089761333947,-11.2240689414051, 1.2449370071247)); #474182=CARTESIAN_POINT('Ctrl Pts',(-9.95786966909419,-11.2178799981696, 1.27436645552866)); #474183=CARTESIAN_POINT('Ctrl Pts',(-9.96484172484893,-11.2116910549341, 1.3037959039327)); #474184=CARTESIAN_POINT('Ctrl Pts',(-9.97201708167588,-11.2053099910224, 1.3331094306805)); #474185=CARTESIAN_POINT('Ctrl Pts',(-9.97935765006649,-11.1987687221435, 1.36232637129805)); #474186=CARTESIAN_POINT('Ctrl Pts',(-9.9940387868477,-11.1856861843856, 1.42076025253305)); #474187=CARTESIAN_POINT('Ctrl Pts',(-10.0093802278283,-11.171962218057, 1.47880778924705)); #474188=CARTESIAN_POINT('Ctrl Pts',(-10.0248475043581,-11.1580658052534, 1.53676354082438)); #474189=CARTESIAN_POINT('Ctrl Pts',(-10.032581142623,-11.1511175988516, 1.565741416613)); #474190=CARTESIAN_POINT('Ctrl Pts',(-10.0403463514831,-11.1441264049726, 1.59469634611745)); #474191=CARTESIAN_POINT('Ctrl Pts',(-10.0480691053314,-11.1371588501954, 1.62366882432203)); #474192=CARTESIAN_POINT('Ctrl Pts',(-10.0557918591797,-11.1301912954182, 1.6526413025267)); #474193=CARTESIAN_POINT('Ctrl Pts',(-10.0634721762224,-11.1232473999208, 1.6816313294315)); #474194=CARTESIAN_POINT('Ctrl Pts',(-10.0710647075955,-11.1163688160939, 1.71066705206957)); #474195=CARTESIAN_POINT('Ctrl Pts',(-10.0862497703417,-11.10261164844,1.76873849734562)); #474196=CARTESIAN_POINT('Ctrl Pts',(-10.1010842612801,-11.0891163572764, 1.82699272555476)); #474197=CARTESIAN_POINT('Ctrl Pts',(-10.1159407075693,-11.0755471016534, 1.88522325972602)); #474198=CARTESIAN_POINT('Ctrl Pts',(-10.1307971538585,-11.0619778460304, 1.94345379389737)); #474199=CARTESIAN_POINT('Ctrl Pts',(-10.1456755176145,-11.0483345863841, 2.00166063403082)); #474200=CARTESIAN_POINT('Ctrl Pts',(-10.1609091038293,-11.0343067831069, 2.05965383574276)); #474201=CARTESIAN_POINT('Ctrl Pts',(-10.1761426900441,-11.0202789798297, 2.11764703745443)); #474202=CARTESIAN_POINT('Ctrl Pts',(-10.1917310381508,-11.0058661330616, 2.17542660074457)); #474203=CARTESIAN_POINT('Ctrl Pts',(-10.2076315434002,-10.9910990476012, 2.23300946302389)); #474204=CARTESIAN_POINT('Ctrl Pts',(-10.2235320486497,-10.9763319621407, 2.29059232530348)); #474205=CARTESIAN_POINT('Ctrl Pts',(-10.2397445071453,-10.9612104168337, 2.34797848657224)); #474206=CARTESIAN_POINT('Ctrl Pts',(-10.256084092106,-10.9459005611987, 2.40527158079954)); #474207=CARTESIAN_POINT('Ctrl Pts',(-10.2724236770666,-10.9305907055638, 2.46256467502684)); #474208=CARTESIAN_POINT('Ctrl Pts',(-10.2888905764567,-10.9150927402683, 2.51976470221268)); #474209=CARTESIAN_POINT('Ctrl Pts',(-10.3054415166672,-10.8994437998713, 2.57689640188829)); #474210=CARTESIAN_POINT('Ctrl Pts',(-10.3385433970879,-10.8681459190774, 2.69115980123913)); #474211=CARTESIAN_POINT('Ctrl Pts',(-10.3719824351027,-10.8362451668095, 2.80514989054902)); #474212=CARTESIAN_POINT('Ctrl Pts',(-10.4059858882266,-10.8034928469467, 2.91873972308671)); #474213=CARTESIAN_POINT('Ctrl Pts',(-10.4399893413506,-10.7707405270839, 3.03232955562448)); #474214=CARTESIAN_POINT('Ctrl Pts',(-10.4745591995432,-10.7371387664563, 3.14551913139005)); #474215=CARTESIAN_POINT('Ctrl Pts',(-10.5105113638278,-10.7018293235711, 3.25777777360017)); #474216=CARTESIAN_POINT('Ctrl Pts',(-10.5432694061326,-10.6696569009421, 3.36006294679531)); #474217=CARTESIAN_POINT('Ctrl Pts',(-10.5771696538488,-10.6360612212389, 3.46157525348829)); #474218=CARTESIAN_POINT('Ctrl Pts',(-10.6111857218999,-10.6020157317063, 3.56292507747741)); #474219=CARTESIAN_POINT('Ctrl Pts',(-10.6145025549977,-10.5986960297835, 3.57280747923424)); #474220=CARTESIAN_POINT('Ctrl Pts',(-10.6178205180211,-10.5953720806791, 3.58268833614167)); #474221=CARTESIAN_POINT('Ctrl Pts',(-10.6211386783345,-10.5920448061546, 3.592568214076)); #474222=CARTESIAN_POINT('Ctrl Pts',(-9.6989030490967,-11.4425206857677, 0.0247088253159751)); #474223=CARTESIAN_POINT('Ctrl Pts',(-9.69997923466587,-11.4416084899431, 0.0304259870890999)); #474224=CARTESIAN_POINT('Ctrl Pts',(-9.70125306646068,-11.4405284601287, 0.0360723621192713)); #474225=CARTESIAN_POINT('Ctrl Pts',(-9.7024604690953,-11.439504396854,0.0417425303411412)); #474226=CARTESIAN_POINT('Ctrl Pts',(-9.70674365788219,-11.4358715935048, 0.0618571137049058)); #474227=CARTESIAN_POINT('Ctrl Pts',(-9.71019146537862,-11.4329437820264, 0.0822711185117225)); #474228=CARTESIAN_POINT('Ctrl Pts',(-9.71375066445532,-11.4299189860993, 0.102645432628634)); #474229=CARTESIAN_POINT('Ctrl Pts',(-9.72086906260872,-11.4238693942451, 0.143394060862501)); #474230=CARTESIAN_POINT('Ctrl Pts',(-9.72843165349304,-11.4174302490705, 0.183983926336745)); #474231=CARTESIAN_POINT('Ctrl Pts',(-9.73588258138403,-11.4110731467949, 0.224610063139068)); #474232=CARTESIAN_POINT('Ctrl Pts',(-9.74333350927502,-11.4047160445192, 0.265236199941402)); #474233=CARTESIAN_POINT('Ctrl Pts',(-9.75067327803863,-11.3984415733945, 0.305898608071815)); #474234=CARTESIAN_POINT('Ctrl Pts',(-9.75825563937667,-11.3919465797805, 0.346466634888592)); #474235=CARTESIAN_POINT('Ctrl Pts',(-9.76583800071469,-11.3854515861665, 0.387034661705342)); #474236=CARTESIAN_POINT('Ctrl Pts',(-9.77366282132832,-11.3787359164372, 0.427508307208456)); #474237=CARTESIAN_POINT('Ctrl Pts',(-9.7818279970298,-11.3717123176997, 0.467848344593052)); #474238=CARTESIAN_POINT('Ctrl Pts',(-9.78591058488055,-11.368200518331, 0.488018363285337)); #474239=CARTESIAN_POINT('Ctrl Pts',(-9.79007824271983,-11.3646117146193, 0.508154979947992)); #474240=CARTESIAN_POINT('Ctrl Pts',(-9.79432389834306,-11.3609515171198, 0.528261090885909)); #474241=CARTESIAN_POINT('Ctrl Pts',(-9.79856955396629,-11.3572913196203, 0.54836720182388)); #474242=CARTESIAN_POINT('Ctrl Pts',(-9.80289320440246,-11.3535597250696, 0.568442807037114)); #474243=CARTESIAN_POINT('Ctrl Pts',(-9.80727967081067,-11.3497694011158, 0.588493445453627)); #474244=CARTESIAN_POINT('Ctrl Pts',(-9.8160526036271,-11.342188753208,0.628594722286574)); #474245=CARTESIAN_POINT('Ctrl Pts',(-9.82507664159126,-11.3343730021672, 0.66859613193264)); #474246=CARTESIAN_POINT('Ctrl Pts',(-9.83408630636869,-11.3265505127948, 0.708601592484925)); #474247=CARTESIAN_POINT('Ctrl Pts',(-9.84309597114771,-11.318728023421, 0.748607053044303)); #474248=CARTESIAN_POINT('Ctrl Pts',(-9.85209142382736,-11.3108989839038, 0.7886165645099)); #474249=CARTESIAN_POINT('Ctrl Pts',(-9.86104705496537,-11.3030859051747, 0.82863552189)); #474250=CARTESIAN_POINT('',(-12.4776339503782,-8.32518286343349,1.96513794857482)); #474251=CARTESIAN_POINT('Ctrl Pts',(-12.47763405447,-8.325182932159,1.965137948339)); #474252=CARTESIAN_POINT('Ctrl Pts',(-12.4650677444,-8.344015416993,1.906665152352)); #474253=CARTESIAN_POINT('Ctrl Pts',(-12.44030376229,-8.380943519947,1.789458401667)); #474254=CARTESIAN_POINT('Ctrl Pts',(-12.40545556299,-8.432434055144,1.611961997532)); #474255=CARTESIAN_POINT('Ctrl Pts',(-12.37046197487,-8.483686411434,1.434440417227)); #474256=CARTESIAN_POINT('Ctrl Pts',(-12.33634854607,-8.533208546312,1.256224934474)); #474257=CARTESIAN_POINT('Ctrl Pts',(-12.30373404657,-8.580161982866,1.076945436971)); #474258=CARTESIAN_POINT('Ctrl Pts',(-12.27174677666,-8.625848847227,0.8971609205241)); #474259=CARTESIAN_POINT('Ctrl Pts',(-12.2287509552,-8.686776615446,0.6575217394841)); #474260=CARTESIAN_POINT('Ctrl Pts',(-12.19183134726,-8.738465904205,0.447216339678)); #474261=CARTESIAN_POINT('Ctrl Pts',(-12.16211657817,-8.779699798392,0.2656538898403)); #474262=CARTESIAN_POINT('Ctrl Pts',(-12.1446864421226,-8.8038024376104, 0.155146032083053)); #474263=CARTESIAN_POINT('Ctrl Pts',(-12.1325034808769,-8.82057402252223, 0.0747002215076466)); #474264=CARTESIAN_POINT('Ctrl Pts',(-12.1249703366523,-8.83091699780302, 0.0247088546065563)); #474265=CARTESIAN_POINT('',(-12.67193437332,-8.026336601441,2.836251461256)); #474266=CARTESIAN_POINT('Ctrl Pts',(-12.6719343732817,-8.02633660141674, 2.836251461256)); #474267=CARTESIAN_POINT('Ctrl Pts',(-12.6494920712628,-8.06176837963528, 2.745297159606)); #474268=CARTESIAN_POINT('Ctrl Pts',(-12.6273366022764,-8.0964133180733, 2.65238288770301)); #474269=CARTESIAN_POINT('Ctrl Pts',(-12.606080472735,-8.12937482927983, 2.55711421376603)); #474270=CARTESIAN_POINT('Ctrl Pts',(-12.5880347143219,-8.15735807368977, 2.47623422708443)); #474271=CARTESIAN_POINT('Ctrl Pts',(-12.5706424810865,-8.18413140213555, 2.39365731587957)); #474272=CARTESIAN_POINT('Ctrl Pts',(-12.5528264028386,-8.21136707864151, 2.3101075259034)); #474273=CARTESIAN_POINT('Ctrl Pts',(-12.5496568922026,-8.21621235183593, 2.29524387609896)); #474274=CARTESIAN_POINT('Ctrl Pts',(-12.5464738561936,-8.2210721858089, 2.28034943563928)); #474275=CARTESIAN_POINT('Ctrl Pts',(-12.5432710845094,-8.22595590193081, 2.26542828120147)); #474276=CARTESIAN_POINT('Ctrl Pts',(-12.522065271508,-8.25829138539501, 2.16663409854499)); #474277=CARTESIAN_POINT('Ctrl Pts',(-12.4999918255441,-8.29167215965099, 2.066668809203)); #474278=CARTESIAN_POINT('Ctrl Pts',(-12.477633431942,-8.32518251680306, 1.965137948339)); #474279=CARTESIAN_POINT('',(-13.08848699894,-7.327448947528,4.471909429058)); #474280=CARTESIAN_POINT('Ctrl Pts',(-13.08848699894,-7.327448947528,4.471909429058)); #474281=CARTESIAN_POINT('Ctrl Pts',(-13.05193776499,-7.392731326532,4.339215197587)); #474282=CARTESIAN_POINT('Ctrl Pts',(-13.00784943654,-7.470098924887,4.171061423882)); #474283=CARTESIAN_POINT('Ctrl Pts',(-12.95453775681,-7.56180551457,3.968864789436)); #474284=CARTESIAN_POINT('Ctrl Pts',(-12.91840299874,-7.623459967628,3.834458024001)); #474285=CARTESIAN_POINT('Ctrl Pts',(-12.88165664284,-7.685378204656,3.700323115977)); #474286=CARTESIAN_POINT('Ctrl Pts',(-12.84669064062,-7.743601328332,3.564064229708)); #474287=CARTESIAN_POINT('Ctrl Pts',(-12.82157785572,-7.785107961289,3.460618582808)); #474288=CARTESIAN_POINT('Ctrl Pts',(-12.7969880597,-7.825460717275,3.356524341723)); #474289=CARTESIAN_POINT('Ctrl Pts',(-12.76430931024,-7.87871354741,3.217465018546)); #474290=CARTESIAN_POINT('Ctrl Pts',(-12.72276591211,-7.945705668922,3.044005803297)); #474291=CARTESIAN_POINT('Ctrl Pts',(-12.68894407259,-7.999482969599,2.905504803846)); #474292=CARTESIAN_POINT('Ctrl Pts',(-12.67193437332,-8.026336601441,2.836251461256)); #474293=CARTESIAN_POINT('',(-13.1136616462,-7.282298995408,4.562032224637)); #474294=CARTESIAN_POINT('Ctrl Pts',(-13.113661652461,-7.28229899888757, 4.56203222463838)); #474295=CARTESIAN_POINT('Ctrl Pts',(-13.1052138281313,-7.2975114902112, 4.53207632727213)); #474296=CARTESIAN_POINT('Ctrl Pts',(-13.0967937412154,-7.31261122036864, 4.502069408086)); #474297=CARTESIAN_POINT('Ctrl Pts',(-13.0884869989397,-7.32744894752781, 4.471909429058)); #474298=CARTESIAN_POINT('',(-13.43182570785,-6.677279247879,5.96106757174)); #474299=CARTESIAN_POINT('Ctrl Pts',(-13.4318257078436,-6.6772792478758, 5.96106757174)); #474300=CARTESIAN_POINT('Ctrl Pts',(-13.4270424010245,-6.68690121231906, 5.927692985914)); #474301=CARTESIAN_POINT('Ctrl Pts',(-13.4219392655962,-6.6971405251537, 5.89467523861719)); #474302=CARTESIAN_POINT('Ctrl Pts',(-13.4165568844044,-6.70790588541175, 5.86196361685797)); #474303=CARTESIAN_POINT('Ctrl Pts',(-13.4111745032109,-6.71867124567318, 5.82925199508846)); #474304=CARTESIAN_POINT('Ctrl Pts',(-13.4055127955784,-6.7299626130541, 5.79684649885674)); #474305=CARTESIAN_POINT('Ctrl Pts',(-13.3996513299029,-6.74161288098267, 5.7646518902887)); #474306=CARTESIAN_POINT('Ctrl Pts',(-13.3937898642274,-6.75326314891124, 5.73245728172066)); #474307=CARTESIAN_POINT('Ctrl Pts',(-13.387728619029,-6.76527230653597, 5.7004735608163)); #474308=CARTESIAN_POINT('Ctrl Pts',(-13.3815506063231,-6.77747027809149, 5.66860362224575)); #474309=CARTESIAN_POINT('Ctrl Pts',(-13.3753725936172,-6.78966824964698, 5.63673368367524)); #474310=CARTESIAN_POINT('Ctrl Pts',(-13.3690778287787,-6.80205504338654, 5.60497752743852)); #474311=CARTESIAN_POINT('Ctrl Pts',(-13.3627370543694,-6.81448885946582, 5.57325376738379)); #474312=CARTESIAN_POINT('Ctrl Pts',(-13.3500555055507,-6.83935649162441, 5.50980624727423)); #474313=CARTESIAN_POINT('Ctrl Pts',(-13.3371913537364,-6.86441294458962, 5.44648831189259)); #474314=CARTESIAN_POINT('Ctrl Pts',(-13.3238919929997,-6.89013077951923, 5.38357515926543)); #474315=CARTESIAN_POINT('Ctrl Pts',(-13.3105926322631,-6.91584861444882, 5.32066200663832)); #474316=CARTESIAN_POINT('Ctrl Pts',(-13.2968576954379,-6.94222763858454, 5.25815363676568)); #474317=CARTESIAN_POINT('Ctrl Pts',(-13.2825430596154,-6.96950858163345, 5.19619419046188)); #474318=CARTESIAN_POINT('Ctrl Pts',(-13.268228423793,-6.99678952468237, 5.13423474415803)); #474319=CARTESIAN_POINT('Ctrl Pts',(-13.2533335804406,-7.02497212144488, 5.07282422142302)); #474320=CARTESIAN_POINT('Ctrl Pts',(-13.2378482231995,-7.05403249351282, 5.01195339553667)); #474321=CARTESIAN_POINT('Ctrl Pts',(-13.2223628659583,-7.08309286558076, 4.95108256965033)); #474322=CARTESIAN_POINT('Ctrl Pts',(-13.2062865243087,-7.11303075739574, 4.89075144061267)); #474323=CARTESIAN_POINT('Ctrl Pts',(-13.1897182642395,-7.14362177820091, 4.83083748728527)); #474324=CARTESIAN_POINT('Ctrl Pts',(-13.1731500041703,-7.17421279900609, 4.77092353395788)); #474325=CARTESIAN_POINT('Ctrl Pts',(-13.1560878328443,-7.20545587397161, 4.71142675634075)); #474326=CARTESIAN_POINT('Ctrl Pts',(-13.1390903228324,-7.2363185038009, 4.65173224540216)); #474327=CARTESIAN_POINT('Ctrl Pts',(-13.1305915676602,-7.25174981901754, 4.62188498934873)); #474328=CARTESIAN_POINT('Ctrl Pts',(-13.1221095467913,-7.2670863373156, 4.591988299963)); #474329=CARTESIAN_POINT('Ctrl Pts',(-13.1136616336827,-7.28229898845685, 4.562032224637)); #474330=CARTESIAN_POINT('',(-13.48228557114,-6.574798535186,6.379033803212)); #474331=CARTESIAN_POINT('Ctrl Pts',(-13.48228557114,-6.574798535186,6.379033803212)); #474332=CARTESIAN_POINT('Ctrl Pts',(-13.47823559945,-6.583103638461,6.344136015569)); #474333=CARTESIAN_POINT('Ctrl Pts',(-13.47027180512,-6.59939543297,6.274253144127)); #474334=CARTESIAN_POINT('Ctrl Pts',(-13.45451400019,-6.631486322365,6.134370898742)); #474335=CARTESIAN_POINT('Ctrl Pts',(-13.44170200271,-6.657411843811,6.029976963073)); #474336=CARTESIAN_POINT('Ctrl Pts',(-13.43182570785,-6.677279247879,5.96106757174)); #474337=CARTESIAN_POINT('',(-13.43098363219,-6.678972875522,7.631975328504)); #474338=CARTESIAN_POINT('Ctrl Pts',(-13.43098363219,-6.678972875522,7.631975328504)); #474339=CARTESIAN_POINT('Ctrl Pts',(-13.43602307468,-6.668838881815,7.598333416916)); #474340=CARTESIAN_POINT('Ctrl Pts',(-13.4460441911,-6.648629414011,7.531031543188)); #474341=CARTESIAN_POINT('Ctrl Pts',(-13.46597350712,-6.608211740037,7.396414000844)); #474342=CARTESIAN_POINT('Ctrl Pts',(-13.48995558634,-6.559135746721,7.227754187475)); #474343=CARTESIAN_POINT('Ctrl Pts',(-13.50759620815,-6.522655533061,7.055739804685)); #474344=CARTESIAN_POINT('Ctrl Pts',(-13.51500257073,-6.50728228251,6.914632578351)); #474345=CARTESIAN_POINT('Ctrl Pts',(-13.51640422987,-6.504368865333,6.806273900835)); #474346=CARTESIAN_POINT('Ctrl Pts',(-13.51263985298,-6.512189999326,6.696210142064)); #474347=CARTESIAN_POINT('Ctrl Pts',(-13.50227907143,-6.53366704733,6.553733402118)); #474348=CARTESIAN_POINT('Ctrl Pts',(-13.49038564724,-6.558188514598,6.448829041863)); #474349=CARTESIAN_POINT('Ctrl Pts',(-13.48228557114,-6.574798535186,6.379033803212)); #474350=CARTESIAN_POINT('',(-13.3743373069902,-6.79169474220779,8.00000013143723)); #474351=CARTESIAN_POINT('Ctrl Pts',(-13.374422399024,-6.79152600617006, 8.001592064244)); #474352=CARTESIAN_POINT('Ctrl Pts',(-13.3935792725969,-6.75380074107115, 7.878304506537)); #474353=CARTESIAN_POINT('Ctrl Pts',(-13.4124773504807,-6.71618781430154, 7.755517784168)); #474354=CARTESIAN_POINT('Ctrl Pts',(-13.4309836321866,-6.6789728755203, 7.631975328504)); #474355=CARTESIAN_POINT('',(-11.3127658881178,9.84993031269525,8.00000000805244)); #474356=CARTESIAN_POINT('Origin',(0.,0.,8.)); #474357=CARTESIAN_POINT('',(-11.8743814923,9.16510033635,7.464518482169)); #474358=CARTESIAN_POINT('Ctrl Pts',(-11.874381492299,9.16510033634919,7.464518482169)); #474359=CARTESIAN_POINT('Ctrl Pts',(-11.8146611722376,9.24247448799254, 7.535081154827)); #474360=CARTESIAN_POINT('Ctrl Pts',(-11.7539653982813,9.31955043268342, 7.60208520027149)); #474361=CARTESIAN_POINT('Ctrl Pts',(-11.6921968275329,9.39641066291957, 7.66584589321173)); #474362=CARTESIAN_POINT('Ctrl Pts',(-11.6304282567845,9.47327089315574, 7.72960658615199)); #474363=CARTESIAN_POINT('Ctrl Pts',(-11.5675840759139,9.54991284831851, 7.790123926588)); #474364=CARTESIAN_POINT('Ctrl Pts',(-11.5039368187069,9.62597723201129, 7.84645208347676)); #474365=CARTESIAN_POINT('Ctrl Pts',(-11.461206127361,9.6770443768439,7.88426896972947)); #474366=CARTESIAN_POINT('Ctrl Pts',(-11.4181108530874,9.72784944218614, 7.92019764794509)); #474367=CARTESIAN_POINT('Ctrl Pts',(-11.3753159015399,9.77763714504553, 7.95385172884773)); #474368=CARTESIAN_POINT('Ctrl Pts',(-11.3543678804717,9.80200810028013, 7.97032531674263)); #474369=CARTESIAN_POINT('Ctrl Pts',(-11.3334924324559,9.82613581480799, 7.98625389341162)); #474370=CARTESIAN_POINT('Ctrl Pts',(-11.3127709374595,9.84993470620859, 8.001592140258)); #474371=CARTESIAN_POINT('',(-12.26547489002,8.634704726983,6.927777088907)); #474372=CARTESIAN_POINT('Ctrl Pts',(-12.2654748900229,8.63470472698504, 6.927777088907)); #474373=CARTESIAN_POINT('Ctrl Pts',(-12.2353947797518,8.67743309453033, 6.976129996089)); #474374=CARTESIAN_POINT('Ctrl Pts',(-12.2047205910616,8.72053176173093, 7.02357469848155)); #474375=CARTESIAN_POINT('Ctrl Pts',(-12.1733711948693,8.76407632052153, 7.07000903857818)); #474376=CARTESIAN_POINT('Ctrl Pts',(-12.1420217990008,8.80762087886231, 7.11644337819514)); #474377=CARTESIAN_POINT('Ctrl Pts',(-12.1099963145485,8.85161067659655, 7.16186735553705)); #474378=CARTESIAN_POINT('Ctrl Pts',(-12.0773926218878,8.89587474376582, 7.2065213542907)); #474379=CARTESIAN_POINT('Ctrl Pts',(-12.0610907755574,8.91800677735044, 7.22884835366751)); #474380=CARTESIAN_POINT('Ctrl Pts',(-12.0446445148497,8.94020748334791, 7.25098285839725)); #474381=CARTESIAN_POINT('Ctrl Pts',(-12.0280502436329,8.96247774539176, 7.27292398433081)); #474382=CARTESIAN_POINT('Ctrl Pts',(-12.0114559724161,8.9847480074356,7.29486511026436)); #474383=CARTESIAN_POINT('Ctrl Pts',(-11.9947137438655,9.00708786289144, 7.31661285740173)); #474384=CARTESIAN_POINT('Ctrl Pts',(-11.9777988917423,9.02952566356486, 7.33811220862687)); #474385=CARTESIAN_POINT('Ctrl Pts',(-11.9439691896913,9.07440126199968, 7.38111090828692)); #474386=CARTESIAN_POINT('Ctrl Pts',(-11.9094479854709,9.11966789128693, 7.423116024427)); #474387=CARTESIAN_POINT('Ctrl Pts',(-11.874381492299,9.16510033634919,7.464518482169)); #474388=CARTESIAN_POINT('',(-12.41516609731,8.418055047106,6.666807360041)); #474389=CARTESIAN_POINT('Ctrl Pts',(-12.41516609731,8.418055047106,6.666807360041)); #474390=CARTESIAN_POINT('Ctrl Pts',(-12.36758164712,8.488233824021,6.757124831027)); #474391=CARTESIAN_POINT('Ctrl Pts',(-12.31763769202,8.560608216793,6.843940684237)); #474392=CARTESIAN_POINT('Ctrl Pts',(-12.26547489002,8.634704726983,6.927777088907)); #474393=CARTESIAN_POINT('',(-12.64433548812,8.069744733508,6.161281822435)); #474394=CARTESIAN_POINT('Ctrl Pts',(-12.64433548812,8.069744733508,6.161281822435)); #474395=CARTESIAN_POINT('Ctrl Pts',(-12.6096700143,8.124061407538,6.250137232317)); #474396=CARTESIAN_POINT('Ctrl Pts',(-12.53701317949,8.236367454886,6.423396397109)); #474397=CARTESIAN_POINT('Ctrl Pts',(-12.45707484431,8.356246967189,6.587262849231)); #474398=CARTESIAN_POINT('Ctrl Pts',(-12.41516609731,8.418055047106,6.666807360041)); #474399=CARTESIAN_POINT('',(-12.85366765512,7.731961446592,5.543265708844)); #474400=CARTESIAN_POINT('Ctrl Pts',(-12.85366765512,7.731961446592,5.543265708844)); #474401=CARTESIAN_POINT('Ctrl Pts',(-12.821487641,7.785456162977,5.648583640166)); #474402=CARTESIAN_POINT('Ctrl Pts',(-12.77220595123,7.866279978226,5.805945801796)); #474403=CARTESIAN_POINT('Ctrl Pts',(-12.70120891362,7.980151095652,6.010866033926)); #474404=CARTESIAN_POINT('Ctrl Pts',(-12.66373196242,8.039353676504,6.111572126553)); #474405=CARTESIAN_POINT('Ctrl Pts',(-12.64433548812,8.069744733508,6.161281822435)); #474406=CARTESIAN_POINT('',(-13.35574558502,6.828181300235,3.592568214081)); #474407=CARTESIAN_POINT('Ctrl Pts',(-13.3557455850004,6.82818130022498, 3.592568214081)); #474408=CARTESIAN_POINT('Ctrl Pts',(-13.3437056066292,6.8517311857516,3.64816223905)); #474409=CARTESIAN_POINT('Ctrl Pts',(-13.3314628348564,6.87552350342776, 3.70356527718618)); #474410=CARTESIAN_POINT('Ctrl Pts',(-13.3190800039213,6.89942808130823, 3.7588660729094)); #474411=CARTESIAN_POINT('Ctrl Pts',(-13.3066971428464,6.9233327173723,3.81416700323441)); #474412=CARTESIAN_POINT('Ctrl Pts',(-13.2941740739861,6.94734953799087, 3.86936569064876)); #474413=CARTESIAN_POINT('Ctrl Pts',(-13.2816179347642,6.97127140735108, 3.92460477975018)); #474414=CARTESIAN_POINT('Ctrl Pts',(-13.2753398651532,6.98323234203121, 3.95222432430096)); #474415=CARTESIAN_POINT('Ctrl Pts',(-13.2690535910149,6.99516957202016, 3.97985396927351)); #474416=CARTESIAN_POINT('Ctrl Pts',(-13.2627768568712,7.00705002442844, 4.00751673411235)); #474417=CARTESIAN_POINT('Ctrl Pts',(-13.2565001227275,7.01893047683669, 4.03517949895112)); #474418=CARTESIAN_POINT('Ctrl Pts',(-13.250232969802,7.03075417363706,4.06287538365617)); #474419=CARTESIAN_POINT('Ctrl Pts',(-13.2439689553328,7.04253408299753, 4.09059552605795)); #474420=CARTESIAN_POINT('Ctrl Pts',(-13.2314409263945,7.06609390171834, 4.14603581086125)); #474421=CARTESIAN_POINT('Ctrl Pts',(-13.2189264128494,7.08947908211785, 4.2015731264515)); #474422=CARTESIAN_POINT('Ctrl Pts',(-13.2061211167141,7.11325277567717, 4.2568151615939)); #474423=CARTESIAN_POINT('Ctrl Pts',(-13.1933158205786,7.13702646923661, 4.31205719673658)); #474424=CARTESIAN_POINT('Ctrl Pts',(-13.180219449459,7.16118851451766,4.36700395143142)); #474425=CARTESIAN_POINT('Ctrl Pts',(-13.166621214912,7.18610365796574,4.42139713182905)); #474426=CARTESIAN_POINT('Ctrl Pts',(-13.1530229803652,7.21101880141371, 4.47579031222642)); #474427=CARTESIAN_POINT('Ctrl Pts',(-13.1389213636025,7.23668621447716, 4.52962991832658)); #474428=CARTESIAN_POINT('Ctrl Pts',(-13.1245422880044,7.26267097770408, 4.58321615909238)); #474429=CARTESIAN_POINT('Ctrl Pts',(-13.1101632124061,7.28865574093114, 4.63680239985845)); #474430=CARTESIAN_POINT('Ctrl Pts',(-13.0955065333916,7.31495777816293, 4.69013527529015)); #474431=CARTESIAN_POINT('Ctrl Pts',(-13.0808352478808,7.34110000053121, 4.74354874122205)); #474432=CARTESIAN_POINT('Ctrl Pts',(-13.0734996051254,7.35417111171534, 4.770255474188)); #474433=CARTESIAN_POINT('Ctrl Pts',(-13.0661605023039,7.36720237663846, 4.796982354779)); #474434=CARTESIAN_POINT('Ctrl Pts',(-13.0588440932309,7.38014843676524, 4.82376148430203)); #474435=CARTESIAN_POINT('Ctrl Pts',(-13.0515276841579,7.39309449689202, 4.85054061382506)); #474436=CARTESIAN_POINT('Ctrl Pts',(-13.0442340612831,7.40595540432652, 4.87737199228013)); #474437=CARTESIAN_POINT('Ctrl Pts',(-13.0369366838226,7.41877900344795, 4.90422207900405)); #474438=CARTESIAN_POINT('Ctrl Pts',(-13.0223419289017,7.44442620169068, 4.95792225245164)); #474439=CARTESIAN_POINT('Ctrl Pts',(-13.0077325185356,7.46992437364546, 5.01169725897466)); #474440=CARTESIAN_POINT('Ctrl Pts',(-12.9929475267936,7.49555298600366, 5.06534938528996)); #474441=CARTESIAN_POINT('Ctrl Pts',(-12.9781625350514,7.52118159836202, 5.11900151160559)); #474442=CARTESIAN_POINT('Ctrl Pts',(-12.9632017716238,7.54694053707525, 5.17253075771349)); #474443=CARTESIAN_POINT('Ctrl Pts',(-12.9479581187427,7.57300340388699, 5.22581213736146)); #474444=CARTESIAN_POINT('Ctrl Pts',(-12.9174708129813,7.62512913750935, 5.33237489665509)); #474445=CARTESIAN_POINT('Ctrl Pts',(-12.8858463717931,7.67846731932909, 5.437946190109)); #474446=CARTESIAN_POINT('Ctrl Pts',(-12.8536676551239,7.73196144659435, 5.543265708844)); #474447=CARTESIAN_POINT('',(-13.79710462668,5.885567425545,0.8286355218909)); #474448=CARTESIAN_POINT('Ctrl Pts',(-13.7971046266741,5.8855674255425,0.8286355218909)); #474449=CARTESIAN_POINT('Ctrl Pts',(-13.7936510373832,5.89366342195877, 0.858270575331)); #474450=CARTESIAN_POINT('Ctrl Pts',(-13.7905481277556,5.90091946377241, 0.888199014587429)); #474451=CARTESIAN_POINT('Ctrl Pts',(-13.7872955992786,5.90850912313023, 0.918028188415551)); #474452=CARTESIAN_POINT('Ctrl Pts',(-13.7840430708014,5.91609878248849, 0.947857362245411)); #474453=CARTESIAN_POINT('Ctrl Pts',(-13.7806400420859,5.92402168216829, 0.977587270646954)); #474454=CARTESIAN_POINT('Ctrl Pts',(-13.7772644857631,5.93186170517583, 1.00734502703923)); #474455=CARTESIAN_POINT('Ctrl Pts',(-13.7705133731174,5.94754175119091, 1.06686053982376)); #474456=CARTESIAN_POINT('Ctrl Pts',(-13.7638744065698,5.96289126258819, 1.12648744457123)); #474457=CARTESIAN_POINT('Ctrl Pts',(-13.7568461975022,5.97906202495582, 1.18575690623404)); #474458=CARTESIAN_POINT('Ctrl Pts',(-13.7533320929684,5.98714740613964, 1.21539163706547)); #474459=CARTESIAN_POINT('Ctrl Pts',(-13.7497203778769,5.99543796922091, 1.24493700712574)); #474460=CARTESIAN_POINT('Ctrl Pts',(-13.7459888267977,6.0039812769155,1.27436645552975)); #474461=CARTESIAN_POINT('Ctrl Pts',(-13.7422572757185,6.0125245846101,1.30379590393377)); #474462=CARTESIAN_POINT('Ctrl Pts',(-13.7384058305053,6.02132061166667, 1.33310943068155)); #474463=CARTESIAN_POINT('Ctrl Pts',(-13.7344530744944,6.03032327031562, 1.36232637129907)); #474464=CARTESIAN_POINT('Ctrl Pts',(-13.7265475624728,6.04832858761351, 1.42076025253402)); #474465=CARTESIAN_POINT('Ctrl Pts',(-13.718236060847,6.06716010385487,1.47880778924798)); #474466=CARTESIAN_POINT('Ctrl Pts',(-13.7097994382001,6.08616458570826, 1.5367635408246)); #474467=CARTESIAN_POINT('Ctrl Pts',(-13.7055811268766,6.09566682663497, 1.56574141661295)); #474468=CARTESIAN_POINT('Ctrl Pts',(-13.7013316878829,6.10521237684338, 1.59469634611698)); #474469=CARTESIAN_POINT('Ctrl Pts',(-13.697091611692,6.11471024504969,1.62366882432186)); #474470=CARTESIAN_POINT('Ctrl Pts',(-13.6928515355011,6.12420811325601, 1.65264130252675)); #474471=CARTESIAN_POINT('Ctrl Pts',(-13.6886208469294,6.13365831054009, 1.6816313294325)); #474472=CARTESIAN_POINT('Ctrl Pts',(-13.6844251461834,6.14300483627554, 1.71066705207106)); #474473=CARTESIAN_POINT('Ctrl Pts',(-13.6760337446912,6.16169788774643, 1.76873849734818)); #474474=CARTESIAN_POINT('Ctrl Pts',(-13.6677830698942,6.17997660133168, 1.82699272555655)); #474475=CARTESIAN_POINT('Ctrl Pts',(-13.6594688352919,6.19829905197291, 1.88522325972759)); #474476=CARTESIAN_POINT('Ctrl Pts',(-13.6511546006896,6.21662150261418, 1.94345379389873)); #474477=CARTESIAN_POINT('Ctrl Pts',(-13.6427767569478,6.23498766650742, 2.00166063403255)); #474478=CARTESIAN_POINT('Ctrl Pts',(-13.6341429602572,6.25381049755018, 2.05965383574552)); #474479=CARTESIAN_POINT('Ctrl Pts',(-13.6255091635667,6.27263332859282, 2.11764703745812)); #474480=CARTESIAN_POINT('Ctrl Pts',(-13.6166187962096,6.29191254322503, 2.17542660074988)); #474481=CARTESIAN_POINT('Ctrl Pts',(-13.6074879896969,6.31159810271963, 2.2330094630288)); #474482=CARTESIAN_POINT('Ctrl Pts',(-13.5983571831842,6.33128366221436, 2.29059232530809)); #474483=CARTESIAN_POINT('Ctrl Pts',(-13.5889856641784,6.35137544099473, 2.34797848657454)); #474484=CARTESIAN_POINT('Ctrl Pts',(-13.5794743356471,6.37164631531765, 2.40527158080094)); #474485=CARTESIAN_POINT('Ctrl Pts',(-13.5699630071159,6.39191718964057, 2.46256467502734)); #474486=CARTESIAN_POINT('Ctrl Pts',(-13.5603121179894,6.41236727626124, 2.51976470221368)); #474487=CARTESIAN_POINT('Ctrl Pts',(-13.5505436130502,6.43294394432467, 2.57689640188963)); #474488=CARTESIAN_POINT('Ctrl Pts',(-13.5310066031719,6.4740972804514,2.69115980124115)); #474489=CARTESIAN_POINT('Ctrl Pts',(-13.5110004158742,6.51575757003962, 2.80514989055107)); #474490=CARTESIAN_POINT('Ctrl Pts',(-13.4903587535273,6.55821779915322, 2.9187397230887)); #474491=CARTESIAN_POINT('Ctrl Pts',(-13.4697170911804,6.60067802826683, 3.03232955562633)); #474492=CARTESIAN_POINT('Ctrl Pts',(-13.4484425914512,6.64393943224314, 3.14551913139166)); #474493=CARTESIAN_POINT('Ctrl Pts',(-13.4259711454563,6.68904319027585, 3.25777777360151)); #474494=CARTESIAN_POINT('Ctrl Pts',(-13.4054961450571,6.73013976518844, 3.3600629467309)); #474495=CARTESIAN_POINT('Ctrl Pts',(-13.384020507627,6.77276245262296,3.46157525335908)); #474496=CARTESIAN_POINT('Ctrl Pts',(-13.3621528660804,6.81563429062175, 3.56292507728299)); #474497=CARTESIAN_POINT('Ctrl Pts',(-13.360020599715,6.81981463069148,3.57280747910632)); #474498=CARTESIAN_POINT('Ctrl Pts',(-13.357884643206,6.82399735783553,3.58268833608021)); #474499=CARTESIAN_POINT('Ctrl Pts',(-13.3557455850004,6.82818130022498, 3.592568214081)); #474500=CARTESIAN_POINT('',(-13.8795836617742,5.68833522952446,0.0247088280181427)); #474501=CARTESIAN_POINT('Ctrl Pts',(-13.8795836482169,5.68833523556324, 0.0247088253707273)); #474502=CARTESIAN_POINT('Ctrl Pts',(-13.8790492432995,5.68963918784476, 0.0304198068122995)); #474503=CARTESIAN_POINT('Ctrl Pts',(-13.8784318216825,5.69114510315307, 0.0360717517476554)); #474504=CARTESIAN_POINT('Ctrl Pts',(-13.8778421535362,5.69258264424287, 0.0417437386583042)); #474505=CARTESIAN_POINT('Ctrl Pts',(-13.8757480746921,5.69768776040383, 0.0618865730078222)); #474506=CARTESIAN_POINT('Ctrl Pts',(-13.8740047096326,5.70193085333998, 0.0822821690441156)); #474507=CARTESIAN_POINT('Ctrl Pts',(-13.8722147520004,5.70628231639318, 0.102646617091266)); #474508=CARTESIAN_POINT('Ctrl Pts',(-13.868634836736,5.71498524249957,0.143375513185564)); #474509=CARTESIAN_POINT('Ctrl Pts',(-13.8648669167598,5.72412097618958, 0.183979817323288)); #474510=CARTESIAN_POINT('Ctrl Pts',(-13.8611304416487,5.73315470570958, 0.224611235804955)); #474511=CARTESIAN_POINT('Ctrl Pts',(-13.8573939665375,5.74218843522959, 0.265242654286609)); #474512=CARTESIAN_POINT('Ctrl Pts',(-13.853689537278,5.75112041066325,0.305901187112205)); #474513=CARTESIAN_POINT('Ctrl Pts',(-13.8498536651437,5.76034317155726, 0.346467856080843)); #474514=CARTESIAN_POINT('Ctrl Pts',(-13.8460177930093,5.76956593245127, 0.387034525049481)); #474515=CARTESIAN_POINT('Ctrl Pts',(-13.842050322217,5.77907941271778,0.42750933016116)); #474516=CARTESIAN_POINT('Ctrl Pts',(-13.8378940178862,5.78901452319381, 0.467849670981187)); #474517=CARTESIAN_POINT('Ctrl Pts',(-13.8358158657208,5.79398207843182, 0.4880198413912)); #474518=CARTESIAN_POINT('Ctrl Pts',(-13.8336904762145,5.79905502927583, 0.5081563957283)); #474519=CARTESIAN_POINT('Ctrl Pts',(-13.8315213462934,5.80422408656224, 0.528262483251009)); #474520=CARTESIAN_POINT('Ctrl Pts',(-13.8293522163723,5.80939314384865, 0.548368570773718)); #474521=CARTESIAN_POINT('Ctrl Pts',(-13.8271393423058,5.81465830588634, 0.568444191482037)); #474522=CARTESIAN_POINT('Ctrl Pts',(-13.8248900875694,5.8200012084729,0.588494894043435)); #474523=CARTESIAN_POINT('Ctrl Pts',(-13.8203915780965,5.83068701364602, 0.628596299166257)); #474524=CARTESIAN_POINT('Ctrl Pts',(-13.8157473226045,5.84168368826903, 0.668598031701396)); #474525=CARTESIAN_POINT('Ctrl Pts',(-13.8110913384434,5.85267084681643, 0.708603101940724)); #474526=CARTESIAN_POINT('Ctrl Pts',(-13.8064353542814,5.86365800536588, 0.748608172187518)); #474527=CARTESIAN_POINT('Ctrl Pts',(-13.8017678638456,5.8746357402007,0.7886165801385)); #474528=CARTESIAN_POINT('Ctrl Pts',(-13.7971046266741,5.8855674255425,0.8286355218909)); #474529=CARTESIAN_POINT('',(-12.1455230326533,8.80262865092612,0.0247088462321248)); #474530=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346698)); #474531=CARTESIAN_POINT('',(-11.7735203518717,9.29431209037592,1.96513794857282)); #474532=CARTESIAN_POINT('Ctrl Pts',(-11.7735204494,9.294312168136,1.965137948337)); #474533=CARTESIAN_POINT('Ctrl Pts',(-11.78754800345,9.276541339201,1.906665152352)); #474534=CARTESIAN_POINT('Ctrl Pts',(-11.81501622508,9.241577981257,1.78945840167)); #474535=CARTESIAN_POINT('Ctrl Pts',(-11.8532179483,9.192523923811,1.611961997534)); #474536=CARTESIAN_POINT('Ctrl Pts',(-11.8911482223,9.143405194703,1.434440417226)); #474537=CARTESIAN_POINT('Ctrl Pts',(-11.92770494214,9.095658214671,1.256224934473)); #474538=CARTESIAN_POINT('Ctrl Pts',(-11.96228187933,9.050130572558,1.076945436969)); #474539=CARTESIAN_POINT('Ctrl Pts',(-11.99584805938,9.005590853564,0.8971609205231)); #474540=CARTESIAN_POINT('Ctrl Pts',(-12.04050737085,8.945871681581,0.6575217394837)); #474541=CARTESIAN_POINT('Ctrl Pts',(-12.07825801946,8.894786179219,0.4472163396746)); #474542=CARTESIAN_POINT('Ctrl Pts',(-12.1082914146,8.853783780395,0.2656538898417)); #474543=CARTESIAN_POINT('Ctrl Pts',(-12.1258281784056,8.82975861083675, 0.155146032060742)); #474544=CARTESIAN_POINT('Ctrl Pts',(-12.13801416158,8.81298922123948,0.0747002215215943)); #474545=CARTESIAN_POINT('Ctrl Pts',(-12.1455230460338,8.80262862020352, 0.0247088546091141)); #474546=CARTESIAN_POINT('',(-11.54934279974,9.571451347388,2.836251461257)); #474547=CARTESIAN_POINT('Ctrl Pts',(-11.5493427997061,9.57145134735989, 2.836251461257)); #474548=CARTESIAN_POINT('Ctrl Pts',(-11.5761053705446,9.53915842817782, 2.745297159611)); #474549=CARTESIAN_POINT('Ctrl Pts',(-11.6022082485646,9.50738145028401, 2.65238288771101)); #474550=CARTESIAN_POINT('Ctrl Pts',(-11.6269880033207,9.47698000265046, 2.55711421377536)); #474551=CARTESIAN_POINT('Ctrl Pts',(-11.6480252042456,9.45117016843014, 2.47623422706198)); #474552=CARTESIAN_POINT('Ctrl Pts',(-11.6681136570957,9.42635575818234, 2.39365731582276)); #474553=CARTESIAN_POINT('Ctrl Pts',(-11.6885108537695,9.40099537396506, 2.31010752581074)); #474554=CARTESIAN_POINT('Ctrl Pts',(-11.6921395497557,9.39648371847192, 2.29524387603997)); #474555=CARTESIAN_POINT('Ctrl Pts',(-11.6957779142951,9.39195470005669, 2.28034943561407)); #474556=CARTESIAN_POINT('Ctrl Pts',(-11.6994328934487,9.38739953190928, 2.26542828121014)); #474557=CARTESIAN_POINT('Ctrl Pts',(-11.723632809108,9.35723939135909,2.16663409855199)); #474558=CARTESIAN_POINT('Ctrl Pts',(-11.7485587420548,9.32593107020896, 2.066668809207)); #474559=CARTESIAN_POINT('Ctrl Pts',(-11.7735198620008,9.29431170442832, 1.965137948337)); #474560=CARTESIAN_POINT('',(-11.0133829827,10.18358459858,4.47190942906)); #474561=CARTESIAN_POINT('Ctrl Pts',(-11.0133829827,10.18358459858,4.47190942906)); #474562=CARTESIAN_POINT('Ctrl Pts',(-11.06417588022,10.12865084692,4.339215197588)); #474563=CARTESIAN_POINT('Ctrl Pts',(-11.12413279604,10.06281245216,4.17106142388)); #474564=CARTESIAN_POINT('Ctrl Pts',(-11.19487673072,9.983771137053,3.968864789435)); #474565=CARTESIAN_POINT('Ctrl Pts',(-11.24234734572,9.930352666147,3.834458023999)); #474566=CARTESIAN_POINT('Ctrl Pts',(-11.28987984007,9.876271017422,3.700323115975)); #474567=CARTESIAN_POINT('Ctrl Pts',(-11.33444823233,9.825024438474,3.56406422971)); #474568=CARTESIAN_POINT('Ctrl Pts',(-11.36616310876,9.788314505789,3.460618582806)); #474569=CARTESIAN_POINT('Ctrl Pts',(-11.39694219543,9.752458532674,3.356524341721)); #474570=CARTESIAN_POINT('Ctrl Pts',(-11.43749035761,9.704923165556,3.217465018544)); #474571=CARTESIAN_POINT('Ctrl Pts',(-11.48836603528,9.644711342014,3.044005803294)); #474572=CARTESIAN_POINT('Ctrl Pts',(-11.52905976433,9.595926761323,2.905504803847)); #474573=CARTESIAN_POINT('Ctrl Pts',(-11.54934279974,9.571451347388,2.836251461257)); #474574=CARTESIAN_POINT('',(-10.9782222203508,10.2214792134085,4.56203222463892)); #474575=CARTESIAN_POINT('Ctrl Pts',(-10.9782222255922,10.2214792182876, 4.56203222463938)); #474576=CARTESIAN_POINT('Ctrl Pts',(-10.9900796433103,10.2087439415644, 4.53207632727213)); #474577=CARTESIAN_POINT('Ctrl Pts',(-11.0018383901254,10.1960698898007, 4.502069408089)); #474578=CARTESIAN_POINT('Ctrl Pts',(-11.0133829826993,10.1835845985794, 4.47190942906)); #474579=CARTESIAN_POINT('',(-10.50113234902,10.71103260141,5.961067571742)); #474580=CARTESIAN_POINT('Ctrl Pts',(-10.5011323490176,10.7110326014076, 5.961067571742)); #474581=CARTESIAN_POINT('Ctrl Pts',(-10.5088052579087,10.7035100557515, 5.927692985915)); #474582=CARTESIAN_POINT('Ctrl Pts',(-10.51696646753,10.6954925638728,5.89467523861792)); #474583=CARTESIAN_POINT('Ctrl Pts',(-10.5255416862948,10.6870469358972, 5.86196361685773)); #474584=CARTESIAN_POINT('Ctrl Pts',(-10.534116905062,10.6786013079193,5.82925199508877)); #474585=CARTESIAN_POINT('Ctrl Pts',(-10.5431060697114,10.6697274795721, 5.79684649885687)); #474586=CARTESIAN_POINT('Ctrl Pts',(-10.5523748404354,10.6605527636678, 5.76465189028873)); #474587=CARTESIAN_POINT('Ctrl Pts',(-10.5616436111594,10.6513780477635, 5.73245728172064)); #474588=CARTESIAN_POINT('Ctrl Pts',(-10.571191971,10.6419024272268,5.70047356081632)); #474589=CARTESIAN_POINT('Ctrl Pts',(-10.5808838204163,10.6322574074771, 5.66860362224566)); #474590=CARTESIAN_POINT('Ctrl Pts',(-10.5905756698326,10.6226123877275, 5.636733683675)); #474591=CARTESIAN_POINT('Ctrl Pts',(-10.600411021425,10.6127979808368,5.604977527438)); #474592=CARTESIAN_POINT('Ctrl Pts',(-10.6102768761803,10.6029252855423, 5.57325376738314)); #474593=CARTESIAN_POINT('Ctrl Pts',(-10.630008585691,10.5831798949534,5.50980624727341)); #474594=CARTESIAN_POINT('Ctrl Pts',(-10.6498634470297,10.5632024897589, 5.44648831189223)); #474595=CARTESIAN_POINT('Ctrl Pts',(-10.6702128330431,10.5426067980155, 5.38357515926533)); #474596=CARTESIAN_POINT('Ctrl Pts',(-10.6905622190564,10.5220111062721, 5.32066200663838)); #474597=CARTESIAN_POINT('Ctrl Pts',(-10.7114058329597,10.5007968383494, 5.25815363676571)); #474598=CARTESIAN_POINT('Ctrl Pts',(-10.7329280858793,10.4787525356477, 5.19619419046168)); #474599=CARTESIAN_POINT('Ctrl Pts',(-10.7544503387988,10.4567082329461, 5.13423474415759)); #474600=CARTESIAN_POINT('Ctrl Pts',(-10.7766508213695,10.4338334937735, 5.07282422142213)); #474601=CARTESIAN_POINT('Ctrl Pts',(-10.7995036390381,10.4101258950314, 5.01195339553584)); #474602=CARTESIAN_POINT('Ctrl Pts',(-10.8223564567065,10.3864182962894, 4.95108256964986)); #474603=CARTESIAN_POINT('Ctrl Pts',(-10.8458612210238,10.3618774694593, 4.89075144061305)); #474604=CARTESIAN_POINT('Ctrl Pts',(-10.8698351367719,10.3366669724529, 4.83083748728571)); #474605=CARTESIAN_POINT('Ctrl Pts',(-10.8938090525201,10.3114564754465, 4.77092353395816)); #474606=CARTESIAN_POINT('Ctrl Pts',(-10.9182504816546,10.2855747451037, 4.71142675634009)); #474607=CARTESIAN_POINT('Ctrl Pts',(-10.9423500674121,10.2598720753334, 4.65173224540245)); #474608=CARTESIAN_POINT('Ctrl Pts',(-10.9543998605266,10.2470207401968, 4.62188498934964)); #474609=CARTESIAN_POINT('Ctrl Pts',(-10.9663646675991,10.2342146141863, 4.591988299965)); #474610=CARTESIAN_POINT('Ctrl Pts',(-10.9782222098705,10.2214792036528, 4.562032224638)); #474611=CARTESIAN_POINT('',(-10.41926035471,10.79069106503,6.379033803211)); #474612=CARTESIAN_POINT('Ctrl Pts',(-10.41926035471,10.79069106503,6.379033803211)); #474613=CARTESIAN_POINT('Ctrl Pts',(-10.42590746722,10.784272895,6.344136015568)); #474614=CARTESIAN_POINT('Ctrl Pts',(-10.43894093677,10.77166443514,6.274253144127)); #474615=CARTESIAN_POINT('Ctrl Pts',(-10.46459175672,10.74676124188,6.134370898743)); #474616=CARTESIAN_POINT('Ctrl Pts',(-10.48528926787,10.72656488148,6.029976963073)); #474617=CARTESIAN_POINT('Ctrl Pts',(-10.50113234902,10.71103260141,5.961067571742)); #474618=CARTESIAN_POINT('',(-10.50248286885,10.70970838022,7.631975328147)); #474619=CARTESIAN_POINT('Ctrl Pts',(-10.50248286885,10.70970838022,7.631975328147)); #474620=CARTESIAN_POINT('Ctrl Pts',(-10.49440214152,10.71763275108,7.598333416737)); #474621=CARTESIAN_POINT('Ctrl Pts',(-10.47827849073,10.73340846817,7.531031542929)); #474622=CARTESIAN_POINT('Ctrl Pts',(-10.44599749593,10.7648521221,7.39641400089)); #474623=CARTESIAN_POINT('Ctrl Pts',(-10.40673432272,10.80282575078,7.227754187457)); #474624=CARTESIAN_POINT('Ctrl Pts',(-10.37749082974,10.83087598508,7.055739804686)); #474625=CARTESIAN_POINT('Ctrl Pts',(-10.36515869153,10.84267045015,6.914632578349)); #474626=CARTESIAN_POINT('Ctrl Pts',(-10.36282100363,10.84490380263,6.806273900833)); #474627=CARTESIAN_POINT('Ctrl Pts',(-10.36909608765,10.83890680414,6.696210142063)); #474628=CARTESIAN_POINT('Ctrl Pts',(-10.38632031653,10.82241634251,6.553733402116)); #474629=CARTESIAN_POINT('Ctrl Pts',(-10.40596634757,10.80352747382,6.448829041862)); #474630=CARTESIAN_POINT('Ctrl Pts',(-10.41926035471,10.79069106503,6.379033803211)); #474631=CARTESIAN_POINT('',(-10.5920393520521,10.6211442065857,8.00000000000817)); #474632=CARTESIAN_POINT('Ctrl Pts',(-10.5920393075229,10.6211441619013, 8.001592064326)); #474633=CARTESIAN_POINT('Ctrl Pts',(-10.5620805077928,10.6510208662855, 7.87830565753)); #474634=CARTESIAN_POINT('Ctrl Pts',(-10.5321573432533,10.68060808655,7.755516627378)); #474635=CARTESIAN_POINT('Ctrl Pts',(-10.5024828688537,10.7097083802238, 7.631975328147)); #474636=CARTESIAN_POINT('',(5.87342385501173,13.802273067607,7.99986918724561)); #474637=CARTESIAN_POINT('Origin',(0.,0.,8.)); #474638=CARTESIAN_POINT('',(5.047142718576,14.12537965431,7.464518482169)); #474639=CARTESIAN_POINT('Ctrl Pts',(5.04714271857522,14.1253796543078,7.464518482169)); #474640=CARTESIAN_POINT('Ctrl Pts',(5.13918218566663,14.0924930107258,7.535079479314)); #474641=CARTESIAN_POINT('Ctrl Pts',(5.23124063771675,14.0585861299703,7.60208241029149)); #474642=CARTESIAN_POINT('Ctrl Pts',(5.32342534069226,14.0235923586674,7.6658426184259)); #474643=CARTESIAN_POINT('Ctrl Pts',(5.4156100436678,13.9885985873645,7.72960282656033)); #474644=CARTESIAN_POINT('Ctrl Pts',(5.50791944388361,13.9525144918623,7.79012031185167)); #474645=CARTESIAN_POINT('Ctrl Pts',(5.59993132403231,13.9154866665209,7.84644903741235)); #474646=CARTESIAN_POINT('Ctrl Pts',(5.66166868906021,13.8906420446569,7.88424401655934)); #474647=CARTESIAN_POINT('Ctrl Pts',(5.72327124874562,13.8653695210824,7.92015320357611)); #474648=CARTESIAN_POINT('Ctrl Pts',(5.78381523472099,13.8400679669794,7.9537909127035)); #474649=CARTESIAN_POINT('Ctrl Pts',(5.81247990519124,13.8280888959113,7.96971675293317)); #474650=CARTESIAN_POINT('Ctrl Pts',(5.84090758675789,13.816104028445,7.98513342598391)); #474651=CARTESIAN_POINT('Ctrl Pts',(5.86900185838093,13.8041594161442,8.)); #474652=CARTESIAN_POINT('',(4.421852011788,14.33343032166,6.927777088904)); #474653=CARTESIAN_POINT('Ctrl Pts',(4.42185201178765,14.3334303216589,6.927777088904)); #474654=CARTESIAN_POINT('Ctrl Pts',(4.47178436944158,14.3180262284871,6.976129996086)); #474655=CARTESIAN_POINT('Ctrl Pts',(4.5222524833165,14.3021715620524,7.02357469848023)); #474656=CARTESIAN_POINT('Ctrl Pts',(4.57335331588966,14.2858125232008,7.0700090385776)); #474657=CARTESIAN_POINT('Ctrl Pts',(4.62445414793454,14.2694534845184,7.11644337819495)); #474658=CARTESIAN_POINT('Ctrl Pts',(4.67618735067112,14.2525890339209,7.16186735553633)); #474659=CARTESIAN_POINT('Ctrl Pts',(4.72836007530237,14.2352594285558,7.20652135428979)); #474660=CARTESIAN_POINT('Ctrl Pts',(4.75444643761797,14.2265946258733,7.2288483536665)); #474661=CARTESIAN_POINT('Ctrl Pts',(4.78064273777576,14.2178136978989,7.25098285839623)); #474662=CARTESIAN_POINT('Ctrl Pts',(4.80695092742765,14.2089134975656,7.2729239843299)); #474663=CARTESIAN_POINT('Ctrl Pts',(4.83325911707959,14.2000132972324,7.2948651102636)); #474664=CARTESIAN_POINT('Ctrl Pts',(4.85967921533041,14.1909938866595,7.31661285740124)); #474665=CARTESIAN_POINT('Ctrl Pts',(4.88624580863606,14.1818405680499,7.33811220862656)); #474666=CARTESIAN_POINT('Ctrl Pts',(4.93937899179943,14.1635339320187,7.38111090828693)); #474667=CARTESIAN_POINT('Ctrl Pts',(4.99309775332457,14.1446904735223,7.423116024427)); #474668=CARTESIAN_POINT('Ctrl Pts',(5.04714271857522,14.1253796543078,7.464518482169)); #474669=CARTESIAN_POINT('',(4.169548795023,14.40884668688,6.666807360039)); #474670=CARTESIAN_POINT('Ctrl Pts',(4.169548795023,14.40884668688,6.666807360039)); #474671=CARTESIAN_POINT('Ctrl Pts',(4.250997181888,14.38527762016,6.757124831026)); #474672=CARTESIAN_POINT('Ctrl Pts',(4.335262850644,14.36014301353,6.843940684233)); #474673=CARTESIAN_POINT('Ctrl Pts',(4.421852011788,14.33343032166,6.927777088904)); #474674=CARTESIAN_POINT('',(3.767468765235,14.51916592312,6.161281822437)); #474675=CARTESIAN_POINT('Ctrl Pts',(3.767468765235,14.51916592312,6.161281822437)); #474676=CARTESIAN_POINT('Ctrl Pts',(3.829839212543,14.50298187371,6.250137232317)); #474677=CARTESIAN_POINT('Ctrl Pts',(3.959100807407,14.46858559471,6.423396397108)); #474678=CARTESIAN_POINT('Ctrl Pts',(4.097815302823,14.42960452671,6.58726284923)); #474679=CARTESIAN_POINT('Ctrl Pts',(4.169548795023,14.40884668688,6.666807360039)); #474680=CARTESIAN_POINT('',(3.381530572042,14.61387186855,5.543265708847)); #474681=CARTESIAN_POINT('Ctrl Pts',(3.381530572042,14.61387186855,5.543265708847)); #474682=CARTESIAN_POINT('Ctrl Pts',(3.44235124189,14.5997976329,5.648583640169)); #474683=CARTESIAN_POINT('Ctrl Pts',(3.534448137712,14.57790389316,5.805945801797)); #474684=CARTESIAN_POINT('Ctrl Pts',(3.664685297126,14.54556980836,6.010866033927)); #474685=CARTESIAN_POINT('Ctrl Pts',(3.732571312242,14.5282217133,6.111572126554)); #474686=CARTESIAN_POINT('Ctrl Pts',(3.767468765235,14.51916592312,6.161281822437)); #474687=CARTESIAN_POINT('',(2.366833961711,14.81209293106,3.592568214083)); #474688=CARTESIAN_POINT('Ctrl Pts',(2.36683396170749,14.8120929310381,3.592568214083)); #474689=CARTESIAN_POINT('Ctrl Pts',(2.3929517917235,14.8079195459955,3.648162239052)); #474690=CARTESIAN_POINT('Ctrl Pts',(2.41936285502786,14.8036282086202,3.70356527718654)); #474691=CARTESIAN_POINT('Ctrl Pts',(2.44592396478958,14.7992383573773,3.75886607290928)); #474692=CARTESIAN_POINT('Ctrl Pts',(2.47248513920101,14.7948484954495,3.81416700323409)); #474693=CARTESIAN_POINT('Ctrl Pts',(2.49919633404959,14.790359954928,3.86936569064938)); #474694=CARTESIAN_POINT('Ctrl Pts',(2.52582744419013,14.785810621071,3.9246047797515)); #474695=CARTESIAN_POINT('Ctrl Pts',(2.53914299926038,14.7835359541425,3.95222432430253)); #474696=CARTESIAN_POINT('Ctrl Pts',(2.55243854516831,14.7812461590923,3.97985396927526)); #474697=CARTESIAN_POINT('Ctrl Pts',(2.56567714436648,14.778947891879,4.00751673411404)); #474698=CARTESIAN_POINT('Ctrl Pts',(2.57891574356464,14.7766496246658,4.03517949895281)); #474699=CARTESIAN_POINT('Ctrl Pts',(2.59209740421166,14.7743429312853,4.06287538365763)); #474700=CARTESIAN_POINT('Ctrl Pts',(2.60523645069356,14.772025691691,4.09059552605926)); #474701=CARTESIAN_POINT('Ctrl Pts',(2.63151454365723,14.7673912125022,4.14603581086224)); #474702=CARTESIAN_POINT('Ctrl Pts',(2.65762236922641,14.7627156210063,4.20157312645245)); #474703=CARTESIAN_POINT('Ctrl Pts',(2.68418954952753,14.7578835360023,4.25681516159496)); #474704=CARTESIAN_POINT('Ctrl Pts',(2.71075672982882,14.7530514509983,4.3120571967378)); #474705=CARTESIAN_POINT('Ctrl Pts',(2.73778320167986,14.748062544516,4.36700395143293)); #474706=CARTESIAN_POINT('Ctrl Pts',(2.76568099677831,14.7428290576829,4.42139713183073)); #474707=CARTESIAN_POINT('Ctrl Pts',(2.79357879187673,14.7375955708498,4.47579031222846)); #474708=CARTESIAN_POINT('Ctrl Pts',(2.8223475915547,14.7321158031757,4.52962991832886)); #474709=CARTESIAN_POINT('Ctrl Pts',(2.85150394866862,14.7264702230618,4.5832161590949)); #474710=CARTESIAN_POINT('Ctrl Pts',(2.8806603057824,14.720824642948,4.63680239986068)); #474711=CARTESIAN_POINT('Ctrl Pts',(2.91020419257874,14.7150130893556,4.6901352752921)); #474712=CARTESIAN_POINT('Ctrl Pts',(2.93960060006477,14.7091382586506,4.7435487412238)); #474713=CARTESIAN_POINT('Ctrl Pts',(2.95429880380789,14.7062008432981,4.77025547418984)); #474714=CARTESIAN_POINT('Ctrl Pts',(2.9689601807244,14.7032478240553,4.79698235478095)); #474715=CARTESIAN_POINT('Ctrl Pts',(2.98353351031022,14.7002900581198,4.82376148430402)); #474716=CARTESIAN_POINT('Ctrl Pts',(2.998106839896,14.6973322921843,4.85054061382703)); #474717=CARTESIAN_POINT('Ctrl Pts',(3.01259214313643,14.6943698835821,4.877371992282)); #474718=CARTESIAN_POINT('Ctrl Pts',(3.02704312429286,14.691392375254,4.90422207900592)); #474719=CARTESIAN_POINT('Ctrl Pts',(3.05594508660574,14.6854373585978,4.95792225245384)); #474720=CARTESIAN_POINT('Ctrl Pts',(3.08470984527574,14.6794223521299,5.01169725897758)); #474721=CARTESIAN_POINT('Ctrl Pts',(3.11365291777203,14.6732806661513,5.06534938529327)); #474722=CARTESIAN_POINT('Ctrl Pts',(3.14259599026814,14.6671389801727,5.11900151160864)); #474723=CARTESIAN_POINT('Ctrl Pts',(3.17171732693278,14.6608703984455,5.17253075771596)); #474724=CARTESIAN_POINT('Ctrl Pts',(3.20121513404476,14.6544266918076,5.22581213736357)); #474725=CARTESIAN_POINT('Ctrl Pts',(3.26021074826784,14.6415392785321,5.33237489665719)); #474726=CARTESIAN_POINT('Ctrl Pts',(3.32071086342218,14.6279450522966,5.437946190112)); #474727=CARTESIAN_POINT('Ctrl Pts',(3.38153057204161,14.6138718685483,5.543265708847)); #474728=CARTESIAN_POINT('',(1.333967449342,14.94056661724,0.8286355218912)); #474729=CARTESIAN_POINT('Ctrl Pts',(1.33396744934173,14.940566617237,0.8286355218912)); #474730=CARTESIAN_POINT('Ctrl Pts',(1.34273441727198,14.9397838591162,0.8582705753316)); #474731=CARTESIAN_POINT('Ctrl Pts',(1.35059417493355,14.9390750569273,0.888199014587234)); #474732=CARTESIAN_POINT('Ctrl Pts',(1.35881745649809,14.9383270522477,0.918028188415442)); #474733=CARTESIAN_POINT('Ctrl Pts',(1.36704073806306,14.9375790475681,0.947857362245178)); #474734=CARTESIAN_POINT('Ctrl Pts',(1.37562745713513,14.936790885579,0.977587270647477)); #474735=CARTESIAN_POINT('Ctrl Pts',(1.38412686637296,14.9360032410878,1.00734502704026)); #474736=CARTESIAN_POINT('Ctrl Pts',(1.40112568484858,14.9344279521052,1.06686053982575)); #474737=CARTESIAN_POINT('Ctrl Pts',(1.41777549117666,14.9328571795855,1.12648744457317)); #474738=CARTESIAN_POINT('Ctrl Pts',(1.43532663614211,14.9311699959374,1.18575690623494)); #474739=CARTESIAN_POINT('Ctrl Pts',(1.44410220862487,14.9303264041134,1.21539163706593)); #474740=CARTESIAN_POINT('Ctrl Pts',(1.45310308400861,14.9294533838257,1.24493700712551)); #474741=CARTESIAN_POINT('Ctrl Pts',(1.4623813651603,14.9285444951218,1.27436645552921)); #474742=CARTESIAN_POINT('Ctrl Pts',(1.47165964631196,14.9276356064179,1.30379590393282)); #474743=CARTESIAN_POINT('Ctrl Pts',(1.48121532718431,14.9266907861943,1.33310943068055)); #474744=CARTESIAN_POINT('Ctrl Pts',(1.49099883313757,14.92571346635,1.36232637129811)); #474745=CARTESIAN_POINT('Ctrl Pts',(1.5105658450441,14.9237588266615,1.42076025253325)); #474746=CARTESIAN_POINT('Ctrl Pts',(1.53104407652816,14.9216733774286,1.47880778924775)); #474747=CARTESIAN_POINT('Ctrl Pts',(1.55172547260783,14.9195223803465,1.53676354082525)); #474748=CARTESIAN_POINT('Ctrl Pts',(1.56206617064767,14.9184468818055,1.565741416614)); #474749=CARTESIAN_POINT('Ctrl Pts',(1.57245767724148,14.9173551623949,1.5946963461185)); #474750=CARTESIAN_POINT('Ctrl Pts',(1.58280094229089,14.91625761299,1.62366882432325)); #474751=CARTESIAN_POINT('Ctrl Pts',(1.59314420734029,14.9151600635851,1.652641302528)); #474752=CARTESIAN_POINT('Ctrl Pts',(1.60343923371421,14.9140567112115,1.681631329433)); #474753=CARTESIAN_POINT('Ctrl Pts',(1.6136248507534,14.9129545979672,1.71066705207119)); #474754=CARTESIAN_POINT('Ctrl Pts',(1.6339960848318,14.9107503714785,1.76873849734758)); #474755=CARTESIAN_POINT('Ctrl Pts',(1.65392977322335,14.9085519465822,1.82699272555674)); #474756=CARTESIAN_POINT('Ctrl Pts',(1.6739246990878,14.9063065882124,1.88522325972784)); #474757=CARTESIAN_POINT('Ctrl Pts',(1.69391962495229,14.9040612298426,1.94345379389903)); #474758=CARTESIAN_POINT('Ctrl Pts',(1.71397578089587,14.9017688837237,2.00166063403215)); #474759=CARTESIAN_POINT('Ctrl Pts',(1.73454534691762,14.8993742297952,2.05965383574476)); #474760=CARTESIAN_POINT('Ctrl Pts',(1.75511491293927,14.8969795758668,2.11764703745709)); #474761=CARTESIAN_POINT('Ctrl Pts',(1.77619781024291,14.8944819390192,2.17542660074891)); #474762=CARTESIAN_POINT('Ctrl Pts',(1.79774146426178,14.8918811984139,2.23300946302804)); #474763=CARTESIAN_POINT('Ctrl Pts',(1.81928511828075,14.8892804578086,2.29059232530745)); #474764=CARTESIAN_POINT('Ctrl Pts',(1.84128949405036,14.8865763146808,2.34797848657418)); #474765=CARTESIAN_POINT('Ctrl Pts',(1.86350740332173,14.8837945483591,2.40527158080057)); #474766=CARTESIAN_POINT('Ctrl Pts',(1.88572531259314,14.8810127820374,2.46256467502705)); #474767=CARTESIAN_POINT('Ctrl Pts',(1.90815678948344,14.8781536653479,2.51976470221318)); #474768=CARTESIAN_POINT('Ctrl Pts',(1.93074499776467,14.8752218051902,2.576896401889)); #474769=CARTESIAN_POINT('Ctrl Pts',(1.97592141432696,14.8693580848749,2.69115980124018)); #474770=CARTESIAN_POINT('Ctrl Pts',(2.02172495607822,14.8632048075525,2.80514989055009)); #474771=CARTESIAN_POINT('Ctrl Pts',(2.06848565811753,14.8566943524514,2.91873972308853)); #474772=CARTESIAN_POINT('Ctrl Pts',(2.11524636015684,14.8501838973504,3.03232955562696)); #474773=CARTESIAN_POINT('Ctrl Pts',(2.16296458227588,14.8433191547812,3.14551913139393)); #474774=CARTESIAN_POINT('Ctrl Pts',(2.21280486396053,14.8358853673798,3.25777777360432)); #474775=CARTESIAN_POINT('Ctrl Pts',(2.25821715247739,14.8291120248777,3.36006294688695)); #474776=CARTESIAN_POINT('Ctrl Pts',(2.30539007410372,14.8218586147106,3.46157525366516)); #474777=CARTESIAN_POINT('Ctrl Pts',(2.35292108793644,14.814309378231,3.5629250777413)); #474778=CARTESIAN_POINT('Ctrl Pts',(2.35755573407238,14.8135732685448,3.57280747941246)); #474779=CARTESIAN_POINT('Ctrl Pts',(2.36219379076795,14.81283438697,3.58268833623426)); #474780=CARTESIAN_POINT('Ctrl Pts',(2.36683396170749,14.8120929310381,3.592568214083)); #474781=CARTESIAN_POINT('',(1.12083246456906,14.9580658887116,0.0247088307967461)); #474782=CARTESIAN_POINT('Ctrl Pts',(1.12083248537559,14.958065868946,0.0247088253124126)); #474783=CARTESIAN_POINT('Ctrl Pts',(1.1222393129731,14.9579604530332,0.0304259867960116)); #474784=CARTESIAN_POINT('Ctrl Pts',(1.12390469006689,14.9578354301073,0.0360723615375351)); #474785=CARTESIAN_POINT('Ctrl Pts',(1.12548342853832,14.9577166389822,0.0417425294696416)); #474786=CARTESIAN_POINT('Ctrl Pts',(1.13108390933418,14.957295234534,0.0618571128459725)); #474787=CARTESIAN_POINT('Ctrl Pts',(1.13559416858088,14.9569531556923,0.0822711176822884)); #474788=CARTESIAN_POINT('Ctrl Pts',(1.14025155154971,14.9565980891107,0.102645431825045)); #474789=CARTESIAN_POINT('Ctrl Pts',(1.14956631748736,14.9558879559474,0.143394060110538)); #474790=CARTESIAN_POINT('Ctrl Pts',(1.15946941663787,14.9551237574604,0.183983925621795)); #474791=CARTESIAN_POINT('Ctrl Pts',(1.1692339549086,14.9543602992134,0.224610062466636)); #474792=CARTESIAN_POINT('Ctrl Pts',(1.17899849317932,14.9535968409663,0.265236199311426)); #474793=CARTESIAN_POINT('Ctrl Pts',(1.18862453244056,14.95283489503,0.3058986074898)); #474794=CARTESIAN_POINT('Ctrl Pts',(1.19857645308755,14.9520371349895,0.346466634353416)); #474795=CARTESIAN_POINT('Ctrl Pts',(1.20852837373456,14.951239374949,0.387034661217059)); #474796=CARTESIAN_POINT('Ctrl Pts',(1.21880615822544,14.9504055981671,0.427508306765944)); #474797=CARTESIAN_POINT('Ctrl Pts',(1.22954029189344,14.949522757286,0.467848344193963)); #474798=CARTESIAN_POINT('Ctrl Pts',(1.23490735872744,14.9490813368454,0.488018362907987)); #474799=CARTESIAN_POINT('Ctrl Pts',(1.24038851064436,14.9486276214674,0.508154979591793)); #474800=CARTESIAN_POINT('Ctrl Pts',(1.2459747283117,14.9481619932488,0.528261090552475)); #474801=CARTESIAN_POINT('Ctrl Pts',(1.25156094597903,14.9476963650302,0.548367201513156)); #474802=CARTESIAN_POINT('Ctrl Pts',(1.25725222891138,14.9472188195845,0.568442806750713)); #474803=CARTESIAN_POINT('Ctrl Pts',(1.26302885130913,14.9467306833555,0.588493445190419)); #474804=CARTESIAN_POINT('Ctrl Pts',(1.27458209610462,14.9457544108975,0.628594722069805)); #474805=CARTESIAN_POINT('Ctrl Pts',(1.28647667938399,14.9447355319123,0.668596131757789)); #474806=CARTESIAN_POINT('Ctrl Pts',(1.29836359520159,14.9437027531551,0.708601592354073)); #474807=CARTESIAN_POINT('Ctrl Pts',(1.31025051102121,14.9426699743978,0.748607052957186)); #474808=CARTESIAN_POINT('Ctrl Pts',(1.32212977908718,14.9416235428008,0.7886165644686)); #474809=CARTESIAN_POINT('Ctrl Pts',(1.33396744934173,14.940566617237,0.8286355218912)); #474810=CARTESIAN_POINT('',(4.61860032434346,14.2712484311699,0.024708832621696)); #474811=CARTESIAN_POINT('Origin',(0.,0.,0.02470882346465)); #474812=CARTESIAN_POINT('',(5.20119820575324,14.0693836373053,1.9651379485456)); #474813=CARTESIAN_POINT('Ctrl Pts',(5.201198249501,14.06938375411,1.965137948338)); #474814=CARTESIAN_POINT('Ctrl Pts',(5.179962434324,14.07723326265,1.906665152477)); #474815=CARTESIAN_POINT('Ctrl Pts',(5.138222176403,14.09255281515,1.78945839414)); #474816=CARTESIAN_POINT('Ctrl Pts',(5.079764006639,14.11372627825,1.611961993166)); #474817=CARTESIAN_POINT('Ctrl Pts',(5.021328220716,14.1346215902,1.434440412433)); #474818=CARTESIAN_POINT('Ctrl Pts',(4.964621501095,14.15463446717,1.256224928753)); #474819=CARTESIAN_POINT('Ctrl Pts',(4.910637260844,14.17345027944,1.076945436478)); #474820=CARTESIAN_POINT('Ctrl Pts',(4.857905020177,14.19161006124,0.8971609010639)); #474821=CARTESIAN_POINT('Ctrl Pts',(4.78730788105,14.21562946308,0.6575218145719)); #474822=CARTESIAN_POINT('Ctrl Pts',(4.727058931827,14.23574557945,0.4472159105353)); #474823=CARTESIAN_POINT('Ctrl Pts',(4.678779692627,14.25163950632,0.265654230556)); #474824=CARTESIAN_POINT('Ctrl Pts',(4.65051817694107,14.2608915340739,0.155142282737138)); #474825=CARTESIAN_POINT('Ctrl Pts',(4.6307747261242,14.2673084849767,0.0747022532064086)); #474826=CARTESIAN_POINT('Ctrl Pts',(4.61860033869426,14.2712484162419,0.0247088279505334)); #474827=CARTESIAN_POINT('',(5.534047975351,13.9418188558,2.836251461258)); #474828=CARTESIAN_POINT('Ctrl Pts',(5.53404797533345,13.9418188557558,2.836251461258)); #474829=CARTESIAN_POINT('Ctrl Pts',(5.49506549491471,13.9572925123186,2.745297159603)); #474830=CARTESIAN_POINT('Ctrl Pts',(5.45677756010982,13.9722981983547,2.65238288769601)); #474831=CARTESIAN_POINT('Ctrl Pts',(5.42020669989675,13.9864705816154,2.55711421375703)); #474832=CARTESIAN_POINT('Ctrl Pts',(5.38915923627838,13.9985024712432,2.4762342270447)); #474833=CARTESIAN_POINT('Ctrl Pts',(5.35935165639416,14.009939650756,2.39365731580935)); #474834=CARTESIAN_POINT('Ctrl Pts',(5.32892941732006,14.0215017478593,2.31010752580338)); #474835=CARTESIAN_POINT('Ctrl Pts',(5.32351724923539,14.023558664603,2.29524387602895)); #474836=CARTESIAN_POINT('Ctrl Pts',(5.31808558028327,14.0256194112493,2.28034943559945)); #474837=CARTESIAN_POINT('Ctrl Pts',(5.31262390725978,14.027687878621,2.26542828119197)); #474838=CARTESIAN_POINT('Ctrl Pts',(5.27646172385567,14.04138337012,2.16663409853699)); #474839=CARTESIAN_POINT('Ctrl Pts',(5.2389832041298,14.0554145377732,2.066668809198)); #474840=CARTESIAN_POINT('Ctrl Pts',(5.20119799000715,14.069383052172,1.965137948338)); #474841=CARTESIAN_POINT('',(6.281841984515,13.62125035676,4.471909429058)); #474842=CARTESIAN_POINT('Ctrl Pts',(6.281841984515,13.62125035676,4.471909429058)); #474843=CARTESIAN_POINT('Ctrl Pts',(6.213901017272,13.6525818097,4.339215201235)); #474844=CARTESIAN_POINT('Ctrl Pts',(6.132756355691,13.68925933326,4.171061947295)); #474845=CARTESIAN_POINT('Ctrl Pts',(6.035724596842,13.73211499122,3.968864120778)); #474846=CARTESIAN_POINT('Ctrl Pts',(5.986615133077,13.75359658225,3.868061660305)); #474847=CARTESIAN_POINT('Ctrl Pts',(5.937202484035,13.77499762353,3.767382649916)); #474848=CARTESIAN_POINT('Ctrl Pts',(5.888496074827,13.79588828075,3.666260348891)); #474849=CARTESIAN_POINT('Ctrl Pts',(5.841617598045,13.81579993546,3.564063545323)); #474850=CARTESIAN_POINT('Ctrl Pts',(5.796902710092,13.83461900845,3.460618611388)); #474851=CARTESIAN_POINT('Ctrl Pts',(5.753290726087,13.85281143964,3.35652418195)); #474852=CARTESIAN_POINT('Ctrl Pts',(5.695551709871,13.87668584224,3.217464928646)); #474853=CARTESIAN_POINT('Ctrl Pts',(5.62256559681,13.90646494167,3.04400561641)); #474854=CARTESIAN_POINT('Ctrl Pts',(5.563593279021,13.93009186141,2.905504802976)); #474855=CARTESIAN_POINT('Ctrl Pts',(5.534047975351,13.9418188558,2.836251461258)); #474856=CARTESIAN_POINT('',(6.32874717797284,13.5995205645991,4.56203222463692)); #474857=CARTESIAN_POINT('Ctrl Pts',(6.32874718099367,13.5995205710923,4.56203222463738)); #474858=CARTESIAN_POINT('Ctrl Pts',(6.31297106949726,13.6068622285406,4.53207632727113)); #474859=CARTESIAN_POINT('Ctrl Pts',(6.2972836773863,13.6141289639408,4.502069408088)); #474860=CARTESIAN_POINT('Ctrl Pts',(6.28184198451652,13.6212503567633,4.471909429058)); #474861=CARTESIAN_POINT('',(6.9417689958,13.29706145015,5.961067571754)); #474862=CARTESIAN_POINT('Ctrl Pts',(6.94176899579822,13.2970614501466,5.961067571754)); #474863=CARTESIAN_POINT('Ctrl Pts',(6.9322435704903,13.3020342256972,5.927692985922)); #474864=CARTESIAN_POINT('Ctrl Pts',(6.92209653012442,13.307318456047,5.89467523862242)); #474865=CARTESIAN_POINT('Ctrl Pts',(6.91141437227243,13.3128641311607,5.86196361685802)); #474866=CARTESIAN_POINT('Ctrl Pts',(6.90073221441921,13.3184098062751,5.82925199508982)); #474867=CARTESIAN_POINT('Ctrl Pts',(6.88951489750236,13.324216846127,5.79684649885688)); #474868=CARTESIAN_POINT('Ctrl Pts',(6.87792501648525,13.3301968277894,5.7646518902884)); #474869=CARTESIAN_POINT('Ctrl Pts',(6.86633513546816,13.3361768094517,5.73245728171998)); #474870=CARTESIAN_POINT('Ctrl Pts',(6.85437267935166,13.34232971152,5.70047356081601)); #474871=CARTESIAN_POINT('Ctrl Pts',(6.84220477429255,13.3485667330485,5.66860362224566)); #474872=CARTESIAN_POINT('Ctrl Pts',(6.83003686923341,13.354803754577,5.63673368367527)); #474873=CARTESIAN_POINT('Ctrl Pts',(6.81766352281905,13.3611249112799,5.6049775274385)); #474874=CARTESIAN_POINT('Ctrl Pts',(6.80522531484268,13.3674570661074,5.57325376738371)); #474875=CARTESIAN_POINT('Ctrl Pts',(6.78034889888996,13.3801213757623,5.50980624727419)); #474876=CARTESIAN_POINT('Ctrl Pts',(6.75521376792706,13.3928311133098,5.44648831189262)); #474877=CARTESIAN_POINT('Ctrl Pts',(6.72933779498474,13.4058201107202,5.38357515926552)); #474878=CARTESIAN_POINT('Ctrl Pts',(6.7034618220424,13.4188091081306,5.32066200663837)); #474879=CARTESIAN_POINT('Ctrl Pts',(6.67684482337734,13.4320769936444,5.25815363676568)); #474880=CARTESIAN_POINT('Ctrl Pts',(6.64922870373651,13.4457338083649,5.19619419046168)); #474881=CARTESIAN_POINT('Ctrl Pts',(6.62161258409567,13.4593906230854,5.13423474415768)); #474882=CARTESIAN_POINT('Ctrl Pts',(6.59299708794797,13.4734358535536,5.07282422142237)); #474883=CARTESIAN_POINT('Ctrl Pts',(6.56338791264881,13.4878441238063,5.01195339553617)); #474884=CARTESIAN_POINT('Ctrl Pts',(6.53377873734961,13.502252394059,4.95108256964992)); #474885=CARTESIAN_POINT('Ctrl Pts',(6.50317565245412,13.5170232207807,4.8907514406128)); #474886=CARTESIAN_POINT('Ctrl Pts',(6.47179069760858,13.5320332975627,4.83083748728568)); #474887=CARTESIAN_POINT('Ctrl Pts',(6.44040574276322,13.5470433743448,4.77092353395887)); #474888=CARTESIAN_POINT('Ctrl Pts',(6.40823793749784,13.5622906602712,4.71142675634205)); #474889=CARTESIAN_POINT('Ctrl Pts',(6.37634606437408,13.5772681665842,4.65173224540331)); #474890=CARTESIAN_POINT('Ctrl Pts',(6.36040012749989,13.5847569198874,4.62188498934936)); #474891=CARTESIAN_POINT('Ctrl Pts',(6.34452344919209,13.5921788170492,4.591988299963)); #474892=CARTESIAN_POINT('Ctrl Pts',(6.32874717193168,13.5995205516138,4.562032224636)); #474893=CARTESIAN_POINT('',(7.042828534298,13.24381237547,6.379033803211)); #474894=CARTESIAN_POINT('Ctrl Pts',(7.042828534298,13.24381237547,6.379033803211)); #474895=CARTESIAN_POINT('Ctrl Pts',(7.034670421148,13.24815083153,6.344136015568)); #474896=CARTESIAN_POINT('Ctrl Pts',(7.018651499649,13.25665016929,6.274253144125)); #474897=CARTESIAN_POINT('Ctrl Pts',(6.987040616141,13.27335003883,6.134370898748)); #474898=CARTESIAN_POINT('Ctrl Pts',(6.961436853288,13.28679352309,6.029976963081)); #474899=CARTESIAN_POINT('Ctrl Pts',(6.9417689958,13.29706145015,5.961067571754)); #474900=CARTESIAN_POINT('',(6.940092253037,13.29793666398,7.631975328109)); #474901=CARTESIAN_POINT('Ctrl Pts',(6.940092253037,13.29793666398,7.631975328109)); #474902=CARTESIAN_POINT('Ctrl Pts',(6.950125859646,13.29270020086,7.598333416717)); #474903=CARTESIAN_POINT('Ctrl Pts',(6.970111940292,13.28224066239,7.531031542903)); #474904=CARTESIAN_POINT('Ctrl Pts',(7.009992008235,13.26125623537,7.396414000894)); #474905=CARTESIAN_POINT('Ctrl Pts',(7.058240063011,13.23564923524,7.227754187457)); #474906=CARTESIAN_POINT('Ctrl Pts',(7.093954157433,13.21650501977,7.055739804686)); #474907=CARTESIAN_POINT('Ctrl Pts',(7.108982200573,13.20842114951,6.91463257835)); #474908=CARTESIAN_POINT('Ctrl Pts',(7.111828630293,13.20688802008,6.806273900834)); #474909=CARTESIAN_POINT('Ctrl Pts',(7.104186038203,13.21100280517,6.696210142063)); #474910=CARTESIAN_POINT('Ctrl Pts',(7.08318009777,13.2222881874,6.553733402117)); #474911=CARTESIAN_POINT('Ctrl Pts',(7.059144758652,13.23513569181,6.448829041863)); #474912=CARTESIAN_POINT('Ctrl Pts',(7.042828534298,13.24381237547,6.379033803211)); #474913=CARTESIAN_POINT('',(6.82801781963816,13.3558292641722,8.00000012427055)); #474914=CARTESIAN_POINT('Ctrl Pts',(6.82818143299472,13.3557455171213,8.001592064307)); #474915=CARTESIAN_POINT('Ctrl Pts',(6.86585388186946,13.3364853181596,7.878304936285)); #474916=CARTESIAN_POINT('Ctrl Pts',(6.90324609429464,13.3171663946788,7.755517352026)); #474917=CARTESIAN_POINT('Ctrl Pts',(6.94009225303873,13.2979366639833,7.631975328109)); #474918=CARTESIAN_POINT('Origin',(0.,0.,8.)); #474919=CARTESIAN_POINT('Origin',(0.,0.,8.)); #474920=CARTESIAN_POINT('Ctrl Pts',(-12.86368336594,-7.715278205861,8.)); #474921=CARTESIAN_POINT('Ctrl Pts',(-12.92302146352,-7.616386788379,8.)); #474922=CARTESIAN_POINT('Ctrl Pts',(-12.982323012525,-7.51484644668401, 8.)); #474923=CARTESIAN_POINT('Ctrl Pts',(-13.0403113893325,-7.41283951978036, 8.)); #474924=CARTESIAN_POINT('Ctrl Pts',(-13.09829976614,-7.31083259287668,8.)); #474925=CARTESIAN_POINT('Ctrl Pts',(-13.15497497075,-7.20835908076432,8.)); #474926=CARTESIAN_POINT('Ctrl Pts',(-13.2106406810975,-7.10484277997539, 8.)); #474927=CARTESIAN_POINT('Ctrl Pts',(-13.266276685359,-7.00138172080849, 8.)); #474928=CARTESIAN_POINT('Ctrl Pts',(-13.3209042725067,-6.89687898563939, 8.)); #474929=CARTESIAN_POINT('Ctrl Pts',(-13.3743372028877,-6.79169469107003, 8.)); #474930=CARTESIAN_POINT('Origin',(0.000406574650568259,-0.0024282197620229, 8.)); #474931=CARTESIAN_POINT('Ctrl Pts',(14.941711728919,-1.32108236279682,8.)); #474932=CARTESIAN_POINT('Ctrl Pts',(14.9314326320005,-1.43710487114928, 8.)); #474933=CARTESIAN_POINT('Ctrl Pts',(14.9196799044994,-1.55435678948112, 8.)); #474934=CARTESIAN_POINT('Ctrl Pts',(14.9066429690458,-1.67077385557855, 8.)); #474935=CARTESIAN_POINT('Ctrl Pts',(14.8935349861267,-1.78782536046165, 8.)); #474936=CARTESIAN_POINT('Ctrl Pts',(14.8791287600033,-1.90403288891735, 8.)); #474937=CARTESIAN_POINT('Ctrl Pts',(14.8633719187092,-2.02000265783295, 8.)); #474938=CARTESIAN_POINT('Ctrl Pts',(14.8476230215371,-2.13591395830408, 8.)); #474939=CARTESIAN_POINT('Ctrl Pts',(14.8305248707293,-2.25158773891702, 8.)); #474940=CARTESIAN_POINT('Ctrl Pts',(14.8121223642047,-2.36664832430819, 8.)); #474941=CARTESIAN_POINT('Origin',(0.000965587476832175,0.00192090881088625, 8.)); #474942=CARTESIAN_POINT('Ctrl Pts',(-11.31276757218,9.849931776088,8.)); #474943=CARTESIAN_POINT('Ctrl Pts',(-11.2356510868,9.938455007832,8.)); #474944=CARTESIAN_POINT('Ctrl Pts',(-11.156476662,10.027244774111,8.)); #474945=CARTESIAN_POINT('Ctrl Pts',(-11.0769064434884,10.1144122653188, 8.)); #474946=CARTESIAN_POINT('Ctrl Pts',(-10.9973362249767,10.2015797565267, 8.)); #474947=CARTESIAN_POINT('Ctrl Pts',(-10.9173702127533,10.2871249726633, 8.)); #474948=CARTESIAN_POINT('Ctrl Pts',(-10.8365846478166,10.3715463327217, 8.)); #474949=CARTESIAN_POINT('Ctrl Pts',(-10.75579908288,10.45596769278,8.)); #474950=CARTESIAN_POINT('Ctrl Pts',(-10.67419396523,10.53926519676,8.)); #474951=CARTESIAN_POINT('Ctrl Pts',(-10.59203933722,10.62114419168,8.)); #474952=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #474953=CARTESIAN_POINT('',(-10.7861312145331,10.5262013269483,8.00424550443346)); #474954=CARTESIAN_POINT('Ctrl Pts',(-10.7861312145736,10.526201327296,8.004245504761)); #474955=CARTESIAN_POINT('Ctrl Pts',(-10.7498882766505,10.530427377591,8.004245504761)); #474956=CARTESIAN_POINT('Ctrl Pts',(-10.7144303436153,10.5410269745569, 8.00424550475744)); #474957=CARTESIAN_POINT('Ctrl Pts',(-10.6485864365238,10.5732088515252, 8.00424550475744)); #474958=CARTESIAN_POINT('Ctrl Pts',(-10.6180982026926,10.5950703412528, 8.004245504761)); #474959=CARTESIAN_POINT('Ctrl Pts',(-10.5920396304835,10.6211444846658, 8.004245504761)); #474960=CARTESIAN_POINT('Ctrl Pts',(-11.3127730284362,9.84993652242159, 8.004245504761)); #474961=CARTESIAN_POINT('Ctrl Pts',(-11.2220382262291,9.95400677297433, 8.004245504761)); #474962=CARTESIAN_POINT('Ctrl Pts',(-11.1349033330677,10.0624829355054, 8.00424550477886)); #474963=CARTESIAN_POINT('Ctrl Pts',(-10.9601153963663,10.2895531613819, 8.00424550477886)); #474964=CARTESIAN_POINT('Ctrl Pts',(-10.8522967458154,10.436270284065,8.004245504761)); #474965=CARTESIAN_POINT('Ctrl Pts',(-10.7861312140391,10.5262013265849, 8.004245504761)); #474966=CARTESIAN_POINT('Ctrl Pts',(-11.93814109169,9.608395104508,6.931967501201)); #474967=CARTESIAN_POINT('Ctrl Pts',(-11.91839234514,9.575171523293,6.952537129565)); #474968=CARTESIAN_POINT('Ctrl Pts',(-11.89066807305,9.520506801154,6.990551424003)); #474969=CARTESIAN_POINT('Ctrl Pts',(-11.86370995903,9.446064269179,7.051758847305)); #474970=CARTESIAN_POINT('Ctrl Pts',(-11.84891291726,9.385157763635,7.108183429104)); #474971=CARTESIAN_POINT('Ctrl Pts',(-11.84222330098,9.326845963316,7.168741326707)); #474972=CARTESIAN_POINT('Ctrl Pts',(-11.84372530929,9.271863035235,7.232670101101)); #474973=CARTESIAN_POINT('Ctrl Pts',(-11.85340000455,9.220901234219,7.299164875602)); #474974=CARTESIAN_POINT('Ctrl Pts',(-11.87444097792,9.165942350803,7.380149117016)); #474975=CARTESIAN_POINT('Ctrl Pts',(-11.89813082036,9.132151231696,7.439343076069)); #474976=CARTESIAN_POINT('Ctrl Pts',(-11.91554640783,9.114012370123,7.475226419437)); #474977=CARTESIAN_POINT('Ctrl Pts',(-11.90293007804,9.677978593711,6.973090558943)); #474978=CARTESIAN_POINT('Ctrl Pts',(-11.88179232805,9.643981875805,6.993627032552)); #474979=CARTESIAN_POINT('Ctrl Pts',(-11.85193888645,9.587980431673,7.031938846722)); #474980=CARTESIAN_POINT('Ctrl Pts',(-11.82248480831,9.511675153068,7.094273497126)); #474981=CARTESIAN_POINT('Ctrl Pts',(-11.80593285542,9.449259528456,7.152070156991)); #474982=CARTESIAN_POINT('Ctrl Pts',(-11.79786930101,9.389560420125,7.214365955805)); #474983=CARTESIAN_POINT('Ctrl Pts',(-11.79840040135,9.333369816354,7.280334643553)); #474984=CARTESIAN_POINT('Ctrl Pts',(-11.8075185124,9.281433219392,7.349101373534)); #474985=CARTESIAN_POINT('Ctrl Pts',(-11.8283910937,9.225650058437,7.432969312668)); #474986=CARTESIAN_POINT('Ctrl Pts',(-11.85240182963,9.191617219048,7.494324081794)); #474987=CARTESIAN_POINT('Ctrl Pts',(-11.8701639689,9.173482415444,7.531506444828)); #474988=CARTESIAN_POINT('Ctrl Pts',(-11.85146988921,9.777345498787,7.030676972631)); #474989=CARTESIAN_POINT('Ctrl Pts',(-11.82838015705,9.742264524428,7.051039375171)); #474990=CARTESIAN_POINT('Ctrl Pts',(-11.79552007713,9.684377044928,7.089582299423)); #474991=CARTESIAN_POINT('Ctrl Pts',(-11.76252093748,9.60543092271,7.153292320571)); #474992=CARTESIAN_POINT('Ctrl Pts',(-11.74346570942,9.540872256448,7.212873670248)); #474993=CARTESIAN_POINT('Ctrl Pts',(-11.73343366223,9.479203865854,7.277497757846)); #474994=CARTESIAN_POINT('Ctrl Pts',(-11.73256585987,9.421303966952,7.346244441941)); #474995=CARTESIAN_POINT('Ctrl Pts',(-11.74087314033,9.367997251159,7.418135227335)); #474996=CARTESIAN_POINT('Ctrl Pts',(-11.76148357846,9.31107380636,7.505990429219)); #474997=CARTESIAN_POINT('Ctrl Pts',(-11.7859348705,9.276733996164,7.570342596878)); #474998=CARTESIAN_POINT('Ctrl Pts',(-11.80417990827,9.258633086037,7.609324983384)); #474999=CARTESIAN_POINT('Ctrl Pts',(-11.78742611774,9.909065392677,7.099609998333)); #475000=CARTESIAN_POINT('Ctrl Pts',(-11.76134395066,9.872429282051,7.119371340465)); #475001=CARTESIAN_POINT('Ctrl Pts',(-11.72381288836,9.811787934028,7.157769080185)); #475002=CARTESIAN_POINT('Ctrl Pts',(-11.68520289298,9.728925671499,7.223017059491)); #475003=CARTESIAN_POINT('Ctrl Pts',(-11.66212188247,9.661167130479,7.284935100005)); #475004=CARTESIAN_POINT('Ctrl Pts',(-11.64886162099,9.596551758817,7.352805569035)); #475005=CARTESIAN_POINT('Ctrl Pts',(-11.64563111834,9.536101716398,7.425554807613)); #475006=CARTESIAN_POINT('Ctrl Pts',(-11.65248082268,9.480773324847,7.502032137124)); #475007=CARTESIAN_POINT('Ctrl Pts',(-11.67244809358,9.422214956519,7.595803563984)); #475008=CARTESIAN_POINT('Ctrl Pts',(-11.69741788537,9.387509942454,7.664630537615)); #475009=CARTESIAN_POINT('Ctrl Pts',(-11.71631394345,9.369535567856,7.706294736909)); #475010=CARTESIAN_POINT('Ctrl Pts',(-11.73305404186,10.03163485704,7.157434382746)); #475011=CARTESIAN_POINT('Ctrl Pts',(-11.70376560514,9.993426842676,7.176340830637)); #475012=CARTESIAN_POINT('Ctrl Pts',(-11.66118331539,9.929962907681,7.214265075583)); #475013=CARTESIAN_POINT('Ctrl Pts',(-11.61642618481,9.843037946723,7.280795040627)); #475014=CARTESIAN_POINT('Ctrl Pts',(-11.58888526619,9.771941231216,7.344959858934)); #475015=CARTESIAN_POINT('Ctrl Pts',(-11.57200009907,9.704244326485,7.416100862132)); #475016=CARTESIAN_POINT('Ctrl Pts',(-11.56606354496,9.641130827158,7.49297429673)); #475017=CARTESIAN_POINT('Ctrl Pts',(-11.57117738206,9.583704356466,7.574236657673)); #475018=CARTESIAN_POINT('Ctrl Pts',(-11.59025695419,9.523479796189,7.67422288471)); #475019=CARTESIAN_POINT('Ctrl Pts',(-11.61565125041,9.488452780906,7.747768983416)); #475020=CARTESIAN_POINT('Ctrl Pts',(-11.63517018718,9.470659736382,7.792257764463)); #475021=CARTESIAN_POINT('Ctrl Pts',(-11.66811886743,10.18862441081,7.225247842611)); #475022=CARTESIAN_POINT('Ctrl Pts',(-11.63435400247,10.14833702388,7.242414580993)); #475023=CARTESIAN_POINT('Ctrl Pts',(-11.58461323524,10.08105384963,7.278873643154)); #475024=CARTESIAN_POINT('Ctrl Pts',(-11.53097876432,9.988528019428,7.346313077267)); #475025=CARTESIAN_POINT('Ctrl Pts',(-11.4969030396,9.912791847355,7.413030290065)); #475026=CARTESIAN_POINT('Ctrl Pts',(-11.47462270007,9.840800236083,7.488301316377)); #475027=CARTESIAN_POINT('Ctrl Pts',(-11.46457561273,9.773985993379,7.57062684026)); #475028=CARTESIAN_POINT('Ctrl Pts',(-11.46695680111,9.713679268475,7.658368524019)); #475029=CARTESIAN_POINT('Ctrl Pts',(-11.484475121,9.651243302507,7.766879383644)); #475030=CARTESIAN_POINT('Ctrl Pts',(-11.51028001034,9.615913908359,7.846945728936)); #475031=CARTESIAN_POINT('Ctrl Pts',(-11.53057593438,9.598490956996,7.895327843594)); #475032=CARTESIAN_POINT('Ctrl Pts',(-11.5686052968,10.44430860382,7.327321095028)); #475033=CARTESIAN_POINT('Ctrl Pts',(-11.52721351538,10.4006507209,7.340103735733)); #475034=CARTESIAN_POINT('Ctrl Pts',(-11.46496524944,10.32694309931,7.371994528149)); #475035=CARTESIAN_POINT('Ctrl Pts',(-11.39534374192,10.22471513259,7.438812027467)); #475036=CARTESIAN_POINT('Ctrl Pts',(-11.3492389238,10.14084238796,7.508529974767)); #475037=CARTESIAN_POINT('Ctrl Pts',(-11.31680623131,10.0612878092,7.589951813207)); #475038=CARTESIAN_POINT('Ctrl Pts',(-11.29881953579,9.987987830242,7.68109174418)); #475039=CARTESIAN_POINT('Ctrl Pts',(-11.29570287105,9.92272767376,7.779731296709)); #475040=CARTESIAN_POINT('Ctrl Pts',(-11.30973103514,9.856693566723,7.902876560502)); #475041=CARTESIAN_POINT('Ctrl Pts',(-11.33582218333,9.82121721804,7.994263446066)); #475042=CARTESIAN_POINT('Ctrl Pts',(-11.35725942416,9.804750190887,8.049380390281)); #475043=CARTESIAN_POINT('Ctrl Pts',(-11.44768196742,10.76624973696,7.449129556668)); #475044=CARTESIAN_POINT('Ctrl Pts',(-11.39692226919,10.71866573011,7.453202016749)); #475045=CARTESIAN_POINT('Ctrl Pts',(-11.31852642629,10.63691116497,7.474656887608)); #475046=CARTESIAN_POINT('Ctrl Pts',(-11.22709515294,10.52187257694,7.535802928842)); #475047=CARTESIAN_POINT('Ctrl Pts',(-11.16396506816,10.42702803079,7.606311558693)); #475048=CARTESIAN_POINT('Ctrl Pts',(-11.11666415192,10.33720517127,7.69359412893)); #475049=CARTESIAN_POINT('Ctrl Pts',(-11.08661306382,10.25517046804,7.794941677704)); #475050=CARTESIAN_POINT('Ctrl Pts',(-11.07470330401,10.18345304533,7.907223663364)); #475051=CARTESIAN_POINT('Ctrl Pts',(-11.08250022963,10.11319881347,8.049382232576)); #475052=CARTESIAN_POINT('Ctrl Pts',(-11.10805982805,10.07838155776,8.155769421578)); #475053=CARTESIAN_POINT('Ctrl Pts',(-11.13055634961,10.06388295555,8.219753907537)); #475054=CARTESIAN_POINT('Ctrl Pts',(-11.29950569153,11.15319405157,7.593233582999)); #475055=CARTESIAN_POINT('Ctrl Pts',(-11.23979675704,11.10172235345,7.581519403421)); #475056=CARTESIAN_POINT('Ctrl Pts',(-11.14393607826,11.0107388578,7.582150856826)); #475057=CARTESIAN_POINT('Ctrl Pts',(-11.02588169337,10.87961718379,7.627261625661)); #475058=CARTESIAN_POINT('Ctrl Pts',(-10.94041468079,10.77054661944,7.692790271176)); #475059=CARTESIAN_POINT('Ctrl Pts',(-10.8723691178,10.6672966424,7.78313353545)); #475060=CARTESIAN_POINT('Ctrl Pts',(-10.82444021158,10.57402511956,7.894613934546)); #475061=CARTESIAN_POINT('Ctrl Pts',(-10.79851211259,10.49449160702,8.022720858754)); #475062=CARTESIAN_POINT('Ctrl Pts',(-10.79503845831,10.42020359088,8.188394809998)); #475063=CARTESIAN_POINT('Ctrl Pts',(-10.81777327619,10.38808447769,8.313935194638)); #475064=CARTESIAN_POINT('Ctrl Pts',(-10.8404727768,10.3775419476,8.389128632319)); #475065=CARTESIAN_POINT('Ctrl Pts',(-11.16907757387,11.4739760938,7.713463989129)); #475066=CARTESIAN_POINT('Ctrl Pts',(-11.10579962781,11.42007992234,7.684012736482)); #475067=CARTESIAN_POINT('Ctrl Pts',(-10.99964345154,11.32181074915,7.659596262621)); #475068=CARTESIAN_POINT('Ctrl Pts',(-10.861251698,11.1765379111,7.682162346314)); #475069=CARTESIAN_POINT('Ctrl Pts',(-10.75651741272,11.05454930936,7.73737172099)); #475070=CARTESIAN_POINT('Ctrl Pts',(-10.66887624907,10.93907798844,7.825962482401)); #475071=CARTESIAN_POINT('Ctrl Pts',(-10.60266738912,10.835881195,7.943476169915)); #475072=CARTESIAN_POINT('Ctrl Pts',(-10.56115480311,10.75010805508,8.084028184573)); #475073=CARTESIAN_POINT('Ctrl Pts',(-10.54360349676,10.67406360255,8.269885513283)); #475074=CARTESIAN_POINT('Ctrl Pts',(-10.56096292833,10.64662228049,8.412527133832)); #475075=CARTESIAN_POINT('Ctrl Pts',(-10.58226366893,10.64127508762,8.497607685007)); #475076=CARTESIAN_POINT('Ctrl Pts',(-11.05098506098,11.72962482851,7.807799123242)); #475077=CARTESIAN_POINT('Ctrl Pts',(-10.9889637746,11.67410857657,7.762177863295)); #475078=CARTESIAN_POINT('Ctrl Pts',(-10.87986913904,11.56997961961,7.713713144721)); #475079=CARTESIAN_POINT('Ctrl Pts',(-10.72914690185,11.41265294237,7.71263766309)); #475080=CARTESIAN_POINT('Ctrl Pts',(-10.61020851871,11.27957709442,7.75541788726)); #475081=CARTESIAN_POINT('Ctrl Pts',(-10.50629189353,11.15378268993,7.839356621065)); #475082=CARTESIAN_POINT('Ctrl Pts',(-10.42345683875,11.04262015216,7.959528862575)); #475083=CARTESIAN_POINT('Ctrl Pts',(-10.36652669506,10.95258694461,8.10889937883)); #475084=CARTESIAN_POINT('Ctrl Pts',(-10.33362481801,10.87704664553,8.310500985032)); #475085=CARTESIAN_POINT('Ctrl Pts',(-10.34364709802,10.85565208434,8.46700836527)); #475086=CARTESIAN_POINT('Ctrl Pts',(-10.36214655873,10.85609767451,8.560013250669)); #475087=CARTESIAN_POINT('Ctrl Pts',(-10.95497499168,11.91702321698,7.874202572885)); #475088=CARTESIAN_POINT('Ctrl Pts',(-10.89637833618,11.86031863076,7.816111888153)); #475089=CARTESIAN_POINT('Ctrl Pts',(-10.78853895501,11.75163675455,7.748444946715)); #475090=CARTESIAN_POINT('Ctrl Pts',(-10.63159581143,11.58486933858,7.727497028871)); #475091=CARTESIAN_POINT('Ctrl Pts',(-10.50334903392,11.44317578124,7.759121542625)); #475092=CARTESIAN_POINT('Ctrl Pts',(-10.38744572304,11.30953280051,7.837938065794)); #475093=CARTESIAN_POINT('Ctrl Pts',(-10.2914068939,11.19262482379,7.958802317301)); #475094=CARTESIAN_POINT('Ctrl Pts',(-10.22145600711,11.10005142017,8.113844501824)); #475095=CARTESIAN_POINT('Ctrl Pts',(-10.174759693,11.02619826576,8.326488696852)); #475096=CARTESIAN_POINT('Ctrl Pts',(-10.17741206088,11.01070484245,8.493026807961)); #475097=CARTESIAN_POINT('Ctrl Pts',(-10.19273403898,11.01639510058,8.591712778919)); #475098=CARTESIAN_POINT('Ctrl Pts',(-10.85014709432,12.09724558033,7.932138743884)); #475099=CARTESIAN_POINT('Ctrl Pts',(-10.79760689618,12.03918532791,7.862125330304)); #475100=CARTESIAN_POINT('Ctrl Pts',(-10.69498084646,11.92559803532,7.775384669182)); #475101=CARTESIAN_POINT('Ctrl Pts',(-10.5357569923,11.74896667203,7.733662647383)); #475102=CARTESIAN_POINT('Ctrl Pts',(-10.40032269996,11.59847078863,7.752937877052)); #475103=CARTESIAN_POINT('Ctrl Pts',(-10.27332403647,11.45709109086,7.825239500799)); #475104=CARTESIAN_POINT('Ctrl Pts',(-10.16383671256,11.33493949291,7.945373343126)); #475105=CARTESIAN_POINT('Ctrl Pts',(-10.07967528545,11.24075588642,8.104729789839)); #475106=CARTESIAN_POINT('Ctrl Pts',(-10.01695451885,11.17016145354,8.32691145374)); #475107=CARTESIAN_POINT('Ctrl Pts',(-10.01019433313,11.16200501634,8.502462647838)); #475108=CARTESIAN_POINT('Ctrl Pts',(-10.02111130968,11.17380825133,8.606196263886)); #475109=CARTESIAN_POINT('Ctrl Pts',(-10.73250418555,12.2706124514,7.976214657766)); #475110=CARTESIAN_POINT('Ctrl Pts',(-10.68838086518,12.21081081238,7.895856350703)); #475111=CARTESIAN_POINT('Ctrl Pts',(-10.59487615798,12.09176503419,7.791826459353)); #475112=CARTESIAN_POINT('Ctrl Pts',(-10.43782789557,11.90485926946,7.730222167733)); #475113=CARTESIAN_POINT('Ctrl Pts',(-10.29799819796,11.74552931789,7.737010247799)); #475114=CARTESIAN_POINT('Ctrl Pts',(-10.16155749245,11.59675460101,7.801949336978)); #475115=CARTESIAN_POINT('Ctrl Pts',(-10.03910353022,11.4700944992,7.919974273117)); #475116=CARTESIAN_POINT('Ctrl Pts',(-9.94013791277,11.37539353528,8.081898352039)); #475117=CARTESIAN_POINT('Ctrl Pts',(-9.859647711642,11.30966096391,8.311273722463)); #475118=CARTESIAN_POINT('Ctrl Pts',(-9.841557325362,11.31009718517,8.494034293364)); #475119=CARTESIAN_POINT('Ctrl Pts',(-9.84684727438,11.3286807329,8.601740891265)); #475120=CARTESIAN_POINT('Ctrl Pts',(-10.61996621334,12.40905343717,7.994061026402)); #475121=CARTESIAN_POINT('Ctrl Pts',(-10.58371170597,12.34747854891,7.907492049144)); #475122=CARTESIAN_POINT('Ctrl Pts',(-10.49981933339,12.22364915501,7.792514629112)); #475123=CARTESIAN_POINT('Ctrl Pts',(-10.34783714765,12.0284209671,7.717512293262)); #475124=CARTESIAN_POINT('Ctrl Pts',(-10.20701968546,11.86223371348,7.715381608952)); #475125=CARTESIAN_POINT('Ctrl Pts',(-10.06501423456,11.70800770372,7.774493103501)); #475126=CARTESIAN_POINT('Ctrl Pts',(-9.93346652239,11.57839031561,7.889994112384)); #475127=CARTESIAN_POINT('Ctrl Pts',(-9.82316114408,11.48401164407,8.052407038267)); #475128=CARTESIAN_POINT('Ctrl Pts',(-9.728170210202,11.42300072271,8.285072346787)); #475129=CARTESIAN_POINT('Ctrl Pts',(-9.700125972166,11.43072212005,8.471539777182)); #475130=CARTESIAN_POINT('Ctrl Pts',(-9.700232699325,11.45482453579,8.581228522389)); #475131=CARTESIAN_POINT('Ctrl Pts',(-10.52032267283,12.51352051042,7.991231482934)); #475132=CARTESIAN_POINT('Ctrl Pts',(-10.48902838684,12.45071350985,7.901749710347)); #475133=CARTESIAN_POINT('Ctrl Pts',(-10.41158447888,12.32378803049,7.781439347099)); #475134=CARTESIAN_POINT('Ctrl Pts',(-10.2639129544,12.12335778468,7.699627179957)); #475135=CARTESIAN_POINT('Ctrl Pts',(-10.12363903931,11.95293924189,7.692789184963)); #475136=CARTESIAN_POINT('Ctrl Pts',(-9.97935738615,11.79536747257,7.748631407822)); #475137=CARTESIAN_POINT('Ctrl Pts',(-9.843226380712,11.66392058434,7.862448009569)); #475138=CARTESIAN_POINT('Ctrl Pts',(-9.726716775082,11.56967481262,8.024646477152)); #475139=CARTESIAN_POINT('Ctrl Pts',(-9.623359047694,11.51138834726,8.258392240437)); #475140=CARTESIAN_POINT('Ctrl Pts',(-9.589228751992,11.52334411784,8.446300686483)); #475141=CARTESIAN_POINT('Ctrl Pts',(-9.586045510074,11.55064256966,8.556730523505)); #475142=CARTESIAN_POINT('Ctrl Pts',(-10.46684386666,12.56321457906,7.983251468874)); #475143=CARTESIAN_POINT('Ctrl Pts',(-10.43707604752,12.49998398131,7.893067369875)); #475144=CARTESIAN_POINT('Ctrl Pts',(-10.36174265389,12.37202944146,7.771440313653)); #475145=CARTESIAN_POINT('Ctrl Pts',(-10.21578568332,12.16987145474,7.687903115702)); #475146=CARTESIAN_POINT('Ctrl Pts',(-10.07610189095,11.99802453393,7.67984610645)); #475147=CARTESIAN_POINT('Ctrl Pts',(-9.931586889529,11.83927330119,7.734812459216)); #475148=CARTESIAN_POINT('Ctrl Pts',(-9.794503204981,11.70708840344,7.84813895111)); #475149=CARTESIAN_POINT('Ctrl Pts',(-9.676482597416,11.61268558866,8.010208860478)); #475150=CARTESIAN_POINT('Ctrl Pts',(-9.57090273786,11.55498323919,8.244132327806)); #475151=CARTESIAN_POINT('Ctrl Pts',(-9.535010570554,11.56805365456,8.43233335261)); #475152=CARTESIAN_POINT('Ctrl Pts',(-9.530820660164,11.59622843148,8.542907473746)); #475153=CARTESIAN_POINT('Ctrl Pts',(-10.43976983957,12.58644254115,7.977407075324)); #475154=CARTESIAN_POINT('Ctrl Pts',(-10.41034625639,12.52309062112,7.887119695103)); #475155=CARTESIAN_POINT('Ctrl Pts',(-10.33554966657,12.39484981234,7.765297262354)); #475156=CARTESIAN_POINT('Ctrl Pts',(-10.19017471313,12.19219807083,7.681501126374)); #475157=CARTESIAN_POINT('Ctrl Pts',(-10.05084222738,12.01992256346,7.673259051353)); #475158=CARTESIAN_POINT('Ctrl Pts',(-9.906521800781,11.86078190852,7.728089876929)); #475159=CARTESIAN_POINT('Ctrl Pts',(-9.769472130542,11.72829393195,7.841337008163)); #475160=CARTESIAN_POINT('Ctrl Pts',(-9.651334140214,11.63371187187,8.00338042404)); #475161=CARTESIAN_POINT('Ctrl Pts',(-9.545459377418,11.57597179664,8.237320853812)); #475162=CARTESIAN_POINT('Ctrl Pts',(-9.509243499912,11.58918397912,8.425558256472)); #475163=CARTESIAN_POINT('Ctrl Pts',(-9.504836556316,11.61750129135,8.536149554929)); #475164=CARTESIAN_POINT('',(-11.0003013315684,11.0221005211965,7.63779144571887)); #475165=CARTESIAN_POINT('Ctrl Pts',(-11.0003019382383,11.0221001762714, 7.6377950909458)); #475166=CARTESIAN_POINT('Ctrl Pts',(-11.0043694373883,11.0111999566276, 7.63608672319159)); #475167=CARTESIAN_POINT('Ctrl Pts',(-11.0078325155185,11.000042618718,7.63460322740416)); #475168=CARTESIAN_POINT('Ctrl Pts',(-11.0140317805783,10.9754705807793, 7.63198759621306)); #475169=CARTESIAN_POINT('Ctrl Pts',(-11.0165480190969,10.9620007985353, 7.6309563868594)); #475170=CARTESIAN_POINT('Ctrl Pts',(-11.0220194944527,10.9184506942554, 7.62914041931878)); #475171=CARTESIAN_POINT('Ctrl Pts',(-11.0217857455765,10.8883189567715, 7.63018021290479)); #475172=CARTESIAN_POINT('Ctrl Pts',(-11.0136112391512,10.8199694016258, 7.63942023207892)); #475173=CARTESIAN_POINT('Ctrl Pts',(-11.003481312403,10.7834938065633,7.64960088831658)); #475174=CARTESIAN_POINT('Ctrl Pts',(-10.9756371135743,10.7144368731456, 7.68135151626396)); #475175=CARTESIAN_POINT('Ctrl Pts',(-10.9580297156079,10.683490814336,7.70298158267997)); #475176=CARTESIAN_POINT('Ctrl Pts',(-10.9143036093353,10.6211159076611, 7.76322566811339)); #475177=CARTESIAN_POINT('Ctrl Pts',(-10.8876517854526,10.5935907276077, 7.80464700528303)); #475178=CARTESIAN_POINT('Ctrl Pts',(-10.8352713338461,10.5503334457663, 7.89754789175423)); #475179=CARTESIAN_POINT('Ctrl Pts',(-10.8092506455899,10.5353495096744, 7.95028122301236)); #475180=CARTESIAN_POINT('Ctrl Pts',(-10.7861312136725,10.5262013275322, 8.00424550416374)); #475181=CARTESIAN_POINT('',(-10.4268877371724,12.4645928391371,7.87585366475922)); #475182=CARTESIAN_POINT('Ctrl Pts',(-10.4268878984601,12.4645928658841, 7.87585379785017)); #475183=CARTESIAN_POINT('Ctrl Pts',(-10.455214191125,12.3945299907282,7.8556066976191)); #475184=CARTESIAN_POINT('Ctrl Pts',(-10.4864933083836,12.3189450283335, 7.84044286618677)); #475185=CARTESIAN_POINT('Ctrl Pts',(-10.5564135211638,12.1500227145706, 7.81099824289264)); #475186=CARTESIAN_POINT('Ctrl Pts',(-10.603154352336,12.0375479977218,7.79538814750554)); #475187=CARTESIAN_POINT('Ctrl Pts',(-10.8114939332805,11.5233636552138, 7.72018732595421)); #475188=CARTESIAN_POINT('Ctrl Pts',(-10.9038646599692,11.2796388631521, 7.67828354526684)); #475189=CARTESIAN_POINT('Ctrl Pts',(-11.0003021243393,11.0221002556784, 7.63779502288019)); #475190=CARTESIAN_POINT('',(-10.4367492738071,12.4818442137448,7.89371108793845)); #475191=CARTESIAN_POINT('Origin',(-10.1146678918221,12.2067311140378,8.2811660853186)); #475192=CARTESIAN_POINT('',(-11.89909504862,9.60793918221,6.97615892029)); #475193=CARTESIAN_POINT('Ctrl Pts',(-10.4367492749848,12.4818442147167, 7.89371108640679)); #475194=CARTESIAN_POINT('Ctrl Pts',(-10.4505918043114,12.4689525019803, 7.89617334296647)); #475195=CARTESIAN_POINT('Ctrl Pts',(-10.4639146826897,12.4559908337804, 7.89815984730184)); #475196=CARTESIAN_POINT('Ctrl Pts',(-10.4768390773996,12.4429557745002, 7.89974273095741)); #475197=CARTESIAN_POINT('Ctrl Pts',(-10.4974105572048,12.4222081522895, 7.90226217267097)); #475198=CARTESIAN_POINT('Ctrl Pts',(-10.5169742220451,12.4012756286951, 7.90375982295669)); #475199=CARTESIAN_POINT('Ctrl Pts',(-10.53577873151,12.3801606067642,7.90441462270355)); #475200=CARTESIAN_POINT('Ctrl Pts',(-10.5406045006491,12.3747418947582, 7.90458266284396)); #475201=CARTESIAN_POINT('Ctrl Pts',(-10.545380350589,12.3693111766808,7.90469547013096)); #475202=CARTESIAN_POINT('Ctrl Pts',(-10.5501106107582,12.3638685258892, 7.9047564765719)); #475203=CARTESIAN_POINT('Ctrl Pts',(-10.5750558369904,12.3351664777056, 7.90507819660768)); #475204=CARTESIAN_POINT('Ctrl Pts',(-10.5987325915878,12.3061330271802, 7.9039545746723)); #475205=CARTESIAN_POINT('Ctrl Pts',(-10.6214008904271,12.2767483482006, 7.9016412014609)); #475206=CARTESIAN_POINT('Ctrl Pts',(-10.6408293646684,12.2515634200025, 7.89965846282812)); #475207=CARTESIAN_POINT('Ctrl Pts',(-10.6595178552084,12.2261204636887, 7.89680527212366)); #475208=CARTESIAN_POINT('Ctrl Pts',(-10.6776351849458,12.2004099711608, 7.89325300833408)); #475209=CARTESIAN_POINT('Ctrl Pts',(-10.7028566190005,12.1646179697341, 7.88830784322352)); #475210=CARTESIAN_POINT('Ctrl Pts',(-10.7269646269707,12.1283035892137, 7.88200302381021)); #475211=CARTESIAN_POINT('Ctrl Pts',(-10.7502215057631,12.0914807024562, 7.87467664740171)); #475212=CARTESIAN_POINT('Ctrl Pts',(-10.7631627417049,12.070990693034,7.87059990234289)); #475213=CARTESIAN_POINT('Ctrl Pts',(-10.775840425994,12.0503433813716,7.86620622838222)); #475214=CARTESIAN_POINT('Ctrl Pts',(-10.7882989966439,12.0295422395136, 7.86154641587209)); #475215=CARTESIAN_POINT('Ctrl Pts',(-10.8104965313047,11.9924806792149, 7.85324399076948)); #475216=CARTESIAN_POINT('Ctrl Pts',(-10.8319987614647,11.9549303974985, 7.84409887400736)); #475217=CARTESIAN_POINT('Ctrl Pts',(-10.8529879801438,11.9168838370132, 7.83431252421709)); #475218=CARTESIAN_POINT('Ctrl Pts',(-10.8649498636462,11.8952008705746, 7.82873522389475)); #475219=CARTESIAN_POINT('Ctrl Pts',(-10.8767449948453,11.8733568050927, 7.82294875300957)); #475220=CARTESIAN_POINT('Ctrl Pts',(-10.8884059409115,11.8513508576053, 7.81698284939127)); #475221=CARTESIAN_POINT('Ctrl Pts',(-10.9121825321411,11.8064808774976, 7.80481841171674)); #475222=CARTESIAN_POINT('Ctrl Pts',(-10.9354041929805,11.7609393175325, 7.79191194754254)); #475223=CARTESIAN_POINT('Ctrl Pts',(-10.9581491867626,11.7149270080208, 7.77849504525965)); #475224=CARTESIAN_POINT('Ctrl Pts',(-10.9661326246495,11.6987767985048, 7.77378574496103)); #475225=CARTESIAN_POINT('Ctrl Pts',(-10.9740572937409,11.682568644368,7.7690130926523)); #475226=CARTESIAN_POINT('Ctrl Pts',(-10.9819264316354,11.6663113849319, 7.76418559372898)); #475227=CARTESIAN_POINT('Ctrl Pts',(-11.0069645315104,11.6145838779398, 7.74882541046494)); #475228=CARTESIAN_POINT('Ctrl Pts',(-11.0314428139364,11.5623599278922, 7.73291451703951)); #475229=CARTESIAN_POINT('Ctrl Pts',(-11.0553694049121,11.510017800637,7.7167050364848)); #475230=CARTESIAN_POINT('Ctrl Pts',(-11.0603867145357,11.4990418676651, 7.71330597379079)); #475231=CARTESIAN_POINT('Ctrl Pts',(-11.0653797592318,11.4880607601868, 7.70989359971642)); #475232=CARTESIAN_POINT('Ctrl Pts',(-11.0703486048495,11.4770779995308, 7.7064699535141)); #475233=CARTESIAN_POINT('Ctrl Pts',(-11.0782945222436,11.4595149445184, 7.70099503800988)); #475234=CARTESIAN_POINT('Ctrl Pts',(-11.0861778038883,11.441946921291,7.695491062194)); #475235=CARTESIAN_POINT('Ctrl Pts',(-11.0940057838857,11.4243740317296, 7.68996139493458)); #475236=CARTESIAN_POINT('Ctrl Pts',(-11.1158339485147,11.3753723829668, 7.67454202910554)); #475237=CARTESIAN_POINT('Ctrl Pts',(-11.1372328276568,11.3263328544492, 7.65892667149276)); #475238=CARTESIAN_POINT('Ctrl Pts',(-11.1583551381246,11.2772582882949, 7.64315642275876)); #475239=CARTESIAN_POINT('Ctrl Pts',(-11.1599083180775,11.2736497042593, 7.64199679421228)); #475240=CARTESIAN_POINT('Ctrl Pts',(-11.1614599982417,11.2700409310185, 7.64083630541572)); #475241=CARTESIAN_POINT('Ctrl Pts',(-11.1630102372754,11.2664319698114, 7.63967496202137)); #475242=CARTESIAN_POINT('Ctrl Pts',(-11.1650076222313,11.2617820515312, 7.6381786445025)); #475243=CARTESIAN_POINT('Ctrl Pts',(-11.1670026148354,11.2571318212128, 7.63668090842069)); #475244=CARTESIAN_POINT('Ctrl Pts',(-11.1689953412738,11.252481281711,7.63518176289147)); #475245=CARTESIAN_POINT('Ctrl Pts',(-11.1913006988858,11.2004259947071, 7.61840124726435)); #475246=CARTESIAN_POINT('Ctrl Pts',(-11.2133231100592,11.1483319112389, 7.60144913676197)); #475247=CARTESIAN_POINT('Ctrl Pts',(-11.2352346240858,11.096202717023,7.58432422301262)); #475248=CARTESIAN_POINT('Ctrl Pts',(-11.2665515556227,11.0216973038256, 7.55984851810186)); #475249=CARTESIAN_POINT('Ctrl Pts',(-11.2976410983549,10.9471287596672, 7.5350166271877)); #475250=CARTESIAN_POINT('Ctrl Pts',(-11.3286044039796,10.8725756684556, 7.50979398811875)); #475251=CARTESIAN_POINT('Ctrl Pts',(-11.3504972610095,10.8198622990624, 7.49196011605918)); #475252=CARTESIAN_POINT('Ctrl Pts',(-11.3723267744033,10.767156693515,7.47392968654459)); #475253=CARTESIAN_POINT('Ctrl Pts',(-11.3941247989349,10.7144879586077, 7.45567543467302)); #475254=CARTESIAN_POINT('Ctrl Pts',(-11.4204384026971,10.6509086090662, 7.43363971533395)); #475255=CARTESIAN_POINT('Ctrl Pts',(-11.4467091982023,10.5873838888407, 7.41128089082954)); #475256=CARTESIAN_POINT('Ctrl Pts',(-11.4730790598399,10.5239681411114, 7.38847192580761)); #475257=CARTESIAN_POINT('Ctrl Pts',(-11.4994489214775,10.460552393382,7.36566296078568)); #475258=CARTESIAN_POINT('Ctrl Pts',(-11.5259181195678,10.3972452258298, 7.34240307222833)); #475259=CARTESIAN_POINT('Ctrl Pts',(-11.5526078020369,10.3341111714258, 7.31851169651292)); #475260=CARTESIAN_POINT('Ctrl Pts',(-11.5729815398835,10.2859173918591, 7.30027406381779)); #475261=CARTESIAN_POINT('Ctrl Pts',(-11.5934823176072,10.2378235664899, 7.28167152699183)); #475262=CARTESIAN_POINT('Ctrl Pts',(-11.6142354639831,10.1899953568247, 7.26255034796798)); #475263=CARTESIAN_POINT('Ctrl Pts',(-11.6206690268486,10.1751684101993, 7.25662270186155)); #475264=CARTESIAN_POINT('Ctrl Pts',(-11.627126690871,10.1603670980904,7.25064487306909)); #475265=CARTESIAN_POINT('Ctrl Pts',(-11.6336117519549,10.1455966882699, 7.24461145268999)); #475266=CARTESIAN_POINT('Ctrl Pts',(-11.6560692718069,10.0944473209048, 7.22371794741902)); #475267=CARTESIAN_POINT('Ctrl Pts',(-11.6788558878136,10.0436684830239, 7.20215998684554)); #475268=CARTESIAN_POINT('Ctrl Pts',(-11.7020636007086,9.99348709487448, 7.17971624560523)); #475269=CARTESIAN_POINT('Ctrl Pts',(-11.7071756573952,9.98243343775638, 7.17477247204163)); #475270=CARTESIAN_POINT('Ctrl Pts',(-11.7123080066875,9.97140890670621, 7.16978573139452)); #475271=CARTESIAN_POINT('Ctrl Pts',(-11.7174613833982,9.96041618113439, 7.16475378707436)); #475272=CARTESIAN_POINT('Ctrl Pts',(-11.731735720516,9.92996743277708,7.15081580551085)); #475273=CARTESIAN_POINT('Ctrl Pts',(-11.7461746887125,9.89976073818317, 7.13653280860418)); #475274=CARTESIAN_POINT('Ctrl Pts',(-11.7610126774191,9.86992643233867, 7.12163419748688)); #475275=CARTESIAN_POINT('Ctrl Pts',(-11.7640374221665,9.86384466737174, 7.11859709477628)); #475276=CARTESIAN_POINT('Ctrl Pts',(-11.7670785477817,9.8577783213803,7.11553439924668)); #475277=CARTESIAN_POINT('Ctrl Pts',(-11.7701337618754,9.85172599876444, 7.11244780187477)); #475278=CARTESIAN_POINT('Ctrl Pts',(-11.7818273486565,9.82856121961439, 7.1006340981145)); #475279=CARTESIAN_POINT('Ctrl Pts',(-11.7937265209914,9.80560245377457, 7.08846760363701)); #475280=CARTESIAN_POINT('Ctrl Pts',(-11.8057090611031,9.78276715568911, 7.07606371613672)); #475281=CARTESIAN_POINT('Ctrl Pts',(-11.8059536146467,9.782301106503,7.07581056324804)); #475282=CARTESIAN_POINT('Ctrl Pts',(-11.8061982032854,9.78183510846532, 7.0755573126167)); #475283=CARTESIAN_POINT('Ctrl Pts',(-11.8064428260536,9.78136916081006, 7.07530396502967)); #475284=CARTESIAN_POINT('Ctrl Pts',(-11.8240299899004,9.74786983523342, 7.05708952998313)); #475285=CARTESIAN_POINT('Ctrl Pts',(-11.8417937271849,9.71462867539797, 7.03836860656617)); #475286=CARTESIAN_POINT('Ctrl Pts',(-11.8595180151136,9.68160541347238, 7.0193175683279)); #475287=CARTESIAN_POINT('Ctrl Pts',(-11.872757581616,9.65693792476146,7.00508695501863)); #475288=CARTESIAN_POINT('Ctrl Pts',(-11.885975922426,9.63239144262075,6.99067260094623)); #475289=CARTESIAN_POINT('Ctrl Pts',(-11.8990950483801,9.60793918220349, 6.97615892008415)); #475290=CARTESIAN_POINT('Origin',(-12.46810056938,9.62335534946248,7.46451847908181)); #475291=CARTESIAN_POINT('Ctrl Pts',(-12.25853506378,9.006559829569,6.507470028573)); #475292=CARTESIAN_POINT('Ctrl Pts',(-12.22867183285,8.924896933052,6.562948582438)); #475293=CARTESIAN_POINT('Ctrl Pts',(-12.20626944099,8.763196725337,6.69629083685)); #475294=CARTESIAN_POINT('Ctrl Pts',(-12.26137004044,8.631736301512,6.851581967215)); #475295=CARTESIAN_POINT('Ctrl Pts',(-12.30626986378,8.579179167902,6.928292771622)); #475296=CARTESIAN_POINT('Ctrl Pts',(-12.22816233483,9.06723028936,6.553698152674)); #475297=CARTESIAN_POINT('Ctrl Pts',(-12.19533797805,8.983703563592,6.610382647459)); #475298=CARTESIAN_POINT('Ctrl Pts',(-12.1687396104,8.818069677841,6.74827833608)); #475299=CARTESIAN_POINT('Ctrl Pts',(-12.22390797081,8.684433391631,6.910315403264)); #475300=CARTESIAN_POINT('Ctrl Pts',(-12.26980284564,8.631647176399,6.990304172919)); #475301=CARTESIAN_POINT('Ctrl Pts',(-12.17201612728,9.178343977463,6.635238932779)); #475302=CARTESIAN_POINT('Ctrl Pts',(-12.13367278267,9.091427245044,6.693709133771)); #475303=CARTESIAN_POINT('Ctrl Pts',(-12.09913738304,8.918547879805,6.839371863033)); #475304=CARTESIAN_POINT('Ctrl Pts',(-12.15431467979,8.780990434808,7.013514296833)); #475305=CARTESIAN_POINT('Ctrl Pts',(-12.20201272303,8.727887011549,7.099367825668)); #475306=CARTESIAN_POINT('Ctrl Pts',(-12.10000266267,9.316429424257,6.732192025119)); #475307=CARTESIAN_POINT('Ctrl Pts',(-12.05485648636,9.225415494822,6.791972212815)); #475308=CARTESIAN_POINT('Ctrl Pts',(-12.01029956453,9.043573615709,6.945908356772)); #475309=CARTESIAN_POINT('Ctrl Pts',(-12.06533077487,8.901333285203,7.134291563292)); #475310=CARTESIAN_POINT('Ctrl Pts',(-12.11520698592,8.848043122598,7.227007526841)); #475311=CARTESIAN_POINT('Ctrl Pts',(-12.04636474185,9.418484505577,6.801217811877)); #475312=CARTESIAN_POINT('Ctrl Pts',(-11.99605645294,9.324417972774,6.861574245879)); #475313=CARTESIAN_POINT('Ctrl Pts',(-11.94375086769,9.135774326871,7.021189059138)); #475314=CARTESIAN_POINT('Ctrl Pts',(-11.99855058485,8.99004742979,7.220098333404)); #475315=CARTESIAN_POINT('Ctrl Pts',(-12.05003695434,8.936708406776,7.317868202147)); #475316=CARTESIAN_POINT('Ctrl Pts',(-11.98661142882,9.53434457564,6.875885516431)); #475317=CARTESIAN_POINT('Ctrl Pts',(-11.93000193867,9.436666461105,6.936609349862)); #475318=CARTESIAN_POINT('Ctrl Pts',(-11.86807631104,9.239842066866,7.102647572165)); #475319=CARTESIAN_POINT('Ctrl Pts',(-11.92239084724,9.089964701206,7.314135851939)); #475320=CARTESIAN_POINT('Ctrl Pts',(-11.97575049728,9.036641727639,7.417930077225)); #475321=CARTESIAN_POINT('Ctrl Pts',(-11.94031640698,9.626290714527,6.930919941421)); #475322=CARTESIAN_POINT('Ctrl Pts',(-11.87821940821,9.52560332963,6.991459541785)); #475323=CARTESIAN_POINT('Ctrl Pts',(-11.80767839797,9.321760097311,7.162360098231)); #475324=CARTESIAN_POINT('Ctrl Pts',(-11.86131200815,9.168389988269,7.384474070064)); #475325=CARTESIAN_POINT('Ctrl Pts',(-11.916197134,9.115194714031,7.493333616661)); #475326=CARTESIAN_POINT('Ctrl Pts',(-11.90719019316,9.692594663686,6.96908124338)); #475327=CARTESIAN_POINT('Ctrl Pts',(-11.84097778281,9.589707592797,7.029166236677)); #475328=CARTESIAN_POINT('Ctrl Pts',(-11.7638151618,9.380595632946,7.203287386727)); #475329=CARTESIAN_POINT('Ctrl Pts',(-11.81679922514,9.224647654924,7.4332568929)); #475330=CARTESIAN_POINT('Ctrl Pts',(-11.8727851838,9.171620909684,7.545845836494)); #475331=CARTESIAN_POINT('',(-12.22783573034,9.004006711054,6.56047002367)); #475332=CARTESIAN_POINT('Ctrl Pts',(-12.2278357303395,9.00400671105395, 6.56047002366971)); #475333=CARTESIAN_POINT('Ctrl Pts',(-12.2060172511513,8.934195965487,6.61100115640826)); #475334=CARTESIAN_POINT('Ctrl Pts',(-12.1980659015374,8.86572522893572, 6.6693482352179)); #475335=CARTESIAN_POINT('Ctrl Pts',(-12.2044723963017,8.80286669058236, 6.73186720029021)); #475336=CARTESIAN_POINT('Ctrl Pts',(-12.2108788880197,8.7400081821183,6.79438613563469)); #475337=CARTESIAN_POINT('Ctrl Pts',(-12.2316433527799,8.68276194302876, 6.86107697524618)); #475338=CARTESIAN_POINT('Ctrl Pts',(-12.2654748900216,8.63470472698416, 6.927777088907)); #475339=CARTESIAN_POINT('Ctrl Pts',(-11.89909504862,9.60793918221,6.97615892029)); #475340=CARTESIAN_POINT('Ctrl Pts',(-11.92723621102,9.555489415644,6.945026723029)); #475341=CARTESIAN_POINT('Ctrl Pts',(-11.96923557235,9.477583991988,6.897105696632)); #475342=CARTESIAN_POINT('Ctrl Pts',(-12.02509257493,9.375579577163,6.83007630569)); #475343=CARTESIAN_POINT('Ctrl Pts',(-12.08099432717,9.274936806931,6.760634717376)); #475344=CARTESIAN_POINT('Ctrl Pts',(-12.14945672082,9.150599954199,6.671401992263)); #475345=CARTESIAN_POINT('Ctrl Pts',(-12.20203693992,9.052546356723,6.597938044903)); #475346=CARTESIAN_POINT('Ctrl Pts',(-12.22783573034,9.004006711054,6.56047002367)); #475347=CARTESIAN_POINT('Ctrl Pts',(-12.38332299276,8.763288376782,6.301669990728)); #475348=CARTESIAN_POINT('Ctrl Pts',(-12.36394011414,8.687624865005,6.351781491063)); #475349=CARTESIAN_POINT('Ctrl Pts',(-12.35671098172,8.538471795322,6.467301246117)); #475350=CARTESIAN_POINT('Ctrl Pts',(-12.41280252007,8.413751142141,6.59746493336)); #475351=CARTESIAN_POINT('Ctrl Pts',(-12.4549542162,8.361825128741,6.661811881226)); #475352=CARTESIAN_POINT('Ctrl Pts',(-12.33193336295,8.864951238139,6.393242668365)); #475353=CARTESIAN_POINT('Ctrl Pts',(-12.30825622048,8.786296372344,6.446161727836)); #475354=CARTESIAN_POINT('Ctrl Pts',(-12.29525439291,8.631011721962,6.570066400988)); #475355=CARTESIAN_POINT('Ctrl Pts',(-12.35193302612,8.502728642054,6.711348560053)); #475356=CARTESIAN_POINT('Ctrl Pts',(-12.39578931759,8.450237837622,6.781118024758)); #475357=CARTESIAN_POINT('Ctrl Pts',(-12.28023476393,8.972631330881,6.475657332169)); #475358=CARTESIAN_POINT('Ctrl Pts',(-12.25093332283,8.890442958741,6.531300251056)); #475359=CARTESIAN_POINT('Ctrl Pts',(-12.23009650417,8.727759162356,6.66450608461)); #475360=CARTESIAN_POINT('Ctrl Pts',(-12.28703502537,8.59532526084,6.818938057003)); #475361=CARTESIAN_POINT('Ctrl Pts',(-12.33283349599,8.542341288846,6.895090313243)); #475362=CARTESIAN_POINT('Ctrl Pts',(-12.22507582684,9.082297424845,6.557129863888)); #475363=CARTESIAN_POINT('Ctrl Pts',(-12.19025601739,8.996738657451,6.614539765714)); #475364=CARTESIAN_POINT('Ctrl Pts',(-12.16152397656,8.826819930389,6.755518990691)); #475365=CARTESIAN_POINT('Ctrl Pts',(-12.21858831726,8.690505351305,6.922059247419)); #475366=CARTESIAN_POINT('Ctrl Pts',(-12.26625780543,8.637249796372,7.004050212415)); #475367=CARTESIAN_POINT('',(-12.35742848665,8.757787214329,6.357688242671)); #475368=CARTESIAN_POINT('Ctrl Pts',(-12.357428486653,8.75778721432936,6.35768824267236)); #475369=CARTESIAN_POINT('Ctrl Pts',(-12.3446901615005,8.69451697359877, 6.40226194575055)); #475370=CARTESIAN_POINT('Ctrl Pts',(-12.3431764036832,8.63259486931151, 6.45194517226754)); #475371=CARTESIAN_POINT('Ctrl Pts',(-12.3529582897801,8.57503769792021, 6.50431591621525)); #475372=CARTESIAN_POINT('Ctrl Pts',(-12.3627401499488,8.51748067909244, 6.5566865213468)); #475373=CARTESIAN_POINT('Ctrl Pts',(-12.3838176258199,8.46428858659961, 6.61174463365527)); #475374=CARTESIAN_POINT('Ctrl Pts',(-12.415166097313,8.41805504710802,6.666807360041)); #475375=CARTESIAN_POINT('Ctrl Pts',(-12.22783573034,9.004006711054,6.56047002367)); #475376=CARTESIAN_POINT('Ctrl Pts',(-12.27257278207,8.919835156325,6.495497593234)); #475377=CARTESIAN_POINT('Ctrl Pts',(-12.31537628859,8.837173952981,6.429144098002)); #475378=CARTESIAN_POINT('Ctrl Pts',(-12.35742848665,8.757787214329,6.357688242671)); #475379=CARTESIAN_POINT('Ctrl Pts',(-12.58669943903,8.382944111581,5.876822015828)); #475380=CARTESIAN_POINT('Ctrl Pts',(-12.57908586773,8.314777365142,5.916738934461)); #475381=CARTESIAN_POINT('Ctrl Pts',(-12.58796247383,8.180661032531,6.004828518777)); #475382=CARTESIAN_POINT('Ctrl Pts',(-12.64368221918,8.064213840039,6.10054147467)); #475383=CARTESIAN_POINT('Ctrl Pts',(-12.68197439519,8.013367759108,6.147926015041)); #475384=CARTESIAN_POINT('Ctrl Pts',(-12.54986184123,8.451620145538,5.966020968426)); #475385=CARTESIAN_POINT('Ctrl Pts',(-12.54049414922,8.381914464863,6.00795811418)); #475386=CARTESIAN_POINT('Ctrl Pts',(-12.54725279062,8.24474636934,6.101104800626)); #475387=CARTESIAN_POINT('Ctrl Pts',(-12.60373366171,8.126426680817,6.202831705979)); #475388=CARTESIAN_POINT('Ctrl Pts',(-12.64303426753,8.075175129003,6.253164294076)); #475389=CARTESIAN_POINT('Ctrl Pts',(-12.4735301339,8.596003004341,6.13512354472)); #475390=CARTESIAN_POINT('Ctrl Pts',(-12.45983723854,8.522739300757,6.181461577838)); #475391=CARTESIAN_POINT('Ctrl Pts',(-12.46123502408,8.378470817136,6.285944931505)); #475392=CARTESIAN_POINT('Ctrl Pts',(-12.51924858414,8.255855059768,6.401402124115)); #475393=CARTESIAN_POINT('Ctrl Pts',(-12.56082106471,8.20373329084,6.458452550004)); #475394=CARTESIAN_POINT('Ctrl Pts',(-12.39320105515,8.75554180495,6.28429410288)); #475395=CARTESIAN_POINT('Ctrl Pts',(-12.37279779842,8.677546593959,6.335302810555)); #475396=CARTESIAN_POINT('Ctrl Pts',(-12.36535711986,8.523582256481,6.453417560245)); #475397=CARTESIAN_POINT('Ctrl Pts',(-12.42467679344,8.395353098839,6.586628228639)); #475398=CARTESIAN_POINT('Ctrl Pts',(-12.46913426834,8.342366247314,6.652302549036)); #475399=CARTESIAN_POINT('Ctrl Pts',(-12.35227102008,8.839033513669,6.354464801164)); #475400=CARTESIAN_POINT('Ctrl Pts',(-12.32780540067,8.758402786441,6.407641202788)); #475401=CARTESIAN_POINT('Ctrl Pts',(-12.31483032205,8.598923475584,6.532885970581)); #475402=CARTESIAN_POINT('Ctrl Pts',(-12.37463899147,8.467611753917,6.675952555183)); #475403=CARTESIAN_POINT('Ctrl Pts',(-12.4206763952,8.414271130781,6.746388081578)); #475404=CARTESIAN_POINT('',(-12.56823470274,8.370007415071,5.937382457254)); #475405=CARTESIAN_POINT('Origin',(-13.2765522622949,8.4732319704543,6.16128182286005)); #475406=CARTESIAN_POINT('Ctrl Pts',(-12.35742848665,8.757787214329,6.357688242671)); #475407=CARTESIAN_POINT('Ctrl Pts',(-12.39446327913,8.68786831907,6.294756673619)); #475408=CARTESIAN_POINT('Ctrl Pts',(-12.46682415105,8.553324336731,6.16196864684)); #475409=CARTESIAN_POINT('Ctrl Pts',(-12.53517353446,8.429420368366,6.01458359124)); #475410=CARTESIAN_POINT('Ctrl Pts',(-12.56823470274,8.370007415071,5.937382457254)); #475411=CARTESIAN_POINT('Ctrl Pts',(-12.78852416392,8.029973739878,5.30663334273)); #475412=CARTESIAN_POINT('Ctrl Pts',(-12.78541425433,7.965775715311,5.339848461972)); #475413=CARTESIAN_POINT('Ctrl Pts',(-12.79959529256,7.83928835869,5.411775949323)); #475414=CARTESIAN_POINT('Ctrl Pts',(-12.85303042356,7.727011126223,5.488666378997)); #475415=CARTESIAN_POINT('Ctrl Pts',(-12.88853730313,7.676798260225,5.526760817637)); #475416=CARTESIAN_POINT('Ctrl Pts',(-12.75100534285,8.092245127692,5.424350767022)); #475417=CARTESIAN_POINT('Ctrl Pts',(-12.74790612853,8.027606480277,5.457785555803)); #475418=CARTESIAN_POINT('Ctrl Pts',(-12.76237664751,7.900299553922,5.530276373006)); #475419=CARTESIAN_POINT('Ctrl Pts',(-12.81658888883,7.787535330286,5.607844243989)); #475420=CARTESIAN_POINT('Ctrl Pts',(-12.85257715539,7.737205782577,5.646269386739)); #475421=CARTESIAN_POINT('Ctrl Pts',(-12.69765475154,8.184420369806,5.586470003996)); #475422=CARTESIAN_POINT('Ctrl Pts',(-12.69380652012,8.118621990259,5.621385977886)); #475423=CARTESIAN_POINT('Ctrl Pts',(-12.70768793895,7.989082453852,5.697353452795)); #475424=CARTESIAN_POINT('Ctrl Pts',(-12.76307872711,7.874918387769,5.778867945572)); #475425=CARTESIAN_POINT('Ctrl Pts',(-12.80002893988,7.824238151081,5.819233321716)); #475426=CARTESIAN_POINT('Ctrl Pts',(-12.62090875605,8.325668986746,5.792328697041)); #475427=CARTESIAN_POINT('Ctrl Pts',(-12.61408615152,8.257012349694,5.831226468791)); #475428=CARTESIAN_POINT('Ctrl Pts',(-12.62456818959,8.121835752492,5.916877285451)); #475429=CARTESIAN_POINT('Ctrl Pts',(-12.68173112685,8.004177483196,6.009659065185)); #475430=CARTESIAN_POINT('Ctrl Pts',(-12.72068678696,7.952706430734,6.05554677581)); #475431=CARTESIAN_POINT('Ctrl Pts',(-12.58068377722,8.400951927774,5.890608566557)); #475432=CARTESIAN_POINT('Ctrl Pts',(-12.57188838706,8.330581719073,5.931753241461)); #475433=CARTESIAN_POINT('Ctrl Pts',(-12.58000847737,8.191996180134,6.023055520423)); #475434=CARTESIAN_POINT('Ctrl Pts',(-12.63807924918,8.072268968626,6.122560986105)); #475435=CARTESIAN_POINT('Ctrl Pts',(-12.67819867996,8.020371998821,6.171735272835)); #475436=CARTESIAN_POINT('Ctrl Pts',(-12.55758296475,8.444667086839,5.944111273021)); #475437=CARTESIAN_POINT('Ctrl Pts',(-12.54750063024,8.373236128079,5.986574065758)); #475438=CARTESIAN_POINT('Ctrl Pts',(-12.55404419999,8.232526283442,6.081282877922)); #475439=CARTESIAN_POINT('Ctrl Pts',(-12.6126253763,8.111526220167,6.18491155631)); #475440=CARTESIAN_POINT('Ctrl Pts',(-12.6534538219,8.059384196256,6.236097182025)); #475441=CARTESIAN_POINT('',(-12.7748445771,8.009493518332,5.369965453597)); #475442=CARTESIAN_POINT('Origin',(-13.4963510760664,8.11855945524604,5.54326575282722)); #475443=CARTESIAN_POINT('Ctrl Pts',(-12.5682347027392,8.37000741507089, 5.93738245725375)); #475444=CARTESIAN_POINT('Ctrl Pts',(-12.5867327048636,8.33676620707326, 5.89418827128275)); #475445=CARTESIAN_POINT('Ctrl Pts',(-12.6048231827412,8.3044159495352,5.85004809203559)); #475446=CARTESIAN_POINT('Ctrl Pts',(-12.6225200638643,8.27288705061936, 5.80500144972687)); #475447=CARTESIAN_POINT('Ctrl Pts',(-12.6285599353667,8.26212636930263, 5.78962721913394)); #475448=CARTESIAN_POINT('Ctrl Pts',(-12.6345543025341,8.25145878548471, 5.77414802646247)); #475449=CARTESIAN_POINT('Ctrl Pts',(-12.6405072366253,8.24088881932284, 5.75854945387884)); #475450=CARTESIAN_POINT('Ctrl Pts',(-12.6519964562244,8.2204886837711,5.7284440599498)); #475451=CARTESIAN_POINT('Ctrl Pts',(-12.6633313101095,8.20045233422747, 5.69789393432677)); #475452=CARTESIAN_POINT('Ctrl Pts',(-12.6745618933824,8.18077183711769, 5.66681005706455)); #475453=CARTESIAN_POINT('Ctrl Pts',(-12.6894963461761,8.15460067148015, 5.62547465298667)); #475454=CARTESIAN_POINT('Ctrl Pts',(-12.7042438525434,8.12905228519959, 5.58320118699371)); #475455=CARTESIAN_POINT('Ctrl Pts',(-12.718876774409,8.10398646563006,5.54006525009865)); #475456=CARTESIAN_POINT('Ctrl Pts',(-12.7330974337226,8.07962684123068, 5.498144609205)); #475457=CARTESIAN_POINT('Ctrl Pts',(-12.7472098195762,8.05572305294095, 5.45540934439374)); #475458=CARTESIAN_POINT('Ctrl Pts',(-12.7613009284201,8.03211055631882, 5.41195587042461)); #475459=CARTESIAN_POINT('Ctrl Pts',(-12.7658163832318,8.02454400050903, 5.39803133117834)); #475460=CARTESIAN_POINT('Ctrl Pts',(-12.7703298183337,8.01700706977217, 5.38403325827243)); #475461=CARTESIAN_POINT('Ctrl Pts',(-12.7748445764568,8.00949351823474, 5.36996545344253)); #475462=CARTESIAN_POINT('Ctrl Pts',(-13.29899341844,7.101670963921,3.404810240976)); #475463=CARTESIAN_POINT('Ctrl Pts',(-13.29781479854,7.04321205828,3.430378182929)); #475464=CARTESIAN_POINT('Ctrl Pts',(-13.311580752,6.927432453288,3.484641413773)); #475465=CARTESIAN_POINT('Ctrl Pts',(-13.35642763041,6.821245802419,3.541663609557)); #475466=CARTESIAN_POINT('Ctrl Pts',(-13.38593942645,6.772313351961,3.569931974769)); #475467=CARTESIAN_POINT('Ctrl Pts',(-13.28361750779,7.132423380754,3.472984294701)); #475468=CARTESIAN_POINT('Ctrl Pts',(-13.28233431213,7.073687925694,3.49891070952)); #475469=CARTESIAN_POINT('Ctrl Pts',(-13.29608487824,6.957375687685,3.553976857243)); #475470=CARTESIAN_POINT('Ctrl Pts',(-13.34128714388,6.850822078625,3.61187824063)); #475471=CARTESIAN_POINT('Ctrl Pts',(-13.3710559345,6.80177544702,3.640579670255)); #475472=CARTESIAN_POINT('Ctrl Pts',(-13.25553668136,7.188643361249,3.593945999756)); #475473=CARTESIAN_POINT('Ctrl Pts',(-13.25399712007,7.129343005596,3.620610841433)); #475474=CARTESIAN_POINT('Ctrl Pts',(-13.26763947805,7.011935891279,3.677338611092)); #475475=CARTESIAN_POINT('Ctrl Pts',(-13.31351263435,6.904620768962,3.737065668634)); #475476=CARTESIAN_POINT('Ctrl Pts',(-13.34378436978,6.855335618774,3.766665909022)); #475477=CARTESIAN_POINT('Ctrl Pts',(-13.21961666427,7.256935259198,3.74494602054)); #475478=CARTESIAN_POINT('Ctrl Pts',(-13.21811468617,7.197173995853,3.771840757478)); #475479=CARTESIAN_POINT('Ctrl Pts',(-13.23209216554,7.078896129528,3.829118588312)); #475480=CARTESIAN_POINT('Ctrl Pts',(-13.27877414748,6.970993545509,3.889476102184)); #475481=CARTESIAN_POINT('Ctrl Pts',(-13.3095443336,6.921525325861,3.919384766397)); #475482=CARTESIAN_POINT('Ctrl Pts',(-13.19319966331,7.305486044069,3.856061046163)); #475483=CARTESIAN_POINT('Ctrl Pts',(-13.19188758605,7.245520853709,3.882841776582)); #475484=CARTESIAN_POINT('Ctrl Pts',(-13.20631563886,7.126877457943,3.939892259876)); #475485=CARTESIAN_POINT('Ctrl Pts',(-13.25355294824,7.018750264872,4.000023193016)); #475486=CARTESIAN_POINT('Ctrl Pts',(-13.28461451777,6.969216789344,4.029818562605)); #475487=CARTESIAN_POINT('Ctrl Pts',(-13.1665099129,7.352835423481,3.969461709768)); #475488=CARTESIAN_POINT('Ctrl Pts',(-13.16557783339,7.292826776324,3.995788769477)); #475489=CARTESIAN_POINT('Ctrl Pts',(-13.18069536179,7.174144251693,4.051856056483)); #475490=CARTESIAN_POINT('Ctrl Pts',(-13.22844216383,7.066039121249,4.110936631316)); #475491=CARTESIAN_POINT('Ctrl Pts',(-13.25970765941,7.016523229715,4.140212642661)); #475492=CARTESIAN_POINT('Ctrl Pts',(-13.13428949765,7.412968488923,4.105590675135)); #475493=CARTESIAN_POINT('Ctrl Pts',(-13.1333068243,7.352550203623,4.132364982242)); #475494=CARTESIAN_POINT('Ctrl Pts',(-13.14859676363,7.233100414698,4.189444795818)); #475495=CARTESIAN_POINT('Ctrl Pts',(-13.19702406076,7.124514178897,4.249642765345)); #475496=CARTESIAN_POINT('Ctrl Pts',(-13.22873360025,7.074869131936,4.279468521174)); #475497=CARTESIAN_POINT('Ctrl Pts',(-13.09595946046,7.491070577763,4.256093329298)); #475498=CARTESIAN_POINT('Ctrl Pts',(-13.09384682212,7.429361791504,4.285249219107)); #475499=CARTESIAN_POINT('Ctrl Pts',(-13.1079733102,7.307375213878,4.347683123323)); #475500=CARTESIAN_POINT('Ctrl Pts',(-13.1574008811,7.197061717712,4.41376052377)); #475501=CARTESIAN_POINT('Ctrl Pts',(-13.19008626451,7.146915839717,4.44648107717)); #475502=CARTESIAN_POINT('Ctrl Pts',(-13.05476520235,7.574123971889,4.403552967297)); #475503=CARTESIAN_POINT('Ctrl Pts',(-13.05140414288,7.511021255477,4.434989760486)); #475504=CARTESIAN_POINT('Ctrl Pts',(-13.06423022311,7.386285395168,4.50265649896)); #475505=CARTESIAN_POINT('Ctrl Pts',(-13.11473270445,7.274121938505,4.574565153423)); #475506=CARTESIAN_POINT('Ctrl Pts',(-13.14847596208,7.223457461895,4.610150405444)); #475507=CARTESIAN_POINT('Ctrl Pts',(-13.01725478722,7.642450039502,4.53332213213)); #475508=CARTESIAN_POINT('Ctrl Pts',(-13.01369215801,7.57872968732,4.565309968784)); #475509=CARTESIAN_POINT('Ctrl Pts',(-13.02654941168,7.452812670302,4.634294322065)); #475510=CARTESIAN_POINT('Ctrl Pts',(-13.0779081543,7.33989556593,4.707713511566)); #475511=CARTESIAN_POINT('Ctrl Pts',(-13.11224889388,7.289030237971,4.744037713331)); #475512=CARTESIAN_POINT('Ctrl Pts',(-12.98581165625,7.694540267591,4.643465503306)); #475513=CARTESIAN_POINT('Ctrl Pts',(-12.9827654274,7.630789306046,4.674909331164)); #475514=CARTESIAN_POINT('Ctrl Pts',(-12.99653617282,7.504873416232,4.742683388053)); #475515=CARTESIAN_POINT('Ctrl Pts',(-13.04850980106,7.392014015123,4.814783421506)); #475516=CARTESIAN_POINT('Ctrl Pts',(-13.08307640204,7.34117515646,4.850457377035)); #475517=CARTESIAN_POINT('Ctrl Pts',(-12.95486519763,7.746253076141,4.752787076859)); #475518=CARTESIAN_POINT('Ctrl Pts',(-12.95219970867,7.682401592063,4.783888562749)); #475519=CARTESIAN_POINT('Ctrl Pts',(-12.96669766279,7.556344178754,4.850913913104)); #475520=CARTESIAN_POINT('Ctrl Pts',(-13.01927684268,7.443451535742,4.922208396502)); #475521=CARTESIAN_POINT('Ctrl Pts',(-13.05410522897,7.39261926509,4.957484480895)); #475522=CARTESIAN_POINT('Ctrl Pts',(-12.91695266143,7.811475180195,4.885973566626)); #475523=CARTESIAN_POINT('Ctrl Pts',(-12.9143694016,7.747241334975,4.917259835654)); #475524=CARTESIAN_POINT('Ctrl Pts',(-12.92925696267,7.620486056722,4.98474511287)); #475525=CARTESIAN_POINT('Ctrl Pts',(-12.98262193236,7.507198293503,5.056580459613)); #475526=CARTESIAN_POINT('Ctrl Pts',(-13.01791594647,7.456279728354,5.092120153882)); #475527=CARTESIAN_POINT('Ctrl Pts',(-12.85291631558,7.927140867383,5.102444116033)); #475528=CARTESIAN_POINT('Ctrl Pts',(-12.84941653702,7.86150048559,5.135583562922)); #475529=CARTESIAN_POINT('Ctrl Pts',(-12.86360199906,7.732025021508,5.207404531585)); #475530=CARTESIAN_POINT('Ctrl Pts',(-12.9184637137,7.61702167908,5.284138465636)); #475531=CARTESIAN_POINT('Ctrl Pts',(-12.95497080091,7.565673796358,5.322079713508)); #475532=CARTESIAN_POINT('Ctrl Pts',(-12.80048439445,8.018834014596,5.267240252887)); #475533=CARTESIAN_POINT('Ctrl Pts',(-12.7964000327,7.952128048661,5.301477757189)); #475534=CARTESIAN_POINT('Ctrl Pts',(-12.8102373774,7.820593754308,5.375953764679)); #475535=CARTESIAN_POINT('Ctrl Pts',(-12.86633789064,7.704304162322,5.455754838113)); #475536=CARTESIAN_POINT('Ctrl Pts',(-12.9037930506,7.652640869786,5.495194852528)); #475537=CARTESIAN_POINT('Ctrl Pts',(-12.76279484896,8.081334248707,5.384146300555)); #475538=CARTESIAN_POINT('Ctrl Pts',(-12.75865325295,8.014131870212,5.418698571683)); #475539=CARTESIAN_POINT('Ctrl Pts',(-12.77270695699,7.881668489689,5.493968454611)); #475540=CARTESIAN_POINT('Ctrl Pts',(-12.82963089515,7.764840386374,5.574711366456)); #475541=CARTESIAN_POINT('Ctrl Pts',(-12.86761405928,7.7130606604,5.614609848401)); #475542=CARTESIAN_POINT('',(-13.28994843639,7.07703894602,3.466917585119)); #475543=CARTESIAN_POINT('Origin',(-14.0235329140335,7.16959026798616,3.59256816035515)); #475544=CARTESIAN_POINT('Ctrl Pts',(-12.7748445771,8.009493518332,5.369965453597)); #475545=CARTESIAN_POINT('Ctrl Pts',(-12.80794557113,7.954404972868,5.266824781052)); #475546=CARTESIAN_POINT('Ctrl Pts',(-12.85624409485,7.871206354635,5.114312459729)); #475547=CARTESIAN_POINT('Ctrl Pts',(-12.91688566724,7.763598693149,4.909827291101)); #475548=CARTESIAN_POINT('Ctrl Pts',(-12.95471571691,7.69866234044,4.776490953184)); #475549=CARTESIAN_POINT('Ctrl Pts',(-12.98538067189,7.647018394127,4.667431731725)); #475550=CARTESIAN_POINT('Ctrl Pts',(-13.0164487215,7.594945721281,4.557706249858)); #475551=CARTESIAN_POINT('Ctrl Pts',(-13.05406960109,7.527075494038,4.427505555076)); #475552=CARTESIAN_POINT('Ctrl Pts',(-13.09608648276,7.445058383882,4.278279262464)); #475553=CARTESIAN_POINT('Ctrl Pts',(-13.13515985142,7.367915501007,4.125941546105)); #475554=CARTESIAN_POINT('Ctrl Pts',(-13.16739279401,7.308082206081,3.989467926063)); #475555=CARTESIAN_POINT('Ctrl Pts',(-13.19380478776,7.260763511141,3.876412388197)); #475556=CARTESIAN_POINT('Ctrl Pts',(-13.22007369875,7.21235101936,3.765387238041)); #475557=CARTESIAN_POINT('Ctrl Pts',(-13.25252928064,7.150933785517,3.628538222763)); #475558=CARTESIAN_POINT('Ctrl Pts',(-13.27761554082,7.101407504581,3.520971779495)); #475559=CARTESIAN_POINT('Ctrl Pts',(-13.28994843639,7.07703894602,3.466917585119)); #475560=CARTESIAN_POINT('Ctrl Pts',(-13.74786975211,6.14671792434,0.6964562875078)); #475561=CARTESIAN_POINT('Ctrl Pts',(-13.74747321435,6.090829689221,0.7125146206289)); #475562=CARTESIAN_POINT('Ctrl Pts',(-13.76005025983,5.981206011413,0.745650513587)); #475563=CARTESIAN_POINT('Ctrl Pts',(-13.79803117385,5.878067144087,0.7800327184786)); #475564=CARTESIAN_POINT('Ctrl Pts',(-13.82243229137,5.830199049123,0.7968205459526)); #475565=CARTESIAN_POINT('Ctrl Pts',(-13.74234303682,6.159575117788,0.7409974118577)); #475566=CARTESIAN_POINT('Ctrl Pts',(-13.74194884267,6.103606170326,0.7571538158874)); #475567=CARTESIAN_POINT('Ctrl Pts',(-13.75457668446,5.99383024666,0.7904973802143)); #475568=CARTESIAN_POINT('Ctrl Pts',(-13.79269263912,5.89057995168,0.8250992429269)); #475569=CARTESIAN_POINT('Ctrl Pts',(-13.81717752003,5.842672892808,0.8419939235636)); #475570=CARTESIAN_POINT('Ctrl Pts',(-13.73283555443,6.179149445891,0.8198203219265)); #475571=CARTESIAN_POINT('Ctrl Pts',(-13.73273638756,6.123322563487,0.8352417732809)); #475572=CARTESIAN_POINT('Ctrl Pts',(-13.74579539898,6.013852792077,0.8670504083259)); #475573=CARTESIAN_POINT('Ctrl Pts',(-13.7840385302,5.910878277293,0.9000451437932)); #475574=CARTESIAN_POINT('Ctrl Pts',(-13.80851526341,5.863081075736,0.9161564945728)); #475575=CARTESIAN_POINT('Ctrl Pts',(-13.71691637799,6.214126637965,0.9562124599039)); #475576=CARTESIAN_POINT('Ctrl Pts',(-13.71701693109,6.158286233191,0.9713311759787)); #475577=CARTESIAN_POINT('Ctrl Pts',(-13.7304412573,6.048820698657,1.002512412068)); #475578=CARTESIAN_POINT('Ctrl Pts',(-13.76897862355,5.945897948797,1.034854016378)); #475579=CARTESIAN_POINT('Ctrl Pts',(-13.79358009626,5.898134335224,1.050646666418)); #475580=CARTESIAN_POINT('Ctrl Pts',(-13.70319264091,6.246270572358,1.072074575383)); #475581=CARTESIAN_POINT('Ctrl Pts',(-13.70320334822,6.190168446034,1.087796179162)); #475582=CARTESIAN_POINT('Ctrl Pts',(-13.71663230512,6.080201799249,1.120241399864)); #475583=CARTESIAN_POINT('Ctrl Pts',(-13.75551526617,5.976910276273,1.15391022268)); #475584=CARTESIAN_POINT('Ctrl Pts',(-13.78035954146,5.929019936838,1.170349413914)); #475585=CARTESIAN_POINT('Ctrl Pts',(-13.68894540999,6.281873125985,1.182355120068)); #475586=CARTESIAN_POINT('Ctrl Pts',(-13.68856390582,6.225220673437,1.199523788209)); #475587=CARTESIAN_POINT('Ctrl Pts',(-13.70164277187,6.114170736398,1.235012292276)); #475588=CARTESIAN_POINT('Ctrl Pts',(-13.74098764581,6.010040201069,1.271884005918)); #475589=CARTESIAN_POINT('Ctrl Pts',(-13.76623693676,5.96184952983,1.289882758233)); #475590=CARTESIAN_POINT('Ctrl Pts',(-13.67394602924,6.320159127625,1.290023845843)); #475591=CARTESIAN_POINT('Ctrl Pts',(-13.67302688653,6.262826614278,1.308934840002)); #475592=CARTESIAN_POINT('Ctrl Pts',(-13.68558535579,6.150431599492,1.348103807383)); #475593=CARTESIAN_POINT('Ctrl Pts',(-13.7254500824,6.045258066877,1.388861609195)); #475594=CARTESIAN_POINT('Ctrl Pts',(-13.75118353344,5.996696023224,1.408751399731)); #475595=CARTESIAN_POINT('Ctrl Pts',(-13.65716420159,6.359810914257,1.401411022647)); #475596=CARTESIAN_POINT('Ctrl Pts',(-13.65599812157,6.302029285147,1.421167828863)); #475597=CARTESIAN_POINT('Ctrl Pts',(-13.66840125237,6.188758784471,1.462143414772)); #475598=CARTESIAN_POINT('Ctrl Pts',(-13.70874198969,6.082926781217,1.504824190053)); #475599=CARTESIAN_POINT('Ctrl Pts',(-13.73484562794,6.034136621027,1.525648284611)); #475600=CARTESIAN_POINT('Ctrl Pts',(-13.63945484934,6.398996721636,1.516397444412)); #475601=CARTESIAN_POINT('Ctrl Pts',(-13.6383242084,6.340977907822,1.536298057226)); #475602=CARTESIAN_POINT('Ctrl Pts',(-13.65092562886,6.227263120459,1.577591801962)); #475603=CARTESIAN_POINT('Ctrl Pts',(-13.69169491375,6.121114096531,1.620619619145)); #475604=CARTESIAN_POINT('Ctrl Pts',(-13.71805515989,6.072216372479,1.641611546869)); #475605=CARTESIAN_POINT('Ctrl Pts',(-13.6212483374,6.436610655066,1.635881822874)); #475606=CARTESIAN_POINT('Ctrl Pts',(-13.62046523922,6.37860949243,1.655137575336)); #475607=CARTESIAN_POINT('Ctrl Pts',(-13.63364715059,6.264967070175,1.695076619548)); #475608=CARTESIAN_POINT('Ctrl Pts',(-13.67476882947,6.158910548367,1.736679795373)); #475609=CARTESIAN_POINT('Ctrl Pts',(-13.70124569701,6.110050917372,1.756977927654)); #475610=CARTESIAN_POINT('Ctrl Pts',(-13.5994299501,6.482719344511,1.783156757902)); #475611=CARTESIAN_POINT('Ctrl Pts',(-13.59887953058,6.424601814134,1.802107439889)); #475612=CARTESIAN_POINT('Ctrl Pts',(-13.61252956924,6.310767545506,1.841415075143)); #475613=CARTESIAN_POINT('Ctrl Pts',(-13.6541118036,6.204605887713,1.882361593507)); #475614=CARTESIAN_POINT('Ctrl Pts',(-13.68080683389,6.155717839886,1.902339242549)); #475615=CARTESIAN_POINT('Ctrl Pts',(-13.57364707643,6.539963361914,1.953434753271)); #475616=CARTESIAN_POINT('Ctrl Pts',(-13.57294466362,6.481349958647,1.973164012375)); #475617=CARTESIAN_POINT('Ctrl Pts',(-13.58663175431,6.366565818359,2.014137171059)); #475618=CARTESIAN_POINT('Ctrl Pts',(-13.62887940381,6.259713186028,2.056858408419)); #475619=CARTESIAN_POINT('Ctrl Pts',(-13.65603371718,6.21059233788,2.077698150354)); #475620=CARTESIAN_POINT('Ctrl Pts',(-13.54686256368,6.601108407805,2.118366158453)); #475621=CARTESIAN_POINT('Ctrl Pts',(-13.54569629208,6.541743702078,2.139600323741)); #475622=CARTESIAN_POINT('Ctrl Pts',(-13.55904729036,6.425496046596,2.183797046486)); #475623=CARTESIAN_POINT('Ctrl Pts',(-13.60206070758,6.317565318088,2.229956561645)); #475624=CARTESIAN_POINT('Ctrl Pts',(-13.62982829153,6.268083783597,2.252466179217)); #475625=CARTESIAN_POINT('Ctrl Pts',(-13.51814782172,6.663785074096,2.285574142315)); #475626=CARTESIAN_POINT('Ctrl Pts',(-13.51676133235,6.603858077827,2.307683959519)); #475627=CARTESIAN_POINT('Ctrl Pts',(-13.53008461944,6.486533417623,2.353777217067)); #475628=CARTESIAN_POINT('Ctrl Pts',(-13.57383272267,6.377841344774,2.401975560233)); #475629=CARTESIAN_POINT('Ctrl Pts',(-13.60212163431,6.328116408029,2.425473895484)); #475630=CARTESIAN_POINT('Ctrl Pts',(-13.47817202144,6.747871900536,2.511218088942)); #475631=CARTESIAN_POINT('Ctrl Pts',(-13.47676896137,6.68739584153,2.533874180087)); #475632=CARTESIAN_POINT('Ctrl Pts',(-13.49040707242,6.569045385628,2.581169253464)); #475633=CARTESIAN_POINT('Ctrl Pts',(-13.53510090058,6.459662719549,2.630673698228)); #475634=CARTESIAN_POINT('Ctrl Pts',(-13.56398154228,6.409726906717,2.654804117914)); #475635=CARTESIAN_POINT('Ctrl Pts',(-13.42679730359,6.855362020091,2.790236720178)); #475636=CARTESIAN_POINT('Ctrl Pts',(-13.42520203162,6.794049812217,2.813948677989)); #475637=CARTESIAN_POINT('Ctrl Pts',(-13.43903580701,6.674118314133,2.863555213754)); #475638=CARTESIAN_POINT('Ctrl Pts',(-13.48498632004,6.563656216449,2.915563232207)); #475639=CARTESIAN_POINT('Ctrl Pts',(-13.51470238112,6.513389679386,2.940906022596)); #475640=CARTESIAN_POINT('Ctrl Pts',(-13.35865561208,6.9974588703,3.132340722981)); #475641=CARTESIAN_POINT('Ctrl Pts',(-13.35641063466,6.934747020005,3.158142442193)); #475642=CARTESIAN_POINT('Ctrl Pts',(-13.3700194617,6.812133063504,3.212340740976)); #475643=CARTESIAN_POINT('Ctrl Pts',(-13.41772898889,6.699826309594,3.269335149849)); #475644=CARTESIAN_POINT('Ctrl Pts',(-13.44872356781,6.649001710061,3.297091443689)); #475645=CARTESIAN_POINT('Ctrl Pts',(-13.30820062764,7.100900241917,3.360896312175)); #475646=CARTESIAN_POINT('Ctrl Pts',(-13.30533419155,7.037072669322,3.388335155081)); #475647=CARTESIAN_POINT('Ctrl Pts',(-13.31859849242,6.912312756793,3.44618110577)); #475648=CARTESIAN_POINT('Ctrl Pts',(-13.36762877035,6.798556624781,3.507174792598)); #475649=CARTESIAN_POINT('Ctrl Pts',(-13.3996197836,6.74731294032,3.536863332875)); #475650=CARTESIAN_POINT('Ctrl Pts',(-13.28017809144,7.156843140128,3.482636666852)); #475651=CARTESIAN_POINT('Ctrl Pts',(-13.27706702345,7.092453046004,3.510742014032)); #475652=CARTESIAN_POINT('Ctrl Pts',(-13.29027019365,6.966615810017,3.570095976067)); #475653=CARTESIAN_POINT('Ctrl Pts',(-13.34002825982,6.852136360964,3.632760152104)); #475654=CARTESIAN_POINT('Ctrl Pts',(-13.37253880252,6.800684040911,3.663254242282)); #475655=CARTESIAN_POINT('',(-13.74379553216,6.107043715644,0.7585880961706)); #475656=CARTESIAN_POINT('Ctrl Pts',(-13.7437955322315,6.107043715651,0.758588096177336)); #475657=CARTESIAN_POINT('Ctrl Pts',(-13.7413263018865,6.11310629252181, 0.778484269043974)); #475658=CARTESIAN_POINT('Ctrl Pts',(-13.7388370411368,6.11905450927851, 0.798883391223216)); #475659=CARTESIAN_POINT('Ctrl Pts',(-13.736344671685,6.12495810125861,0.819547668832229)); #475660=CARTESIAN_POINT('Ctrl Pts',(-13.7351586202096,6.12776746163392, 0.829381241832349)); #475661=CARTESIAN_POINT('Ctrl Pts',(-13.7339719458297,6.13056687710624, 0.83927417488798)); #475662=CARTESIAN_POINT('Ctrl Pts',(-13.7327869295925,6.13336482196317, 0.849196738567593)); #475663=CARTESIAN_POINT('Ctrl Pts',(-13.7292479939231,6.14172061198885, 0.878829509355764)); #475664=CARTESIAN_POINT('Ctrl Pts',(-13.7257253065259,6.15006168120331, 0.908724345846925)); #475665=CARTESIAN_POINT('Ctrl Pts',(-13.7221500451257,6.15852369600165, 0.938964955524596)); #475666=CARTESIAN_POINT('Ctrl Pts',(-13.7184099013119,6.16737595846776, 0.970600189021785)); #475667=CARTESIAN_POINT('Ctrl Pts',(-13.7146120199294,6.17636000522059, 1.00261559502611)); #475668=CARTESIAN_POINT('Ctrl Pts',(-13.7106927064241,6.18563961452648, 1.03502989687122)); #475669=CARTESIAN_POINT('Ctrl Pts',(-13.7077473033219,6.19261333326581, 1.05938956727846)); #475670=CARTESIAN_POINT('Ctrl Pts',(-13.7047331159909,6.19975452565953, 1.08397415880562)); #475671=CARTESIAN_POINT('Ctrl Pts',(-13.7016161963037,6.20714907192459, 1.10878325486109)); #475672=CARTESIAN_POINT('Ctrl Pts',(-13.7014438085443,6.20755804276443, 1.11015537378305)); #475673=CARTESIAN_POINT('Ctrl Pts',(-13.7012712539949,6.20796760981024, 1.11152682193789)); #475674=CARTESIAN_POINT('Ctrl Pts',(-13.7010985270215,6.20837778797385, 1.11289760260083)); #475675=CARTESIAN_POINT('Ctrl Pts',(-13.6975492968791,6.21680621506279, 1.14106469167951)); #475676=CARTESIAN_POINT('Ctrl Pts',(-13.6939276340642,6.22549191202307, 1.16895004938663)); #475677=CARTESIAN_POINT('Ctrl Pts',(-13.6901749869805,6.2345311172655,1.19672517348099)); #475678=CARTESIAN_POINT('Ctrl Pts',(-13.6864692884001,6.24345723509339, 1.22415280939598)); #475679=CARTESIAN_POINT('Ctrl Pts',(-13.6826357363441,6.25272972116688, 1.2514695169148)); #475680=CARTESIAN_POINT('Ctrl Pts',(-13.6786359740485,6.26220126655353, 1.27928074704794)); #475681=CARTESIAN_POINT('Ctrl Pts',(-13.6727523026349,6.27613395972771, 1.32019121312534)); #475682=CARTESIAN_POINT('Ctrl Pts',(-13.6665074448508,6.29049817735902, 1.36217823733305)); #475683=CARTESIAN_POINT('Ctrl Pts',(-13.6599669743578,6.30516085182781, 1.40550458800727)); #475684=CARTESIAN_POINT('Ctrl Pts',(-13.657857517057,6.30988991309948,1.41947836662813)); #475685=CARTESIAN_POINT('Ctrl Pts',(-13.6557174092404,6.31464971123227, 1.43359164133896)); #475686=CARTESIAN_POINT('Ctrl Pts',(-13.6535492086388,6.31943470545257, 1.44785378281147)); #475687=CARTESIAN_POINT('Ctrl Pts',(-13.6518129899282,6.32326636036902, 1.45927440360561)); #475688=CARTESIAN_POINT('Ctrl Pts',(-13.6500587624524,6.32711418334619, 1.47079041600833)); #475689=CARTESIAN_POINT('Ctrl Pts',(-13.6482874144222,6.33097052117992, 1.48242151074332)); #475690=CARTESIAN_POINT('Ctrl Pts',(-13.6456645842159,6.3366805901122,1.49964364105404)); #475691=CARTESIAN_POINT('Ctrl Pts',(-13.6430206789822,6.34238114451684, 1.51700384713075)); #475692=CARTESIAN_POINT('Ctrl Pts',(-13.6403565758863,6.34804858977966, 1.53458605951824)); #475693=CARTESIAN_POINT('Ctrl Pts',(-13.6359474900534,6.35742820149823, 1.56368458940263)); #475694=CARTESIAN_POINT('Ctrl Pts',(-13.6314825417701,6.36671657853502, 1.59339511266959)); #475695=CARTESIAN_POINT('Ctrl Pts',(-13.6270238505013,6.37604305818622, 1.62329923834949)); #475696=CARTESIAN_POINT('Ctrl Pts',(-13.6215727955499,6.38744531728336, 1.65985907676954)); #475697=CARTESIAN_POINT('Ctrl Pts',(-13.6161325094961,6.39890334557055, 1.69670501607829)); #475698=CARTESIAN_POINT('Ctrl Pts',(-13.6106201378323,6.41060817936173, 1.73398575038685)); #475699=CARTESIAN_POINT('Ctrl Pts',(-13.6074185945941,6.41740625717002, 1.75563811530728)); #475700=CARTESIAN_POINT('Ctrl Pts',(-13.6041926732857,6.42428791420639, 1.77743654354498)); #475701=CARTESIAN_POINT('Ctrl Pts',(-13.6009248104795,6.43129538627107, 1.79940335372315)); #475702=CARTESIAN_POINT('Ctrl Pts',(-13.5955141444886,6.44289779831562, 1.83577424191777)); #475703=CARTESIAN_POINT('Ctrl Pts',(-13.5899896677481,6.45484334805379, 1.87260619101887)); #475704=CARTESIAN_POINT('Ctrl Pts',(-13.5842547683418,6.46730330266602, 1.91012673765504)); #475705=CARTESIAN_POINT('Ctrl Pts',(-13.5811754717124,6.47399355013537, 1.9302730182791)); #475706=CARTESIAN_POINT('Ctrl Pts',(-13.5780563258088,6.48076497095788, 1.95049863887883)); #475707=CARTESIAN_POINT('Ctrl Pts',(-13.5748864162164,6.48764573839087, 1.97079500948865)); #475708=CARTESIAN_POINT('Ctrl Pts',(-13.5688973238576,6.50064596772072, 2.0091421088582)); #475709=CARTESIAN_POINT('Ctrl Pts',(-13.5627269154166,6.51403834257026, 2.04773759059576)); #475710=CARTESIAN_POINT('Ctrl Pts',(-13.5563401018357,6.52779735427576, 2.08684874380451)); #475711=CARTESIAN_POINT('Ctrl Pts',(-13.5535308457269,6.53384929037728, 2.10405188347343)); #475712=CARTESIAN_POINT('Ctrl Pts',(-13.5506796959327,6.53997195094831, 2.12135545731585)); #475713=CARTESIAN_POINT('Ctrl Pts',(-13.5477835711125,6.54616223063744, 2.13878519614086)); #475714=CARTESIAN_POINT('Ctrl Pts',(-13.5386468107064,6.56569146569408, 2.19377293233114)); #475715=CARTESIAN_POINT('Ctrl Pts',(-13.5290605354405,6.58589630926044, 2.25001886082618)); #475716=CARTESIAN_POINT('Ctrl Pts',(-13.5191133937291,6.60677487327959, 2.30733403921704)); #475717=CARTESIAN_POINT('Ctrl Pts',(-13.5148522919951,6.61571871744376, 2.33188639939371)); #475718=CARTESIAN_POINT('Ctrl Pts',(-13.5105252529003,6.62478559362765, 2.35663506458216)); #475719=CARTESIAN_POINT('Ctrl Pts',(-13.5061380695336,6.63399534261002, 2.38152854170769)); #475720=CARTESIAN_POINT('Ctrl Pts',(-13.5006202572627,6.64557855254213, 2.41283736152863)); #475721=CARTESIAN_POINT('Ctrl Pts',(-13.4949862508319,6.65737031245087, 2.4445479374499)); #475722=CARTESIAN_POINT('Ctrl Pts',(-13.4892471731124,6.66937671677779, 2.47658659286289)); #475723=CARTESIAN_POINT('Ctrl Pts',(-13.4771027414377,6.69478340477453, 2.54438342026845)); #475724=CARTESIAN_POINT('Ctrl Pts',(-13.4644901297648,6.72114861842506, 2.61364718387436)); #475725=CARTESIAN_POINT('Ctrl Pts',(-13.4513250169947,6.74856886805353, 2.68429905999361)); #475726=CARTESIAN_POINT('Ctrl Pts',(-13.4434835292174,6.76490109065314, 2.72638117753425)); #475727=CARTESIAN_POINT('Ctrl Pts',(-13.4354456877589,6.78160833575543, 2.76895525504609)); #475728=CARTESIAN_POINT('Ctrl Pts',(-13.4271902079775,6.7987178515091,2.81200022905485)); #475729=CARTESIAN_POINT('Ctrl Pts',(-13.4212396062622,6.81105049800442, 2.84302731687506)); #475730=CARTESIAN_POINT('Ctrl Pts',(-13.4151758806841,6.8235923103246,2.8742988705516)); #475731=CARTESIAN_POINT('Ctrl Pts',(-13.4089895199242,6.83634993440675, 2.90581811641512)); #475732=CARTESIAN_POINT('Ctrl Pts',(-13.3959298621562,6.86328179481868, 2.97235651921019)); #475733=CARTESIAN_POINT('Ctrl Pts',(-13.3825511532507,6.89074371743318, 3.03882083583729)); #475734=CARTESIAN_POINT('Ctrl Pts',(-13.3686664565794,6.91904952121812, 3.10578699510773)); #475735=CARTESIAN_POINT('Ctrl Pts',(-13.3661909604383,6.92409614986968, 3.1177263606395)); #475736=CARTESIAN_POINT('Ctrl Pts',(-13.363699309527,6.92916970569551,3.12968163095056)); #475737=CARTESIAN_POINT('Ctrl Pts',(-13.3611903456588,6.93427210848317, 3.14165601121659)); #475738=CARTESIAN_POINT('Ctrl Pts',(-13.3470081664727,6.96311397086725, 3.20934244095188)); #475739=CARTESIAN_POINT('Ctrl Pts',(-13.3322747470864,6.99287710615109, 3.27763691284667)); #475740=CARTESIAN_POINT('Ctrl Pts',(-13.3168348751972,7.02371082129099, 3.34731405463106)); #475741=CARTESIAN_POINT('Ctrl Pts',(-13.3081092928072,7.04113597376472, 3.38669091252894)); #475742=CARTESIAN_POINT('Ctrl Pts',(-13.2991576462803,7.05890321266823, 3.4265098253682)); #475743=CARTESIAN_POINT('Ctrl Pts',(-13.2899484363921,7.07703894602026, 3.46691758511936)); #475744=CARTESIAN_POINT('Origin',(-14.4885035151391,6.18024121824535,0.82871097731888)); #475745=CARTESIAN_POINT('Ctrl Pts',(-13.8358677408615,5.93152599758216, -0.134584352497073)); #475746=CARTESIAN_POINT('Ctrl Pts',(-13.8361643714774,5.82548815730588, -0.108714163600877)); #475747=CARTESIAN_POINT('Ctrl Pts',(-13.8606360630276,5.72016040580737, -0.0806127617105786)); #475748=CARTESIAN_POINT('Ctrl Pts',(-13.9070069318456,5.62532123792906, -0.0528893923940273)); #475749=CARTESIAN_POINT('Ctrl Pts',(-13.8323248828023,5.93988900196592, -0.098869462177444)); #475750=CARTESIAN_POINT('Ctrl Pts',(-13.8326741944295,5.8338023418757,-0.0730347550536998)); #475751=CARTESIAN_POINT('Ctrl Pts',(-13.8572163575456,5.72843669528281, -0.0449701072350401)); #475752=CARTESIAN_POINT('Ctrl Pts',(-13.9036673352109,5.63358099671341, -0.0172832711608932)); #475753=CARTESIAN_POINT('Ctrl Pts',(-13.8267108408005,5.95274970629221, -0.0420654736135033)); #475754=CARTESIAN_POINT('Ctrl Pts',(-13.8272224518713,5.84666996284598, -0.0165662106656844)); #475755=CARTESIAN_POINT('Ctrl Pts',(-13.8518901456022,5.74133264181983, 0.0111302232914426)); #475756=CARTESIAN_POINT('Ctrl Pts',(-13.8984217268611,5.64650900645306, 0.0384543863876639)); #475757=CARTESIAN_POINT('Ctrl Pts',(-13.81716109349,5.974100128257,0.05697010225501)); #475758=CARTESIAN_POINT('Ctrl Pts',(-13.81804081513,5.868150456358,0.08156811618162)); #475759=CARTESIAN_POINT('Ctrl Pts',(-13.84293294193,5.762985250138,0.1082720664442)); #475760=CARTESIAN_POINT('Ctrl Pts',(-13.88953813006,5.668302211204,0.1346191647033)); #475761=CARTESIAN_POINT('Ctrl Pts',(-13.80752311375,5.996313016574,0.1592686682078)); #475762=CARTESIAN_POINT('Ctrl Pts',(-13.80860148717,5.890331313205,0.1836428432064)); #475763=CARTESIAN_POINT('Ctrl Pts',(-13.83368389841,5.785169200103,0.2101024894485)); #475764=CARTESIAN_POINT('Ctrl Pts',(-13.88045432647,5.690520470815,0.2362087473018)); #475765=CARTESIAN_POINT('Ctrl Pts',(-13.79602541357,6.02417014758,0.2784569141664)); #475766=CARTESIAN_POINT('Ctrl Pts',(-13.79700369365,5.917796996703,0.3038341201081)); #475767=CARTESIAN_POINT('Ctrl Pts',(-13.82225221901,5.812269756838,0.3314084743838)); #475768=CARTESIAN_POINT('Ctrl Pts',(-13.86941418372,5.717422241382,0.3586105919687)); #475769=CARTESIAN_POINT('Ctrl Pts',(-13.78609411194,6.04937903312,0.3746422167147)); #475770=CARTESIAN_POINT('Ctrl Pts',(-13.78670131468,5.942362210993,0.4018629277018)); #475771=CARTESIAN_POINT('Ctrl Pts',(-13.81204448742,5.836200630929,0.4314880525432)); #475772=CARTESIAN_POINT('Ctrl Pts',(-13.8597131212,5.740974069313,0.4607059669673)); #475773=CARTESIAN_POINT('Ctrl Pts',(-13.77768287346,6.071244986923,0.4500001259279)); #475774=CARTESIAN_POINT('Ctrl Pts',(-13.777833774,5.963547396406,0.4791206315843)); #475775=CARTESIAN_POINT('Ctrl Pts',(-13.80322912852,5.856706358373,0.5108717432685)); #475776=CARTESIAN_POINT('Ctrl Pts',(-13.85140438032,5.7610722781,0.5421775153194)); #475777=CARTESIAN_POINT('Ctrl Pts',(-13.76883191104,6.093698798162,0.5260672666978)); #475778=CARTESIAN_POINT('Ctrl Pts',(-13.76861395648,5.985396992673,0.5567335180216)); #475779=CARTESIAN_POINT('Ctrl Pts',(-13.79408562437,5.877957803565,0.590222380677)); #475780=CARTESIAN_POINT('Ctrl Pts',(-13.84273195993,5.781970365382,0.6232335600543)); #475781=CARTESIAN_POINT('Ctrl Pts',(-13.753499528805,6.13071164186524,0.652045149571412)); #475782=CARTESIAN_POINT('Ctrl Pts',(-13.7530396725105,6.02173692869606, 0.684018316144846)); #475783=CARTESIAN_POINT('Ctrl Pts',(-13.7787257841968,5.91365213114759, 0.718990321342184)); #475784=CARTESIAN_POINT('Ctrl Pts',(-13.8279774148369,5.81729581984867, 0.753454994858008)); #475785=CARTESIAN_POINT('Ctrl Pts',(-13.7397167853366,6.16127238573348, 0.76313807731491)); #475786=CARTESIAN_POINT('Ctrl Pts',(-13.7396088696624,6.0522350026223,0.794488763889486)); #475787=CARTESIAN_POINT('Ctrl Pts',(-13.7656023755219,5.94413686966748, 0.828776368378369)); #475788=CARTESIAN_POINT('Ctrl Pts',(-13.8150905901115,5.84779931933341, 0.862567107873507)); #475789=CARTESIAN_POINT('Ctrl Pts',(-13.7310900592953,6.17897655918922, 0.83637433805677)); #475790=CARTESIAN_POINT('Ctrl Pts',(-13.7315054350145,6.07020253570527, 0.866453189577868)); #475791=CARTESIAN_POINT('Ctrl Pts',(-13.7577475974443,5.96241245747078, 0.89931462841037)); #475792=CARTESIAN_POINT('Ctrl Pts',(-13.8072123518937,5.86628311734153, 0.931705200050491)); #475793=CARTESIAN_POINT('',(-13.821757550639,5.92057624403622,0.0411676021153154)); #475794=CARTESIAN_POINT('Ctrl Pts',(-13.8217579239829,5.92057656594274, 0.0411655028520364)); #475795=CARTESIAN_POINT('Ctrl Pts',(-13.8258870708556,5.88061252011355, 0.03577166075795)); #475796=CARTESIAN_POINT('Ctrl Pts',(-13.8328152398587,5.8407642889921,0.0316275354107899)); #475797=CARTESIAN_POINT('Ctrl Pts',(-13.8521719554588,5.76302912499063, 0.0261186260264134)); #475798=CARTESIAN_POINT('Ctrl Pts',(-13.8644944606664,5.72512171650354, 0.0247034687071919)); #475799=CARTESIAN_POINT('Ctrl Pts',(-13.8795836807472,5.68833523730826, 0.0247088373657766)); #475800=CARTESIAN_POINT('Ctrl Pts',(-13.8217575192724,5.92057563161995, 0.0411721032603536)); #475801=CARTESIAN_POINT('Ctrl Pts',(-13.821560085418,5.92104677998974,0.0432318960074797)); #475802=CARTESIAN_POINT('Ctrl Pts',(-13.8213628319409,5.92151747716072, 0.0452914752044083)); #475803=CARTESIAN_POINT('Ctrl Pts',(-13.8211657669594,5.92198776465256, 0.047350684953038)); #475804=CARTESIAN_POINT('Ctrl Pts',(-13.8174725648611,5.93080143990985, 0.0859424109203275)); #475805=CARTESIAN_POINT('Ctrl Pts',(-13.8138460133314,5.93947019473122, 0.12440482374524)); #475806=CARTESIAN_POINT('Ctrl Pts',(-13.8102010158059,5.94822064070171, 0.162694749901041)); #475807=CARTESIAN_POINT('Ctrl Pts',(-13.8099802494264,5.94875062851711, 0.165013853908278)); #475808=CARTESIAN_POINT('Ctrl Pts',(-13.8097594143623,5.94928090830079, 0.167332327483598)); #475809=CARTESIAN_POINT('Ctrl Pts',(-13.8095384912951,5.94981154031271, 0.169650145250733)); #475810=CARTESIAN_POINT('Ctrl Pts',(-13.8078383066504,5.95389518992956, 0.187487658676583)); #475811=CARTESIAN_POINT('Ctrl Pts',(-13.8061329354015,5.95799965332248, 0.205286322571229)); #475812=CARTESIAN_POINT('Ctrl Pts',(-13.8044147035716,5.96214782055984, 0.223041354351781)); #475813=CARTESIAN_POINT('Ctrl Pts',(-13.8034439535917,5.96449141191467, 0.233072420267068)); #475814=CARTESIAN_POINT('Ctrl Pts',(-13.8024690947207,5.96684896959859, 0.243089530209249)); #475815=CARTESIAN_POINT('Ctrl Pts',(-13.8014887088972,5.96922464458817, 0.25309177629581)); #475816=CARTESIAN_POINT('Ctrl Pts',(-13.8009985159854,5.97041248208295, 0.258092899339091)); #475817=CARTESIAN_POINT('Ctrl Pts',(-13.8005069409375,5.97160484886864, 0.263090306421278)); #475818=CARTESIAN_POINT('Ctrl Pts',(-13.8000138041015,5.97280226360097, 0.268083884097652)); #475819=CARTESIAN_POINT('Ctrl Pts',(-13.7995206672654,5.97399967833329, 0.273077461774025)); #475820=CARTESIAN_POINT('Ctrl Pts',(-13.7990259686415,5.97520214101239, 0.278067210043476)); #475821=CARTESIAN_POINT('Ctrl Pts',(-13.7985295269746,5.97641017014687, 0.283053015510648)); #475822=CARTESIAN_POINT('Ctrl Pts',(-13.7983255226489,5.97690658933473, 0.285101848134096)); #475823=CARTESIAN_POINT('Ctrl Pts',(-13.7981212092083,5.97740352330187, 0.287150730495846)); #475824=CARTESIAN_POINT('Ctrl Pts',(-13.797916577666,5.97790102010645,0.289199585896363)); #475825=CARTESIAN_POINT('Ctrl Pts',(-13.7940175900892,5.98738017389769, 0.328237857616734)); #475826=CARTESIAN_POINT('Ctrl Pts',(-13.7900028735788,5.99706528913695, 0.367262895835537)); #475827=CARTESIAN_POINT('Ctrl Pts',(-13.7858085520082,6.00725531888714, 0.405873989690817)); #475828=CARTESIAN_POINT('Ctrl Pts',(-13.7837198381901,6.01232981200564, 0.425101777525556)); #475829=CARTESIAN_POINT('Ctrl Pts',(-13.7815866008573,6.01752907717478, 0.444227889929296)); #475830=CARTESIAN_POINT('Ctrl Pts',(-13.7794061433486,6.02280856811201, 0.463379771709865)); #475831=CARTESIAN_POINT('Ctrl Pts',(-13.7772474882369,6.02803526941339, 0.482340153802869)); #475832=CARTESIAN_POINT('Ctrl Pts',(-13.7750426131817,6.03334052707141, 0.501325724073934)); #475833=CARTESIAN_POINT('Ctrl Pts',(-13.7728025750428,6.0387011329346,0.520322802478116)); #475834=CARTESIAN_POINT('Ctrl Pts',(-13.7727701725596,6.03877867489171, 0.520597598028545)); #475835=CARTESIAN_POINT('Ctrl Pts',(-13.7727377623156,6.03885623502764, 0.520872393468861)); #475836=CARTESIAN_POINT('Ctrl Pts',(-13.7727053443292,6.03893381320025, 0.521147189007456)); #475837=CARTESIAN_POINT('Ctrl Pts',(-13.7715766081699,6.04163494606542, 0.530715076926104)); #475838=CARTESIAN_POINT('Ctrl Pts',(-13.7704384927484,6.04435793835452, 0.540283067553749)); #475839=CARTESIAN_POINT('Ctrl Pts',(-13.7692924271718,6.04709780122883, 0.549854825511293)); #475840=CARTESIAN_POINT('Ctrl Pts',(-13.7687766228642,6.04833091833969, 0.554162741293919)); #475841=CARTESIAN_POINT('Ctrl Pts',(-13.7682592073937,6.04956745241761, 0.558471420706142)); #475842=CARTESIAN_POINT('Ctrl Pts',(-13.7677403075196,6.05080694795836, 0.562781198174324)); #475843=CARTESIAN_POINT('Ctrl Pts',(-13.7672538388877,6.05196897502781, 0.566821614550744)); #475844=CARTESIAN_POINT('Ctrl Pts',(-13.7667660655786,6.0531336049425,0.570862996011891)); #475845=CARTESIAN_POINT('Ctrl Pts',(-13.7662770904896,6.05430046220657, 0.57490561789452)); #475846=CARTESIAN_POINT('Ctrl Pts',(-13.7644434339056,6.05867617694686, 0.590065449954377)); #475847=CARTESIAN_POINT('Ctrl Pts',(-13.7625928958251,6.06308321577461, 0.605242728116541)); #475848=CARTESIAN_POINT('Ctrl Pts',(-13.7607307398797,6.06750175950903, 0.620451948623695)); #475849=CARTESIAN_POINT('Ctrl Pts',(-13.759997043913,6.06924268122133,0.626444434790986)); #475850=CARTESIAN_POINT('Ctrl Pts',(-13.7592615158803,6.0709853369654,0.632442207961616)); #475851=CARTESIAN_POINT('Ctrl Pts',(-13.7585244989724,6.07272858876101, 0.638445754321001)); #475852=CARTESIAN_POINT('Ctrl Pts',(-13.7536497619153,6.08425870976203, 0.678154083394824)); #475853=CARTESIAN_POINT('Ctrl Pts',(-13.7487104866309,6.09581437709611, 0.718114095473902)); #475854=CARTESIAN_POINT('Ctrl Pts',(-13.7437955322303,6.10704371565089, 0.75858809617723)); #475855=CARTESIAN_POINT('Origin',(0.,0.,2.012745533168)); #475856=CARTESIAN_POINT('Ctrl Pts',(-8.19175517476945,22.3467614748316, 4.00040429052697)); #475857=CARTESIAN_POINT('Ctrl Pts',(-8.37849418116448,22.0506664899984, 3.9038529162229)); #475858=CARTESIAN_POINT('Ctrl Pts',(-8.56495751044055,21.7535225703211, 3.80901178607163)); #475859=CARTESIAN_POINT('Ctrl Pts',(-8.74771956928867,21.4522048683037, 3.71414564659769)); #475860=CARTESIAN_POINT('Ctrl Pts',(-9.7395819047971,19.8169328565046,3.19930054552844)); #475861=CARTESIAN_POINT('Ctrl Pts',(-10.6316895222718,18.0686876360947, 2.68101066270433)); #475862=CARTESIAN_POINT('Ctrl Pts',(-11.4480473323155,15.9827728396027, 2.12970527145564)); #475863=CARTESIAN_POINT('Ctrl Pts',(-11.8911216879373,14.8506524103412, 1.83048686749959)); #475864=CARTESIAN_POINT('Ctrl Pts',(-12.3201306971482,13.5700215643935, 1.51192951895929)); #475865=CARTESIAN_POINT('Ctrl Pts',(-12.6662309516037,12.3011238280773, 1.22473784431698)); #475866=CARTESIAN_POINT('Ctrl Pts',(-13.0548186806575,10.8764554454081, 0.902290347628595)); #475867=CARTESIAN_POINT('Ctrl Pts',(-13.352390572456,9.45162759878633,0.612836379278568)); #475868=CARTESIAN_POINT('Ctrl Pts',(-13.5673413807791,7.9924459927016,0.361926417642685)); #475869=CARTESIAN_POINT('Ctrl Pts',(-13.6579011426948,7.3776860861461,0.256216899426512)); #475870=CARTESIAN_POINT('Ctrl Pts',(-13.7334695176349,6.75684846491925, 0.157431843367967)); #475871=CARTESIAN_POINT('Ctrl Pts',(-13.8001653039453,6.12752034046302, 0.0695993914095003)); #475872=CARTESIAN_POINT('Ctrl Pts',(-13.807464947463,6.05864236153303,0.0599864064811825)); #475873=CARTESIAN_POINT('Ctrl Pts',(-13.8146594390082,5.98966224598791, 0.0505062404275645)); #475874=CARTESIAN_POINT('Ctrl Pts',(-13.8217572087504,5.9205765336839,0.0411652001170967)); #475875=CARTESIAN_POINT('Origin',(0.,0.,4.000782242865)); #475876=CARTESIAN_POINT('',(-12.6463691636449,9.08160707677577,0.281928665038955)); #475877=CARTESIAN_POINT('Ctrl Pts',(-12.6463705318636,9.08160728100711, 0.281929132641609)); #475878=CARTESIAN_POINT('Ctrl Pts',(-12.3336283409395,10.5522691587448, 0.554691654436026)); #475879=CARTESIAN_POINT('Ctrl Pts',(-11.7278499363713,13.034658554357,1.07459865349768)); #475880=CARTESIAN_POINT('Ctrl Pts',(-9.0507492401975,19.0834011879801,2.70306699113176)); #475881=CARTESIAN_POINT('Ctrl Pts',(-7.49845732016805,21.3430929503134, 3.44258157810671)); #475882=CARTESIAN_POINT('Ctrl Pts',(-6.29160910748944,22.9542783460967, 4.00041034790128)); #475883=CARTESIAN_POINT('Ctrl Pts',(-12.1455230628505,8.80262867279341, 0.0247088539061737)); #475884=CARTESIAN_POINT('Ctrl Pts',(-12.1623372953656,8.77941110320716, 0.0247040957550196)); #475885=CARTESIAN_POINT('Ctrl Pts',(-12.1822363650702,8.7585525091827,0.0264911728473654)); #475886=CARTESIAN_POINT('Ctrl Pts',(-12.226876650117,8.72368107229557,0.0337133586693364)); #475887=CARTESIAN_POINT('Ctrl Pts',(-12.2510886091343,8.71001828054424, 0.0390449614648756)); #475888=CARTESIAN_POINT('Ctrl Pts',(-12.3130385267621,8.68654482579073, 0.0557465014279203)); #475889=CARTESIAN_POINT('Ctrl Pts',(-12.3474347246935,8.68258686502057, 0.0674319419778112)); #475890=CARTESIAN_POINT('Ctrl Pts',(-12.4179934569982,8.6850858424307,0.094173055649763)); #475891=CARTESIAN_POINT('Ctrl Pts',(-12.4538425631081,8.69617433930332, 0.110323639236418)); #475892=CARTESIAN_POINT('Ctrl Pts',(-12.5182541214475,8.72800889862956, 0.142415059775335)); #475893=CARTESIAN_POINT('Ctrl Pts',(-12.5492126841305,8.75280785413505, 0.16029340063426)); #475894=CARTESIAN_POINT('Ctrl Pts',(-12.5994400694648,8.81174332980747, 0.194139694900306)); #475895=CARTESIAN_POINT('Ctrl Pts',(-12.6226555430967,8.85010076424668, 0.212657279348671)); #475896=CARTESIAN_POINT('Ctrl Pts',(-12.646794388053,8.92663689595626,0.241477426187912)); #475897=CARTESIAN_POINT('Ctrl Pts',(-12.6523299576198,8.95735296406802, 0.251525015817451)); #475898=CARTESIAN_POINT('Ctrl Pts',(-12.6552728158821,9.01943261673509, 0.268849280178251)); #475899=CARTESIAN_POINT('Ctrl Pts',(-12.6528542878079,9.05084789969601, 0.276203169580595)); #475900=CARTESIAN_POINT('Ctrl Pts',(-12.6463684894248,9.08160700080879, 0.281928309383027)); #475901=CARTESIAN_POINT('Ctrl Pts',(-12.27850743587,9.594450667973,2.04654259613)); #475902=CARTESIAN_POINT('Ctrl Pts',(-12.29220778551,9.550756090088,2.063802924847)); #475903=CARTESIAN_POINT('Ctrl Pts',(-12.29555068052,9.470692565065,2.08998061601)); #475904=CARTESIAN_POINT('Ctrl Pts',(-12.25504422452,9.36415263822,2.113557863458)); #475905=CARTESIAN_POINT('Ctrl Pts',(-12.19256082407,9.286280168937,2.122558409735)); #475906=CARTESIAN_POINT('Ctrl Pts',(-12.10921047622,9.23068014195,2.119284274096)); #475907=CARTESIAN_POINT('Ctrl Pts',(-12.01396880701,9.203340601942,2.1040878036)); #475908=CARTESIAN_POINT('Ctrl Pts',(-11.91709248157,9.207205130579,2.078605720362)); #475909=CARTESIAN_POINT('Ctrl Pts',(-11.81456013783,9.247545276893,2.040162547058)); #475910=CARTESIAN_POINT('Ctrl Pts',(-11.76031785193,9.304338917549,2.008604115573)); #475911=CARTESIAN_POINT('Ctrl Pts',(-11.73877980295,9.344715896974,1.990431169455)); #475912=CARTESIAN_POINT('Ctrl Pts',(-12.2967647621,9.571976957321,1.97206188482)); #475913=CARTESIAN_POINT('Ctrl Pts',(-12.31079899504,9.528085170376,1.988831374061)); #475914=CARTESIAN_POINT('Ctrl Pts',(-12.31461585431,9.447626704873,2.014304138314)); #475915=CARTESIAN_POINT('Ctrl Pts',(-12.2744584735,9.340573806275,2.03731985771)); #475916=CARTESIAN_POINT('Ctrl Pts',(-12.21203883588,9.26237399047,2.046176797619)); #475917=CARTESIAN_POINT('Ctrl Pts',(-12.12853462296,9.20662885392,2.043110763491)); #475918=CARTESIAN_POINT('Ctrl Pts',(-12.03297285881,9.179365312566,2.028453065384)); #475919=CARTESIAN_POINT('Ctrl Pts',(-11.93568448692,9.183530182874,2.003788760727)); #475920=CARTESIAN_POINT('Ctrl Pts',(-11.83266421182,9.224441609232,1.966532260454)); #475921=CARTESIAN_POINT('Ctrl Pts',(-11.77815124794,9.281770269959,1.935930028405)); #475922=CARTESIAN_POINT('Ctrl Pts',(-11.75651549557,9.322462551497,1.91831042738)); #475923=CARTESIAN_POINT('Ctrl Pts',(-12.32783329897,9.533254887698,1.837526030178)); #475924=CARTESIAN_POINT('Ctrl Pts',(-12.34232796584,9.489077348706,1.853542016877)); #475925=CARTESIAN_POINT('Ctrl Pts',(-12.34679171471,9.408050343659,1.877923285238)); #475926=CARTESIAN_POINT('Ctrl Pts',(-12.3070907485,9.300270572472,1.900050875839)); #475927=CARTESIAN_POINT('Ctrl Pts',(-12.24472968113,9.221618568084,1.908660056011)); #475928=CARTESIAN_POINT('Ctrl Pts',(-12.16098141357,9.165692284052,1.905884941753)); #475929=CARTESIAN_POINT('Ctrl Pts',(-12.06495073585,9.138572600664,1.892027063085)); #475930=CARTESIAN_POINT('Ctrl Pts',(-11.96707825938,9.14320742782,1.868593399497)); #475931=CARTESIAN_POINT('Ctrl Pts',(-11.86338742255,9.184983097024,1.83313269398)); #475932=CARTESIAN_POINT('Ctrl Pts',(-11.80852548383,9.243104500459,1.803981436416)); #475933=CARTESIAN_POINT('Ctrl Pts',(-11.78677810335,9.284258654738,1.787200892624)); #475934=CARTESIAN_POINT('Ctrl Pts',(-12.37045049749,9.478599226345,1.644455927606)); #475935=CARTESIAN_POINT('Ctrl Pts',(-12.38506070121,9.434264888363,1.660176906884)); #475936=CARTESIAN_POINT('Ctrl Pts',(-12.38963366753,9.35296595925,1.684139122466)); #475937=CARTESIAN_POINT('Ctrl Pts',(-12.34986759264,9.244928457013,1.705941659134)); #475938=CARTESIAN_POINT('Ctrl Pts',(-12.28731732448,9.166197991596,1.714476997794)); #475939=CARTESIAN_POINT('Ctrl Pts',(-12.20328975871,9.110373604936,1.711839162954)); #475940=CARTESIAN_POINT('Ctrl Pts',(-12.1069502555,9.083545169341,1.698315664054)); #475941=CARTESIAN_POINT('Ctrl Pts',(-12.00880766359,9.088638524494,1.675381850224)); #475942=CARTESIAN_POINT('Ctrl Pts',(-11.90492274711,9.131082461035,1.640641736642)); #475943=CARTESIAN_POINT('Ctrl Pts',(-11.85008138136,9.189722008754,1.612069222478)); #475944=CARTESIAN_POINT('Ctrl Pts',(-11.82841815683,9.231151626504,1.595623884941)); #475945=CARTESIAN_POINT('Ctrl Pts',(-12.40931102194,9.428166958442,1.466168486846)); #475946=CARTESIAN_POINT('Ctrl Pts',(-12.42394768073,9.383718325137,1.481721550704)); #475947=CARTESIAN_POINT('Ctrl Pts',(-12.42850071391,9.302241022973,1.50544901751)); #475948=CARTESIAN_POINT('Ctrl Pts',(-12.38856821646,9.194079986413,1.527076885254)); #475949=CARTESIAN_POINT('Ctrl Pts',(-12.32580270484,9.115365591626,1.535580803824)); #475950=CARTESIAN_POINT('Ctrl Pts',(-12.24152696009,9.059697366764,1.533031870584)); #475951=CARTESIAN_POINT('Ctrl Pts',(-12.14495419037,9.033161877857,1.519708547564)); #475952=CARTESIAN_POINT('Ctrl Pts',(-12.04664248531,9.038659562587,1.497067694814)); #475953=CARTESIAN_POINT('Ctrl Pts',(-11.94268504702,9.081650971263,1.462746042403)); #475954=CARTESIAN_POINT('Ctrl Pts',(-11.8879323147,9.140686969547,1.434508064706)); #475955=CARTESIAN_POINT('Ctrl Pts',(-11.86637766162,9.182318654085,1.418256729364)); #475956=CARTESIAN_POINT('Ctrl Pts',(-12.4467669279,9.37962813615,1.285968032127)); #475957=CARTESIAN_POINT('Ctrl Pts',(-12.4616942911,9.334936057516,1.30088748566)); #475958=CARTESIAN_POINT('Ctrl Pts',(-12.46662907721,9.253006939626,1.323687712586)); #475959=CARTESIAN_POINT('Ctrl Pts',(-12.42689346155,9.144331061857,1.344542535234)); #475960=CARTESIAN_POINT('Ctrl Pts',(-12.36406055134,9.065349359646,1.352810778844)); #475961=CARTESIAN_POINT('Ctrl Pts',(-12.27951808492,9.009657142184,1.350478040484)); #475962=CARTESIAN_POINT('Ctrl Pts',(-12.18254912456,8.983370416229,1.337800294794)); #475963=CARTESIAN_POINT('Ctrl Pts',(-12.08380174679,8.989375081516,1.316169983994)); #475964=CARTESIAN_POINT('Ctrl Pts',(-11.97940193036,9.033189433302,1.283333204119)); #475965=CARTESIAN_POINT('Ctrl Pts',(-11.92447884018,9.092920888835,1.256299010605)); #475966=CARTESIAN_POINT('Ctrl Pts',(-11.90290754884,9.134941537528,1.240743169452)); #475967=CARTESIAN_POINT('Ctrl Pts',(-12.48217519833,9.333292519799,1.104907424917)); #475968=CARTESIAN_POINT('Ctrl Pts',(-12.49734580709,9.288380063132,1.119222924577)); #475969=CARTESIAN_POINT('Ctrl Pts',(-12.50259434485,9.206047479178,1.141132718888)); #475970=CARTESIAN_POINT('Ctrl Pts',(-12.46300347183,9.096923251238,1.161232450554)); #475971=CARTESIAN_POINT('Ctrl Pts',(-12.40009106411,9.01771948666,1.169257201764)); #475972=CARTESIAN_POINT('Ctrl Pts',(-12.31530042037,8.96202737261,1.167111165304)); #475973=CARTESIAN_POINT('Ctrl Pts',(-12.21797792169,8.935986604444,1.155030705964)); #475974=CARTESIAN_POINT('Ctrl Pts',(-12.11885188985,8.94246711652,1.134347720124)); #475975=CARTESIAN_POINT('Ctrl Pts',(-12.01407955993,8.987038946641,1.102910192614)); #475976=CARTESIAN_POINT('Ctrl Pts',(-11.95902742991,9.047400985205,1.077013215856)); #475977=CARTESIAN_POINT('Ctrl Pts',(-11.93745598227,9.089771269289,1.06211397315)); #475978=CARTESIAN_POINT('Ctrl Pts',(-12.51665172645,9.287272361689,0.924866467455)); #475979=CARTESIAN_POINT('Ctrl Pts',(-12.53175696163,9.242292011602,0.9391431705655)); #475980=CARTESIAN_POINT('Ctrl Pts',(-12.53685283837,9.159879055181,0.9610039215116)); #475981=CARTESIAN_POINT('Ctrl Pts',(-12.49699237838,9.050766810545,0.9810774630637)); #475982=CARTESIAN_POINT('Ctrl Pts',(-12.43383959634,8.971671624528,0.9891093826037)); #475983=CARTESIAN_POINT('Ctrl Pts',(-12.34883550896,8.916185177347,0.9869983710337)); #475984=CARTESIAN_POINT('Ctrl Pts',(-12.25136163919,8.890432068546,0.9749772089937)); #475985=CARTESIAN_POINT('Ctrl Pts',(-12.15217631541,8.897254020196,0.9543728576837)); #475986=CARTESIAN_POINT('Ctrl Pts',(-12.04746554476,8.942241002681,0.9230424881828)); #475987=CARTESIAN_POINT('Ctrl Pts',(-11.99258015767,9.002868808289,0.8972290893276)); #475988=CARTESIAN_POINT('Ctrl Pts',(-11.97114747672,9.045362366225,0.8823786389979)); #475989=CARTESIAN_POINT('Ctrl Pts',(-12.56247593035,9.225708111654,0.6848410408356)); #475990=CARTESIAN_POINT('Ctrl Pts',(-12.57751969315,9.180637900566,0.6990749976584)); #475991=CARTESIAN_POINT('Ctrl Pts',(-12.58245048434,9.098106948089,0.7208875430789)); #475992=CARTESIAN_POINT('Ctrl Pts',(-12.54226411165,8.988979309174,0.7409481861437)); #475993=CARTESIAN_POINT('Ctrl Pts',(-12.47880381544,8.909997275156,0.7490043206237)); #475994=CARTESIAN_POINT('Ctrl Pts',(-12.39351335294,8.854755354636,0.7469483835637)); #475995=CARTESIAN_POINT('Ctrl Pts',(-12.29582468763,8.829363397834,0.7350075460837)); #475996=CARTESIAN_POINT('Ctrl Pts',(-12.19654142784,8.836628915699,0.7145025838737)); #475997=CARTESIAN_POINT('Ctrl Pts',(-12.09189080135,8.882169407911,0.6833026829966)); #475998=CARTESIAN_POINT('Ctrl Pts',(-12.03721786959,8.943161999064,0.6575889374465)); #475999=CARTESIAN_POINT('Ctrl Pts',(-12.0159686655,8.98582785416,0.6427969995069)); #476000=CARTESIAN_POINT('Ctrl Pts',(-12.60114346028,9.173602817958,0.472835417855)); #476001=CARTESIAN_POINT('Ctrl Pts',(-12.61640289036,9.128311875549,0.4864762941088)); #476002=CARTESIAN_POINT('Ctrl Pts',(-12.62159964944,9.0453838341,0.5074122652721)); #476003=CARTESIAN_POINT('Ctrl Pts',(-12.58150037785,8.935832044138,0.5267253031237)); #476004=CARTESIAN_POINT('Ctrl Pts',(-12.51792317649,8.856657507446,0.5345358983937)); #476005=CARTESIAN_POINT('Ctrl Pts',(-12.43236395881,8.801450859805,0.5326565120737)); #476006=CARTESIAN_POINT('Ctrl Pts',(-12.33432087765,8.776341573288,0.5212956301737)); #476007=CARTESIAN_POINT('Ctrl Pts',(-12.23467850418,8.784116605965,0.5017148608237)); #476008=CARTESIAN_POINT('Ctrl Pts',(-12.1296999773,8.83044492175,0.4718825470528)); #476009=CARTESIAN_POINT('Ctrl Pts',(-12.07494522748,8.892077817052,0.4472812510596)); #476010=CARTESIAN_POINT('Ctrl Pts',(-12.0537264243,8.93509334527,0.4331314635238)); #476011=CARTESIAN_POINT('Ctrl Pts',(-12.63185911085,9.132007298565,0.2893466462264)); #476012=CARTESIAN_POINT('Ctrl Pts',(-12.64739016398,9.086483886135,0.3022313374167)); #476013=CARTESIAN_POINT('Ctrl Pts',(-12.65295100189,9.00312305087,0.3220359559351)); #476014=CARTESIAN_POINT('Ctrl Pts',(-12.61305857003,8.893072469024,0.3403581784937)); #476015=CARTESIAN_POINT('Ctrl Pts',(-12.54944364405,8.813632057647,0.3478171519737)); #476016=CARTESIAN_POINT('Ctrl Pts',(-12.46366238056,8.758386931991,0.3461237578237)); #476017=CARTESIAN_POINT('Ctrl Pts',(-12.36527448641,8.733494586559,0.3354665359937)); #476018=CARTESIAN_POINT('Ctrl Pts',(-12.26524516298,8.74172853476,0.3170334186237)); #476019=CARTESIAN_POINT('Ctrl Pts',(-12.15986725524,8.788809247616,0.2889146171725)); #476020=CARTESIAN_POINT('Ctrl Pts',(-12.1049527095,8.851080598941,0.2657131054375)); #476021=CARTESIAN_POINT('Ctrl Pts',(-12.08371357696,8.894452964828,0.2523704216214)); #476022=CARTESIAN_POINT('Ctrl Pts',(-12.65331345223,9.102974339547,0.1552698984056)); #476023=CARTESIAN_POINT('Ctrl Pts',(-12.66910198313,9.057257409952,0.167465042047)); #476024=CARTESIAN_POINT('Ctrl Pts',(-12.67502027707,8.973524482479,0.1862343468625)); #476025=CARTESIAN_POINT('Ctrl Pts',(-12.63536514158,8.863021180565,0.2036430330921)); #476026=CARTESIAN_POINT('Ctrl Pts',(-12.5717611345,8.783317370886,0.2107710880006)); #476027=CARTESIAN_POINT('Ctrl Pts',(-12.48581951265,8.727993984736,0.2092368719493)); #476028=CARTESIAN_POINT('Ctrl Pts',(-12.38714943598,8.703237584624,0.1992117726839)); #476029=CARTESIAN_POINT('Ctrl Pts',(-12.28678381433,8.711815642886,0.1818170093463)); #476030=CARTESIAN_POINT('Ctrl Pts',(-12.1810379727,8.759491005418,0.1552527104765)); #476031=CARTESIAN_POINT('Ctrl Pts',(-12.12595285377,8.822284749075,0.1333227003362)); #476032=CARTESIAN_POINT('Ctrl Pts',(-12.10467298286,8.86595389495,0.1207129081896)); #476033=CARTESIAN_POINT('Ctrl Pts',(-12.66897100128,9.081378698115,0.05232480564004)); #476034=CARTESIAN_POINT('Ctrl Pts',(-12.68478493048,9.035603135439,0.06436646819092)); #476035=CARTESIAN_POINT('Ctrl Pts',(-12.69071708322,8.951773005223,0.08290672551129)); #476036=CARTESIAN_POINT('Ctrl Pts',(-12.65101293587,8.841187177347,0.1001158490921)); #476037=CARTESIAN_POINT('Ctrl Pts',(-12.58732801568,8.76146910453,0.1071740565594)); #476038=CARTESIAN_POINT('Ctrl Pts',(-12.50128347336,8.706199476935,0.1056790389365)); #476039=CARTESIAN_POINT('Ctrl Pts',(-12.40251033493,8.681565501401,0.09579795571063)); #476040=CARTESIAN_POINT('Ctrl Pts',(-12.30206494299,8.69032389656,0.07863700028854)); #476041=CARTESIAN_POINT('Ctrl Pts',(-12.19627784427,8.738251849917,0.05242116746938)); #476042=CARTESIAN_POINT('Ctrl Pts',(-12.14122463456,8.801233440721,0.03077557880684)); #476043=CARTESIAN_POINT('Ctrl Pts',(-12.11998913042,8.844999314308,0.01832981865634)); #476044=CARTESIAN_POINT('Ctrl Pts',(-12.680081841,9.065869803493,-0.01954262694889)); #476045=CARTESIAN_POINT('Ctrl Pts',(-12.69584120702,9.020088002763,-0.007435835463918)); #476046=CARTESIAN_POINT('Ctrl Pts',(-12.7016726127,8.936265678003,0.01120588884475)); #476047=CARTESIAN_POINT('Ctrl Pts',(-12.66183393414,8.825733166847,0.02851133441097)); #476048=CARTESIAN_POINT('Ctrl Pts',(-12.59805140697,8.746086816269,0.03561102115698)); #476049=CARTESIAN_POINT('Ctrl Pts',(-12.51193949496,8.690907979425,0.03411123451893)); #476050=CARTESIAN_POINT('Ctrl Pts',(-12.41313817803,8.666374599203,0.02417969594148)); #476051=CARTESIAN_POINT('Ctrl Pts',(-12.31270856703,8.6752325345,0.006928429868432)); #476052=CARTESIAN_POINT('Ctrl Pts',(-12.20699086397,8.723261999816,-0.01942679659378)); #476053=CARTESIAN_POINT('Ctrl Pts',(-12.15202716947,8.786292460909,-0.04118801952181)); #476054=CARTESIAN_POINT('Ctrl Pts',(-12.13085416565,8.83007454265,-0.05370018555316)); #476055=CARTESIAN_POINT('',(-12.2990510787393,9.53735827165084,2.01838925158086)); #476056=CARTESIAN_POINT('Ctrl Pts',(-12.6463684605941,9.08160698516179, 0.281928570533492)); #476057=CARTESIAN_POINT('Ctrl Pts',(-12.6452984189484,9.08305118961431, 0.288488870768862)); #476058=CARTESIAN_POINT('Ctrl Pts',(-12.6441726333861,9.08483092669276, 0.294959048338361)); #476059=CARTESIAN_POINT('Ctrl Pts',(-12.6430553954191,9.08653285853273, 0.301446719899855)); #476060=CARTESIAN_POINT('Ctrl Pts',(-12.6417425588226,9.0885327533359,0.309070210063084)); #476061=CARTESIAN_POINT('Ctrl Pts',(-12.6404419148584,9.09042530515378, 0.316717903718742)); #476062=CARTESIAN_POINT('Ctrl Pts',(-12.6391490563381,9.09224237603088, 0.3243818675524)); #476063=CARTESIAN_POINT('Ctrl Pts',(-12.638452124792,9.09322189080056,0.328513223056481)); #476064=CARTESIAN_POINT('Ctrl Pts',(-12.6377574399104,9.09417946809179, 0.332649304671145)); #476065=CARTESIAN_POINT('Ctrl Pts',(-12.6370642381711,9.09512008181214, 0.336788861061563)); #476066=CARTESIAN_POINT('Ctrl Pts',(-12.6338996215351,9.0994141879268,0.355686835887373)); #476067=CARTESIAN_POINT('Ctrl Pts',(-12.6307656914617,9.10335470372816, 0.374657213523913)); #476068=CARTESIAN_POINT('Ctrl Pts',(-12.6275883543951,9.10741449442667, 0.39358069403673)); #476069=CARTESIAN_POINT('Ctrl Pts',(-12.6224239208139,9.11401326533981, 0.424338859187805)); #476070=CARTESIAN_POINT('Ctrl Pts',(-12.6171462640994,9.12092747897915, 0.45497326491288)); #476071=CARTESIAN_POINT('Ctrl Pts',(-12.6117813365591,9.12806949773276, 0.48550506166428)); #476072=CARTESIAN_POINT('Ctrl Pts',(-12.6097694887315,9.13074775476537, 0.496954485446055)); #476073=CARTESIAN_POINT('Ctrl Pts',(-12.607745334589,9.13345803919607,0.508389476419316)); #476074=CARTESIAN_POINT('Ctrl Pts',(-12.6057101490311,9.13619570881496, 0.519811140198318)); #476075=CARTESIAN_POINT('Ctrl Pts',(-12.6039293616679,9.13859116973148, 0.529805096004945)); #476076=CARTESIAN_POINT('Ctrl Pts',(-12.6021401273724,9.14100759721746, 0.539788848068633)); #476077=CARTESIAN_POINT('Ctrl Pts',(-12.6003432838696,9.14344187795761, 0.549763135290385)); #476078=CARTESIAN_POINT('Ctrl Pts',(-12.5877653793496,9.16048184313871, 0.619583145842651)); #476079=CARTESIAN_POINT('Ctrl Pts',(-12.5748140729473,9.17839648685402, 0.688939281959395)); #476080=CARTESIAN_POINT('Ctrl Pts',(-12.5617755036103,9.19611778947699, 0.758085044478695)); #476081=CARTESIAN_POINT('Ctrl Pts',(-12.5560988475724,9.20383318653731, 0.788189322038041)); #476082=CARTESIAN_POINT('Ctrl Pts',(-12.5504058456121,9.21151198037612, 0.818253753743021)); #476083=CARTESIAN_POINT('Ctrl Pts',(-12.5447012995941,9.21917932980911, 0.848296217306722)); #476084=CARTESIAN_POINT('Ctrl Pts',(-12.5389967535761,9.2268466792421,0.878338680870422)); #476085=CARTESIAN_POINT('Ctrl Pts',(-12.5332806558295,9.23450258225857, 0.90835917497028)); #476086=CARTESIAN_POINT('Ctrl Pts',(-12.5275576718053,9.24217216663106, 0.938375553370824)); #476087=CARTESIAN_POINT('Ctrl Pts',(-12.5161117037568,9.25751133537611, 0.998408310172202)); #476088=CARTESIAN_POINT('Ctrl Pts',(-12.504638100847,9.27290521089261,1.05842459124263)); #476089=CARTESIAN_POINT('Ctrl Pts',(-12.4929640805066,9.28835691343824, 1.11870485987909)); #476090=CARTESIAN_POINT('Ctrl Pts',(-12.4812900601662,9.30380861598378, 1.17898512851525)); #476091=CARTESIAN_POINT('Ctrl Pts',(-12.4694156861922,9.3193181597664,1.23952939543464)); #476092=CARTESIAN_POINT('Ctrl Pts',(-12.4571918155002,9.33520447236088, 1.29993326940537)); #476093=CARTESIAN_POINT('Ctrl Pts',(-12.4449679448083,9.35109078495536, 1.3603371433761)); #476094=CARTESIAN_POINT('Ctrl Pts',(-12.4323945872465,9.36735386808895, 1.42060062423763)); #476095=CARTESIAN_POINT('Ctrl Pts',(-12.4196288189303,9.38390919066047, 1.48047258956692)); #476096=CARTESIAN_POINT('Ctrl Pts',(-12.406863050614,9.40046451323207,1.5403445548965)); #476097=CARTESIAN_POINT('Ctrl Pts',(-12.3939048667482,9.41731207431692, 1.59982500438136)); #476098=CARTESIAN_POINT('Ctrl Pts',(-12.3808471407771,9.43417539290272, 1.65934567742702)); #476099=CARTESIAN_POINT('Ctrl Pts',(-12.367789414806,9.45103871148844,1.71886635047239)); #476100=CARTESIAN_POINT('Ctrl Pts',(-12.3546321393231,9.46791778610756, 1.77842724602388)); #476101=CARTESIAN_POINT('Ctrl Pts',(-12.3410892049752,9.48502483065988, 1.83827210756803)); #476102=CARTESIAN_POINT('Ctrl Pts',(-12.3275462627233,9.50213188519619, 1.89811700403878)); #476103=CARTESIAN_POINT('Ctrl Pts',(-12.3136177327386,9.5194669243523,1.95824587813067)); #476104=CARTESIAN_POINT('Ctrl Pts',(-12.299051078741,9.53735827165128,2.01838925158116)); #476105=CARTESIAN_POINT('Origin',(-12.0094664159551,9.47959474482057,1.96543555046769)); #476106=CARTESIAN_POINT('Ctrl Pts',(-12.04560497706,9.880123652794,2.926562258103)); #476107=CARTESIAN_POINT('Ctrl Pts',(-12.05817843588,9.837363565325,2.945809831564)); #476108=CARTESIAN_POINT('Ctrl Pts',(-12.06005438718,9.75908070181,2.974691945087)); #476109=CARTESIAN_POINT('Ctrl Pts',(-12.01899899756,9.654533804264,3.000170015095)); #476110=CARTESIAN_POINT('Ctrl Pts',(-11.95722826488,9.577685499336,3.009401392342)); #476111=CARTESIAN_POINT('Ctrl Pts',(-11.87548464322,9.521962698384,3.004986996743)); #476112=CARTESIAN_POINT('Ctrl Pts',(-11.78236535801,9.493226593349,2.987390875816)); #476113=CARTESIAN_POINT('Ctrl Pts',(-11.68766450702,9.494499001543,2.958464010279)); #476114=CARTESIAN_POINT('Ctrl Pts',(-11.58700176728,9.530821612123,2.915065993564)); #476115=CARTESIAN_POINT('Ctrl Pts',(-11.53327746446,9.58442760762,2.879525446033)); #476116=CARTESIAN_POINT('Ctrl Pts',(-11.51164192977,9.623133705833,2.859020545213)); #476117=CARTESIAN_POINT('Ctrl Pts',(-12.0672290367,9.854096582876,2.854526878634)); #476118=CARTESIAN_POINT('Ctrl Pts',(-12.07982816118,9.811285264929,2.873723281558)); #476119=CARTESIAN_POINT('Ctrl Pts',(-12.08171020126,9.732917339482,2.902543633157)); #476120=CARTESIAN_POINT('Ctrl Pts',(-12.04057991826,9.628303722821,2.927995569479)); #476121=CARTESIAN_POINT('Ctrl Pts',(-11.97869904016,9.551453858278,2.937245491457)); #476122=CARTESIAN_POINT('Ctrl Pts',(-11.89682022442,9.495796969313,2.932887383296)); #476123=CARTESIAN_POINT('Ctrl Pts',(-11.80356705821,9.467195650766,2.915379973364)); #476124=CARTESIAN_POINT('Ctrl Pts',(-11.70876160674,9.468661817168,2.886567373034)); #476125=CARTESIAN_POINT('Ctrl Pts',(-11.6080403725,9.505255113971,2.84332312342)); #476126=CARTESIAN_POINT('Ctrl Pts',(-11.55434987673,9.559063997058,2.8079017087)); #476127=CARTESIAN_POINT('Ctrl Pts',(-11.53276625495,9.597876176919,2.78746658871)); #476128=CARTESIAN_POINT('Ctrl Pts',(-12.09729142,9.818213180264,2.752621037321)); #476129=CARTESIAN_POINT('Ctrl Pts',(-12.1101721855,9.775224036357,2.771527407003)); #476130=CARTESIAN_POINT('Ctrl Pts',(-12.11242673969,9.696507217583,2.799962515423)); #476131=CARTESIAN_POINT('Ctrl Pts',(-12.07150514498,9.591464504728,2.825169085244)); #476132=CARTESIAN_POINT('Ctrl Pts',(-12.00958432404,9.514365517412,2.834423594798)); #476133=CARTESIAN_POINT('Ctrl Pts',(-11.92747806945,9.458639266892,2.830280877138)); #476134=CARTESIAN_POINT('Ctrl Pts',(-11.83387021382,9.430180122368,2.813179124098)); #476135=CARTESIAN_POINT('Ctrl Pts',(-11.73866131621,9.431997667261,2.784928062428)); #476136=CARTESIAN_POINT('Ctrl Pts',(-11.63751089626,9.469200978851,2.742467379348)); #476137=CARTESIAN_POINT('Ctrl Pts',(-11.58363048878,9.523552643222,2.70766501697)); #476138=CARTESIAN_POINT('Ctrl Pts',(-11.56200679603,9.562677956231,2.687590548372)); #476139=CARTESIAN_POINT('Ctrl Pts',(-12.13289864509,9.776492744259,2.617545871033)); #476140=CARTESIAN_POINT('Ctrl Pts',(-12.14671627216,9.733013453203,2.635261338258)); #476141=CARTESIAN_POINT('Ctrl Pts',(-12.15031939515,9.653286546738,2.662008865891)); #476142=CARTESIAN_POINT('Ctrl Pts',(-12.11044806088,9.546877688267,2.685913391604)); #476143=CARTESIAN_POINT('Ctrl Pts',(-12.04879098441,9.468862332218,2.694879804164)); #476144=CARTESIAN_POINT('Ctrl Pts',(-11.96634795577,9.412659630271,2.691301258794)); #476145=CARTESIAN_POINT('Ctrl Pts',(-11.87192777141,9.384275362818,2.675559952904)); #476146=CARTESIAN_POINT('Ctrl Pts',(-11.7756194133,9.386741862465,2.649338266644)); #476147=CARTESIAN_POINT('Ctrl Pts',(-11.67310964418,9.425288007035,2.609806126079)); #476148=CARTESIAN_POINT('Ctrl Pts',(-11.61841737438,9.480956897626,2.577357379715)); #476149=CARTESIAN_POINT('Ctrl Pts',(-11.59646642261,9.520876045919,2.558647708359)); #476150=CARTESIAN_POINT('Ctrl Pts',(-12.16306408535,9.740184734357,2.498683870229)); #476151=CARTESIAN_POINT('Ctrl Pts',(-12.17731326574,9.696444645175,2.515763506521)); #476152=CARTESIAN_POINT('Ctrl Pts',(-12.18151904134,9.616199540757,2.54160409082)); #476153=CARTESIAN_POINT('Ctrl Pts',(-12.14206701194,9.509130043286,2.564796750844)); #476154=CARTESIAN_POINT('Ctrl Pts',(-12.08045719842,9.430705593167,2.573591765424)); #476155=CARTESIAN_POINT('Ctrl Pts',(-11.99777828343,9.374341322332,2.570295810414)); #476156=CARTESIAN_POINT('Ctrl Pts',(-11.90291150593,9.346092553246,2.555262733354)); #476157=CARTESIAN_POINT('Ctrl Pts',(-11.80604782862,9.348993293594,2.530107711364)); #476158=CARTESIAN_POINT('Ctrl Pts',(-11.70289751633,9.388338156457,2.492121081386)); #476159=CARTESIAN_POINT('Ctrl Pts',(-11.64786788593,9.444741868056,2.460916912257)); #476160=CARTESIAN_POINT('Ctrl Pts',(-11.62580628861,9.485091039134,2.44292854717)); #476161=CARTESIAN_POINT('Ctrl Pts',(-12.19220459668,9.703979234599,2.381341432647)); #476162=CARTESIAN_POINT('Ctrl Pts',(-12.20643269711,9.660176563274,2.398373351239)); #476163=CARTESIAN_POINT('Ctrl Pts',(-12.21056263221,9.579845369668,2.424154669917)); #476164=CARTESIAN_POINT('Ctrl Pts',(-12.17093390206,9.472747746787,2.447318085544)); #476165=CARTESIAN_POINT('Ctrl Pts',(-12.10914465018,9.394378572981,2.456125080504)); #476166=CARTESIAN_POINT('Ctrl Pts',(-12.02628675785,9.338154041885,2.452875713904)); #476167=CARTESIAN_POINT('Ctrl Pts',(-11.93127233074,9.310122293818,2.437919267354)); #476168=CARTESIAN_POINT('Ctrl Pts',(-11.83432152657,9.313297879451,2.412864746774)); #476169=CARTESIAN_POINT('Ctrl Pts',(-11.73116695118,9.352995642521,2.37501459554)); #476170=CARTESIAN_POINT('Ctrl Pts',(-11.67623439586,9.409641378179,2.343916681449)); #476171=CARTESIAN_POINT('Ctrl Pts',(-11.65426696233,9.450109678124,2.325990469683)); #476172=CARTESIAN_POINT('Ctrl Pts',(-12.22208049059,9.665750882604,2.266381547709)); #476173=CARTESIAN_POINT('Ctrl Pts',(-12.23585978389,9.622079858747,2.283940834874)); #476174=CARTESIAN_POINT('Ctrl Pts',(-12.23927064656,9.542080861817,2.310495869799)); #476175=CARTESIAN_POINT('Ctrl Pts',(-12.19889504702,9.435562562451,2.334308913024)); #476176=CARTESIAN_POINT('Ctrl Pts',(-12.13670429657,9.357693120776,2.343319059854)); #476177=CARTESIAN_POINT('Ctrl Pts',(-12.05371292412,9.30189640682,2.339897886644)); #476178=CARTESIAN_POINT('Ctrl Pts',(-11.95882628213,9.274160365005,2.324412275604)); #476179=CARTESIAN_POINT('Ctrl Pts',(-11.86222664326,9.277460705928,2.298524207664)); #476180=CARTESIAN_POINT('Ctrl Pts',(-11.75966938592,9.31708993047,2.259443930791)); #476181=CARTESIAN_POINT('Ctrl Pts',(-11.70525031767,9.373511425017,2.227346485757)); #476182=CARTESIAN_POINT('Ctrl Pts',(-11.68357636669,9.41380449557,2.208842386793)); #476183=CARTESIAN_POINT('Ctrl Pts',(-12.25601343041,9.622811743464,2.13596235876)); #476184=CARTESIAN_POINT('Ctrl Pts',(-12.26962721898,9.579136683498,2.153658594367)); #476185=CARTESIAN_POINT('Ctrl Pts',(-12.2727383448,9.499182259835,2.180423830134)); #476186=CARTESIAN_POINT('Ctrl Pts',(-12.23197085977,9.3928371298,2.204431102009)); #476187=CARTESIAN_POINT('Ctrl Pts',(-12.16950002482,9.315180297828,2.213520267426)); #476188=CARTESIAN_POINT('Ctrl Pts',(-12.08631761396,9.259639828468,2.21008135875)); #476189=CARTESIAN_POINT('Ctrl Pts',(-11.99135234912,9.232178135554,2.194483264116)); #476190=CARTESIAN_POINT('Ctrl Pts',(-11.89479823755,9.235742471134,2.16840052973)); #476191=CARTESIAN_POINT('Ctrl Pts',(-11.79243708985,9.275634312791,2.129022732289)); #476192=CARTESIAN_POINT('Ctrl Pts',(-11.73827007681,9.332176618858,2.096679527225)); #476193=CARTESIAN_POINT('Ctrl Pts',(-11.71677241052,9.372507281137,2.078033963479)); #476194=CARTESIAN_POINT('Ctrl Pts',(-12.28209171478,9.590278866459,2.032836654462)); #476195=CARTESIAN_POINT('Ctrl Pts',(-12.29594605197,9.546432725269,2.050171778527)); #476196=CARTESIAN_POINT('Ctrl Pts',(-12.29937739024,9.466151455131,2.076427672718)); #476197=CARTESIAN_POINT('Ctrl Pts',(-12.25879174996,9.359416942159,2.100046439181)); #476198=CARTESIAN_POINT('Ctrl Pts',(-12.19628917091,9.28154294924,2.109054486369)); #476199=CARTESIAN_POINT('Ctrl Pts',(-12.11291374887,9.225955210483,2.105792650693)); #476200=CARTESIAN_POINT('Ctrl Pts',(-12.01764603865,9.198641923925,2.090612128113)); #476201=CARTESIAN_POINT('Ctrl Pts',(-11.9207480005,9.202544631731,2.065148561373)); #476202=CARTESIAN_POINT('Ctrl Pts',(-11.81802249411,9.243008678997,2.026661919858)); #476203=CARTESIAN_POINT('Ctrl Pts',(-11.76369700775,9.300049916701,1.995033966918)); #476204=CARTESIAN_POINT('Ctrl Pts',(-11.74216825609,9.340664904601,1.976803307807)); #476205=CARTESIAN_POINT('Ctrl Pts',(-12.29956038061,9.568791917994,1.957675264612)); #476206=CARTESIAN_POINT('Ctrl Pts',(-12.31380235453,9.524725496723,1.974422048132)); #476207=CARTESIAN_POINT('Ctrl Pts',(-12.31778951687,9.443997599311,1.99982835471)); #476208=CARTESIAN_POINT('Ctrl Pts',(-12.27762853458,9.336672576919,2.022759516869)); #476209=CARTESIAN_POINT('Ctrl Pts',(-12.21522269097,9.258413108733,2.031578859765)); #476210=CARTESIAN_POINT('Ctrl Pts',(-12.1316940985,9.202640021206,2.028547115111)); #476211=CARTESIAN_POINT('Ctrl Pts',(-12.03607856455,9.1753873675,2.013992028777)); #476212=CARTESIAN_POINT('Ctrl Pts',(-11.9387199371,9.179602735643,1.989488416188)); #476213=CARTESIAN_POINT('Ctrl Pts',(-11.83543643731,9.220683613748,1.952403615645)); #476214=CARTESIAN_POINT('Ctrl Pts',(-11.78079034424,9.278313821467,1.921908895208)); #476215=CARTESIAN_POINT('Ctrl Pts',(-11.7591398621,9.319278745064,1.90433432893)); #476216=CARTESIAN_POINT('',(-12.06740747539,9.821113112026,2.901022393336)); #476217=CARTESIAN_POINT('Ctrl Pts',(-12.2990510787357,9.53735827165022, 2.01838925158018)); #476218=CARTESIAN_POINT('Ctrl Pts',(-12.2844839646508,9.55525046357122, 2.07853421993876)); #476219=CARTESIAN_POINT('Ctrl Pts',(-12.2694612241214,9.57382765489507, 2.13764663172981)); #476220=CARTESIAN_POINT('Ctrl Pts',(-12.2544332960706,9.59277887349664, 2.19599676810118)); #476221=CARTESIAN_POINT('Ctrl Pts',(-12.2469193276599,9.60225448832757, 2.225171853314)); #476222=CARTESIAN_POINT('Ctrl Pts',(-12.2394041167,9.61182362082098,2.25415638145532)); #476223=CARTESIAN_POINT('Ctrl Pts',(-12.2319667848401,9.62136598334944, 2.2829568952568)); #476224=CARTESIAN_POINT('Ctrl Pts',(-12.2245294529802,9.63090834587788, 2.31175740905823)); #476225=CARTESIAN_POINT('Ctrl Pts',(-12.2171700364088,9.64042394555651, 2.34037391557761)); #476226=CARTESIAN_POINT('Ctrl Pts',(-12.2098377525945,9.64978977566641, 2.36923369419129)); #476227=CARTESIAN_POINT('Ctrl Pts',(-12.1951731849661,9.66852143588623, 2.42695325141868)); #476228=CARTESIAN_POINT('Ctrl Pts',(-12.1806170614704,9.68665400033854, 2.4856458807046)); #476229=CARTESIAN_POINT('Ctrl Pts',(-12.1655752712542,9.70489499725067, 2.54502825190739)); #476230=CARTESIAN_POINT('Ctrl Pts',(-12.1580543761461,9.71401549570673, 2.5747194375088)); #476231=CARTESIAN_POINT('Ctrl Pts',(-12.1504120835156,9.72316310626847, 2.60458306175418)); #476232=CARTESIAN_POINT('Ctrl Pts',(-12.1425429733745,9.7324176086785,2.63462876358251)); #476233=CARTESIAN_POINT('Ctrl Pts',(-12.1346738632335,9.74167211108853, 2.66467446541088)); #476234=CARTESIAN_POINT('Ctrl Pts',(-12.1265778399472,9.75103348552033, 2.69490222680952)); #476235=CARTESIAN_POINT('Ctrl Pts',(-12.1183214415856,9.76068391963136, 2.7248099864432)); #476236=CARTESIAN_POINT('Ctrl Pts',(-12.1018086448623,9.77998478785364, 2.78462550571129)); #476237=CARTESIAN_POINT('Ctrl Pts',(-12.0846552507442,9.80044207792698, 2.84316120895068)); #476238=CARTESIAN_POINT('Ctrl Pts',(-12.0674074753624,9.8211131120204,2.90102239332977)); #476239=CARTESIAN_POINT('Origin',(-11.780329655631,9.76288037440269,2.83625146120203)); #476240=CARTESIAN_POINT('Ctrl Pts',(-11.49187597446,10.50734225215,4.57729635095)); #476241=CARTESIAN_POINT('Ctrl Pts',(-11.50236871082,10.46621702302,4.599019468946)); #476242=CARTESIAN_POINT('Ctrl Pts',(-11.50174369816,10.39105980832,4.630874372655)); #476243=CARTESIAN_POINT('Ctrl Pts',(-11.46031414968,10.28991498412,4.657663823404)); #476244=CARTESIAN_POINT('Ctrl Pts',(-11.40056185827,10.21469115715,4.666122402682)); #476245=CARTESIAN_POINT('Ctrl Pts',(-11.32253220894,10.15843711343,4.659237220579)); #476246=CARTESIAN_POINT('Ctrl Pts',(-11.23402227607,10.12677456544,4.637696041722)); #476247=CARTESIAN_POINT('Ctrl Pts',(-11.14387675709,10.12286701973,4.603651669489)); #476248=CARTESIAN_POINT('Ctrl Pts',(-11.04723755462,10.15116615456,4.553277974244)); #476249=CARTESIAN_POINT('Ctrl Pts',(-10.99452068881,10.19823159724,4.512289439637)); #476250=CARTESIAN_POINT('Ctrl Pts',(-10.97254073219,10.23335338567,4.488579700198)); #476251=CARTESIAN_POINT('Ctrl Pts',(-11.55021839455,10.44631531132,4.427180081643)); #476252=CARTESIAN_POINT('Ctrl Pts',(-11.56149359385,10.40482119481,4.448401330941)); #476253=CARTESIAN_POINT('Ctrl Pts',(-11.56192803982,10.32888880677,4.479656014832)); #476254=CARTESIAN_POINT('Ctrl Pts',(-11.52118233295,10.22669793677,4.506207201558)); #476255=CARTESIAN_POINT('Ctrl Pts',(-11.46144810382,10.15078847238,4.514869606489)); #476256=CARTESIAN_POINT('Ctrl Pts',(-11.3829316583,10.09420983756,4.508566665065)); #476257=CARTESIAN_POINT('Ctrl Pts',(-11.29356349069,10.06267736371,4.487935399919)); #476258=CARTESIAN_POINT('Ctrl Pts',(-11.20237027321,10.05937597452,4.455061051679)); #476259=CARTESIAN_POINT('Ctrl Pts',(-11.10452328831,10.08887293178,4.406263690778)); #476260=CARTESIAN_POINT('Ctrl Pts',(-11.05115921982,10.13709769086,4.366497509829)); #476261=CARTESIAN_POINT('Ctrl Pts',(-11.0289562285,10.17292021706,4.343504311952)); #476262=CARTESIAN_POINT('Ctrl Pts',(-11.61668663664,10.37455267026,4.241624915636)); #476263=CARTESIAN_POINT('Ctrl Pts',(-11.62852895665,10.33272580411,4.262335488745)); #476264=CARTESIAN_POINT('Ctrl Pts',(-11.62970392454,10.25613663345,4.29293878017)); #476265=CARTESIAN_POINT('Ctrl Pts',(-11.58935465371,10.1531359729,4.319131263356)); #476266=CARTESIAN_POINT('Ctrl Pts',(-11.52951695063,10.07675731262,4.327876845353)); #476267=CARTESIAN_POINT('Ctrl Pts',(-11.45051732741,10.02005020811,4.322031309607)); #476268=CARTESIAN_POINT('Ctrl Pts',(-11.36040568782,9.988793734618,4.302190037948)); #476269=CARTESIAN_POINT('Ctrl Pts',(-11.26836471629,9.986172588125,4.270375059807)); #476270=CARTESIAN_POINT('Ctrl Pts',(-11.16960290308,10.01685752777,4.223035503846)); #476271=CARTESIAN_POINT('Ctrl Pts',(-11.11581449254,10.0661502284,4.184412728436)); #476272=CARTESIAN_POINT('Ctrl Pts',(-11.093506312,10.1025960502,4.162087653366)); #476273=CARTESIAN_POINT('Ctrl Pts',(-11.69429948385,10.28693206028,4.025917111858)); #476274=CARTESIAN_POINT('Ctrl Pts',(-11.70584306759,10.24508745724,4.046830176341)); #476275=CARTESIAN_POINT('Ctrl Pts',(-11.70646412118,10.1685599184,4.077747442197)); #476276=CARTESIAN_POINT('Ctrl Pts',(-11.665357914,10.06585738216,4.104237149284)); #476277=CARTESIAN_POINT('Ctrl Pts',(-11.60495476322,9.989863874278,4.113111119464)); #476278=CARTESIAN_POINT('Ctrl Pts',(-11.52553791976,9.933641373952,4.107253492474)); #476279=CARTESIAN_POINT('Ctrl Pts',(-11.4352094258,9.902926234499,4.087261629384)); #476280=CARTESIAN_POINT('Ctrl Pts',(-11.34318492921,9.900851592473,4.055175413364)); #476281=CARTESIAN_POINT('Ctrl Pts',(-11.24472567184,9.932115825162,4.007415265626)); #476282=CARTESIAN_POINT('Ctrl Pts',(-11.19138961077,9.981713699225,3.968442751808)); #476283=CARTESIAN_POINT('Ctrl Pts',(-11.16941480793,10.0182774445,3.945916550563)); #476284=CARTESIAN_POINT('Ctrl Pts',(-11.74298523949,10.23101354061,3.892759060728)); #476285=CARTESIAN_POINT('Ctrl Pts',(-11.75410181132,10.1892587179,3.913994566901)); #476286=CARTESIAN_POINT('Ctrl Pts',(-11.75402367275,10.11298713094,3.945370022006)); #476287=CARTESIAN_POINT('Ctrl Pts',(-11.71214384672,10.01078764565,3.972216599294)); #476288=CARTESIAN_POINT('Ctrl Pts',(-11.65128058303,9.935267438365,3.981173649924)); #476289=CARTESIAN_POINT('Ctrl Pts',(-11.57164427073,9.879500958744,3.975169237054)); #476290=CARTESIAN_POINT('Ctrl Pts',(-11.48134745751,9.849169754083,3.954814746644)); #476291=CARTESIAN_POINT('Ctrl Pts',(-11.38958911666,9.847363275919,3.922183914094)); #476292=CARTESIAN_POINT('Ctrl Pts',(-11.2916667573,9.878773132306,3.87363443815)); #476293=CARTESIAN_POINT('Ctrl Pts',(-11.23885449409,9.928316206334,3.834026096485)); #476294=CARTESIAN_POINT('Ctrl Pts',(-11.21720492031,9.964795740894,3.811131090209)); #476295=CARTESIAN_POINT('Ctrl Pts',(-11.79182891738,10.17617745889,3.756449416971)); #476296=CARTESIAN_POINT('Ctrl Pts',(-11.80328413703,10.13421432367,3.777445502896)); #476297=CARTESIAN_POINT('Ctrl Pts',(-11.80362892607,10.05753438092,3.808542522333)); #476298=CARTESIAN_POINT('Ctrl Pts',(-11.76192568565,9.954844236609,3.835296363944)); #476299=CARTESIAN_POINT('Ctrl Pts',(-11.700935665,9.879054208232,3.844371363714)); #476300=CARTESIAN_POINT('Ctrl Pts',(-11.62094431303,9.823241049972,3.838664837174)); #476301=CARTESIAN_POINT('Ctrl Pts',(-11.53014823897,9.793124356992,3.818761694984)); #476302=CARTESIAN_POINT('Ctrl Pts',(-11.43785135349,9.791789896338,3.786702511964)); #476303=CARTESIAN_POINT('Ctrl Pts',(-11.33938236581,9.823996808319,3.738916800998)); #476304=CARTESIAN_POINT('Ctrl Pts',(-11.28635493867,9.874240040975,3.699897925884)); #476305=CARTESIAN_POINT('Ctrl Pts',(-11.26467922305,9.911123055129,3.677348922419)); #476306=CARTESIAN_POINT('Ctrl Pts',(-11.83759369786,10.12620126736,3.614062006553)); #476307=CARTESIAN_POINT('Ctrl Pts',(-11.85027897583,10.08365487029,3.633912115919)); #476308=CARTESIAN_POINT('Ctrl Pts',(-11.85237321839,10.0057513309,3.663468795868)); #476309=CARTESIAN_POINT('Ctrl Pts',(-11.81200610732,9.901376037126,3.689197288314)); #476310=CARTESIAN_POINT('Ctrl Pts',(-11.75132962145,9.824436460872,3.698227748064)); #476311=CARTESIAN_POINT('Ctrl Pts',(-11.67087426512,9.767995533474,3.693302547854)); #476312=CARTESIAN_POINT('Ctrl Pts',(-11.57899849119,9.737917443741,3.674933302124)); #476313=CARTESIAN_POINT('Ctrl Pts',(-11.48524754828,9.737326624003,3.645029046964)); #476314=CARTESIAN_POINT('Ctrl Pts',(-11.38497356511,9.771136698763,3.6002753877)); #476315=CARTESIAN_POINT('Ctrl Pts',(-11.33085369001,9.822991982211,3.563662526371)); #476316=CARTESIAN_POINT('Ctrl Pts',(-11.30872699861,9.860861370012,3.542514878002)); #476317=CARTESIAN_POINT('Ctrl Pts',(-11.87011911741,10.08957113898,3.507804943417)); #476318=CARTESIAN_POINT('Ctrl Pts',(-11.8833292268,10.04674329156,3.527074237465)); #476319=CARTESIAN_POINT('Ctrl Pts',(-11.88615478465,9.968269156283,3.55583659355)); #476320=CARTESIAN_POINT('Ctrl Pts',(-11.84630338082,9.863147274223,3.581006906054)); #476321=CARTESIAN_POINT('Ctrl Pts',(-11.7856971294,9.785730468505,3.589974129554)); #476322=CARTESIAN_POINT('Ctrl Pts',(-11.70497326681,9.729076167086,3.585401406674)); #476323=CARTESIAN_POINT('Ctrl Pts',(-11.61257191366,9.699108567616,3.567766638244)); #476324=CARTESIAN_POINT('Ctrl Pts',(-11.51815459763,9.698960566632,3.538914001784)); #476325=CARTESIAN_POINT('Ctrl Pts',(-11.41709269749,9.733621943582,3.495652789355)); #476326=CARTESIAN_POINT('Ctrl Pts',(-11.36253468111,9.786284290299,3.46022944194)); #476327=CARTESIAN_POINT('Ctrl Pts',(-11.34024870721,9.824634772985,3.439773771312)); #476328=CARTESIAN_POINT('Ctrl Pts',(-11.90170269819,10.05314428613,3.402240759705)); #476329=CARTESIAN_POINT('Ctrl Pts',(-11.91513804804,10.01015584702,3.421218263844)); #476330=CARTESIAN_POINT('Ctrl Pts',(-11.91825164646,9.931378540781,3.449584720083)); #476331=CARTESIAN_POINT('Ctrl Pts',(-11.87853463352,9.825906396536,3.474483186454)); #476332=CARTESIAN_POINT('Ctrl Pts',(-11.81786002103,9.748305781149,3.483427356424)); #476333=CARTESIAN_POINT('Ctrl Pts',(-11.73691427969,9.691632477111,3.479040536334)); #476334=CARTESIAN_POINT('Ctrl Pts',(-11.64419123652,9.661834026906,3.461782821964)); #476335=CARTESIAN_POINT('Ctrl Pts',(-11.54942101768,9.662036840999,3.433465414594)); #476336=CARTESIAN_POINT('Ctrl Pts',(-11.44799545059,9.69727695709,3.390960793584)); #476337=CARTESIAN_POINT('Ctrl Pts',(-11.39328904973,9.750437935492,3.356139237286)); #476338=CARTESIAN_POINT('Ctrl Pts',(-11.37098106915,9.789072352466,3.336033844481)); #476339=CARTESIAN_POINT('Ctrl Pts',(-11.9433719536,10.00383359316,3.263255818281)); #476340=CARTESIAN_POINT('Ctrl Pts',(-11.95665803885,9.9608183612,3.282325678485)); #476341=CARTESIAN_POINT('Ctrl Pts',(-11.95948751149,9.882047070866,3.310838544206)); #476342=CARTESIAN_POINT('Ctrl Pts',(-11.9193691303,9.776711129194,3.335881210294)); #476343=CARTESIAN_POINT('Ctrl Pts',(-11.85838691344,9.699309790963,3.344892633704)); #476344=CARTESIAN_POINT('Ctrl Pts',(-11.77720705402,9.642903587368,3.340508976134)); #476345=CARTESIAN_POINT('Ctrl Pts',(-11.68435442484,9.613416534883,3.323190337754)); #476346=CARTESIAN_POINT('Ctrl Pts',(-11.58958016357,9.613944711359,3.294755671014)); #476347=CARTESIAN_POINT('Ctrl Pts',(-11.48830579103,9.649541073489,3.252065343852)); #476348=CARTESIAN_POINT('Ctrl Pts',(-11.4338398418,9.702899469425,3.217087908722)); #476349=CARTESIAN_POINT('Ctrl Pts',(-11.4117119357,9.741614424204,3.19689309741)); #476350=CARTESIAN_POINT('Ctrl Pts',(-11.99985540308,9.936295351669,3.075571022529)); #476351=CARTESIAN_POINT('Ctrl Pts',(-12.01289979696,9.893266498829,3.09482664525)); #476352=CARTESIAN_POINT('Ctrl Pts',(-12.01528511853,9.814546941263,3.123625835791)); #476353=CARTESIAN_POINT('Ctrl Pts',(-11.97457042891,9.70945249499,3.148936068189)); #476354=CARTESIAN_POINT('Ctrl Pts',(-11.91315114279,9.632359500247,3.158059609631)); #476355=CARTESIAN_POINT('Ctrl Pts',(-11.83165899112,9.576336831926,3.153658391806)); #476356=CARTESIAN_POINT('Ctrl Pts',(-11.73865819528,9.547272720073,3.136194704309)); #476357=CARTESIAN_POINT('Ctrl Pts',(-11.64392292351,9.548221145731,3.107504127625)); #476358=CARTESIAN_POINT('Ctrl Pts',(-11.54291669056,9.584253381693,3.064419634615)); #476359=CARTESIAN_POINT('Ctrl Pts',(-11.48882339481,9.637830688634,3.029115408994)); #476360=CARTESIAN_POINT('Ctrl Pts',(-11.4669637656,9.676624254004,3.008732518312)); #476361=CARTESIAN_POINT('Ctrl Pts',(-12.0463343564,9.879721747767,2.923702049439)); #476362=CARTESIAN_POINT('Ctrl Pts',(-12.05907138906,9.836739852161,2.943251601987)); #476363=CARTESIAN_POINT('Ctrl Pts',(-12.06092976668,9.758178705604,2.972488207379)); #476364=CARTESIAN_POINT('Ctrl Pts',(-12.01958370476,9.65343933759,2.998178783879)); #476365=CARTESIAN_POINT('Ctrl Pts',(-11.95775231846,9.576707916164,3.00743544154)); #476366=CARTESIAN_POINT('Ctrl Pts',(-11.87601890572,9.521064324114,3.002960743501)); #476367=CARTESIAN_POINT('Ctrl Pts',(-11.78297113916,9.492355681332,2.985224630695)); #476368=CARTESIAN_POINT('Ctrl Pts',(-11.68838593704,9.493597990882,2.956090979369)); #476369=CARTESIAN_POINT('Ctrl Pts',(-11.58776396269,9.529865490137,2.912343642756)); #476370=CARTESIAN_POINT('Ctrl Pts',(-11.53409385878,9.583493208929,2.876497243823)); #476371=CARTESIAN_POINT('Ctrl Pts',(-11.51251306252,9.622270150222,2.855801177778)); #476372=CARTESIAN_POINT('Ctrl Pts',(-12.07132782207,9.849936814331,2.838552128453)); #476373=CARTESIAN_POINT('Ctrl Pts',(-12.0843110218,9.806801580132,2.857821013428)); #476374=CARTESIAN_POINT('Ctrl Pts',(-12.08649670842,9.727938574503,2.886678107112)); #476375=CARTESIAN_POINT('Ctrl Pts',(-12.0453401114,9.622826488909,2.912110590156)); #476376=CARTESIAN_POINT('Ctrl Pts',(-11.98348307703,9.545877349023,2.921348373728)); #476377=CARTESIAN_POINT('Ctrl Pts',(-11.90156198423,9.490169525844,2.917055608181)); #476378=CARTESIAN_POINT('Ctrl Pts',(-11.80821505299,9.461577832901,2.899684719571)); #476379=CARTESIAN_POINT('Ctrl Pts',(-11.71328576926,9.4631167038,2.871067713904)); #476380=CARTESIAN_POINT('Ctrl Pts',(-11.61229353927,9.499903058247,2.82804950336)); #476381=CARTESIAN_POINT('Ctrl Pts',(-11.55845580827,9.55399457998,2.792782550994)); #476382=CARTESIAN_POINT('Ctrl Pts',(-11.53683719962,9.593039616328,2.772423836845)); #476383=CARTESIAN_POINT('',(-11.51510041834,10.44800026043,4.556148337584)); #476384=CARTESIAN_POINT('Ctrl Pts',(-12.06740747539,9.821113112026,2.901022393336)); #476385=CARTESIAN_POINT('Ctrl Pts',(-12.04651937834,9.846146778509,2.971094921441)); #476386=CARTESIAN_POINT('Ctrl Pts',(-12.00477582224,9.896780762482,3.108683573197)); #476387=CARTESIAN_POINT('Ctrl Pts',(-11.95284361697,9.959287614417,3.28172625171)); #476388=CARTESIAN_POINT('Ctrl Pts',(-11.91133346741,10.00859441132,3.420628184721)); #476389=CARTESIAN_POINT('Ctrl Pts',(-11.87954087093,10.04519287047,3.526465643761)); #476390=CARTESIAN_POINT('Ctrl Pts',(-11.84651764955,10.08212315719,3.63327160076)); #476391=CARTESIAN_POINT('Ctrl Pts',(-11.79957863221,10.1327327731,3.776735535817)); #476392=CARTESIAN_POINT('Ctrl Pts',(-11.75042355159,10.18778638693,3.913262900253)); #476393=CARTESIAN_POINT('Ctrl Pts',(-11.70216328072,10.24359954404,4.046110599126)); #476394=CARTESIAN_POINT('Ctrl Pts',(-11.62979960416,10.32559092968,4.24787571944)); #476395=CARTESIAN_POINT('Ctrl Pts',(-11.56796961152,10.39268916364,4.419400181484)); #476396=CARTESIAN_POINT('Ctrl Pts',(-11.51510041834,10.44800026043,4.556148337584)); #476397=CARTESIAN_POINT('Origin',(-11.2336506423457,10.3872562905454,4.47190942906012)); #476398=CARTESIAN_POINT('Ctrl Pts',(-11.45479287948,10.54634825817,4.670577076)); #476399=CARTESIAN_POINT('Ctrl Pts',(-11.46492608728,10.50532075201,4.692704036963)); #476400=CARTESIAN_POINT('Ctrl Pts',(-11.46371284453,10.43045907707,4.725013220223)); #476401=CARTESIAN_POINT('Ctrl Pts',(-11.42179677246,10.32979040512,4.751970343591)); #476402=CARTESIAN_POINT('Ctrl Pts',(-11.36204088614,10.25498941511,4.760289293735)); #476403=CARTESIAN_POINT('Ctrl Pts',(-11.28432019867,10.19893459839,4.753039695334)); #476404=CARTESIAN_POINT('Ctrl Pts',(-11.19634816176,10.16718971826,4.730940780377)); #476405=CARTESIAN_POINT('Ctrl Pts',(-11.10685601971,10.16290496987,4.696186047254)); #476406=CARTESIAN_POINT('Ctrl Pts',(-11.01088781791,10.19048288032,4.644817498491)); #476407=CARTESIAN_POINT('Ctrl Pts',(-10.95852905336,10.23691324686,4.603038244335)); #476408=CARTESIAN_POINT('Ctrl Pts',(-10.93668065176,10.27169343549,4.578853327381)); #476409=CARTESIAN_POINT('Ctrl Pts',(-11.47855288334,10.52153177577,4.611752738328)); #476410=CARTESIAN_POINT('Ctrl Pts',(-11.48893682326,10.48038231529,4.633739637957)); #476411=CARTESIAN_POINT('Ctrl Pts',(-11.48805347415,10.40526652066,4.665893131331)); #476412=CARTESIAN_POINT('Ctrl Pts',(-11.4463272064,10.30426229974,4.692816576933)); #476413=CARTESIAN_POINT('Ctrl Pts',(-11.38654571549,10.22924879942,4.701226517513)); #476414=CARTESIAN_POINT('Ctrl Pts',(-11.30863656179,10.17310524375,4.694175561352)); #476415=CARTESIAN_POINT('Ctrl Pts',(-11.22036119198,10.14142530677,4.672366027399)); #476416=CARTESIAN_POINT('Ctrl Pts',(-11.13051407018,10.1373647059,4.637971142399)); #476417=CARTESIAN_POINT('Ctrl Pts',(-11.03415121396,10.16536652128,4.587079168457)); #476418=CARTESIAN_POINT('Ctrl Pts',(-10.98159580612,10.2121979558,4.545665945913)); #476419=CARTESIAN_POINT('Ctrl Pts',(-10.95968868824,10.2472184406,4.521696333463)); #476420=CARTESIAN_POINT('Ctrl Pts',(-11.50167607686,10.49762102412,4.551761126172)); #476421=CARTESIAN_POINT('Ctrl Pts',(-11.51248009044,10.45628453321,4.573475480265)); #476422=CARTESIAN_POINT('Ctrl Pts',(-11.51217608026,10.38076975785,4.605297471279)); #476423=CARTESIAN_POINT('Ctrl Pts',(-11.47085412354,10.27921323105,4.632074703721)); #476424=CARTESIAN_POINT('Ctrl Pts',(-11.41112570052,10.20382499727,4.640576483772)); #476425=CARTESIAN_POINT('Ctrl Pts',(-11.33300353484,10.14748224968,4.633820568933)); #476426=CARTESIAN_POINT('Ctrl Pts',(-11.24431219719,10.11582874462,4.612483482307)); #476427=CARTESIAN_POINT('Ctrl Pts',(-11.15393518941,10.1120345333,4.578702792913)); #476428=CARTESIAN_POINT('Ctrl Pts',(-11.05693840421,10.14059937827,4.52864375166)); #476429=CARTESIAN_POINT('Ctrl Pts',(-11.00401991067,10.18799457791,4.487878730959)); #476430=CARTESIAN_POINT('Ctrl Pts',(-10.98197339266,10.22336087484,4.464288977597)); #476431=CARTESIAN_POINT('Ctrl Pts',(-11.52421559526,10.47362903562,4.492403415934)); #476432=CARTESIAN_POINT('Ctrl Pts',(-11.53522674767,10.43218237097,4.513970580956)); #476433=CARTESIAN_POINT('Ctrl Pts',(-11.53519319078,10.35644374222,4.545616052514)); #476434=CARTESIAN_POINT('Ctrl Pts',(-11.49401899172,10.25460171365,4.572321051424)); #476435=CARTESIAN_POINT('Ctrl Pts',(-11.43425810054,10.17904085865,4.580879179888)); #476436=CARTESIAN_POINT('Ctrl Pts',(-11.35596707559,10.1226388678,4.574289328178)); #476437=CARTESIAN_POINT('Ctrl Pts',(-11.26701269488,10.09106366577,4.55321339389)); #476438=CARTESIAN_POINT('Ctrl Pts',(-11.17633361616,10.0874876018,4.519769387957)); #476439=CARTESIAN_POINT('Ctrl Pts',(-11.07900796523,10.11644644748,4.470164903747)); #476440=CARTESIAN_POINT('Ctrl Pts',(-11.02593379857,10.16420384663,4.429752749973)); #476441=CARTESIAN_POINT('Ctrl Pts',(-11.00384649633,10.19978398058,4.406369928505)); #476442=CARTESIAN_POINT('',(-11.4784921764168,10.4863475830757,4.64915568862548)); #476443=CARTESIAN_POINT('Origin',(-11.1978537677098,10.4258367029531,4.56208943522487)); #476444=CARTESIAN_POINT('Ctrl Pts',(-11.51510041834,10.44800026043,4.556148337584)); #476445=CARTESIAN_POINT('Ctrl Pts',(-11.50308471819,10.46057148894,4.58723003765)); #476446=CARTESIAN_POINT('Ctrl Pts',(-11.49084947021,10.4735493303,4.618220957962)); #476447=CARTESIAN_POINT('Ctrl Pts',(-11.47849222765,10.48634759413,4.649155704517)); #476448=CARTESIAN_POINT('Ctrl Pts',(-10.96958918445,11.06422572817,6.040052395555)); #476449=CARTESIAN_POINT('Ctrl Pts',(-10.98884747582,11.02103046365,6.053615127916)); #476450=CARTESIAN_POINT('Ctrl Pts',(-11.00189711184,10.94030992671,6.073902136344)); #476451=CARTESIAN_POINT('Ctrl Pts',(-10.97417400142,10.82915216712,6.091763997118)); #476452=CARTESIAN_POINT('Ctrl Pts',(-10.92156765657,10.74530011784,6.098250442866)); #476453=CARTESIAN_POINT('Ctrl Pts',(-10.8456256911,10.6815452095,6.095257522927)); #476454=CARTESIAN_POINT('Ctrl Pts',(-10.7543621432,10.64461627666,6.083100830516)); #476455=CARTESIAN_POINT('Ctrl Pts',(-10.6574086461,10.63841005453,6.063063255218)); #476456=CARTESIAN_POINT('Ctrl Pts',(-10.5494267031,10.66782370061,6.032911123076)); #476457=CARTESIAN_POINT('Ctrl Pts',(-10.48720751457,10.71889023819,6.008177831063)); #476458=CARTESIAN_POINT('Ctrl Pts',(-10.45989978029,10.75719767709,5.99389361132)); #476459=CARTESIAN_POINT('Ctrl Pts',(-10.98077206116,11.05237644223,5.992603143772)); #476460=CARTESIAN_POINT('Ctrl Pts',(-10.99956239965,11.00928525475,6.006871301377)); #476461=CARTESIAN_POINT('Ctrl Pts',(-11.01188291455,10.92886255418,6.028186895601)); #476462=CARTESIAN_POINT('Ctrl Pts',(-10.98345303391,10.81824030325,6.046905045367)); #476463=CARTESIAN_POINT('Ctrl Pts',(-10.93051093112,10.73484140742,6.053654408465)); #476464=CARTESIAN_POINT('Ctrl Pts',(-10.85451715915,10.67145356769,6.050429380502)); #476465=CARTESIAN_POINT('Ctrl Pts',(-10.76346144393,10.63474157346,6.037569078303)); #476466=CARTESIAN_POINT('Ctrl Pts',(-10.66691746072,10.62856464025,6.016426444092)); #476467=CARTESIAN_POINT('Ctrl Pts',(-10.55955432088,10.65778680283,5.984642048348)); #476468=CARTESIAN_POINT('Ctrl Pts',(-10.49780605112,10.70854310059,5.958581651269)); #476469=CARTESIAN_POINT('Ctrl Pts',(-10.47074065923,10.74663338711,5.943529134539)); #476470=CARTESIAN_POINT('Ctrl Pts',(-11.00159004252,11.0295110308,5.9161788862)); #476471=CARTESIAN_POINT('Ctrl Pts',(-11.01915428179,10.98673629772,5.932030369013)); #476472=CARTESIAN_POINT('Ctrl Pts',(-11.02958911471,10.90714982112,5.955618470286)); #476473=CARTESIAN_POINT('Ctrl Pts',(-10.99937992257,10.79795589989,5.976158479931)); #476474=CARTESIAN_POINT('Ctrl Pts',(-10.94563500629,10.71572796498,5.98339430918)); #476475=CARTESIAN_POINT('Ctrl Pts',(-10.86958570796,10.65324995601,5.979540034242)); #476476=CARTESIAN_POINT('Ctrl Pts',(-10.77914736678,10.6170248653,5.964996973277)); #476477=CARTESIAN_POINT('Ctrl Pts',(-10.68373316716,10.61082239723,5.941279912165)); #476478=CARTESIAN_POINT('Ctrl Pts',(-10.57803222872,10.63941137659,5.905732853887)); #476479=CARTESIAN_POINT('Ctrl Pts',(-10.51752140277,10.68926181811,5.876628904091)); #476480=CARTESIAN_POINT('Ctrl Pts',(-10.4910841077,10.72673373028,5.859811911176)); #476481=CARTESIAN_POINT('Ctrl Pts',(-11.03404974866,10.99479753297,5.809071473677)); #476482=CARTESIAN_POINT('Ctrl Pts',(-11.05035870855,10.95234532251,5.826276740812)); #476483=CARTESIAN_POINT('Ctrl Pts',(-11.05886255033,10.87360563439,5.851776137518)); #476484=CARTESIAN_POINT('Ctrl Pts',(-11.02681767818,10.7658643957,5.873785280526)); #476485=CARTESIAN_POINT('Ctrl Pts',(-10.97222813835,10.68483842271,5.881344578989)); #476486=CARTESIAN_POINT('Ctrl Pts',(-10.89609155546,10.62331354408,5.876854620158)); #476487=CARTESIAN_POINT('Ctrl Pts',(-10.80625406453,10.58763059722,5.860778022711)); #476488=CARTESIAN_POINT('Ctrl Pts',(-10.7119741469,10.58146635601,5.834772060138)); #476489=CARTESIAN_POINT('Ctrl Pts',(-10.60797120771,10.60949906287,5.795913849087)); #476490=CARTESIAN_POINT('Ctrl Pts',(-10.548753972,10.65849147864,5.764145075837)); #476491=CARTESIAN_POINT('Ctrl Pts',(-10.52298942413,10.69536547127,5.745781020283)); #476492=CARTESIAN_POINT('Ctrl Pts',(-11.06366026011,10.96361841891,5.71641896173)); #476493=CARTESIAN_POINT('Ctrl Pts',(-11.07916379735,10.9213651803,5.734411404132)); #476494=CARTESIAN_POINT('Ctrl Pts',(-11.08642220955,10.84315455945,5.761013465833)); #476495=CARTESIAN_POINT('Ctrl Pts',(-11.05317313848,10.73633716267,5.783852398288)); #476496=CARTESIAN_POINT('Ctrl Pts',(-10.99800918149,10.65608902719,5.791574022831)); #476497=CARTESIAN_POINT('Ctrl Pts',(-10.92177894294,10.59519978563,5.78668688425)); #476498=CARTESIAN_POINT('Ctrl Pts',(-10.8322910341,10.55990719869,5.769691417807)); #476499=CARTESIAN_POINT('Ctrl Pts',(-10.73871259656,10.55382604741,5.742328890937)); #476500=CARTESIAN_POINT('Ctrl Pts',(-10.63578857038,10.58158237612,5.701517347878)); #476501=CARTESIAN_POINT('Ctrl Pts',(-10.5774180732,10.63008379158,5.668180146773)); #476502=CARTESIAN_POINT('Ctrl Pts',(-10.55210573762,10.66660483375,5.648904961385)); #476503=CARTESIAN_POINT('Ctrl Pts',(-11.10410944661,10.9223907292,5.590451639486)); #476504=CARTESIAN_POINT('Ctrl Pts',(-11.11926876838,10.88017653254,5.608737614673)); #476505=CARTESIAN_POINT('Ctrl Pts',(-11.12595853112,10.80212134196,5.635759796559)); #476506=CARTESIAN_POINT('Ctrl Pts',(-11.09207048305,10.69565860379,5.658932842136)); #476507=CARTESIAN_POINT('Ctrl Pts',(-11.03651891549,10.6157648631,5.666740496366)); #476508=CARTESIAN_POINT('Ctrl Pts',(-10.96009262658,10.55523451683,5.66173178048)); #476509=CARTESIAN_POINT('Ctrl Pts',(-10.87061048897,10.52026086112,5.644418888664)); #476510=CARTESIAN_POINT('Ctrl Pts',(-10.77722759977,10.51442156176,5.616573273352)); #476511=CARTESIAN_POINT('Ctrl Pts',(-10.67471650949,10.54234060275,5.575057124216)); #476512=CARTESIAN_POINT('Ctrl Pts',(-10.61675669801,10.59083924304,5.54115053786)); #476513=CARTESIAN_POINT('Ctrl Pts',(-10.5917006541,10.62731782044,5.521545166498)); #476514=CARTESIAN_POINT('Ctrl Pts',(-11.15512433726,10.86990066116,5.433764713347)); #476515=CARTESIAN_POINT('Ctrl Pts',(-11.16979902656,10.82776179502,5.452510008749)); #476516=CARTESIAN_POINT('Ctrl Pts',(-11.17569540828,10.7499526364,5.48019167806)); #476517=CARTESIAN_POINT('Ctrl Pts',(-11.14093307697,10.64400197233,5.50389344762)); #476518=CARTESIAN_POINT('Ctrl Pts',(-11.08486551856,10.56459814529,5.511841974076)); #476519=CARTESIAN_POINT('Ctrl Pts',(-11.00819728941,10.50454365853,5.506649753449)); #476520=CARTESIAN_POINT('Ctrl Pts',(-10.91875711498,10.46997118963,5.488846865591)); #476521=CARTESIAN_POINT('Ctrl Pts',(-10.82567824686,10.46441047788,5.460251719087)); #476522=CARTESIAN_POINT('Ctrl Pts',(-10.72377080597,10.49247715684,5.417640072218)); #476523=CARTESIAN_POINT('Ctrl Pts',(-10.66639137189,10.54091351561,5.382847328814)); #476524=CARTESIAN_POINT('Ctrl Pts',(-10.64169140157,10.5773017741,5.362728218745)); #476525=CARTESIAN_POINT('Ctrl Pts',(-11.21944039052,10.8012422997,5.251595902654)); #476526=CARTESIAN_POINT('Ctrl Pts',(-11.23275216313,10.75945379131,5.271516683257)); #476527=CARTESIAN_POINT('Ctrl Pts',(-11.23653631392,10.68255375076,5.300825013945)); #476528=CARTESIAN_POINT('Ctrl Pts',(-11.19970913017,10.57818192042,5.325709697827)); #476529=CARTESIAN_POINT('Ctrl Pts',(-11.14263288752,10.50011335488,5.333841401945)); #476530=CARTESIAN_POINT('Ctrl Pts',(-11.06576155152,10.44116622746,5.327992695608)); #476531=CARTESIAN_POINT('Ctrl Pts',(-10.97686994677,10.40730266135,5.308755119828)); #476532=CARTESIAN_POINT('Ctrl Pts',(-10.88494882538,10.40194700186,5.278075139819)); #476533=CARTESIAN_POINT('Ctrl Pts',(-10.78486331775,10.42963391675,5.232482018919)); #476534=CARTESIAN_POINT('Ctrl Pts',(-10.72895198976,10.47730333534,5.195303498534)); #476535=CARTESIAN_POINT('Ctrl Pts',(-10.70505549347,10.51312582331,5.173797129118)); #476536=CARTESIAN_POINT('Ctrl Pts',(-11.28783560797,10.72719578186,5.072838713733)); #476537=CARTESIAN_POINT('Ctrl Pts',(-11.29971945664,10.68577614118,5.093799329198)); #476538=CARTESIAN_POINT('Ctrl Pts',(-11.30130300665,10.60983750433,5.124511689416)); #476539=CARTESIAN_POINT('Ctrl Pts',(-11.26234336788,10.50714235564,5.1503445295)); #476540=CARTESIAN_POINT('Ctrl Pts',(-11.20423853578,10.43049703698,5.158534908999)); #476541=CARTESIAN_POINT('Ctrl Pts',(-11.12717756409,10.37273736952,5.151995098396)); #476542=CARTESIAN_POINT('Ctrl Pts',(-11.03887358935,10.33964458506,5.131379780848)); #476543=CARTESIAN_POINT('Ctrl Pts',(-10.94816512452,10.33453026918,5.098753292179)); #476544=CARTESIAN_POINT('Ctrl Pts',(-10.849972191,10.36184570728,5.050411347063)); #476545=CARTESIAN_POINT('Ctrl Pts',(-10.79557527655,10.40872408383,5.01104724843)); #476546=CARTESIAN_POINT('Ctrl Pts',(-10.77250423354,10.4439580127,4.988267769558)); #476547=CARTESIAN_POINT('Ctrl Pts',(-11.36000275791,10.64758741307,4.898237264459)); #476548=CARTESIAN_POINT('Ctrl Pts',(-11.37026522856,10.60663172153,4.920245564581)); #476549=CARTESIAN_POINT('Ctrl Pts',(-11.36937786898,10.53184559677,4.952335149185)); #476550=CARTESIAN_POINT('Ctrl Pts',(-11.32807519849,10.4310934734,4.979016708942)); #476551=CARTESIAN_POINT('Ctrl Pts',(-11.26888312349,10.35606435599,4.987152751635)); #476552=CARTESIAN_POINT('Ctrl Pts',(-11.19168821823,10.29962137106,4.979793553112)); #476553=CARTESIAN_POINT('Ctrl Pts',(-11.104122381,10.26734501188,4.957666575011)); #476554=CARTESIAN_POINT('Ctrl Pts',(-11.01484304356,10.26242573952,4.922959955967)); #476555=CARTESIAN_POINT('Ctrl Pts',(-10.9188328191,10.28921309728,4.871716113098)); #476556=CARTESIAN_POINT('Ctrl Pts',(-10.86615429777,10.33511393288,4.830059206765)); #476557=CARTESIAN_POINT('Ctrl Pts',(-10.84401207506,10.36963547467,4.805941808997)); #476558=CARTESIAN_POINT('Ctrl Pts',(-11.42805278238,10.5743068931,4.737278677559)); #476559=CARTESIAN_POINT('Ctrl Pts',(-11.43788941394,10.53342393784,4.759567853277)); #476560=CARTESIAN_POINT('Ctrl Pts',(-11.43628649223,10.45886230051,4.792058396625)); #476561=CARTESIAN_POINT('Ctrl Pts',(-11.39414426849,10.35859002613,4.819056293504)); #476562=CARTESIAN_POINT('Ctrl Pts',(-11.33441273262,10.28403956502,4.827270690567)); #476563=CARTESIAN_POINT('Ctrl Pts',(-11.25690686073,10.22809222712,4.819790304969)); #476564=CARTESIAN_POINT('Ctrl Pts',(-11.16928484188,10.19627670758,4.79735403248)); #476565=CARTESIAN_POINT('Ctrl Pts',(-11.08020482196,10.19173639633,4.762179070406)); #476566=CARTESIAN_POINT('Ctrl Pts',(-10.98469747888,10.21882701169,4.710253479081)); #476567=CARTESIAN_POINT('Ctrl Pts',(-10.9325711466,10.26479422429,4.668046174535)); #476568=CARTESIAN_POINT('Ctrl Pts',(-10.91079400612,10.2992963589,4.643609518699)); #476569=CARTESIAN_POINT('Ctrl Pts',(-11.47050525572,10.52988316203,4.631874716919)); #476570=CARTESIAN_POINT('Ctrl Pts',(-11.48077990335,10.48878594097,4.653922489335)); #476571=CARTESIAN_POINT('Ctrl Pts',(-11.47975158885,10.41377846235,4.68614685369)); #476572=CARTESIAN_POINT('Ctrl Pts',(-11.43793550287,10.31291907373,4.713092833996)); #476573=CARTESIAN_POINT('Ctrl Pts',(-11.37815323698,10.23799828819,4.721470551722)); #476574=CARTESIAN_POINT('Ctrl Pts',(-11.30031177928,10.18189887257,4.714339521212)); #476575=CARTESIAN_POINT('Ctrl Pts',(-11.21215427597,10.15020176472,4.692408940426)); #476576=CARTESIAN_POINT('Ctrl Pts',(-11.12245037566,10.14605966333,4.65786077478)); #476577=CARTESIAN_POINT('Ctrl Pts',(-11.02625234958,10.17389977622,4.606763809475)); #476578=CARTESIAN_POINT('Ctrl Pts',(-10.97378496331,10.22057418054,4.565192281202)); #476579=CARTESIAN_POINT('Ctrl Pts',(-10.95190800507,10.25549949897,4.54112970555)); #476580=CARTESIAN_POINT('Ctrl Pts',(-11.48790806815,10.51188651761,4.586867962715)); #476581=CARTESIAN_POINT('Ctrl Pts',(-11.49849307973,10.47065077563,4.608715627621)); #476582=CARTESIAN_POINT('Ctrl Pts',(-11.49789224265,10.39534804119,4.640697265243)); #476583=CARTESIAN_POINT('Ctrl Pts',(-11.45637309824,10.2940804861,4.667537814578)); #476584=CARTESIAN_POINT('Ctrl Pts',(-11.3966280706,10.2188832525,4.675985023859)); #476585=CARTESIAN_POINT('Ctrl Pts',(-11.31862711379,10.16263767073,4.669072868706)); #476586=CARTESIAN_POINT('Ctrl Pts',(-11.2301603484,10.13096166971,4.647491438494)); #476587=CARTESIAN_POINT('Ctrl Pts',(-11.1400635269,10.12701844339,4.613396423624)); #476588=CARTESIAN_POINT('Ctrl Pts',(-11.04339637021,10.15527730256,4.562913466436)); #476589=CARTESIAN_POINT('Ctrl Pts',(-10.9906611658,10.20237027905,4.521819546871)); #476590=CARTESIAN_POINT('Ctrl Pts',(-10.96868186836,10.23755218977,4.49803689609)); #476591=CARTESIAN_POINT('',(-10.99570864666,11.00734562209,6.008922173305)); #476592=CARTESIAN_POINT('Ctrl Pts',(-11.4784921508005,10.486347577559,4.64915568067529)); #476593=CARTESIAN_POINT('Ctrl Pts',(-11.4660656984383,10.4999977704394, 4.67972265118872)); #476594=CARTESIAN_POINT('Ctrl Pts',(-11.4535823655104,10.5135956320905, 4.7099819688938)); #476595=CARTESIAN_POINT('Ctrl Pts',(-11.4411309076437,10.5271038391016, 4.73998152545172)); #476596=CARTESIAN_POINT('Ctrl Pts',(-11.4366630305097,10.5319509027846, 4.75074607480896)); #476597=CARTESIAN_POINT('Ctrl Pts',(-11.4321993136105,10.5367863547257, 4.76147708530724)); #476598=CARTESIAN_POINT('Ctrl Pts',(-11.4277433201009,10.5416078499463, 4.77217747270547)); #476599=CARTESIAN_POINT('Ctrl Pts',(-11.4214154451934,10.5484547671505, 4.78737289719885)); #476600=CARTESIAN_POINT('Ctrl Pts',(-11.4151032688243,10.5552741725754, 4.80250572625576)); #476601=CARTESIAN_POINT('Ctrl Pts',(-11.4088174081094,10.5620606315474, 4.81758234770165)); #476602=CARTESIAN_POINT('Ctrl Pts',(-11.4060673440467,10.5650297073477, 4.82417836958423)); #476603=CARTESIAN_POINT('Ctrl Pts',(-11.4033223176753,10.5679924773019, 4.83076363314675)); #476604=CARTESIAN_POINT('Ctrl Pts',(-11.4005832202817,10.5709484872026, 4.83733867264085)); #476605=CARTESIAN_POINT('Ctrl Pts',(-11.3893402702935,10.5830817802928, 4.86432670175457)); #476606=CARTESIAN_POINT('Ctrl Pts',(-11.3781844783401,10.5951233555984, 4.89116727514135)); #476607=CARTESIAN_POINT('Ctrl Pts',(-11.3671978339215,10.6070117866845, 4.91785338742758)); #476608=CARTESIAN_POINT('Ctrl Pts',(-11.3505609459522,10.6250142348001, 4.95826371141041)); #476609=CARTESIAN_POINT('Ctrl Pts',(-11.3343134701703,10.6426633266092, 4.9983211699377)); #476610=CARTESIAN_POINT('Ctrl Pts',(-11.3185017343866,10.6598186594614, 5.0381646150137)); #476611=CARTESIAN_POINT('Ctrl Pts',(-11.3111416196515,10.6678041975351, 5.05671111308415)); #476612=CARTESIAN_POINT('Ctrl Pts',(-11.3038755575917,10.6756831899273, 5.07521102819326)); #476613=CARTESIAN_POINT('Ctrl Pts',(-11.2967062084661,10.6834444501153, 5.09367627867228)); #476614=CARTESIAN_POINT('Ctrl Pts',(-11.2922791164455,10.6882370487803, 5.10507861961312)); #476615=CARTESIAN_POINT('Ctrl Pts',(-11.2878888740251,10.6929848168688, 5.11646767585513)); #476616=CARTESIAN_POINT('Ctrl Pts',(-11.2835368396684,10.6976902486542, 5.12783567434766)); #476617=CARTESIAN_POINT('Ctrl Pts',(-11.2650293247101,10.717700625874,5.17617936488366)); #476618=CARTESIAN_POINT('Ctrl Pts',(-11.2471913823952,10.7368303803861, 5.22431227403931)); #476619=CARTESIAN_POINT('Ctrl Pts',(-11.2300113258618,10.7552748302104, 5.27204629662396)); #476620=CARTESIAN_POINT('Ctrl Pts',(-11.2196258882276,10.7664246004675, 5.30090177295049)); #476621=CARTESIAN_POINT('Ctrl Pts',(-11.2094802622096,10.777323996331,5.32961251225325)); #476622=CARTESIAN_POINT('Ctrl Pts',(-11.1995310489564,10.7880153354641, 5.35821292000527)); #476623=CARTESIAN_POINT('Ctrl Pts',(-11.196230092974,10.7915625144192,5.36770198056347)); #476624=CARTESIAN_POINT('Ctrl Pts',(-11.1929517009232,10.7950866494485, 5.37717539162751)); #476625=CARTESIAN_POINT('Ctrl Pts',(-11.1896948022587,10.7985892018811, 5.38663237730081)); #476626=CARTESIAN_POINT('Ctrl Pts',(-11.1868450159273,10.8016539352596, 5.39490723976495)); #476627=CARTESIAN_POINT('Ctrl Pts',(-11.1840116851379,10.804702144408,5.40316952639804)); #476628=CARTESIAN_POINT('Ctrl Pts',(-11.1811940881653,10.8077348091632, 5.41141871472648)); #476629=CARTESIAN_POINT('Ctrl Pts',(-11.1763643781339,10.8129331719995, 5.42555884477617)); #476630=CARTESIAN_POINT('Ctrl Pts',(-11.1715821566909,10.8180897083411, 5.43965167381641)); #476631=CARTESIAN_POINT('Ctrl Pts',(-11.1668418809636,10.8232033162436, 5.45370796581426)); #476632=CARTESIAN_POINT('Ctrl Pts',(-11.1539463586028,10.8371144574613, 5.49194692873517)); #476633=CARTESIAN_POINT('Ctrl Pts',(-11.1413599299654,10.8507107213784, 5.5299121401132)); #476634=CARTESIAN_POINT('Ctrl Pts',(-11.1289880756283,10.8641057176174, 5.56749731350083)); #476635=CARTESIAN_POINT('Ctrl Pts',(-11.1273358536838,10.8658945769209, 5.57251669431204)); #476636=CARTESIAN_POINT('Ctrl Pts',(-11.1256874845917,10.8676797984827, 5.57752934923214)); #476637=CARTESIAN_POINT('Ctrl Pts',(-11.1240427654007,10.8694616110454, 5.58253507241936)); #476638=CARTESIAN_POINT('Ctrl Pts',(-11.1177959290475,10.8762291441001, 5.60154739607272)); #476639=CARTESIAN_POINT('Ctrl Pts',(-11.1116057304991,10.8829440931507, 5.62044577275153)); #476640=CARTESIAN_POINT('Ctrl Pts',(-11.1054593754611,10.8895845667833, 5.63926875819273)); #476641=CARTESIAN_POINT('Ctrl Pts',(-11.0997264508958,10.8957783733853, 5.65682562807083)); #476642=CARTESIAN_POINT('Ctrl Pts',(-11.094031947978,10.9019072891459,5.67431666064532)); #476643=CARTESIAN_POINT('Ctrl Pts',(-11.0884045171485,10.9079512391765, 5.69171490689676)); #476644=CARTESIAN_POINT('Ctrl Pts',(-11.0839890108847,10.9126935629877, 5.70536626355126)); #476645=CARTESIAN_POINT('Ctrl Pts',(-11.0796101564005,10.9173785948814, 5.71898649167374)); #476646=CARTESIAN_POINT('Ctrl Pts',(-11.0752816125872,10.9220113989502, 5.73254737894576)); #476647=CARTESIAN_POINT('Ctrl Pts',(-11.0710368840834,10.9265544961976, 5.74584568138285)); #476648=CARTESIAN_POINT('Ctrl Pts',(-11.0668404908231,10.9310473818727, 5.75908698220586)); #476649=CARTESIAN_POINT('Ctrl Pts',(-11.0626993323478,10.9354710073896, 5.7723047808005)); #476650=CARTESIAN_POINT('Ctrl Pts',(-11.0611310659991,10.937146244664,5.77731039187853)); #476651=CARTESIAN_POINT('Ctrl Pts',(-11.0595709675504,10.9388124305852, 5.78231044333456)); #476652=CARTESIAN_POINT('Ctrl Pts',(-11.058019582538,10.940469192323,5.78730510115202)); #476653=CARTESIAN_POINT('Ctrl Pts',(-11.0541308188529,10.9446220975203, 5.79982491008777)); #476654=CARTESIAN_POINT('Ctrl Pts',(-11.0502935287235,10.9487166222299, 5.81232489010288)); #476655=CARTESIAN_POINT('Ctrl Pts',(-11.0465172385549,10.9527420965779, 5.82480906880035)); #476656=CARTESIAN_POINT('Ctrl Pts',(-11.0371976869828,10.96267661276,5.8556189223898)); #476657=CARTESIAN_POINT('Ctrl Pts',(-11.0282521199822,10.9721888159031, 5.88633199299827)); #476658=CARTESIAN_POINT('Ctrl Pts',(-11.0198310339795,10.9812478509651, 5.91669179409165)); #476659=CARTESIAN_POINT('Ctrl Pts',(-11.0131302545625,10.9884562556367, 5.94084952507864)); #476660=CARTESIAN_POINT('Ctrl Pts',(-11.0067612027227,10.9953766794582, 5.96478649335027)); #476661=CARTESIAN_POINT('Ctrl Pts',(-11.0007247678821,11.0019170529999, 5.98872023285356)); #476662=CARTESIAN_POINT('Ctrl Pts',(-10.9990266033757,11.0037569850957, 5.99545325126655)); #476663=CARTESIAN_POINT('Ctrl Pts',(-10.9973546445912,11.0055670314595, 6.00218580952287)); #476664=CARTESIAN_POINT('Ctrl Pts',(-10.9957086466387,11.0073456220839, 6.00892217330142)); #476665=CARTESIAN_POINT('',(-11.00323002629,10.92525251795,6.02955959686)); #476666=CARTESIAN_POINT('Origin',(-10.7104078880873,10.9251527749402,5.96091547474095)); #476667=CARTESIAN_POINT('Origin',(-10.7111496518748,10.9252646379395,5.96106370119028)); #476668=CARTESIAN_POINT('Ctrl Pts',(-10.88669854433,11.14663740614,6.453952192601)); #476669=CARTESIAN_POINT('Ctrl Pts',(-10.90674486891,11.10366325364,6.465598604546)); #476670=CARTESIAN_POINT('Ctrl Pts',(-10.92153743839,11.02289094733,6.483152786149)); #476671=CARTESIAN_POINT('Ctrl Pts',(-10.89613100067,10.91094141359,6.498784791951)); #476672=CARTESIAN_POINT('Ctrl Pts',(-10.84472697823,10.82581008366,6.504593415577)); #476673=CARTESIAN_POINT('Ctrl Pts',(-10.76923376199,10.76086168982,6.502152022593)); #476674=CARTESIAN_POINT('Ctrl Pts',(-10.67768248506,10.72300634995,6.491720190902)); #476675=CARTESIAN_POINT('Ctrl Pts',(-10.5798132558,10.71627079898,6.474407888709)); #476676=CARTESIAN_POINT('Ctrl Pts',(-10.47063835951,10.74549472098,6.448386271069)); #476677=CARTESIAN_POINT('Ctrl Pts',(-10.4072962381,10.79654899226,6.427061298794)); #476678=CARTESIAN_POINT('Ctrl Pts',(-10.37928059977,10.83475995408,6.41477492815)); #476679=CARTESIAN_POINT('Ctrl Pts',(-10.89695228407,11.13606801059,6.404684459577)); #476680=CARTESIAN_POINT('Ctrl Pts',(-10.91665525539,11.09316158242,6.416879893248)); #476681=CARTESIAN_POINT('Ctrl Pts',(-10.93090660679,11.01259571311,6.435242727483)); #476682=CARTESIAN_POINT('Ctrl Pts',(-10.90496452706,10.9010337502,6.451559541529)); #476683=CARTESIAN_POINT('Ctrl Pts',(-10.85329671115,10.81624052069,6.457588187414)); #476684=CARTESIAN_POINT('Ctrl Pts',(-10.77774973113,10.75157482994,6.454976317335)); #476685=CARTESIAN_POINT('Ctrl Pts',(-10.68633879822,10.71389820221,6.44400091195)); #476686=CARTESIAN_POINT('Ctrl Pts',(-10.58876288543,10.70720759631,6.425826700226)); #476687=CARTESIAN_POINT('Ctrl Pts',(-10.48003868789,10.73632034304,6.398531833736)); #476688=CARTESIAN_POINT('Ctrl Pts',(-10.41704494422,10.78716787871,6.376171937526)); #476689=CARTESIAN_POINT('Ctrl Pts',(-10.38921068231,10.8252307609,6.363288003448)); #476690=CARTESIAN_POINT('Ctrl Pts',(-10.91346255094,11.12073148641,6.316719387838)); #476691=CARTESIAN_POINT('Ctrl Pts',(-10.93353593115,11.07768862289,6.328207345496)); #476692=CARTESIAN_POINT('Ctrl Pts',(-10.94833548913,10.99680420882,6.345532105435)); #476693=CARTESIAN_POINT('Ctrl Pts',(-10.92284781156,10.88476253345,6.360977417664)); #476694=CARTESIAN_POINT('Ctrl Pts',(-10.87132164409,10.79961929732,6.366733849325)); #476695=CARTESIAN_POINT('Ctrl Pts',(-10.7956744495,10.73473991501,6.364353301783)); #476696=CARTESIAN_POINT('Ctrl Pts',(-10.70396468841,10.69703658069,6.354089244656)); #476697=CARTESIAN_POINT('Ctrl Pts',(-10.60596259989,10.69052534731,6.337035329492)); #476698=CARTESIAN_POINT('Ctrl Pts',(-10.49669582284,10.72006798139,6.311390981115)); #476699=CARTESIAN_POINT('Ctrl Pts',(-10.43336675521,10.7713669608,6.290370936816)); #476700=CARTESIAN_POINT('Ctrl Pts',(-10.40539368006,10.80970923934,6.278260895015)); #476701=CARTESIAN_POINT('Ctrl Pts',(-10.94597379723,11.08853823849,6.14556866372)); #476702=CARTESIAN_POINT('Ctrl Pts',(-10.96578714385,11.04548712209,6.157362952262)); #476703=CARTESIAN_POINT('Ctrl Pts',(-10.9801446175,10.96466985331,6.175142146087)); #476704=CARTESIAN_POINT('Ctrl Pts',(-10.95413990622,10.85286532669,6.190978465422)); #476705=CARTESIAN_POINT('Ctrl Pts',(-10.90228501944,10.7679926783,6.196866828802)); #476706=CARTESIAN_POINT('Ctrl Pts',(-10.82645430766,10.70341254085,6.194400546237)); #476707=CARTESIAN_POINT('Ctrl Pts',(-10.73471755885,10.66599820784,6.183841902451)); #476708=CARTESIAN_POINT('Ctrl Pts',(-10.63683795474,10.65973084456,6.166314687487)); #476709=CARTESIAN_POINT('Ctrl Pts',(-10.52786029113,10.68947373178,6.139967563671)); #476710=CARTESIAN_POINT('Ctrl Pts',(-10.46482996902,10.74082502715,6.118374886718)); #476711=CARTESIAN_POINT('Ctrl Pts',(-10.43704431374,10.7791643735,6.105934422208)); #476712=CARTESIAN_POINT('Ctrl Pts',(-10.97130903512,11.06189802343,6.029843192713)); #476713=CARTESIAN_POINT('Ctrl Pts',(-10.99015506415,11.01904974226,6.043214508663)); #476714=CARTESIAN_POINT('Ctrl Pts',(-11.00299173283,10.93883284279,6.063318576296)); #476715=CARTESIAN_POINT('Ctrl Pts',(-10.9754924816,10.82813507693,6.081127914592)); #476716=CARTESIAN_POINT('Ctrl Pts',(-10.92291167208,10.74421683811,6.087654666596)); #476717=CARTESIAN_POINT('Ctrl Pts',(-10.84694899661,10.680422593,6.0847056479)); #476718=CARTESIAN_POINT('Ctrl Pts',(-10.75562610853,10.64348894242,6.072592615703)); #476719=CARTESIAN_POINT('Ctrl Pts',(-10.65858678144,10.63731523528,6.052596371329)); #476720=CARTESIAN_POINT('Ctrl Pts',(-10.55088606848,10.66669907361,6.022599423314)); #476721=CARTESIAN_POINT('Ctrl Pts',(-10.4888319854,10.71743380545,5.998039140519)); #476722=CARTESIAN_POINT('Ctrl Pts',(-10.46154993542,10.75533815104,5.983885330812)); #476723=CARTESIAN_POINT('Ctrl Pts',(-10.99320046056,11.03792786056,5.951074934196)); #476724=CARTESIAN_POINT('Ctrl Pts',(-11.01084104696,10.99539404826,5.965992622219)); #476725=CARTESIAN_POINT('Ctrl Pts',(-11.02181468804,10.91600361085,5.988326821719)); #476726=CARTESIAN_POINT('Ctrl Pts',(-10.99254644869,10.80672091901,6.00793252067)); #476727=CARTESIAN_POINT('Ctrl Pts',(-10.93916004155,10.72397065075,6.014940837932)); #476728=CARTESIAN_POINT('Ctrl Pts',(-10.8631357786,10.66108666597,6.011367354708)); #476729=CARTESIAN_POINT('Ctrl Pts',(-10.77242225209,10.62464437191,5.997585555249)); #476730=CARTESIAN_POINT('Ctrl Pts',(-10.67650443379,10.61845354169,5.975036708544)); #476731=CARTESIAN_POINT('Ctrl Pts',(-10.57045198686,10.64722001997,5.941322885803)); #476732=CARTESIAN_POINT('Ctrl Pts',(-10.50962639327,10.69706732758,5.913762378)); #476733=CARTESIAN_POINT('Ctrl Pts',(-10.48296941023,10.73436633081,5.897873038813)); #476734=CARTESIAN_POINT('',(-10.9121766053,11.09322412844,6.418044801933)); #476735=CARTESIAN_POINT('Origin',(-10.6276455610592,11.0065048870621,6.37903380292836)); #476736=CARTESIAN_POINT('Ctrl Pts',(-10.99570864666,11.00734562209,6.008922173305)); #476737=CARTESIAN_POINT('Ctrl Pts',(-10.97980341516,11.02515630755,6.072958503768)); #476738=CARTESIAN_POINT('Ctrl Pts',(-10.95888209807,11.04742439606,6.172770342463)); #476739=CARTESIAN_POINT('Ctrl Pts',(-10.93267599792,11.07350572874,6.311765836827)); #476740=CARTESIAN_POINT('Ctrl Pts',(-10.91899073212,11.08649262741,6.383307703321)); #476741=CARTESIAN_POINT('Ctrl Pts',(-10.9121766053,11.09322412844,6.418044801933)); #476742=CARTESIAN_POINT('Ctrl Pts',(-10.97934669699,11.06695083346,7.647663532176)); #476743=CARTESIAN_POINT('Ctrl Pts',(-11.00291089441,11.02521158514,7.631832269798)); #476744=CARTESIAN_POINT('Ctrl Pts',(-11.02308703099,10.94428225726,7.607391642263)); #476745=CARTESIAN_POINT('Ctrl Pts',(-11.00253533014,10.82853745434,7.584549964859)); #476746=CARTESIAN_POINT('Ctrl Pts',(-10.95319002725,10.73874364406,7.575018611333)); #476747=CARTESIAN_POINT('Ctrl Pts',(-10.87774857295,10.66878163533,7.576662275426)); #476748=CARTESIAN_POINT('Ctrl Pts',(-10.78475467434,10.62657555281,7.589295044173)); #476749=CARTESIAN_POINT('Ctrl Pts',(-10.68474069293,10.61690482502,7.611485957744)); #476750=CARTESIAN_POINT('Ctrl Pts',(-10.57346746475,10.64476297607,7.645477150955)); #476751=CARTESIAN_POINT('Ctrl Pts',(-10.50972611339,10.69652307038,7.67357240915)); #476752=CARTESIAN_POINT('Ctrl Pts',(-10.48219209103,10.73548383024,7.689707663276)); #476753=CARTESIAN_POINT('Ctrl Pts',(-10.96760049635,11.07853167865,7.600085707188)); #476754=CARTESIAN_POINT('Ctrl Pts',(-10.99117869783,11.03680712456,7.584273898834)); #476755=CARTESIAN_POINT('Ctrl Pts',(-11.01139243173,10.95589954672,7.559867720954)); #476756=CARTESIAN_POINT('Ctrl Pts',(-10.99091640123,10.84016249759,7.537066052501)); #476757=CARTESIAN_POINT('Ctrl Pts',(-10.9416437073,10.7503538367,7.527558461639)); #476758=CARTESIAN_POINT('Ctrl Pts',(-10.86627170765,10.68035256876,7.529212090381)); #476759=CARTESIAN_POINT('Ctrl Pts',(-10.77333239563,10.63808369426,7.541839981742)); #476760=CARTESIAN_POINT('Ctrl Pts',(-10.67334720871,10.62833159928,7.564012381022)); #476761=CARTESIAN_POINT('Ctrl Pts',(-10.5620677513,10.65608368772,7.597969962403)); #476762=CARTESIAN_POINT('Ctrl Pts',(-10.49828231864,10.70776988496,7.626035461353)); #476763=CARTESIAN_POINT('Ctrl Pts',(-10.4707082244,10.74669415437,7.642153918156)); #476764=CARTESIAN_POINT('Ctrl Pts',(-10.94768171859,11.09810822624,7.518961982857)); #476765=CARTESIAN_POINT('Ctrl Pts',(-10.97130748447,11.05638604251,7.503275565249)); #476766=CARTESIAN_POINT('Ctrl Pts',(-10.99162171604,10.97548046099,7.479070157815)); #476767=CARTESIAN_POINT('Ctrl Pts',(-10.97130831011,10.85972384647,7.456468847945)); #476768=CARTESIAN_POINT('Ctrl Pts',(-10.92217305814,10.76987627778,7.447056374315)); #476769=CARTESIAN_POINT('Ctrl Pts',(-10.84691641219,10.69981068576,7.448716507624)); #476770=CARTESIAN_POINT('Ctrl Pts',(-10.75405082756,10.65745332758,7.46126169272)); #476771=CARTESIAN_POINT('Ctrl Pts',(-10.65408140175,10.64759497011,7.483272607841)); #476772=CARTESIAN_POINT('Ctrl Pts',(-10.54274079604,10.67521584965,7.516974279656)); #476773=CARTESIAN_POINT('Ctrl Pts',(-10.47883887599,10.72681696996,7.544825037208)); #476774=CARTESIAN_POINT('Ctrl Pts',(-10.45117288989,10.76570245844,7.560820645)); #476775=CARTESIAN_POINT('Ctrl Pts',(-10.91110267605,11.13386407631,7.370157285603)); #476776=CARTESIAN_POINT('Ctrl Pts',(-10.93474850468,11.09221017529,7.35444067965)); #476777=CARTESIAN_POINT('Ctrl Pts',(-10.95514311205,11.01140733048,7.330202261095)); #476778=CARTESIAN_POINT('Ctrl Pts',(-10.93503030101,10.89570835384,7.307594170615)); #476779=CARTESIAN_POINT('Ctrl Pts',(-10.88610586358,10.80582940124,7.298200659242)); #476780=CARTESIAN_POINT('Ctrl Pts',(-10.81106689428,10.7356408631,7.299900899145)); #476781=CARTESIAN_POINT('Ctrl Pts',(-10.71838936099,10.69307180341,7.312503069898)); #476782=CARTESIAN_POINT('Ctrl Pts',(-10.61854243674,10.68293020879,7.334583416954)); #476783=CARTESIAN_POINT('Ctrl Pts',(-10.50723358417,10.71017399218,7.368375251544)); #476784=CARTESIAN_POINT('Ctrl Pts',(-10.44323731482,10.76150543482,7.396294438156)); #476785=CARTESIAN_POINT('Ctrl Pts',(-10.41547140182,10.80025420839,7.412330249877)); #476786=CARTESIAN_POINT('Ctrl Pts',(-10.87157760501,11.17223353478,7.204431617453)); #476787=CARTESIAN_POINT('Ctrl Pts',(-10.89573068597,11.13018848313,7.190607044177)); #476788=CARTESIAN_POINT('Ctrl Pts',(-10.91696208745,11.04877837899,7.169300234257)); #476789=CARTESIAN_POINT('Ctrl Pts',(-10.89776813847,10.93246904447,7.149450827401)); #476790=CARTESIAN_POINT('Ctrl Pts',(-10.84936597897,10.84227409356,7.141225544364)); #476791=CARTESIAN_POINT('Ctrl Pts',(-10.77451474962,10.77199850722,7.142758346678)); #476792=CARTESIAN_POINT('Ctrl Pts',(-10.6816565162,10.72956930472,7.153876572032)); #476793=CARTESIAN_POINT('Ctrl Pts',(-10.58126519683,10.71977145722,7.173326147952)); #476794=CARTESIAN_POINT('Ctrl Pts',(-10.46895240497,10.74760478892,7.203075574293)); #476795=CARTESIAN_POINT('Ctrl Pts',(-10.40399482155,10.7994701871,7.227648750031)); #476796=CARTESIAN_POINT('Ctrl Pts',(-10.37562689192,10.83854571322,7.241763639437)); #476797=CARTESIAN_POINT('Ctrl Pts',(-10.84351527607,11.19888441182,7.039788661362)); #476798=CARTESIAN_POINT('Ctrl Pts',(-10.86837822879,11.15602978076,7.030591177511)); #476799=CARTESIAN_POINT('Ctrl Pts',(-10.89073204392,11.07345620856,7.016441823631)); #476800=CARTESIAN_POINT('Ctrl Pts',(-10.87266778786,10.95618823015,7.003306596295)); #476801=CARTESIAN_POINT('Ctrl Pts',(-10.82481500401,10.86568663061,6.997905680645)); #476802=CARTESIAN_POINT('Ctrl Pts',(-10.7500112265,10.79562064109,6.998996647729)); #476803=CARTESIAN_POINT('Ctrl Pts',(-10.65666399769,10.75386613687,7.00645715362)); #476804=CARTESIAN_POINT('Ctrl Pts',(-10.55526599527,10.74511549483,7.019449593808)); #476805=CARTESIAN_POINT('Ctrl Pts',(-10.4412669403,10.77445792879,7.039291390926)); #476806=CARTESIAN_POINT('Ctrl Pts',(-10.3747782945,10.82751737086,7.055669130787)); #476807=CARTESIAN_POINT('Ctrl Pts',(-10.34546870643,10.86727380108,7.065078278553)); #476808=CARTESIAN_POINT('Ctrl Pts',(-10.83322942964,11.2075994576,6.907683213239)); #476809=CARTESIAN_POINT('Ctrl Pts',(-10.85807870398,11.16418813809,6.903878313087)); #476810=CARTESIAN_POINT('Ctrl Pts',(-10.88039283462,11.08101435712,6.898045646592)); #476811=CARTESIAN_POINT('Ctrl Pts',(-10.86227826902,10.9636421418,6.892668089419)); #476812=CARTESIAN_POINT('Ctrl Pts',(-10.81437799417,10.87348524028,6.890490873574)); #476813=CARTESIAN_POINT('Ctrl Pts',(-10.73948797944,10.80408433059,6.890999326659)); #476814=CARTESIAN_POINT('Ctrl Pts',(-10.64596311055,10.76318270279,6.894136911632)); #476815=CARTESIAN_POINT('Ctrl Pts',(-10.5442380916,10.75534282908,6.899554509168)); #476816=CARTESIAN_POINT('Ctrl Pts',(-10.42962929212,10.78568490638,6.90780343962)); #476817=CARTESIAN_POINT('Ctrl Pts',(-10.3624779914,10.83932768993,6.914602917866)); #476818=CARTESIAN_POINT('Ctrl Pts',(-10.33269798089,10.87936742289,6.91851066069)); #476819=CARTESIAN_POINT('Ctrl Pts',(-10.83310559465,11.20604733805,6.808273731024)); #476820=CARTESIAN_POINT('Ctrl Pts',(-10.85734602073,11.16239678508,6.809449552822)); #476821=CARTESIAN_POINT('Ctrl Pts',(-10.87867992827,11.07921065367,6.811260489975)); #476822=CARTESIAN_POINT('Ctrl Pts',(-10.85958792604,10.96246995993,6.812945418133)); #476823=CARTESIAN_POINT('Ctrl Pts',(-10.81119773835,10.8731331777,6.813641779307)); #476824=CARTESIAN_POINT('Ctrl Pts',(-10.73617017101,10.80464085766,6.813508413473)); #476825=CARTESIAN_POINT('Ctrl Pts',(-10.64279399885,10.76456011132,6.812560406086)); #476826=CARTESIAN_POINT('Ctrl Pts',(-10.54138566142,10.75731806665,6.810904572822)); #476827=CARTESIAN_POINT('Ctrl Pts',(-10.42717074495,10.78800910572,6.808373247545)); #476828=CARTESIAN_POINT('Ctrl Pts',(-10.36017345402,10.84158907249,6.8062828882)); #476829=CARTESIAN_POINT('Ctrl Pts',(-10.33037668492,10.88151387644,6.805082102618)); #476830=CARTESIAN_POINT('Ctrl Pts',(-10.84190116623,11.19493067104,6.708587930733)); #476831=CARTESIAN_POINT('Ctrl Pts',(-10.8647411713,11.15138690843,6.714734498949)); #476832=CARTESIAN_POINT('Ctrl Pts',(-10.88386059007,11.0688879168,6.72409052221)); #476833=CARTESIAN_POINT('Ctrl Pts',(-10.86260617626,10.95374107341,6.732597044838)); #476834=CARTESIAN_POINT('Ctrl Pts',(-10.81318411797,10.8659011415,6.735930356609)); #476835=CARTESIAN_POINT('Ctrl Pts',(-10.73798710681,10.79872886432,6.73492355578)); #476836=CARTESIAN_POINT('Ctrl Pts',(-10.64520406154,10.75953941478,6.729686646603)); #476837=CARTESIAN_POINT('Ctrl Pts',(-10.54493935367,10.75259966175,6.720791984189)); #476838=CARTESIAN_POINT('Ctrl Pts',(-10.43236303706,10.7829058846,6.70732787028)); #476839=CARTESIAN_POINT('Ctrl Pts',(-10.3664898391,10.83563873219,6.696259448631)); #476840=CARTESIAN_POINT('Ctrl Pts',(-10.33719505487,10.87495422101,6.689893845588)); #476841=CARTESIAN_POINT('Ctrl Pts',(-10.86360373477,11.17032629264,6.563462742277)); #476842=CARTESIAN_POINT('Ctrl Pts',(-10.88437613739,11.12716442541,6.574309375109)); #476843=CARTESIAN_POINT('Ctrl Pts',(-10.90025349796,11.04591561678,6.590697706845)); #476844=CARTESIAN_POINT('Ctrl Pts',(-10.87586361475,10.93315389486,6.605374748245)); #476845=CARTESIAN_POINT('Ctrl Pts',(-10.82497162077,10.84738216593,6.610914600411)); #476846=CARTESIAN_POINT('Ctrl Pts',(-10.74958791211,10.78189942829,6.608789231159)); #476847=CARTESIAN_POINT('Ctrl Pts',(-10.65777121477,10.74370533105,6.59922658135)); #476848=CARTESIAN_POINT('Ctrl Pts',(-10.55933673405,10.73688171362,6.58325219404)); #476849=CARTESIAN_POINT('Ctrl Pts',(-10.44943141438,10.7662701234,6.559216253368)); #476850=CARTESIAN_POINT('Ctrl Pts',(-10.38549092501,10.81755567376,6.539512032436)); #476851=CARTESIAN_POINT('Ctrl Pts',(-10.35713472469,10.85587491566,6.528171651887)); #476852=CARTESIAN_POINT('Ctrl Pts',(-10.88812616683,11.14475604035,6.449293623848)); #476853=CARTESIAN_POINT('Ctrl Pts',(-10.90789773892,11.10182227915,6.461493211247)); #476854=CARTESIAN_POINT('Ctrl Pts',(-10.92221123392,11.02121241346,6.479846548883)); #476855=CARTESIAN_POINT('Ctrl Pts',(-10.89630039865,10.90959185281,6.49613575179)); #476856=CARTESIAN_POINT('Ctrl Pts',(-10.8446829899,10.82478690431,6.502140984828)); #476857=CARTESIAN_POINT('Ctrl Pts',(-10.76918843851,10.76008476315,6.499518043713)); #476858=CARTESIAN_POINT('Ctrl Pts',(-10.67782406752,10.72234899998,6.488544878973)); #476859=CARTESIAN_POINT('Ctrl Pts',(-10.58028121124,10.71558176982,6.470385148217)); #476860=CARTESIAN_POINT('Ctrl Pts',(-10.47171961063,10.74455486646,6.443154922297)); #476861=CARTESIAN_POINT('Ctrl Pts',(-10.40880406843,10.7951777685,6.420867823605)); #476862=CARTESIAN_POINT('Ctrl Pts',(-10.38097811959,10.83303517311,6.408035563739)); #476863=CARTESIAN_POINT('Ctrl Pts',(-10.90437770643,11.12929661136,6.364425317396)); #476864=CARTESIAN_POINT('Ctrl Pts',(-10.92432552426,11.0862748328,6.376312607624)); #476865=CARTESIAN_POINT('Ctrl Pts',(-10.93888761183,11.00548053603,6.394214999587)); #476866=CARTESIAN_POINT('Ctrl Pts',(-10.91315207566,10.89361350873,6.41013931273)); #476867=CARTESIAN_POINT('Ctrl Pts',(-10.86155544543,10.80865016504,6.41604457822)); #476868=CARTESIAN_POINT('Ctrl Pts',(-10.78596203869,10.74387976388,6.413544141666)); #476869=CARTESIAN_POINT('Ctrl Pts',(-10.6944076315,10.70618832841,6.402903689577)); #476870=CARTESIAN_POINT('Ctrl Pts',(-10.59662535137,10.69958216789,6.385254661939)); #476871=CARTESIAN_POINT('Ctrl Pts',(-10.48778424925,10.72886024473,6.358768090729)); #476872=CARTESIAN_POINT('Ctrl Pts',(-10.42471734645,10.77977033342,6.337081195615)); #476873=CARTESIAN_POINT('Ctrl Pts',(-10.39683949617,10.81779832235,6.32459578414)); #476874=CARTESIAN_POINT('',(-10.9885343361882,11.0340332710028,7.5908142031721)); #476875=CARTESIAN_POINT('Ctrl Pts',(-10.9885343361822,11.0340332709971, 7.59081420317222)); #476876=CARTESIAN_POINT('Ctrl Pts',(-10.9803510495006,11.0421525184644, 7.5576656491168)); #476877=CARTESIAN_POINT('Ctrl Pts',(-10.9720948759316,11.0503141402158, 7.52410241033271)); #476878=CARTESIAN_POINT('Ctrl Pts',(-10.963710039003,11.0585723851409,7.49000247340387)); #476879=CARTESIAN_POINT('Ctrl Pts',(-10.9562569664929,11.065912933175,7.45969188958635)); #476880=CARTESIAN_POINT('Ctrl Pts',(-10.9487000594063,11.0733319410509, 7.42895280008182)); #476881=CARTESIAN_POINT('Ctrl Pts',(-10.9411729159013,11.0806991568781, 7.39805676968016)); #476882=CARTESIAN_POINT('Ctrl Pts',(-10.9404564819759,11.0814003688785, 7.39511608383776)); #476883=CARTESIAN_POINT('Ctrl Pts',(-10.9397403332259,11.0821010964665, 7.39217400902718)); #476884=CARTESIAN_POINT('Ctrl Pts',(-10.93902459489,11.0828012174998,7.38923080065324)); #476885=CARTESIAN_POINT('Ctrl Pts',(-10.9325392590942,11.0891450442468, 7.3625622631924)); #476886=CARTESIAN_POINT('Ctrl Pts',(-10.9260863384221,11.0954403831245, 7.33580091784537)); #476887=CARTESIAN_POINT('Ctrl Pts',(-10.9197842400411,11.1015662790661, 7.30891172602628)); #476888=CARTESIAN_POINT('Ctrl Pts',(-10.9169685023832,11.1043032905895, 7.29689780535812)); #476889=CARTESIAN_POINT('Ctrl Pts',(-10.9141787801055,11.107019408752,7.28486503404832)); #476890=CARTESIAN_POINT('Ctrl Pts',(-10.9114296638705,11.1096960310849, 7.27281255735261)); #476891=CARTESIAN_POINT('Ctrl Pts',(-10.9066187104593,11.1143801201675, 7.25172072313511)); #476892=CARTESIAN_POINT('Ctrl Pts',(-10.9019319887079,11.1189432036482, 7.2305685681881)); #476893=CARTESIAN_POINT('Ctrl Pts',(-10.8974496114934,11.123286355612,7.20935118980898)); #476894=CARTESIAN_POINT('Ctrl Pts',(-10.8962316545739,11.1244664820792, 7.20358597827637)); #476895=CARTESIAN_POINT('Ctrl Pts',(-10.895028805058,11.1256303748216,7.1978160029253)); #476896=CARTESIAN_POINT('Ctrl Pts',(-10.8938426857404,11.1267760598148, 7.19204106703765)); #476897=CARTESIAN_POINT('Ctrl Pts',(-10.8917548997266,11.1287926740539, 7.18187612815006)); #476898=CARTESIAN_POINT('Ctrl Pts',(-10.8897189371791,11.1307528894443, 7.17169581146674)); #476899=CARTESIAN_POINT('Ctrl Pts',(-10.8877432063594,11.1326457149942, 7.1614998243576)); #476900=CARTESIAN_POINT('Ctrl Pts',(-10.8830959228581,11.137097990001,7.13751698104785)); #476901=CARTESIAN_POINT('Ctrl Pts',(-10.8787816852681,11.1411773675829, 7.11344698851083)); #476902=CARTESIAN_POINT('Ctrl Pts',(-10.8749109337865,11.1447418937365, 7.08928209170422)); #476903=CARTESIAN_POINT('Ctrl Pts',(-10.871040182305,11.1483064198901,7.06511719489762)); #476904=CARTESIAN_POINT('Ctrl Pts',(-10.8676129727759,11.1513560801505, 7.04085742762795)); #476905=CARTESIAN_POINT('Ctrl Pts',(-10.8647410540265,11.153750234071,7.01649331053815)); #476906=CARTESIAN_POINT('Ctrl Pts',(-10.8640660260469,11.1543129661761, 7.01076666498596)); #476907=CARTESIAN_POINT('Ctrl Pts',(-10.8634215826778,11.1548395359229, 7.00503325323419)); #476908=CARTESIAN_POINT('Ctrl Pts',(-10.8628085407573,11.155330102428,6.99929410604108)); #476909=CARTESIAN_POINT('Ctrl Pts',(-10.8600260673533,11.1575566846699, 6.97324527659931)); #476910=CARTESIAN_POINT('Ctrl Pts',(-10.8578909064375,11.1590408105653, 6.94708200170991)); #476911=CARTESIAN_POINT('Ctrl Pts',(-10.8564813347707,11.1597720133947, 6.92089213419693)); #476912=CARTESIAN_POINT('Ctrl Pts',(-10.8561789239836,11.1599288863146, 6.91527333620642)); #476913=CARTESIAN_POINT('Ctrl Pts',(-10.8559098932775,11.1600511417397, 6.9096531306147)); #476914=CARTESIAN_POINT('Ctrl Pts',(-10.8556749935327,11.1601387404078, 6.90403205879409)); #476915=CARTESIAN_POINT('Ctrl Pts',(-10.8551608007497,11.1603304928636, 6.89172759858329)); #476916=CARTESIAN_POINT('Ctrl Pts',(-10.8548101476149,11.1603561445121, 6.87941923195906)); #476917=CARTESIAN_POINT('Ctrl Pts',(-10.8546302969962,11.1602189423578, 6.86710191471587)); #476918=CARTESIAN_POINT('Ctrl Pts',(-10.8545847124799,11.1601841674214, 6.86397999635801)); #476919=CARTESIAN_POINT('Ctrl Pts',(-10.854550167179,11.1601387772287,6.86085806122593)); #476920=CARTESIAN_POINT('Ctrl Pts',(-10.8545267960892,11.1600827654725, 6.85773622711233)); #476921=CARTESIAN_POINT('Ctrl Pts',(-10.8544060084735,11.1597932827585, 6.84160181047543)); #476922=CARTESIAN_POINT('Ctrl Pts',(-10.8545647603813,11.1592753111779, 6.82548362699322)); #476923=CARTESIAN_POINT('Ctrl Pts',(-10.8550522325577,11.1584344640311, 6.80937809952358)); #476924=CARTESIAN_POINT('Ctrl Pts',(-10.8556866793652,11.1573400984167, 6.78841669704627)); #476925=CARTESIAN_POINT('Ctrl Pts',(-10.8568786048933,11.1556988457056, 6.7674745657771)); #476926=CARTESIAN_POINT('Ctrl Pts',(-10.8585597240398,11.1535572405483, 6.74659675762955)); #476927=CARTESIAN_POINT('Ctrl Pts',(-10.8593358050113,11.1525685783143, 6.73695861290697)); #476928=CARTESIAN_POINT('Ctrl Pts',(-10.8602158760912,11.1514734105418, 6.72733491495225)); #476929=CARTESIAN_POINT('Ctrl Pts',(-10.8611919396871,11.1502767126738, 6.71773353460891)); #476930=CARTESIAN_POINT('Ctrl Pts',(-10.8613101995514,11.1501317207612, 6.71657023137564)); #476931=CARTESIAN_POINT('Ctrl Pts',(-10.8614299322782,11.1499850622856, 6.71540716696721)); #476932=CARTESIAN_POINT('Ctrl Pts',(-10.8615511142443,11.1498367770402, 6.71424433481008)); #476933=CARTESIAN_POINT('Ctrl Pts',(-10.8640066767329,11.1468320091047, 6.69068136487394)); #476934=CARTESIAN_POINT('Ctrl Pts',(-10.8670555032589,11.143160988236,6.66721620821749)); #476935=CARTESIAN_POINT('Ctrl Pts',(-10.8705460285687,11.1390343959088, 6.6437339441165)); #476936=CARTESIAN_POINT('Ctrl Pts',(-10.8718192256556,11.1375291882786, 6.63516860005163)); #476937=CARTESIAN_POINT('Ctrl Pts',(-10.8731512740957,11.1359634674677, 6.62660105387433)); #476938=CARTESIAN_POINT('Ctrl Pts',(-10.8745351553916,11.1343476317774, 6.61802592263061)); #476939=CARTESIAN_POINT('Ctrl Pts',(-10.8746442253299,11.1342202804652, 6.61735007778873)); #476940=CARTESIAN_POINT('Ctrl Pts',(-10.8747536174075,11.1340926177215, 6.61667418566669)); #476941=CARTESIAN_POINT('Ctrl Pts',(-10.8748633279443,11.133964649135,6.61599824325452)); #476942=CARTESIAN_POINT('Ctrl Pts',(-10.8798048756124,11.1282007281082, 6.58555265663997)); #476943=CARTESIAN_POINT('Ctrl Pts',(-10.8853939589875,11.1218064050638, 6.55500076662478)); #476944=CARTESIAN_POINT('Ctrl Pts',(-10.891312475682,11.1152637874526,6.52411478690728)); #476945=CARTESIAN_POINT('Ctrl Pts',(-10.8979842805586,11.1078884482695, 6.48929774731264)); #476946=CARTESIAN_POINT('Ctrl Pts',(-10.9050755598828,11.100324408433,6.45405354269547)); #476947=CARTESIAN_POINT('Ctrl Pts',(-10.9121766052291,11.0932241284184, 6.41804480192328)); #476948=CARTESIAN_POINT('Origin',(-10.7125271922233,10.9239109643914,7.63197849506595)); #476949=CARTESIAN_POINT('Ctrl Pts',(-11.0894022225,10.95663501838,8.086787357943)); #476950=CARTESIAN_POINT('Ctrl Pts',(-11.11218408435,10.91518425428,8.070786593531)); #476951=CARTESIAN_POINT('Ctrl Pts',(-11.13150010354,10.83475197489,8.045929284837)); #476952=CARTESIAN_POINT('Ctrl Pts',(-11.11027296725,10.71965468394,8.022513850519)); #476953=CARTESIAN_POINT('Ctrl Pts',(-11.06021341975,10.63003741438,8.012622420178)); #476954=CARTESIAN_POINT('Ctrl Pts',(-10.98412914089,10.56043982221,8.01419530264)); #476955=CARTESIAN_POINT('Ctrl Pts',(-10.89067293283,10.51877795683,8.027053857682)); #476956=CARTESIAN_POINT('Ctrl Pts',(-10.79047409144,10.50978947655,8.04973541614)); #476957=CARTESIAN_POINT('Ctrl Pts',(-10.67987684343,10.53839017817,8.084374853076)); #476958=CARTESIAN_POINT('Ctrl Pts',(-10.61694615154,10.59021974535,8.1129494271)); #476959=CARTESIAN_POINT('Ctrl Pts',(-10.58990935886,10.62889457114,8.129316444491)); #476960=CARTESIAN_POINT('Ctrl Pts',(-11.04557292034,11.00072032061,7.911439042288)); #476961=CARTESIAN_POINT('Ctrl Pts',(-11.06843044369,10.95930088731,7.895598831324)); #476962=CARTESIAN_POINT('Ctrl Pts',(-11.08792316368,10.87891309618,7.871007460747)); #476963=CARTESIAN_POINT('Ctrl Pts',(-11.06701353242,10.7638093548,7.847872059365)); #476964=CARTESIAN_POINT('Ctrl Pts',(-11.01724203098,10.67411973654,7.838125782121)); #476965=CARTESIAN_POINT('Ctrl Pts',(-10.94141709392,10.60437396278,7.839728608262)); #476966=CARTESIAN_POINT('Ctrl Pts',(-10.84814734495,10.56249150162,7.852498792272)); #476967=CARTESIAN_POINT('Ctrl Pts',(-10.74802283899,10.55322706351,7.874986200278)); #476968=CARTESIAN_POINT('Ctrl Pts',(-10.6373496811,10.58147856559,7.909309078437)); #476969=CARTESIAN_POINT('Ctrl Pts',(-10.57421119543,10.63307314453,7.937615036234)); #476970=CARTESIAN_POINT('Ctrl Pts',(-10.54700099238,10.67163593641,7.953829261634)); #476971=CARTESIAN_POINT('Ctrl Pts',(-11.00202636431,11.04399075836,7.735776039935)); #476972=CARTESIAN_POINT('Ctrl Pts',(-11.02495817499,11.00260365715,7.720092776238)); #476973=CARTESIAN_POINT('Ctrl Pts',(-11.04462453727,10.92226217646,7.695760995363)); #476974=CARTESIAN_POINT('Ctrl Pts',(-11.02402743521,10.80715499748,7.67289834562)); #476975=CARTESIAN_POINT('Ctrl Pts',(-10.97453990833,10.71739595066,7.663292990507)); #476976=CARTESIAN_POINT('Ctrl Pts',(-10.89897124937,10.64750512768,7.664924184156)); #476977=CARTESIAN_POINT('Ctrl Pts',(-10.80588663069,10.6054051825,7.677607267679)); #476978=CARTESIAN_POINT('Ctrl Pts',(-10.70583740617,10.59586752128,7.699904408147)); #476979=CARTESIAN_POINT('Ctrl Pts',(-10.59509234044,10.62377203934,7.733917526191)); #476980=CARTESIAN_POINT('Ctrl Pts',(-10.53175132225,10.67513189533,7.761960802352)); #476981=CARTESIAN_POINT('Ctrl Pts',(-10.50437140734,10.71358213135,7.778025588516)); #476982=CARTESIAN_POINT('Ctrl Pts',(-10.95878589771,11.08646226898,7.559907547171)); #476983=CARTESIAN_POINT('Ctrl Pts',(-10.98179068624,11.04510831703,7.544377870622)); #476984=CARTESIAN_POINT('Ctrl Pts',(-11.00162775021,10.96481465128,7.520299769358)); #476985=CARTESIAN_POINT('Ctrl Pts',(-10.9813383315,10.84970666974,7.49770309811)); #476986=CARTESIAN_POINT('Ctrl Pts',(-10.9321307672,10.75988087439,7.488234730905)); #476987=CARTESIAN_POINT('Ctrl Pts',(-10.85681530665,10.68984801208,7.489892825615)); #476988=CARTESIAN_POINT('Ctrl Pts',(-10.76391438559,10.64753371088,7.502489986136)); #476989=CARTESIAN_POINT('Ctrl Pts',(-10.66394119843,10.63772572433,7.524600461487)); #476990=CARTESIAN_POINT('Ctrl Pts',(-10.55312792811,10.665285825,7.558310127353)); #476991=CARTESIAN_POINT('Ctrl Pts',(-10.48958939119,10.71641159368,7.586096228031)); #476992=CARTESIAN_POINT('Ctrl Pts',(-10.46204332777,10.75474899548,7.602014685679)); #476993=CARTESIAN_POINT('Ctrl Pts',(-11.0002999319492,11.0221011321172, 7.63778422340557)); #476994=CARTESIAN_POINT('Ctrl Pts',(-10.9998164684649,11.0225791745682, 7.635821591983)); #476995=CARTESIAN_POINT('Ctrl Pts',(-10.9993304009146,11.023064594404,7.63386109497708)); #476996=CARTESIAN_POINT('Ctrl Pts',(-10.9988423874002,11.0235555214042, 7.63190219217618)); #476997=CARTESIAN_POINT('Ctrl Pts',(-10.9971343400998,11.0252737659048, 7.62504603237304)); #476998=CARTESIAN_POINT('Ctrl Pts',(-10.995402431866,11.0270594644103,7.61820940508321)); #476999=CARTESIAN_POINT('Ctrl Pts',(-10.9936749376896,11.028832453651,7.61136913968413)); #477000=CARTESIAN_POINT('Ctrl Pts',(-10.9928111906014,11.0297189482713, 7.60794900698459)); #477001=CARTESIAN_POINT('Ctrl Pts',(-10.9919485526922,11.0306022677439, 7.60452796389043)); #477002=CARTESIAN_POINT('Ctrl Pts',(-10.9910905937351,11.031472405368,7.60110310869266)); #477003=CARTESIAN_POINT('Ctrl Pts',(-10.9906616142565,11.0319074741801, 7.59939068109377)); #477004=CARTESIAN_POINT('Ctrl Pts',(-10.9902338048755,11.0323392476709, 7.59767730041471)); #477005=CARTESIAN_POINT('Ctrl Pts',(-10.9898076129044,11.0327664754335, 7.59596260377811)); #477006=CARTESIAN_POINT('Ctrl Pts',(-10.9893814209332,11.0331937031961, 7.59424790714151)); #477007=CARTESIAN_POINT('Ctrl Pts',(-10.9889568464559,11.0336163852635, 7.59253189453469)); #477008=CARTESIAN_POINT('Ctrl Pts',(-10.9885343362033,11.0340332710056, 7.59081420316906)); #477009=CARTESIAN_POINT('Ctrl Pts',(-11.08601264877,10.81100024765,7.653859713355)); #477010=CARTESIAN_POINT('Ctrl Pts',(-10.99023194519,11.09002820385,7.743960771865)); #477011=CARTESIAN_POINT('Ctrl Pts',(-10.43607961016,12.65068305948,8.25107727193)); #477012=CARTESIAN_POINT('Ctrl Pts',(-8.55552764371,16.97828699987,9.697703377196)); #477013=CARTESIAN_POINT('Ctrl Pts',(-5.81089240273,20.93100640627,11.2053359188)); #477014=CARTESIAN_POINT('Ctrl Pts',(-3.457179953742,23.41224661592,12.24146872216)); #477015=CARTESIAN_POINT('Ctrl Pts',(-3.203271840457,23.68052338903,12.35341416124)); #477016=CARTESIAN_POINT('Ctrl Pts',(-11.06138245553,10.83684404357,7.556521952049)); #477017=CARTESIAN_POINT('Ctrl Pts',(-10.96547480287,11.11538196083,7.646393673008)); #477018=CARTESIAN_POINT('Ctrl Pts',(-10.41059239848,12.67330976348,8.152218270954)); #477019=CARTESIAN_POINT('Ctrl Pts',(-8.527614139686,16.99354045565,9.595276216539)); #477020=CARTESIAN_POINT('Ctrl Pts',(-5.779529704273,20.94001455574,11.09904342396)); #477021=CARTESIAN_POINT('Ctrl Pts',(-3.422597764969,23.41712555527,12.13261275976)); #477022=CARTESIAN_POINT('Ctrl Pts',(-3.16834256461,23.68495608799,12.24428116747)); #477023=CARTESIAN_POINT('Ctrl Pts',(-10.98739523245,10.91447683662,7.26412673653)); #477024=CARTESIAN_POINT('Ctrl Pts',(-10.89110623443,11.19154271426,7.353309544963)); #477025=CARTESIAN_POINT('Ctrl Pts',(-10.33403075726,12.74127865761,7.855253367416)); #477026=CARTESIAN_POINT('Ctrl Pts',(-8.443764101263,17.0393606742,9.287592833301)); #477027=CARTESIAN_POINT('Ctrl Pts',(-5.685318548686,20.96707435007,10.77974887148)); #477028=CARTESIAN_POINT('Ctrl Pts',(-3.318715505868,23.4317815172,11.80561774612)); #477029=CARTESIAN_POINT('Ctrl Pts',(-3.063417682406,23.69827157783,11.91645397268)); #477030=CARTESIAN_POINT('Ctrl Pts',(-10.90997690428,10.99570979551,6.958171899802)); #477031=CARTESIAN_POINT('Ctrl Pts',(-10.81328887626,11.27123536872,7.046633847882)); #477032=CARTESIAN_POINT('Ctrl Pts',(-10.25391862413,12.81239956111,7.544516926624)); #477033=CARTESIAN_POINT('Ctrl Pts',(-8.35602557664,17.08730577296,8.965640850898)); #477034=CARTESIAN_POINT('Ctrl Pts',(-5.586738417431,20.99538902323,10.44564726008)); #477035=CARTESIAN_POINT('Ctrl Pts',(-3.210015780514,23.44711713899,11.46345857012)); #477036=CARTESIAN_POINT('Ctrl Pts',(-2.953626983042,23.71220456409,11.57342402373)); #477037=CARTESIAN_POINT('Ctrl Pts',(-10.91484124348,10.99259045979,6.623247000972)); #477038=CARTESIAN_POINT('Ctrl Pts',(-10.81901213317,11.26782631238,6.711037654832)); #477039=CARTESIAN_POINT('Ctrl Pts',(-10.26440622373,12.80744788781,7.205137208139)); #477040=CARTESIAN_POINT('Ctrl Pts',(-8.3788850549,17.07929128047,8.615864250142)); #477041=CARTESIAN_POINT('Ctrl Pts',(-5.618807065948,20.98851659577,10.0844875981)); #477042=CARTESIAN_POINT('Ctrl Pts',(-3.246113101673,23.44222678511,11.09484520259)); #477043=CARTESIAN_POINT('Ctrl Pts',(-2.990161644087,23.70752744145,11.20400506358)); #477044=CARTESIAN_POINT('Ctrl Pts',(-10.94556099681,10.96135526654,6.466882452736)); #477045=CARTESIAN_POINT('Ctrl Pts',(-10.85039833869,11.23692891224,6.554397322596)); #477046=CARTESIAN_POINT('Ctrl Pts',(-10.29950748977,12.77848643353,7.046941762623)); #477047=CARTESIAN_POINT('Ctrl Pts',(-8.42400851692,17.05645690906,8.45341531834)); #477048=CARTESIAN_POINT('Ctrl Pts',(-5.672552425793,20.97388255014,9.917336413695)); #477049=CARTESIAN_POINT('Ctrl Pts',(-3.304839652502,23.43400318207,10.92465053847)); #477050=CARTESIAN_POINT('Ctrl Pts',(-3.049427232866,23.6999958283,11.03348143225)); #477051=CARTESIAN_POINT('Ctrl Pts',(-10.99554585884,10.91011852975,6.211923286517)); #477052=CARTESIAN_POINT('Ctrl Pts',(-10.90128937564,11.18627073929,6.298991813446)); #477053=CARTESIAN_POINT('Ctrl Pts',(-10.35544217363,12.73114135673,6.789019221577)); #477054=CARTESIAN_POINT('Ctrl Pts',(-8.49339217258,17.01955507791,8.18861245107)); #477055=CARTESIAN_POINT('Ctrl Pts',(-5.753036724625,20.95083558079,9.644917767715)); #477056=CARTESIAN_POINT('Ctrl Pts',(-3.391314525806,23.42176160067,10.64731008689)); #477057=CARTESIAN_POINT('Ctrl Pts',(-3.136550825242,23.68892067618,10.75560898055)); #477058=CARTESIAN_POINT('Ctrl Pts',(-11.02110975874,10.88429496117,6.066730133371)); #477059=CARTESIAN_POINT('Ctrl Pts',(-10.92738365153,11.16071433535,6.153551228492)); #477060=CARTESIAN_POINT('Ctrl Pts',(-10.38448893464,12.70712209283,6.642183096058)); #477061=CARTESIAN_POINT('Ctrl Pts',(-8.53032166929,17.00049301721,8.037967300408)); #477062=CARTESIAN_POINT('Ctrl Pts',(-5.79650236272,20.93867400917,9.490043376764)); #477063=CARTESIAN_POINT('Ctrl Pts',(-3.438335447961,23.41504961162,10.48971263562)); #477064=CARTESIAN_POINT('Ctrl Pts',(-3.183956716972,23.68279691782,10.59771718399)); #477065=CARTESIAN_POINT('Ctrl Pts',(-11.07646720043,10.8275405346,5.835177450045)); #477066=CARTESIAN_POINT('Ctrl Pts',(-10.98383953251,11.10459641672,5.921637986933)); #477067=CARTESIAN_POINT('Ctrl Pts',(-10.44707068978,12.65463891739,6.408235150707)); #477068=CARTESIAN_POINT('Ctrl Pts',(-8.60948541811,16.95930985085,7.798495431024)); #477069=CARTESIAN_POINT('Ctrl Pts',(-5.890098528908,20.91202794557,9.244370089967)); #477070=CARTESIAN_POINT('Ctrl Pts',(-3.540420416537,23.39964574256,10.24009920679)); #477071=CARTESIAN_POINT('Ctrl Pts',(-3.28696013773,23.66860669467,10.34767780671)); #477072=CARTESIAN_POINT('Ctrl Pts',(-11.14997057361,10.75082672316,5.600918248581)); #477073=CARTESIAN_POINT('Ctrl Pts',(-11.0586003555,11.02881670115,5.68701034651)); #477074=CARTESIAN_POINT('Ctrl Pts',(-10.52884848974,12.58416757042,6.171528126662)); #477075=CARTESIAN_POINT('Ctrl Pts',(-8.71034531491,16.90494278501,7.556148674111)); #477076=CARTESIAN_POINT('Ctrl Pts',(-6.007828333403,20.87720886482,8.995679227286)); #477077=CARTESIAN_POINT('Ctrl Pts',(-3.668305503959,23.37969109913,9.987387252954)); #477078=CARTESIAN_POINT('Ctrl Pts',(-3.415943739431,23.65025690558,10.09453114514)); #477079=CARTESIAN_POINT('Ctrl Pts',(-11.25750818734,10.63277795121,5.273137928611)); #477080=CARTESIAN_POINT('Ctrl Pts',(-11.16748622347,10.91232105825,5.358441025055)); #477081=CARTESIAN_POINT('Ctrl Pts',(-10.64524262109,12.4764830553,5.838513395072)); #477082=CARTESIAN_POINT('Ctrl Pts',(-8.84684331034,16.8237532521,7.210877586827)); #477083=CARTESIAN_POINT('Ctrl Pts',(-6.16117006916,20.82747127976,8.637081965397)); #477084=CARTESIAN_POINT('Ctrl Pts',(-3.830972050807,23.35370742432,9.619991082815)); #477085=CARTESIAN_POINT('Ctrl Pts',(-3.57961980132,23.62683795783,9.726184053926)); #477086=CARTESIAN_POINT('Ctrl Pts',(-11.38590438987,10.4926716935,4.931080597549)); #477087=CARTESIAN_POINT('Ctrl Pts',(-11.29830874596,10.77389905779,5.01602088119)); #477088=CARTESIAN_POINT('Ctrl Pts',(-10.78962246097,12.34761516045,5.494039925916)); #477089=CARTESIAN_POINT('Ctrl Pts',(-9.02845093825,16.72359993532,6.860985884445)); #477090=CARTESIAN_POINT('Ctrl Pts',(-6.376753791669,20.76136071165,8.28074676777)); #477091=CARTESIAN_POINT('Ctrl Pts',(-4.067941315037,23.31329713038,9.259838251824)); #477092=CARTESIAN_POINT('Ctrl Pts',(-3.818901420876,23.58920254846,9.365618282259)); #477093=CARTESIAN_POINT('Ctrl Pts',(-11.52222945848,10.33714319858,4.61720776328)); #477094=CARTESIAN_POINT('Ctrl Pts',(-11.43643662913,10.62039161619,4.701366092976)); #477095=CARTESIAN_POINT('Ctrl Pts',(-10.93780909944,12.20554928125,5.174978822575)); #477096=CARTESIAN_POINT('Ctrl Pts',(-9.20396031657,16.61556169571,6.529792461504)); #477097=CARTESIAN_POINT('Ctrl Pts',(-6.576326023884,20.69257318648,7.936325095059)); #477098=CARTESIAN_POINT('Ctrl Pts',(-4.281917857559,23.27388562659,8.906711542143)); #477099=CARTESIAN_POINT('Ctrl Pts',(-4.034436185085,23.55296298055,9.011550772405)); #477100=CARTESIAN_POINT('Ctrl Pts',(-11.6513680273,10.18564723373,4.282453157282)); #477101=CARTESIAN_POINT('Ctrl Pts',(-11.56747716128,10.47079680862,4.365863719637)); #477102=CARTESIAN_POINT('Ctrl Pts',(-11.07946281831,12.0667261092,4.835261550446)); #477103=CARTESIAN_POINT('Ctrl Pts',(-9.3744679088,16.50891177439,6.17850242053)); #477104=CARTESIAN_POINT('Ctrl Pts',(-6.772319318954,20.62345800362,7.572342901862)); #477105=CARTESIAN_POINT('Ctrl Pts',(-4.493232657548,23.23296420883,8.534435460226)); #477106=CARTESIAN_POINT('Ctrl Pts',(-4.247408359159,23.5150857709,8.638378277192)); #477107=CARTESIAN_POINT('Ctrl Pts',(-11.76743697601,10.0471471222,3.956032485351)); #477108=CARTESIAN_POINT('Ctrl Pts',(-11.68560658456,10.33396120484,4.038890283111)); #477109=CARTESIAN_POINT('Ctrl Pts',(-11.20909830448,11.93932928462,4.505168882017)); #477110=CARTESIAN_POINT('Ctrl Pts',(-9.53555504817,16.4098315005,5.839938003004)); #477111=CARTESIAN_POINT('Ctrl Pts',(-6.961690649642,20.5577926172,7.224275755834)); #477112=CARTESIAN_POINT('Ctrl Pts',(-4.700050021196,23.19248850319,8.180324475126)); #477113=CARTESIAN_POINT('Ctrl Pts',(-4.45611246019,23.47732984586,8.283613929073)); #477114=CARTESIAN_POINT('Ctrl Pts',(-11.89077687347,9.896308035042,3.622320130554)); #477115=CARTESIAN_POINT('Ctrl Pts',(-11.81077341235,10.18496454162,3.704391863462)); #477116=CARTESIAN_POINT('Ctrl Pts',(-11.34445753858,11.80077365504,4.166240642524)); #477117=CARTESIAN_POINT('Ctrl Pts',(-9.69858168307,16.30249558041,5.488821738804)); #477118=CARTESIAN_POINT('Ctrl Pts',(-7.149029259265,20.48698252178,6.859849988206)); #477119=CARTESIAN_POINT('Ctrl Pts',(-4.901894185188,23.14913902317,7.807156381665)); #477120=CARTESIAN_POINT('Ctrl Pts',(-4.659525847527,23.43694539529,7.909500992089)); #477121=CARTESIAN_POINT('Ctrl Pts',(-11.99122897755,9.771081422,3.285800863223)); #477122=CARTESIAN_POINT('Ctrl Pts',(-11.91317754307,10.06115176946,3.36730813836)); #477123=CARTESIAN_POINT('Ctrl Pts',(-11.45775889599,11.6849918929,3.825972056546)); #477124=CARTESIAN_POINT('Ctrl Pts',(-9.84160444742,16.21102031528,5.139893748354)); #477125=CARTESIAN_POINT('Ctrl Pts',(-7.318586004298,20.42483827743,6.501230490641)); #477126=CARTESIAN_POINT('Ctrl Pts',(-5.087650057219,23.10925863961,7.442356333249)); #477127=CARTESIAN_POINT('Ctrl Pts',(-4.847033774991,23.39946877973,7.544032815769)); #477128=CARTESIAN_POINT('Ctrl Pts',(-12.0929089573,9.640038838828,2.948629929637)); #477129=CARTESIAN_POINT('Ctrl Pts',(-12.01666097481,9.931610696273,3.029447439792)); #477130=CARTESIAN_POINT('Ctrl Pts',(-11.57130470981,11.56397490802,3.484222725347)); #477131=CARTESIAN_POINT('Ctrl Pts',(-9.98248428596,16.11572571808,4.787485306522)); #477132=CARTESIAN_POINT('Ctrl Pts',(-7.48354287966,20.36035297889,6.137091214083)); #477133=CARTESIAN_POINT('Ctrl Pts',(-5.267023467638,23.06810621131,7.070583280377)); #477134=CARTESIAN_POINT('Ctrl Pts',(-5.027966725713,23.360835574,7.171434668818)); #477135=CARTESIAN_POINT('Ctrl Pts',(-12.1958571054,9.503273598981,2.613905579936)); #477136=CARTESIAN_POINT('Ctrl Pts',(-12.1212061966,9.796480243019,2.693901035463)); #477137=CARTESIAN_POINT('Ctrl Pts',(-11.6847534594,11.43811689578,3.144044845271)); #477138=CARTESIAN_POINT('Ctrl Pts',(-10.11996909694,16.01771955766,4.434533745012)); #477139=CARTESIAN_POINT('Ctrl Pts',(-7.641755619385,20.29531763812,5.770260835193)); #477140=CARTESIAN_POINT('Ctrl Pts',(-5.437256701893,23.02795037722,6.694581316924)); #477141=CARTESIAN_POINT('Ctrl Pts',(-5.199500705578,23.32336601335,6.794441514577)); #477142=CARTESIAN_POINT('Ctrl Pts',(-12.27868932596,9.39195315907,2.273461763041)); #477143=CARTESIAN_POINT('Ctrl Pts',(-12.20571105643,9.68636663234,2.352807188423)); #477144=CARTESIAN_POINT('Ctrl Pts',(-11.77858763225,11.3348705121,2.799285843733)); #477145=CARTESIAN_POINT('Ctrl Pts',(-10.23908081242,15.93544592981,4.079742307184)); #477146=CARTESIAN_POINT('Ctrl Pts',(-7.782940072447,20.23892011711,5.404396005316)); #477147=CARTESIAN_POINT('Ctrl Pts',(-5.591487917135,22.99132516472,6.321535730009)); #477148=CARTESIAN_POINT('Ctrl Pts',(-5.355143457644,23.28887547265,6.420619777008)); #477149=CARTESIAN_POINT('Ctrl Pts',(-12.3674793928,9.271738780525,1.938173494527)); #477150=CARTESIAN_POINT('Ctrl Pts',(-12.29623166631,9.567455904787,2.016853942893)); #477151=CARTESIAN_POINT('Ctrl Pts',(-11.87876275245,11.22337062783,2.459583523698)); #477152=CARTESIAN_POINT('Ctrl Pts',(-10.3654600857,15.84646503143,3.729768376844)); #477153=CARTESIAN_POINT('Ctrl Pts',(-7.932336277284,20.17738275181,5.043106364069)); #477154=CARTESIAN_POINT('Ctrl Pts',(-5.754608837854,22.95068108067,5.952891311068)); #477155=CARTESIAN_POINT('Ctrl Pts',(-5.519749138345,23.25048713613,6.051180412069)); #477156=CARTESIAN_POINT('Ctrl Pts',(-12.44608393158,9.160736316085,1.590253139121)); #477157=CARTESIAN_POINT('Ctrl Pts',(-12.37621375954,9.457677693241,1.668138987038)); #477158=CARTESIAN_POINT('Ctrl Pts',(-11.96641614691,11.12055893688,2.106391362446)); #477159=CARTESIAN_POINT('Ctrl Pts',(-10.47365120585,15.76493163855,3.364238817254)); #477160=CARTESIAN_POINT('Ctrl Pts',(-8.057726583452,20.122109297,4.664147464656)); #477161=CARTESIAN_POINT('Ctrl Pts',(-5.889513278351,22.91547477068,5.565077288159)); #477162=CARTESIAN_POINT('Ctrl Pts',(-5.655683598551,23.21744732819,5.662409382974)); #477163=CARTESIAN_POINT('Ctrl Pts',(-12.52351314347,9.050945212045,1.232321126535)); #477164=CARTESIAN_POINT('Ctrl Pts',(-12.45530958195,9.349026696191,1.309509955247)); #477165=CARTESIAN_POINT('Ctrl Pts',(-12.0548048964,11.01840413109,1.743832714343)); #477166=CARTESIAN_POINT('Ctrl Pts',(-10.58716267947,15.68275072421,2.990911029244)); #477167=CARTESIAN_POINT('Ctrl Pts',(-8.193008671364,20.0649729983,4.278962465497)); #477168=CARTESIAN_POINT('Ctrl Pts',(-6.037515408043,22.87756580774,5.172180402854)); #477169=CARTESIAN_POINT('Ctrl Pts',(-5.805062071457,23.1816141385,5.268678957671)); #477170=CARTESIAN_POINT('Ctrl Pts',(-12.59123953108,8.954699135784,0.8753567587356)); #477171=CARTESIAN_POINT('Ctrl Pts',(-12.5246170343,9.253679917401,0.9518784360837)); #477172=CARTESIAN_POINT('Ctrl Pts',(-12.132926043,10.92819969241,1.38243956663)); #477173=CARTESIAN_POINT('Ctrl Pts',(-10.6890654424,15.60864098856,2.619219704734)); #477174=CARTESIAN_POINT('Ctrl Pts',(-8.315384394063,20.01188456221,3.895908252922)); #477175=CARTESIAN_POINT('Ctrl Pts',(-6.171731824945,22.84080240526,4.78175472973)); #477176=CARTESIAN_POINT('Ctrl Pts',(-5.94055981164,23.14661305129,4.8774565237)); #477177=CARTESIAN_POINT('Ctrl Pts',(-12.65842953656,8.85504270149,0.5251935263899)); #477178=CARTESIAN_POINT('Ctrl Pts',(-12.5930558845,9.15506794082,0.6009303902374)); #477179=CARTESIAN_POINT('Ctrl Pts',(-12.20831403101,10.83554379046,1.027069411285)); #477180=CARTESIAN_POINT('Ctrl Pts',(-10.7829474351,15.53437409803,2.251665273784)); #477181=CARTESIAN_POINT('Ctrl Pts',(-8.424422580113,19.96089988519,3.515088199514)); #477182=CARTESIAN_POINT('Ctrl Pts',(-6.28884727521,22.80774190162,4.392189842475)); #477183=CARTESIAN_POINT('Ctrl Pts',(-6.058550064939,23.11548759821,4.486946547749)); #477184=CARTESIAN_POINT('Ctrl Pts',(-12.71442111865,8.771188881675,0.2047186377042)); #477185=CARTESIAN_POINT('Ctrl Pts',(-12.65048587659,9.071989326004,0.2798619545464)); #477186=CARTESIAN_POINT('Ctrl Pts',(-12.27376351814,10.75690086798,0.7026542912217)); #477187=CARTESIAN_POINT('Ctrl Pts',(-10.87005666432,15.46965960593,1.918089621808)); #477188=CARTESIAN_POINT('Ctrl Pts',(-8.530240942948,19.91450963759,3.171401132397)); #477189=CARTESIAN_POINT('Ctrl Pts',(-6.405542774175,22.77561034682,4.041946139075)); #477190=CARTESIAN_POINT('Ctrl Pts',(-6.176422556859,23.08489527469,4.135994153136)); #477191=CARTESIAN_POINT('Ctrl Pts',(-12.74602711281,8.723468823863,-0.002891394062471)); #477192=CARTESIAN_POINT('Ctrl Pts',(-12.6827687761,9.024720650755,0.07181532535723)); #477193=CARTESIAN_POINT('Ctrl Pts',(-12.30981051753,10.7122198301,0.4921472294336)); #477194=CARTESIAN_POINT('Ctrl Pts',(-10.91606998358,15.43317888475,1.700813419377)); #477195=CARTESIAN_POINT('Ctrl Pts',(-8.584267041314,19.88903480664,2.946732033449)); #477196=CARTESIAN_POINT('Ctrl Pts',(-6.463692775853,22.7587455548,3.812421732554)); #477197=CARTESIAN_POINT('Ctrl Pts',(-6.235019364642,23.06895990873,3.905944999414)); #477198=CARTESIAN_POINT('Ctrl Pts',(-12.75940487299,8.70326496685,-0.09022805235934)); #477199=CARTESIAN_POINT('Ctrl Pts',(-12.69643554209,9.004708276608,-0.01570446922985)); #477200=CARTESIAN_POINT('Ctrl Pts',(-12.32508459867,10.69330498827,0.4035953634901)); #477201=CARTESIAN_POINT('Ctrl Pts',(-10.9356044337,15.4177393832,1.609422435038)); #477202=CARTESIAN_POINT('Ctrl Pts',(-8.607240840574,19.87825166669,2.852239595326)); #477203=CARTESIAN_POINT('Ctrl Pts',(-6.488450254829,22.75160348468,3.715892971381)); #477204=CARTESIAN_POINT('Ctrl Pts',(-6.259970080926,23.06221088152,3.809196158036)); #477205=CARTESIAN_POINT('',(-10.410371568371,12.5056705087861,7.88852313989662)); #477206=CARTESIAN_POINT('Ctrl Pts',(-3.20400449605524,23.5833491093717, 11.9800290790562)); #477207=CARTESIAN_POINT('Ctrl Pts',(-4.34324551065668,22.390486688402,11.4834997443362)); #477208=CARTESIAN_POINT('Ctrl Pts',(-5.7934898347497,20.8040216006585,10.8299363133409)); #477209=CARTESIAN_POINT('Ctrl Pts',(-8.5718269190429,16.5357646115749,9.24890126678641)); #477210=CARTESIAN_POINT('Ctrl Pts',(-9.58257854141708,14.5769397795048, 8.57031842246325)); #477211=CARTESIAN_POINT('Ctrl Pts',(-10.4103714186791,12.5056705920408, 7.8885227052256)); #477212=CARTESIAN_POINT('Ctrl Pts',(-10.4103716894529,12.5056703505775, 7.88852376747041)); #477213=CARTESIAN_POINT('Ctrl Pts',(-10.4131059250229,12.4988287686722, 7.88627150073938)); #477214=CARTESIAN_POINT('Ctrl Pts',(-10.4158558236366,12.4919704876655, 7.88408925285536)); #477215=CARTESIAN_POINT('Ctrl Pts',(-10.4213629660967,12.4782755059845, 7.87987007726528)); #477216=CARTESIAN_POINT('Ctrl Pts',(-10.4241188757127,12.4714418270054, 7.87783304127703)); #477217=CARTESIAN_POINT('Ctrl Pts',(-10.4268878981142,12.4645928661628, 7.87585379640183)); #477218=CARTESIAN_POINT('Ctrl Pts',(-10.43745517365,12.48169856136,7.894161664666)); #477219=CARTESIAN_POINT('Ctrl Pts',(-10.43412107661,12.47578658918,7.887837132084)); #477220=CARTESIAN_POINT('Ctrl Pts',(-10.43069256139,12.46979667071,7.881635362735)); #477221=CARTESIAN_POINT('Ctrl Pts',(-10.4271724131,12.46373326043,7.875560006784)); #477222=CARTESIAN_POINT('Ctrl Pts',(-10.42857905205,12.48997735737,7.892592134585)); #477223=CARTESIAN_POINT('Ctrl Pts',(-10.42526172948,12.48405836044,7.886258293491)); #477224=CARTESIAN_POINT('Ctrl Pts',(-10.42184972668,12.47806125195,7.880047164091)); #477225=CARTESIAN_POINT('Ctrl Pts',(-10.41834582164,12.47199049664,7.873962406916)); #477226=CARTESIAN_POINT('Ctrl Pts',(-10.41938763226,12.49821592344,7.890742116874)); #477227=CARTESIAN_POINT('Ctrl Pts',(-10.4160833313,12.49229119464,7.884402147324)); #477228=CARTESIAN_POINT('Ctrl Pts',(-10.41268419158,12.48628822215,7.878184853298)); #477229=CARTESIAN_POINT('Ctrl Pts',(-10.40919298489,12.48021147844,7.872093902206)); #477230=CARTESIAN_POINT('Ctrl Pts',(-10.41008294578,12.50643346424,7.888787852235)); #477231=CARTESIAN_POINT('Ctrl Pts',(-10.40679039897,12.50050345302,7.88244283689)); #477232=CARTESIAN_POINT('Ctrl Pts',(-10.40340289007,12.49449507712,7.87622046607)); #477233=CARTESIAN_POINT('Ctrl Pts',(-10.39992318509,12.48841281581,7.870124412887)); #477234=CARTESIAN_POINT('Ctrl Pts',(-10.4103716289299,12.5056705291224, 7.88852293684808)); #477235=CARTESIAN_POINT('Ctrl Pts',(-10.4193113390521,12.4977704652187, 7.89039795913872)); #477236=CARTESIAN_POINT('Ctrl Pts',(-10.4282058673721,12.4898007787696, 7.89219134300686)); #477237=CARTESIAN_POINT('Ctrl Pts',(-10.43674927345,12.48184421345,7.893711088403)); #477238=CARTESIAN_POINT('Ctrl Pts',(-13.882397552,5.647063806455,-0.4420448710171)); #477239=CARTESIAN_POINT('Ctrl Pts',(-13.80462119961,6.411432824441,-0.2673549984485)); #477240=CARTESIAN_POINT('Ctrl Pts',(-13.53926246162,8.649064809109,0.2463300080941)); #477241=CARTESIAN_POINT('Ctrl Pts',(-12.38535083572,14.11566963728,1.541753455288)); #477242=CARTESIAN_POINT('Ctrl Pts',(-10.34576106379,18.95471343545,2.771752276755)); #477243=CARTESIAN_POINT('Ctrl Pts',(-8.385408028586,22.12262808862,3.622381444269)); #477244=CARTESIAN_POINT('Ctrl Pts',(-8.171685269148,22.46910436529,3.715365448096)); #477245=CARTESIAN_POINT('Ctrl Pts',(-13.88146080801,5.649367748822,-0.4316469855941)); #477246=CARTESIAN_POINT('Ctrl Pts',(-13.80359287537,6.41370609847,-0.2569109705457)); #477247=CARTESIAN_POINT('Ctrl Pts',(-13.53796506725,8.651236798729,0.2569161396938)); #477248=CARTESIAN_POINT('Ctrl Pts',(-12.38348064597,14.11751084148,1.55267333128)); #477249=CARTESIAN_POINT('Ctrl Pts',(-10.34349348001,18.95605831489,2.783006515538)); #477250=CARTESIAN_POINT('Ctrl Pts',(-8.382977863417,22.12355980762,3.633861046046)); #477251=CARTESIAN_POINT('Ctrl Pts',(-8.16923721432,22.46999099189,3.726869690019)); #477252=CARTESIAN_POINT('Ctrl Pts',(-13.86867839683,5.680692957423,-0.290725420172)); #477253=CARTESIAN_POINT('Ctrl Pts',(-13.78956761442,6.444613075205,-0.1153637628726)); #477254=CARTESIAN_POINT('Ctrl Pts',(-13.52028822139,8.680763457911,0.4003900991572)); #477255=CARTESIAN_POINT('Ctrl Pts',(-12.35802887245,14.14253526577,1.70067251065)); #477256=CARTESIAN_POINT('Ctrl Pts',(-10.31264514348,18.97433765047,2.935539230766)); #477257=CARTESIAN_POINT('Ctrl Pts',(-8.349918756205,22.13622624199,3.789449438981)); #477258=CARTESIAN_POINT('Ctrl Pts',(-8.135934849922,22.48204483148,3.882792176558)); #477259=CARTESIAN_POINT('Ctrl Pts',(-13.84136965954,5.74422151001,-0.01534150692502)); #477260=CARTESIAN_POINT('Ctrl Pts',(-13.75977217903,6.507275732059,0.1612445237864)); #477261=CARTESIAN_POINT('Ctrl Pts',(-13.48318695326,8.740585382161,0.6807692473003)); #477262=CARTESIAN_POINT('Ctrl Pts',(-12.30531924491,14.19319767922,1.989907386264)); #477263=CARTESIAN_POINT('Ctrl Pts',(-10.24901161871,19.01146726073,3.233646875038)); #477264=CARTESIAN_POINT('Ctrl Pts',(-8.281703141247,22.16213120671,4.093537018364)); #477265=CARTESIAN_POINT('Ctrl Pts',(-8.067215243945,22.50672470212,4.187533574724)); #477266=CARTESIAN_POINT('Ctrl Pts',(-13.80662563428,5.828681361903,0.3827555008991)); #477267=CARTESIAN_POINT('Ctrl Pts',(-13.72079487994,6.590924403649,0.5608802009713)); #477268=CARTESIAN_POINT('Ctrl Pts',(-13.431775853,8.821422048953,1.08517628246)); #477269=CARTESIAN_POINT('Ctrl Pts',(-12.22667929962,14.26402192099,2.405434173054)); #477270=CARTESIAN_POINT('Ctrl Pts',(-10.15018393755,19.06579068795,3.660421259651)); #477271=CARTESIAN_POINT('Ctrl Pts',(-8.173076829812,22.20243373488,4.527828500473)); #477272=CARTESIAN_POINT('Ctrl Pts',(-7.957513462068,22.54549722641,4.622647004957)); #477273=CARTESIAN_POINT('Ctrl Pts',(-13.75719219684,5.944143525758,0.7753337390627)); #477274=CARTESIAN_POINT('Ctrl Pts',(-13.66729752275,6.704417092169,0.9552858153994)); #477275=CARTESIAN_POINT('Ctrl Pts',(-13.36634120161,8.928701643401,1.485202393539)); #477276=CARTESIAN_POINT('Ctrl Pts',(-12.13528117146,14.35282444665,2.818679583084)); #477277=CARTESIAN_POINT('Ctrl Pts',(-10.03983168057,19.13010478685,4.08688682003)); #477278=CARTESIAN_POINT('Ctrl Pts',(-8.053852879686,22.24698660058,4.963218620046)); #477279=CARTESIAN_POINT('Ctrl Pts',(-7.8373152903,22.58789282241,5.059012880466)); #477280=CARTESIAN_POINT('Ctrl Pts',(-13.71462223723,6.043624908475,1.171143168603)); #477281=CARTESIAN_POINT('Ctrl Pts',(-13.62007030276,6.802625468208,1.352602932674)); #477282=CARTESIAN_POINT('Ctrl Pts',(-13.30543663094,9.022741567867,1.887201205392)); #477283=CARTESIAN_POINT('Ctrl Pts',(-12.04407994107,14.43347327703,3.231572426174)); #477284=CARTESIAN_POINT('Ctrl Pts',(-9.925596504093,19.1910581312,4.510819944133)); #477285=CARTESIAN_POINT('Ctrl Pts',(-7.927802568611,22.29162121514,5.394517899505)); #477286=CARTESIAN_POINT('Ctrl Pts',(-7.709969129599,22.6307463433,5.491117615915)); #477287=CARTESIAN_POINT('Ctrl Pts',(-13.65371054372,6.178925038445,1.564746788905)); #477288=CARTESIAN_POINT('Ctrl Pts',(-13.55457760432,6.935363764529,1.748079869903)); #477289=CARTESIAN_POINT('Ctrl Pts',(-13.22648975817,9.147520670576,2.28843865456)); #477290=CARTESIAN_POINT('Ctrl Pts',(-11.93548077939,14.53538221728,3.646361875504)); #477291=CARTESIAN_POINT('Ctrl Pts',(-9.794699374198,19.2642222218,4.939158059872)); #477292=CARTESIAN_POINT('Ctrl Pts',(-7.785734366866,22.34193116848,5.832004856232)); #477293=CARTESIAN_POINT('Ctrl Pts',(-7.566675341121,22.67856108793,5.929604847665)); #477294=CARTESIAN_POINT('Ctrl Pts',(-13.59743180298,6.302813571716,1.963885788732)); #477295=CARTESIAN_POINT('Ctrl Pts',(-13.49376361241,7.057085478203,2.149019528515)); #477296=CARTESIAN_POINT('Ctrl Pts',(-13.15235571588,9.262440225595,2.694926605751)); #477297=CARTESIAN_POINT('Ctrl Pts',(-11.83203930487,14.63029133981,4.065873018474)); #477298=CARTESIAN_POINT('Ctrl Pts',(-9.669300461221,19.33303848862,5.371725869108)); #477299=CARTESIAN_POINT('Ctrl Pts',(-7.649423914321,22.38978488494,6.273367390578)); #477300=CARTESIAN_POINT('Ctrl Pts',(-7.429167646933,22.72412630975,6.371928962034)); #477301=CARTESIAN_POINT('Ctrl Pts',(-13.51034141237,6.485381625974,2.485926864939)); #477302=CARTESIAN_POINT('Ctrl Pts',(-13.39968635754,7.236453205727,2.673216286352)); #477303=CARTESIAN_POINT('Ctrl Pts',(-13.037762089,9.431828335432,3.225800355949)); #477304=CARTESIAN_POINT('Ctrl Pts',(-11.67150961736,14.77076050621,4.612327769471)); #477305=CARTESIAN_POINT('Ctrl Pts',(-9.473042228165,19.43666726442,5.933915537966)); #477306=CARTESIAN_POINT('Ctrl Pts',(-7.433967738897,22.46400951627,6.846088040545)); #477307=CARTESIAN_POINT('Ctrl Pts',(-7.211607125974,22.79514070433,6.94580109477)); #477308=CARTESIAN_POINT('Ctrl Pts',(-13.41723754742,6.678828941403,2.99631414216)); #477309=CARTESIAN_POINT('Ctrl Pts',(-13.29950350522,7.426173133769,3.185803652041)); #477310=CARTESIAN_POINT('Ctrl Pts',(-12.91679296532,9.610029405048,3.745191054715)); #477311=CARTESIAN_POINT('Ctrl Pts',(-11.50388058152,14.91627035297,5.147623618685)); #477312=CARTESIAN_POINT('Ctrl Pts',(-9.268927634782,19.54191413094,6.485236953169)); #477313=CARTESIAN_POINT('Ctrl Pts',(-7.210043021769,22.53743461682,7.408156644882)); #477314=CARTESIAN_POINT('Ctrl Pts',(-6.985511236871,22.8650913454,7.509044800858)); #477315=CARTESIAN_POINT('Ctrl Pts',(-13.30949548211,6.887395357927,3.497357307241)); #477316=CARTESIAN_POINT('Ctrl Pts',(-13.18421724244,7.630548563617,3.68892767177)); #477317=CARTESIAN_POINT('Ctrl Pts',(-12.77935608478,9.801526747092,4.2547684744)); #477318=CARTESIAN_POINT('Ctrl Pts',(-11.31639617852,15.07170785618,5.672238876662)); #477319=CARTESIAN_POINT('Ctrl Pts',(-9.041782672208,19.65394319929,7.025065383812)); #477320=CARTESIAN_POINT('Ctrl Pts',(-6.960809995676,22.61539164064,7.958151042378)); #477321=CARTESIAN_POINT('Ctrl Pts',(-6.733858117034,22.93932800419,8.060150785443)); #477322=CARTESIAN_POINT('Ctrl Pts',(-13.22449680399,7.048836342899,3.85861737964)); #477323=CARTESIAN_POINT('Ctrl Pts',(-13.09437484898,7.788406359352,4.052147856807)); #477324=CARTESIAN_POINT('Ctrl Pts',(-12.67528975027,9.948446500186,4.623993820478)); #477325=CARTESIAN_POINT('Ctrl Pts',(-11.18062991478,15.18848483626,6.05565044266)); #477326=CARTESIAN_POINT('Ctrl Pts',(-8.881599784911,19.7354428934,7.422586856764)); #477327=CARTESIAN_POINT('Ctrl Pts',(-6.787760665623,22.66944391647,8.365243798167)); #477328=CARTESIAN_POINT('Ctrl Pts',(-6.559398115546,22.99038304917,8.468289960147)); #477329=CARTESIAN_POINT('Ctrl Pts',(-13.14143979026,7.204043162577,4.224698792669)); #477330=CARTESIAN_POINT('Ctrl Pts',(-13.00513312832,7.940569490182,4.419422619154)); #477331=CARTESIAN_POINT('Ctrl Pts',(-12.56789118186,10.09125244987,4.995025812365)); #477332=CARTESIAN_POINT('Ctrl Pts',(-11.03178757248,15.30505957103,6.435290502534)); #477333=CARTESIAN_POINT('Ctrl Pts',(-8.699239797545,19.82037788401,7.811119702024)); #477334=CARTESIAN_POINT('Ctrl Pts',(-6.586032105889,22.72952919678,8.759610385939)); #477335=CARTESIAN_POINT('Ctrl Pts',(-6.355548336661,23.04775497505,8.863294541555)); #477336=CARTESIAN_POINT('Ctrl Pts',(-13.03904813915,7.385652661884,4.583741168649)); #477337=CARTESIAN_POINT('Ctrl Pts',(-12.89706319258,8.117951308523,4.780286306437)); #477338=CARTESIAN_POINT('Ctrl Pts',(-12.44314922477,10.25579522126,5.361494066635)); #477339=CARTESIAN_POINT('Ctrl Pts',(-10.86936383925,15.43466815402,6.81493340784)); #477340=CARTESIAN_POINT('Ctrl Pts',(-8.506934419575,19.91004694537,8.203947023843)); #477341=CARTESIAN_POINT('Ctrl Pts',(-6.377063592351,22.78835711663,9.161332824168)); #477342=CARTESIAN_POINT('Ctrl Pts',(-6.144754142881,23.10321486247,9.265989521909)); #477343=CARTESIAN_POINT('Ctrl Pts',(-12.94015197886,7.556758639866,4.948429555524)); #477344=CARTESIAN_POINT('Ctrl Pts',(-12.79176389627,8.28545224726,5.146207170618)); #477345=CARTESIAN_POINT('Ctrl Pts',(-12.31905207815,10.41228339129,5.731292451164)); #477346=CARTESIAN_POINT('Ctrl Pts',(-10.70231024203,15.56075352482,7.193622929361)); #477347=CARTESIAN_POINT('Ctrl Pts',(-8.305060904321,20.00043638317,8.591812235012)); #477348=CARTESIAN_POINT('Ctrl Pts',(-6.154988633053,22.85094514327,9.555222867884)); #477349=CARTESIAN_POINT('Ctrl Pts',(-5.920466850065,23.16276702809,9.660538451752)); #477350=CARTESIAN_POINT('Ctrl Pts',(-12.82880551024,7.745403055962,5.312565901644)); #477351=CARTESIAN_POINT('Ctrl Pts',(-12.67453366054,8.469604937007,5.512175123192)); #477352=CARTESIAN_POINT('Ctrl Pts',(-12.18454673479,10.58281212803,6.102901449028)); #477353=CARTESIAN_POINT('Ctrl Pts',(-10.52871494014,15.69432738459,7.578479686606)); #477354=CARTESIAN_POINT('Ctrl Pts',(-8.100394767047,20.0920066327,8.989942275514)); #477355=CARTESIAN_POINT('Ctrl Pts',(-5.932920929199,22.91014265939,9.962298617625)); #477356=CARTESIAN_POINT('Ctrl Pts',(-5.696492658214,23.21842919648,10.06859228447)); #477357=CARTESIAN_POINT('Ctrl Pts',(-12.71553834201,7.929471587321,5.667784990751)); #477358=CARTESIAN_POINT('Ctrl Pts',(-12.55496364264,8.649372649091,5.868821379156)); #477359=CARTESIAN_POINT('Ctrl Pts',(-12.04647294644,10.74952557965,6.463996755586)); #477360=CARTESIAN_POINT('Ctrl Pts',(-10.34842178031,15.82556781254,7.949882268891)); #477361=CARTESIAN_POINT('Ctrl Pts',(-7.885982594878,20.18279155622,9.37184749094)); #477362=CARTESIAN_POINT('Ctrl Pts',(-5.698819047753,22.96971332399,10.35117771694)); #477363=CARTESIAN_POINT('Ctrl Pts',(-5.460234354124,23.27459105911,10.45823398038)); #477364=CARTESIAN_POINT('Ctrl Pts',(-12.57963915661,8.143133579148,6.012537919344)); #477365=CARTESIAN_POINT('Ctrl Pts',(-12.41207609344,8.857771518123,6.214954611414)); #477366=CARTESIAN_POINT('Ctrl Pts',(-11.88307064285,10.94203590971,6.814448796127)); #477367=CARTESIAN_POINT('Ctrl Pts',(-10.13791245333,15.97549035876,8.310298628608)); #477368=CARTESIAN_POINT('Ctrl Pts',(-7.636927787855,20.28540252759,9.742468985009)); #477369=CARTESIAN_POINT('Ctrl Pts',(-5.427034409988,23.03613926717,10.72854512836)); #477370=CARTESIAN_POINT('Ctrl Pts',(-5.185960947692,23.33706506993,10.83633909089)); #477371=CARTESIAN_POINT('Ctrl Pts',(-12.42416586906,8.379983522917,6.320261793765)); #477372=CARTESIAN_POINT('Ctrl Pts',(-12.25005785067,9.088313944804,6.524812344634)); #477373=CARTESIAN_POINT('Ctrl Pts',(-11.70183850501,11.15363698549,7.130829274501)); #477374=CARTESIAN_POINT('Ctrl Pts',(-9.91271508429,16.13700271631,8.642126986762)); #477375=CARTESIAN_POINT('Ctrl Pts',(-7.376000504219,20.39275188314,10.08961397206)); #477376=CARTESIAN_POINT('Ctrl Pts',(-5.145283964056,23.10244932316,11.08610884744)); #477377=CARTESIAN_POINT('Ctrl Pts',(-4.901930198593,23.39889268308,11.19504185112)); #477378=CARTESIAN_POINT('Ctrl Pts',(-12.246862821,8.63466550794,6.585737740356)); #477379=CARTESIAN_POINT('Ctrl Pts',(-12.06502621437,9.336109696443,6.791570014621)); #477380=CARTESIAN_POINT('Ctrl Pts',(-11.49412209297,11.38080507377,7.401604015942)); #477381=CARTESIAN_POINT('Ctrl Pts',(-9.65233282329,16.30997603457,8.922152497434)); #477382=CARTESIAN_POINT('Ctrl Pts',(-7.071612921531,20.50790013774,10.37913582725)); #477383=CARTESIAN_POINT('Ctrl Pts',(-4.814029754816,23.17399170619,11.38189526821)); #477384=CARTESIAN_POINT('Ctrl Pts',(-4.567735393974,23.46567210167,11.49151334581)); #477385=CARTESIAN_POINT('Ctrl Pts',(-12.11249928186,8.8222489651,6.749236631656)); #477386=CARTESIAN_POINT('Ctrl Pts',(-11.92539771957,9.518395587055,6.956270270207)); #477387=CARTESIAN_POINT('Ctrl Pts',(-11.33903970625,11.54725280764,7.570007808013)); #477388=CARTESIAN_POINT('Ctrl Pts',(-9.46143343736,16.43502302514,9.099244589915)); #477389=CARTESIAN_POINT('Ctrl Pts',(-6.850882409136,20.58924000158,10.56494452451)); #477390=CARTESIAN_POINT('Ctrl Pts',(-4.575181043482,23.22249864299,11.57357175259)); #477391=CARTESIAN_POINT('Ctrl Pts',(-4.326903504535,23.51059265931,11.68383139408)); #477392=CARTESIAN_POINT('Ctrl Pts',(-11.96945270775,9.01567717859,6.900483776703)); #477393=CARTESIAN_POINT('Ctrl Pts',(-11.77700352952,9.706276861056,7.108666069977)); #477394=CARTESIAN_POINT('Ctrl Pts',(-11.17494939012,11.71856904196,7.725949883329)); #477395=CARTESIAN_POINT('Ctrl Pts',(-9.26088793106,16.56316692003,9.263492282897)); #477396=CARTESIAN_POINT('Ctrl Pts',(-6.619853881352,20.6720927014,10.73754201776)); #477397=CARTESIAN_POINT('Ctrl Pts',(-4.325519372411,23.27140690513,11.75177992567)); #477398=CARTESIAN_POINT('Ctrl Pts',(-4.075202432446,23.55579305745,11.86265302996)); #477399=CARTESIAN_POINT('Ctrl Pts',(-11.87908880914,9.134119986486,6.979088973283)); #477400=CARTESIAN_POINT('Ctrl Pts',(-11.6833810983,9.82128008068,7.188076639163)); #477401=CARTESIAN_POINT('Ctrl Pts',(-11.0717629408,11.82331087022,7.807826308823)); #477402=CARTESIAN_POINT('Ctrl Pts',(-9.13542851697,16.64123671811,9.351197993395)); #477403=CARTESIAN_POINT('Ctrl Pts',(-6.475676500732,20.72234129213,10.8310405882)); #477404=CARTESIAN_POINT('Ctrl Pts',(-4.169808002977,23.30084839803,11.84921105144)); #477405=CARTESIAN_POINT('Ctrl Pts',(-3.918228775139,23.58296168056,11.96051409512)); #477406=CARTESIAN_POINT('Ctrl Pts',(-11.65211525399,9.420487442545,7.060366977806)); #477407=CARTESIAN_POINT('Ctrl Pts',(-11.44842696182,10.09912049565,7.271104214453)); #477408=CARTESIAN_POINT('Ctrl Pts',(-10.81338613797,12.07573845338,7.896226931683)); #477409=CARTESIAN_POINT('Ctrl Pts',(-8.822330487848,16.82778942838,9.452257380052)); #477410=CARTESIAN_POINT('Ctrl Pts',(-6.11632009736,20.84058351403,10.94473290824)); #477411=CARTESIAN_POINT('Ctrl Pts',(-3.781681457611,23.36811383442,11.97144741353)); #477412=CARTESIAN_POINT('Ctrl Pts',(-3.526953917347,23.64465854741,12.08368459397)); #477413=CARTESIAN_POINT('Ctrl Pts',(-11.4899353401,9.614821110815,7.011102788889)); #477414=CARTESIAN_POINT('Ctrl Pts',(-11.28065267085,10.28744798655,7.222202435527)); #477415=CARTESIAN_POINT('Ctrl Pts',(-10.62919360731,12.24619116743,7.848543358176)); #477416=CARTESIAN_POINT('Ctrl Pts',(-8.599581970252,16.9520814104,9.407166085901)); #477417=CARTESIAN_POINT('Ctrl Pts',(-5.860669143608,20.91739914276,10.90257292116)); #477418=CARTESIAN_POINT('Ctrl Pts',(-3.50526571283,23.40960930308,11.93106410651)); #477419=CARTESIAN_POINT('Ctrl Pts',(-3.248266235051,23.68229563257,12.04349573896)); #477420=CARTESIAN_POINT('Ctrl Pts',(-11.33805714538,9.798047017293,6.977871232524)); #477421=CARTESIAN_POINT('Ctrl Pts',(-11.12352247231,10.46503881156,7.189416981112)); #477422=CARTESIAN_POINT('Ctrl Pts',(-10.45664983282,12.40700854626,7.817213800533)); #477423=CARTESIAN_POINT('Ctrl Pts',(-8.390865891933,17.06956093204,9.379039839401)); #477424=CARTESIAN_POINT('Ctrl Pts',(-5.621122491142,20.99025770084,10.87792450511)); #477425=CARTESIAN_POINT('Ctrl Pts',(-3.246298210811,23.44925848927,11.90859982263)); #477426=CARTESIAN_POINT('Ctrl Pts',(-2.987173798446,23.71831703099,12.02127041711)); #477427=CARTESIAN_POINT('Origin',(0.,0.,2.004328879587)); #477428=CARTESIAN_POINT('',(-23.8000000856301,1.11500635247567,3.95203677391679)); #477429=CARTESIAN_POINT('Ctrl Pts',(-23.779006002899,1.04877120175098,4.)); #477430=CARTESIAN_POINT('Ctrl Pts',(-23.7861439034835,1.07072900391546, 3.98380305039028)); #477431=CARTESIAN_POINT('Ctrl Pts',(-23.7931434060616,1.09281771175372, 3.96781211356083)); #477432=CARTESIAN_POINT('Ctrl Pts',(-23.8000002224528,1.11500629820832, 3.95203675705836)); #477433=CARTESIAN_POINT('Ctrl Pts',(-23.8,1.11500639167543,3.95203719272836)); #477434=CARTESIAN_POINT('Ctrl Pts',(-23.8,5.36155825795273,3.5545796442786)); #477435=CARTESIAN_POINT('Ctrl Pts',(-23.8,9.95991967837168,0.00424550478208598)); #477436=CARTESIAN_POINT('Ctrl Pts',(-16.7743950142018,19.6025424858006, 0.00424550476349837)); #477437=CARTESIAN_POINT('Ctrl Pts',(-16.7849648564075,19.516761906745,0.120851298329086)); #477438=CARTESIAN_POINT('Ctrl Pts',(-16.7991719947237,19.4295190960221, 0.234481224897344)); #477439=CARTESIAN_POINT('Ctrl Pts',(-16.8665548210577,19.1043217759792, 0.636846974637252)); #477440=CARTESIAN_POINT('Ctrl Pts',(-16.9517128366047,18.8290998444676, 0.936400598890773)); #477441=CARTESIAN_POINT('Ctrl Pts',(-17.164592487995,18.4037215746303,1.2734113218795)); #477442=CARTESIAN_POINT('Ctrl Pts',(-17.2496092806692,18.2598338906203, 1.3671556093426)); #477443=CARTESIAN_POINT('Ctrl Pts',(-17.4512820462338,17.9759334819246, 1.49826995060397)); #477444=CARTESIAN_POINT('Ctrl Pts',(-17.5678901009434,17.835915821344,1.53570300984324)); #477445=CARTESIAN_POINT('Ctrl Pts',(-17.835915821344,17.5678901009434,1.53570300984324)); #477446=CARTESIAN_POINT('Ctrl Pts',(-17.9759334819246,17.4512820462338, 1.49826995060397)); #477447=CARTESIAN_POINT('Ctrl Pts',(-18.2598338906203,17.2496092806692, 1.3671556093426)); #477448=CARTESIAN_POINT('Ctrl Pts',(-18.4037215746303,17.164592487995,1.2734113218795)); #477449=CARTESIAN_POINT('Ctrl Pts',(-18.8290998444676,16.9517128366047, 0.936400598890779)); #477450=CARTESIAN_POINT('Ctrl Pts',(-19.1043217759792,16.8665548210577, 0.636846974637262)); #477451=CARTESIAN_POINT('Ctrl Pts',(-19.429519096022,16.7991719947237,0.234481224897389)); #477452=CARTESIAN_POINT('Ctrl Pts',(-19.516761906745,16.7849648564075,0.120851298329164)); #477453=CARTESIAN_POINT('Ctrl Pts',(-19.6025424858005,16.7743950142018, 0.00424550476361269)); #477454=CARTESIAN_POINT('Ctrl Pts',(-3.99975670558559E-13,23.8,4.00424550476682)); #477455=CARTESIAN_POINT('Ctrl Pts',(-4.77915500696124,23.8,4.00424550476666)); #477456=CARTESIAN_POINT('Ctrl Pts',(-9.959919678371,23.8,0.00424550478260475)); #477457=CARTESIAN_POINT('Origin',(0.,23.8,2.004245504764)); #477458=CARTESIAN_POINT('Ctrl Pts',(-4.05894373710912E-13,23.8,4.00424550476782)); #477459=CARTESIAN_POINT('Ctrl Pts',(4.77915500696121,23.8,4.00424550476798)); #477460=CARTESIAN_POINT('Ctrl Pts',(9.95991967837189,23.8,0.00424550478291456)); #477461=CARTESIAN_POINT('Origin',(0.,0.,2.004328879588)); #477462=CARTESIAN_POINT('Origin',(0.,0.,4.000782242867)); #477463=CARTESIAN_POINT('Ctrl Pts',(19.6025424858009,16.7743950142017,0.00424550476414907)); #477464=CARTESIAN_POINT('Ctrl Pts',(19.5167619067452,16.7849648564075,0.120851298329861)); #477465=CARTESIAN_POINT('Ctrl Pts',(19.4295190960221,16.7991719947237,0.234481224898239)); #477466=CARTESIAN_POINT('Ctrl Pts',(19.1043217759792,16.8665548210577,0.636846974638256)); #477467=CARTESIAN_POINT('Ctrl Pts',(18.8290998444676,16.9517128366047,0.936400598891776)); #477468=CARTESIAN_POINT('Ctrl Pts',(18.4037215746303,17.164592487995,1.2734113218805)); #477469=CARTESIAN_POINT('Ctrl Pts',(18.2598338906203,17.2496092806692,1.3671556093436)); #477470=CARTESIAN_POINT('Ctrl Pts',(17.9759334819246,17.4512820462338,1.49826995060497)); #477471=CARTESIAN_POINT('Ctrl Pts',(17.835915821344,17.5678901009434,1.53570300984423)); #477472=CARTESIAN_POINT('Ctrl Pts',(17.5678901009434,17.835915821344,1.53570300984423)); #477473=CARTESIAN_POINT('Ctrl Pts',(17.4512820462338,17.9759334819246,1.49826995060497)); #477474=CARTESIAN_POINT('Ctrl Pts',(17.2496092806692,18.2598338906203,1.3671556093436)); #477475=CARTESIAN_POINT('Ctrl Pts',(17.164592487995,18.4037215746303,1.2734113218805)); #477476=CARTESIAN_POINT('Ctrl Pts',(16.9517128366047,18.8290998444676,0.936400598891776)); #477477=CARTESIAN_POINT('Ctrl Pts',(16.8665548210577,19.1043217759792,0.636846974638256)); #477478=CARTESIAN_POINT('Ctrl Pts',(16.7991719947237,19.4295190960221,0.234481224898354)); #477479=CARTESIAN_POINT('Ctrl Pts',(16.7849648564075,19.516761906745,0.120851298330101)); #477480=CARTESIAN_POINT('Ctrl Pts',(16.7743950142018,19.6025424858006,0.00424550476451725)); #477481=CARTESIAN_POINT('Ctrl Pts',(23.8,-1.67753509086585E-12,4.00424550476782)); #477482=CARTESIAN_POINT('Ctrl Pts',(23.8,4.77915500696042,4.00424550476849)); #477483=CARTESIAN_POINT('Ctrl Pts',(23.8,9.95991967837161,0.00424550478312463)); #477484=CARTESIAN_POINT('Origin',(0.,0.,2.012745533165)); #477485=CARTESIAN_POINT('',(1.35964464353711,14.9748312614539,0.041167602311959)); #477486=CARTESIAN_POINT('Ctrl Pts',(1.35964483431584,14.9748317159952,0.0411655030770862)); #477487=CARTESIAN_POINT('Ctrl Pts',(1.32036105762161,14.9664092556723,0.0357716974758073)); #477488=CARTESIAN_POINT('Ctrl Pts',(1.28032249189076,14.96068457573,0.0316275916684426)); #477489=CARTESIAN_POINT('Ctrl Pts',(1.20038757674966,14.9550707380666,0.0261170714702062)); #477490=CARTESIAN_POINT('Ctrl Pts',(1.16050419288137,14.9550776938619,0.0247018371255963)); #477491=CARTESIAN_POINT('Ctrl Pts',(1.12083246729917,14.958065924916,0.0247088480647139)); #477492=CARTESIAN_POINT('Ctrl Pts',(18.7217094371671,14.6963339786819,4.00042360636448)); #477493=CARTESIAN_POINT('Ctrl Pts',(18.3825742098869,14.7823910656828,3.90392130295104)); #477494=CARTESIAN_POINT('Ctrl Pts',(18.0423307594145,14.8679153407902,3.8090722911769)); #477495=CARTESIAN_POINT('Ctrl Pts',(17.6990652199711,14.948671570215,3.71414564659366)); #477496=CARTESIAN_POINT('Ctrl Pts',(15.8416966859569,15.3856338114655,3.20050899383116)); #477497=CARTESIAN_POINT('Ctrl Pts',(13.9024186119572,15.6947392166258,2.68184481818101)); #477498=CARTESIAN_POINT('Ctrl Pts',(11.6617040679283,15.8267576875174,2.12941557808069)); #477499=CARTESIAN_POINT('Ctrl Pts',(10.4488483292009,15.8982167497464,1.83039624253795)); #477500=CARTESIAN_POINT('Ctrl Pts',(9.09846930616579,15.9104954783418,1.51187303773393)); #477501=CARTESIAN_POINT('Ctrl Pts',(7.78498335572374,15.8475577962079,1.22473784430934)); #477502=CARTESIAN_POINT('Ctrl Pts',(6.30996250506457,15.7768799230199,0.902290240574565)); #477503=CARTESIAN_POINT('Ctrl Pts',(4.86291614209773,15.6195915683249,0.612836332183909)); #477504=CARTESIAN_POINT('Ctrl Pts',(3.40872878734715,15.3731100673631,0.361926417636546)); #477505=CARTESIAN_POINT('Ctrl Pts',(2.7960728730848,15.2692662615239,0.256216900501548)); #477506=CARTESIAN_POINT('Ctrl Pts',(2.18226931162546,15.1492866848519,0.157431846500396)); #477507=CARTESIAN_POINT('Ctrl Pts',(1.5631325443622,15.0182450568382,0.069599391394281)); #477508=CARTESIAN_POINT('Ctrl Pts',(1.49536998082481,15.0039029645674,0.0599864066153581)); #477509=CARTESIAN_POINT('Ctrl Pts',(1.42754277289432,14.9894293047873,0.0505062406432912)); #477510=CARTESIAN_POINT('Ctrl Pts',(1.35964502465357,14.9748310258048,0.0411652003442055)); #477511=CARTESIAN_POINT('',(4.7291776958012,14.833782627504,0.281928499667684)); #477512=CARTESIAN_POINT('Ctrl Pts',(4.72917746705694,14.8337839928985,0.281928967647221)); #477513=CARTESIAN_POINT('Ctrl Pts',(6.22450682662142,14.9908084773195,0.55469222721284)); #477514=CARTESIAN_POINT('Ctrl Pts',(8.77260762594225,15.1817805374971,1.07460185981575)); #477515=CARTESIAN_POINT('Ctrl Pts',(15.3548978759585,14.504625394824,2.70364684378759)); #477516=CARTESIAN_POINT('Ctrl Pts',(17.9843433708529,13.725811605274,3.44345768984383)); #477517=CARTESIAN_POINT('Ctrl Pts',(19.886786156209,13.076875078179,4.00046430634346)); #477518=CARTESIAN_POINT('Ctrl Pts',(4.61860033494225,14.271248463959,0.0247088501742905)); #477519=CARTESIAN_POINT('Ctrl Pts',(4.59132805357314,14.2800659591914,0.0247051428365615)); #477520=CARTESIAN_POINT('Ctrl Pts',(4.56535460635941,14.2925404453526,0.0264919313039586)); #477521=CARTESIAN_POINT('Ctrl Pts',(4.51840645702417,14.3242130649077,0.0337126553903288)); #477522=CARTESIAN_POINT('Ctrl Pts',(4.49793610145105,14.3430113518185,0.039042159180516)); #477523=CARTESIAN_POINT('Ctrl Pts',(4.45647035608645,14.3946633837479,0.055738755206534)); #477524=CARTESIAN_POINT('Ctrl Pts',(4.44207350300064,14.4261507583879,0.0674227190292953)); #477525=CARTESIAN_POINT('Ctrl Pts',(4.42263948297982,14.4940284027572,0.0941629442635265)); #477526=CARTESIAN_POINT('Ctrl Pts',(4.42210379007159,14.5315483093247,0.110312567592415)); #477527=CARTESIAN_POINT('Ctrl Pts',(4.43246885111256,14.6026443570801,0.142402874365434)); #477528=CARTESIAN_POINT('Ctrl Pts',(4.44648391014757,14.6397518568179,0.160281138086092)); #477529=CARTESIAN_POINT('Ctrl Pts',(4.48700611776514,14.705734481509,0.19412706155107)); #477530=CARTESIAN_POINT('Ctrl Pts',(4.51630810797356,14.7396681264357,0.212644667739266)); #477531=CARTESIAN_POINT('Ctrl Pts',(4.58163665826963,14.7862844087817,0.241467890669393)); #477532=CARTESIAN_POINT('Ctrl Pts',(4.60914274198845,14.8010455008097,0.251518008782248)); #477533=CARTESIAN_POINT('Ctrl Pts',(4.66728379817492,14.8230346994899,0.268846385211035)); #477534=CARTESIAN_POINT('Ctrl Pts',(4.69791430738552,14.8304445541361,0.276201933749364)); #477535=CARTESIAN_POINT('Ctrl Pts',(4.72917783199906,14.833781962301,0.281928143765386)); #477536=CARTESIAN_POINT('Ctrl Pts',(5.330597136006,14.642402813,2.046542682348)); #477537=CARTESIAN_POINT('Ctrl Pts',(5.28480755735,14.64193021213,2.063802976906)); #477538=CARTESIAN_POINT('Ctrl Pts',(5.207629699368,14.62036849658,2.089980632706)); #477539=CARTESIAN_POINT('Ctrl Pts',(5.118821453963,14.54892197035,2.113557863434)); #477540=CARTESIAN_POINT('Ctrl Pts',(5.06406876721,14.4654328088,2.122558409711)); #477541=CARTESIAN_POINT('Ctrl Pts',(5.036946673212,14.36898056411,2.119284274071)); #477542=CARTESIAN_POINT('Ctrl Pts',(5.040376519896,14.2699519715,2.104087803576)); #477543=CARTESIAN_POINT('Ctrl Pts',(5.073988335953,14.17901131594,2.078605720338)); #477544=CARTESIAN_POINT('Ctrl Pts',(5.144038331674,14.09396305304,2.040162547028)); #477545=CARTESIAN_POINT('Ctrl Pts',(5.214814081878,14.05992577372,2.008604115536)); #477546=CARTESIAN_POINT('Ctrl Pts',(5.259870494446,14.05191904472,1.990431169414)); #477547=CARTESIAN_POINT('Ctrl Pts',(5.30358154152,14.65282180484,1.972061968593)); #477548=CARTESIAN_POINT('Ctrl Pts',(5.257501230705,14.65260580429,1.988831424725)); #477549=CARTESIAN_POINT('Ctrl Pts',(5.1798012996,14.63137281169,2.014304154621)); #477550=CARTESIAN_POINT('Ctrl Pts',(5.090397319322,14.56009975858,2.037319857695)); #477551=CARTESIAN_POINT('Ctrl Pts',(5.035313603741,14.47657008343,2.046176797603)); #477552=CARTESIAN_POINT('Ctrl Pts',(5.008101049263,14.37992666305,2.043110763476)); #477553=CARTESIAN_POINT('Ctrl Pts',(5.011702089742,14.28061712693,2.028453065378)); #477554=CARTESIAN_POINT('Ctrl Pts',(5.045726877056,14.18937740259,2.003788760711)); #477555=CARTESIAN_POINT('Ctrl Pts',(5.116470971466,14.10404162464,1.966532260432)); #477556=CARTESIAN_POINT('Ctrl Pts',(5.187839200094,14.06991224555,1.935930028377)); #477557=CARTESIAN_POINT('Ctrl Pts',(5.233225674798,14.06191002882,1.918310427347)); #477558=CARTESIAN_POINT('Ctrl Pts',(5.257153956936,14.67040396346,1.837526110195)); #477559=CARTESIAN_POINT('Ctrl Pts',(5.210659598458,14.67053755849,1.853542065378)); #477560=CARTESIAN_POINT('Ctrl Pts',(5.132219055116,14.64974410534,1.877923300922)); #477561=CARTESIAN_POINT('Ctrl Pts',(5.041982738654,14.57868051218,1.900050875831)); #477562=CARTESIAN_POINT('Ctrl Pts',(4.986450866965,14.49506680669,1.908660056003)); #477563=CARTESIAN_POINT('Ctrl Pts',(4.959141448034,14.3981352989,1.905884941744)); #477564=CARTESIAN_POINT('Ctrl Pts',(4.96302420782,14.29842425404,1.892027063076)); #477565=CARTESIAN_POINT('Ctrl Pts',(4.997676448902,14.20677423788,1.868593399489)); #477566=CARTESIAN_POINT('Ctrl Pts',(5.069449702073,14.12106778357,1.833132693967)); #477567=CARTESIAN_POINT('Ctrl Pts',(5.141679712975,14.08685148064,1.803981436396)); #477568=CARTESIAN_POINT('Ctrl Pts',(5.187539949743,14.07888582579,1.787200892599)); #477569=CARTESIAN_POINT('Ctrl Pts',(5.192003894537,14.69404579993,1.644456006157)); #477570=CARTESIAN_POINT('Ctrl Pts',(5.145324708851,14.6942408232,1.660176954559)); #477571=CARTESIAN_POINT('Ctrl Pts',(5.066591800732,14.67346721274,1.684139137929)); #477572=CARTESIAN_POINT('Ctrl Pts',(4.97613048732,14.60226205436,1.705941659134)); #477573=CARTESIAN_POINT('Ctrl Pts',(4.92058246102,14.51844416244,1.714476997794)); #477574=CARTESIAN_POINT('Ctrl Pts',(4.89345626014,14.42127851429,1.711839162954)); #477575=CARTESIAN_POINT('Ctrl Pts',(4.89771144536,14.32136375945,1.698315664054)); #477576=CARTESIAN_POINT('Ctrl Pts',(4.93288324274,14.22959854119,1.675381850224)); #477577=CARTESIAN_POINT('Ctrl Pts',(5.005352029832,14.14391401211,1.640641736637)); #477578=CARTESIAN_POINT('Ctrl Pts',(5.078068467834,14.10987739063,1.612069222466)); #477579=CARTESIAN_POINT('Ctrl Pts',(5.124164680306,14.10207689575,1.595623884925)); #477580=CARTESIAN_POINT('Ctrl Pts',(5.13203139446,14.71541992704,1.466168564557)); #477581=CARTESIAN_POINT('Ctrl Pts',(5.085235332657,14.71560479128,1.481721597911)); #477582=CARTESIAN_POINT('Ctrl Pts',(5.006338941645,14.69475710298,1.505449032848)); #477583=CARTESIAN_POINT('Ctrl Pts',(4.91581156756,14.62335549328,1.527076885254)); #477584=CARTESIAN_POINT('Ctrl Pts',(4.86034533922,14.53933785876,1.535580803824)); #477585=CARTESIAN_POINT('Ctrl Pts',(4.83344434856,14.44198443502,1.533031870584)); #477586=CARTESIAN_POINT('Ctrl Pts',(4.83805022596,14.34193835606,1.519708547564)); #477587=CARTESIAN_POINT('Ctrl Pts',(4.87365882245,14.25013724635,1.497067694814)); #477588=CARTESIAN_POINT('Ctrl Pts',(4.94667069696,14.16455292311,1.462746042397)); #477589=CARTESIAN_POINT('Ctrl Pts',(5.019736792611,14.13072310701,1.434508064694)); #477590=CARTESIAN_POINT('Ctrl Pts',(5.065991631601,14.12308831177,1.418256729347)); #477591=CARTESIAN_POINT('Ctrl Pts',(5.074293718139,14.7360432905,1.285968106673)); #477592=CARTESIAN_POINT('Ctrl Pts',(5.02717629398,14.73642940178,1.300887531023)); #477593=CARTESIAN_POINT('Ctrl Pts',(4.947732233236,14.71580516292,1.323687727376)); #477594=CARTESIAN_POINT('Ctrl Pts',(4.85665437663,14.64443170422,1.344542535234)); #477595=CARTESIAN_POINT('Ctrl Pts',(4.80095475114,14.5602673673,1.352810778844)); #477596=CARTESIAN_POINT('Ctrl Pts',(4.77411336369,14.46265286206,1.350478040484)); #477597=CARTESIAN_POINT('Ctrl Pts',(4.77907825835,14.36230685538,1.337800294794)); #477598=CARTESIAN_POINT('Ctrl Pts',(4.81530365228,14.2702480619,1.316169983994)); #477599=CARTESIAN_POINT('Ctrl Pts',(4.889234894554,14.18449731547,1.283333204114)); #477600=CARTESIAN_POINT('Ctrl Pts',(4.963015052834,14.15072038752,1.256299010593)); #477601=CARTESIAN_POINT('Ctrl Pts',(5.009644960225,14.14318996489,1.240743169436)); #477602=CARTESIAN_POINT('Ctrl Pts',(5.019284169545,14.75540006475,1.104907496445)); #477603=CARTESIAN_POINT('Ctrl Pts',(4.971881986879,14.75594941526,1.119222968169)); #477604=CARTESIAN_POINT('Ctrl Pts',(4.891957253128,14.73549889374,1.141132733143)); #477605=CARTESIAN_POINT('Ctrl Pts',(4.80040826258,14.66412454565,1.161232450554)); #477606=CARTESIAN_POINT('Ctrl Pts',(4.7445220093,14.57981598106,1.169257201764)); #477607=CARTESIAN_POINT('Ctrl Pts',(4.71775741121,14.48196547712,1.167111165304)); #477608=CARTESIAN_POINT('Ctrl Pts',(4.72306547498,14.38135924066,1.155030705964)); #477609=CARTESIAN_POINT('Ctrl Pts',(4.75986043665,14.2890873705,1.134347720124)); #477610=CARTESIAN_POINT('Ctrl Pts',(4.834627196624,14.20321641636,1.10291019261)); #477611=CARTESIAN_POINT('Ctrl Pts',(4.909046950505,14.16951162511,1.077013215846)); #477612=CARTESIAN_POINT('Ctrl Pts',(4.956009429211,14.16208909711,1.062113973134)); #477613=CARTESIAN_POINT('Ctrl Pts',(4.964862564978,14.77396818012,0.924866538789)); #477614=CARTESIAN_POINT('Ctrl Pts',(4.917416013543,14.77443437652,0.9391432140592)); #477615=CARTESIAN_POINT('Ctrl Pts',(4.837462016014,14.75381382945,0.9610039357476)); #477616=CARTESIAN_POINT('Ctrl Pts',(4.74600772914,14.68218679213,0.9810774630637)); #477617=CARTESIAN_POINT('Ctrl Pts',(4.69029901997,14.5976831706,0.9891093826037)); #477618=CARTESIAN_POINT('Ctrl Pts',(4.6637959804,14.49969322425,0.9869983710337)); #477619=CARTESIAN_POINT('Ctrl Pts',(4.66942440073,14.39903191696,0.9749772089937)); #477620=CARTESIAN_POINT('Ctrl Pts',(4.70656241294,14.30680916745,0.9543728576837)); #477621=CARTESIAN_POINT('Ctrl Pts',(4.781704983413,14.2211250488,0.9230424881782)); #477622=CARTESIAN_POINT('Ctrl Pts',(4.856325970383,14.18766096602,0.8972290893169)); #477623=CARTESIAN_POINT('Ctrl Pts',(4.903362808223,14.18040850671,0.8823786389824)); #477624=CARTESIAN_POINT('Ctrl Pts',(4.89215102572,14.79852518791,0.684841111956)); #477625=CARTESIAN_POINT('Ctrl Pts',(4.844638007612,14.79890515207,0.6990750410562)); #477626=CARTESIAN_POINT('Ctrl Pts',(4.764622803591,14.77809113668,0.7208875573057)); #477627=CARTESIAN_POINT('Ctrl Pts',(4.67325458839,14.7061493811,0.7409481861437)); #477628=CARTESIAN_POINT('Ctrl Pts',(4.61774852027,14.6213882621,0.7490043206237)); #477629=CARTESIAN_POINT('Ctrl Pts',(4.59156653416,14.52320151972,0.7469483835637)); #477630=CARTESIAN_POINT('Ctrl Pts',(4.59760480592,14.42244753184,0.7350075460837)); #477631=CARTESIAN_POINT('Ctrl Pts',(4.63519493856,14.33026870915,0.7145025838737)); #477632=CARTESIAN_POINT('Ctrl Pts',(4.710845342502,14.2448128349,0.683302682992)); #477633=CARTESIAN_POINT('Ctrl Pts',(4.785747608825,14.21166353409,0.6575889374359)); #477634=CARTESIAN_POINT('Ctrl Pts',(4.832891613538,14.20463881438,0.6427969994915)); #477635=CARTESIAN_POINT('Ctrl Pts',(4.830647021355,14.81919877365,0.4728354860119)); #477636=CARTESIAN_POINT('Ctrl Pts',(4.7828574309,14.81971563944,0.4864763357627)); #477637=CARTESIAN_POINT('Ctrl Pts',(4.702382384837,14.799031867,0.5074122789687)); #477638=CARTESIAN_POINT('Ctrl Pts',(4.61058386261,14.72704187964,0.5267253031237)); #477639=CARTESIAN_POINT('Ctrl Pts',(4.55493083924,14.64211009064,0.5345358983937)); #477640=CARTESIAN_POINT('Ctrl Pts',(4.52886544954,14.54367864681,0.5326565120737)); #477641=CARTESIAN_POINT('Ctrl Pts',(4.53528207724,14.44267493935,0.5212956301737)); #477642=CARTESIAN_POINT('Ctrl Pts',(4.57346775949,14.350312028,0.5017148608237)); #477643=CARTESIAN_POINT('Ctrl Pts',(4.649968754975,14.26478775281,0.4718825470483)); #477644=CARTESIAN_POINT('Ctrl Pts',(4.72550526991,14.23175850326,0.4472812510494)); #477645=CARTESIAN_POINT('Ctrl Pts',(4.772972439121,14.22487075147,0.433131463509)); #477646=CARTESIAN_POINT('Ctrl Pts',(4.781595672046,14.83555737183,0.289346710605)); #477647=CARTESIAN_POINT('Ctrl Pts',(4.733501053807,14.83626072878,0.3022313768197)); #477648=CARTESIAN_POINT('Ctrl Pts',(4.652501890482,14.81578947485,0.3220359689291)); #477649=CARTESIAN_POINT('Ctrl Pts',(4.56016507237,14.74384206857,0.3403581784937)); #477650=CARTESIAN_POINT('Ctrl Pts',(4.50427084469,14.65879224154,0.3478171519737)); #477651=CARTESIAN_POINT('Ctrl Pts',(4.47823747616,14.56013772923,0.3461237578237)); #477652=CARTESIAN_POINT('Ctrl Pts',(4.48496698016,14.45887312361,0.3354665359937)); #477653=CARTESIAN_POINT('Ctrl Pts',(4.52370869113,14.36628401366,0.3170334186237)); #477654=CARTESIAN_POINT('Ctrl Pts',(4.601048674219,14.2806124082,0.2889146171684)); #477655=CARTESIAN_POINT('Ctrl Pts',(4.677241776568,14.24762847746,0.2657131054279)); #477656=CARTESIAN_POINT('Ctrl Pts',(4.725054600691,14.24083166021,0.2523704216075)); #477657=CARTESIAN_POINT('Ctrl Pts',(4.747353976264,14.84698997067,0.1552701413721)); #477658=CARTESIAN_POINT('Ctrl Pts',(4.698995748007,14.84787840271,0.1674652623594)); #477659=CARTESIAN_POINT('Ctrl Pts',(4.617532245173,14.82763212616,0.1862345435836)); #477660=CARTESIAN_POINT('Ctrl Pts',(4.52469153712,14.75577050377,0.2036432187073)); #477661=CARTESIAN_POINT('Ctrl Pts',(4.468543428993,14.67064966677,0.2107712740652)); #477662=CARTESIAN_POINT('Ctrl Pts',(4.442485183808,14.57181846102,0.2092370577978)); #477663=CARTESIAN_POINT('Ctrl Pts',(4.449431178392,14.47032749359,0.1992119576742)); #477664=CARTESIAN_POINT('Ctrl Pts',(4.488604078748,14.37752488118,0.1818171929267)); #477665=CARTESIAN_POINT('Ctrl Pts',(4.566623304188,14.29168710688,0.1552528919425)); #477666=CARTESIAN_POINT('Ctrl Pts',(4.643365940442,14.2587023795,0.133322880064)); #477667=CARTESIAN_POINT('Ctrl Pts',(4.69147360755,14.25195852775,0.1207130869164)); #477668=CARTESIAN_POINT('Ctrl Pts',(4.721976894625,14.85520775111,0.05232522854013)); #477669=CARTESIAN_POINT('Ctrl Pts',(4.673555054477,14.85610221992,0.06436686835272)); #477670=CARTESIAN_POINT('Ctrl Pts',(4.591994823586,14.83583908693,0.08290710179738)); #477671=CARTESIAN_POINT('Ctrl Pts',(4.499090773769,14.76390534998,0.1001162138768)); #477672=CARTESIAN_POINT('Ctrl Pts',(4.442954103734,14.67870315268,0.1071744215902)); #477673=CARTESIAN_POINT('Ctrl Pts',(4.416978789811,14.5797906761,0.1056794038291)); #477674=CARTESIAN_POINT('Ctrl Pts',(4.424073064696,14.47823952228,0.09579832009584)); #477675=CARTESIAN_POINT('Ctrl Pts',(4.463442125915,14.38541677076,0.07863736384992)); #477676=CARTESIAN_POINT('Ctrl Pts',(4.541714328674,14.29961781313,0.05242152979902)); #477677=CARTESIAN_POINT('Ctrl Pts',(4.618625757836,14.26672148087,0.03077594012863)); #477678=CARTESIAN_POINT('Ctrl Pts',(4.666811708507,14.26004971475,0.01833017939604)); #477679=CARTESIAN_POINT('Ctrl Pts',(4.703793668506,14.86098226086,-0.01954202564438)); #477680=CARTESIAN_POINT('Ctrl Pts',(4.655382756283,14.86182290985,-0.007435258053644)); #477681=CARTESIAN_POINT('Ctrl Pts',(4.57386108074,14.84146637347,0.01120644067014)); #477682=CARTESIAN_POINT('Ctrl Pts',(4.481049309572,14.76942116618,0.02851187324595)); #477683=CARTESIAN_POINT('Ctrl Pts',(4.425011012959,14.68414830274,0.03561155967983)); #477684=CARTESIAN_POINT('Ctrl Pts',(4.399142864042,14.58519980966,0.03411177307767)); #477685=CARTESIAN_POINT('Ctrl Pts',(4.406341518122,14.48365294192,0.02418023487989)); #477686=CARTESIAN_POINT('Ctrl Pts',(4.445800371072,14.39087595815,0.006928969486449)); #477687=CARTESIAN_POINT('Ctrl Pts',(4.524147673335,14.30517436803,-0.01942625593074)); #477688=CARTESIAN_POINT('Ctrl Pts',(4.601077919634,14.2723782711,-0.04118747799378)); #477689=CARTESIAN_POINT('Ctrl Pts',(4.649259971819,14.26577095469,-0.05369964352942)); #477690=CARTESIAN_POINT('',(5.26995093447828,14.6442984600642,2.01838925158102)); #477691=CARTESIAN_POINT('Ctrl Pts',(4.72917782609241,14.8337819300354,0.281928405073863)); #477692=CARTESIAN_POINT('Ctrl Pts',(4.73088204280987,14.8332105332416,0.288488830331852)); #477693=CARTESIAN_POINT('Ctrl Pts',(4.73292288835136,14.8326898540033,0.294959054427395)); #477694=CARTESIAN_POINT('Ctrl Pts',(4.7348870196561,14.8321532475998,0.301446792612525)); #477695=CARTESIAN_POINT('Ctrl Pts',(4.73719497389816,14.8315227077666,0.309070215155361)); #477696=CARTESIAN_POINT('Ctrl Pts',(4.73939697068216,14.8308705762893,0.316717868102818)); #477697=CARTESIAN_POINT('Ctrl Pts',(4.74152470255427,14.8302025130199,0.324381810719979)); #477698=CARTESIAN_POINT('Ctrl Pts',(4.74267168301658,14.8298423851637,0.328513154787044)); #477699=CARTESIAN_POINT('Ctrl Pts',(4.74379708439042,14.829477611647,0.332649230625888)); #477700=CARTESIAN_POINT('Ctrl Pts',(4.74490587747738,14.8291090040508,0.336788785725252)); #477701=CARTESIAN_POINT('Ctrl Pts',(4.74996775896134,14.8274262302418,0.355686754657121)); #477702=CARTESIAN_POINT('Ctrl Pts',(4.75468352628149,14.8256633211727,0.374657219883403)); #477703=CARTESIAN_POINT('Ctrl Pts',(4.7595262150079,14.823895997348,0.393580768895283)); #477704=CARTESIAN_POINT('Ctrl Pts',(4.76739750589021,14.8210233948139,0.424339045384513)); #477705=CARTESIAN_POINT('Ctrl Pts',(4.7756039753604,14.8181406160311,0.45497351209403)); #477706=CARTESIAN_POINT('Ctrl Pts',(4.7840541923011,14.8152452546156,0.485505336526712)); #477707=CARTESIAN_POINT('Ctrl Pts',(4.78722302365386,14.8141594940848,0.496954770688967)); #477708=CARTESIAN_POINT('Ctrl Pts',(4.79042613513759,14.8130719294882,0.508389767356176)); #477709=CARTESIAN_POINT('Ctrl Pts',(4.79365871445855,14.8119823382827,0.519811433033037)); #477710=CARTESIAN_POINT('Ctrl Pts',(4.79648722136439,14.8110289459779,0.529805390500292)); #477711=CARTESIAN_POINT('Ctrl Pts',(4.799338289689,14.8100740010266,0.539789141318117)); #477712=CARTESIAN_POINT('Ctrl Pts',(4.80220869739653,14.8091173377097,0.549763424982997)); #477713=CARTESIAN_POINT('Ctrl Pts',(4.82230155134924,14.8024206944913,0.619583410637154)); #477714=CARTESIAN_POINT('Ctrl Pts',(4.8433420807084,14.7956392859532,0.688939408330646)); #477715=CARTESIAN_POINT('Ctrl Pts',(4.86422535361898,14.7887150815941,0.758085124516979)); #477716=CARTESIAN_POINT('Ctrl Pts',(4.87331739080452,14.7857004620092,0.788189381904142)); #477717=CARTESIAN_POINT('Ctrl Pts',(4.88237960224775,14.7826589748319,0.818253810957743)); #477718=CARTESIAN_POINT('Ctrl Pts',(4.89143447284408,14.7796029682623,0.848296278303046)); #477719=CARTESIAN_POINT('Ctrl Pts',(4.90048934344041,14.7765469616926,0.87833874564835)); #477720=CARTESIAN_POINT('Ctrl Pts',(4.9095368736474,14.7734764277904,0.908359249958308)); #477721=CARTESIAN_POINT('Ctrl Pts',(4.91859956098503,14.7704035752629,0.938375633863121)); #477722=CARTESIAN_POINT('Ctrl Pts',(4.93672493566041,14.7642578702078,0.998408401673091)); #477723=CARTESIAN_POINT('Ctrl Pts',(4.95491094885451,14.7581027994768,1.05842467491989)); #477724=CARTESIAN_POINT('Ctrl Pts',(4.97321387341731,14.7517749868102,1.11870494108279)); #477725=CARTESIAN_POINT('Ctrl Pts',(4.99151679798,14.7454471741436,1.17898520724533)); #477726=CARTESIAN_POINT('Ctrl Pts',(5.00993662771078,14.7389466846647,1.23952947705683)); #477727=CARTESIAN_POINT('Ctrl Pts',(5.02882278889292,14.7322302323625,1.29993335344149)); #477728=CARTESIAN_POINT('Ctrl Pts',(5.04770895007508,14.7255137800603,1.36033722982621)); #477729=CARTESIAN_POINT('Ctrl Pts',(5.06706144130696,14.7185813748358,1.42060071261624)); #477730=CARTESIAN_POINT('Ctrl Pts',(5.08675133457582,14.7115562846361,1.48047267662651)); #477731=CARTESIAN_POINT('Ctrl Pts',(5.10644122784476,14.7045311944364,1.540344640637)); #477732=CARTESIAN_POINT('Ctrl Pts',(5.12646852375402,14.697413414407,1.59982508555586)); #477733=CARTESIAN_POINT('Ctrl Pts',(5.14654153228389,14.6902058275908,1.65934576591001)); #477734=CARTESIAN_POINT('Ctrl Pts',(5.16661454081369,14.6829982407746,1.71886644626393)); #477735=CARTESIAN_POINT('Ctrl Pts',(5.1867332628665,14.6757008395966,1.77842736098793)); #477736=CARTESIAN_POINT('Ctrl Pts',(5.20718810051262,14.6681071236645,1.83827219802214)); #477737=CARTESIAN_POINT('Ctrl Pts',(5.2276429500965,14.6605134033006,1.89811706998272)); #477738=CARTESIAN_POINT('Ctrl Pts',(5.24843390717108,14.6526234411431,1.95824587600193)); #477739=CARTESIAN_POINT('Ctrl Pts',(5.26995093447831,14.6442984600648,2.01838925158109)); #477740=CARTESIAN_POINT('Origin',(5.30450113799029,14.3510371680589,1.96543555047027)); #477741=CARTESIAN_POINT('Ctrl Pts',(6.993565385995,13.9324346675,8.086787357702)); #477742=CARTESIAN_POINT('Ctrl Pts',(6.947103384419,13.94129251497,8.070786593382)); #477743=CARTESIAN_POINT('Ctrl Pts',(6.864638763065,13.93480819958,8.045929284785)); #477744=CARTESIAN_POINT('Ctrl Pts',(6.761734280575,13.87905297449,8.022513850515)); #477745=CARTESIAN_POINT('Ctrl Pts',(6.691972443296,13.80375025635,8.012622420175)); #477746=CARTESIAN_POINT('Ctrl Pts',(6.649292534914,13.7098829684,8.014195302636)); #477747=CARTESIAN_POINT('Ctrl Pts',(6.638549302881,13.60812660832,8.027053857679)); #477748=CARTESIAN_POINT('Ctrl Pts',(6.660963894949,13.51005425413,8.049735416137)); #477749=CARTESIAN_POINT('Ctrl Pts',(6.722341207751,13.41370812358,8.08437485307)); #477750=CARTESIAN_POINT('Ctrl Pts',(6.791080708606,13.36987369606,8.112949427093)); #477751=CARTESIAN_POINT('Ctrl Pts',(6.836217482103,13.35611135663,8.129316444482)); #477752=CARTESIAN_POINT('Ctrl Pts',(7.049036991509,13.90437363554,7.911439066283)); #477753=CARTESIAN_POINT('Ctrl Pts',(7.002581406608,13.9133131231,7.895598855386)); #477754=CARTESIAN_POINT('Ctrl Pts',(6.920104492545,13.90701060777,7.87100748487)); #477755=CARTESIAN_POINT('Ctrl Pts',(6.817095760898,13.85155535457,7.847872083499)); #477756=CARTESIAN_POINT('Ctrl Pts',(6.747176104813,13.77650422751,7.838125806234)); #477757=CARTESIAN_POINT('Ctrl Pts',(6.704275126364,13.68283779762,7.83972863237)); #477758=CARTESIAN_POINT('Ctrl Pts',(6.693264476291,13.5811906028,7.852498816393)); #477759=CARTESIAN_POINT('Ctrl Pts',(6.715393645993,13.48310367015,7.874986224427)); #477760=CARTESIAN_POINT('Ctrl Pts',(6.776462307797,13.38657743645,7.909309102627)); #477761=CARTESIAN_POINT('Ctrl Pts',(6.845042533391,13.34247276998,7.93761506046)); #477762=CARTESIAN_POINT('Ctrl Pts',(6.890126343057,13.32851088713,7.953829285878)); #477763=CARTESIAN_POINT('Ctrl Pts',(7.103646241722,13.87632970416,7.735776088252)); #477764=CARTESIAN_POINT('Ctrl Pts',(7.057198450425,13.88534983434,7.720092824602)); #477765=CARTESIAN_POINT('Ctrl Pts',(6.974711921785,13.87922677331,7.69576104375)); #477766=CARTESIAN_POINT('Ctrl Pts',(6.871603343972,13.82406769073,7.672898393981)); #477767=CARTESIAN_POINT('Ctrl Pts',(6.801529904445,13.74926518489,7.663293038827)); #477768=CARTESIAN_POINT('Ctrl Pts',(6.758411781746,13.65579766725,7.66492423247)); #477769=CARTESIAN_POINT('Ctrl Pts',(6.747137083708,13.55425933553,7.677607316017)); #477770=CARTESIAN_POINT('Ctrl Pts',(6.76898313958,13.4561595692,7.699904456538)); #477771=CARTESIAN_POINT('Ctrl Pts',(6.829744020754,13.35945772314,7.733917574666)); #477772=CARTESIAN_POINT('Ctrl Pts',(6.898163597577,13.31508790344,7.761960850897)); #477773=CARTESIAN_POINT('Ctrl Pts',(6.943192804114,13.30092983338,7.778025637101)); #477774=CARTESIAN_POINT('Ctrl Pts',(7.157401080486,13.84832999906,7.559907619854)); #477775=CARTESIAN_POINT('Ctrl Pts',(7.110962264612,13.85742977895,7.544377943329)); #477776=CARTESIAN_POINT('Ctrl Pts',(7.028468460959,13.85148384049,7.520299842055)); #477777=CARTESIAN_POINT('Ctrl Pts',(6.925264040481,13.79661713412,7.497703170745)); #477778=CARTESIAN_POINT('Ctrl Pts',(6.855040606169,13.72206026204,7.488234803481)); #477779=CARTESIAN_POINT('Ctrl Pts',(6.811709153454,13.62878965783,7.489892898181)); #477780=CARTESIAN_POINT('Ctrl Pts',(6.800173825065,13.52735979326,7.502490058738)); #477781=CARTESIAN_POINT('Ctrl Pts',(6.821739289482,13.42924880764,7.524600534166)); #477782=CARTESIAN_POINT('Ctrl Pts',(6.882193686671,13.33237566435,7.558310200157)); #477783=CARTESIAN_POINT('Ctrl Pts',(6.950451669898,13.28774565626,7.586096300939)); #477784=CARTESIAN_POINT('Ctrl Pts',(6.995424907428,13.2733947019,7.602014758647)); #477785=CARTESIAN_POINT('',(7.09834537385649,13.8604209905663,7.59081425560375)); #477786=CARTESIAN_POINT('Origin',(7.07890436869777,13.5638927700604,7.63197874980632)); #477787=CARTESIAN_POINT('',(7.08336046928476,13.8679246373565,7.63779144572473)); #477788=CARTESIAN_POINT('Ctrl Pts',(7.08336148281103,13.8679234950237,7.63778422341131)); #477789=CARTESIAN_POINT('Ctrl Pts',(7.08396552569829,13.8676114176504,7.63582159502274)); #477790=CARTESIAN_POINT('Ctrl Pts',(7.08457738968882,13.86729914335,7.63386110073207)); #477791=CARTESIAN_POINT('Ctrl Pts',(7.08519509270942,13.8669867200975,7.63190220040738)); #477792=CARTESIAN_POINT('Ctrl Pts',(7.08735705328154,13.8658932387136,7.62504604927095)); #477793=CARTESIAN_POINT('Ctrl Pts',(7.08959054071085,13.8647979082048,7.61820942771951)); #477794=CARTESIAN_POINT('Ctrl Pts',(7.09181057675515,13.8637028484123,7.61136916854825)); #477795=CARTESIAN_POINT('Ctrl Pts',(7.09292059477731,13.8631553185161,7.60794903896262)); #477796=CARTESIAN_POINT('Ctrl Pts',(7.09402725026507,13.8626078623564,7.60452799910472)); #477797=CARTESIAN_POINT('Ctrl Pts',(7.0951199230363,13.862060782816,7.6011031476928)); #477798=CARTESIAN_POINT('Ctrl Pts',(7.09566625942192,13.8617872430459,7.59939072198684)); #477799=CARTESIAN_POINT('Ctrl Pts',(7.09620910015118,13.861513797816,7.59767734333811)); #477800=CARTESIAN_POINT('Ctrl Pts',(7.09674711777399,13.8612404861571,7.59596264892263)); #477801=CARTESIAN_POINT('Ctrl Pts',(7.09728513539681,13.8609671744982,7.59424795450714)); #477802=CARTESIAN_POINT('Ctrl Pts',(7.09781832991851,13.8606939965003,7.59253194431225)); #477803=CARTESIAN_POINT('Ctrl Pts',(7.09834537385634,13.8604209905723,7.59081425560275)); #477804=CARTESIAN_POINT('',(6.67791451497574,13.5109954734409,8.00424550443346)); #477805=CARTESIAN_POINT('Ctrl Pts',(7.08335995377015,13.8679251077455,7.63779509095205)); #477806=CARTESIAN_POINT('Ctrl Pts',(7.07173630248806,13.868425176206,7.63608672319761)); #477807=CARTESIAN_POINT('Ctrl Pts',(7.06005489357048,13.8682709522033,7.6346032274099)); #477808=CARTESIAN_POINT('Ctrl Pts',(7.03476981851428,13.8665736263279,7.63198759621781)); #477809=CARTESIAN_POINT('Ctrl Pts',(7.02118173387284,13.8648043197448,7.6309563868635)); #477810=CARTESIAN_POINT('Ctrl Pts',(6.97807234453812,13.8565502797096,7.62914041932056)); #477811=CARTESIAN_POINT('Ctrl Pts',(6.94948759162899,13.8470167523666,7.63018021290493)); #477812=CARTESIAN_POINT('Ctrl Pts',(6.8870093632183,13.8181211606652,7.63942023207631)); #477813=CARTESIAN_POINT('Ctrl Pts',(6.85544933035742,13.7972154490652,7.64960088831331)); #477814=CARTESIAN_POINT('Ctrl Pts',(6.79837661446016,13.7493942763222,7.68135151625824)); #477815=CARTESIAN_POINT('Ctrl Pts',(6.77438614877337,13.7230857876723,7.70298158267121)); #477816=CARTESIAN_POINT('Ctrl Pts',(6.72857619726401,13.6622248831904,7.76322566809657)); #477817=CARTESIAN_POINT('Ctrl Pts',(6.71063406192277,13.6283717440112,7.80464700525923)); #477818=CARTESIAN_POINT('Ctrl Pts',(6.68568039186035,13.5651877389625,7.8975478917325)); #477819=CARTESIAN_POINT('Ctrl Pts',(6.67947065667431,13.535810302937,7.95028122299853)); #477820=CARTESIAN_POINT('Ctrl Pts',(6.67791451579695,13.5109954728029,8.00424550416375)); #477821=CARTESIAN_POINT('Ctrl Pts',(6.67791451529389,13.5109954735869,8.004245504761)); #477822=CARTESIAN_POINT('Ctrl Pts',(6.6931203817081,13.4778607060501,8.004245504761)); #477823=CARTESIAN_POINT('Ctrl Pts',(6.71413527904006,13.4474375032779,8.00424550475747)); #477824=CARTESIAN_POINT('Ctrl Pts',(6.76503047729388,13.3947913030028,8.00424550475747)); #477825=CARTESIAN_POINT('Ctrl Pts',(6.79520678454535,13.3725588124887,8.004245504761)); #477826=CARTESIAN_POINT('Ctrl Pts',(6.82801786549429,13.3558293571259,8.004245504761)); #477827=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #477828=CARTESIAN_POINT('Ctrl Pts',(5.87414051955844,13.8019756292585,8.004245504761)); #477829=CARTESIAN_POINT('Ctrl Pts',(6.0008486510412,13.7480404651052,8.004245504761)); #477830=CARTESIAN_POINT('Ctrl Pts',(6.13059250169917,13.6988573651125,8.00424550477945)); #477831=CARTESIAN_POINT('Ctrl Pts',(6.39985414770648,13.6030811810404,8.00424550477945)); #477832=CARTESIAN_POINT('Ctrl Pts',(6.57211123389307,13.5460752201175,8.004245504761)); #477833=CARTESIAN_POINT('Ctrl Pts',(6.67791451478273,13.5109954728588,8.004245504761)); #477834=CARTESIAN_POINT('Ctrl Pts',(5.449038296722,14.32300425367,6.931967501193)); #477835=CARTESIAN_POINT('Ctrl Pts',(5.423543491611,14.29395542836,6.952537129559)); #477836=CARTESIAN_POINT('Ctrl Pts',(5.380121522632,14.2506957506,6.990551423999)); #477837=CARTESIAN_POINT('Ctrl Pts',(5.317652982869,14.20205305311,7.051758847307)); #477838=CARTESIAN_POINT('Ctrl Pts',(5.264299991263,14.16915908482,7.108183429106)); #477839=CARTESIAN_POINT('Ctrl Pts',(5.210909378713,14.1447775444,7.168741326709)); #477840=CARTESIAN_POINT('Ctrl Pts',(5.158153360574,14.12921538002,7.232670101101)); #477841=CARTESIAN_POINT('Ctrl Pts',(5.106696162387,14.12266849941,7.299164875604)); #477842=CARTESIAN_POINT('Ctrl Pts',(5.047925139839,14.12569642527,7.380149117017)); #477843=CARTESIAN_POINT('Ctrl Pts',(5.008467311912,14.13778477423,7.439343076072)); #477844=CARTESIAN_POINT('Ctrl Pts',(4.98583451692,14.14874276569,7.475226419439)); #477845=CARTESIAN_POINT('Ctrl Pts',(5.526096929158,14.31101907039,6.973090558935)); #477846=CARTESIAN_POINT('Ctrl Pts',(5.500296053032,14.28041031193,6.993627032545)); #477847=CARTESIAN_POINT('Ctrl Pts',(5.456260735454,14.23471260382,7.031938846719)); #477848=CARTESIAN_POINT('Ctrl Pts',(5.392791913706,14.18312048302,7.094273497127)); #477849=CARTESIAN_POINT('Ctrl Pts',(5.338545961927,14.14809115165,7.152070156992)); #477850=CARTESIAN_POINT('Ctrl Pts',(5.284260511278,14.12197421666,7.214365955806)); #477851=CARTESIAN_POINT('Ctrl Pts',(5.230655952386,14.10511547159,7.280334643554)); #477852=CARTESIAN_POINT('Ctrl Pts',(5.178443662133,14.09773801944,7.349101373534)); #477853=CARTESIAN_POINT('Ctrl Pts',(5.118940741069,14.10035107917,7.432969312669)); #477854=CARTESIAN_POINT('Ctrl Pts',(5.079153861954,14.1126699203,7.494324081796)); #477855=CARTESIAN_POINT('Ctrl Pts',(5.056417835924,14.12395875609,7.531506444829)); #477856=CARTESIAN_POINT('Ctrl Pts',(5.636502544617,14.29278358482,7.030676972623)); #477857=CARTESIAN_POINT('Ctrl Pts',(5.610273674983,14.25998332733,7.051039375165)); #477858=CARTESIAN_POINT('Ctrl Pts',(5.565373733518,14.21084331927,7.089582299419)); #477859=CARTESIAN_POINT('Ctrl Pts',(5.500488804493,14.15506357907,7.153292320572)); #477860=CARTESIAN_POINT('Ctrl Pts',(5.444978253566,14.11699125525,7.212873670249)); #477861=CARTESIAN_POINT('Ctrl Pts',(5.389428201906,14.08839363068,7.277497757846)); #477862=CARTESIAN_POINT('Ctrl Pts',(5.334630291446,14.06967624884,7.346244441942)); #477863=CARTESIAN_POINT('Ctrl Pts',(5.281365501181,14.06110426098,7.418135227336)); #477864=CARTESIAN_POINT('Ctrl Pts',(5.220859112439,14.06311564064,7.505990429219)); #477865=CARTESIAN_POINT('Ctrl Pts',(5.180644147413,14.07575861633,7.57034259688)); #477866=CARTESIAN_POINT('Ctrl Pts',(5.157791132149,14.08751718955,7.609324983385)); #477867=CARTESIAN_POINT('Ctrl Pts',(5.781566221792,14.27257802435,7.099609998324)); #477868=CARTESIAN_POINT('Ctrl Pts',(5.754783042926,14.23645122859,7.119371340457)); #477869=CARTESIAN_POINT('Ctrl Pts',(5.708707429796,14.18201786012,7.157769080181)); #477870=CARTESIAN_POINT('Ctrl Pts',(5.64183187978,14.1196917251,7.223017059491)); #477871=CARTESIAN_POINT('Ctrl Pts',(5.5845221023,14.07680183897,7.284935100005)); #477872=CARTESIAN_POINT('Ctrl Pts',(5.52716687818,14.04422333294,7.352805569035)); #477873=CARTESIAN_POINT('Ctrl Pts',(5.47067375165,14.02247085192,7.425554807613)); #477874=CARTESIAN_POINT('Ctrl Pts',(5.41593664928,14.01188789461,7.502032137124)); #477875=CARTESIAN_POINT('Ctrl Pts',(5.354074105458,14.01278236673,7.595803563983)); #477876=CARTESIAN_POINT('Ctrl Pts',(5.313351585673,14.02580561079,7.664630537615)); #477877=CARTESIAN_POINT('Ctrl Pts',(5.290417736513,14.03822244274,7.706294736909)); #477878=CARTESIAN_POINT('Ctrl Pts',(5.914938605041,14.25874315476,7.157434382738)); #477879=CARTESIAN_POINT('Ctrl Pts',(5.887651248687,14.21908127041,7.176340830631)); #477880=CARTESIAN_POINT('Ctrl Pts',(5.840452110948,14.15897167181,7.214265075578)); #477881=CARTESIAN_POINT('Ctrl Pts',(5.77161227436,14.08954382095,7.280795040627)); #477882=CARTESIAN_POINT('Ctrl Pts',(5.71250589169,14.04138075749,7.344959858934)); #477883=CARTESIAN_POINT('Ctrl Pts',(5.6533401129,14.00440251524,7.416100862132)); #477884=CARTESIAN_POINT('Ctrl Pts',(5.59515010421,13.9792533729,7.49297429673)); #477885=CARTESIAN_POINT('Ctrl Pts',(5.53895402248,13.96637116563,7.574236657673)); #477886=CARTESIAN_POINT('Ctrl Pts',(5.475781149957,13.96590650443,7.67422288471)); #477887=CARTESIAN_POINT('Ctrl Pts',(5.434621209728,13.97923397234,7.747768983415)); #477888=CARTESIAN_POINT('Ctrl Pts',(5.411667335618,13.9922992312,7.792257764463)); #477889=CARTESIAN_POINT('Ctrl Pts',(6.084310615578,14.24549857404,7.225247842603)); #477890=CARTESIAN_POINT('Ctrl Pts',(6.056428950795,14.20093679197,7.242414580987)); #477891=CARTESIAN_POINT('Ctrl Pts',(6.007809591871,14.13283886689,7.278873643149)); #477892=CARTESIAN_POINT('Ctrl Pts',(5.93638626112,14.05323739986,7.346313077267)); #477893=CARTESIAN_POINT('Ctrl Pts',(5.87488685919,13.99742569556,7.413030290065)); #477894=CARTESIAN_POINT('Ctrl Pts',(5.81330377172,13.95398920213,7.488301316377)); #477895=CARTESIAN_POINT('Ctrl Pts',(5.75286437155,13.92378711778,7.57062684026)); #477896=CARTESIAN_POINT('Ctrl Pts',(5.69477344018,13.90741595964,7.658368524019)); #477897=CARTESIAN_POINT('Ctrl Pts',(5.629979849332,13.90478309737,7.766879383644)); #477898=CARTESIAN_POINT('Ctrl Pts',(5.588405449471,13.91840762234,7.846945728936)); #477899=CARTESIAN_POINT('Ctrl Pts',(5.565563452597,13.93232620509,7.895327843594)); #477900=CARTESIAN_POINT('Ctrl Pts',(6.358232117951,14.22986630507,7.327321095023)); #477901=CARTESIAN_POINT('Ctrl Pts',(6.329501767794,14.17700935386,7.340103735728)); #477902=CARTESIAN_POINT('Ctrl Pts',(6.278637426007,14.09503082722,7.371994528145)); #477903=CARTESIAN_POINT('Ctrl Pts',(6.20292708111,13.99722665979,7.438812027467)); #477904=CARTESIAN_POINT('Ctrl Pts',(6.13740653312,13.92746026863,7.508529974767)); #477905=CARTESIAN_POINT('Ctrl Pts',(6.07176788574,13.87203122828,7.589951813207)); #477906=CARTESIAN_POINT('Ctrl Pts',(6.0076136577,13.83227392511,7.68109174418)); #477907=CARTESIAN_POINT('Ctrl Pts',(5.94651066299,13.8091433034,7.779731296709)); #477908=CARTESIAN_POINT('Ctrl Pts',(5.879373554092,13.80207921898,7.902876560502)); #477909=CARTESIAN_POINT('Ctrl Pts',(5.837570933306,13.81593058085,7.994263446066)); #477910=CARTESIAN_POINT('Ctrl Pts',(5.815285388099,13.83123001718,8.049380390282)); #477911=CARTESIAN_POINT('Ctrl Pts',(6.701783694287,14.21434666603,7.449129556666)); #477912=CARTESIAN_POINT('Ctrl Pts',(6.672214223877,14.15136705747,7.453202016745)); #477913=CARTESIAN_POINT('Ctrl Pts',(6.618686659697,14.05154463021,7.474656887604)); #477914=CARTESIAN_POINT('Ctrl Pts',(6.5375322782,13.92903944319,7.535802928842)); #477915=CARTESIAN_POINT('Ctrl Pts',(6.4668380236,13.8396905881,7.606311558693)); #477916=CARTESIAN_POINT('Ctrl Pts',(6.39602819472,13.76694795341,7.69359412893)); #477917=CARTESIAN_POINT('Ctrl Pts',(6.32729485257,13.71301755282,7.794941677704)); #477918=CARTESIAN_POINT('Ctrl Pts',(6.26276784855,13.67952879574,7.907223663364)); #477919=CARTESIAN_POINT('Ctrl Pts',(6.193542721026,13.66523436108,8.049382232575)); #477920=CARTESIAN_POINT('Ctrl Pts',(6.152531192817,13.67878386,8.155769421578)); #477921=CARTESIAN_POINT('Ctrl Pts',(6.131790395231,13.69569900895,8.219753907537)); #477922=CARTESIAN_POINT('Ctrl Pts',(7.115578593549,14.19299502237,7.593233583004)); #477923=CARTESIAN_POINT('Ctrl Pts',(7.085077175117,14.12030282168,7.581519403421)); #477924=CARTESIAN_POINT('Ctrl Pts',(7.028169307536,14.00101845211,7.582150856823)); #477925=CARTESIAN_POINT('Ctrl Pts',(6.93994599622,13.84822323447,7.627261625661)); #477926=CARTESIAN_POINT('Ctrl Pts',(6.86262448461,13.73323461725,7.692790271176)); #477927=CARTESIAN_POINT('Ctrl Pts',(6.78545515649,13.63661344359,7.78313353545)); #477928=CARTESIAN_POINT('Ctrl Pts',(6.71155951346,13.56220785937,7.894613934546)); #477929=CARTESIAN_POINT('Ctrl Pts',(6.64393087131,13.51297156487,8.022720858754)); #477930=CARTESIAN_POINT('Ctrl Pts',(6.57435218769,13.48671166387,8.188394809998)); #477931=CARTESIAN_POINT('Ctrl Pts',(6.536779650691,13.49840840873,8.313935194638)); #477932=CARTESIAN_POINT('Ctrl Pts',(6.519738577302,13.51673909574,8.38912863232)); #477933=CARTESIAN_POINT('Ctrl Pts',(7.460964950025,14.1680776137,7.713463989141)); #477934=CARTESIAN_POINT('Ctrl Pts',(7.429260605653,14.09124187784,7.684012736486)); #477935=CARTESIAN_POINT('Ctrl Pts',(7.368605130656,13.95991451009,7.659596262619)); #477936=CARTESIAN_POINT('Ctrl Pts',(7.2732078551,13.78340435531,7.682162346314)); #477937=CARTESIAN_POINT('Ctrl Pts',(7.18955447455,13.64609957976,7.73737172099)); #477938=CARTESIAN_POINT('Ctrl Pts',(7.10681733132,13.52706527944,7.825962482401)); #477939=CARTESIAN_POINT('Ctrl Pts',(7.02913101137,13.43220734882,7.943476169915)); #477940=CARTESIAN_POINT('Ctrl Pts',(6.96038400228,13.36622117548,8.084028184573)); #477941=CARTESIAN_POINT('Ctrl Pts',(6.893485082116,13.32602986305,8.269885513282)); #477942=CARTESIAN_POINT('Ctrl Pts',(6.862022474577,13.3340598287,8.412527133832)); #477943=CARTESIAN_POINT('Ctrl Pts',(6.850354701118,13.35266566338,8.497607685008)); #477944=CARTESIAN_POINT('Ctrl Pts',(7.740593938441,14.13476476342,7.807799123264)); #477945=CARTESIAN_POINT('Ctrl Pts',(7.706960476775,14.05862354953,7.762177863304)); #477946=CARTESIAN_POINT('Ctrl Pts',(7.641640050104,13.92269076817,7.713713144721)); #477947=CARTESIAN_POINT('Ctrl Pts',(7.53858922124,13.73072878539,7.71263766309)); #477948=CARTESIAN_POINT('Ctrl Pts',(7.44878055056,13.57648896251,7.75541788726)); #477949=CARTESIAN_POINT('Ctrl Pts',(7.36125496563,13.4387857702,7.839356621065)); #477950=CARTESIAN_POINT('Ctrl Pts',(7.28113054937,13.32565383826,7.959528862575)); #477951=CARTESIAN_POINT('Ctrl Pts',(7.21309626254,13.24368826294,8.10889937883)); #477952=CARTESIAN_POINT('Ctrl Pts',(7.15142040801,13.1890534822,8.310500985032)); #477953=CARTESIAN_POINT('Ctrl Pts',(7.127975916337,13.19197395391,8.46700836527)); #477954=CARTESIAN_POINT('Ctrl Pts',(7.122683050022,13.2097056815,8.560013250669)); #477955=CARTESIAN_POINT('Ctrl Pts',(7.948489139983,14.10136304814,7.874202572912)); #477956=CARTESIAN_POINT('Ctrl Pts',(7.912667236113,14.02811163627,7.816111888166)); #477957=CARTESIAN_POINT('Ctrl Pts',(7.842628830976,13.89196574336,7.748444946716)); #477958=CARTESIAN_POINT('Ctrl Pts',(7.73252169183,13.69116997831,7.727497028871)); #477959=CARTESIAN_POINT('Ctrl Pts',(7.63739354453,13.52541432766,7.759121542625)); #477960=CARTESIAN_POINT('Ctrl Pts',(7.54610760962,13.37388577636,7.837938065794)); #477961=CARTESIAN_POINT('Ctrl Pts',(7.46459914687,13.24642087051,7.958802317301)); #477962=CARTESIAN_POINT('Ctrl Pts',(7.39817262091,13.15128686885,8.113844501824)); #477963=CARTESIAN_POINT('Ctrl Pts',(7.342364051797,13.08405415523,8.326488696853)); #477964=CARTESIAN_POINT('Ctrl Pts',(7.326809303844,13.08178897588,8.493026807961)); #477965=CARTESIAN_POINT('Ctrl Pts',(7.327486309299,13.09811942947,8.591712778919)); #477966=CARTESIAN_POINT('Ctrl Pts',(8.152284394798,14.05735756629,7.932138743915)); #477967=CARTESIAN_POINT('Ctrl Pts',(8.113301627502,13.98944726378,7.86212533032)); #477968=CARTESIAN_POINT('Ctrl Pts',(8.036986886145,13.8567436867,7.775384669185)); #477969=CARTESIAN_POINT('Ctrl Pts',(7.91820335395,13.65073070963,7.733662647383)); #477970=CARTESIAN_POINT('Ctrl Pts',(7.81692476131,13.47541925782,7.752937877052)); #477971=CARTESIAN_POINT('Ctrl Pts',(7.72170942376,13.31094762208,7.825239500799)); #477972=CARTESIAN_POINT('Ctrl Pts',(7.63936979431,13.16907206956,7.945373343126)); #477973=CARTESIAN_POINT('Ctrl Pts',(7.57580317288,13.05992546089,8.104729789839)); #477974=CARTESIAN_POINT('Ctrl Pts',(7.528045660253,12.97845958765,8.32691145374)); #477975=CARTESIAN_POINT('Ctrl Pts',(7.522377439774,12.96950979125,8.502462647839)); #477976=CARTESIAN_POINT('Ctrl Pts',(7.530229452049,12.98353985314,8.606196263887)); #477977=CARTESIAN_POINT('Ctrl Pts',(8.353519745325,13.99904582073,7.976214657802)); #477978=CARTESIAN_POINT('Ctrl Pts',(8.310279862686,13.93860232662,7.895856350723)); #477979=CARTESIAN_POINT('Ctrl Pts',(8.225955143162,13.81288689694,7.791826459357)); #477980=CARTESIAN_POINT('Ctrl Pts',(8.09672777971,13.60576806595,7.730222167733)); #477981=CARTESIAN_POINT('Ctrl Pts',(7.9884057439,13.42354645812,7.737010247799)); #477982=CARTESIAN_POINT('Ctrl Pts',(7.88907507669,13.24780972021,7.801949336978)); #477983=CARTESIAN_POINT('Ctrl Pts',(7.80645451685,13.09220895752,7.919974273117)); #477984=CARTESIAN_POINT('Ctrl Pts',(7.74697060568,12.96882285492,8.081898352039)); #477985=CARTESIAN_POINT('Ctrl Pts',(7.709328055365,12.871959643,8.311273722464)); #477986=CARTESIAN_POINT('Ctrl Pts',(7.715333163235,12.85488946303,8.494034293364)); #477987=CARTESIAN_POINT('Ctrl Pts',(7.731372483253,12.86566313558,8.601740891266)); #477988=CARTESIAN_POINT('Ctrl Pts',(8.519961092887,13.93479646625,7.994061026442)); #477989=CARTESIAN_POINT('Ctrl Pts',(8.472603153068,13.88128869387,7.907492049166)); #477990=CARTESIAN_POINT('Ctrl Pts',(8.380758569898,13.76323691914,7.792514629117)); #477991=CARTESIAN_POINT('Ctrl Pts',(8.24205060785,13.55836444317,7.717512293262)); #477992=CARTESIAN_POINT('Ctrl Pts',(8.1275121263,13.37308439252,7.715381608952)); #477993=CARTESIAN_POINT('Ctrl Pts',(8.02471657235,13.19037072511,7.774493103501)); #477994=CARTESIAN_POINT('Ctrl Pts',(7.9420935894,13.02520744055,7.889994112384)); #477995=CARTESIAN_POINT('Ctrl Pts',(7.8864203753,12.89113617832,8.052407038267)); #477996=CARTESIAN_POINT('Ctrl Pts',(7.857749353858,12.78194102012,8.285072346786)); #477997=CARTESIAN_POINT('Ctrl Pts',(7.873758985268,12.75765540779,8.471539777182)); #477998=CARTESIAN_POINT('Ctrl Pts',(7.896648764302,12.76520496742,8.58122852239)); #477999=CARTESIAN_POINT('Ctrl Pts',(8.650106731038,13.87231192872,7.991231482975)); #478000=CARTESIAN_POINT('Ctrl Pts',(8.600044190076,13.82314086356,7.901749710369)); #478001=CARTESIAN_POINT('Ctrl Pts',(8.503262369502,13.7102652001,7.781439347104)); #478002=CARTESIAN_POINT('Ctrl Pts',(8.358274888809,13.50788488232,7.699627179957)); #478003=CARTESIAN_POINT('Ctrl Pts',(8.239544246829,13.32181423543,7.692789184961)); #478004=CARTESIAN_POINT('Ctrl Pts',(8.13427007163,13.13590187446,7.74863140782)); #478005=CARTESIAN_POINT('Ctrl Pts',(8.051323446171,12.96581427234,7.862448009568)); #478006=CARTESIAN_POINT('Ctrl Pts',(7.997693838991,12.82588350758,8.02464647715)); #478007=CARTESIAN_POINT('Ctrl Pts',(7.974199410556,12.70957295911,8.258392240436)); #478008=CARTESIAN_POINT('Ctrl Pts',(7.996116865468,12.68080765527,8.446300686482)); #478009=CARTESIAN_POINT('Ctrl Pts',(8.023062911818,12.68621589783,8.556730523505)); #478010=CARTESIAN_POINT('Ctrl Pts',(8.713894458801,13.83680687335,7.983251468914)); #478011=CARTESIAN_POINT('Ctrl Pts',(8.66295734877,13.78895666572,7.893067369896)); #478012=CARTESIAN_POINT('Ctrl Pts',(8.564544648723,13.67777022348,7.771440313657)); #478013=CARTESIAN_POINT('Ctrl Pts',(8.417384162479,13.47648664207,7.687903115699)); #478014=CARTESIAN_POINT('Ctrl Pts',(8.297112694311,13.29053584215,7.679846106448)); #478015=CARTESIAN_POINT('Ctrl Pts',(8.190788891329,13.10403707955,7.734812459213)); #478016=CARTESIAN_POINT('Ctrl Pts',(8.10743477115,12.93281536829,7.848138951107)); #478017=CARTESIAN_POINT('Ctrl Pts',(8.054122732415,12.79139902631,8.010208860475)); #478018=CARTESIAN_POINT('Ctrl Pts',(8.031870507818,12.67315560629,8.244132327803)); #478019=CARTESIAN_POINT('Ctrl Pts',(8.055392501182,12.64305910716,8.432333352608)); #478020=CARTESIAN_POINT('Ctrl Pts',(8.083483059886,12.64778075046,8.542907473744)); #478021=CARTESIAN_POINT('Ctrl Pts',(8.744351897983,13.8182357785,7.977407075361)); #478022=CARTESIAN_POINT('Ctrl Pts',(8.693193028848,13.77067546807,7.887119695123)); #478023=CARTESIAN_POINT('Ctrl Pts',(8.594342189375,13.65991109462,7.765297262356)); #478024=CARTESIAN_POINT('Ctrl Pts',(8.446532261235,13.45902846576,7.68150112637)); #478025=CARTESIAN_POINT('Ctrl Pts',(8.325744623322,13.27327933777,7.673259051349)); #478026=CARTESIAN_POINT('Ctrl Pts',(8.218990330891,13.08684528875,7.728089876925)); #478027=CARTESIAN_POINT('Ctrl Pts',(8.135337454619,12.9155622705,7.841337008159)); #478028=CARTESIAN_POINT('Ctrl Pts',(8.081891216747,12.77397890105,8.003380424035)); #478029=CARTESIAN_POINT('Ctrl Pts',(8.05969424292,12.65544335348,8.237320853808)); #478030=CARTESIAN_POINT('Ctrl Pts',(8.083451096775,12.62508279611,8.425558256469)); #478031=CARTESIAN_POINT('Ctrl Pts',(8.111744281567,12.6296420744,8.536149554926)); #478032=CARTESIAN_POINT('',(8.63244673341508,13.7683305423749,7.87585366476342)); #478033=CARTESIAN_POINT('Ctrl Pts',(8.63244670901185,13.7683307040347,7.87585379785669)); #478034=CARTESIAN_POINT('Ctrl Pts',(8.55705964923923,13.7736199901576,7.85560669763193)); #478035=CARTESIAN_POINT('Ctrl Pts',(8.47550829946385,13.7800111605469,7.84044286619998)); #478036=CARTESIAN_POINT('Ctrl Pts',(8.29324709827422,13.7943093688459,7.81099824291638)); #478037=CARTESIAN_POINT('Ctrl Pts',(8.17183357474442,13.8040059419664,7.79538814752807)); #478038=CARTESIAN_POINT('Ctrl Pts',(7.61843473365365,13.8432569579804,7.72018732590816)); #478039=CARTESIAN_POINT('Ctrl Pts',(7.35809455800643,13.8557916367946,7.67828354527057)); #478040=CARTESIAN_POINT('Ctrl Pts',(7.08335997178246,13.8679253092781,7.6377950228858)); #478041=CARTESIAN_POINT('',(8.64580638330036,13.7830403890592,7.8937110880361)); #478042=CARTESIAN_POINT('Origin',(8.48368676130577,13.3917078307073,8.28116643601061)); #478043=CARTESIAN_POINT('',(5.460670579708,14.28572837224,6.976158920287)); #478044=CARTESIAN_POINT('Ctrl Pts',(8.64580638386069,13.7830403904792,7.89371108650483)); #478045=CARTESIAN_POINT('Ctrl Pts',(8.62926805964255,13.7922216598766,7.89617334306277)); #478046=CARTESIAN_POINT('Ctrl Pts',(8.61282378477485,13.8008870943896,7.89815984735365)); #478047=CARTESIAN_POINT('Ctrl Pts',(8.59643284908066,13.8091508693384,7.8997427309852)); #478048=CARTESIAN_POINT('Ctrl Pts',(8.57034375147814,13.8223041410751,7.90226217260387)); #478049=CARTESIAN_POINT('Ctrl Pts',(8.54439023418837,13.8344417862403,7.9037598228921)); #478050=CARTESIAN_POINT('Ctrl Pts',(8.51849774257998,13.8458010366835,7.90441462267669)); #478051=CARTESIAN_POINT('Ctrl Pts',(8.51185299596756,13.848716142037,7.9045826628451)); #478052=CARTESIAN_POINT('Ctrl Pts',(8.5052122567846,13.8515800613216,7.90469547015039)); #478053=CARTESIAN_POINT('Ctrl Pts',(8.49857425693004,13.8543969347377,7.9047564766)); #478054=CARTESIAN_POINT('Ctrl Pts',(8.46356848751796,13.8692518343196,7.90507819665946)); #478055=CARTESIAN_POINT('Ctrl Pts',(8.42863951503253,13.8827979366695,7.90395457467725)); #478056=CARTESIAN_POINT('Ctrl Pts',(8.39368813439794,13.8952764049988,7.90164120137606)); #478057=CARTESIAN_POINT('Ctrl Pts',(8.36373211623399,13.9059714109511,7.89965846274392)); #478058=CARTESIAN_POINT('Ctrl Pts',(8.33375936628518,13.9158829155423,7.89680527207689)); #478059=CARTESIAN_POINT('Ctrl Pts',(8.3037086726765,13.9251685407224,7.89325300835722)); #478060=CARTESIAN_POINT('Ctrl Pts',(8.26187460436416,13.9380952133365,7.88830784319573)); #478061=CARTESIAN_POINT('Ctrl Pts',(8.21988779159468,13.949801530784,7.88200302371335)); #478062=CARTESIAN_POINT('Ctrl Pts',(8.17768037403134,13.9605412391981,7.87467664722122)); #478063=CARTESIAN_POINT('Ctrl Pts',(8.15419415559266,13.9665173247381,7.87059990221781)); #478064=CARTESIAN_POINT('Ctrl Pts',(8.13063977577517,13.9721941487067,7.86620622832386)); #478065=CARTESIAN_POINT('Ctrl Pts',(8.10700680458807,13.9776150470841,7.86154641588861)); #478066=CARTESIAN_POINT('Ctrl Pts',(8.06489975080567,13.9872735050799,7.85324399080318)); #478067=CARTESIAN_POINT('Ctrl Pts',(8.02254275624087,13.996119665978,7.84409887406047)); #478068=CARTESIAN_POINT('Ctrl Pts',(7.97987230178633,14.0043245653929,7.83431252429183)); #478069=CARTESIAN_POINT('Ctrl Pts',(7.95555414988992,14.0090005875449,7.82873522395071)); #478070=CARTESIAN_POINT('Ctrl Pts',(7.93113431299339,14.0134682364884,7.82294875304516)); #478071=CARTESIAN_POINT('Ctrl Pts',(7.90660198264673,14.017758243495,7.81698284940534)); #478072=CARTESIAN_POINT('Ctrl Pts',(7.85658072486023,14.0265055391391,7.80481841171518)); #478073=CARTESIAN_POINT('Ctrl Pts',(7.80609223959543,14.0345175350294,7.79191194752408)); #478074=CARTESIAN_POINT('Ctrl Pts',(7.75530334312795,14.0419307239972,7.77849504522339)); #478075=CARTESIAN_POINT('Ctrl Pts',(7.73747656320792,14.0445327354097,7.77378574494009)); #478076=CARTESIAN_POINT('Ctrl Pts',(7.71961283523555,14.0470609485042,7.76901309264715)); #478077=CARTESIAN_POINT('Ctrl Pts',(7.70171956543208,14.0495211739204,7.76418559373999)); #478078=CARTESIAN_POINT('Ctrl Pts',(7.64478658453538,14.0573491432161,7.74882541049352)); #478079=CARTESIAN_POINT('Ctrl Pts',(7.58755445134224,14.0644912851393,7.73291451708693)); #478080=CARTESIAN_POINT('Ctrl Pts',(7.5303804069789,14.0710722185496,7.71670503655153)); #478081=CARTESIAN_POINT('Ctrl Pts',(7.51839124039309,14.0724522137524,7.71330597383745)); #478082=CARTESIAN_POINT('Ctrl Pts',(7.50640465083434,14.0738075326271,7.70989359974286)); #478083=CARTESIAN_POINT('Ctrl Pts',(7.49442396693665,14.0751393259504,7.70646995352019)); #478084=CARTESIAN_POINT('Ctrl Pts',(7.47526508561651,14.0772690599823,7.70099503804602)); #478085=CARTESIAN_POINT('Ctrl Pts',(7.45612083475411,14.0793376886145,7.69549106226053)); #478086=CARTESIAN_POINT('Ctrl Pts',(7.43698904488163,14.0813522184753,7.68996139503176)); #478087=CARTESIAN_POINT('Ctrl Pts',(7.38364043367116,14.0869696944685,7.67454202919734)); #478088=CARTESIAN_POINT('Ctrl Pts',(7.33038845318402,14.0921671902137,7.65892667157889)); #478089=CARTESIAN_POINT('Ctrl Pts',(7.27718861434454,14.0970908262932,7.64315642283899)); #478090=CARTESIAN_POINT('Ctrl Pts',(7.27327668786841,14.0974528744264,7.64199679425878)); #478091=CARTESIAN_POINT('Ctrl Pts',(7.26936504490761,14.0978134377081,7.64083630542844)); #478092=CARTESIAN_POINT('Ctrl Pts',(7.26545366851416,14.0981725723083,7.63967496200026)); #478093=CARTESIAN_POINT('Ctrl Pts',(7.26041410769034,14.0986352945012,7.63817864452658)); #478094=CARTESIAN_POINT('Ctrl Pts',(7.25537498937795,14.0990956450072,7.63668090849005)); #478095=CARTESIAN_POINT('Ctrl Pts',(7.25033627729837,14.099553744719,7.6351817630062)); #478096=CARTESIAN_POINT('Ctrl Pts',(7.19393602269565,14.1046814321058,7.61840124734266)); #478097=CARTESIAN_POINT('Ctrl Pts',(7.13758630572105,14.1095280326699,7.60144913680301)); #478098=CARTESIAN_POINT('Ctrl Pts',(7.08123746554371,14.1142583139613,7.58432422301565)); #478099=CARTESIAN_POINT('Ctrl Pts',(7.00070114283756,14.1210190469129,7.5598485181253)); #478100=CARTESIAN_POINT('Ctrl Pts',(6.92017504602408,14.1275440117198,7.53501662723215)); #478101=CARTESIAN_POINT('Ctrl Pts',(6.83970265524785,14.1339536931258,7.50979398818528)); #478102=CARTESIAN_POINT('Ctrl Pts',(6.78280399683852,14.1384857104962,7.49196011610237)); #478103=CARTESIAN_POINT('Ctrl Pts',(6.72593229654545,14.1429598836486,7.47392968656411)); #478104=CARTESIAN_POINT('Ctrl Pts',(6.66910539290889,14.1474155027676,7.45567543466852)); #478105=CARTESIAN_POINT('Ctrl Pts',(6.60050648748126,14.1527941275915,7.43363971532955)); #478106=CARTESIAN_POINT('Ctrl Pts',(6.53197276609986,14.1581489207305,7.41128089082558)); #478107=CARTESIAN_POINT('Ctrl Pts',(6.46351207060233,14.1636316057137,7.3884719258041)); #478108=CARTESIAN_POINT('Ctrl Pts',(6.39505137510479,14.1691142906968,7.36566296078262)); #478109=CARTESIAN_POINT('Ctrl Pts',(6.32666324884001,14.1747250033807,7.34240307222574)); #478110=CARTESIAN_POINT('Ctrl Pts',(6.25837162954199,14.180598904075,7.31851169651036)); #478111=CARTESIAN_POINT('Ctrl Pts',(6.206240790234,14.1850827833028,7.30027406382473)); #478112=CARTESIAN_POINT('Ctrl Pts',(6.15416575553535,14.1897183721794,7.28167152700839)); #478113=CARTESIAN_POINT('Ctrl Pts',(6.10226535018109,14.1946760576738,7.26255034799463)); #478114=CARTESIAN_POINT('Ctrl Pts',(6.08617600568552,14.196212961081,7.25662270187677)); #478115=CARTESIAN_POINT('Ctrl Pts',(6.07010359339688,14.1977807075519,7.25064487307268)); #478116=CARTESIAN_POINT('Ctrl Pts',(6.05405210477366,14.1993840595092,7.24461145268172)); #478117=CARTESIAN_POINT('Ctrl Pts',(5.99846641022868,14.2049364063498,7.22371794736357)); #478118=CARTESIAN_POINT('Ctrl Pts',(5.94313141386229,14.210916242143,7.20215998673975)); #478119=CARTESIAN_POINT('Ctrl Pts',(5.88823449986249,14.2174811869964,7.17971624544642)); #478120=CARTESIAN_POINT('Ctrl Pts',(5.87614213496082,14.2189272739063,7.1747724719316)); #478121=CARTESIAN_POINT('Ctrl Pts',(5.86407119983539,14.2204016606802,7.16978573133419)); #478122=CARTESIAN_POINT('Ctrl Pts',(5.85202401568716,14.2219058741501,7.16475378706475)); #478123=CARTESIAN_POINT('Ctrl Pts',(5.8186545223989,14.2260723947801,7.15081580550324)); #478124=CARTESIAN_POINT('Ctrl Pts',(5.78546436211767,14.2304702875957,7.13653280859949)); #478125=CARTESIAN_POINT('Ctrl Pts',(5.752505060465,14.2353627459218,7.12163419748346)); #478126=CARTESIAN_POINT('Ctrl Pts',(5.74578626074948,14.2363600803907,7.11859709478077)); #478127=CARTESIAN_POINT('Ctrl Pts',(5.73907706338451,14.2373777587162,7.11553439925915)); #478128=CARTESIAN_POINT('Ctrl Pts',(5.73237684946296,14.2384131694422,7.11244780189528)); #478129=CARTESIAN_POINT('Ctrl Pts',(5.70673231826331,14.242376120921,7.1006340981352)); #478130=CARTESIAN_POINT('Ctrl Pts',(5.68122018793515,14.2465982574951,7.08846760365719)); #478131=CARTESIAN_POINT('Ctrl Pts',(5.65579972035929,14.25093783517,7.07606371615728)); #478132=CARTESIAN_POINT('Ctrl Pts',(5.65528091002273,14.251026402296,7.07581056325875)); #478133=CARTESIAN_POINT('Ctrl Pts',(5.65476213748624,14.2511150186051,7.07555731261756)); #478134=CARTESIAN_POINT('Ctrl Pts',(5.65424340231967,14.2512036829423,7.07530396502067)); #478135=CARTESIAN_POINT('Ctrl Pts',(5.6169489177985,14.2575782088408,7.05708952991125)); #478136=CARTESIAN_POINT('Ctrl Pts',(5.57984539929566,14.2642004436583,7.03836860643004)); #478137=CARTESIAN_POINT('Ctrl Pts',(5.54296130454259,14.2708524940665,7.01931756812513)); #478138=CARTESIAN_POINT('Ctrl Pts',(5.51540987774371,14.2758213968174,7.00508695488074)); #478139=CARTESIAN_POINT('Ctrl Pts',(5.48798009413042,14.2808075058217,6.99067260087486)); #478140=CARTESIAN_POINT('Ctrl Pts',(5.46067057977685,14.2857283720068,6.97615892007837)); #478141=CARTESIAN_POINT('Origin',(5.29949985021961,14.8316486382185,7.46451847908837)); #478142=CARTESIAN_POINT('Ctrl Pts',(4.777651754469,14.44173970083,6.507470028567)); #478143=CARTESIAN_POINT('Ctrl Pts',(4.709213970461,14.38810285762,6.562948582433)); #478144=CARTESIAN_POINT('Ctrl Pts',(4.562350654025,14.31682880468,6.696290836846)); #478145=CARTESIAN_POINT('Ctrl Pts',(4.420297339681,14.3286090838,6.85158196721)); #478146=CARTESIAN_POINT('Ctrl Pts',(4.356437726822,14.35507030591,6.928292771617)); #478147=CARTESIAN_POINT('Ctrl Pts',(4.844738480007,14.43160172219,6.55369815267)); #478148=CARTESIAN_POINT('Ctrl Pts',(4.775443127253,14.37457272602,6.610382647454)); #478149=CARTESIAN_POINT('Ctrl Pts',(4.626135288522,14.29809248961,6.748278336075)); #478150=CARTESIAN_POINT('Ctrl Pts',(4.481991666785,14.30926483476,6.91031540326)); #478151=CARTESIAN_POINT('Ctrl Pts',(4.417606696541,14.33660161696,6.990304172915)); #478152=CARTESIAN_POINT('Ctrl Pts',(4.967764009428,14.41253952356,6.635238932774)); #478153=CARTESIAN_POINT('Ctrl Pts',(4.896950029793,14.34921408839,6.693709133768)); #478154=CARTESIAN_POINT('Ctrl Pts',(4.743204008339,14.26294630971,6.83937186303)); #478155=CARTESIAN_POINT('Ctrl Pts',(4.59532838151,14.27291544912,7.013514296831)); #478156=CARTESIAN_POINT('Ctrl Pts',(4.530084518827,14.3018691237,7.099367825665)); #478157=CARTESIAN_POINT('Ctrl Pts',(5.121344457799,14.38672139851,6.732192025116)); #478158=CARTESIAN_POINT('Ctrl Pts',(5.04873600285,14.31565998243,6.791972212812)); #478159=CARTESIAN_POINT('Ctrl Pts',(4.889562944845,14.21709160064,6.945908356771)); #478160=CARTESIAN_POINT('Ctrl Pts',(4.737278772416,14.22547471242,7.13429156329)); #478161=CARTESIAN_POINT('Ctrl Pts',(4.671184219187,14.25644224208,7.22700752684)); #478162=CARTESIAN_POINT('Ctrl Pts',(5.234979636982,14.3672454589,6.801217811873)); #478163=CARTESIAN_POINT('Ctrl Pts',(5.161063164232,14.29033127566,6.861574245877)); #478164=CARTESIAN_POINT('Ctrl Pts',(4.997815710282,14.1822916155,7.021189059136)); #478165=CARTESIAN_POINT('Ctrl Pts',(4.84228715132,14.18937715586,7.220098333402)); #478166=CARTESIAN_POINT('Ctrl Pts',(4.775648562755,14.22186093849,7.317868202146)); #478167=CARTESIAN_POINT('Ctrl Pts',(5.363633900795,14.34621941178,6.875885516429)); #478168=CARTESIAN_POINT('Ctrl Pts',(5.288229787969,14.26219638991,6.93660934986)); #478169=CARTESIAN_POINT('Ctrl Pts',(5.120174736587,14.14247953551,7.102647572164)); #478170=CARTESIAN_POINT('Ctrl Pts',(4.960848776604,14.14782107603,7.314135851939)); #478171=CARTESIAN_POINT('Ctrl Pts',(4.893646576445,14.18209141387,7.417930077224)); #478172=CARTESIAN_POINT('Ctrl Pts',(5.465385823843,14.33060314907,6.930919941419)); #478173=CARTESIAN_POINT('Ctrl Pts',(5.388815458243,14.24043128069,6.991459541783)); #478174=CARTESIAN_POINT('Ctrl Pts',(5.216747394809,14.11035177025,7.16236009823)); #478175=CARTESIAN_POINT('Ctrl Pts',(5.054310056185,14.11396639459,7.384474070065)); #478176=CARTESIAN_POINT('Ctrl Pts',(4.986757907352,14.14972700743,7.493333616661)); #478177=CARTESIAN_POINT('Ctrl Pts',(5.538681189771,14.31958729464,6.969081243379)); #478178=CARTESIAN_POINT('Ctrl Pts',(5.461290530598,14.22482169692,7.029166236675)); #478179=CARTESIAN_POINT('Ctrl Pts',(5.286257799768,14.08681653406,7.203287386728)); #478180=CARTESIAN_POINT('Ctrl Pts',(5.121569483067,14.08901679731,7.433256892901)); #478181=CARTESIAN_POINT('Ctrl Pts',(5.053837438792,14.12587644269,7.545845836496)); #478182=CARTESIAN_POINT('',(4.784710210216,14.41175394271,6.560470023669)); #478183=CARTESIAN_POINT('Ctrl Pts',(4.78471021021657,14.4117539427075,6.56047002366753)); #478184=CARTESIAN_POINT('Ctrl Pts',(4.72505852659645,14.369430629127,6.61100115640303)); #478185=CARTESIAN_POINT('Ctrl Pts',(4.66239608858308,14.3407098250511,6.66934823521625)); #478186=CARTESIAN_POINT('Ctrl Pts',(4.60063435031997,14.3273784070515,6.73186720028999)); #478187=CARTESIAN_POINT('Ctrl Pts',(4.53887264142564,14.3140469953913,6.79438613563486)); #478188=CARTESIAN_POINT('Ctrl Pts',(4.47801166021454,14.3161051141683,6.86107697524494)); #478189=CARTESIAN_POINT('Ctrl Pts',(4.42185201178779,14.3334303216593,6.927777088904)); #478190=CARTESIAN_POINT('Ctrl Pts',(5.460670579708,14.28572837224,6.976158920287)); #478191=CARTESIAN_POINT('Ctrl Pts',(5.402091790016,14.29628433889,6.945026723026)); #478192=CARTESIAN_POINT('Ctrl Pts',(5.315020812779,14.3121540053,6.897105696632)); #478193=CARTESIAN_POINT('Ctrl Pts',(5.20074808632,14.3337560739,6.830076305689)); #478194=CARTESIAN_POINT('Ctrl Pts',(5.087756532417,14.35582147327,6.760634717375)); #478195=CARTESIAN_POINT('Ctrl Pts',(4.948349115293,14.38251087836,6.671401992262)); #478196=CARTESIAN_POINT('Ctrl Pts',(4.838846421197,14.40221741039,6.597938044903)); #478197=CARTESIAN_POINT('Ctrl Pts',(4.784710210216,14.41175394271,6.560470023669)); #478198=CARTESIAN_POINT('Ctrl Pts',(4.507725263315,14.48524506068,6.301669990727)); #478199=CARTESIAN_POINT('Ctrl Pts',(4.441754626286,14.44342953668,6.351781491062)); #478200=CARTESIAN_POINT('Ctrl Pts',(4.302135552207,14.39046338989,6.467301246116)); #478201=CARTESIAN_POINT('Ctrl Pts',(4.166185923695,14.40526881156,6.597464933359)); #478202=CARTESIAN_POINT('Ctrl Pts',(4.103775759843,14.42931143625,6.661811881224)); #478203=CARTESIAN_POINT('Ctrl Pts',(4.620292659012,14.46778617025,6.393242668366)); #478204=CARTESIAN_POINT('Ctrl Pts',(4.552804075766,14.42096217938,6.446161727836)); #478205=CARTESIAN_POINT('Ctrl Pts',(4.40913738282,14.36061111061,6.570066400988)); #478206=CARTESIAN_POINT('Ctrl Pts',(4.26961826286,14.37487404229,6.711348560053)); #478207=CARTESIAN_POINT('Ctrl Pts',(4.206144201884,14.40036330345,6.781118024757)); #478208=CARTESIAN_POINT('Ctrl Pts',(4.738678258587,14.45189285936,6.475657332171)); #478209=CARTESIAN_POINT('Ctrl Pts',(4.669567114956,14.39862792915,6.531300251057)); #478210=CARTESIAN_POINT('Ctrl Pts',(4.521284561378,14.3285388792,6.664506084611)); #478211=CARTESIAN_POINT('Ctrl Pts',(4.377737465676,14.34176630461,6.818938057005)); #478212=CARTESIAN_POINT('Ctrl Pts',(4.313194208116,14.36895029074,6.895090313244)); #478213=CARTESIAN_POINT('Ctrl Pts',(4.860021960814,14.43332227957,6.557129863892)); #478214=CARTESIAN_POINT('Ctrl Pts',(4.789410650419,14.37376755975,6.614539765717)); #478215=CARTESIAN_POINT('Ctrl Pts',(4.636687026709,14.29393399076,6.755518990694)); #478216=CARTESIAN_POINT('Ctrl Pts',(4.489410306953,14.30608188231,6.922059247421)); #478217=CARTESIAN_POINT('Ctrl Pts',(4.424030582448,14.33496138816,7.004050212417)); #478218=CARTESIAN_POINT('',(4.510495189364,14.45891796923,6.357688242669)); #478219=CARTESIAN_POINT('Ctrl Pts',(4.51049518936396,14.4589179692302,6.3576882426691)); #478220=CARTESIAN_POINT('Ctrl Pts',(4.454257973582,14.4272515224627,6.40226194575196)); #478221=CARTESIAN_POINT('Ctrl Pts',(4.39583432968965,14.4066768706754,6.45194517226665)); #478222=CARTESIAN_POINT('Ctrl Pts',(4.33807143773692,14.3981938530814,6.50431591621369)); #478223=CARTESIAN_POINT('Ctrl Pts',(4.28030869889286,14.3897108579728,6.55668652134469)); #478224=CARTESIAN_POINT('Ctrl Pts',(4.22320671446823,14.3933194682003,6.61174463365285)); #478225=CARTESIAN_POINT('Ctrl Pts',(4.16954879502245,14.4088466868781,6.666807360039)); #478226=CARTESIAN_POINT('Ctrl Pts',(4.784710210216,14.41175394271,6.560470023669)); #478227=CARTESIAN_POINT('Ctrl Pts',(4.690833795341,14.42829096642,6.495497593232)); #478228=CARTESIAN_POINT('Ctrl Pts',(4.598991308317,14.44345580361,6.429144098)); #478229=CARTESIAN_POINT('Ctrl Pts',(4.510495189364,14.45891796923,6.357688242669)); #478230=CARTESIAN_POINT('Ctrl Pts',(4.08314959331,14.56113471351,5.87682201583)); #478231=CARTESIAN_POINT('Ctrl Pts',(4.020671887838,14.53282909382,5.916738934463)); #478232=CARTESIAN_POINT('Ctrl Pts',(3.890376653624,14.49982702189,6.004828518781)); #478233=CARTESIAN_POINT('Ctrl Pts',(3.762410444161,14.51683548736,6.100541474674)); #478234=CARTESIAN_POINT('Ctrl Pts',(3.702220014429,14.53754120778,6.147926015045)); #478235=CARTESIAN_POINT('Ctrl Pts',(4.159847826672,14.54732213768,5.966020968427)); #478236=CARTESIAN_POINT('Ctrl Pts',(4.09644856087,14.51687269322,6.00795811418)); #478237=CARTESIAN_POINT('Ctrl Pts',(3.963905414744,14.48091327056,6.101104800628)); #478238=CARTESIAN_POINT('Ctrl Pts',(3.833923154941,14.49806697653,6.202831705982)); #478239=CARTESIAN_POINT('Ctrl Pts',(3.773035477531,14.5196064733,6.25316429408)); #478240=CARTESIAN_POINT('Ctrl Pts',(4.320751880151,14.51934312709,6.135123544721)); #478241=CARTESIAN_POINT('Ctrl Pts',(4.255305294819,14.48368068025,6.181461577839)); #478242=CARTESIAN_POINT('Ctrl Pts',(4.11766587388,14.44042864011,6.285944931506)); #478243=CARTESIAN_POINT('Ctrl Pts',(3.983124182876,14.45771246163,6.401402124117)); #478244=CARTESIAN_POINT('Ctrl Pts',(3.9207068319,14.4811437278,6.458452550007)); #478245=CARTESIAN_POINT('Ctrl Pts',(4.497305346547,14.49224583395,6.28429410288)); #478246=CARTESIAN_POINT('Ctrl Pts',(4.429432445962,14.448739338,6.335302810554)); #478247=CARTESIAN_POINT('Ctrl Pts',(4.285302955649,14.39408523537,6.453417560245)); #478248=CARTESIAN_POINT('Ctrl Pts',(4.145018992456,14.41087660858,6.586628228639)); #478249=CARTESIAN_POINT('Ctrl Pts',(4.080887386768,14.43678434219,6.652302549037)); #478250=CARTESIAN_POINT('Ctrl Pts',(4.589358756593,14.47911941427,6.354464801163)); #478251=CARTESIAN_POINT('Ctrl Pts',(4.520234670225,14.43093496252,6.407641202786)); #478252=CARTESIAN_POINT('Ctrl Pts',(4.372570352225,14.36931311213,6.532885970579)); #478253=CARTESIAN_POINT('Ctrl Pts',(4.229203588402,14.38561698337,6.675952555182)); #478254=CARTESIAN_POINT('Ctrl Pts',(4.164247301057,14.41291799714,6.746388081579)); #478255=CARTESIAN_POINT('',(4.076551981105,14.53957604667,5.937382457256)); #478256=CARTESIAN_POINT('Origin',(3.95584220383133,15.2451242191061,6.16128182286981)); #478257=CARTESIAN_POINT('Ctrl Pts',(4.510495189364,14.45891796923,6.357688242669)); #478258=CARTESIAN_POINT('Ctrl Pts',(4.43255398816,14.47253402308,6.294756673617)); #478259=CARTESIAN_POINT('Ctrl Pts',(4.282234317877,14.49977692481,6.16196864684)); #478260=CARTESIAN_POINT('Ctrl Pts',(4.143273520341,14.5264926194,6.01458359124)); #478261=CARTESIAN_POINT('Ctrl Pts',(4.076551981105,14.53957604667,5.937382457256)); #478262=CARTESIAN_POINT('Ctrl Pts',(3.685087551371,14.6440075899,5.306633342731)); #478263=CARTESIAN_POINT('Ctrl Pts',(3.624992616685,14.62121160953,5.339848461973)); #478264=CARTESIAN_POINT('Ctrl Pts',(3.500313810128,14.59561183557,5.411775949326)); #478265=CARTESIAN_POINT('Ctrl Pts',(3.377019452981,14.6117360922,5.488666379001)); #478266=CARTESIAN_POINT('Ctrl Pts',(3.318291950369,14.62998851245,5.526760817642)); #478267=CARTESIAN_POINT('Ctrl Pts',(3.755905113848,14.62756798773,5.424350767023)); #478268=CARTESIAN_POINT('Ctrl Pts',(3.695387816913,14.60464601921,5.457785555804)); #478269=CARTESIAN_POINT('Ctrl Pts',(3.569840098748,14.57906829685,5.530276373007)); #478270=CARTESIAN_POINT('Ctrl Pts',(3.445842445183,14.59578114076,5.607844243993)); #478271=CARTESIAN_POINT('Ctrl Pts',(3.386855214905,14.61445533062,5.646269386744)); #478272=CARTESIAN_POINT('Ctrl Pts',(3.860055217877,14.60531227649,5.586470003996)); #478273=CARTESIAN_POINT('Ctrl Pts',(3.798666409158,14.58131957344,5.621385977886)); #478274=CARTESIAN_POINT('Ctrl Pts',(3.671177394607,14.55449166909,5.697353452796)); #478275=CARTESIAN_POINT('Ctrl Pts',(3.545484220763,14.57189280254,5.778867945575)); #478276=CARTESIAN_POINT('Ctrl Pts',(3.485866207717,14.59137348876,5.819233321719)); #478277=CARTESIAN_POINT('Ctrl Pts',(4.018106452293,14.57597072045,5.79232869704)); #478278=CARTESIAN_POINT('Ctrl Pts',(3.95491841098,14.54826597033,5.83122646879)); #478279=CARTESIAN_POINT('Ctrl Pts',(3.823118699455,14.51646311517,5.916877285451)); #478280=CARTESIAN_POINT('Ctrl Pts',(3.693554716686,14.53446989439,6.009659065187)); #478281=CARTESIAN_POINT('Ctrl Pts',(3.632564875838,14.55561349887,6.055546775812)); #478282=CARTESIAN_POINT('Ctrl Pts',(4.102134985973,14.56097820039,5.890608566555)); #478283=CARTESIAN_POINT('Ctrl Pts',(4.037926865468,14.53086769687,5.93175324146)); #478284=CARTESIAN_POINT('Ctrl Pts',(3.903614939689,14.49576507497,6.023055520423)); #478285=CARTESIAN_POINT('Ctrl Pts',(3.771802739641,14.51399591785,6.122560986107)); #478286=CARTESIAN_POINT('Ctrl Pts',(3.710048202415,14.53611471831,6.171735272836)); #478287=CARTESIAN_POINT('Ctrl Pts',(4.150849116504,14.55251674922,5.944111273018)); #478288=CARTESIAN_POINT('Ctrl Pts',(4.08602985042,14.52085449909,5.986574065756)); #478289=CARTESIAN_POINT('Ctrl Pts',(3.950184761511,14.48359607048,6.081282877921)); #478290=CARTESIAN_POINT('Ctrl Pts',(3.817004283831,14.50191900407,6.184911556311)); #478291=CARTESIAN_POINT('Ctrl Pts',(3.754797588667,14.52463639181,6.236097182027)); #478292=CARTESIAN_POINT('',(3.669836928014,14.62466879322,5.369965453596)); #478293=CARTESIAN_POINT('Origin',(3.55060702831432,15.3445654786903,5.54326575286012)); #478294=CARTESIAN_POINT('Ctrl Pts',(4.07655198110435,14.5395760466738,5.9373824572572)); #478295=CARTESIAN_POINT('Ctrl Pts',(4.03922151660768,14.5468965939462,5.89418827128699)); #478296=CARTESIAN_POINT('Ctrl Pts',(4.00286432827412,14.5541048814627,5.85004809203876)); #478297=CARTESIAN_POINT('Ctrl Pts',(3.9674099264956,14.5611926499934,5.80500144972962)); #478298=CARTESIAN_POINT('Ctrl Pts',(3.95530948747147,14.5636116757453,5.78962721913596)); #478299=CARTESIAN_POINT('Ctrl Pts',(3.943311651043,14.5660161930121,5.77414802646382)); #478300=CARTESIAN_POINT('Ctrl Pts',(3.93141945804703,14.5684114705964,5.75854945387956)); #478301=CARTESIAN_POINT('Ctrl Pts',(3.90846741208965,14.5730343791898,5.72844405995054)); #478302=CARTESIAN_POINT('Ctrl Pts',(3.88590904881452,14.5776228933237,5.69789393432769)); #478303=CARTESIAN_POINT('Ctrl Pts',(3.86372134270646,14.5822222046622,5.66681005706559)); #478304=CARTESIAN_POINT('Ctrl Pts',(3.83421608537447,14.5883383783634,5.62547465298965)); #478305=CARTESIAN_POINT('Ctrl Pts',(3.80536089603063,14.5944692048529,5.58320118699855)); #478306=CARTESIAN_POINT('Ctrl Pts',(3.77700006346018,14.6006401763202,5.54006525010514)); #478307=CARTESIAN_POINT('Ctrl Pts',(3.74943825854661,14.606637289109,5.49814460921892)); #478308=CARTESIAN_POINT('Ctrl Pts',(3.72234343787454,14.612672288823,5.4554093444151)); #478309=CARTESIAN_POINT('Ctrl Pts',(3.69553222699897,14.6187770669739,5.41195587045328)); #478310=CARTESIAN_POINT('Ctrl Pts',(3.68694065250971,14.620733325364,5.39803133119644)); #478311=CARTESIAN_POINT('Ctrl Pts',(3.67837787726384,14.622696817547,5.3840332582798)); #478312=CARTESIAN_POINT('Ctrl Pts',(3.66983692812198,14.6246687925679,5.36996545343903)); #478313=CARTESIAN_POINT('Ctrl Pts',(2.644475472444,14.84263136709,3.404810240978)); #478314=CARTESIAN_POINT('Ctrl Pts',(2.58924196288,14.82344563764,3.430378182934)); #478315=CARTESIAN_POINT('Ctrl Pts',(2.474875101533,14.80075997183,3.484641413771)); #478316=CARTESIAN_POINT('Ctrl Pts',(2.360027147707,14.81059840809,3.541663609554)); #478317=CARTESIAN_POINT('Ctrl Pts',(2.304369975329,14.82354483525,3.569931974765)); #478318=CARTESIAN_POINT('Ctrl Pts',(2.678474176562,14.83751102648,3.472984294699)); #478319=CARTESIAN_POINT('Ctrl Pts',(2.623009968553,14.81814038111,3.498910709517)); #478320=CARTESIAN_POINT('Ctrl Pts',(2.50814129806,14.7952754884,3.553976857241)); #478321=CARTESIAN_POINT('Ctrl Pts',(2.392834525557,14.80533852168,3.611878240627)); #478322=CARTESIAN_POINT('Ctrl Pts',(2.336989344766,14.81849408131,3.640579670253)); #478323=CARTESIAN_POINT('Ctrl Pts',(2.74062000794,14.82817750293,3.593945999755)); #478324=CARTESIAN_POINT('Ctrl Pts',(2.684697768878,14.80838847547,3.620610841432)); #478325=CARTESIAN_POINT('Ctrl Pts',(2.568821247289,14.78508233534,3.677338611091)); #478326=CARTESIAN_POINT('Ctrl Pts',(2.452582916034,14.795548103,3.737065668633)); #478327=CARTESIAN_POINT('Ctrl Pts',(2.396355472089,14.80910828526,3.766665909022)); #478328=CARTESIAN_POINT('Ctrl Pts',(2.816669358214,14.81511889364,3.744946020546)); #478329=CARTESIAN_POINT('Ctrl Pts',(2.760297156048,14.79522318161,3.771840757477)); #478330=CARTESIAN_POINT('Ctrl Pts',(2.643488941883,14.77196668369,3.829118588312)); #478331=CARTESIAN_POINT('Ctrl Pts',(2.526441960475,14.78302015461,3.889476102184)); #478332=CARTESIAN_POINT('Ctrl Pts',(2.469886377398,14.79699782007,3.919384766397)); #478333=CARTESIAN_POINT('Ctrl Pts',(2.871007200771,14.80499785036,3.856061046167)); #478334=CARTESIAN_POINT('Ctrl Pts',(2.814382369901,14.78521972784,3.882841776591)); #478335=CARTESIAN_POINT('Ctrl Pts',(2.69708728173,14.76227879592,3.939892259884)); #478336=CARTESIAN_POINT('Ctrl Pts',(2.579655078807,14.7737910066,4.000023193017)); #478337=CARTESIAN_POINT('Ctrl Pts',(2.522947391272,14.78802562899,4.029818562605)); #478338=CARTESIAN_POINT('Ctrl Pts',(2.924286723052,14.79424615223,3.969461709768)); #478339=CARTESIAN_POINT('Ctrl Pts',(2.867503136549,14.77481600015,3.995788769478)); #478340=CARTESIAN_POINT('Ctrl Pts',(2.749957774939,14.75251870701,4.051856056483)); #478341=CARTESIAN_POINT('Ctrl Pts',(2.63238911293,14.76452229174,4.110936631316)); #478342=CARTESIAN_POINT('Ctrl Pts',(2.575635132155,14.77895629307,4.140212642661)); #478343=CARTESIAN_POINT('Ctrl Pts',(2.99143332267,14.78218485549,4.105590675135)); #478344=CARTESIAN_POINT('Ctrl Pts',(2.934275781497,14.76258000067,4.132364982243)); #478345=CARTESIAN_POINT('Ctrl Pts',(2.815947430271,14.74020958235,4.189444795819)); #478346=CARTESIAN_POINT('Ctrl Pts',(2.697710925332,14.75271168664,4.249642765347)); #478347=CARTESIAN_POINT('Ctrl Pts',(2.640696893333,14.76752808759,4.279468521175)); #478348=CARTESIAN_POINT('Ctrl Pts',(3.077557456086,14.7698656966,4.256093329299)); #478349=CARTESIAN_POINT('Ctrl Pts',(3.019521753953,14.74878739449,4.285249219108)); #478350=CARTESIAN_POINT('Ctrl Pts',(2.899140299514,14.72452655745,4.347683123324)); #478351=CARTESIAN_POINT('Ctrl Pts',(2.778951970749,14.73744622582,4.413760523771)); #478352=CARTESIAN_POINT('Ctrl Pts',(2.721160067775,14.75303594419,4.446481077171)); #478353=CARTESIAN_POINT('Ctrl Pts',(3.169275653593,14.75635253922,4.403552967297)); #478354=CARTESIAN_POINT('Ctrl Pts',(3.110300028443,14.73365616995,4.434989760486)); #478355=CARTESIAN_POINT('Ctrl Pts',(2.987705698921,14.70730899649,4.502656498961)); #478356=CARTESIAN_POINT('Ctrl Pts',(2.865425787581,14.72067929619,4.574565153425)); #478357=CARTESIAN_POINT('Ctrl Pts',(2.806813766905,14.73711485695,4.610150405445)); #478358=CARTESIAN_POINT('Ctrl Pts',(3.245848961172,14.74179193053,4.533322132131)); #478359=CARTESIAN_POINT('Ctrl Pts',(3.186348217976,14.7187129971,4.565309968785)); #478360=CARTESIAN_POINT('Ctrl Pts',(3.062620908543,14.69203047383,4.634294322067)); #478361=CARTESIAN_POINT('Ctrl Pts',(2.939359636348,14.70598223647,4.707713511568)); #478362=CARTESIAN_POINT('Ctrl Pts',(2.880371962612,14.72292396985,4.744037713332)); #478363=CARTESIAN_POINT('Ctrl Pts',(3.305106173857,14.72798450165,4.643465503307)); #478364=CARTESIAN_POINT('Ctrl Pts',(3.245416742943,14.70538723532,4.674909331164)); #478365=CARTESIAN_POINT('Ctrl Pts',(3.121408221067,14.67957384267,4.742683388054)); #478366=CARTESIAN_POINT('Ctrl Pts',(2.998011817835,14.69412822756,4.814783421507)); #478367=CARTESIAN_POINT('Ctrl Pts',(2.938979522884,14.71129294737,4.850457377036)); #478368=CARTESIAN_POINT('Ctrl Pts',(3.363850959032,14.71453280719,4.75278707686)); #478369=CARTESIAN_POINT('Ctrl Pts',(3.303948270409,14.69226658285,4.783888562749)); #478370=CARTESIAN_POINT('Ctrl Pts',(3.179580431849,14.66710107361,4.850913913106)); #478371=CARTESIAN_POINT('Ctrl Pts',(3.05596528794,14.68222110003,4.922208396503)); #478372=CARTESIAN_POINT('Ctrl Pts',(2.996858362443,14.69963682828,4.957484480896)); #478373=CARTESIAN_POINT('Ctrl Pts',(3.437596484084,14.69863058115,4.885973566627)); #478374=CARTESIAN_POINT('Ctrl Pts',(3.377304738205,14.67632440527,4.917259835655)); #478375=CARTESIAN_POINT('Ctrl Pts',(3.252152795472,14.65131378213,4.98474511287)); #478376=CARTESIAN_POINT('Ctrl Pts',(3.127919047509,14.6670590402,5.056580459615)); #478377=CARTESIAN_POINT('Ctrl Pts',(3.068586164166,14.68489094034,5.092120153883)); #478378=CARTESIAN_POINT('Ctrl Pts',(3.567389408728,14.67347106016,5.102444116034)); #478379=CARTESIAN_POINT('Ctrl Pts',(3.50604318694,14.64985857946,5.135583562923)); #478380=CARTESIAN_POINT('Ctrl Pts',(3.378521154277,14.62333963681,5.207404531587)); #478381=CARTESIAN_POINT('Ctrl Pts',(3.252193273905,14.63997824079,5.284138465638)); #478382=CARTESIAN_POINT('Ctrl Pts',(3.192077225081,14.65883117559,5.32207971351)); #478383=CARTESIAN_POINT('Ctrl Pts',(3.670797128558,14.65194008066,5.26724025289)); #478384=CARTESIAN_POINT('Ctrl Pts',(3.608618122173,14.6274423447,5.301477757192)); #478385=CARTESIAN_POINT('Ctrl Pts',(3.479245599743,14.59995610925,5.375953764682)); #478386=CARTESIAN_POINT('Ctrl Pts',(3.351311613522,14.61737540774,5.455754838116)); #478387=CARTESIAN_POINT('Ctrl Pts',(3.29060262155,14.63703254631,5.495194852531)); #478388=CARTESIAN_POINT('Ctrl Pts',(3.741885093541,14.63540882732,5.384146300557)); #478389=CARTESIAN_POINT('Ctrl Pts',(3.679251657117,14.61070325843,5.418698571686)); #478390=CARTESIAN_POINT('Ctrl Pts',(3.548928662522,14.58313568951,5.493968454615)); #478391=CARTESIAN_POINT('Ctrl Pts',(3.420228069297,14.60117170249,5.57471136646)); #478392=CARTESIAN_POINT('Ctrl Pts',(3.359245180279,14.62129502296,5.614609848405)); #478393=CARTESIAN_POINT('',(2.623844084477,14.82641736583,3.46691758512)); #478394=CARTESIAN_POINT('Origin',(2.48517555192357,15.552697594768,3.59256816034765)); #478395=CARTESIAN_POINT('Ctrl Pts',(3.669836928014,14.62466879322,5.369965453596)); #478396=CARTESIAN_POINT('Ctrl Pts',(3.607215838196,14.63912641254,5.266824781052)); #478397=CARTESIAN_POINT('Ctrl Pts',(3.513164185541,14.65935125131,5.114312459729)); #478398=CARTESIAN_POINT('Ctrl Pts',(3.392083941443,14.68377221776,4.909827291102)); #478399=CARTESIAN_POINT('Ctrl Pts',(3.31863567181,14.69968429647,4.776490953184)); #478400=CARTESIAN_POINT('Ctrl Pts',(3.260043367922,14.71288954466,4.667431731726)); #478401=CARTESIAN_POINT('Ctrl Pts',(3.200918757781,14.72634567484,4.55770624986)); #478402=CARTESIAN_POINT('Ctrl Pts',(3.12474484476,14.74115220389,4.427505555076)); #478403=CARTESIAN_POINT('Ctrl Pts',(3.033758007219,14.75576795213,4.278279262466)); #478404=CARTESIAN_POINT('Ctrl Pts',(2.948316430828,14.7690904722,4.125941546105)); #478405=CARTESIAN_POINT('Ctrl Pts',(2.881451058754,14.78125631733,3.989467926063)); #478406=CARTESIAN_POINT('Ctrl Pts',(2.828286550666,14.7917533352,3.876412388204)); #478407=CARTESIAN_POINT('Ctrl Pts',(2.774125994971,14.80177627148,3.765387238043)); #478408=CARTESIAN_POINT('Ctrl Pts',(2.705685408144,14.81366439513,3.628538222764)); #478409=CARTESIAN_POINT('Ctrl Pts',(2.650831035211,14.82221838386,3.520971779492)); #478410=CARTESIAN_POINT('Ctrl Pts',(2.623844084477,14.82641736583,3.46691758512)); #478411=CARTESIAN_POINT('Ctrl Pts',(1.597550745917,14.97444141118,0.6964562875129)); #478412=CARTESIAN_POINT('Ctrl Pts',(1.544520412633,14.95679386691,0.7125146206287)); #478413=CARTESIAN_POINT('Ctrl Pts',(1.436375578723,14.93487976854,0.7456505135867)); #478414=CARTESIAN_POINT('Ctrl Pts',(1.32654793897,14.93913010154,0.7800327184782)); #478415=CARTESIAN_POINT('Ctrl Pts',(1.27348231534,14.94754488852,0.7968205459519)); #478416=CARTESIAN_POINT('Ctrl Pts',(1.611486512476,14.97315828385,0.7409974118573)); #478417=CARTESIAN_POINT('Ctrl Pts',(1.558378692971,14.95548802702,0.7571538158872)); #478418=CARTESIAN_POINT('Ctrl Pts',(1.450073367724,14.93357519225,0.7904973802139)); #478419=CARTESIAN_POINT('Ctrl Pts',(1.340098024124,14.93791952349,0.8250992429264)); #478420=CARTESIAN_POINT('Ctrl Pts',(1.286969459305,14.94640193369,0.8419939235629)); #478421=CARTESIAN_POINT('Ctrl Pts',(1.633040778407,14.97016493081,0.819820321926)); #478422=CARTESIAN_POINT('Ctrl Pts',(1.579976902362,14.95281916211,0.8352417732806)); #478423=CARTESIAN_POINT('Ctrl Pts',(1.471829506465,14.93141100028,0.8670504083256)); #478424=CARTESIAN_POINT('Ctrl Pts',(1.362077145698,14.93596150438,0.9000451437928)); #478425=CARTESIAN_POINT('Ctrl Pts',(1.309055579173,14.94447011342,0.9161564945721)); #478426=CARTESIAN_POINT('Ctrl Pts',(1.671225360905,14.96583344109,0.9562124599091)); #478427=CARTESIAN_POINT('Ctrl Pts',(1.618086907455,14.94867343872,0.97133117598)); #478428=CARTESIAN_POINT('Ctrl Pts',(1.509830652593,14.92761402117,1.002512412075)); #478429=CARTESIAN_POINT('Ctrl Pts',(1.400036599567,14.93246035565,1.03485401638)); #478430=CARTESIAN_POINT('Ctrl Pts',(1.347008430483,14.94109797828,1.050646666418)); #478431=CARTESIAN_POINT('Ctrl Pts',(1.70603692715,14.96271441351,1.072074575389)); #478432=CARTESIAN_POINT('Ctrl Pts',(1.65267732559,14.94538808631,1.087796179164)); #478433=CARTESIAN_POINT('Ctrl Pts',(1.543943053698,14.92417822061,1.120241399872)); #478434=CARTESIAN_POINT('Ctrl Pts',(1.433691481937,14.92923927812,1.153910222682)); #478435=CARTESIAN_POINT('Ctrl Pts',(1.380467759272,14.93806865926,1.170349413914)); #478436=CARTESIAN_POINT('Ctrl Pts',(1.744299604251,14.96016628582,1.182355120067)); #478437=CARTESIAN_POINT('Ctrl Pts',(1.690537811363,14.94229688319,1.199523788208)); #478438=CARTESIAN_POINT('Ctrl Pts',(1.580881453235,14.9204193062,1.235012292276)); #478439=CARTESIAN_POINT('Ctrl Pts',(1.469689194375,14.92566039989,1.271884005917)); #478440=CARTESIAN_POINT('Ctrl Pts',(1.416054682471,14.93478216618,1.289882758232)); #478441=CARTESIAN_POINT('Ctrl Pts',(1.785346819152,14.95773205217,1.290023845843)); #478442=CARTESIAN_POINT('Ctrl Pts',(1.731104389455,14.93914117455,1.308934840003)); #478443=CARTESIAN_POINT('Ctrl Pts',(1.620329597817,14.91635301893,1.348103807382)); #478444=CARTESIAN_POINT('Ctrl Pts',(1.507984746282,14.921766218,1.388861609193)); #478445=CARTESIAN_POINT('Ctrl Pts',(1.453847424529,14.93123368752,1.40875139973)); #478446=CARTESIAN_POINT('Ctrl Pts',(1.828243779153,14.95402466155,1.40141102265)); #478447=CARTESIAN_POINT('Ctrl Pts',(1.773650522806,14.93506014819,1.42116782887)); #478448=CARTESIAN_POINT('Ctrl Pts',(1.662091096835,14.91185371689,1.462143414772)); #478449=CARTESIAN_POINT('Ctrl Pts',(1.548972907106,14.91751615044,1.504824190053)); #478450=CARTESIAN_POINT('Ctrl Pts',(1.494504239492,14.92726519704,1.52564828461)); #478451=CARTESIAN_POINT('Ctrl Pts',(1.870984187412,14.94929114711,1.516397444417)); #478452=CARTESIAN_POINT('Ctrl Pts',(1.816154403733,14.93028704422,1.536298057226)); #478453=CARTESIAN_POINT('Ctrl Pts',(1.704111161134,14.90713190545,1.57759180197)); #478454=CARTESIAN_POINT('Ctrl Pts',(1.590559038351,14.91310394718,1.620619619146)); #478455=CARTESIAN_POINT('Ctrl Pts',(1.535908775225,14.92306380333,1.641611546869)); #478456=CARTESIAN_POINT('Ctrl Pts',(1.912383285499,14.94359906995,1.635881822878)); #478457=CARTESIAN_POINT('Ctrl Pts',(1.857462892465,14.92493095437,1.655137575336)); #478458=CARTESIAN_POINT('Ctrl Pts',(1.745309091623,14.90235025733,1.695076619548)); #478459=CARTESIAN_POINT('Ctrl Pts',(1.63173604785,14.90868603038,1.736679795372)); #478460=CARTESIAN_POINT('Ctrl Pts',(1.577085975386,14.91876857146,1.756977927652)); #478461=CARTESIAN_POINT('Ctrl Pts',(1.962977507521,14.93709691916,1.783156757901)); #478462=CARTESIAN_POINT('Ctrl Pts',(1.907874540534,14.91861413453,1.802107439891)); #478463=CARTESIAN_POINT('Ctrl Pts',(1.795393623656,14.8964193692,1.841415075151)); #478464=CARTESIAN_POINT('Ctrl Pts',(1.681578270149,14.90316066774,1.882361593508)); #478465=CARTESIAN_POINT('Ctrl Pts',(1.626833755672,14.91344191265,1.902339242548)); #478466=CARTESIAN_POINT('Ctrl Pts',(2.025387149421,14.93026532335,1.953434753269)); #478467=CARTESIAN_POINT('Ctrl Pts',(1.969859547799,14.91148475135,1.973164012374)); #478468=CARTESIAN_POINT('Ctrl Pts',(1.856463799575,14.88903169813,2.014137171059)); #478469=CARTESIAN_POINT('Ctrl Pts',(1.741785665653,14.89619232119,2.056858408418)); #478470=CARTESIAN_POINT('Ctrl Pts',(1.686677818634,14.906838431,2.077698150353)); #478471=CARTESIAN_POINT('Ctrl Pts',(2.091816413381,14.92368659626,2.118366158452)); #478472=CARTESIAN_POINT('Ctrl Pts',(2.035717620908,14.90423270313,2.139600323741)); #478473=CARTESIAN_POINT('Ctrl Pts',(1.921033845295,14.88100775594,2.183797046485)); #478474=CARTESIAN_POINT('Ctrl Pts',(1.805093745731,14.88856351734,2.229956561644)); #478475=CARTESIAN_POINT('Ctrl Pts',(1.749453354583,14.89968142394,2.252466179215)); #478476=CARTESIAN_POINT('Ctrl Pts',(2.160298808528,14.91574540885,2.285574142319)); #478477=CARTESIAN_POINT('Ctrl Pts',(2.103733297005,14.89590831882,2.307683959526)); #478478=CARTESIAN_POINT('Ctrl Pts',(1.988033792256,14.87232420398,2.353777217067)); #478479=CARTESIAN_POINT('Ctrl Pts',(1.871142580741,14.88034342495,2.401975560233)); #478480=CARTESIAN_POINT('Ctrl Pts',(1.81510960118,14.89188192821,2.425473895483)); #478481=CARTESIAN_POINT('Ctrl Pts',(2.252623334396,14.90371042188,2.511218088947)); #478482=CARTESIAN_POINT('Ctrl Pts',(2.195540753805,14.88368790246,2.533874180094)); #478483=CARTESIAN_POINT('Ctrl Pts',(2.078768373427,14.86008621468,2.581169253464)); #478484=CARTESIAN_POINT('Ctrl Pts',(1.960928123644,14.86879146848,2.630673698228)); #478485=CARTESIAN_POINT('Ctrl Pts',(1.904511734357,14.88082757617,2.654804117913)); #478486=CARTESIAN_POINT('Ctrl Pts',(2.370728173937,14.88806643536,2.790236720177)); #478487=CARTESIAN_POINT('Ctrl Pts',(2.312909765263,14.86760272736,2.813948677989)); #478488=CARTESIAN_POINT('Ctrl Pts',(2.19457326081,14.84369855851,2.863555213753)); #478489=CARTESIAN_POINT('Ctrl Pts',(2.075318073574,14.85326542795,2.915563232206)); #478490=CARTESIAN_POINT('Ctrl Pts',(2.01832898807,14.86599386728,2.940906022594)); #478491=CARTESIAN_POINT('Ctrl Pts',(2.526927249971,14.86717017718,3.132340722979)); #478492=CARTESIAN_POINT('Ctrl Pts',(2.467978472275,14.84565604929,3.15814244219)); #478493=CARTESIAN_POINT('Ctrl Pts',(2.347160311134,14.82070901661,3.212340740975)); #478494=CARTESIAN_POINT('Ctrl Pts',(2.225607186301,14.8313787778,3.269335149855)); #478495=CARTESIAN_POINT('Ctrl Pts',(2.16769226811,14.84515070906,3.297091443691)); #478496=CARTESIAN_POINT('Ctrl Pts',(2.640897288149,14.85114977719,3.360896312173)); #478497=CARTESIAN_POINT('Ctrl Pts',(2.581079436778,14.82869982983,3.388335155078)); #478498=CARTESIAN_POINT('Ctrl Pts',(2.458326814605,14.80276199641,3.446181105767)); #478499=CARTESIAN_POINT('Ctrl Pts',(2.334987114867,14.81423998374,3.507174792594)); #478500=CARTESIAN_POINT('Ctrl Pts',(2.276365708079,14.828830076,3.53686333287)); #478501=CARTESIAN_POINT('Ctrl Pts',(2.702761585941,14.8417860678,3.482636666848)); #478502=CARTESIAN_POINT('Ctrl Pts',(2.642484340222,14.81892963296,3.510742014029)); #478503=CARTESIAN_POINT('Ctrl Pts',(2.518726012972,14.79260074956,3.57009597607)); #478504=CARTESIAN_POINT('Ctrl Pts',(2.394473498913,14.80454738737,3.632760152101)); #478505=CARTESIAN_POINT('Ctrl Pts',(2.33549312446,14.81956710956,3.663254242278)); #478506=CARTESIAN_POINT('',(1.561077334413,14.95830659302,0.7585880961691)); #478507=CARTESIAN_POINT('Ctrl Pts',(1.56107733439905,14.9583065930834,0.758588096175193)); #478508=CARTESIAN_POINT('Ctrl Pts',(1.56760622178023,14.9578316547557,0.778484269051397)); #478509=CARTESIAN_POINT('Ctrl Pts',(1.57403253596559,14.9573023271626,0.798883391240372)); #478510=CARTESIAN_POINT('Ctrl Pts',(1.58041737010794,14.9567562532037,0.819547668858951)); #478511=CARTESIAN_POINT('Ctrl Pts',(1.58345574065909,14.9564963913187,0.829381241850403)); #478512=CARTESIAN_POINT('Ctrl Pts',(1.58648484553346,14.9562328638724,0.839274174897168)); #478513=CARTESIAN_POINT('Ctrl Pts',(1.58951203937508,14.9559704589683,0.849196738567799)); #478514=CARTESIAN_POINT('Ctrl Pts',(1.59855245919214,14.9551868122581,0.87882950935729)); #478515=CARTESIAN_POINT('Ctrl Pts',(1.60757385769211,14.9544140695919,0.908724345849259)); #478516=CARTESIAN_POINT('Ctrl Pts',(1.61672652853796,14.953628700319,0.938964955526984)); #478517=CARTESIAN_POINT('Ctrl Pts',(1.62630129843529,14.952807111714,0.970600189011923)); #478518=CARTESIAN_POINT('Ctrl Pts',(1.63601924452687,14.9519713350029,1.00261559500287)); #478519=CARTESIAN_POINT('Ctrl Pts',(1.64605581189966,14.9511114033325,1.03502989683354)); #478520=CARTESIAN_POINT('Ctrl Pts',(1.65359839217557,14.9504651561232,1.05938956728301)); #478521=CARTESIAN_POINT('Ctrl Pts',(1.66132150485792,14.9498052434306,1.08397415885278)); #478522=CARTESIAN_POINT('Ctrl Pts',(1.66931731743561,14.9491259171128,1.10878325495127)); #478523=CARTESIAN_POINT('Ctrl Pts',(1.66975954255573,14.9490883455515,1.11015537384419)); #478524=CARTESIAN_POINT('Ctrl Pts',(1.67020238624233,14.9490507996013,1.11152682197002)); #478525=CARTESIAN_POINT('Ctrl Pts',(1.67064586441844,14.9490132785118,1.11289760260397)); #478526=CARTESIAN_POINT('Ctrl Pts',(1.67975854735366,14.9482422872647,1.14106469168256)); #478527=CARTESIAN_POINT('Ctrl Pts',(1.68913829140348,14.947481909215,1.16895004938873)); #478528=CARTESIAN_POINT('Ctrl Pts',(1.69889471817361,14.9467061977884,1.19672517348243)); #478529=CARTESIAN_POINT('Ctrl Pts',(1.70852908453619,14.9459401911087,1.22415280939763)); #478530=CARTESIAN_POINT('Ctrl Pts',(1.71853237557251,14.9451596222207,1.25146951691696)); #478531=CARTESIAN_POINT('Ctrl Pts',(1.72877634505431,14.944282490712,1.27928074705026)); #478532=CARTESIAN_POINT('Ctrl Pts',(1.74384527816695,14.9429922256385,1.32019121319369)); #478533=CARTESIAN_POINT('Ctrl Pts',(1.75943622815451,14.941491800304,1.36217823747012)); #478534=CARTESIAN_POINT('Ctrl Pts',(1.77540237681394,14.9398024588117,1.40550458821507)); #478535=CARTESIAN_POINT('Ctrl Pts',(1.78055183948297,14.9392576060025,1.41947836677029)); #478536=CARTESIAN_POINT('Ctrl Pts',(1.78574000617394,14.9386931010332,1.43359164141393)); #478537=CARTESIAN_POINT('Ctrl Pts',(1.79096081691582,14.9381096642573,1.44785378281748)); #478538=CARTESIAN_POINT('Ctrl Pts',(1.79514145839884,14.9376424686225,1.45927440366284)); #478539=CARTESIAN_POINT('Ctrl Pts',(1.79934304163608,14.9371631418398,1.47079041611742)); #478540=CARTESIAN_POINT('Ctrl Pts',(1.80355801352545,14.9366701636775,1.48242151090521)); #478541=CARTESIAN_POINT('Ctrl Pts',(1.80979911088064,14.93594021226,1.49964364116452)); #478542=CARTESIAN_POINT('Ctrl Pts',(1.81603767192381,14.9351872771498,1.51700384718902)); #478543=CARTESIAN_POINT('Ctrl Pts',(1.82225098578431,14.9344049014438,1.53458605952288)); #478544=CARTESIAN_POINT('Ctrl Pts',(1.83253400908175,14.9331100710535,1.56368458940709)); #478545=CARTESIAN_POINT('Ctrl Pts',(1.84274752548609,14.9317339192482,1.59339511267367)); #478546=CARTESIAN_POINT('Ctrl Pts',(1.85299534610684,14.9303754925728,1.62329923835292)); #478547=CARTESIAN_POINT('Ctrl Pts',(1.86552400754541,14.9287147230752,1.65985907679239)); #478548=CARTESIAN_POINT('Ctrl Pts',(1.87810238086413,14.9270814290353,1.69670501612049)); #478549=CARTESIAN_POINT('Ctrl Pts',(1.89093775584444,14.9254558446012,1.73398575044828)); #478550=CARTESIAN_POINT('Ctrl Pts',(1.89839244330512,14.924511717615,1.75563811534957)); #478551=CARTESIAN_POINT('Ctrl Pts',(1.90593415257308,14.9235702331081,1.77743654356766)); #478552=CARTESIAN_POINT('Ctrl Pts',(1.91360847967867,14.922627738848,1.79940335372566)); #478553=CARTESIAN_POINT('Ctrl Pts',(1.92631501702261,14.9210672321942,1.83577424198196)); #478554=CARTESIAN_POINT('Ctrl Pts',(1.9393830671623,14.9195045204635,1.87260619114556)); #478555=CARTESIAN_POINT('Ctrl Pts',(1.95300536959081,14.9179006447335,1.91012673784649)); #478556=CARTESIAN_POINT('Ctrl Pts',(1.96031972800901,14.9170394597754,1.93027301840794)); #478557=CARTESIAN_POINT('Ctrl Pts',(1.96772360097613,14.9161654598511,1.95049863894424)); #478558=CARTESIAN_POINT('Ctrl Pts',(1.97524715559145,14.9152769707512,1.97079500948998)); #478559=CARTESIAN_POINT('Ctrl Pts',(1.98946183973007,14.9135982972303,2.0091421088625)); #478560=CARTESIAN_POINT('Ctrl Pts',(2.0041055061714,14.9118683614988,2.04773759060238)); #478561=CARTESIAN_POINT('Ctrl Pts',(2.01916473784928,14.910045909268,2.0868487438132)); #478562=CARTESIAN_POINT('Ctrl Pts',(2.02578857899331,14.9092442990447,2.10405188347992)); #478563=CARTESIAN_POINT('Ctrl Pts',(2.0324926289656,14.9084247006218,2.12135545732006)); #478564=CARTESIAN_POINT('Ctrl Pts',(2.03927488658802,14.9075832238638,2.13878519614273)); #478565=CARTESIAN_POINT('Ctrl Pts',(2.06067170708662,14.9049285138623,2.1937729323345)); #478566=CARTESIAN_POINT('Ctrl Pts',(2.08284997719075,14.902055064334,2.25001886083084)); #478567=CARTESIAN_POINT('Ctrl Pts',(2.10578050738599,14.8990466014914,2.30733403922181)); #478568=CARTESIAN_POINT('Ctrl Pts',(2.11560336153305,14.8977578527596,2.33188639945743)); #478569=CARTESIAN_POINT('Ctrl Pts',(2.12556360184986,14.8964444128561,2.35663506470556)); #478570=CARTESIAN_POINT('Ctrl Pts',(2.13567830787563,14.8951179224738,2.38152854189121)); #478571=CARTESIAN_POINT('Ctrl Pts',(2.14839969290171,14.8934495798799,2.41283736165425)); #478572=CARTESIAN_POINT('Ctrl Pts',(2.16135532671102,14.8917351755603,2.44454793751574)); #478573=CARTESIAN_POINT('Ctrl Pts',(2.17454756830578,14.8899871712798,2.47658659286746)); #478574=CARTESIAN_POINT('Ctrl Pts',(2.20246360031846,14.8862882287535,2.54438342042082)); #478575=CARTESIAN_POINT('Ctrl Pts',(2.23143591998041,14.8824402213044,2.61364718417987)); #478576=CARTESIAN_POINT('Ctrl Pts',(2.26158237071725,14.8783927781323,2.68429906045671)); #478577=CARTESIAN_POINT('Ctrl Pts',(2.27953839036842,14.8759820144318,2.72638117784736)); #478578=CARTESIAN_POINT('Ctrl Pts',(2.29791175423576,14.8735003956103,2.76895525520507)); #478579=CARTESIAN_POINT('Ctrl Pts',(2.3167349541641,14.8709360989121,2.81200022905578)); #478580=CARTESIAN_POINT('Ctrl Pts',(2.33030283505021,14.8690877377253,2.84302731691412)); #478581=CARTESIAN_POINT('Ctrl Pts',(2.34410460165343,14.8671964251463,2.87429887062916)); #478582=CARTESIAN_POINT('Ctrl Pts',(2.358149513795,14.8652551690804,2.90581811653146)); #478583=CARTESIAN_POINT('Ctrl Pts',(2.38779889131752,14.8611570990186,2.97235651930415)); #478584=CARTESIAN_POINT('Ctrl Pts',(2.41805098017457,14.8569193915222,3.03882083590892)); #478585=CARTESIAN_POINT('Ctrl Pts',(2.44926200653561,14.852461234686,3.10578699515609)); #478586=CARTESIAN_POINT('Ctrl Pts',(2.4548266059696,14.8516663919686,3.11772636067174)); #478587=CARTESIAN_POINT('Ctrl Pts',(2.4604218067666,14.8508645061061,3.12968163096661)); #478588=CARTESIAN_POINT('Ctrl Pts',(2.46604979265244,14.8500550688445,3.14165601121639)); #478589=CARTESIAN_POINT('Ctrl Pts',(2.4978625682308,14.8454796405352,3.2093424410162)); #478590=CARTESIAN_POINT('Ctrl Pts',(2.53072186899561,14.8406646406222,3.27763691297583)); #478591=CARTESIAN_POINT('Ctrl Pts',(2.56481765753952,14.8355085918221,3.34731405482752)); #478592=CARTESIAN_POINT('Ctrl Pts',(2.58408631556079,14.832594738079,3.38669091266177)); #478593=CARTESIAN_POINT('Ctrl Pts',(2.60375017476882,14.8295715950864,3.42650982543592)); #478594=CARTESIAN_POINT('Ctrl Pts',(2.62384408447729,14.8264173658285,3.46691758511974)); #478595=CARTESIAN_POINT('Origin',(1.40056487360963,15.6891852452627,0.828710977298808)); #478596=CARTESIAN_POINT('Ctrl Pts',(1.36569324486775,14.9916349322124,-0.134603799064861)); #478597=CARTESIAN_POINT('Ctrl Pts',(1.26473142247858,14.9591423840543,-0.108727894774865)); #478598=CARTESIAN_POINT('Ctrl Pts',(1.15697143668646,14.9498713209925,-0.0806197945405224)); #478599=CARTESIAN_POINT('Ctrl Pts',(1.05242307431615,14.9646795385574,-0.0528904680392063)); #478600=CARTESIAN_POINT('Ctrl Pts',(1.37474343062625,14.9908496709697,-0.0988825961268402)); #478601=CARTESIAN_POINT('Ctrl Pts',(1.27371886136419,14.958392131383,-0.0730421272556652)); #478602=CARTESIAN_POINT('Ctrl Pts',(1.16590101899332,14.9491763982599,-0.0449707288695879)); #478603=CARTESIAN_POINT('Ctrl Pts',(1.06131215633061,14.9640557365553,-0.0172778840481493)); #478604=CARTESIAN_POINT('Ctrl Pts',(1.38871119415734,14.9894844274239,-0.0420721107767201)); #478605=CARTESIAN_POINT('Ctrl Pts',(1.28764302912189,14.9571834250749,-0.016567175553639)); #478606=CARTESIAN_POINT('Ctrl Pts',(1.17981332977914,14.9480958652348,0.0111359037837245)); #478607=CARTESIAN_POINT('Ctrl Pts',(1.07523005556173,14.9630617834614,0.0384659815407731)); #478608=CARTESIAN_POINT('Ctrl Pts',(1.411969245558,14.98699955451,0.05697010711019)); #478609=CARTESIAN_POINT('Ctrl Pts',(1.310911036439,14.95508895264,0.08157353409)); #478610=CARTESIAN_POINT('Ctrl Pts',(1.203175720162,14.9462680771,0.1082838241892)); #478611=CARTESIAN_POINT('Ctrl Pts',(1.098703454611,14.96134741141,0.1346365628767)); #478612=CARTESIAN_POINT('Ctrl Pts',(1.436073257354,14.98469745117,0.1592686725315)); #478613=CARTESIAN_POINT('Ctrl Pts',(1.334923177478,14.95296591943,0.1836482115043)); #478614=CARTESIAN_POINT('Ctrl Pts',(1.227131997388,14.94432701162,0.2101141395178)); #478615=CARTESIAN_POINT('Ctrl Pts',(1.122641312687,14.95957413138,0.2362259865248)); #478616=CARTESIAN_POINT('Ctrl Pts',(1.466119947977,14.98237081532,0.2784569188318)); #478617=CARTESIAN_POINT('Ctrl Pts',(1.364628434833,14.95042307771,0.3038397094255)); #478618=CARTESIAN_POINT('Ctrl Pts',(1.256438566177,14.94182939095,0.3314206152916)); #478619=CARTESIAN_POINT('Ctrl Pts',(1.151637803895,14.95738764134,0.3586285548108)); #478620=CARTESIAN_POINT('Ctrl Pts',(1.493163963737,14.98071556014,0.3746422216781)); #478621=CARTESIAN_POINT('Ctrl Pts',(1.39117484256,14.94821588028,0.4018689230304)); #478622=CARTESIAN_POINT('Ctrl Pts',(1.282352263962,14.93951626145,0.431501096392)); #478623=CARTESIAN_POINT('Ctrl Pts',(1.177034375079,14.95543953862,0.4607252609414)); #478624=CARTESIAN_POINT('Ctrl Pts',(1.516558937132,14.97947294818,0.4500001312431)); #478625=CARTESIAN_POINT('Ctrl Pts',(1.414063260409,14.9463287884,0.4791270453509)); #478626=CARTESIAN_POINT('Ctrl Pts',(1.304578173754,14.93746890466,0.5108857231858)); #478627=CARTESIAN_POINT('Ctrl Pts',(1.198716090345,14.95374837963,0.5421981880027)); #478628=CARTESIAN_POINT('Ctrl Pts',(1.540648878342,14.97799379186,0.5260672722942)); #478629=CARTESIAN_POINT('Ctrl Pts',(1.437692440143,14.94431199911,0.5567402722445)); #478630=CARTESIAN_POINT('Ctrl Pts',(1.327614736502,14.93533992379,0.5902371257295)); #478631=CARTESIAN_POINT('Ctrl Pts',(1.221270959689,14.95195850563,0.6232553589005)); #478632=CARTESIAN_POINT('Ctrl Pts',(1.58058835113254,14.9748494117137,0.652045786329737)); #478633=CARTESIAN_POINT('Ctrl Pts',(1.47706656421514,14.9407295183067,0.684025995695289)); #478634=CARTESIAN_POINT('Ctrl Pts',(1.36630840525307,14.9317619856172,0.719006364310354)); #478635=CARTESIAN_POINT('Ctrl Pts',(1.25942670445018,14.948842554483,0.753478405688378)); #478636=CARTESIAN_POINT('Ctrl Pts',(1.61391264237222,14.9711850053249,0.763139398958771)); #478637=CARTESIAN_POINT('Ctrl Pts',(1.51022246096232,14.9373805365846,0.794496977411369)); #478638=CARTESIAN_POINT('Ctrl Pts',(1.39935662105379,14.9287012931019,0.828792765557334)); #478639=CARTESIAN_POINT('Ctrl Pts',(1.29241966748388,14.9460126900558,0.862590713954085)); #478640=CARTESIAN_POINT('Ctrl Pts',(1.6334162680119,14.968451358799,0.83637639334073)); #478641=CARTESIAN_POINT('Ctrl Pts',(1.52981487458877,14.9352260922114,0.866461828615286)); #478642=CARTESIAN_POINT('Ctrl Pts',(1.41916525596565,14.9268785537109,0.899331071458382)); #478643=CARTESIAN_POINT('Ctrl Pts',(1.31243361842209,14.9442318593766,0.931728524139216)); #478644=CARTESIAN_POINT('Ctrl Pts',(1.35964407079459,14.9748310423799,0.0411721033981282)); #478645=CARTESIAN_POINT('Ctrl Pts',(1.3601531698749,14.9747888644781,0.0432318959737098)); #478646=CARTESIAN_POINT('Ctrl Pts',(1.36066178410009,14.9747467186973,0.0452914749997312)); #478647=CARTESIAN_POINT('Ctrl Pts',(1.36116995044916,14.9747046255885,0.0473506845774486)); #478648=CARTESIAN_POINT('Ctrl Pts',(1.37069351560466,14.9739157570135,0.0859424107275688)); #478649=CARTESIAN_POINT('Ctrl Pts',(1.3800586571297,14.9731454940331,0.124404823705836)); #478650=CARTESIAN_POINT('Ctrl Pts',(1.38950719174568,14.972382931835,0.162694750024207)); #478651=CARTESIAN_POINT('Ctrl Pts',(1.39007946063684,14.9723367457712,0.16501385394533)); #478652=CARTESIAN_POINT('Ctrl Pts',(1.39065202843131,14.9722905846075,0.167332327434483)); #478653=CARTESIAN_POINT('Ctrl Pts',(1.39122495840938,14.9722444485925,0.169650145115519)); #478654=CARTESIAN_POINT('Ctrl Pts',(1.39563412583717,14.9718893940095,0.187487658623183)); #478655=CARTESIAN_POINT('Ctrl Pts',(1.40006469110571,14.9715358384862,0.205286322600475)); #478656=CARTESIAN_POINT('Ctrl Pts',(1.40454079535528,14.9711835570581,0.223041354462929)); #478657=CARTESIAN_POINT('Ctrl Pts',(1.40706966137538,14.9709845285093,0.233072420376346)); #478658=CARTESIAN_POINT('Ctrl Pts',(1.40961307988615,14.9707859080071,0.243089530316793)); #478659=CARTESIAN_POINT('Ctrl Pts',(1.4121754369063,14.9705876296172,0.253091776401855)); #478660=CARTESIAN_POINT('Ctrl Pts',(1.41345661541637,14.9704884904223,0.258092899444386)); #478661=CARTESIAN_POINT('Ctrl Pts',(1.41474252864297,14.970389436366,0.263090306525882)); #478662=CARTESIAN_POINT('Ctrl Pts',(1.41603372537262,14.9702904568625,0.268083884201637)); #478663=CARTESIAN_POINT('Ctrl Pts',(1.41732492210227,14.970191477359,0.273077461877391)); #478664=CARTESIAN_POINT('Ctrl Pts',(1.418621402335,14.9700925724086,0.278067210146294)); #478665=CARTESIAN_POINT('Ctrl Pts',(1.41992371521273,14.9699937298556,0.283053015613003)); #478666=CARTESIAN_POINT('Ctrl Pts',(1.42045887870461,14.9699531121771,0.285101848200792)); #478667=CARTESIAN_POINT('Ctrl Pts',(1.42099462730264,14.9699123595885,0.287150730526889)); #478668=CARTESIAN_POINT('Ctrl Pts',(1.42153100948996,14.9698714783935,0.289199585891742)); #478669=CARTESIAN_POINT('Ctrl Pts',(1.43175107379436,14.9690925404434,0.328237857620558)); #478670=CARTESIAN_POINT('Ctrl Pts',(1.44220278137014,14.9682671833459,0.36726289584243)); #478671=CARTESIAN_POINT('Ctrl Pts',(1.45319019222781,14.9674270388539,0.405873989712279)); #478672=CARTESIAN_POINT('Ctrl Pts',(1.45866177004673,14.9670086585797,0.425101777543209)); #478673=CARTESIAN_POINT('Ctrl Pts',(1.46426577166745,14.9665864906118,0.444227889946199)); #478674=CARTESIAN_POINT('Ctrl Pts',(1.46996066436491,14.9661442047143,0.463379771724041)); #478675=CARTESIAN_POINT('Ctrl Pts',(1.47559861383365,14.9657063412332,0.482340153847331)); #478676=CARTESIAN_POINT('Ctrl Pts',(1.48132555758597,14.9652487952234,0.501325724146874)); #478677=CARTESIAN_POINT('Ctrl Pts',(1.48711600659512,14.9647749106687,0.520322802576628)); #478678=CARTESIAN_POINT('Ctrl Pts',(1.48719976628725,14.9647680558593,0.520597598095591)); #478679=CARTESIAN_POINT('Ctrl Pts',(1.48728354566668,14.9647611992864,0.520872393504442)); #478680=CARTESIAN_POINT('Ctrl Pts',(1.48736734459255,14.9647543409237,0.521147189011571)); #478681=CARTESIAN_POINT('Ctrl Pts',(1.49028507327516,14.9645155450044,0.530715076960383)); #478682=CARTESIAN_POINT('Ctrl Pts',(1.49322648985551,14.9642745838096,0.540283067617818)); #478683=CARTESIAN_POINT('Ctrl Pts',(1.4961864080478,14.9640312748653,0.549854825604823)); #478684=CARTESIAN_POINT('Ctrl Pts',(1.49751856440931,14.9639217699612,0.554162741386556)); #478685=CARTESIAN_POINT('Ctrl Pts',(1.49885446837613,14.9638117886508,0.558471420797816)); #478686=CARTESIAN_POINT('Ctrl Pts',(1.50019364756716,14.963701310731,0.562781198264961)); #478687=CARTESIAN_POINT('Ctrl Pts',(1.50144912805876,14.9635977376812,0.56682161464041)); #478688=CARTESIAN_POINT('Ctrl Pts',(1.50270748717048,14.9634937281332,0.570862996100523)); #478689=CARTESIAN_POINT('Ctrl Pts',(1.50396833598764,14.9633892639132,0.574905617982054)); #478690=CARTESIAN_POINT('Ctrl Pts',(1.50869651905199,14.9629975230881,0.590065450037798)); #478691=CARTESIAN_POINT('Ctrl Pts',(1.51345970976083,14.9625994066805,0.605242728194967)); #478692=CARTESIAN_POINT('Ctrl Pts',(1.51823743240263,14.9621937962381,0.620451948696261)); #478693=CARTESIAN_POINT('Ctrl Pts',(1.52011987185614,14.9620339843032,0.626444434839853)); #478694=CARTESIAN_POINT('Ctrl Pts',(1.52200452661119,14.9618729658154,0.632442207986617)); #478695=CARTESIAN_POINT('Ctrl Pts',(1.52389020833257,14.961710715513,0.638445754321959)); #478696=CARTESIAN_POINT('Ctrl Pts',(1.53636238163592,14.9606375684032,0.678154083399932)); #478697=CARTESIAN_POINT('Ctrl Pts',(1.54887879435016,14.95951093604,0.718114095477406)); #478698=CARTESIAN_POINT('Ctrl Pts',(1.56107733439949,14.9583065930814,0.758588096174999)); #478699=CARTESIAN_POINT('Ctrl Pts',(1.080779510685,14.9479832252,-0.4420450928008)); #478700=CARTESIAN_POINT('Ctrl Pts',(1.831772036425,15.11021658001,-0.2673551797381)); #478701=CARTESIAN_POINT('Ctrl Pts',(4.041887051404,15.54931178757,0.2463298660289)); #478702=CARTESIAN_POINT('Ctrl Pts',(9.597515678846,16.14115043525,1.541753352007)); #478703=CARTESIAN_POINT('Ctrl Pts',(14.83000642616,15.69673047848,2.771756567545)); #478704=CARTESIAN_POINT('Ctrl Pts',(18.44867213244,14.81125616472,3.622389829242)); #478705=CARTESIAN_POINT('Ctrl Pts',(18.84425270187,14.71505645648,3.715378083705)); #478706=CARTESIAN_POINT('Ctrl Pts',(1.08326016767,14.9478042856,-0.431647174615)); #478707=CARTESIAN_POINT('Ctrl Pts',(1.83425182594,15.10994106504,-0.2569111189173)); #478708=CARTESIAN_POINT('Ctrl Pts',(4.044353660925,15.54874907219,0.2569160310066)); #478709=CARTESIAN_POINT('Ctrl Pts',(9.599844695814,16.13994073872,1.552673262439)); #478710=CARTESIAN_POINT('Ctrl Pts',(14.83198620939,15.69498946141,2.783010843018)); #478711=CARTESIAN_POINT('Ctrl Pts',(18.45030921391,14.80923284815,3.633869469473)); #478712=CARTESIAN_POINT('Ctrl Pts',(18.84585242431,14.71300219,3.726882365287)); #478713=CARTESIAN_POINT('Ctrl Pts',(1.11700220125,14.94532751249,-0.2907255767707)); #478714=CARTESIAN_POINT('Ctrl Pts',(1.867980159095,15.10615303022,-0.1153638785453)); #478715=CARTESIAN_POINT('Ctrl Pts',(4.077897635872,15.54106163092,0.4003900237823)); #478716=CARTESIAN_POINT('Ctrl Pts',(9.631509375235,16.12346763246,1.700672476322)); #478717=CARTESIAN_POINT('Ctrl Pts',(14.85890353987,15.67129954427,2.935543610022)); #478718=CARTESIAN_POINT('Ctrl Pts',(18.47257149326,14.78170586793,3.789457929199)); #478719=CARTESIAN_POINT('Ctrl Pts',(18.86760723882,14.6850545368,3.882804933975)); #478720=CARTESIAN_POINT('Ctrl Pts',(1.185860317285,14.93898676342,-0.01534163170452)); #478721=CARTESIAN_POINT('Ctrl Pts',(1.936783191349,15.09717971329,0.1612444403308)); #478722=CARTESIAN_POINT('Ctrl Pts',(4.146256597358,15.52426221791,0.6807692049092)); #478723=CARTESIAN_POINT('Ctrl Pts',(9.69598037201,16.08899334037,1.989907386264)); #478724=CARTESIAN_POINT('Ctrl Pts',(14.9138797108,15.62225408855,3.233651321395)); #478725=CARTESIAN_POINT('Ctrl Pts',(18.51828827603,14.72483395225,4.093545604384)); #478726=CARTESIAN_POINT('Ctrl Pts',(18.91231458364,14.62732468273,4.187546457922)); #478727=CARTESIAN_POINT('Ctrl Pts',(1.276922903682,14.93204276439,0.3827553750226)); #478728=CARTESIAN_POINT('Ctrl Pts',(2.028382453173,15.08595896212,0.5608801167593)); #478729=CARTESIAN_POINT('Ctrl Pts',(4.239023739783,15.50034726075,1.085176239709)); #478730=CARTESIAN_POINT('Ctrl Pts',(9.78763930818,16.03608820228,2.405434173054)); #478731=CARTESIAN_POINT('Ctrl Pts',(14.99608375943,15.54505015373,3.660425746218)); #478732=CARTESIAN_POINT('Ctrl Pts',(18.59018553142,14.63397822072,4.52783716155)); #478733=CARTESIAN_POINT('Ctrl Pts',(18.98308900656,14.53497325217,4.622660000812)); #478734=CARTESIAN_POINT('Ctrl Pts',(1.402009719691,14.92070854559,0.7753336119046)); #478735=CARTESIAN_POINT('Ctrl Pts',(2.15285200703,15.07015112359,0.9552857302844)); #478736=CARTESIAN_POINT('Ctrl Pts',(4.361273116755,15.47126642797,1.485202350359)); #478737=CARTESIAN_POINT('Ctrl Pts',(9.90033910373,15.97660490649,2.818679583084)); #478738=CARTESIAN_POINT('Ctrl Pts',(15.09135076273,15.45997297867,4.08689135386)); #478739=CARTESIAN_POINT('Ctrl Pts',(18.6693998911,14.53435695349,4.963227370235)); #478740=CARTESIAN_POINT('Ctrl Pts',(19.06055265224,14.43375873896,5.059026010055)); #478741=CARTESIAN_POINT('Ctrl Pts',(1.509776977784,14.91096354939,1.17114304037)); #478742=CARTESIAN_POINT('Ctrl Pts',(2.260847736545,15.05558342775,1.352602846807)); #478743=CARTESIAN_POINT('Ctrl Pts',(4.469530947189,15.44240267504,1.88720116186)); #478744=CARTESIAN_POINT('Ctrl Pts',(10.00522342949,15.9147892412,3.231572426174)); #478745=CARTESIAN_POINT('Ctrl Pts',(15.18462140743,15.37016438888,4.510824517431)); #478746=CARTESIAN_POINT('Ctrl Pts',(18.7508015019,14.42826867564,5.394526723246)); #478747=CARTESIAN_POINT('Ctrl Pts',(19.14066072304,14.32588756487,5.491130855903)); #478748=CARTESIAN_POINT('Ctrl Pts',(1.657277797217,14.89484312951,1.564746659357)); #478749=CARTESIAN_POINT('Ctrl Pts',(2.407327715595,15.03431456195,1.748079783101)); #478750=CARTESIAN_POINT('Ctrl Pts',(4.612598851664,15.40587860168,2.288438610589)); #478751=CARTESIAN_POINT('Ctrl Pts',(10.13570357771,15.84299689523,3.646361875504)); #478752=CARTESIAN_POINT('Ctrl Pts',(15.29465395712,15.26828266035,4.939162681606)); #478753=CARTESIAN_POINT('Ctrl Pts',(18.842550417,14.30870024058,5.832013771324)); #478754=CARTESIAN_POINT('Ctrl Pts',(19.23041518998,14.20438237684,5.929618224752)); #478755=CARTESIAN_POINT('Ctrl Pts',(1.792493881863,14.8796025321,1.963885657913)); #478756=CARTESIAN_POINT('Ctrl Pts',(2.541884501933,15.01409109913,2.149019440831)); #478757=CARTESIAN_POINT('Ctrl Pts',(4.744802522565,15.37088503425,2.694926561359)); #478758=CARTESIAN_POINT('Ctrl Pts',(10.25793269071,15.77394673862,4.065873018474)); #478759=CARTESIAN_POINT('Ctrl Pts',(15.39885244682,15.17028649948,5.371730537519)); #478760=CARTESIAN_POINT('Ctrl Pts',(18.93018408682,14.19384873978,6.273376393485)); #478761=CARTESIAN_POINT('Ctrl Pts',(19.31624225789,14.08768496313,6.371942470915)); #478762=CARTESIAN_POINT('Ctrl Pts',(1.993038830896,14.8531912854,2.485926732596)); #478763=CARTESIAN_POINT('Ctrl Pts',(2.741544819059,14.98004599253,2.673216197596)); #478764=CARTESIAN_POINT('Ctrl Pts',(4.941311566795,15.31424382497,3.225800311052)); #478765=CARTESIAN_POINT('Ctrl Pts',(10.44113320829,15.66468129285,4.612327769471)); #478766=CARTESIAN_POINT('Ctrl Pts',(15.55805631283,15.01565671871,5.933920262629)); #478767=CARTESIAN_POINT('Ctrl Pts',(19.06735530586,14.01187413961,6.846097148603)); #478768=CARTESIAN_POINT('Ctrl Pts',(19.45101052951,13.90271685648,6.945814761476)); #478769=CARTESIAN_POINT('Ctrl Pts',(2.205788838262,14.8244227615,2.996314008261)); #478770=CARTESIAN_POINT('Ctrl Pts',(2.952937397531,14.9433931237,3.185803562191)); #478771=CARTESIAN_POINT('Ctrl Pts',(5.148172370813,15.25426251232,3.745191009303)); #478772=CARTESIAN_POINT('Ctrl Pts',(10.63132151706,15.55022162149,5.147623618685)); #478773=CARTESIAN_POINT('Ctrl Pts',(15.72122681263,14.85405510642,6.485241735124)); #478774=CARTESIAN_POINT('Ctrl Pts',(19.20638302819,13.8215983958,7.408165860252)); #478775=CARTESIAN_POINT('Ctrl Pts',(19.58740465367,13.70930239453,7.509058628618)); #478776=CARTESIAN_POINT('Ctrl Pts',(2.437441418145,14.78640454135,3.497357171873)); #478777=CARTESIAN_POINT('Ctrl Pts',(3.182935397139,14.89690485732,3.688927580875)); #478778=CARTESIAN_POINT('Ctrl Pts',(5.372767498167,15.18272820648,4.254768428504)); #478779=CARTESIAN_POINT('Ctrl Pts',(10.83708723408,15.41994618837,5.672238876662)); #478780=CARTESIAN_POINT('Ctrl Pts',(15.89796433794,14.67264611281,7.025070220154)); #478781=CARTESIAN_POINT('Ctrl Pts',(19.35754154889,13.60865343256,7.958160359256)); #478782=CARTESIAN_POINT('Ctrl Pts',(19.73577262003,13.49290597122,8.06016476556)); #478783=CARTESIAN_POINT('Ctrl Pts',(2.617246956285,14.75545400664,3.858617242887)); #478784=CARTESIAN_POINT('Ctrl Pts',(3.360829909781,14.86024040788,4.052147764958)); #478785=CARTESIAN_POINT('Ctrl Pts',(5.544654753236,15.12915594271,4.623993774123)); #478786=CARTESIAN_POINT('Ctrl Pts',(10.99010282469,15.32691086995,6.05565044266)); #478787=CARTESIAN_POINT('Ctrl Pts',(16.02497429451,14.54548780257,7.422591743549)); #478788=CARTESIAN_POINT('Ctrl Pts',(19.46242328095,13.46077660452,8.365253210614)); #478789=CARTESIAN_POINT('Ctrl Pts',(19.83823962763,13.34276121566,8.468304083687)); #478790=CARTESIAN_POINT('Ctrl Pts',(2.790523442949,14.72442364225,4.224698655071)); #478791=CARTESIAN_POINT('Ctrl Pts',(3.533122855722,14.8223874845,4.419422526695)); #478792=CARTESIAN_POINT('Ctrl Pts',(5.713659265454,15.07114330127,4.995025765731)); #478793=CARTESIAN_POINT('Ctrl Pts',(11.14696679909,15.22137696456,6.435290502534)); #478794=CARTESIAN_POINT('Ctrl Pts',(16.16210453543,14.39829935488,7.8111246206)); #478795=CARTESIAN_POINT('Ctrl Pts',(19.58190515531,13.28748845538,8.759619856636)); #478796=CARTESIAN_POINT('Ctrl Pts',(19.95579635371,13.16661706376,8.863308752535)); #478797=CARTESIAN_POINT('Ctrl Pts',(2.994885102567,14.68316382161,4.583741029764)); #478798=CARTESIAN_POINT('Ctrl Pts',(3.73521843778,14.77442086744,4.780286213079)); #478799=CARTESIAN_POINT('Ctrl Pts',(5.90869612575,15.00335316429,5.361494019575)); #478800=CARTESIAN_POINT('Ctrl Pts',(11.32042358036,15.10695406944,6.81493340784)); #478801=CARTESIAN_POINT('Ctrl Pts',(16.30681040768,14.24311519015,8.203951989553)); #478802=CARTESIAN_POINT('Ctrl Pts',(19.70242841233,13.10692616245,9.161342383683)); #478803=CARTESIAN_POINT('Ctrl Pts',(20.07368046751,12.98327753927,9.266003866189)); #478804=CARTESIAN_POINT('Ctrl Pts',(3.188177153132,14.64198264406,4.948429415768)); #478805=CARTESIAN_POINT('Ctrl Pts',(3.927060569862,14.72603592558,5.146207076637)); #478806=CARTESIAN_POINT('Ctrl Pts',(6.095873347347,14.93368726994,5.731292403815)); #478807=CARTESIAN_POINT('Ctrl Pts',(11.49196029438,14.98703917955,7.193622929361)); #478808=CARTESIAN_POINT('Ctrl Pts',(16.45515813552,14.07905378257,8.591817233525)); #478809=CARTESIAN_POINT('Ctrl Pts',(19.83057789769,12.91506081156,9.555232487556)); #478810=CARTESIAN_POINT('Ctrl Pts',(20.19962622573,12.78836986218,9.660552886339)); #478811=CARTESIAN_POINT('Ctrl Pts',(3.401996607128,14.59438019491,5.312565760593)); #478812=CARTESIAN_POINT('Ctrl Pts',(4.13842632176,14.67144966,5.512175028305)); #478813=CARTESIAN_POINT('Ctrl Pts',(6.299620251313,14.8584613659,6.10290140125)); #478814=CARTESIAN_POINT('Ctrl Pts',(11.67264048256,14.86321682916,7.578479686606)); #478815=CARTESIAN_POINT('Ctrl Pts',(16.60549182414,13.91270133029,8.989947321479)); #478816=CARTESIAN_POINT('Ctrl Pts',(19.9555005218,12.72215464813,9.962308326621)); #478817=CARTESIAN_POINT('Ctrl Pts',(20.32177554522,12.59255790527,10.06860685311)); #478818=CARTESIAN_POINT('Ctrl Pts',(3.612057664721,14.54353702598,5.667784848693)); #478819=CARTESIAN_POINT('Ctrl Pts',(4.346344744377,14.61328309687,5.868821283554)); #478820=CARTESIAN_POINT('Ctrl Pts',(6.500841313574,14.77866268117,6.463996707475)); #478821=CARTESIAN_POINT('Ctrl Pts',(11.85317119712,14.73230336725,7.949882268891)); #478822=CARTESIAN_POINT('Ctrl Pts',(16.75809032238,13.73683716027,9.371852574451)); #478823=CARTESIAN_POINT('Ctrl Pts',(20.08449681466,12.51791859274,10.35118749557)); #478824=CARTESIAN_POINT('Ctrl Pts',(20.44819612863,12.38521745015,10.45824865354)); #478825=CARTESIAN_POINT('Ctrl Pts',(3.857257454157,14.48031441253,6.01253777631)); #478826=CARTESIAN_POINT('Ctrl Pts',(4.588698529151,14.54178775818,6.214954515119)); #478827=CARTESIAN_POINT('Ctrl Pts',(6.734423607003,14.68274682102,6.814448747694)); #478828=CARTESIAN_POINT('Ctrl Pts',(12.06080697114,14.57842571469,8.310298628608)); #478829=CARTESIAN_POINT('Ctrl Pts',(16.93264120497,13.53168031378,9.742474105005)); #478830=CARTESIAN_POINT('Ctrl Pts',(20.23165743916,12.27996245976,10.72855497434)); #478831=CARTESIAN_POINT('Ctrl Pts',(20.59236717771,12.14367297899,10.83635386516)); #478832=CARTESIAN_POINT('Ctrl Pts',(4.130559027396,14.4056411928,6.320261649223)); #478833=CARTESIAN_POINT('Ctrl Pts',(4.858023798689,14.45894078427,6.52481224729)); #478834=CARTESIAN_POINT('Ctrl Pts',(6.991672000599,14.57577314566,7.130829225568)); #478835=CARTESIAN_POINT('Ctrl Pts',(12.28400416537,14.41416035271,8.642126986762)); #478836=CARTESIAN_POINT('Ctrl Pts',(17.11536732934,13.31669631428,10.0896191468)); #478837=CARTESIAN_POINT('Ctrl Pts',(20.3817874005,12.03249247201,11.08611879747)); #478838=CARTESIAN_POINT('Ctrl Pts',(20.73893857275,11.89264898031,11.19505678151)); #478839=CARTESIAN_POINT('Ctrl Pts',(4.427565646775,14.31571704182,6.58573759491)); #478840=CARTESIAN_POINT('Ctrl Pts',(5.150869485138,14.35953834371,6.791569916632)); #478841=CARTESIAN_POINT('Ctrl Pts',(7.271909593725,14.44842190047,7.401603966707)); #478842=CARTESIAN_POINT('Ctrl Pts',(12.52897411054,14.21997380157,8.922152497434)); #478843=CARTESIAN_POINT('Ctrl Pts',(17.31894061515,13.06278907433,10.37914103596)); #478844=CARTESIAN_POINT('Ctrl Pts',(20.55219109899,11.73955842027,11.38190528078)); #478845=CARTESIAN_POINT('Ctrl Pts',(20.90572095172,11.59544622384,11.4915283701)); #478846=CARTESIAN_POINT('Ctrl Pts',(4.647488735486,14.2458962032,6.749236485359)); #478847=CARTESIAN_POINT('Ctrl Pts',(5.367381248672,14.28307319506,6.956270171624)); #478848=CARTESIAN_POINT('Ctrl Pts',(7.478133889588,14.35236496598,7.570007758497)); #478849=CARTESIAN_POINT('Ctrl Pts',(12.70689202023,14.0770593418,9.099244589915)); #478850=CARTESIAN_POINT('Ctrl Pts',(17.46450878655,12.87799713271,10.56494976437)); #478851=CARTESIAN_POINT('Ctrl Pts',(20.67213199232,11.52738899141,11.57358182375)); #478852=CARTESIAN_POINT('Ctrl Pts',(21.02286370387,11.38028229133,11.6838465063)); #478853=CARTESIAN_POINT('Ctrl Pts',(4.875653723307,14.16962343671,6.900483629594)); #478854=CARTESIAN_POINT('Ctrl Pts',(5.591923286945,14.20000044344,7.108665970825)); #478855=CARTESIAN_POINT('Ctrl Pts',(7.691772007837,14.24924543094,7.725949833544)); #478856=CARTESIAN_POINT('Ctrl Pts',(12.89073607608,13.92592787247,9.263492282897)); #478857=CARTESIAN_POINT('Ctrl Pts',(17.61469800757,12.68387868452,10.73754728747)); #478858=CARTESIAN_POINT('Ctrl Pts',(20.79579594807,11.30505983474,11.75179005286)); #478859=CARTESIAN_POINT('Ctrl Pts',(21.14363134891,11.15486761488,11.86266822626)); #478860=CARTESIAN_POINT('Ctrl Pts',(5.01622350952,14.12028310554,6.979088825605)); #478861=CARTESIAN_POINT('Ctrl Pts',(5.730228771753,14.14649817141,7.188076539614)); #478862=CARTESIAN_POINT('Ctrl Pts',(7.823273773174,14.18347629182,7.80782625885)); #478863=CARTESIAN_POINT('Ctrl Pts',(13.00375395733,13.83073377353,9.351197993395)); #478864=CARTESIAN_POINT('Ctrl Pts',(17.70704044412,12.56228542128,10.83104587863)); #478865=CARTESIAN_POINT('Ctrl Pts',(20.87191376912,11.16606727009,11.8492212179)); #478866=CARTESIAN_POINT('Ctrl Pts',(21.21797752993,11.01397210069,11.96052935035)); #478867=CARTESIAN_POINT('Ctrl Pts',(5.358713834545,13.99291084464,7.060366828912)); #478868=CARTESIAN_POINT('Ctrl Pts',(6.067075532782,14.00890092363,7.271104114061)); #478869=CARTESIAN_POINT('Ctrl Pts',(8.143189495613,14.01574976518,7.896226881323)); #478870=CARTESIAN_POINT('Ctrl Pts',(13.27792874003,13.59060781045,9.452257380076)); #478871=CARTESIAN_POINT('Ctrl Pts',(17.93054253433,12.25705579537,10.94473824385)); #478872=CARTESIAN_POINT('Ctrl Pts',(21.05582430393,10.81772272237,11.97145766533)); #478873=CARTESIAN_POINT('Ctrl Pts',(21.39756472522,10.66091233151,12.08369997727)); #478874=CARTESIAN_POINT('Ctrl Pts',(5.593652488533,13.89872099183,7.011102639683)); #478875=CARTESIAN_POINT('Ctrl Pts',(6.298030729337,13.90753448949,7.222202334883)); #478876=CARTESIAN_POINT('Ctrl Pts',(8.362218283368,13.89324504562,7.848543307673)); #478877=CARTESIAN_POINT('Ctrl Pts',(13.46497051694,13.41716971593,9.407166085867)); #478878=CARTESIAN_POINT('Ctrl Pts',(18.08259890292,12.03765446021,10.9025782672)); #478879=CARTESIAN_POINT('Ctrl Pts',(21.18070573116,10.56765822582,11.93107437598)); #478880=CARTESIAN_POINT('Ctrl Pts',(21.51947854358,10.40749463451,12.04351114885)); #478881=CARTESIAN_POINT('Ctrl Pts',(5.814843626812,13.81089616869,6.977871082999)); #478882=CARTESIAN_POINT('Ctrl Pts',(6.51548554425,13.81297337637,7.189416880231)); #478883=CARTESIAN_POINT('Ctrl Pts',(8.568483659079,13.7788414691,7.817213749927)); #478884=CARTESIAN_POINT('Ctrl Pts',(13.6411969968,13.25497209821,9.379039839368)); #478885=CARTESIAN_POINT('Ctrl Pts',(18.22591537831,11.83234643386,10.87792986358)); #478886=CARTESIAN_POINT('Ctrl Pts',(21.29843945178,10.33361748088,11.90861011391)); #478887=CARTESIAN_POINT('Ctrl Pts',(21.63441854645,10.17031176486,12.02128585975)); #478888=CARTESIAN_POINT('',(8.67661769447429,13.7653164305007,7.8885231395471)); #478889=CARTESIAN_POINT('Ctrl Pts',(8.67661769510086,13.7653164943778,7.88852293650159)); #478890=CARTESIAN_POINT('Ctrl Pts',(8.6663417655059,13.7713774099355,7.89039795879181)); #478891=CARTESIAN_POINT('Ctrl Pts',(8.65601358409616,13.777373840513,7.89219134310445)); #478892=CARTESIAN_POINT('Ctrl Pts',(8.645806383131,13.78304038863,7.893711088499)); #478893=CARTESIAN_POINT('Ctrl Pts',(21.439006007197,10.3348450132897,11.9800290790537)); #478894=CARTESIAN_POINT('Ctrl Pts',(19.9524815958832,11.0497128430986,11.4834997447459)); #478895=CARTESIAN_POINT('Ctrl Pts',(17.995513507864,11.9387324783781,10.8299363184081)); #478896=CARTESIAN_POINT('Ctrl Pts',(13.0776065164104,13.2621241243658,9.24890127351967)); #478897=CARTESIAN_POINT('Ctrl Pts',(10.9023139562896,13.6180958819433,8.57031842569405)); #478898=CARTESIAN_POINT('Ctrl Pts',(8.67661781991304,13.7653163138628,7.88852270487142)); #478899=CARTESIAN_POINT('Ctrl Pts',(6.856105413962,13.88420738047,7.653859557168)); #478900=CARTESIAN_POINT('Ctrl Pts',(7.151074805558,13.87933889935,7.743960667462)); #478901=CARTESIAN_POINT('Ctrl Pts',(8.806588435459,13.83457758993,8.251077219555)); #478902=CARTESIAN_POINT('Ctrl Pts',(13.50350704903,13.3833695342,9.697703377075)); #478903=CARTESIAN_POINT('Ctrl Pts',(18.11092210118,11.99451877892,11.20534134096)); #478904=CARTESIAN_POINT('Ctrl Pts',(21.19807337052,10.52274081349,12.24147913447)); #478905=CARTESIAN_POINT('Ctrl Pts',(21.53169720133,10.36415458854,12.3534297845)); #478906=CARTESIAN_POINT('Ctrl Pts',(6.888295473494,13.86876884731,7.556521796298)); #478907=CARTESIAN_POINT('Ctrl Pts',(7.182838039532,13.86362819992,7.646393568908)); #478908=CARTESIAN_POINT('Ctrl Pts',(8.835983691514,13.81732984741,8.152218218747)); #478909=CARTESIAN_POINT('Ctrl Pts',(13.52663969466,13.36153579138,9.595276216459)); #478910=CARTESIAN_POINT('Ctrl Pts',(18.1291809497,11.96747473272,11.09904883227)); #478911=CARTESIAN_POINT('Ctrl Pts',(21.21339997214,10.49135882911,12.13262314636)); #478912=CARTESIAN_POINT('Ctrl Pts',(21.54670664414,10.33230458715,12.2442967521)); #478913=CARTESIAN_POINT('Ctrl Pts',(6.984991958705,13.82239267048,7.264126582009)); #478914=CARTESIAN_POINT('Ctrl Pts',(7.278252373305,13.81643445637,7.3533094417)); #478915=CARTESIAN_POINT('Ctrl Pts',(8.924284800102,13.76551894352,7.855253315634)); #478916=CARTESIAN_POINT('Ctrl Pts',(13.59612839893,13.29594889216,9.287592833262)); #478917=CARTESIAN_POINT('Ctrl Pts',(18.18402913891,11.88623647933,10.77975423807)); #478918=CARTESIAN_POINT('Ctrl Pts',(21.25943991519,10.39708974003,11.80562805537)); #478919=CARTESIAN_POINT('Ctrl Pts',(21.59179386629,10.23662961067,11.91646944122)); #478920=CARTESIAN_POINT('Ctrl Pts',(7.086172674985,13.77386583126,6.958171746568)); #478921=CARTESIAN_POINT('Ctrl Pts',(7.378091479284,13.76705213635,7.046633745491)); #478922=CARTESIAN_POINT('Ctrl Pts',(9.01668081012,13.71130534562,7.544516875286)); #478923=CARTESIAN_POINT('Ctrl Pts',(13.66883959268,13.22732044691,8.965640850898)); #478924=CARTESIAN_POINT('Ctrl Pts',(18.24142087409,11.80123085942,10.445652583)); #478925=CARTESIAN_POINT('Ctrl Pts',(21.30761492802,10.29844898867,11.46346879844)); #478926=CARTESIAN_POINT('Ctrl Pts',(21.63897197645,10.13651777485,11.57343937078)); #478927=CARTESIAN_POINT('Ctrl Pts',(7.081702847881,13.77752816376,6.623246848901)); #478928=CARTESIAN_POINT('Ctrl Pts',(7.373080690995,13.77144181995,6.71103755322)); #478929=CARTESIAN_POINT('Ctrl Pts',(9.008730642691,13.71974949397,7.205137157176)); #478930=CARTESIAN_POINT('Ctrl Pts',(13.65415339012,13.2465844883,8.615864250142)); #478931=CARTESIAN_POINT('Ctrl Pts',(18.22497504346,11.82960629246,10.0844928801)); #478932=CARTESIAN_POINT('Ctrl Pts',(21.29180924584,10.33126842337,11.094855356)); #478933=CARTESIAN_POINT('Ctrl Pts',(21.6232339468,10.16981905918,11.2040202982)); #478934=CARTESIAN_POINT('Ctrl Pts',(7.042503487768,13.79709217852,6.466882301142)); #478935=CARTESIAN_POINT('Ctrl Pts',(7.333996646237,13.79174405266,6.554397221303)); #478936=CARTESIAN_POINT('Ctrl Pts',(8.970339775059,13.74418319981,7.046941711813)); #478937=CARTESIAN_POINT('Ctrl Pts',(13.61849269578,13.28244324207,8.45341531834)); #478938=CARTESIAN_POINT('Ctrl Pts',(18.19444902791,11.87619903697,9.917341678776)); #478939=CARTESIAN_POINT('Ctrl Pts',(21.26584067815,10.38457952664,10.9246606613)); #478940=CARTESIAN_POINT('Ctrl Pts',(21.59775695152,10.2238566885,11.03349662097)); #478941=CARTESIAN_POINT('Ctrl Pts',(6.978328283071,13.82879758307,6.211923135697)); #478942=CARTESIAN_POINT('Ctrl Pts',(7.270091665131,13.82449006742,6.298991712671)); #478943=CARTESIAN_POINT('Ctrl Pts',(8.908027163154,13.78274981147,6.789019171016)); #478944=CARTESIAN_POINT('Ctrl Pts',(13.56195624007,13.33702772695,8.18861245107)); #478945=CARTESIAN_POINT('Ctrl Pts',(18.14765907646,11.94562230201,9.644923005406)); #478946=CARTESIAN_POINT('Ctrl Pts',(21.22747612162,10.46303925251,10.64732016025)); #478947=CARTESIAN_POINT('Ctrl Pts',(21.56030131212,10.30329387493,10.75562409502)); #478948=CARTESIAN_POINT('Ctrl Pts',(6.94586893022,13.84513037409,6.06672998298)); #478949=CARTESIAN_POINT('Ctrl Pts',(7.237722505802,13.84140983475,6.153551128002)); #478950=CARTESIAN_POINT('Ctrl Pts',(8.876207542839,13.80295256175,6.642183045635)); #478951=CARTESIAN_POINT('Ctrl Pts',(13.53241530096,13.36625926474,8.037967300408)); #478952=CARTESIAN_POINT('Ctrl Pts',(18.12266113002,11.98320247807,9.490048599244)); #478953=CARTESIAN_POINT('Ctrl Pts',(21.2065624177,10.50568473914,10.48972268163)); #478954=CARTESIAN_POINT('Ctrl Pts',(21.53982810712,10.34648728826,10.59773225738)); #478955=CARTESIAN_POINT('Ctrl Pts',(6.874785872303,13.88024034527,5.835177300278)); #478956=CARTESIAN_POINT('Ctrl Pts',(7.166905366637,13.87776117625,5.921637886863)); #478957=CARTESIAN_POINT('Ctrl Pts',(8.806954250762,13.84625315394,6.408235100482)); #478958=CARTESIAN_POINT('Ctrl Pts',(13.4687848385,13.42882216563,7.798495431025)); #478959=CARTESIAN_POINT('Ctrl Pts',(18.06839644533,12.06398370085,9.244375290145)); #478960=CARTESIAN_POINT('Ctrl Pts',(21.16036655859,10.59801337245,10.24010921319)); #478961=CARTESIAN_POINT('Ctrl Pts',(21.49450271692,10.44006451692,10.34769282065)); #478962=CARTESIAN_POINT('Ctrl Pts',(6.779112909718,13.92644033328,5.600918099451)); #478963=CARTESIAN_POINT('Ctrl Pts',(7.071732208928,13.92544572247,5.687010246869)); #478964=CARTESIAN_POINT('Ctrl Pts',(8.714661286719,13.90225161881,6.171528076642)); #478965=CARTESIAN_POINT('Ctrl Pts',(13.3859112641,13.50794528043,7.556148674111)); #478966=CARTESIAN_POINT('Ctrl Pts',(17.99890106948,12.16519179034,8.995684404646)); #478967=CARTESIAN_POINT('Ctrl Pts',(21.10187001011,10.71347313747,9.987397218951)); #478968=CARTESIAN_POINT('Ctrl Pts',(21.43719307114,10.55706503015,10.09454609841)); #478969=CARTESIAN_POINT('Ctrl Pts',(6.633610903897,13.99223560183,5.273137780848)); #478970=CARTESIAN_POINT('Ctrl Pts',(6.927290683728,13.99300320129,5.358440926327)); #478971=CARTESIAN_POINT('Ctrl Pts',(8.576279461593,13.97967266971,5.838513345495)); #478972=CARTESIAN_POINT('Ctrl Pts',(13.26651522949,13.61267364301,7.210877586827)); #478973=CARTESIAN_POINT('Ctrl Pts',(17.90421270166,12.29565878088,8.637087094829)); #478974=CARTESIAN_POINT('Ctrl Pts',(21.02689153754,10.86014898262,9.620000960389)); #478975=CARTESIAN_POINT('Ctrl Pts',(21.36434194048,10.70549360713,9.726198874482)); #478976=CARTESIAN_POINT('Ctrl Pts',(6.460685324475,14.07105242736,4.931080450416)); #478977=CARTESIAN_POINT('Ctrl Pts',(6.755217154489,14.07464805997,5.016020782884)); #478978=CARTESIAN_POINT('Ctrl Pts',(8.409102985723,14.07716368612,5.494039876534)); #478979=CARTESIAN_POINT('Ctrl Pts',(13.1151439216,13.75444368401,6.860985884445)); #478980=CARTESIAN_POINT('Ctrl Pts',(17.77471885979,12.48026194997,8.280751874026)); #478981=CARTESIAN_POINT('Ctrl Pts',(20.91523171359,11.07303296216,9.259848091033)); #478982=CARTESIAN_POINT('Ctrl Pts',(21.25460672085,10.92143439614,9.365633045185)); #478983=CARTESIAN_POINT('Ctrl Pts',(6.270642170571,14.1526443201,4.617207617501)); #478984=CARTESIAN_POINT('Ctrl Pts',(6.566539036973,14.15857907244,4.701365995577)); #478985=CARTESIAN_POINT('Ctrl Pts',(8.228198115081,14.17419678194,5.174978773631)); #478986=CARTESIAN_POINT('Ctrl Pts',(12.95815806923,13.88797736985,6.529792461504)); #478987=CARTESIAN_POINT('Ctrl Pts',(17.64762693189,12.64881003355,7.936330153739)); #478988=CARTESIAN_POINT('Ctrl Pts',(20.81162699409,11.2643581517,8.906721293872)); #478989=CARTESIAN_POINT('Ctrl Pts',(21.15353729242,11.11522183941,9.01156540403)); #478990=CARTESIAN_POINT('Ctrl Pts',(6.0866549315,14.22864756561,4.282453012797)); #478991=CARTESIAN_POINT('Ctrl Pts',(6.383772167608,14.23697868387,4.365863623104)); #478992=CARTESIAN_POINT('Ctrl Pts',(8.052396025446,14.2660187536,4.835261501922)); #478993=CARTESIAN_POINT('Ctrl Pts',(12.80403822293,14.01718308839,6.17850242053)); #478994=CARTESIAN_POINT('Ctrl Pts',(17.52132932794,12.81385309678,7.572347914894)); #478995=CARTESIAN_POINT('Ctrl Pts',(20.70740877058,11.45268528968,8.534445128606)); #478996=CARTESIAN_POINT('Ctrl Pts',(21.05170223684,11.30606606313,8.638392783711)); #478997=CARTESIAN_POINT('Ctrl Pts',(5.919066218616,14.29623680316,3.956032341825)); #478998=CARTESIAN_POINT('Ctrl Pts',(6.217129774523,14.3070419118,4.03889018722)); #478999=CARTESIAN_POINT('Ctrl Pts',(7.891174876358,14.34994164224,4.505168833798)); #479000=CARTESIAN_POINT('Ctrl Pts',(12.66002861917,14.13976857353,5.839938003004)); #479001=CARTESIAN_POINT('Ctrl Pts',(17.40035895774,12.97366434837,7.224280734689)); #479002=CARTESIAN_POINT('Ctrl Pts',(20.60500419347,11.63687285513,8.180334082771)); #479003=CARTESIAN_POINT('Ctrl Pts',(20.95130138393,11.49288860366,8.283628344408)); #479004=CARTESIAN_POINT('Ctrl Pts',(5.737495595402,14.36692817102,3.622319988389)); #479005=CARTESIAN_POINT('Ctrl Pts',(6.036746848761,14.38004013531,3.704391768482)); #479006=CARTESIAN_POINT('Ctrl Pts',(7.71757233753,14.43585987837,4.166240594745)); #479007=CARTESIAN_POINT('Ctrl Pts',(12.50756809222,14.26164749357,5.488821738804)); #479008=CARTESIAN_POINT('Ctrl Pts',(17.2751238389,13.1299525547,6.859854919194)); #479009=CARTESIAN_POINT('Ctrl Pts',(20.50140332854,11.81544256046,7.807165901456)); #479010=CARTESIAN_POINT('Ctrl Pts',(20.85003562136,11.67386708582,7.909515275558)); #479011=CARTESIAN_POINT('Ctrl Pts',(5.587356600491,14.42376664361,3.285800722037)); #479012=CARTESIAN_POINT('Ctrl Pts',(5.88734928742,14.43917199775,3.367308044034)); #479013=CARTESIAN_POINT('Ctrl Pts',(7.572445292744,14.50783733921,3.82597200908)); #479014=CARTESIAN_POINT('Ctrl Pts',(12.37637348047,14.36940281409,5.139893748354)); #479015=CARTESIAN_POINT('Ctrl Pts',(17.16362530548,13.2720070977,6.501235386771)); #479016=CARTESIAN_POINT('Ctrl Pts',(20.4060732711,11.97978340069,7.442365790929)); #479017=CARTESIAN_POINT('Ctrl Pts',(20.75645034925,11.8406171472,7.544047005993)); #479018=CARTESIAN_POINT('Ctrl Pts',(5.431306854615,14.47997566197,2.948629789645)); #479019=CARTESIAN_POINT('Ctrl Pts',(5.732170265571,14.49756019423,3.029447346267)); #479020=CARTESIAN_POINT('Ctrl Pts',(7.422263714006,14.57842951918,3.484222678266)); #479021=CARTESIAN_POINT('Ctrl Pts',(12.24220866856,14.47393985254,4.787485306522)); #479022=CARTESIAN_POINT('Ctrl Pts',(17.0513217429,13.40896347238,6.137096068022)); #479023=CARTESIAN_POINT('Ctrl Pts',(20.31150573207,12.13766106207,7.070592661342)); #479024=CARTESIAN_POINT('Ctrl Pts',(20.6637968977,12.00075660753,7.17144874389)); #479025=CARTESIAN_POINT('Ctrl Pts',(5.269422652922,14.5356223822,2.613905441368)); #479026=CARTESIAN_POINT('Ctrl Pts',(5.571347315994,14.55523099982,2.69390094289)); #479027=CARTESIAN_POINT('Ctrl Pts',(7.267508039027,14.64743342595,3.144044798651)); #479028=CARTESIAN_POINT('Ctrl Pts',(12.10651412799,14.5744101088,4.434533745012)); #479029=CARTESIAN_POINT('Ctrl Pts',(16.9405791227,13.53933581148,5.770265639216)); #479030=CARTESIAN_POINT('Ctrl Pts',(20.22071050247,12.28715384578,6.694590605722)); #479031=CARTESIAN_POINT('Ctrl Pts',(20.57515461345,12.15231667434,6.794455451317)); #479032=CARTESIAN_POINT('Ctrl Pts',(5.137954058218,14.58000059418,2.273461625599)); #479033=CARTESIAN_POINT('Ctrl Pts',(5.440509610477,14.60157291815,2.352807096604)); #479034=CARTESIAN_POINT('Ctrl Pts',(7.140318538548,14.70477013921,2.799285797477)); #479035=CARTESIAN_POINT('Ctrl Pts',(11.9914597138,14.66226813278,4.079742307184)); #479036=CARTESIAN_POINT('Ctrl Pts',(16.8433135615,13.65618251738,5.404400769514)); #479037=CARTESIAN_POINT('Ctrl Pts',(20.13821793735,12.42251882107,6.321544946644)); #479038=CARTESIAN_POINT('Ctrl Pts',(20.494256127,12.28968384409,6.420633605425)); #479039=CARTESIAN_POINT('Ctrl Pts',(4.99618574934,14.62729667633,1.938173358236)); #479040=CARTESIAN_POINT('Ctrl Pts',(5.299446380548,14.65091769605,2.016853851845)); #479041=CARTESIAN_POINT('Ctrl Pts',(7.003320032007,14.7655869798,2.459583477812)); #479042=CARTESIAN_POINT('Ctrl Pts',(11.86778050739,14.75496535439,3.729768376844)); #479043=CARTESIAN_POINT('Ctrl Pts',(16.73862215133,13.77925076907,5.043111087569)); #479044=CARTESIAN_POINT('Ctrl Pts',(20.04915613679,12.56509651902,5.952900453794)); #479045=CARTESIAN_POINT('Ctrl Pts',(20.40688093205,12.43437079534,6.051194129542)); #479046=CARTESIAN_POINT('Ctrl Pts',(4.866325992616,14.6677523843,1.590253004208)); #479047=CARTESIAN_POINT('Ctrl Pts',(5.170325270185,14.69306185208,1.66813889691)); #479048=CARTESIAN_POINT('Ctrl Pts',(6.878453914381,14.81717975109,2.106391317007)); #479049=CARTESIAN_POINT('Ctrl Pts',(11.75680474803,14.8326660202,3.364238817254)); #479050=CARTESIAN_POINT('Ctrl Pts',(16.64730630713,13.88142368797,4.664152139856)); #479051=CARTESIAN_POINT('Ctrl Pts',(19.97398534376,12.68251907138,5.565086341896)); #479052=CARTESIAN_POINT('Ctrl Pts',(20.33345239224,12.55344251751,5.662422966885)); #479053=CARTESIAN_POINT('Ctrl Pts',(4.737981504366,14.70746462049,1.232320992828)); #479054=CARTESIAN_POINT('Ctrl Pts',(5.042550077453,14.73471144261,1.309509865928)); #479055=CARTESIAN_POINT('Ctrl Pts',(6.753985294549,14.86967487515,1.743832669293)); #479056=CARTESIAN_POINT('Ctrl Pts',(11.64356907952,14.91522654771,2.990911029244)); #479057=CARTESIAN_POINT('Ctrl Pts',(16.55116205521,13.99242861423,4.278967098052)); #479058=CARTESIAN_POINT('Ctrl Pts',(19.89219674851,12.81156312874,5.172189379092)); #479059=CARTESIAN_POINT('Ctrl Pts',(20.25321273312,12.6844370952,5.268692425251)); #479060=CARTESIAN_POINT('Ctrl Pts',(4.625517440994,14.74213456645,0.8753566261847)); #479061=CARTESIAN_POINT('Ctrl Pts',(4.93045272111,14.77116296974,0.9518783475382)); #479062=CARTESIAN_POINT('Ctrl Pts',(6.644055013133,14.91609779515,1.382439521951)); #479063=CARTESIAN_POINT('Ctrl Pts',(11.54159684699,14.98924066666,2.619219704734)); #479064=CARTESIAN_POINT('Ctrl Pts',(16.46285582326,14.09240970716,3.89591284461)); #479065=CARTESIAN_POINT('Ctrl Pts',(19.81575764054,12.92785017436,4.78176363189)); #479066=CARTESIAN_POINT('Ctrl Pts',(20.1780537953,12.80248741925,4.877469880084)); #479067=CARTESIAN_POINT('Ctrl Pts',(4.509975685166,14.77524052458,0.5251933951985)); #479068=CARTESIAN_POINT('Ctrl Pts',(4.815518389696,14.80577940585,0.6009303026013)); #479069=CARTESIAN_POINT('Ctrl Pts',(6.532637843918,14.95916378323,1.027069367047)); #479070=CARTESIAN_POINT('Ctrl Pts',(11.4419537056,15.05557801629,2.251665273784)); #479071=CARTESIAN_POINT('Ctrl Pts',(16.38067192421,14.1803561306,3.515092743491)); #479072=CARTESIAN_POINT('Ctrl Pts',(19.74812471491,13.02901746188,4.392198656756)); #479073=CARTESIAN_POINT('Ctrl Pts',(20.111990956,12.90508472411,4.486959772232)); #479074=CARTESIAN_POINT('Ctrl Pts',(4.412923613578,14.80257942513,0.2047185110823)); #479075=CARTESIAN_POINT('Ctrl Pts',(4.718759088879,14.83472586803,0.2798618711465)); #479076=CARTESIAN_POINT('Ctrl Pts',(6.437618977056,14.9971079436,0.7026542508986)); #479077=CARTESIAN_POINT('Ctrl Pts',(11.35348833498,15.11842593784,1.918089625495)); #479078=CARTESIAN_POINT('Ctrl Pts',(16.30385254724,14.26666008265,3.171405643806)); #479079=CARTESIAN_POINT('Ctrl Pts',(19.68150500123,13.13007242723,4.041954891335)); #479080=CARTESIAN_POINT('Ctrl Pts',(20.04647147847,13.00773479925,4.13600728259)); #479081=CARTESIAN_POINT('Ctrl Pts',(4.357772352762,14.81789220142,-0.002891516309886)); #479082=CARTESIAN_POINT('Ctrl Pts',(4.663827943244,14.85082190489,0.07181524609001)); #479083=CARTESIAN_POINT('Ctrl Pts',(6.383985650045,15.0175834765,0.4921471930297)); #479084=CARTESIAN_POINT('Ctrl Pts',(11.30457421047,15.15091404172,1.70081342687)); #479085=CARTESIAN_POINT('Ctrl Pts',(16.26292959003,14.31016983895,2.946736522219)); #479086=CARTESIAN_POINT('Ctrl Pts',(19.64749636205,13.18016492345,3.812430440067)); #479087=CARTESIAN_POINT('Ctrl Pts',(20.01320873992,13.058539475,3.905958059689)); #479088=CARTESIAN_POINT('Ctrl Pts',(4.334423388463,14.82437187138,-0.09022817071329)); #479089=CARTESIAN_POINT('Ctrl Pts',(4.640571782307,14.85763560738,-0.01570454470112)); #479090=CARTESIAN_POINT('Ctrl Pts',(6.361276616696,15.02626498279,0.4035953308143)); #479091=CARTESIAN_POINT('Ctrl Pts',(11.2838538957,15.16472133885,1.609422446272)); #479092=CARTESIAN_POINT('Ctrl Pts',(16.24557493295,14.32868706311,2.852244076809)); #479093=CARTESIAN_POINT('Ctrl Pts',(19.63305339785,13.20150369157,3.715901662381)); #479094=CARTESIAN_POINT('Ctrl Pts',(19.9990798827,13.08018349389,3.809209191556)); #479095=CARTESIAN_POINT('Ctrl Pts',(8.67661750659219,13.7653164967689,7.88852376712258)); #479096=CARTESIAN_POINT('Ctrl Pts',(8.6692658507609,13.7658027442166,7.88627150054384)); #479097=CARTESIAN_POINT('Ctrl Pts',(8.66189347298617,13.766298727797,7.88408925279836)); #479098=CARTESIAN_POINT('Ctrl Pts',(8.64716697118129,13.7673043494161,7.87987007730656)); #479099=CARTESIAN_POINT('Ctrl Pts',(8.63981613343522,13.7678136522703,7.87783304134053)); #479100=CARTESIAN_POINT('Ctrl Pts',(8.63244670938522,13.768330703791,7.87585379640283)); #479101=CARTESIAN_POINT('',(7.178235077415,13.80609144553,6.418044801933)); #479102=CARTESIAN_POINT('Ctrl Pts',(7.09834537385516,13.8604209905543,7.59081425560525)); #479103=CARTESIAN_POINT('Ctrl Pts',(7.10859601805571,13.8551472046363,7.55766568120752)); #479104=CARTESIAN_POINT('Ctrl Pts',(7.11890948482959,13.8498171940603,7.52410242524899)); #479105=CARTESIAN_POINT('Ctrl Pts',(7.12935460418071,13.8443946759032,7.49000247334939)); #479106=CARTESIAN_POINT('Ctrl Pts',(7.13863901671358,13.8395747314061,7.45969185552451)); #479107=CARTESIAN_POINT('Ctrl Pts',(7.14803013523601,13.8346802799554,7.42895273317875)); #479108=CARTESIAN_POINT('Ctrl Pts',(7.15736279840337,13.8297981310861,7.39805667139615)); #479109=CARTESIAN_POINT('Ctrl Pts',(7.15825107100601,13.8293334535332,7.39511601826008)); #479110=CARTESIAN_POINT('Ctrl Pts',(7.15913879478775,13.8288688975068,7.3921739762028)); #479111=CARTESIAN_POINT('Ctrl Pts',(7.16002581488874,13.8284045443692,7.38923080062005)); #479112=CARTESIAN_POINT('Ctrl Pts',(7.16806323177659,13.8241969737115,7.3625622627748)); #479113=CARTESIAN_POINT('Ctrl Pts',(7.1760445168289,13.8200052482589,7.33580091807558)); #479114=CARTESIAN_POINT('Ctrl Pts',(7.18381804527195,13.8159046026685,7.30891172754276)); #479115=CARTESIAN_POINT('Ctrl Pts',(7.18729120885488,13.8140724600276,7.29689780644923)); #479116=CARTESIAN_POINT('Ctrl Pts',(7.19073646244109,13.8122586031,7.28486503483863)); #479117=CARTESIAN_POINT('Ctrl Pts',(7.19413160527477,13.8104711599473,7.27281255794442)); #479118=CARTESIAN_POINT('Ctrl Pts',(7.2000731052337,13.8073431344302,7.25172072337954)); #479119=CARTESIAN_POINT('Ctrl Pts',(7.20586113224315,13.8042958675045,7.23056856839847)); #479120=CARTESIAN_POINT('Ctrl Pts',(7.2113768459589,13.8013749812309,7.20935119017946)); #479121=CARTESIAN_POINT('Ctrl Pts',(7.21287558235479,13.8005813144831,7.20358597853124)); #479122=CARTESIAN_POINT('Ctrl Pts',(7.2143542111112,13.7997969992355,7.19781600307866)); #479123=CARTESIAN_POINT('Ctrl Pts',(7.21581035334863,13.7990229688501,7.19204106710114)); #479124=CARTESIAN_POINT('Ctrl Pts',(7.2183734287667,13.7976605344651,7.1818761284953)); #479125=CARTESIAN_POINT('Ctrl Pts',(7.22086685135149,13.796329958926,7.17169581213115)); #479126=CARTESIAN_POINT('Ctrl Pts',(7.22327756975701,13.7950358425588,7.16149982536562)); #479127=CARTESIAN_POINT('Ctrl Pts',(7.22894802442423,13.7919918419914,7.13751698248804)); #479128=CARTESIAN_POINT('Ctrl Pts',(7.23416091567787,13.789149355276,7.11344699051557)); #479129=CARTESIAN_POINT('Ctrl Pts',(7.23874710938684,13.7865695510554,7.0892820942346)); #479130=CARTESIAN_POINT('Ctrl Pts',(7.24333330309582,13.7839897468348,7.06511719795363)); #479131=CARTESIAN_POINT('Ctrl Pts',(7.24729276824646,13.7816726737494,7.04085743117064)); #479132=CARTESIAN_POINT('Ctrl Pts',(7.25045721558651,13.7796811509485,7.01649331435607)); #479133=CARTESIAN_POINT('Ctrl Pts',(7.25120100090044,13.7792130548633,7.01076666754349)); #479134=CARTESIAN_POINT('Ctrl Pts',(7.25190094258612,13.7787628716945,7.00503325451644)); #479135=CARTESIAN_POINT('Ctrl Pts',(7.25255693955535,13.7783314274721,6.99929410603484)); #479136=CARTESIAN_POINT('Ctrl Pts',(7.25553437639648,13.7763731898842,6.97324527817833)); #479137=CARTESIAN_POINT('Ctrl Pts',(7.2576056648844,13.7748011513619,6.94708200466925)); #479138=CARTESIAN_POINT('Ctrl Pts',(7.25873666170494,13.7736865231472,6.92089213840218)); #479139=CARTESIAN_POINT('Ctrl Pts',(7.25897930686328,13.7734473897255,6.9152733390103)); #479140=CARTESIAN_POINT('Ctrl Pts',(7.25917871379558,13.7732293052633,6.9096531320102)); #479141=CARTESIAN_POINT('Ctrl Pts',(7.25933461312616,13.773032971758,6.90403205877537)); #479142=CARTESIAN_POINT('Ctrl Pts',(7.25967587481153,13.7726032001699,6.8917275997463)); #479143=CARTESIAN_POINT('Ctrl Pts',(7.25980862875982,13.7722776360369,6.87941923427866)); #479144=CARTESIAN_POINT('Ctrl Pts',(7.25973371870488,13.7720641901352,6.86710191817592)); #479145=CARTESIAN_POINT('Ctrl Pts',(7.25971473217595,13.7720100906316,6.86397999978048)); #479146=CARTESIAN_POINT('Ctrl Pts',(7.25968223863344,13.7719632097512,6.8608580646097)); #479147=CARTESIAN_POINT('Ctrl Pts',(7.25963619036267,13.7719236739336,6.85773623045644)); #479148=CARTESIAN_POINT('Ctrl Pts',(7.25939820141126,13.7717193429651,6.8416018127232)); #479149=CARTESIAN_POINT('Ctrl Pts',(7.25885652414558,13.7717102629641,6.82548362812212)); #479150=CARTESIAN_POINT('Ctrl Pts',(7.25790619376649,13.7719140405088,6.80937809952908)); #479151=CARTESIAN_POINT('Ctrl Pts',(7.25666933546025,13.7721792576948,6.78841669783642)); #479152=CARTESIAN_POINT('Ctrl Pts',(7.25474008627702,13.7728056712158,6.76747456734017)); #479153=CARTESIAN_POINT('Ctrl Pts',(7.25218380454607,13.7737427180841,6.74659675999287)); #479154=CARTESIAN_POINT('Ctrl Pts',(7.25100370868129,13.77417530152,6.73695861494097)); #479155=CARTESIAN_POINT('Ctrl Pts',(7.24969018531042,13.7746738734095,6.72733491666478)); #479156=CARTESIAN_POINT('Ctrl Pts',(7.24825043775391,13.7752323650856,6.71773353601328)); #479157=CARTESIAN_POINT('Ctrl Pts',(7.24807599787674,13.7753000319616,6.71657023231193)); #479158=CARTESIAN_POINT('Ctrl Pts',(7.24789951786279,13.7753685846174,6.71540716743577)); #479159=CARTESIAN_POINT('Ctrl Pts',(7.24772104285751,13.775438012883,6.71424433481125)); #479160=CARTESIAN_POINT('Ctrl Pts',(7.24410452827027,13.7768448672197,6.6906813650681)); #479161=CARTESIAN_POINT('Ctrl Pts',(7.23967104082793,13.778610065698,6.66721620866799)); #479162=CARTESIAN_POINT('Ctrl Pts',(7.23466778675441,13.7806545653499,6.64373394486949)); #479163=CARTESIAN_POINT('Ctrl Pts',(7.23284280964565,13.781400313019,6.6351686005427)); #479164=CARTESIAN_POINT('Ctrl Pts',(7.23094209500771,13.7821833320518,6.62660105410947)); #479165=CARTESIAN_POINT('Ctrl Pts',(7.22897770104924,13.783000160712,6.61802592261428)); #479166=CARTESIAN_POINT('Ctrl Pts',(7.22882287833606,13.7830645386484,6.6173500779762)); #479167=CARTESIAN_POINT('Ctrl Pts',(7.22866765988768,13.7831291267197,6.61667418605802)); #479168=CARTESIAN_POINT('Ctrl Pts',(7.2285120521565,13.7831939231531,6.61599824384976)); #479169=CARTESIAN_POINT('Ctrl Pts',(7.22150321584962,13.7861124644815,6.58555265959354)); #479170=CARTESIAN_POINT('Ctrl Pts',(7.21369473216511,13.7894520438563,6.55500077201281)); #479171=CARTESIAN_POINT('Ctrl Pts',(7.20564341147063,13.7930591073621,6.52411479482061)); #479172=CARTESIAN_POINT('Ctrl Pts',(7.19656734532011,13.7971252659751,6.48929775265362)); #479173=CARTESIAN_POINT('Ctrl Pts',(7.18718218935249,13.8015320568499,6.45405354544737)); #479174=CARTESIAN_POINT('Ctrl Pts',(7.17823507741626,13.8060914454612,6.41804480192397)); #479175=CARTESIAN_POINT('',(7.07074694399,13.85899721987,6.00892217331)); #479176=CARTESIAN_POINT('Ctrl Pts',(7.07074694399,13.85899721987,6.00892217331)); #479177=CARTESIAN_POINT('Ctrl Pts',(7.092600899293,13.8493742503,6.072958503773)); #479178=CARTESIAN_POINT('Ctrl Pts',(7.120244152492,13.83635811314,6.172770342464)); #479179=CARTESIAN_POINT('Ctrl Pts',(7.1531471042,13.81949420585,6.311765836828)); #479180=CARTESIAN_POINT('Ctrl Pts',(7.169727358502,13.81049191703,6.383307703321)); #479181=CARTESIAN_POINT('Ctrl Pts',(7.178235077415,13.80609144553,6.418044801933)); #479182=CARTESIAN_POINT('',(6.42606004871385,14.1571543937172,4.64915568862029)); #479183=CARTESIAN_POINT('Ctrl Pts',(6.42606005137646,14.1571543676449,4.64915568067241)); #479184=CARTESIAN_POINT('Ctrl Pts',(6.44288214122415,14.1495542507275,4.67972265118663)); #479185=CARTESIAN_POINT('Ctrl Pts',(6.45967203817675,14.1418838659406,4.70998196889344)); #479186=CARTESIAN_POINT('Ctrl Pts',(6.47636681856424,14.1342160913304,4.73998152545329)); #479187=CARTESIAN_POINT('Ctrl Pts',(6.48235730002323,14.1314647127218,4.75074607480216)); #479188=CARTESIAN_POINT('Ctrl Pts',(6.48833545247617,14.128713702506,4.76147708529215)); #479189=CARTESIAN_POINT('Ctrl Pts',(6.49429794464058,14.1259657248062,4.77217747268214)); #479190=CARTESIAN_POINT('Ctrl Pts',(6.50276517074752,14.1220633719177,4.78737289717322)); #479191=CARTESIAN_POINT('Ctrl Pts',(6.51120138048227,14.1181674476189,4.8025057262279)); #479192=CARTESIAN_POINT('Ctrl Pts',(6.51959812429407,14.1142863699806,4.8175823476716)); #479193=CARTESIAN_POINT('Ctrl Pts',(6.52327169971173,14.1125883985138,4.82417836955322)); #479194=CARTESIAN_POINT('Ctrl Pts',(6.5269377211812,14.1108932695625,4.83076363311481)); #479195=CARTESIAN_POINT('Ctrl Pts',(6.53059548130286,14.1092016904329,4.83733867260797)); #479196=CARTESIAN_POINT('Ctrl Pts',(6.54560919137985,14.1022584033447,4.86432670173285)); #479197=CARTESIAN_POINT('Ctrl Pts',(6.56050873934611,14.0953696661188,4.8911672751308)); #479198=CARTESIAN_POINT('Ctrl Pts',(6.5752103690418,14.0885944735913,4.91785338742822)); #479199=CARTESIAN_POINT('Ctrl Pts',(6.5974727957437,14.0783349152868,4.95826371140435)); #479200=CARTESIAN_POINT('Ctrl Pts',(6.61927882564465,14.0683365168757,4.9983211699254)); #479201=CARTESIAN_POINT('Ctrl Pts',(6.64048061180796,14.0585999519207,5.03816461499597)); #479202=CARTESIAN_POINT('Ctrl Pts',(6.6503497103661,14.0540677338133,5.05671111307252)); #479203=CARTESIAN_POINT('Ctrl Pts',(6.66008841408447,14.0495920406896,5.07521102818788)); #479204=CARTESIAN_POINT('Ctrl Pts',(6.66968526188251,14.0451719457805,5.09367627867331)); #479205=CARTESIAN_POINT('Ctrl Pts',(6.67561134073109,14.0424425255062,5.10507861959173)); #479206=CARTESIAN_POINT('Ctrl Pts',(6.68148339601526,14.0397342978743,5.11646767581145)); #479207=CARTESIAN_POINT('Ctrl Pts',(6.68730338014168,14.0370493256331,5.12783567428187)); #479208=CARTESIAN_POINT('Ctrl Pts',(6.7120535164469,14.0256311795518,5.17617936483988)); #479209=CARTESIAN_POINT('Ctrl Pts',(6.73575922146127,14.0145777075152,5.22431227401842)); #479210=CARTESIAN_POINT('Ctrl Pts',(6.75860986509939,14.0039381512416,5.2720462966263)); #479211=CARTESIAN_POINT('Ctrl Pts',(6.77242320346749,13.9975064816037,5.30090177292691)); #479212=CARTESIAN_POINT('Ctrl Pts',(6.78592431577295,13.9912255164227,5.32961251220428)); #479213=CARTESIAN_POINT('Ctrl Pts',(6.79916685948791,13.9850670578155,5.35821291993136)); #479214=CARTESIAN_POINT('Ctrl Pts',(6.80356047864375,13.9830238006974,5.36770198048947)); #479215=CARTESIAN_POINT('Ctrl Pts',(6.80792520908569,13.9809948821888,5.37717539155347)); #479216=CARTESIAN_POINT('Ctrl Pts',(6.81226277143668,13.9789797357161,5.38663237722676)); #479217=CARTESIAN_POINT('Ctrl Pts',(6.8160581384938,13.9772164825525,5.3949072396909)); #479218=CARTESIAN_POINT('Ctrl Pts',(6.81983270503205,13.9754637732715,5.40316952632402)); #479219=CARTESIAN_POINT('Ctrl Pts',(6.82358762595714,13.9737212242578,5.41141871465252)); #479220=CARTESIAN_POINT('Ctrl Pts',(6.83002402529587,13.9707342795142,5.42555884472764)); #479221=CARTESIAN_POINT('Ctrl Pts',(6.83640597049333,13.9677795740045,5.43965167379325)); #479222=CARTESIAN_POINT('Ctrl Pts',(6.84273412637998,13.9648514956241,5.45370796581645)); #479223=CARTESIAN_POINT('Ctrl Pts',(6.85994934344633,13.9568859040981,5.49194692874055)); #479224=CARTESIAN_POINT('Ctrl Pts',(6.87676957919116,13.9491169757355,5.52991214012257)); #479225=CARTESIAN_POINT('Ctrl Pts',(6.89333209089447,13.9414899245264,5.56749731351474)); #479226=CARTESIAN_POINT('Ctrl Pts',(6.89554396183923,13.9404713560106,5.57251669429882)); #479227=CARTESIAN_POINT('Ctrl Pts',(6.89775118248921,13.9394553276512,5.57752934919187)); #479228=CARTESIAN_POINT('Ctrl Pts',(6.89995403310702,13.9384417171152,5.58253507235213)); #479229=CARTESIAN_POINT('Ctrl Pts',(6.90832071812259,13.9345919054146,5.6015473960287)); #479230=CARTESIAN_POINT('Ctrl Pts',(6.91661989073415,13.9307797101166,5.6204457727307)); #479231=CARTESIAN_POINT('Ctrl Pts',(6.92483468462433,13.9269861983049,5.63926875819509)); #479232=CARTESIAN_POINT('Ctrl Pts',(6.93249691586345,13.9234478545439,5.65682562805293)); #479233=CARTESIAN_POINT('Ctrl Pts',(6.94008555930335,13.9199259995682,5.67431666060747)); #479234=CARTESIAN_POINT('Ctrl Pts',(6.94757266911719,13.9164416780842,5.69171490683932)); #479235=CARTESIAN_POINT('Ctrl Pts',(6.95344735356359,13.9137077407254,5.70536626351422)); #479236=CARTESIAN_POINT('Ctrl Pts',(6.95925622413575,13.9109909571042,5.718986491657)); #479237=CARTESIAN_POINT('Ctrl Pts',(6.96499987624224,13.9083058824886,5.73254737894924)); #479238=CARTESIAN_POINT('Ctrl Pts',(6.97063231172079,13.9056728000442,5.74584568136893)); #479239=CARTESIAN_POINT('Ctrl Pts',(6.97620205674471,13.9030701709186,5.75908698217478)); #479240=CARTESIAN_POINT('Ctrl Pts',(6.9816888629569,13.9004986706291,5.77230478075246)); #479241=CARTESIAN_POINT('Ctrl Pts',(6.98376672923704,13.8995248374857,5.77731039183115)); #479242=CARTESIAN_POINT('Ctrl Pts',(6.98583346314875,13.8985559754549,5.78231044328787)); #479243=CARTESIAN_POINT('Ctrl Pts',(6.98788854152949,13.8975924881617,5.78730510110604)); #479244=CARTESIAN_POINT('Ctrl Pts',(6.99303988315179,13.8951773723965,5.79982491005784)); #479245=CARTESIAN_POINT('Ctrl Pts',(6.99811979542695,13.8927931703294,5.81232489008915)); #479246=CARTESIAN_POINT('Ctrl Pts',(7.00311518688075,13.8904456449382,5.82480906880296)); #479247=CARTESIAN_POINT('Ctrl Pts',(7.01544337304615,13.8846521590186,5.85561892239088)); #479248=CARTESIAN_POINT('Ctrl Pts',(7.02725434805415,13.8790838516613,5.88633199299889)); #479249=CARTESIAN_POINT('Ctrl Pts',(7.03847226106809,13.8738743187312,5.91669179409298)); #479250=CARTESIAN_POINT('Ctrl Pts',(7.0473985160038,13.8697290183557,5.94084952503871)); #479251=CARTESIAN_POINT('Ctrl Pts',(7.05594837542024,13.8658102186736,5.96478649327057)); #479252=CARTESIAN_POINT('Ctrl Pts',(7.06403400123662,13.8620903145619,5.98872023273436)); #479253=CARTESIAN_POINT('Ctrl Pts',(7.06630864235234,13.8610438344216,5.99545325118879)); #479254=CARTESIAN_POINT('Ctrl Pts',(7.06854676243394,13.8600130422051,6.00218580948658)); #479255=CARTESIAN_POINT('Ctrl Pts',(7.07074694399068,13.8589972198495,6.00892217330669)); #479256=CARTESIAN_POINT('',(6.378277008744,14.18012092636,4.556148337588)); #479257=CARTESIAN_POINT('Ctrl Pts',(6.378277008744,14.18012092636,4.556148337588)); #479258=CARTESIAN_POINT('Ctrl Pts',(6.393946013078,14.17257803969,4.587230037651)); #479259=CARTESIAN_POINT('Ctrl Pts',(6.41006957322,14.1649520009,4.618220957963)); #479260=CARTESIAN_POINT('Ctrl Pts',(6.426060043388,14.15715444586,4.649155704516)); #479261=CARTESIAN_POINT('',(5.611399634522,14.51167736955,2.901022393337)); #479262=CARTESIAN_POINT('Ctrl Pts',(5.611399634522,14.51167736955,2.901022393337)); #479263=CARTESIAN_POINT('Ctrl Pts',(5.641662867924,14.49954742604,2.971094945447)); #479264=CARTESIAN_POINT('Ctrl Pts',(5.702702403789,14.47549057613,3.108689664176)); #479265=CARTESIAN_POINT('Ctrl Pts',(5.778203357171,14.44541688607,3.281730653246)); #479266=CARTESIAN_POINT('Ctrl Pts',(5.837923916349,14.42117516492,3.420631605459)); #479267=CARTESIAN_POINT('Ctrl Pts',(5.88255447603,14.40224737755,3.526472904634)); #479268=CARTESIAN_POINT('Ctrl Pts',(5.927886944177,14.38225534366,3.633264584004)); #479269=CARTESIAN_POINT('Ctrl Pts',(5.974847091565,14.36049247399,3.740916527613)); #479270=CARTESIAN_POINT('Ctrl Pts',(6.02434895121,14.33841410132,3.844845413952)); #479271=CARTESIAN_POINT('Ctrl Pts',(6.075054130629,14.31634229099,3.946521792164)); #479272=CARTESIAN_POINT('Ctrl Pts',(6.126068299508,14.29486490914,4.046104178576)); #479273=CARTESIAN_POINT('Ctrl Pts',(6.22639876408,14.25137448386,4.247894414406)); #479274=CARTESIAN_POINT('Ctrl Pts',(6.309335426961,14.21331054985,4.419400096552)); #479275=CARTESIAN_POINT('Ctrl Pts',(6.378277008744,14.18012092636,4.556148337588)); #479276=CARTESIAN_POINT('Ctrl Pts',(5.2699509344785,14.6442984600631,2.01838925158079)); #479277=CARTESIAN_POINT('Ctrl Pts',(5.29146890601049,14.635973302657,2.07853421993821)); #479278=CARTESIAN_POINT('Ctrl Pts',(5.31377914699547,14.6274264952135,2.13764663172966)); #479279=CARTESIAN_POINT('Ctrl Pts',(5.33644671209516,14.6189903349264,2.19599676810086)); #479280=CARTESIAN_POINT('Ctrl Pts',(5.34778050125962,14.6147722523211,2.22517185331358)); #479281=CARTESIAN_POINT('Ctrl Pts',(5.35920361497588,14.6105818865275,2.25415638145466)); #479282=CARTESIAN_POINT('Ctrl Pts',(5.37057720297713,14.6064573157858,2.28295689525605)); #479283=CARTESIAN_POINT('Ctrl Pts',(5.38195079097837,14.6023327450441,2.31175740905741)); #479284=CARTESIAN_POINT('Ctrl Pts',(5.39327484884867,14.5982740059702,2.34037391557687)); #479285=CARTESIAN_POINT('Ctrl Pts',(5.40444808291166,14.5941947903395,2.36923369419072)); #479286=CARTESIAN_POINT('Ctrl Pts',(5.42679455103766,14.5860363590781,2.42695325141848)); #479287=CARTESIAN_POINT('Ctrl Pts',(5.44853773415036,14.5777959335411,2.48564588070508)); #479288=CARTESIAN_POINT('Ctrl Pts',(5.47053412193035,14.5691271189775,2.5450282519077)); #479289=CARTESIAN_POINT('Ctrl Pts',(5.48153231582034,14.5647927116957,2.57471943750899)); #479290=CARTESIAN_POINT('Ctrl Pts',(5.4925938087525,14.5603512266104,2.60458306175401)); #479291=CARTESIAN_POINT('Ctrl Pts',(5.50382705233913,14.5557270566519,2.63462876358231)); #479292=CARTESIAN_POINT('Ctrl Pts',(5.51506029592578,14.5511028866934,2.66467446541067)); #479293=CARTESIAN_POINT('Ctrl Pts',(5.52646530086352,14.5462959347808,2.69490222680965)); #479294=CARTESIAN_POINT('Ctrl Pts',(5.53819477651606,14.5414257814618,2.72480998644336)); #479295=CARTESIAN_POINT('Ctrl Pts',(5.56165372782156,14.5316854748237,2.78462550571186)); #479296=CARTESIAN_POINT('Ctrl Pts',(5.58641045714376,14.5216932778668,2.84316120895028)); #479297=CARTESIAN_POINT('Ctrl Pts',(5.61139963452434,14.5116773695295,2.90102239333244)); #479298=CARTESIAN_POINT('Ctrl Pts',(5.674259336352,14.5091772214,2.926562258114)); #479299=CARTESIAN_POINT('Ctrl Pts',(5.629706664073,14.50792169763,2.945809831577)); #479300=CARTESIAN_POINT('Ctrl Pts',(5.554675535781,14.48551509814,2.974691945093)); #479301=CARTESIAN_POINT('Ctrl Pts',(5.467932340723,14.41416233426,3.0001700151)); #479302=CARTESIAN_POINT('Ctrl Pts',(5.413933465699,14.33166744422,3.009401392347)); #479303=CARTESIAN_POINT('Ctrl Pts',(5.386198101026,14.2367053477,3.004986996748)); #479304=CARTESIAN_POINT('Ctrl Pts',(5.387643882711,14.13926369991,2.987390875821)); #479305=CARTESIAN_POINT('Ctrl Pts',(5.418118187155,14.04959103422,2.958464010294)); #479306=CARTESIAN_POINT('Ctrl Pts',(5.483769539914,13.9650793836,2.91506599358)); #479307=CARTESIAN_POINT('Ctrl Pts',(5.551353593825,13.93054969892,2.879525446043)); #479308=CARTESIAN_POINT('Ctrl Pts',(5.594851028651,13.92193392481,2.859020545222)); #479309=CARTESIAN_POINT('Ctrl Pts',(5.642823919994,14.5217001173,2.854526878638)); #479310=CARTESIAN_POINT('Ctrl Pts',(5.598214593511,14.52045317194,2.873723281562)); #479311=CARTESIAN_POINT('Ctrl Pts',(5.523100684976,14.49802607765,2.902543633162)); #479312=CARTESIAN_POINT('Ctrl Pts',(5.436317179587,14.42658146858,2.927995569493)); #479313=CARTESIAN_POINT('Ctrl Pts',(5.3823508581,14.34398134209,2.937245491461)); #479314=CARTESIAN_POINT('Ctrl Pts',(5.354719956713,14.24891103628,2.9328873833)); #479315=CARTESIAN_POINT('Ctrl Pts',(5.356335299471,14.1513837114,2.915379973367)); #479316=CARTESIAN_POINT('Ctrl Pts',(5.387026202248,14.06167143933,2.886567373038)); #479317=CARTESIAN_POINT('Ctrl Pts',(5.452953068701,13.97718780377,2.843323123424)); #479318=CARTESIAN_POINT('Ctrl Pts',(5.520719633223,13.94275296723,2.807901708705)); #479319=CARTESIAN_POINT('Ctrl Pts',(5.56430191572,13.93421934625,2.787466588721)); #479320=CARTESIAN_POINT('Ctrl Pts',(5.599406988778,14.53920256161,2.752621037324)); #479321=CARTESIAN_POINT('Ctrl Pts',(5.554541507901,14.53816852152,2.771527407005)); #479322=CARTESIAN_POINT('Ctrl Pts',(5.4789806689,14.51598789524,2.799962515425)); #479323=CARTESIAN_POINT('Ctrl Pts',(5.391724580559,14.44460916253,2.825169085246)); #479324=CARTESIAN_POINT('Ctrl Pts',(5.337533672249,14.36189406494,2.8344235948)); #479325=CARTESIAN_POINT('Ctrl Pts',(5.309907086569,14.26658601805,2.83028087714)); #479326=CARTESIAN_POINT('Ctrl Pts',(5.311767249923,14.16876529767,2.8131791241)); #479327=CARTESIAN_POINT('Ctrl Pts',(5.342917005209,14.07877790745,2.78492806243)); #479328=CARTESIAN_POINT('Ctrl Pts',(5.409556655879,13.99407459697,2.742467379352)); #479329=CARTESIAN_POINT('Ctrl Pts',(5.477898122022,13.95962687229,2.707665016973)); #479330=CARTESIAN_POINT('Ctrl Pts',(5.521790594454,13.95115190502,2.687590548376)); #479331=CARTESIAN_POINT('Ctrl Pts',(5.548725258582,14.56017472131,2.617545871034)); #479332=CARTESIAN_POINT('Ctrl Pts',(5.50310411391,14.55988022574,2.635261338259)); #479333=CARTESIAN_POINT('Ctrl Pts',(5.426165893751,14.53867003034,2.662008865892)); #479334=CARTESIAN_POINT('Ctrl Pts',(5.33728597539,14.46786799245,2.685913391604)); #479335=CARTESIAN_POINT('Ctrl Pts',(5.28214204711,14.38512055725,2.694879804164)); #479336=CARTESIAN_POINT('Ctrl Pts',(5.2541663981,14.28934498761,2.691301258794)); #479337=CARTESIAN_POINT('Ctrl Pts',(5.25634879716,14.19077483499,2.675559952904)); #479338=CARTESIAN_POINT('Ctrl Pts',(5.28845549708,14.09994233374,2.649338266644)); #479339=CARTESIAN_POINT('Ctrl Pts',(5.356792319794,14.01436116357,2.60980612608)); #479340=CARTESIAN_POINT('Ctrl Pts',(5.426637421777,13.97954835724,2.577357379718)); #479341=CARTESIAN_POINT('Ctrl Pts',(5.471386005023,13.97100745675,2.558647708361)); #479342=CARTESIAN_POINT('Ctrl Pts',(5.504872655484,14.57764396776,2.498683870229)); #479343=CARTESIAN_POINT('Ctrl Pts',(5.458870119747,14.57767931272,2.515763506521)); #479344=CARTESIAN_POINT('Ctrl Pts',(5.381252834155,14.55688214203,2.54160409082)); #479345=CARTESIAN_POINT('Ctrl Pts',(5.29161503844,14.48627473809,2.564796750844)); #479346=CARTESIAN_POINT('Ctrl Pts',(5.23606743351,14.40344583561,2.573591765424)); #479347=CARTESIAN_POINT('Ctrl Pts',(5.20801101626,14.3073959972,2.570295810414)); #479348=CARTESIAN_POINT('Ctrl Pts',(5.21046028679,14.20844298055,2.555262733354)); #479349=CARTESIAN_POINT('Ctrl Pts',(5.24315157723,14.11721652711,2.530107711364)); #479350=CARTESIAN_POINT('Ctrl Pts',(5.312445964906,14.03127298172,2.492121081386)); #479351=CARTESIAN_POINT('Ctrl Pts',(5.38309417335,13.99636639858,2.460916912258)); #479352=CARTESIAN_POINT('Ctrl Pts',(5.428285923924,13.98785315225,2.442928547173)); #479353=CARTESIAN_POINT('Ctrl Pts',(5.461434265787,14.59417012623,2.381341432648)); #479354=CARTESIAN_POINT('Ctrl Pts',(5.415378724962,14.59416608402,2.39837335124)); #479355=CARTESIAN_POINT('Ctrl Pts',(5.337702999685,14.57327018171,2.424154669916)); #479356=CARTESIAN_POINT('Ctrl Pts',(5.24809305865,14.50248603413,2.447318085544)); #479357=CARTESIAN_POINT('Ctrl Pts',(5.19265347413,14.41950355696,2.456125080504)); #479358=CARTESIAN_POINT('Ctrl Pts',(5.1647852643,14.32332668292,2.452875713904)); #479359=CARTESIAN_POINT('Ctrl Pts',(5.16748656033,14.22430030634,2.437919267354)); #479360=CARTESIAN_POINT('Ctrl Pts',(5.20046616784,14.1330759222,2.412864746774)); #479361=CARTESIAN_POINT('Ctrl Pts',(5.270097500935,14.04723737453,2.37501459554)); #479362=CARTESIAN_POINT('Ctrl Pts',(5.340945890093,14.0124979048,2.34391668145)); #479363=CARTESIAN_POINT('Ctrl Pts',(5.386221840738,14.00411102642,2.325990469685)); #479364=CARTESIAN_POINT('Ctrl Pts',(5.415844783574,14.61077057938,2.26638154771)); #479365=CARTESIAN_POINT('Ctrl Pts',(5.37005313596,14.61038037753,2.283940834874)); #479366=CARTESIAN_POINT('Ctrl Pts',(5.292915554103,14.58890325111,2.310495869799)); #479367=CARTESIAN_POINT('Ctrl Pts',(5.2040873778,14.51758780936,2.334308913024)); #479368=CARTESIAN_POINT('Ctrl Pts',(5.14924713666,14.43437791007,2.343319059854)); #479369=CARTESIAN_POINT('Ctrl Pts',(5.12182705274,14.33820629166,2.339897886644)); #479370=CARTESIAN_POINT('Ctrl Pts',(5.12477009435,14.23939282421,2.324412275604)); #479371=CARTESIAN_POINT('Ctrl Pts',(5.15775983515,14.14854096962,2.298524207664)); #479372=CARTESIAN_POINT('Ctrl Pts',(5.227141402806,14.06324932558,2.259443930792)); #479373=CARTESIAN_POINT('Ctrl Pts',(5.297617849757,14.02892891679,2.227346485758)); #479374=CARTESIAN_POINT('Ctrl Pts',(5.34263645625,14.02076700803,2.208842386796)); #479375=CARTESIAN_POINT('Ctrl Pts',(5.364521380415,14.6297737992,2.135962358761)); #479376=CARTESIAN_POINT('Ctrl Pts',(5.31877703801,14.62922494577,2.153658594367)); #479377=CARTESIAN_POINT('Ctrl Pts',(5.24177447163,14.60747652656,2.180423830133)); #479378=CARTESIAN_POINT('Ctrl Pts',(5.153232088434,14.53584189182,2.204431102009)); #479379=CARTESIAN_POINT('Ctrl Pts',(5.098680602002,14.45243131636,2.213520267426)); #479380=CARTESIAN_POINT('Ctrl Pts',(5.071563255282,14.35615719355,2.21008135875)); #479381=CARTESIAN_POINT('Ctrl Pts',(5.074791513999,14.25735372981,2.194483264116)); #479382=CARTESIAN_POINT('Ctrl Pts',(5.108018259938,14.16662675308,2.16840052973)); #479383=CARTESIAN_POINT('Ctrl Pts',(5.177588990095,14.08160277356,2.12902273229)); #479384=CARTESIAN_POINT('Ctrl Pts',(5.24810244628,14.04755941633,2.096679527227)); #479385=CARTESIAN_POINT('Ctrl Pts',(5.293102329669,14.03957678075,2.078033963482)); #479386=CARTESIAN_POINT('Ctrl Pts',(5.325522142696,14.64452250961,2.032836654462)); #479387=CARTESIAN_POINT('Ctrl Pts',(5.279540758764,14.6441495645,2.050171778526)); #479388=CARTESIAN_POINT('Ctrl Pts',(5.202128391823,14.62260468432,2.076427672719)); #479389=CARTESIAN_POINT('Ctrl Pts',(5.113159490318,14.55102266827,2.100046439181)); #479390=CARTESIAN_POINT('Ctrl Pts',(5.058411281023,14.46751479596,2.109054486369)); #479391=CARTESIAN_POINT('Ctrl Pts',(5.031308622176,14.37104250156,2.105792650693)); #479392=CARTESIAN_POINT('Ctrl Pts',(5.034771484493,14.27199725525,2.090612128113)); #479393=CARTESIAN_POINT('Ctrl Pts',(5.068426320686,14.18104774769,2.065148561372)); #479394=CARTESIAN_POINT('Ctrl Pts',(5.138653843746,14.09585406371,2.026661919859)); #479395=CARTESIAN_POINT('Ctrl Pts',(5.209690783073,14.06181416773,1.99503396692)); #479396=CARTESIAN_POINT('Ctrl Pts',(5.254970682104,14.05388982967,1.976803307809)); #479397=CARTESIAN_POINT('Ctrl Pts',(5.299688725729,14.65449636584,1.957675264612)); #479398=CARTESIAN_POINT('Ctrl Pts',(5.253378056652,14.6544240149,1.974422048133)); #479399=CARTESIAN_POINT('Ctrl Pts',(5.175369162849,14.6332697394,1.99982835471)); #479400=CARTESIAN_POINT('Ctrl Pts',(5.085707426988,14.56190911965,2.022759516868)); #479401=CARTESIAN_POINT('Ctrl Pts',(5.030562716027,14.47837412979,2.031578859766)); #479402=CARTESIAN_POINT('Ctrl Pts',(5.003331112288,14.38169888575,2.02854711511)); #479403=CARTESIAN_POINT('Ctrl Pts',(5.006959123312,14.282341576,2.013992028778)); #479404=CARTESIAN_POINT('Ctrl Pts',(5.041053647091,14.19105063932,1.989488416189)); #479405=CARTESIAN_POINT('Ctrl Pts',(5.112040240572,14.10551688331,1.952403615645)); #479406=CARTESIAN_POINT('Ctrl Pts',(5.183736396594,14.07135407398,1.921908895209)); #479407=CARTESIAN_POINT('Ctrl Pts',(5.229386721035,14.06342209942,1.904334328932)); #479408=CARTESIAN_POINT('Origin',(5.64473435592274,14.2206547741256,2.8362490230362)); #479409=CARTESIAN_POINT('Ctrl Pts',(6.441890144035,14.17637132155,4.577294631258)); #479410=CARTESIAN_POINT('Ctrl Pts',(6.399535330238,14.17364206681,4.599017814221)); #479411=CARTESIAN_POINT('Ctrl Pts',(6.328249782108,14.14982272368,4.630872810758)); #479412=CARTESIAN_POINT('Ctrl Pts',(6.244857864866,14.07916536192,4.657662335062)); #479413=CARTESIAN_POINT('Ctrl Pts',(6.191780289777,13.99909210096,4.666120933039)); #479414=CARTESIAN_POINT('Ctrl Pts',(6.162392038753,13.90749805182,4.659235723776)); #479415=CARTESIAN_POINT('Ctrl Pts',(6.159630244427,13.81353587183,4.637694474647)); #479416=CARTESIAN_POINT('Ctrl Pts',(6.183770418016,13.72659493727,4.603649995762)); #479417=CARTESIAN_POINT('Ctrl Pts',(6.240547585709,13.64343056833,4.553276146416)); #479418=CARTESIAN_POINT('Ctrl Pts',(6.301599821334,13.60783790836,4.512287487962)); #479419=CARTESIAN_POINT('Ctrl Pts',(6.341794764879,13.5977869724,4.488577677027)); #479420=CARTESIAN_POINT('Ctrl Pts',(6.365822949047,14.21299989248,4.42717670798)); #479421=CARTESIAN_POINT('Ctrl Pts',(6.322875172653,14.21090121121,4.448397486025)); #479422=CARTESIAN_POINT('Ctrl Pts',(6.250524365377,14.18785048506,4.479651507414)); #479423=CARTESIAN_POINT('Ctrl Pts',(6.165925473709,14.11752065603,4.506202191216)); #479424=CARTESIAN_POINT('Ctrl Pts',(6.112189708382,14.03725278548,4.51486449285)); #479425=CARTESIAN_POINT('Ctrl Pts',(6.082642895931,13.94509531183,4.508561783034)); #479426=CARTESIAN_POINT('Ctrl Pts',(6.080270020139,13.85035677,4.487931061765)); #479427=CARTESIAN_POINT('Ctrl Pts',(6.105310727846,13.76260630088,4.455057519371)); #479428=CARTESIAN_POINT('Ctrl Pts',(6.163600938611,13.6786628953,4.406261320563)); #479429=CARTESIAN_POINT('Ctrl Pts',(6.225956359719,13.64281265785,4.366496073415)); #479430=CARTESIAN_POINT('Ctrl Pts',(6.266887035248,13.63276602268,4.343503417916)); #479431=CARTESIAN_POINT('Ctrl Pts',(6.277024995351,14.25403774075,4.241636763003)); #479432=CARTESIAN_POINT('Ctrl Pts',(6.233587637577,14.25237305927,4.262350246064)); #479433=CARTESIAN_POINT('Ctrl Pts',(6.160387391816,14.22982013994,4.292957631481)); #479434=CARTESIAN_POINT('Ctrl Pts',(6.074900961214,14.15961453385,4.319153228898)); #479435=CARTESIAN_POINT('Ctrl Pts',(6.020754291695,14.07910273279,4.327899457908)); #479436=CARTESIAN_POINT('Ctrl Pts',(5.991236409938,13.98644699524,4.322052501745)); #479437=CARTESIAN_POINT('Ctrl Pts',(5.989355749675,13.89108874887,4.302207881191)); #479438=CARTESIAN_POINT('Ctrl Pts',(6.015303531789,13.80274494261,4.270387935867)); #479439=CARTESIAN_POINT('Ctrl Pts',(6.075002317826,13.71830186194,4.223041221336)); #479440=CARTESIAN_POINT('Ctrl Pts',(6.138500633383,13.68237996007,4.184412696051)); #479441=CARTESIAN_POINT('Ctrl Pts',(6.180054252988,13.67242665171,4.162084283569)); #479442=CARTESIAN_POINT('Ctrl Pts',(6.169721481297,14.30077727514,4.025908193985)); #479443=CARTESIAN_POINT('Ctrl Pts',(6.126356428728,14.29882673821,4.046819185501)); #479444=CARTESIAN_POINT('Ctrl Pts',(6.053380026747,14.27577123943,4.077733534971)); #479445=CARTESIAN_POINT('Ctrl Pts',(5.96840348701,14.20494166073,4.104221023734)); #479446=CARTESIAN_POINT('Ctrl Pts',(5.91479291116,14.12401189173,4.113094533184)); #479447=CARTESIAN_POINT('Ctrl Pts',(5.8858621846,14.03110768674,4.107237918254)); #479448=CARTESIAN_POINT('Ctrl Pts',(5.88456340311,13.93570740327,4.087248441034)); #479449=CARTESIAN_POINT('Ctrl Pts',(5.91102856429,13.84754414078,4.055165763684)); #479450=CARTESIAN_POINT('Ctrl Pts',(5.971190624802,13.76356299834,4.007410717518)); #479451=CARTESIAN_POINT('Ctrl Pts',(6.034845146465,13.72816281315,3.968442302204)); #479452=CARTESIAN_POINT('Ctrl Pts',(6.076411359831,13.71856188611,3.945918480356)); #479453=CARTESIAN_POINT('Ctrl Pts',(6.118527354053,14.32254110992,3.926083130149)); #479454=CARTESIAN_POINT('Ctrl Pts',(6.075331676261,14.32029921096,3.947246058692)); #479455=CARTESIAN_POINT('Ctrl Pts',(6.00271193736,14.29679380215,3.978518267524)); #479456=CARTESIAN_POINT('Ctrl Pts',(5.9182888235,14.22552130966,4.005284223284)); #479457=CARTESIAN_POINT('Ctrl Pts',(5.86513250497,14.14437144714,4.014222289144)); #479458=CARTESIAN_POINT('Ctrl Pts',(5.83658330869,14.05141926373,4.008250650994)); #479459=CARTESIAN_POINT('Ctrl Pts',(5.83555098245,13.95613680266,3.987977572214)); #479460=CARTESIAN_POINT('Ctrl Pts',(5.86214023083,13.86823365774,3.955469181444)); #479461=CARTESIAN_POINT('Ctrl Pts',(5.922269904712,13.78467900606,3.907097274104)); #479462=CARTESIAN_POINT('Ctrl Pts',(5.985752271957,13.74964541122,3.867632014324)); #479463=CARTESIAN_POINT('Ctrl Pts',(6.027176021881,13.74025922026,3.844819994393)); #479464=CARTESIAN_POINT('Ctrl Pts',(6.067939584306,14.34456404816,3.824474930028)); #479465=CARTESIAN_POINT('Ctrl Pts',(6.024622850218,14.34238499874,3.845560667935)); #479466=CARTESIAN_POINT('Ctrl Pts',(5.951813041267,14.31891072339,3.876754617483)); #479467=CARTESIAN_POINT('Ctrl Pts',(5.8672509968,14.24753028501,3.903522661204)); #479468=CARTESIAN_POINT('Ctrl Pts',(5.81409627296,14.16621162284,3.912532005764)); #479469=CARTESIAN_POINT('Ctrl Pts',(5.78568480238,14.07305559405,3.906691210994)); #479470=CARTESIAN_POINT('Ctrl Pts',(5.78492068753,13.97758252606,3.886596989634)); #479471=CARTESIAN_POINT('Ctrl Pts',(5.81188155874,13.88954961044,3.854303292604)); #479472=CARTESIAN_POINT('Ctrl Pts',(5.872512434958,13.80596023296,3.806209657746)); #479473=CARTESIAN_POINT('Ctrl Pts',(5.936351480679,13.77103143755,3.766955459379)); #479474=CARTESIAN_POINT('Ctrl Pts',(5.977952450388,13.76175742403,3.744267941261)); #479475=CARTESIAN_POINT('Ctrl Pts',(6.018806894621,14.36632505265,3.72088721225)); #479476=CARTESIAN_POINT('Ctrl Pts',(5.975129094363,14.36449365095,3.741604858037)); #479477=CARTESIAN_POINT('Ctrl Pts',(5.901679111132,14.34143921909,3.772328123483)); #479478=CARTESIAN_POINT('Ctrl Pts',(5.81641030002,14.27022545409,3.798834246514)); #479479=CARTESIAN_POINT('Ctrl Pts',(5.76288709391,14.18878456188,3.807899901344)); #479480=CARTESIAN_POINT('Ctrl Pts',(5.7344151938,14.09530452353,3.802384774284)); #479481=CARTESIAN_POINT('Ctrl Pts',(5.73392258857,13.99939699955,3.782855836594)); #479482=CARTESIAN_POINT('Ctrl Pts',(5.7614595015,13.91092327252,3.751321636974)); #479483=CARTESIAN_POINT('Ctrl Pts',(5.823029877498,13.82692866376,3.704274123278)); #479484=CARTESIAN_POINT('Ctrl Pts',(5.887658788954,13.7918919083,3.665840834573)); #479485=CARTESIAN_POINT('Ctrl Pts',(5.929698550733,13.78264533964,3.643632930609)); #479486=CARTESIAN_POINT('Ctrl Pts',(5.972577270239,14.3873896541,3.614052723702)); #479487=CARTESIAN_POINT('Ctrl Pts',(5.9281919155,14.38630813029,3.633900675261)); #479488=CARTESIAN_POINT('Ctrl Pts',(5.853451517653,14.36422859809,3.663454319469)); #479489=CARTESIAN_POINT('Ctrl Pts',(5.7666555692,14.29358509559,3.689180502794)); #479490=CARTESIAN_POINT('Ctrl Pts',(5.71222960993,14.21210303164,3.698210482954)); #479491=CARTESIAN_POINT('Ctrl Pts',(5.68341201975,14.11814362037,3.693286336224)); #479492=CARTESIAN_POINT('Ctrl Pts',(5.68319724486,14.02146859298,3.674919574004)); #479493=CARTESIAN_POINT('Ctrl Pts',(5.71160715238,13.93212184059,3.645019002354)); #479494=CARTESIAN_POINT('Ctrl Pts',(5.774751332917,13.84720141777,3.600270653336)); #479495=CARTESIAN_POINT('Ctrl Pts',(5.840795088225,13.81175331138,3.563662058125)); #479496=CARTESIAN_POINT('Ctrl Pts',(5.883650036453,13.80241137639,3.542516886421)); #479497=CARTESIAN_POINT('Ctrl Pts',(5.927682456138,14.40700288296,3.507807426808)); #479498=CARTESIAN_POINT('Ctrl Pts',(5.882868968314,14.40633147759,3.527077298138)); #479499=CARTESIAN_POINT('Ctrl Pts',(5.807363173403,14.38476830148,3.555840466382)); #479500=CARTESIAN_POINT('Ctrl Pts',(5.7197019579,14.3143824771,3.581011396644)); #479501=CARTESIAN_POINT('Ctrl Pts',(5.6648031343,14.23281930721,3.589978748454)); #479502=CARTESIAN_POINT('Ctrl Pts',(5.63586704502,14.13853937415,3.585405743724)); #479503=CARTESIAN_POINT('Ctrl Pts',(5.63591974925,14.04140032423,3.567770310904)); #479504=CARTESIAN_POINT('Ctrl Pts',(5.66495523259,13.95155884961,3.538916688994)); #479505=CARTESIAN_POINT('Ctrl Pts',(5.729149328671,13.86615478575,3.495654057149)); #479506=CARTESIAN_POINT('Ctrl Pts',(5.796092881609,13.83054091305,3.460229570012)); #479507=CARTESIAN_POINT('Ctrl Pts',(5.839452699134,13.82119677214,3.439773238048)); #479508=CARTESIAN_POINT('Ctrl Pts',(5.883280351091,14.42578439006,3.40224010886)); #479509=CARTESIAN_POINT('Ctrl Pts',(5.838244069154,14.42527812239,3.421217461725)); #479510=CARTESIAN_POINT('Ctrl Pts',(5.762360063512,14.40389596127,3.44958370513)); #479511=CARTESIAN_POINT('Ctrl Pts',(5.67432309623,14.33353026736,3.474482009614)); #479512=CARTESIAN_POINT('Ctrl Pts',(5.61926986171,14.25184539668,3.483426145954)); #479513=CARTESIAN_POINT('Ctrl Pts',(5.59038387562,14.15734836474,3.479039399724)); #479514=CARTESIAN_POINT('Ctrl Pts',(5.59069686238,14.05995518935,3.461781859474)); #479515=CARTESIAN_POINT('Ctrl Pts',(5.62017544067,13.96988590661,3.433464710354)); #479516=CARTESIAN_POINT('Ctrl Pts',(5.685033182214,13.88431411041,3.390960462523)); #479517=CARTESIAN_POINT('Ctrl Pts',(5.752497656645,13.84871279259,3.356139206451)); #479518=CARTESIAN_POINT('Ctrl Pts',(5.796134818299,13.83943529896,3.336033988168)); #479519=CARTESIAN_POINT('Ctrl Pts',(5.823506012098,14.45017628173,3.263256195056)); #479520=CARTESIAN_POINT('Ctrl Pts',(5.778490524506,14.44951959626,3.282326142856)); #479521=CARTESIAN_POINT('Ctrl Pts',(5.702700324803,14.42786882625,3.310839131806)); #479522=CARTESIAN_POINT('Ctrl Pts',(5.6149172853,14.35716331569,3.335881891624)); #479523=CARTESIAN_POINT('Ctrl Pts',(5.56014886641,14.27524743826,3.344893334504)); #479524=CARTESIAN_POINT('Ctrl Pts',(5.53158938179,14.18061035342,3.340509634164)); #479525=CARTESIAN_POINT('Ctrl Pts',(5.53223856825,14.08319030916,3.323190894984)); #479526=CARTESIAN_POINT('Ctrl Pts',(5.56202770356,13.99321791629,3.294756078734)); #479527=CARTESIAN_POINT('Ctrl Pts',(5.627177253986,13.9079002318,3.252065537005)); #479528=CARTESIAN_POINT('Ctrl Pts',(5.694754904187,13.87258873644,3.217087929986)); #479529=CARTESIAN_POINT('Ctrl Pts',(5.738412849827,13.863507445,3.196893019144)); #479530=CARTESIAN_POINT('Ctrl Pts',(5.741819302762,14.48302481114,3.075570864977)); #479531=CARTESIAN_POINT('Ctrl Pts',(5.696865466129,14.48213415156,3.094826445897)); #479532=CARTESIAN_POINT('Ctrl Pts',(5.621261563185,14.46007708958,3.12362557763)); #479533=CARTESIAN_POINT('Ctrl Pts',(5.533892272886,14.38887918061,3.148935765279)); #479534=CARTESIAN_POINT('Ctrl Pts',(5.479552039592,14.30664292942,3.158059297432)); #479535=CARTESIAN_POINT('Ctrl Pts',(5.451453753269,14.21182731909,3.153658100008)); #479536=CARTESIAN_POINT('Ctrl Pts',(5.452550966905,14.11439697588,3.136194460614)); #479537=CARTESIAN_POINT('Ctrl Pts',(5.482727804968,14.0245914244,3.107503955292)); #479538=CARTESIAN_POINT('Ctrl Pts',(5.548209187703,13.93966332304,3.064419566093)); #479539=CARTESIAN_POINT('Ctrl Pts',(5.615880027756,13.90477381677,3.029115424333)); #479540=CARTESIAN_POINT('Ctrl Pts',(5.659529924272,13.89597193473,3.008732582578)); #479541=CARTESIAN_POINT('Ctrl Pts',(5.673651711935,14.50974669634,2.923702127942)); #479542=CARTESIAN_POINT('Ctrl Pts',(5.628837545471,14.50857819214,2.943251688413)); #479543=CARTESIAN_POINT('Ctrl Pts',(5.553547194275,14.48606887673,2.972488304942)); #479544=CARTESIAN_POINT('Ctrl Pts',(5.466710783546,14.41438018446,2.998178889893)); #479545=CARTESIAN_POINT('Ctrl Pts',(5.412841822138,14.33186372721,3.007435549301)); #479546=CARTESIAN_POINT('Ctrl Pts',(5.385178639018,14.23693581916,3.002960847384)); #479547=CARTESIAN_POINT('Ctrl Pts',(5.386628438231,14.13957068086,2.985224725448)); #479548=CARTESIAN_POINT('Ctrl Pts',(5.417038375265,14.04999870917,2.956091060583)); #479549=CARTESIAN_POINT('Ctrl Pts',(5.482624705996,13.96550880788,2.912343705447)); #479550=CARTESIAN_POINT('Ctrl Pts',(5.550212659658,13.93103738693,2.876497292119)); #479551=CARTESIAN_POINT('Ctrl Pts',(5.593760547073,13.92249556478,2.85580121797)); #479552=CARTESIAN_POINT('Ctrl Pts',(5.63760118544,14.52431284393,2.838552185501)); #479553=CARTESIAN_POINT('Ctrl Pts',(5.592565109106,14.52333108195,2.857821068215)); #479554=CARTESIAN_POINT('Ctrl Pts',(5.516886516711,14.5010397869,2.886678158704)); #479555=CARTESIAN_POINT('Ctrl Pts',(5.429637067212,14.4294161182,2.912110639285)); #479556=CARTESIAN_POINT('Ctrl Pts',(5.375568958823,14.34680799111,2.92134842232)); #479557=CARTESIAN_POINT('Ctrl Pts',(5.347902679128,14.25168173735,2.917055657841)); #479558=CARTESIAN_POINT('Ctrl Pts',(5.349556151215,14.15406820976,2.899684771818)); #479559=CARTESIAN_POINT('Ctrl Pts',(5.380354467404,14.06426063132,2.871067769991)); #479560=CARTESIAN_POINT('Ctrl Pts',(5.446548685847,13.97957892119,2.82804956596)); #479561=CARTESIAN_POINT('Ctrl Pts',(5.514629553622,13.94509139464,2.792782619301)); #479562=CARTESIAN_POINT('Ctrl Pts',(5.558444106293,13.93659645437,2.772423908715)); #479563=CARTESIAN_POINT('Origin',(6.40748417835835,13.8936748790725,4.47190651616947)); #479564=CARTESIAN_POINT('Ctrl Pts',(6.490447567237,14.15315625122,4.670577076007)); #479565=CARTESIAN_POINT('Ctrl Pts',(6.448296756746,14.15011530787,4.692704036969)); #479566=CARTESIAN_POINT('Ctrl Pts',(6.377473985584,14.12582791568,4.72501322023)); #479567=CARTESIAN_POINT('Ctrl Pts',(6.294685167771,14.05485503178,4.751970343601)); #479568=CARTESIAN_POINT('Ctrl Pts',(6.24201078318,13.97490902956,4.760289293744)); #479569=CARTESIAN_POINT('Ctrl Pts',(6.212716497733,13.8836703723,4.753039695341)); #479570=CARTESIAN_POINT('Ctrl Pts',(6.209710177031,13.79019428591,4.730940780383)); #479571=CARTESIAN_POINT('Ctrl Pts',(6.233289731944,13.70375814099,4.69618604726)); #479572=CARTESIAN_POINT('Ctrl Pts',(6.289173688647,13.62100900034,4.644817498498)); #479573=CARTESIAN_POINT('Ctrl Pts',(6.349511339349,13.58556062843,4.603038244338)); #479574=CARTESIAN_POINT('Ctrl Pts',(6.389340791762,13.57552923309,4.578853327384)); #479575=CARTESIAN_POINT('Ctrl Pts',(6.459503444978,14.16808464289,4.611752738333)); #479576=CARTESIAN_POINT('Ctrl Pts',(6.417159168526,14.16524447404,4.633739637963)); #479577=CARTESIAN_POINT('Ctrl Pts',(6.345992772474,14.14119230201,4.665893131334)); #479578=CARTESIAN_POINT('Ctrl Pts',(6.262826175842,14.0702962424,4.692816576937)); #479579=CARTESIAN_POINT('Ctrl Pts',(6.209957594171,13.99026021952,4.701226517514)); #479580=CARTESIAN_POINT('Ctrl Pts',(6.1806371522,13.89881489842,4.694175561356)); #479581=CARTESIAN_POINT('Ctrl Pts',(6.177786331178,13.8050703938,4.672366027404)); #479582=CARTESIAN_POINT('Ctrl Pts',(6.201688757783,13.71836590847,4.637971142404)); #479583=CARTESIAN_POINT('Ctrl Pts',(6.25809782697,13.63537242294,4.587079168461)); #479584=CARTESIAN_POINT('Ctrl Pts',(6.318877682107,13.59986096899,4.545665945918)); #479585=CARTESIAN_POINT('Ctrl Pts',(6.358953814122,13.58984798672,4.521696333467)); #479586=CARTESIAN_POINT('Ctrl Pts',(6.429617509027,14.18268727818,4.551761126174)); #479587=CARTESIAN_POINT('Ctrl Pts',(6.386965546191,14.1801888275,4.573475480265)); #479588=CARTESIAN_POINT('Ctrl Pts',(6.315240671317,14.15656434774,4.605297471284)); #479589=CARTESIAN_POINT('Ctrl Pts',(6.231423861618,14.08588213885,4.632074703723)); #479590=CARTESIAN_POINT('Ctrl Pts',(6.178182488405,14.00578078751,4.640576483776)); #479591=CARTESIAN_POINT('Ctrl Pts',(6.148738427999,13.91407132624,4.633820568935)); #479592=CARTESIAN_POINT('Ctrl Pts',(6.14604128632,13.81993938067,4.612483482308)); #479593=CARTESIAN_POINT('Ctrl Pts',(6.170360808214,13.73281326269,4.578702792915)); #479594=CARTESIAN_POINT('Ctrl Pts',(6.22750124519,13.64939086063,4.528643751663)); #479595=CARTESIAN_POINT('Ctrl Pts',(6.288929472478,13.61370830468,4.487878730962)); #479596=CARTESIAN_POINT('Ctrl Pts',(6.329377568341,13.60366960683,4.464288977599)); #479597=CARTESIAN_POINT('Ctrl Pts',(6.399834677816,14.19670970183,4.492403415935)); #479598=CARTESIAN_POINT('Ctrl Pts',(6.357013924096,14.19437420635,4.513970580958)); #479599=CARTESIAN_POINT('Ctrl Pts',(6.284992577334,14.17093776847,4.545616052513)); #479600=CARTESIAN_POINT('Ctrl Pts',(6.200858579662,14.10030786059,4.572321051423)); #479601=CARTESIAN_POINT('Ctrl Pts',(6.147463067105,14.02012228728,4.580879179887)); #479602=CARTESIAN_POINT('Ctrl Pts',(6.118014843376,13.92823392416,4.574289328178)); #479603=CARTESIAN_POINT('Ctrl Pts',(6.115473457127,13.83387600668,4.553213393889)); #479604=CARTESIAN_POINT('Ctrl Pts',(6.140093794547,13.74653001347,4.519769387957)); #479605=CARTESIAN_POINT('Ctrl Pts',(6.197710573558,13.66291659437,4.470164903743)); #479606=CARTESIAN_POINT('Ctrl Pts',(6.259531378665,13.62719791025,4.42975274997)); #479607=CARTESIAN_POINT('Ctrl Pts',(6.300195448685,13.6171865036,4.406369928502)); #479608=CARTESIAN_POINT('Origin',(6.45523281942019,13.8715525160936,4.56208943522332)); #479609=CARTESIAN_POINT('Ctrl Pts',(7.132914497217,13.85173305456,6.040052395564)); #479610=CARTESIAN_POINT('Ctrl Pts',(7.085882220104,13.85670070725,6.053615127924)); #479611=CARTESIAN_POINT('Ctrl Pts',(7.005079868159,13.8441676309,6.073902136349)); #479612=CARTESIAN_POINT('Ctrl Pts',(6.907929468829,13.78345174932,6.091763997123)); #479613=CARTESIAN_POINT('Ctrl Pts',(6.844437685518,13.70750843401,6.098250442871)); #479614=CARTESIAN_POINT('Ctrl Pts',(6.807270522426,13.61558198274,6.095257522933)); #479615=CARTESIAN_POINT('Ctrl Pts',(6.800351007476,13.51737352297,6.083100830521)); #479616=CARTESIAN_POINT('Ctrl Pts',(6.82440881774,13.42324743967,6.063063255224)); #479617=CARTESIAN_POINT('Ctrl Pts',(6.885751112988,13.32963982565,6.03291112308)); #479618=CARTESIAN_POINT('Ctrl Pts',(6.95354506295,13.28624628891,6.008177831067)); #479619=CARTESIAN_POINT('Ctrl Pts',(6.998416156307,13.27211273991,5.993893611324)); #479620=CARTESIAN_POINT('Ctrl Pts',(7.118189457662,13.85870697159,5.99260314378)); #479621=CARTESIAN_POINT('Ctrl Pts',(7.071400769104,13.86326173621,6.006871301383)); #479622=CARTESIAN_POINT('Ctrl Pts',(6.991106987182,13.85012726099,6.028186895605)); #479623=CARTESIAN_POINT('Ctrl Pts',(6.894684290858,13.78890468226,6.04690504537)); #479624=CARTESIAN_POINT('Ctrl Pts',(6.831727236998,13.71278207429,6.053654408467)); #479625=CARTESIAN_POINT('Ctrl Pts',(6.794925185985,13.62091978256,6.050429380506)); #479626=CARTESIAN_POINT('Ctrl Pts',(6.788147768083,13.52297602112,6.037569078306)); #479627=CARTESIAN_POINT('Ctrl Pts',(6.812106887023,13.42924845945,6.016426444095)); #479628=CARTESIAN_POINT('Ctrl Pts',(6.87307584994,13.33617019055,5.984642048351)); #479629=CARTESIAN_POINT('Ctrl Pts',(6.940429222399,13.2931286548,5.958581651272)); #479630=CARTESIAN_POINT('Ctrl Pts',(6.985018903656,13.27915848333,5.943529134542)); #479631=CARTESIAN_POINT('Ctrl Pts',(7.090010049087,13.87144024772,5.916178886207)); #479632=CARTESIAN_POINT('Ctrl Pts',(7.043901212033,13.87492671248,5.932030369017)); #479633=CARTESIAN_POINT('Ctrl Pts',(6.964985434168,13.86025725452,5.955618470288)); #479634=CARTESIAN_POINT('Ctrl Pts',(6.870470997595,13.79778382814,5.976158479934)); #479635=CARTESIAN_POINT('Ctrl Pts',(6.808875676785,13.72125954599,5.983394309183)); #479636=CARTESIAN_POINT('Ctrl Pts',(6.772956084814,13.62962559872,5.979540034243)); #479637=CARTESIAN_POINT('Ctrl Pts',(6.766450960592,13.53241945636,5.964996973278)); #479638=CARTESIAN_POINT('Ctrl Pts',(6.790036672112,13.43975849202,5.941279912166)); #479639=CARTESIAN_POINT('Ctrl Pts',(6.849889793529,13.34806540622,5.905732853889)); #479640=CARTESIAN_POINT('Ctrl Pts',(6.915999254342,13.3059208245,5.876628904093)); #479641=CARTESIAN_POINT('Ctrl Pts',(6.959806734059,13.29235692043,5.859811911177)); #479642=CARTESIAN_POINT('Ctrl Pts',(7.046964949958,13.89158420198,5.809071473682)); #479643=CARTESIAN_POINT('Ctrl Pts',(7.001550752807,13.89397649007,5.826276740816)); #479644=CARTESIAN_POINT('Ctrl Pts',(6.924037027697,13.87773222246,5.851776137519)); #479645=CARTESIAN_POINT('Ctrl Pts',(6.83147143065,13.81396186424,5.873785280526)); #479646=CARTESIAN_POINT('Ctrl Pts',(6.77128024657,13.73700572402,5.881344578989)); #479647=CARTESIAN_POINT('Ctrl Pts',(6.73629410784,13.64558329766,5.876854620159)); #479648=CARTESIAN_POINT('Ctrl Pts',(6.73011892013,13.54911612952,5.860778022711)); #479649=CARTESIAN_POINT('Ctrl Pts',(6.75339047514,13.45754574421,5.834772060138)); #479650=CARTESIAN_POINT('Ctrl Pts',(6.812189839351,13.367295654,5.795913849087)); #479651=CARTESIAN_POINT('Ctrl Pts',(6.877083527814,13.32611620516,5.764145075836)); #479652=CARTESIAN_POINT('Ctrl Pts',(6.920114461931,13.3130073544,5.745781020282)); #479653=CARTESIAN_POINT('Ctrl Pts',(7.008161699105,13.91011059575,5.716418961736)); #479654=CARTESIAN_POINT('Ctrl Pts',(6.963185624722,13.91179836706,5.734411404135)); #479655=CARTESIAN_POINT('Ctrl Pts',(6.886559931393,13.89453311629,5.761013465834)); #479656=CARTESIAN_POINT('Ctrl Pts',(6.79524507815,13.82990297969,5.783852398288)); #479657=CARTESIAN_POINT('Ctrl Pts',(6.73597116616,13.75264090131,5.791574022832)); #479658=CARTESIAN_POINT('Ctrl Pts',(6.70161849539,13.66132582578,5.78668688425)); #479659=CARTESIAN_POINT('Ctrl Pts',(6.69570653523,13.5653117578,5.769691417807)); #479660=CARTESIAN_POINT('Ctrl Pts',(6.71884034419,13.47443419591,5.742328890937)); #479661=CARTESIAN_POINT('Ctrl Pts',(6.777043454688,13.38512480739,5.701517347878)); #479662=CARTESIAN_POINT('Ctrl Pts',(6.84120851752,13.34459892732,5.668180146773)); #479663=CARTESIAN_POINT('Ctrl Pts',(6.883764034518,13.33181108832,5.648904961384)); #479664=CARTESIAN_POINT('Ctrl Pts',(6.956452350104,13.93584000138,5.590451639493)); #479665=CARTESIAN_POINT('Ctrl Pts',(6.911619775248,13.93721246896,5.608737614677)); #479666=CARTESIAN_POINT('Ctrl Pts',(6.83531762726,13.91945443102,5.63575979656)); #479667=CARTESIAN_POINT('Ctrl Pts',(6.74453752914,13.85432618671,5.658932842136)); #479668=CARTESIAN_POINT('Ctrl Pts',(6.68572044488,13.77680498277,5.666740496366)); #479669=CARTESIAN_POINT('Ctrl Pts',(6.65176968672,13.68541435702,5.661731780481)); #479670=CARTESIAN_POINT('Ctrl Pts',(6.64615926477,13.58950433299,5.644418888664)); #479671=CARTESIAN_POINT('Ctrl Pts',(6.66946266081,13.49888748496,5.616573273352)); #479672=CARTESIAN_POINT('Ctrl Pts',(6.72769291568,13.41002110269,5.575057124216)); #479673=CARTESIAN_POINT('Ctrl Pts',(6.791728430301,13.36988495036,5.541150537861)); #479674=CARTESIAN_POINT('Ctrl Pts',(6.834164362427,13.35732773687,5.521545166498)); #479675=CARTESIAN_POINT('Ctrl Pts',(6.890766860677,13.96813772251,5.433764713354)); #479676=CARTESIAN_POINT('Ctrl Pts',(6.846155689074,13.96907255562,5.452510008753)); #479677=CARTESIAN_POINT('Ctrl Pts',(6.770332699598,13.95063599553,5.480191678063)); #479678=CARTESIAN_POINT('Ctrl Pts',(6.68030978127,13.88483449807,5.503893447621)); #479679=CARTESIAN_POINT('Ctrl Pts',(6.62211808253,13.80697394932,5.511841974076)); #479680=CARTESIAN_POINT('Ctrl Pts',(6.58869465729,13.7155002734,5.506649753449)); #479681=CARTESIAN_POINT('Ctrl Pts',(6.58345281934,13.61975413225,5.488846865591)); #479682=CARTESIAN_POINT('Ctrl Pts',(6.60692722026,13.52951251377,5.460251719088)); #479683=CARTESIAN_POINT('Ctrl Pts',(6.665111349264,13.44126585883,5.417640072218)); #479684=CARTESIAN_POINT('Ctrl Pts',(6.728908284158,13.40166243215,5.382847328814)); #479685=CARTESIAN_POINT('Ctrl Pts',(6.771148285097,13.3894159547,5.362728218745)); #479686=CARTESIAN_POINT('Ctrl Pts',(6.805594125159,14.00808932356,5.251595902661)); #479687=CARTESIAN_POINT('Ctrl Pts',(6.761737327986,14.00783621239,5.271516683261)); #479688=CARTESIAN_POINT('Ctrl Pts',(6.687431676412,13.98767173425,5.300825013947)); #479689=CARTESIAN_POINT('Ctrl Pts',(6.59954839269,13.92039433185,5.325709697827)); #479690=CARTESIAN_POINT('Ctrl Pts',(6.54293830368,13.84198708589,5.333841401946)); #479691=CARTESIAN_POINT('Ctrl Pts',(6.51063080323,13.75066243672,5.327992695609)); #479692=CARTESIAN_POINT('Ctrl Pts',(6.50589365454,13.65565707936,5.308755119829)); #479693=CARTESIAN_POINT('Ctrl Pts',(6.52920530833,13.56657990808,5.278075139819)); #479694=CARTESIAN_POINT('Ctrl Pts',(6.586465251901,13.47994866107,5.232482018919)); #479695=CARTESIAN_POINT('Ctrl Pts',(6.649079113616,13.44150448872,5.195303498534)); #479696=CARTESIAN_POINT('Ctrl Pts',(6.690532747681,13.42984732777,5.173797129117)); #479697=CARTESIAN_POINT('Ctrl Pts',(6.714036417329,14.05025540841,5.072838713739)); #479698=CARTESIAN_POINT('Ctrl Pts',(6.670971686962,14.04875824725,5.093799329201)); #479699=CARTESIAN_POINT('Ctrl Pts',(6.598260407683,14.02679796349,5.124511689418)); #479700=CARTESIAN_POINT('Ctrl Pts',(6.51263070781,13.95801059898,5.1503445295)); #479701=CARTESIAN_POINT('Ctrl Pts',(6.45769205863,13.87906491378,5.158534909)); #479702=CARTESIAN_POINT('Ctrl Pts',(6.42657250037,13.78792685567,5.151995098397)); #479703=CARTESIAN_POINT('Ctrl Pts',(6.42238682094,13.69371855229,5.131379780849)); #479704=CARTESIAN_POINT('Ctrl Pts',(6.44555327466,13.60586926521,5.09875329218)); #479705=CARTESIAN_POINT('Ctrl Pts',(6.501875085248,13.52092317052,5.050411347064)); #479706=CARTESIAN_POINT('Ctrl Pts',(6.563268641738,13.48367484558,5.011047248429)); #479707=CARTESIAN_POINT('Ctrl Pts',(6.603907443753,13.47262086259,4.988267769558)); #479708=CARTESIAN_POINT('Ctrl Pts',(6.616023483665,14.09429010777,4.898237264467)); #479709=CARTESIAN_POINT('Ctrl Pts',(6.573901028523,14.09139429266,4.920245564586)); #479710=CARTESIAN_POINT('Ctrl Pts',(6.503049406431,14.06744018006,4.952335149187)); #479711=CARTESIAN_POINT('Ctrl Pts',(6.41999167007,13.9970248878,4.979016708943)); #479712=CARTESIAN_POINT('Ctrl Pts',(6.36692609615,13.9175446068,4.987152751635)); #479713=CARTESIAN_POINT('Ctrl Pts',(6.33710016514,13.82668604758,4.979793553113)); #479714=CARTESIAN_POINT('Ctrl Pts',(6.33346285526,13.73343204397,4.957666575012)); #479715=CARTESIAN_POINT('Ctrl Pts',(6.35637318173,13.64700220957,4.922959955968)); #479716=CARTESIAN_POINT('Ctrl Pts',(6.411518263873,13.56396880874,4.871716113099)); #479717=CARTESIAN_POINT('Ctrl Pts',(6.47145111101,13.52805269603,4.830059206766)); #479718=CARTESIAN_POINT('Ctrl Pts',(6.511125371389,13.51766193391,4.805941808996)); #479719=CARTESIAN_POINT('Ctrl Pts',(6.525300953612,14.13636460095,4.737278677566)); #479720=CARTESIAN_POINT('Ctrl Pts',(6.483379266288,14.13308626554,4.759567853282)); #479721=CARTESIAN_POINT('Ctrl Pts',(6.412962265287,14.10852098333,4.792058396626)); #479722=CARTESIAN_POINT('Ctrl Pts',(6.330620328663,14.03745550999,4.819056293505)); #479723=CARTESIAN_POINT('Ctrl Pts',(6.278176686518,13.95761008415,4.827270690568)); #479724=CARTESIAN_POINT('Ctrl Pts',(6.248918237815,13.86660894144,4.81979030497)); #479725=CARTESIAN_POINT('Ctrl Pts',(6.245736573535,13.77344391322,4.79735403248)); #479726=CARTESIAN_POINT('Ctrl Pts',(6.268945720949,13.68732074646,4.762179070407)); #479727=CARTESIAN_POINT('Ctrl Pts',(6.324223819319,13.60485932601,4.710253479081)); #479728=CARTESIAN_POINT('Ctrl Pts',(6.384049158925,13.5694888879,4.668046174535)); #479729=CARTESIAN_POINT('Ctrl Pts',(6.423592145374,13.55943934249,4.643609518698)); #479730=CARTESIAN_POINT('Ctrl Pts',(6.469932938974,14.16301161449,4.631874716926)); #479731=CARTESIAN_POINT('Ctrl Pts',(6.427672118365,14.16008364534,4.653922489339)); #479732=CARTESIAN_POINT('Ctrl Pts',(6.356653533713,14.13592707454,4.686146853692)); #479733=CARTESIAN_POINT('Ctrl Pts',(6.273652436143,14.06499034837,4.713092833997)); #479734=CARTESIAN_POINT('Ctrl Pts',(6.220872270971,13.98498223887,4.721470551723)); #479735=CARTESIAN_POINT('Ctrl Pts',(6.191572889486,13.89361494047,4.714339521213)); #479736=CARTESIAN_POINT('Ctrl Pts',(6.188669315223,13.7999772275,4.692408940426)); #479737=CARTESIAN_POINT('Ctrl Pts',(6.212449972356,13.71338376886,4.657860774781)); #479738=CARTESIAN_POINT('Ctrl Pts',(6.268654318022,13.63049707731,4.606763809476)); #479739=CARTESIAN_POINT('Ctrl Pts',(6.329257628404,13.59502081184,4.565192281202)); #479740=CARTESIAN_POINT('Ctrl Pts',(6.369233931959,13.58500710508,4.54112970555)); #479741=CARTESIAN_POINT('Ctrl Pts',(6.447439348241,14.17400140369,4.586867962722)); #479742=CARTESIAN_POINT('Ctrl Pts',(6.40495087867,14.17132580288,4.608715627625)); #479743=CARTESIAN_POINT('Ctrl Pts',(6.333519391255,14.1474845482,4.640697265246)); #479744=CARTESIAN_POINT('Ctrl Pts',(6.250038344322,14.07670409985,4.667537814579)); #479745=CARTESIAN_POINT('Ctrl Pts',(6.196983754167,13.99664597889,4.67598502386)); #479746=CARTESIAN_POINT('Ctrl Pts',(6.167594648341,13.90508182001,4.669072868707)); #479747=CARTESIAN_POINT('Ctrl Pts',(6.164806715107,13.81115650369,4.647491438495)); #479748=CARTESIAN_POINT('Ctrl Pts',(6.188897933007,13.72425081055,4.613396423624)); #479749=CARTESIAN_POINT('Ctrl Pts',(6.245645499367,13.64104734901,4.562913466437)); #479750=CARTESIAN_POINT('Ctrl Pts',(6.306729655904,13.60544571927,4.52181954687)); #479751=CARTESIAN_POINT('Ctrl Pts',(6.346981617776,13.59541397351,4.498036896089)); #479752=CARTESIAN_POINT('Origin',(7.08061500827834,13.5630005301198,5.96106414982193)); #479753=CARTESIAN_POINT('Ctrl Pts',(7.236907277055,13.79836598016,6.453952192602)); #479754=CARTESIAN_POINT('Ctrl Pts',(7.189841774319,13.80415142433,6.465598604546)); #479755=CARTESIAN_POINT('Ctrl Pts',(7.108451590703,13.79325997861,6.48315278615)); #479756=CARTESIAN_POINT('Ctrl Pts',(7.009832278166,13.73450271201,6.49878479195)); #479757=CARTESIAN_POINT('Ctrl Pts',(6.944752288608,13.65930755382,6.504593415576)); #479758=CARTESIAN_POINT('Ctrl Pts',(6.906311382198,13.56743908112,6.502152022592)); #479759=CARTESIAN_POINT('Ctrl Pts',(6.898599714982,13.46867069927,6.491720190902)); #479760=CARTESIAN_POINT('Ctrl Pts',(6.9224370804,13.37351013133,6.474407888708)); #479761=CARTESIAN_POINT('Ctrl Pts',(6.983967580159,13.27870932333,6.448386271069)); #479762=CARTESIAN_POINT('Ctrl Pts',(7.052096869516,13.23424402346,6.427061298793)); #479763=CARTESIAN_POINT('Ctrl Pts',(7.097094962108,13.21940740465,6.41477492815)); #479764=CARTESIAN_POINT('Ctrl Pts',(7.223686604704,13.80485174331,6.404684459579)); #479765=CARTESIAN_POINT('Ctrl Pts',(7.17679161362,13.8103315671,6.416879893249)); #479766=CARTESIAN_POINT('Ctrl Pts',(7.095765008855,13.79898918494,6.435242727483)); #479767=CARTESIAN_POINT('Ctrl Pts',(6.997679820561,13.73984225849,6.451559541528)); #479768=CARTESIAN_POINT('Ctrl Pts',(6.933002900283,13.66450069657,6.457588187413)); #479769=CARTESIAN_POINT('Ctrl Pts',(6.89484747442,13.57266845153,6.454976317334)); #479770=CARTESIAN_POINT('Ctrl Pts',(6.887262403843,13.47408876987,6.444000911951)); #479771=CARTESIAN_POINT('Ctrl Pts',(6.911051874791,13.37922105126,6.425826700225)); #479772=CARTESIAN_POINT('Ctrl Pts',(6.972337367016,13.28481452819,6.398531833735)); #479773=CARTESIAN_POINT('Ctrl Pts',(7.040162384486,13.24061667043,6.376171937525)); #479774=CARTESIAN_POINT('Ctrl Pts',(7.084963596587,13.22590679172,6.363288003447)); #479775=CARTESIAN_POINT('Ctrl Pts',(7.203998750398,13.8158146936,6.316719387838)); #479776=CARTESIAN_POINT('Ctrl Pts',(7.156859538949,13.82160463633,6.328207345496)); #479777=CARTESIAN_POINT('Ctrl Pts',(7.075360574962,13.81068519386,6.345532105436)); #479778=CARTESIAN_POINT('Ctrl Pts',(6.976678735018,13.75182219026,6.360977417665)); #479779=CARTESIAN_POINT('Ctrl Pts',(6.911625166879,13.676507186,6.366733849325)); #479780=CARTESIAN_POINT('Ctrl Pts',(6.873297476274,13.58451359694,6.364353301782)); #479781=CARTESIAN_POINT('Ctrl Pts',(6.865779349201,13.48564145999,6.354089244656)); #479782=CARTESIAN_POINT('Ctrl Pts',(6.889871109105,13.39042385332,6.337035329492)); #479783=CARTESIAN_POINT('Ctrl Pts',(6.951733114779,13.295634149,6.311390981115)); #479784=CARTESIAN_POINT('Ctrl Pts',(7.02009110157,13.25125688297,6.290370936815)); #479785=CARTESIAN_POINT('Ctrl Pts',(7.065200931034,13.23650132324,6.278260895014)); #479786=CARTESIAN_POINT('Ctrl Pts',(7.163334624571,13.83678646552,6.145568663726)); #479787=CARTESIAN_POINT('Ctrl Pts',(7.116267918966,13.84232655133,6.157362952268)); #479788=CARTESIAN_POINT('Ctrl Pts',(7.034969425512,13.83100741072,6.175142146092)); #479789=CARTESIAN_POINT('Ctrl Pts',(6.936672899635,13.77172596183,6.190978465427)); #479790=CARTESIAN_POINT('Ctrl Pts',(6.871978255592,13.69618194315,6.196866828807)); #479791=CARTESIAN_POINT('Ctrl Pts',(6.833991873692,13.6041062906,6.194400546242)); #479792=CARTESIAN_POINT('Ctrl Pts',(6.82675694286,13.50529779313,6.183841902457)); #479793=CARTESIAN_POINT('Ctrl Pts',(6.851042787251,13.41027203606,6.166314687491)); #479794=CARTESIAN_POINT('Ctrl Pts',(6.913005904011,13.31581917657,6.139967563676)); #479795=CARTESIAN_POINT('Ctrl Pts',(6.981321328787,13.27174220095,6.118374886722)); #479796=CARTESIAN_POINT('Ctrl Pts',(7.026370453643,13.25716398201,6.105934422212)); #479797=CARTESIAN_POINT('Ctrl Pts',(7.13016925539,13.85264942942,6.029843192723)); #479798=CARTESIAN_POINT('Ctrl Pts',(7.083594375127,13.85733222107,6.043214508672)); #479799=CARTESIAN_POINT('Ctrl Pts',(7.003336821394,13.84475223329,6.063318576305)); #479800=CARTESIAN_POINT('Ctrl Pts',(6.906554725791,13.78439140033,6.081127914601)); #479801=CARTESIAN_POINT('Ctrl Pts',(6.842992101655,13.70845191688,6.087654666605)); #479802=CARTESIAN_POINT('Ctrl Pts',(6.805793926793,13.61649361349,6.084705647909)); #479803=CARTESIAN_POINT('Ctrl Pts',(6.798888262133,13.51822726,6.072592615712)); #479804=CARTESIAN_POINT('Ctrl Pts',(6.823003518904,13.42402959521,6.052596371339)); #479805=CARTESIAN_POINT('Ctrl Pts',(6.884230560444,13.33068023574,6.022599423324)); #479806=CARTESIAN_POINT('Ctrl Pts',(6.951657924011,13.28734119002,5.998039140528)); #479807=CARTESIAN_POINT('Ctrl Pts',(6.996137715965,13.27310750555,5.983885330821)); #479808=CARTESIAN_POINT('Ctrl Pts',(7.100607453302,13.86606222455,5.95107493421)); #479809=CARTESIAN_POINT('Ctrl Pts',(7.054704152958,13.86969574835,5.965992622232)); #479810=CARTESIAN_POINT('Ctrl Pts',(6.975808318553,13.85559930688,5.988326821732)); #479811=CARTESIAN_POINT('Ctrl Pts',(6.880918685719,13.79399334814,6.007932520682)); #479812=CARTESIAN_POINT('Ctrl Pts',(6.818715810935,13.71764861858,6.014940837944)); #479813=CARTESIAN_POINT('Ctrl Pts',(6.782402376675,13.62591302792,6.01136735472)); #479814=CARTESIAN_POINT('Ctrl Pts',(6.775775716758,13.52837804924,5.997585555262)); #479815=CARTESIAN_POINT('Ctrl Pts',(6.799528123243,13.43524171137,5.975036708558)); #479816=CARTESIAN_POINT('Ctrl Pts',(6.859658678262,13.34326917131,5.941322885817)); #479817=CARTESIAN_POINT('Ctrl Pts',(6.925862427098,13.30082425934,5.913762378014)); #479818=CARTESIAN_POINT('Ctrl Pts',(6.969573347955,13.28699798778,5.897873038827)); #479819=CARTESIAN_POINT('Origin',(7.18368510591743,13.5086886224923,6.37903380292621)); #479820=CARTESIAN_POINT('Ctrl Pts',(7.132490989171,13.86185510429,7.647663532113)); #479821=CARTESIAN_POINT('Ctrl Pts',(7.085512867609,13.87136785072,7.631832269739)); #479822=CARTESIAN_POINT('Ctrl Pts',(7.002309733891,13.86554795923,7.607391642207)); #479823=CARTESIAN_POINT('Ctrl Pts',(6.898580709689,13.81023501911,7.584549964805)); #479824=CARTESIAN_POINT('Ctrl Pts',(6.828430258488,13.73555703388,7.57501861128)); #479825=CARTESIAN_POINT('Ctrl Pts',(6.785205125648,13.64218849751,7.576662275371)); #479826=CARTESIAN_POINT('Ctrl Pts',(6.773801450889,13.54070364749,7.589295044117)); #479827=CARTESIAN_POINT('Ctrl Pts',(6.795510062136,13.44259627952,7.611485957688)); #479828=CARTESIAN_POINT('Ctrl Pts',(6.856390056745,13.34537779287,7.645477150901)); #479829=CARTESIAN_POINT('Ctrl Pts',(6.925313992546,13.30075091408,7.673572409096)); #479830=CARTESIAN_POINT('Ctrl Pts',(6.97087635792,13.2866040396,7.689707663222)); #479831=CARTESIAN_POINT('Ctrl Pts',(7.147134803065,13.8542624816,7.600085707145)); #479832=CARTESIAN_POINT('Ctrl Pts',(7.100166329058,13.86379308747,7.584273898794)); #479833=CARTESIAN_POINT('Ctrl Pts',(7.016972262653,13.85801567428,7.559867720916)); #479834=CARTESIAN_POINT('Ctrl Pts',(6.913227229311,13.80277709698,7.537066052466)); #479835=CARTESIAN_POINT('Ctrl Pts',(6.843040216935,13.72816357788,7.527558461604)); #479836=CARTESIAN_POINT('Ctrl Pts',(6.7997562837,13.63484896504,7.529212090345)); #479837=CARTESIAN_POINT('Ctrl Pts',(6.78827602203,13.53339662618,7.541839981706)); #479838=CARTESIAN_POINT('Ctrl Pts',(6.8098983505,13.43529149954,7.564012380987)); #479839=CARTESIAN_POINT('Ctrl Pts',(6.87067939851,13.3380343134,7.597969962367)); #479840=CARTESIAN_POINT('Ctrl Pts',(6.939546675894,13.29334267536,7.626035461317)); #479841=CARTESIAN_POINT('Ctrl Pts',(6.985086719675,13.27914641409,7.642153918121)); #479842=CARTESIAN_POINT('Ctrl Pts',(7.171908447048,13.84136808412,7.518961982831)); #479843=CARTESIAN_POINT('Ctrl Pts',(7.124927529186,13.85094465888,7.503275565226)); #479844=CARTESIAN_POINT('Ctrl Pts',(7.041704305899,13.84526344156,7.479070157795)); #479845=CARTESIAN_POINT('Ctrl Pts',(6.937890410997,13.79017348339,7.456468847928)); #479846=CARTESIAN_POINT('Ctrl Pts',(6.867623923222,13.7156786562,7.447056374298)); #479847=CARTESIAN_POINT('Ctrl Pts',(6.824243167885,13.62245387402,7.448716507607)); #479848=CARTESIAN_POINT('Ctrl Pts',(6.812655970228,13.52104431109,7.461261692702)); #479849=CARTESIAN_POINT('Ctrl Pts',(6.834172366605,13.42292133726,7.483272607824)); #479850=CARTESIAN_POINT('Ctrl Pts',(6.894847523408,13.32556544984,7.516974279639)); #479851=CARTESIAN_POINT('Ctrl Pts',(6.963669884392,13.28073673548,7.544825037192)); #479852=CARTESIAN_POINT('Ctrl Pts',(7.009201441478,13.2664410959,7.560820644983)); #479853=CARTESIAN_POINT('Ctrl Pts',(7.217217827025,13.81762851266,7.370157285597)); #479854=CARTESIAN_POINT('Ctrl Pts',(7.170295650147,13.82724526876,7.354440679646)); #479855=CARTESIAN_POINT('Ctrl Pts',(7.087145297782,13.82167224076,7.330202261094)); #479856=CARTESIAN_POINT('Ctrl Pts',(6.98332423255,13.76679087075,7.307594170616)); #479857=CARTESIAN_POINT('Ctrl Pts',(6.91296275161,13.69248684194,7.298200659244)); #479858=CARTESIAN_POINT('Ctrl Pts',(6.8693978018,13.59943109011,7.299900899146)); #479859=CARTESIAN_POINT('Ctrl Pts',(6.85755115297,13.49813495528,7.312503069899)); #479860=CARTESIAN_POINT('Ctrl Pts',(6.87876031975,13.40004096226,7.334583416955)); #479861=CARTESIAN_POINT('Ctrl Pts',(6.939067024551,13.30259874476,7.368375251546)); #479862=CARTESIAN_POINT('Ctrl Pts',(7.007662062369,13.2575969639,7.396294438158)); #479863=CARTESIAN_POINT('Ctrl Pts',(7.05309447495,13.24316404094,7.412330249879)); #479864=CARTESIAN_POINT('Ctrl Pts',(7.265923269191,13.79189475103,7.204431617448)); #479865=CARTESIAN_POINT('Ctrl Pts',(7.218472336366,13.80187306058,7.190607044174)); #479866=CARTESIAN_POINT('Ctrl Pts',(7.134485862466,13.79690821761,7.169300234257)); #479867=CARTESIAN_POINT('Ctrl Pts',(7.0298003684,13.74271212639,7.149450827402)); #479868=CARTESIAN_POINT('Ctrl Pts',(6.95897696244,13.66880716456,7.141225544365)); #479869=CARTESIAN_POINT('Ctrl Pts',(6.91527121004,13.57590306466,7.142758346679)); #479870=CARTESIAN_POINT('Ctrl Pts',(6.90361341272,13.47447829204,7.153876572033)); #479871=CARTESIAN_POINT('Ctrl Pts',(6.92531772978,13.37597277219,7.173326147953)); #479872=CARTESIAN_POINT('Ctrl Pts',(6.986495362624,13.27775793214,7.203075574296)); #479873=CARTESIAN_POINT('Ctrl Pts',(7.055895284733,13.23200688859,7.227648750034)); #479874=CARTESIAN_POINT('Ctrl Pts',(7.101824490832,13.2171023859,7.24176363944)); #479875=CARTESIAN_POINT('Ctrl Pts',(7.299941495997,13.77344146415,7.039788661358)); #479876=CARTESIAN_POINT('Ctrl Pts',(7.251501244965,13.78384472807,7.030591177508)); #479877=CARTESIAN_POINT('Ctrl Pts',(7.166061402288,13.77958783251,7.016441823631)); #479878=CARTESIAN_POINT('Ctrl Pts',(7.06011508939,13.72616990585,7.003306596296)); #479879=CARTESIAN_POINT('Ctrl Pts',(6.98883027686,13.65269267167,6.997905680646)); #479880=CARTESIAN_POINT('Ctrl Pts',(6.94530919945,13.55989847013,6.99899664773)); #479881=CARTESIAN_POINT('Ctrl Pts',(6.9344441862,13.4582171285,7.006457153621)); #479882=CARTESIAN_POINT('Ctrl Pts',(6.95745553702,13.35907780045,7.019449593808)); #479883=CARTESIAN_POINT('Ctrl Pts',(7.020589495376,13.25972556713,7.039291390927)); #479884=CARTESIAN_POINT('Ctrl Pts',(7.091598144987,13.21288737659,7.055669130788)); #479885=CARTESIAN_POINT('Ctrl Pts',(7.13846591783,13.19729771444,7.065078278554)); #479886=CARTESIAN_POINT('Ctrl Pts',(7.311408498436,13.76635214013,6.907683213238)); #479887=CARTESIAN_POINT('Ctrl Pts',(7.262443032076,13.77657036893,6.903878313087)); #479888=CARTESIAN_POINT('Ctrl Pts',(7.176444620123,13.77209025647,6.898045646593)); #479889=CARTESIAN_POINT('Ctrl Pts',(7.07041471853,13.71859227163,6.892668089419)); #479890=CARTESIAN_POINT('Ctrl Pts',(6.99947240881,13.64517638836,6.890490873574)); #479891=CARTESIAN_POINT('Ctrl Pts',(6.95661050868,13.55250569134,6.89099932666)); #479892=CARTESIAN_POINT('Ctrl Pts',(6.94661152292,13.45091895725,6.894136911633)); #479893=CARTESIAN_POINT('Ctrl Pts',(6.97059011955,13.3517500609,6.899554509169)); #479894=CARTESIAN_POINT('Ctrl Pts',(7.03486321662,13.25212683286,6.90780343962)); #479895=CARTESIAN_POINT('Ctrl Pts',(7.10663142859,13.20483868248,6.914602917867)); #479896=CARTESIAN_POINT('Ctrl Pts',(7.153914006865,13.18888916735,6.918510660691)); #479897=CARTESIAN_POINT('Ctrl Pts',(7.309970612135,13.76575473474,6.808273731025)); #479898=CARTESIAN_POINT('Ctrl Pts',(7.260965765691,13.77531998724,6.809449552823)); #479899=CARTESIAN_POINT('Ctrl Pts',(7.175258513363,13.76990381071,6.811260489976)); #479900=CARTESIAN_POINT('Ctrl Pts',(7.07013126902,13.71567137929,6.812945418133)); #479901=CARTESIAN_POINT('Ctrl Pts',(7.0001203305,13.64204299202,6.813641779307)); #479902=CARTESIAN_POINT('Ctrl Pts',(6.95816505657,13.54952224433,6.813508413473)); #479903=CARTESIAN_POINT('Ctrl Pts',(6.94890082564,13.44833059556,6.812560406087)); #479904=CARTESIAN_POINT('Ctrl Pts',(6.97335013151,13.34964762056,6.810904572822)); #479905=CARTESIAN_POINT('Ctrl Pts',(7.037833394407,13.25050683264,6.808373247546)); #479906=CARTESIAN_POINT('Ctrl Pts',(7.109494272428,13.20334574282,6.806282888201)); #479907=CARTESIAN_POINT('Ctrl Pts',(7.156672725411,13.18734477432,6.805082102619)); #479908=CARTESIAN_POINT('Ctrl Pts',(7.29668005245,13.77068458137,6.708587930736)); #479909=CARTESIAN_POINT('Ctrl Pts',(7.248209523561,13.77895095437,6.714734498952)); #479910=CARTESIAN_POINT('Ctrl Pts',(7.16384009467,13.77164101175,6.724090522211)); #479911=CARTESIAN_POINT('Ctrl Pts',(7.06089691401,13.71584453155,6.732597044839)); #479912=CARTESIAN_POINT('Ctrl Pts',(6.99262843029,13.64169732921,6.73593035661)); #479913=CARTESIAN_POINT('Ctrl Pts',(6.95198095274,13.54942334654,6.73492355578)); #479914=CARTESIAN_POINT('Ctrl Pts',(6.94338110915,13.44907122083,6.729686646604)); #479915=CARTESIAN_POINT('Ctrl Pts',(6.96776451049,13.35156931544,6.720791984189)); #479916=CARTESIAN_POINT('Ctrl Pts',(7.03137543621,13.25386801384,6.70732787028)); #479917=CARTESIAN_POINT('Ctrl Pts',(7.10188329218,13.20751422573,6.696259448631)); #479918=CARTESIAN_POINT('Ctrl Pts',(7.14832713018,13.19180238448,6.689893845587)); #479919=CARTESIAN_POINT('Ctrl Pts',(7.266573435535,13.78372177955,6.563462742283)); #479920=CARTESIAN_POINT('Ctrl Pts',(7.219105035034,13.79013975792,6.574309375113)); #479921=CARTESIAN_POINT('Ctrl Pts',(7.136926451913,13.78013276252,6.590697706846)); #479922=CARTESIAN_POINT('Ctrl Pts',(7.037220569898,13.72209131678,6.605374748246)); #479923=CARTESIAN_POINT('Ctrl Pts',(6.971373299213,13.6471852324,6.610914600412)); #479924=CARTESIAN_POINT('Ctrl Pts',(6.932390361949,13.55525578629,6.60878923116)); #479925=CARTESIAN_POINT('Ctrl Pts',(6.924438536734,13.45613029285,6.599226581351)); #479926=CARTESIAN_POINT('Ctrl Pts',(6.948366818283,13.36040492478,6.58325219404)); #479927=CARTESIAN_POINT('Ctrl Pts',(7.010279468451,13.26496027238,6.559216253369)); #479928=CARTESIAN_POINT('Ctrl Pts',(7.078813623168,13.21999735993,6.539512032436)); #479929=CARTESIAN_POINT('Ctrl Pts',(7.124019935667,13.2048703078,6.528171651887)); #479930=CARTESIAN_POINT('Ctrl Pts',(7.23467683222,13.79914235584,6.449293623854)); #479931=CARTESIAN_POINT('Ctrl Pts',(7.187734647085,13.80467897646,6.461493211251)); #479932=CARTESIAN_POINT('Ctrl Pts',(7.106646995852,13.79338210075,6.479846548884)); #479933=CARTESIAN_POINT('Ctrl Pts',(7.008496422733,13.73424678186,6.49613575179)); #479934=CARTESIAN_POINT('Ctrl Pts',(6.943792780368,13.65894953863,6.502140984829)); #479935=CARTESIAN_POINT('Ctrl Pts',(6.905586486763,13.56715589238,6.499518043714)); #479936=CARTESIAN_POINT('Ctrl Pts',(6.897930786613,13.46860221988,6.488544878975)); #479937=CARTESIAN_POINT('Ctrl Pts',(6.921637168545,13.37374226168,6.470385148218)); #479938=CARTESIAN_POINT('Ctrl Pts',(6.982739600425,13.27944722322,6.443154922298)); #479939=CARTESIAN_POINT('Ctrl Pts',(7.050326813031,13.23525432389,6.420867823606)); #479940=CARTESIAN_POINT('Ctrl Pts',(7.094930035448,13.22048885531,6.40803556374)); #479941=CARTESIAN_POINT('Ctrl Pts',(7.214952039614,13.8098212622,6.364425317403)); #479942=CARTESIAN_POINT('Ctrl Pts',(7.16787168207,13.81549830363,6.376312607629)); #479943=CARTESIAN_POINT('Ctrl Pts',(7.086531807134,13.80438086115,6.394214999589)); #479944=CARTESIAN_POINT('Ctrl Pts',(6.988092659903,13.74533609923,6.410139312732)); #479945=CARTESIAN_POINT('Ctrl Pts',(6.92323195383,13.67000967072,6.416044578222)); #479946=CARTESIAN_POINT('Ctrl Pts',(6.884991289095,13.57810091396,6.413544141667)); #479947=CARTESIAN_POINT('Ctrl Pts',(6.877436471522,13.4793802043,6.402903689578)); #479948=CARTESIAN_POINT('Ctrl Pts',(6.901370025813,13.38434231375,6.38525466194)); #479949=CARTESIAN_POINT('Ctrl Pts',(6.962848881814,13.28987569764,6.35876809073)); #479950=CARTESIAN_POINT('Ctrl Pts',(7.03075599816,13.24562759136,6.337081195615)); #479951=CARTESIAN_POINT('Ctrl Pts',(7.075537494334,13.23086547503,6.32459578414)); #479952=CARTESIAN_POINT('Ctrl Pts',(8.645449724625,13.78366673068,7.894161664799)); #479953=CARTESIAN_POINT('Ctrl Pts',(8.640857397589,13.77866891604,7.887837132168)); #479954=CARTESIAN_POINT('Ctrl Pts',(8.636220116024,13.77355721766,7.881635362775)); #479955=CARTESIAN_POINT('Ctrl Pts',(8.631541255774,13.76833566084,7.875560006779)); #479956=CARTESIAN_POINT('Ctrl Pts',(8.656066199936,13.77778332605,7.892592134719)); #479957=CARTESIAN_POINT('Ctrl Pts',(8.651462008356,13.77279929412,7.886258293578)); #479958=CARTESIAN_POINT('Ctrl Pts',(8.646812786059,13.76770107816,7.880047164133)); #479959=CARTESIAN_POINT('Ctrl Pts',(8.642121920835,13.76249269984,7.873962406912)); #479960=CARTESIAN_POINT('Ctrl Pts',(8.666741846798,13.77158762328,7.890742117011)); #479961=CARTESIAN_POINT('Ctrl Pts',(8.662128179965,13.76661420441,7.884402147413)); #479962=CARTESIAN_POINT('Ctrl Pts',(8.657469405778,13.76152640988,7.87818485334)); #479963=CARTESIAN_POINT('Ctrl Pts',(8.652768921232,13.7563282579,7.872093902204)); #479964=CARTESIAN_POINT('Ctrl Pts',(8.677432498752,13.76527770034,7.888787852373)); #479965=CARTESIAN_POINT('Ctrl Pts',(8.672810175822,13.76031382797,7.882442836981)); #479966=CARTESIAN_POINT('Ctrl Pts',(8.66814266856,13.75523542526,7.876220466115)); #479967=CARTESIAN_POINT('Ctrl Pts',(8.66343338224,13.75004650702,7.870124412887)); #479968=CARTESIAN_POINT('Ctrl Pts',(14.6799156397908,3.33381367545066,-0.134584352706947)); #479969=CARTESIAN_POINT('Ctrl Pts',(14.6178281399719,3.41977444551176,-0.108714163748664)); #479970=CARTESIAN_POINT('Ctrl Pts',(14.5757160551272,3.51937048610382,-0.0806127617842416)); #479971=CARTESIAN_POINT('Ctrl Pts',(14.557485811782,3.62335309773617,-0.0528893923943204)); #479972=CARTESIAN_POINT('Ctrl Pts',(14.681965058012,3.32496542298228,-0.0988694621012447)); #479973=CARTESIAN_POINT('Ctrl Pts',(14.6198914825233,3.41099665424869,-0.0730347549129906)); #479974=CARTESIAN_POINT('Ctrl Pts',(14.5778141362485,3.51066477476822,-0.0449701070197308)); #479975=CARTESIAN_POINT('Ctrl Pts',(14.5596389858237,3.6147078468295,-0.0172832708704265)); #479976=CARTESIAN_POINT('Ctrl Pts',(14.6849825349905,3.31126104345762,-0.0420654732498119)); #479977=CARTESIAN_POINT('Ctrl Pts',(14.6230443280093,3.39738207626754,-0.0165662102438907)); #479978=CARTESIAN_POINT('Ctrl Pts',(14.5810851874503,3.4971010659608,0.0111302237850486)); #479979=CARTESIAN_POINT('Ctrl Pts',(14.5629940929846,3.60116557594729,0.0384543869512988)); #479980=CARTESIAN_POINT('Ctrl Pts',(14.68980609029,3.288374988579,0.05697010285831)); #479981=CARTESIAN_POINT('Ctrl Pts',(14.62824214515,3.374607161257,0.08156811683786)); #479982=CARTESIAN_POINT('Ctrl Pts',(14.58656574132,3.474318825589,0.1082720671652)); #479983=CARTESIAN_POINT('Ctrl Pts',(14.56861683657,3.578312855708,0.1346191654812)); #479984=CARTESIAN_POINT('Ctrl Pts',(14.69506520905,3.264739322103,0.1592686686737)); #479985=CARTESIAN_POINT('Ctrl Pts',(14.63364314895,3.351114173375,0.183642843728)); #479986=CARTESIAN_POINT('Ctrl Pts',(14.59212250655,3.450935181714,0.210102490049)); #479987=CARTESIAN_POINT('Ctrl Pts',(14.57432745041,3.554998580339,0.2362087479798)); #479988=CARTESIAN_POINT('Ctrl Pts',(14.70213738499,3.235444251129,0.2784569144842)); #479989=CARTESIAN_POINT('Ctrl Pts',(14.64040426059,3.322076956693,0.3038341204838)); #479990=CARTESIAN_POINT('Ctrl Pts',(14.59880339121,3.422290998224,0.3314084748414)); #479991=CARTESIAN_POINT('Ctrl Pts',(14.5812082513,3.526745357704,0.3586105925069)); #479992=CARTESIAN_POINT('Ctrl Pts',(14.70892020434,3.209212361696,0.3746422168934)); #479993=CARTESIAN_POINT('Ctrl Pts',(14.6465085316,3.296147694458,0.4018629279531)); #479994=CARTESIAN_POINT('Ctrl Pts',(14.60461137774,3.396930560319,0.431488052878)); #479995=CARTESIAN_POINT('Ctrl Pts',(14.58720334397,3.501989387199,0.4607059673928)); #479996=CARTESIAN_POINT('Ctrl Pts',(14.71496785463,3.186578431558,0.4500001260088)); #479997=CARTESIAN_POINT('Ctrl Pts',(14.65178688005,3.273796309813,0.479120631737)); #479998=CARTESIAN_POINT('Ctrl Pts',(14.60953256675,3.375159540402,0.5108717435151)); #479999=CARTESIAN_POINT('Ctrl Pts',(14.59229486212,3.480845839402,0.5421775156578)); #480000=CARTESIAN_POINT('Ctrl Pts',(14.72100529473,3.163210451519,0.5260672666864)); #480001=CARTESIAN_POINT('Ctrl Pts',(14.65717076143,3.250700342363,0.5567335180848)); #480002=CARTESIAN_POINT('Ctrl Pts',(14.61462660258,3.352592343212,0.5902223808375)); #480003=CARTESIAN_POINT('Ctrl Pts',(14.59756231414,3.45884141085,0.6232335602987)); #480004=CARTESIAN_POINT('Ctrl Pts',(14.7303567405962,3.12425428382114,0.652045149448293)); #480005=CARTESIAN_POINT('Ctrl Pts',(14.6659309794916,3.21214638214837,0.684018316095777)); #480006=CARTESIAN_POINT('Ctrl Pts',(14.6231808301752,3.31468673809114,0.718990321381412)); #480007=CARTESIAN_POINT('Ctrl Pts',(14.6063894175747,3.42159001387493,0.753454994988505)); #480008=CARTESIAN_POINT('Ctrl Pts',(14.7371694214418,3.0914288293488,0.763138077113663)); #480009=CARTESIAN_POINT('Ctrl Pts',(14.6729915498023,3.17957849422301,0.794488763755155)); #480010=CARTESIAN_POINT('Ctrl Pts',(14.6304822492494,3.28231032050276,0.828776368339002)); #480011=CARTESIAN_POINT('Ctrl Pts',(14.6138932645016,3.38933747890728,0.862567107925095)); #480012=CARTESIAN_POINT('Ctrl Pts',(14.7405965055223,3.07203518981947,0.836374337807277)); #480013=CARTESIAN_POINT('Ctrl Pts',(14.6769967844199,3.16027937524963,0.866453189394087)); #480014=CARTESIAN_POINT('Ctrl Pts',(14.6348697212765,3.2629081366903,0.899314628314688)); #480015=CARTESIAN_POINT('Ctrl Pts',(14.6183841397726,3.36975305998037,0.931705200040406)); #480016=CARTESIAN_POINT('',(14.6620641572989,3.33437844186506,0.0411676033500158)); #480017=CARTESIAN_POINT('Ctrl Pts',(14.6620646485238,3.33437840089652,0.0411655042788004)); #480018=CARTESIAN_POINT('Ctrl Pts',(14.6419149216701,3.36913704393268,0.0357716620510771)); #480019=CARTESIAN_POINT('Ctrl Pts',(14.6240977253601,3.40544721481372,0.0316275365193521)); #480020=CARTESIAN_POINT('Ctrl Pts',(14.5940660518037,3.47971387834725,0.0261186263224467)); #480021=CARTESIAN_POINT('Ctrl Pts',(14.5817537505619,3.51762460697748,0.0247034686787305)); #480022=CARTESIAN_POINT('Ctrl Pts',(14.5723386349436,3.55625471972905,0.0247088373612594)); #480023=CARTESIAN_POINT('',(14.7085943839,3.13769817298,0.7585880961699)); #480024=CARTESIAN_POINT('Origin',(15.3541002108299,3.51620851924728,0.828710977334877)); #480025=CARTESIAN_POINT('Ctrl Pts',(14.662063772002,3.3343789188511,0.0411721041065033)); #480026=CARTESIAN_POINT('Ctrl Pts',(14.6621809786107,3.33388170340606,0.0432318960741199)); #480027=CARTESIAN_POINT('Ctrl Pts',(14.6622980659403,3.33338495901052,0.0452914744915305)); #480028=CARTESIAN_POINT('Ctrl Pts',(14.6624150649631,3.33288865684617,0.047350683460814)); #480029=CARTESIAN_POINT('Ctrl Pts',(14.6646077488469,3.32358743594087,0.0859424102135222)); #480030=CARTESIAN_POINT('Ctrl Pts',(14.6667691722415,3.31444263408852,0.12440482378222)); #480031=CARTESIAN_POINT('Ctrl Pts',(14.668963689582,3.30522090005971,0.162694750665664)); #480032=CARTESIAN_POINT('Ctrl Pts',(14.6690966047818,3.30466236784958,0.165013854363641)); #480033=CARTESIAN_POINT('Ctrl Pts',(14.6692296360299,3.30410355905906,0.167332327629834)); #480034=CARTESIAN_POINT('Ctrl Pts',(14.6693628031173,3.30354441358178,0.169650145087998)); #480035=CARTESIAN_POINT('Ctrl Pts',(14.6703876334982,3.29924132876262,0.187487658799669)); #480036=CARTESIAN_POINT('Ctrl Pts',(14.6714205019065,3.29491835655558,0.205286322977885)); #480037=CARTESIAN_POINT('Ctrl Pts',(14.6724686544142,3.29055246784575,0.223041355042661)); #480038=CARTESIAN_POINT('Ctrl Pts',(14.6730608294575,3.28808587036419,0.23307242094627)); #480039=CARTESIAN_POINT('Ctrl Pts',(14.6736578895571,3.28560555871119,0.243089530877487)); #480040=CARTESIAN_POINT('Ctrl Pts',(14.6742611273608,3.2831073411589,0.25309177695441)); #480041=CARTESIAN_POINT('Ctrl Pts',(14.6745627462626,3.28185823238275,0.258092899992871)); #480042=CARTESIAN_POINT('Ctrl Pts',(14.6748659092476,3.28060464692664,0.26309030707057)); #480043=CARTESIAN_POINT('Ctrl Pts',(14.6751707758325,3.27934605959216,0.268083884742864)); #480044=CARTESIAN_POINT('Ctrl Pts',(14.6754756424175,3.27808747225767,0.273077462415158)); #480045=CARTESIAN_POINT('Ctrl Pts',(14.6757822126026,3.27682388304489,0.278067210680937)); #480046=CARTESIAN_POINT('Ctrl Pts',(14.6760906445214,3.27555476593231,0.283053016144924)); #480047=CARTESIAN_POINT('Ctrl Pts',(14.676217389411,3.27503324368208,0.285101848722293)); #480048=CARTESIAN_POINT('Ctrl Pts',(14.6763441868019,3.27451112327225,0.287150731038066)); #480049=CARTESIAN_POINT('Ctrl Pts',(14.6764710576713,3.27398836054056,0.289199586392705)); #480050=CARTESIAN_POINT('Ctrl Pts',(14.6788884169359,3.26402779718833,0.328237858102378)); #480051=CARTESIAN_POINT('Ctrl Pts',(14.681333210899,3.25383258329907,0.367262896344731)); #480052=CARTESIAN_POINT('Ctrl Pts',(14.6839294827194,3.24312331561587,0.405873990213872)); #480053=CARTESIAN_POINT('Ctrl Pts',(14.6852223899807,3.23779024923894,0.425101778012404)); #480054=CARTESIAN_POINT('Ctrl Pts',(14.6865526161579,3.23233006984621,0.444227890378133)); #480055=CARTESIAN_POINT('Ctrl Pts',(14.6878917959374,3.22677723111644,0.46337977211542)); #480056=CARTESIAN_POINT('Ctrl Pts',(14.6892175852645,3.22127991519577,0.482340154274682)); #480057=CARTESIAN_POINT('Ctrl Pts',(14.6905521561436,3.21569187844124,0.5013257246073)); #480058=CARTESIAN_POINT('Ctrl Pts',(14.6918908123297,3.21003839573905,0.520322803066786)); #480059=CARTESIAN_POINT('Ctrl Pts',(14.6919101761821,3.20995661730646,0.520597598512692)); #480060=CARTESIAN_POINT('Ctrl Pts',(14.6919295444411,3.20987481960522,0.520872393848483)); #480061=CARTESIAN_POINT('Ctrl Pts',(14.691948917038,3.20979300276113,0.521147189282552)); #480062=CARTESIAN_POINT('Ctrl Pts',(14.6926234363896,3.2069442858434,0.530715077297056)); #480063=CARTESIAN_POINT('Ctrl Pts',(14.6933032164012,3.20407237129564,0.540283068018394)); #480064=CARTESIAN_POINT('Ctrl Pts',(14.6939864808798,3.20118213518,0.54985482606768)); #480065=CARTESIAN_POINT('Ctrl Pts',(14.6942939944851,3.19988134031059,0.554162741844641)); #480066=CARTESIAN_POINT('Ctrl Pts',(14.6946022130743,3.19857683404023,0.558471421250788)); #480067=CARTESIAN_POINT('Ctrl Pts',(14.6949109714594,3.1972690593868,0.562781198712488)); #480068=CARTESIAN_POINT('Ctrl Pts',(14.6952004324453,3.19604302064921,0.566821615082831)); #480069=CARTESIAN_POINT('Ctrl Pts',(14.6954903678388,3.19481410929667,0.570862996537545)); #480070=CARTESIAN_POINT('Ctrl Pts',(14.6957806401745,3.19358268959298,0.574905618413391)); #480071=CARTESIAN_POINT('Ctrl Pts',(14.6968691614331,3.18896486570414,0.590065450447816)); #480072=CARTESIAN_POINT('Ctrl Pts',(14.6979624371037,3.18431177741452,0.60524272857963)); #480073=CARTESIAN_POINT('Ctrl Pts',(14.6990530761352,3.17964255265718,0.62045194905185)); #480074=CARTESIAN_POINT('Ctrl Pts',(14.6994827917286,3.17780286177034,0.62644443511815)); #480075=CARTESIAN_POINT('Ctrl Pts',(14.6999120443872,3.17596069116213,0.632442208186924)); #480076=CARTESIAN_POINT('Ctrl Pts',(14.7003404428706,3.17411716319992,0.638445754443591)); #480077=CARTESIAN_POINT('Ctrl Pts',(14.7031739328143,3.16192380083959,0.678154083503402)); #480078=CARTESIAN_POINT('Ctrl Pts',(14.7059702259793,3.1496718364728,0.718114095538724)); #480079=CARTESIAN_POINT('Ctrl Pts',(14.7085943839567,3.13769817301327,0.758588096176067)); #480080=CARTESIAN_POINT('Origin',(0.,0.,2.012745533165)); #480081=CARTESIAN_POINT('Ctrl Pts',(19.7623705451233,-13.2639863338017, 4.000423476954)); #480082=CARTESIAN_POINT('Ctrl Pts',(19.7394172025673,-12.9148572762722, 3.90392139984476)); #480083=CARTESIAN_POINT('Ctrl Pts',(19.7156146336319,-12.5648382340179, 3.80907242822403)); #480084=CARTESIAN_POINT('Ctrl Pts',(19.6863434443267,-12.2134177512522, 3.71414564659017)); #480085=CARTESIAN_POINT('Ctrl Pts',(19.5279625982503,-10.311948261625,3.2005148598906)); #480086=CARTESIAN_POINT('Ctrl Pts',(19.2226731692437,-8.37206952202514, 2.68185126515731)); #480087=CARTESIAN_POINT('Ctrl Pts',(18.6558094389358,-6.20021660711701, 2.12941915272874)); #480088=CARTESIAN_POINT('Ctrl Pts',(18.3489777416698,-5.02463722098921, 1.83039899388271)); #480089=CARTESIAN_POINT('Ctrl Pts',(17.9433636176031,-3.73654919418539, 1.51187400998496)); #480090=CARTESIAN_POINT('Ctrl Pts',(17.4776152672957,-2.50679447134467, 1.22473784431097)); #480091=CARTESIAN_POINT('Ctrl Pts',(16.954590303591,-1.12580746654893,0.902290362680913)); #480092=CARTESIAN_POINT('Ctrl Pts',(16.3578382200376,0.20181087375636,0.612836386118956)); #480093=CARTESIAN_POINT('Ctrl Pts',(15.6740516297958,1.5086585417232,0.361926417637535)); #480094=CARTESIAN_POINT('Ctrl Pts',(15.3859692092576,2.05923944640214,0.256216899362623)); #480095=CARTESIAN_POINT('Ctrl Pts',(15.0821861098873,2.60592561094988,0.15743184301039)); #480096=CARTESIAN_POINT('Ctrl Pts',(14.7662343453209,3.15426555606174,0.0695993914033273)); #480097=CARTESIAN_POINT('Ctrl Pts',(14.7316544225014,3.21427963133309,0.0599864069600037)); #480098=CARTESIAN_POINT('Ctrl Pts',(14.6969293955955,3.27431453003278,0.050506241385714)); #480099=CARTESIAN_POINT('Ctrl Pts',(14.662064050936,3.33437800659714,0.0411652015482948)); #480100=CARTESIAN_POINT('Origin',(0.,0.,4.000782242868)); #480101=CARTESIAN_POINT('',(15.5693932738808,0.0856561348623237,0.282033864205767)); #480102=CARTESIAN_POINT('Ctrl Pts',(15.5693945555973,0.0856563771833604, 0.282032211619025)); #480103=CARTESIAN_POINT('Ctrl Pts',(16.180768580438,-1.28790960374248,0.554795051403887)); #480104=CARTESIAN_POINT('Ctrl Pts',(17.1497442185224,-3.65223882025853, 1.07470283024668)); #480105=CARTESIAN_POINT('Ctrl Pts',(18.5396507921591,-10.1214202618602, 2.70370771594204)); #480106=CARTESIAN_POINT('Ctrl Pts',(18.611489182837,-12.8626947709503,3.4434789917859)); #480107=CARTESIAN_POINT('Ctrl Pts',(18.5822021416423,-14.8724809300466, 4.00046430647982)); #480108=CARTESIAN_POINT('Ctrl Pts',(14.9999898657074,0.017491693118444, 0.0247088525334438)); #480109=CARTESIAN_POINT('Ctrl Pts',(14.999946682452,0.0461647772000632, 0.024704449368232)); #480110=CARTESIAN_POINT('Ctrl Pts',(15.0037875390171,0.0747424324687133, 0.0264927045833266)); #480111=CARTESIAN_POINT('Ctrl Pts',(15.0194139265424,0.129202999853026, 0.0337188292634131)); #480112=CARTESIAN_POINT('Ctrl Pts',(15.0309767945516,0.154491618229184, 0.0390530818625816)); #480113=CARTESIAN_POINT('Ctrl Pts',(15.0673130054975,0.209898020110262, 0.0557614842408109)); #480114=CARTESIAN_POINT('Ctrl Pts',(15.092820014705,0.233312398902747,0.0674497778327992)); #480115=CARTESIAN_POINT('Ctrl Pts',(15.1513760309223,0.272751427745675, 0.0941926057091311)); #480116=CARTESIAN_POINT('Ctrl Pts',(15.1869004180225,0.284846483162536, 0.110345039508797)); #480117=CARTESIAN_POINT('Ctrl Pts',(15.2577272461319,0.296939044782503, 0.14243856363367)); #480118=CARTESIAN_POINT('Ctrl Pts',(15.2973500538272,0.295066471076649, 0.160316997294957)); #480119=CARTESIAN_POINT('Ctrl Pts',(15.3726279500309,0.276894783081422, 0.194163935762884)); #480120=CARTESIAN_POINT('Ctrl Pts',(15.4139555616868,0.259500401922386, 0.212681445575098)); #480121=CARTESIAN_POINT('Ctrl Pts',(15.478530737517,0.211707151166619,0.241528161988107)); #480122=CARTESIAN_POINT('Ctrl Pts',(15.5011136088235,0.190041115081203, 0.25159799883468)); #480123=CARTESIAN_POINT('Ctrl Pts',(15.5400479170428,0.141381613563865, 0.2689507183992)); #480124=CARTESIAN_POINT('Ctrl Pts',(15.5565711739792,0.114450801554876, 0.276311055564971)); #480125=CARTESIAN_POINT('Ctrl Pts',(15.5693932085187,0.0856556562027756, 0.282031601310352)); #480126=CARTESIAN_POINT('Ctrl Pts',(15.57310493237,-0.5452672868069,2.046415638623)); #480127=CARTESIAN_POINT('Ctrl Pts',(15.55852840743,-0.5017410019159,2.06372625085)); #480128=CARTESIAN_POINT('Ctrl Pts',(15.51414244309,-0.4348729890725,2.08995600686)); #480129=CARTESIAN_POINT('Ctrl Pts',(15.41864986541,-0.3724243609318,2.113557863595)); #480130=CARTESIAN_POINT('Ctrl Pts',(15.32232744358,-0.3461510311836,2.122558409872)); #480131=CARTESIAN_POINT('Ctrl Pts',(15.2222147198,-0.3501617697042,2.119284274233)); #480132=CARTESIAN_POINT('Ctrl Pts',(15.12909281241,-0.384025265784,2.104087803736)); #480133=CARTESIAN_POINT('Ctrl Pts',(15.05298973171,-0.4440942105163,2.078605720496)); #480134=CARTESIAN_POINT('Ctrl Pts',(14.99375068454,-0.5369970452717,2.040162559066)); #480135=CARTESIAN_POINT('Ctrl Pts',(14.98325020862,-0.6148268427536,2.008604143025)); #480136=CARTESIAN_POINT('Ctrl Pts',(14.98955853124,-0.6601522219192,1.990431209)); #480137=CARTESIAN_POINT('Ctrl Pts',(15.57466456878,-0.5163569022597,1.971938538043)); #480138=CARTESIAN_POINT('Ctrl Pts',(15.56024293622,-0.4724739555023,1.988756764853)); #480139=CARTESIAN_POINT('Ctrl Pts',(15.51600874018,-0.4050067355124,2.014280115226)); #480140=CARTESIAN_POINT('Ctrl Pts',(15.4204970331,-0.3419372759211,2.037319857802)); #480141=CARTESIAN_POINT('Ctrl Pts',(15.32403378701,-0.3153616384285,2.046176797711)); #480142=CARTESIAN_POINT('Ctrl Pts',(15.22371129051,-0.3193454204615,2.043110763583)); #480143=CARTESIAN_POINT('Ctrl Pts',(15.13037509175,-0.353458547834,2.028453065485)); #480144=CARTESIAN_POINT('Ctrl Pts',(15.05411519489,-0.4140126689095,2.003788760826)); #480145=CARTESIAN_POINT('Ctrl Pts',(14.99481719295,-0.5076644775548,1.966532272053)); #480146=CARTESIAN_POINT('Ctrl Pts',(14.98441221075,-0.5860862156108,1.935930054987)); #480147=CARTESIAN_POINT('Ctrl Pts',(14.99082681946,-0.6317241079882,1.918310465683)); #480148=CARTESIAN_POINT('Ctrl Pts',(15.57703777585,-0.4667721523037,1.837408226265)); #480149=CARTESIAN_POINT('Ctrl Pts',(15.56282163269,-0.4223861615374,1.853470604746)); #480150=CARTESIAN_POINT('Ctrl Pts',(15.51877721714,-0.3540771842837,1.877900189108)); #480151=CARTESIAN_POINT('Ctrl Pts',(15.42320745168,-0.2901505048368,1.900050875884)); #480152=CARTESIAN_POINT('Ctrl Pts',(15.32652580014,-0.2631746123668,1.908660056056)); #480153=CARTESIAN_POINT('Ctrl Pts',(15.22589938347,-0.2671552947247,1.905884941797)); #480154=CARTESIAN_POINT('Ctrl Pts',(15.13226838327,-0.3016604261155,1.892027063129)); #480155=CARTESIAN_POINT('Ctrl Pts',(15.05581216957,-0.3629380783268,1.868593399542)); #480156=CARTESIAN_POINT('Ctrl Pts',(14.99647966102,-0.4576832200801,1.833132704978)); #480157=CARTESIAN_POINT('Ctrl Pts',(14.98625831454,-0.5369514223318,1.803981461699)); #480158=CARTESIAN_POINT('Ctrl Pts',(14.99285409595,-0.5830285907449,1.787200929064)); #480159=CARTESIAN_POINT('Ctrl Pts',(15.57939001161,-0.3975064653287,1.64434029387)); #480160=CARTESIAN_POINT('Ctrl Pts',(15.56517539513,-0.3529251104736,1.660106722189)); #480161=CARTESIAN_POINT('Ctrl Pts',(15.52105950936,-0.2843312907959,1.684116365576)); #480162=CARTESIAN_POINT('Ctrl Pts',(15.42528536626,-0.220234194786,1.705941659134)); #480163=CARTESIAN_POINT('Ctrl Pts',(15.32840452984,-0.193306035439,1.714476997794)); #480164=CARTESIAN_POINT('Ctrl Pts',(15.22761204993,-0.197533321878,1.711839162954)); #480165=CARTESIAN_POINT('Ctrl Pts',(15.13390239582,-0.232455600751,1.698315664054)); #480166=CARTESIAN_POINT('Ctrl Pts',(15.05749717014,-0.294262979774,1.675381850224)); #480167=CARTESIAN_POINT('Ctrl Pts',(14.99840044545,-0.3896628381122,1.640641747374)); #480168=CARTESIAN_POINT('Ctrl Pts',(14.98850030022,-0.4693381352612,1.612069247215)); #480169=CARTESIAN_POINT('Ctrl Pts',(14.99532607838,-0.5155886926445,1.59562392061)); #480170=CARTESIAN_POINT('Ctrl Pts',(15.58118582915,-0.3338650552087,1.466054088419)); #480171=CARTESIAN_POINT('Ctrl Pts',(15.56692545217,-0.2891752772854,1.481652053642)); #480172=CARTESIAN_POINT('Ctrl Pts',(15.52268845724,-0.2204484808659,1.505426442934)); #480173=CARTESIAN_POINT('Ctrl Pts',(15.4267068475,-0.156349261995,1.527076885254)); #480174=CARTESIAN_POINT('Ctrl Pts',(15.32966132154,-0.129560620996,1.535580803824)); #480175=CARTESIAN_POINT('Ctrl Pts',(15.22875985023,-0.134060120924,1.533031870584)); #480176=CARTESIAN_POINT('Ctrl Pts',(15.13503366929,-0.169356509258,1.519708547564)); #480177=CARTESIAN_POINT('Ctrl Pts',(15.05872928716,-0.231590399995,1.497067694814)); #480178=CARTESIAN_POINT('Ctrl Pts',(14.99989568705,-0.327475799706,1.462746053006)); #480179=CARTESIAN_POINT('Ctrl Pts',(14.9903002754,-0.4074197345815,1.434508089153)); #480180=CARTESIAN_POINT('Ctrl Pts',(14.99733266139,-0.4537699507588,1.418256764611)); #480181=CARTESIAN_POINT('Ctrl Pts',(15.58295721129,-0.2725829991169,1.285858294559)); #480182=CARTESIAN_POINT('Ctrl Pts',(15.56878947694,-0.2275244207046,1.300820704567)); #480183=CARTESIAN_POINT('Ctrl Pts',(15.52459603263,-0.158206536629,1.323665944893)); #480184=CARTESIAN_POINT('Ctrl Pts',(15.42847093793,-0.093574522723,1.344542535234)); #480185=CARTESIAN_POINT('Ctrl Pts',(15.331213766,-0.066609241371,1.352810778844)); #480186=CARTESIAN_POINT('Ctrl Pts',(15.23008240982,-0.071246105944,1.350478040484)); #480187=CARTESIAN_POINT('Ctrl Pts',(15.13618192311,-0.106976622752,1.337800294794)); #480188=CARTESIAN_POINT('Ctrl Pts',(15.05982307005,-0.169876751371,1.316169983994)); #480189=CARTESIAN_POINT('Ctrl Pts',(15.00111529231,-0.2666879490926,1.283333214264)); #480190=CARTESIAN_POINT('Ctrl Pts',(14.99179083757,-0.3472946542572,1.256299034009)); #480191=CARTESIAN_POINT('Ctrl Pts',(14.99903839008,-0.39396932864,1.240743203192)); #480192=CARTESIAN_POINT('Ctrl Pts',(15.58436721626,-0.214286601677,1.104802129982)); #480193=CARTESIAN_POINT('Ctrl Pts',(15.57026718323,-0.1689058909748,1.119158751621)); #480194=CARTESIAN_POINT('Ctrl Pts',(15.52609061991,-0.09907614856309,1.141111739339)); #480195=CARTESIAN_POINT('Ctrl Pts',(15.42981893799,-0.033995866763,1.161232450554)); #480196=CARTESIAN_POINT('Ctrl Pts',(15.33236692623,-0.006897660648001,1.169257201764)); #480197=CARTESIAN_POINT('Ctrl Pts',(15.23103485118,-0.011680483849,1.167111165314)); #480198=CARTESIAN_POINT('Ctrl Pts',(15.13699291632,-0.047817789298,1.155030705964)); #480199=CARTESIAN_POINT('Ctrl Pts',(15.0606074214,-0.111325453336,1.134347720124)); #480200=CARTESIAN_POINT('Ctrl Pts',(15.00204350846,-0.2089684215855,1.102910202326)); #480201=CARTESIAN_POINT('Ctrl Pts',(14.99298530552,-0.2901611265965,1.077013238278)); #480202=CARTESIAN_POINT('Ctrl Pts',(15.0004382419,-0.3371187537167,1.062114005465)); #480203=CARTESIAN_POINT('Ctrl Pts',(15.58521002539,-0.156790838813,0.9247614579915)); #480204=CARTESIAN_POINT('Ctrl Pts',(15.57101701509,-0.1113934327445,0.9390791412845)); #480205=CARTESIAN_POINT('Ctrl Pts',(15.52666942491,-0.04158829617588,0.9609829692981)); #480206=CARTESIAN_POINT('Ctrl Pts',(15.43018646586,0.023323656168,0.9810774630637)); #480207=CARTESIAN_POINT('Ctrl Pts',(15.33260380808,0.050192731903,0.9891093826037)); #480208=CARTESIAN_POINT('Ctrl Pts',(15.23121994144,0.045118061684,0.9869983710337)); #480209=CARTESIAN_POINT('Ctrl Pts',(15.13722462674,0.008659061228002,0.9749772089937)); #480210=CARTESIAN_POINT('Ctrl Pts',(15.06099185678,-0.055159684154,0.9543728576837)); #480211=CARTESIAN_POINT('Ctrl Pts',(15.00272176668,-0.1531023339974,0.9230424978618)); #480212=CARTESIAN_POINT('Ctrl Pts',(14.99395467538,-0.234412040028,0.8972291116761)); #480213=CARTESIAN_POINT('Ctrl Pts',(15.00159233479,-0.2813878328325,0.8823786712075)); #480214=CARTESIAN_POINT('Ctrl Pts',(15.58609678067,-0.08004979261509,0.6847363459697)); #480215=CARTESIAN_POINT('Ctrl Pts',(15.57180105959,-0.03461554610967,0.6990111096174)); #480216=CARTESIAN_POINT('Ctrl Pts',(15.5272502465,0.03518819902914,0.7208666043285)); #480217=CARTESIAN_POINT('Ctrl Pts',(15.43049428536,0.099920851981,0.7409481861437)); #480218=CARTESIAN_POINT('Ctrl Pts',(15.33272935247,0.126517633531,0.7490043206237)); #480219=CARTESIAN_POINT('Ctrl Pts',(15.23125753265,0.121076810012,0.7469483835637)); #480220=CARTESIAN_POINT('Ctrl Pts',(15.13730072453,0.084199377804,0.7350075460837)); #480221=CARTESIAN_POINT('Ctrl Pts',(15.06124944435,0.019964214472,0.7145025838737)); #480222=CARTESIAN_POINT('Ctrl Pts',(15.00335335664,-0.07839088216932,0.6833026926352)); #480223=CARTESIAN_POINT('Ctrl Pts',(14.99497256066,-0.1598708277683,0.6575889597088)); #480224=CARTESIAN_POINT('Ctrl Pts',(15.00285992948,-0.2068781669958,0.6427970315897)); #480225=CARTESIAN_POINT('Ctrl Pts',(15.58675241633,-0.01516976645401,0.4727350856156)); #480226=CARTESIAN_POINT('Ctrl Pts',(15.57250181426,0.03057066836863,0.486414973607)); #480227=CARTESIAN_POINT('Ctrl Pts',(15.5279329042,0.1008529845253,0.5073921068515)); #480228=CARTESIAN_POINT('Ctrl Pts',(15.43099791292,0.165980391223,0.5267253031237)); #480229=CARTESIAN_POINT('Ctrl Pts',(15.33302525154,0.192664195585,0.5345358983937)); #480230=CARTESIAN_POINT('Ctrl Pts',(15.2313567371,0.18703686538,0.5326565120737)); #480231=CARTESIAN_POINT('Ctrl Pts',(15.13727934996,0.149722427699,0.5212956301737)); #480232=CARTESIAN_POINT('Ctrl Pts',(15.061237026,0.084863976502,0.5017148608237)); #480233=CARTESIAN_POINT('Ctrl Pts',(15.00353873231,-0.01432121755637,0.4718825562688)); #480234=CARTESIAN_POINT('Ctrl Pts',(14.99546810526,-0.09636727131634,0.4472812723588)); #480235=CARTESIAN_POINT('Ctrl Pts',(15.0035856014,-0.1436396327481,0.4331314942137)); #480236=CARTESIAN_POINT('Ctrl Pts',(15.58715205457,0.03653336474094,0.2892518762424)); #480237=CARTESIAN_POINT('Ctrl Pts',(15.57298505205,0.08262243276014,0.3021733306758)); #480238=CARTESIAN_POINT('Ctrl Pts',(15.52845654735,0.1534699908645,0.3220168317005)); #480239=CARTESIAN_POINT('Ctrl Pts',(15.43139557906,0.219123054115,0.3403581784937)); #480240=CARTESIAN_POINT('Ctrl Pts',(15.33323612061,0.245999781646,0.3478171519737)); #480241=CARTESIAN_POINT('Ctrl Pts',(15.23136535052,0.240273065555,0.3461237578237)); #480242=CARTESIAN_POINT('Ctrl Pts',(15.13713651858,0.202580442854,0.3354665359937)); #480243=CARTESIAN_POINT('Ctrl Pts',(15.0610508893,0.137123277717,0.3170334186237)); #480244=CARTESIAN_POINT('Ctrl Pts',(15.00347173759,0.0370946317282,0.2889146258593)); #480245=CARTESIAN_POINT('Ctrl Pts',(14.99564710778,-0.04556186922127,0.2657131255248)); #480246=CARTESIAN_POINT('Ctrl Pts',(15.00395790083,-0.09313486756866,0.2523704505607)); #480247=CARTESIAN_POINT('Ctrl Pts',(15.58744313996,0.07262980831637,0.1551801813805)); #480248=CARTESIAN_POINT('Ctrl Pts',(15.57337118446,0.1190275439165,0.1674100485649)); #480249=CARTESIAN_POINT('Ctrl Pts',(15.52891344147,0.1903872075683,0.1862161568132)); #480250=CARTESIAN_POINT('Ctrl Pts',(15.43177827042,0.2565465362965,0.2036430136229)); #480251=CARTESIAN_POINT('Ctrl Pts',(15.33347282389,0.2836427755288,0.2107710684843)); #480252=CARTESIAN_POINT('Ctrl Pts',(15.23142632077,0.2778851172205,0.2092368524557)); #480253=CARTESIAN_POINT('Ctrl Pts',(15.137049105,0.239916649683,0.1992117532803)); #480254=CARTESIAN_POINT('Ctrl Pts',(15.06089366756,0.1739834224603,0.1818169900905)); #480255=CARTESIAN_POINT('Ctrl Pts',(15.00336637738,0.07325742877824,0.1552526996486)); #480256=CARTESIAN_POINT('Ctrl Pts',(14.99571080536,-0.00992195668746,0.1333227004693)); #480257=CARTESIAN_POINT('Ctrl Pts',(15.00416308315,-0.05775900041346,0.1207129167904)); #480258=CARTESIAN_POINT('Ctrl Pts',(15.58741686572,0.0993038715705,0.05223619862501)); #480259=CARTESIAN_POINT('Ctrl Pts',(15.57333102654,0.1457641756051,0.06431212668388)); #480260=CARTESIAN_POINT('Ctrl Pts',(15.52882731878,0.2172108385279,0.0828887249105)); #480261=CARTESIAN_POINT('Ctrl Pts',(15.43160389671,0.2834081632697,0.1001158108298)); #480262=CARTESIAN_POINT('Ctrl Pts',(15.33322460643,0.3104683820458,0.1071740182714)); #480263=CARTESIAN_POINT('Ctrl Pts',(15.2311264374,0.304606736984,0.1056790006629)); #480264=CARTESIAN_POINT('Ctrl Pts',(15.13673780229,0.2664786476779,0.09579791749026)); #480265=CARTESIAN_POINT('Ctrl Pts',(15.06062382872,0.2003526370366,0.07863696215458)); #480266=CARTESIAN_POINT('Ctrl Pts',(15.00321163002,0.09939804286132,0.05242113756308)); #480267=CARTESIAN_POINT('Ctrl Pts',(14.99569228682,0.01608544169806,0.03077555964698)); #480268=CARTESIAN_POINT('Ctrl Pts',(15.00423731313,-0.03180377824368,0.01832980781091)); #480269=CARTESIAN_POINT('Ctrl Pts',(15.58729017585,0.1183818223279,-0.0196317316677)); #480270=CARTESIAN_POINT('Ctrl Pts',(15.57315640114,0.1648150949095,-0.007490492730063)); #480271=CARTESIAN_POINT('Ctrl Pts',(15.52857563078,0.2361961610674,0.01118776929966)); #480272=CARTESIAN_POINT('Ctrl Pts',(15.43127462856,0.3022711485578,0.0285112778926)); #480273=CARTESIAN_POINT('Ctrl Pts',(15.33285852983,0.3292159707921,0.03561096467136)); #480274=CARTESIAN_POINT('Ctrl Pts',(15.23075922306,0.3232412755152,0.03411117802955)); #480275=CARTESIAN_POINT('Ctrl Pts',(15.13640691954,0.2850152399713,0.02417963941226)); #480276=CARTESIAN_POINT('Ctrl Pts',(15.0603642213,0.2188179754868,0.00692837326793)); #480277=CARTESIAN_POINT('Ctrl Pts',(15.00306783209,0.1178220462152,-0.01942684516236)); #480278=CARTESIAN_POINT('Ctrl Pts',(14.99564963345,0.03452252391668,-0.0411880574833)); #480279=CARTESIAN_POINT('Ctrl Pts',(15.00425475046,-0.01334307193363,-0.05370021526935)); #480280=CARTESIAN_POINT('',(15.55602607731,-0.4866211151166,2.018413567497)); #480281=CARTESIAN_POINT('Ctrl Pts',(15.5693920575733,0.0856563710953059, 0.282037779708883)); #480282=CARTESIAN_POINT('Ctrl Pts',(15.5693750537927,0.0838819200760628, 0.28851741786299)); #480283=CARTESIAN_POINT('Ctrl Pts',(15.569467462766,0.0818851583515255, 0.294933824357489)); #480284=CARTESIAN_POINT('Ctrl Pts',(15.5695324087514,0.0799351886442915, 0.30136087364366)); #480285=CARTESIAN_POINT('Ctrl Pts',(15.5696096747449,0.0776153170462925, 0.309007109912161)); #480286=CARTESIAN_POINT('Ctrl Pts',(15.5696482478693,0.0753617702606518, 0.316668432669154)); #480287=CARTESIAN_POINT('Ctrl Pts',(15.5696590440276,0.0731538487421329, 0.324339427493221)); #480288=CARTESIAN_POINT('Ctrl Pts',(15.5696648638317,0.0719636410485562, 0.328474573140569)); #480289=CARTESIAN_POINT('Ctrl Pts',(15.5696626045575,0.0707866875633724, 0.332612528340213)); #480290=CARTESIAN_POINT('Ctrl Pts',(15.5696539417392,0.0696197274771335, 0.336752440206111)); #480291=CARTESIAN_POINT('Ctrl Pts',(15.5696143940903,0.0642923009964786, 0.355652037854773)); #480292=CARTESIAN_POINT('Ctrl Pts',(15.5694412642745,0.0591730883660389, 0.374592390096162)); #480293=CARTESIAN_POINT('Ctrl Pts',(15.5692934056271,0.053951510837638, 0.393492313748712)); #480294=CARTESIAN_POINT('Ctrl Pts',(15.5690530766512,0.045464375299388, 0.424212189653894)); #480295=CARTESIAN_POINT('Ctrl Pts',(15.5688802630967,0.0367071811104549, 0.454825388756593)); #480296=CARTESIAN_POINT('Ctrl Pts',(15.5687526497355,0.0277484077360352, 0.485347321469221)); #480297=CARTESIAN_POINT('Ctrl Pts',(15.5686569397145,0.0210293277052204, 0.508238771003692)); #480298=CARTESIAN_POINT('Ctrl Pts',(15.5685865999766,0.0141968312217234, 0.531078874846578)); #480299=CARTESIAN_POINT('Ctrl Pts',(15.5685317783384,0.00727958150035903, 0.553874058099952)); #480300=CARTESIAN_POINT('Ctrl Pts',(15.5683673134235,-0.0134721676637341, 0.622259607860074)); #480301=CARTESIAN_POINT('Ctrl Pts',(15.5683421433259,-0.0349869053223854, 0.690240841076586)); #480302=CARTESIAN_POINT('Ctrl Pts',(15.5681881527905,-0.056491692713363, 0.757990548381336)); #480303=CARTESIAN_POINT('Ctrl Pts',(15.5681197125526,-0.0660493759982099, 0.788101529405568)); #480304=CARTESIAN_POINT('Ctrl Pts',(15.5680259221663,-0.0756050410521001, 0.818166786891692)); #480305=CARTESIAN_POINT('Ctrl Pts',(15.5679194902024,-0.0851648561749682, 0.848207917838711)); #480306=CARTESIAN_POINT('Ctrl Pts',(15.5678130582385,-0.0947246712978363, 0.87824904878573)); #480307=CARTESIAN_POINT('Ctrl Pts',(15.5676939827965,-0.104288637872351, 0.908266053273594)); #480308=CARTESIAN_POINT('Ctrl Pts',(15.5675748869588,-0.113862969142127, 0.938280520323098)); #480309=CARTESIAN_POINT('Ctrl Pts',(15.5673366952834,-0.133011631681699, 0.998309454422162)); #480310=CARTESIAN_POINT('Ctrl Pts',(15.5670983557906,-0.152201785487709, 1.05832822876754)); #480311=CARTESIAN_POINT('Ctrl Pts',(15.566734273039,-0.171560463365134, 1.11860898712823)); #480312=CARTESIAN_POINT('Ctrl Pts',(15.5663701902874,-0.190919141242653, 1.17888974548921)); #480313=CARTESIAN_POINT('Ctrl Pts',(15.5658804051277,-0.21044632253798, 1.23943249292498)); #480314=CARTESIAN_POINT('Ctrl Pts',(15.5653303018766,-0.230485480860674, 1.29983405249924)); #480315=CARTESIAN_POINT('Ctrl Pts',(15.5647801986255,-0.250524639183252, 1.36023561207315)); #480316=CARTESIAN_POINT('Ctrl Pts',(15.5641697953843,-0.271075766331159, 1.4204959877294)); #480317=CARTESIAN_POINT('Ctrl Pts',(15.5635693198537,-0.291965264032287, 1.48036980278756)); #480318=CARTESIAN_POINT('Ctrl Pts',(15.5629688443231,-0.312854761733435, 1.54024361784577)); #480319=CARTESIAN_POINT('Ctrl Pts',(15.5623782988432,-0.334082629179446, 1.59973087292529)); #480320=CARTESIAN_POINT('Ctrl Pts',(15.5617397570947,-0.355425749050947, 1.65924120990637)); #480321=CARTESIAN_POINT('Ctrl Pts',(15.5614204862204,-0.366097308986749, 1.68899637839706)); #480322=CARTESIAN_POINT('Ctrl Pts',(15.5610892111091,-0.376797684267222, 1.71875731628562)); #480323=CARTESIAN_POINT('Ctrl Pts',(15.5607188647976,-0.387543177769326, 1.74856450688593)); #480324=CARTESIAN_POINT('Ctrl Pts',(15.5603485184862,-0.39828867127143, 1.77837169748625)); #480325=CARTESIAN_POINT('Ctrl Pts',(15.5599391261953,-0.409079271628552, 1.80822514519914)); #480326=CARTESIAN_POINT('Ctrl Pts',(15.5594634801964,-0.419931346145,1.83816531136899)); #480327=CARTESIAN_POINT('Ctrl Pts',(15.5585121876434,-0.441635507845462, 1.89804567865766)); #480328=CARTESIAN_POINT('Ctrl Pts',(15.5572948248586,-0.463586047248764, 1.95827273678074)); #480329=CARTESIAN_POINT('Ctrl Pts',(15.5560260772075,-0.486621115163808, 2.01841356747676)); #480330=CARTESIAN_POINT('Origin',(15.2878284127546,-0.610166001716982,1.96543555025422)); #480331=CARTESIAN_POINT('Ctrl Pts',(15.55247130896,-0.9129062480017,2.926586433361)); #480332=CARTESIAN_POINT('Ctrl Pts',(15.53750620922,-0.8709425726121,2.94582429947)); #480333=CARTESIAN_POINT('Ctrl Pts',(15.49301574927,-0.8065292252675,2.974696497688)); #480334=CARTESIAN_POINT('Ctrl Pts',(15.39836703231,-0.7460915623349,3.000170015099)); #480335=CARTESIAN_POINT('Ctrl Pts',(15.30322315952,-0.7202279033508,3.009401392346)); #480336=CARTESIAN_POINT('Ctrl Pts',(15.20433813979,-0.7231949056917,3.004986996748)); #480337=CARTESIAN_POINT('Ctrl Pts',(15.11211239681,-0.7546810509123,2.98739087582)); #480338=CARTESIAN_POINT('Ctrl Pts',(15.03624570174,-0.8113742143613,2.958464010283)); #480339=CARTESIAN_POINT('Ctrl Pts',(14.97615772708,-0.8999279009243,2.915065991885)); #480340=CARTESIAN_POINT('Ctrl Pts',(14.96420266771,-0.9748744199059,2.879525442159)); #480341=CARTESIAN_POINT('Ctrl Pts',(14.9694500289,-1.018905363203,2.859020539617)); #480342=CARTESIAN_POINT('Ctrl Pts',(15.55466720108,-0.879139531777,2.854550989624)); #480343=CARTESIAN_POINT('Ctrl Pts',(15.53969274195,-0.8371193588982,2.873737718526)); #480344=CARTESIAN_POINT('Ctrl Pts',(15.49515721453,-0.7726336505948,2.902548181088)); #480345=CARTESIAN_POINT('Ctrl Pts',(15.40040870887,-0.7121860337703,2.927995569492)); #480346=CARTESIAN_POINT('Ctrl Pts',(15.30517480985,-0.6863858548752,2.93724549146)); #480347=CARTESIAN_POINT('Ctrl Pts',(15.20621915791,-0.6894856462212,2.932887383299)); #480348=CARTESIAN_POINT('Ctrl Pts',(15.11396432842,-0.7211595292854,2.915379973367)); #480349=CARTESIAN_POINT('Ctrl Pts',(15.03812689801,-0.7780709290334,2.886567373037)); #480350=CARTESIAN_POINT('Ctrl Pts',(14.97815070567,-0.8668779875686,2.843323121737)); #480351=CARTESIAN_POINT('Ctrl Pts',(14.96634225113,-0.9419687747722,2.807901704834)); #480352=CARTESIAN_POINT('Ctrl Pts',(14.97169396399,-0.9860550262847,2.787466583136)); #480353=CARTESIAN_POINT('Ctrl Pts',(15.55789660009,-0.8324386350584,2.75264478404)); #480354=CARTESIAN_POINT('Ctrl Pts',(15.54304536319,-0.7901091910811,2.771541650993)); #480355=CARTESIAN_POINT('Ctrl Pts',(15.49860606853,-0.7251224309721,2.799967019507)); #480356=CARTESIAN_POINT('Ctrl Pts',(15.40377419374,-0.6642050880799,2.825169085246)); #480357=CARTESIAN_POINT('Ctrl Pts',(15.30836154958,-0.6382268424509,2.8344235948)); #480358=CARTESIAN_POINT('Ctrl Pts',(15.20918112605,-0.6414042043179,2.83028087714)); #480359=CARTESIAN_POINT('Ctrl Pts',(15.11672291461,-0.6734015897855,2.8131791241)); #480360=CARTESIAN_POINT('Ctrl Pts',(15.0407656245,-0.7308344003946,2.78492806243)); #480361=CARTESIAN_POINT('Ctrl Pts',(14.98080077132,-0.8203872403211,2.742467377695)); #480362=CARTESIAN_POINT('Ctrl Pts',(14.96915771383,-0.8960287741426,2.707665013177)); #480363=CARTESIAN_POINT('Ctrl Pts',(14.97466106366,-0.9403919088367,2.687590542894)); #480364=CARTESIAN_POINT('Ctrl Pts',(15.56218138336,-0.777755501767,2.617568121989)); #480365=CARTESIAN_POINT('Ctrl Pts',(15.54779964117,-0.7344792303311,2.635274736896)); #480366=CARTESIAN_POINT('Ctrl Pts',(15.50385740619,-0.667883121899,2.662013137316)); #480367=CARTESIAN_POINT('Ctrl Pts',(15.40907220135,-0.605243524189,2.685913391604)); #480368=CARTESIAN_POINT('Ctrl Pts',(15.31333430292,-0.578368895573,2.694879804164)); #480369=CARTESIAN_POINT('Ctrl Pts',(15.21360137235,-0.581358750955,2.691301258794)); #480370=CARTESIAN_POINT('Ctrl Pts',(15.12052998478,-0.613894188101,2.675559952904)); #480371=CARTESIAN_POINT('Ctrl Pts',(15.04406465848,-0.6724982608,2.649338266644)); #480372=CARTESIAN_POINT('Ctrl Pts',(14.98378936614,-0.7639364808955,2.609806124537)); #480373=CARTESIAN_POINT('Ctrl Pts',(14.9722637444,-0.8411208739093,2.577357376172)); #480374=CARTESIAN_POINT('Ctrl Pts',(14.97796894085,-0.8863185929179,2.558647703248)); #480375=CARTESIAN_POINT('Ctrl Pts',(15.56524467036,-0.7306503201743,2.498705322586)); #480376=CARTESIAN_POINT('Ctrl Pts',(15.55105861455,-0.6869095941295,2.515776450848)); #480377=CARTESIAN_POINT('Ctrl Pts',(15.50729924624,-0.6195402723407,2.541608235044)); #480378=CARTESIAN_POINT('Ctrl Pts',(15.41246496107,-0.556119884777,2.564796750844)); #480379=CARTESIAN_POINT('Ctrl Pts',(15.31652483972,-0.52888651164,2.573591765424)); #480380=CARTESIAN_POINT('Ctrl Pts',(15.21650610526,-0.531884305561,2.570295810414)); #480381=CARTESIAN_POINT('Ctrl Pts',(15.12315306019,-0.564791864052,2.555262733354)); #480382=CARTESIAN_POINT('Ctrl Pts',(15.04649371151,-0.624073653296,2.530107711364)); #480383=CARTESIAN_POINT('Ctrl Pts',(14.98616968368,-0.7165345519314,2.492121079904)); #480384=CARTESIAN_POINT('Ctrl Pts',(14.97480304846,-0.7945117232583,2.460916908848)); #480385=CARTESIAN_POINT('Ctrl Pts',(14.98067149186,-0.8401223738583,2.44292854226)); #480386=CARTESIAN_POINT('Ctrl Pts',(15.56753871281,-0.6842310447552,2.381362825074)); #480387=CARTESIAN_POINT('Ctrl Pts',(15.55329882964,-0.6404521146481,2.39838626588)); #480388=CARTESIAN_POINT('Ctrl Pts',(15.50942753071,-0.5730577502118,2.424158808915)); #480389=CARTESIAN_POINT('Ctrl Pts',(15.41443378843,-0.509718456323,2.447318085554)); #480390=CARTESIAN_POINT('Ctrl Pts',(15.31838098899,-0.482635273881,2.456125080504)); #480391=CARTESIAN_POINT('Ctrl Pts',(15.21829959578,-0.485851319875,2.452875713904)); #480392=CARTESIAN_POINT('Ctrl Pts',(15.12495466143,-0.519021238314,2.437919267354)); #480393=CARTESIAN_POINT('Ctrl Pts',(15.04838637564,-0.578576593949,2.412864746774)); #480394=CARTESIAN_POINT('Ctrl Pts',(14.98826632845,-0.6713255006446,2.375014594063)); #480395=CARTESIAN_POINT('Ctrl Pts',(14.9771204868,-0.7494414142574,2.343916678052)); #480396=CARTESIAN_POINT('Ctrl Pts',(14.9831351325,-0.7950930940429,2.325990464786)); #480397=CARTESIAN_POINT('Ctrl Pts',(15.56923839833,-0.6357435136401,2.266403602518)); #480398=CARTESIAN_POINT('Ctrl Pts',(15.55471296827,-0.5923347719891,2.283954137097)); #480399=CARTESIAN_POINT('Ctrl Pts',(15.5104553343,-0.5256316363131,2.310500124876)); #480400=CARTESIAN_POINT('Ctrl Pts',(15.41519792693,-0.463199861607,2.334308913024)); #480401=CARTESIAN_POINT('Ctrl Pts',(15.3191140435,-0.436756965895,2.343319059854)); #480402=CARTESIAN_POINT('Ctrl Pts',(15.21917612721,-0.44039758087,2.339897886644)); #480403=CARTESIAN_POINT('Ctrl Pts',(15.12610838497,-0.473731620485,2.324412275604)); #480404=CARTESIAN_POINT('Ctrl Pts',(15.04989752719,-0.533181495485,2.298524207674)); #480405=CARTESIAN_POINT('Ctrl Pts',(14.99022043452,-0.6255238585958,2.259443929268)); #480406=CARTESIAN_POINT('Ctrl Pts',(14.97935820705,-0.7031565371669,2.227346482252)); #480407=CARTESIAN_POINT('Ctrl Pts',(14.98550728788,-0.7484939486502,2.208842381738)); #480408=CARTESIAN_POINT('Ctrl Pts',(15.57145155943,-0.581059856869,2.135984585577)); #480409=CARTESIAN_POINT('Ctrl Pts',(15.55678992366,-0.5377451193951,2.153672001881)); #480410=CARTESIAN_POINT('Ctrl Pts',(15.51231606688,-0.4712541850178,2.180428119918)); #480411=CARTESIAN_POINT('Ctrl Pts',(15.41684344234,-0.4091927855623,2.204431102011)); #480412=CARTESIAN_POINT('Ctrl Pts',(15.32065793463,-0.3830865242485,2.213520267429)); #480413=CARTESIAN_POINT('Ctrl Pts',(15.22071608182,-0.3870467350155,2.210081358752)); #480414=CARTESIAN_POINT('Ctrl Pts',(15.12774599059,-0.4206489409056,2.194483264117)); #480415=CARTESIAN_POINT('Ctrl Pts',(15.05172713734,-0.4802856317961,2.168400529733)); #480416=CARTESIAN_POINT('Ctrl Pts',(14.99236306319,-0.5727251862646,2.129022730755)); #480417=CARTESIAN_POINT('Ctrl Pts',(14.98177576391,-0.6503074490609,2.096679523694)); #480418=CARTESIAN_POINT('Ctrl Pts',(14.98808955792,-0.6955716553364,2.078033958387)); #480419=CARTESIAN_POINT('Ctrl Pts',(15.57342710744,-0.5394114240991,2.032858427729)); #480420=CARTESIAN_POINT('Ctrl Pts',(15.55885943601,-0.4958170127757,2.050184930901)); #480421=CARTESIAN_POINT('Ctrl Pts',(15.51445247287,-0.4288735892417,2.076431893085)); #480422=CARTESIAN_POINT('Ctrl Pts',(15.41889810489,-0.3663903620949,2.100046439185)); #480423=CARTESIAN_POINT('Ctrl Pts',(15.32255927166,-0.3401270725993,2.109054486373)); #480424=CARTESIAN_POINT('Ctrl Pts',(15.22243348527,-0.3441624907497,2.105792650697)); #480425=CARTESIAN_POINT('Ctrl Pts',(15.12930594165,-0.3780625328389,2.090612128116)); #480426=CARTESIAN_POINT('Ctrl Pts',(15.05320773617,-0.4381751275745,2.065148561376)); #480427=CARTESIAN_POINT('Ctrl Pts',(14.99388522365,-0.5312917708024,2.026661918362)); #480428=CARTESIAN_POINT('Ctrl Pts',(14.98346298143,-0.6093708260862,1.995033963468)); #480429=CARTESIAN_POINT('Ctrl Pts',(14.98991874927,-0.6548833281563,1.97680330283)); #480430=CARTESIAN_POINT('Ctrl Pts',(15.57493007479,-0.5117597834408,1.957696298926)); #480431=CARTESIAN_POINT('Ctrl Pts',(15.56054639318,-0.4677594800075,1.974434774928)); #480432=CARTESIAN_POINT('Ctrl Pts',(15.51632648586,-0.4001282369241,1.999832452213)); #480433=CARTESIAN_POINT('Ctrl Pts',(15.4207685813,-0.3369177998905,2.022759516874)); #480434=CARTESIAN_POINT('Ctrl Pts',(15.32428143202,-0.3102857946887,2.031578859772)); #480435=CARTESIAN_POINT('Ctrl Pts',(15.22392278287,-0.3142612938496,2.028547115116)); #480436=CARTESIAN_POINT('Ctrl Pts',(15.13054948305,-0.3484148346018,2.013992028783)); #480437=CARTESIAN_POINT('Ctrl Pts',(15.05426243011,-0.4090511044735,1.989488416194)); #480438=CARTESIAN_POINT('Ctrl Pts',(14.99485105553,-0.5029947546446,1.952403614213)); #480439=CARTESIAN_POINT('Ctrl Pts',(14.98451562494,-0.5817387446175,1.921908891886)); #480440=CARTESIAN_POINT('Ctrl Pts',(14.99107859782,-0.6276059020163,1.904334324135)); #480441=CARTESIAN_POINT('',(15.53544317398,-0.8524032638727,2.901022393337)); #480442=CARTESIAN_POINT('Ctrl Pts',(15.5560260772034,-0.486621115165715, 2.01841356747594)); #480443=CARTESIAN_POINT('Ctrl Pts',(15.5547576378657,-0.509658614022976, 2.07855850650348)); #480444=CARTESIAN_POINT('Ctrl Pts',(15.5535509604323,-0.533569119260479, 2.13765118987092)); #480445=CARTESIAN_POINT('Ctrl Pts',(15.5525385657007,-0.557745639210326, 2.19599689920241)); #480446=CARTESIAN_POINT('Ctrl Pts',(15.5520323680395,-0.569833906240639, 2.22516977089507)); #480447=CARTESIAN_POINT('Ctrl Pts',(15.5515747716707,-0.58198866291188, 2.25415590630567)); #480448=CARTESIAN_POINT('Ctrl Pts',(15.5511658586143,-0.594078580203329, 2.28295702558242)); #480449=CARTESIAN_POINT('Ctrl Pts',(15.5507569455578,-0.606168497494766, 2.31175814485914)); #480450=CARTESIAN_POINT('Ctrl Pts',(15.5503967392941,-0.618193564516997, 2.34037425295895)); #480451=CARTESIAN_POINT('Ctrl Pts',(15.5499701826316,-0.630081028238526, 2.36923382233921)); #480452=CARTESIAN_POINT('Ctrl Pts',(15.5491170693067,-0.653855955681608, 2.42695296109979)); #480453=CARTESIAN_POINT('Ctrl Pts',(15.5479984980324,-0.677080495775402, 2.48564593360837)); #480454=CARTESIAN_POINT('Ctrl Pts',(15.5465511202813,-0.700678923060557, 2.54502838055776)); #480455=CARTESIAN_POINT('Ctrl Pts',(15.5458274314058,-0.712478136703112, 2.57471960403239)); #480456=CARTESIAN_POINT('Ctrl Pts',(15.5450215582575,-0.724370814335369, 2.60458319917813)); #480457=CARTESIAN_POINT('Ctrl Pts',(15.5440949840913,-0.736483231291287, 2.63462889568083)); #480458=CARTESIAN_POINT('Ctrl Pts',(15.5431684099252,-0.748595648247231, 2.66467459218359)); #480459=CARTESIAN_POINT('Ctrl Pts',(15.5421210452473,-0.760927844912158, 2.69490237193641)); #480460=CARTESIAN_POINT('Ctrl Pts',(15.541113872356,-0.77358822076361,2.72481012346773)); #480461=CARTESIAN_POINT('Ctrl Pts',(15.5390995265733,-0.798908972466829, 2.78462562653113)); #480462=CARTESIAN_POINT('Ctrl Pts',(15.5372467882425,-0.825542057356682, 2.84316120823901)); #480463=CARTESIAN_POINT('Ctrl Pts',(15.5354431739587,-0.852403263882405, 2.90102239333183)); #480464=CARTESIAN_POINT('Origin',(15.2689639947113,-0.974032098218285,2.83625146120584)); #480465=CARTESIAN_POINT('Ctrl Pts',(15.47318385318,-1.7458619418,4.577294631252)); #480466=CARTESIAN_POINT('Ctrl Pts',(15.45749982042,-1.706423506238,4.599017814213)); #480467=CARTESIAN_POINT('Ctrl Pts',(15.4128178331,-1.645987502996,4.630872810749)); #480468=CARTESIAN_POINT('Ctrl Pts',(15.31984916916,-1.588511402265,4.657662335052)); #480469=CARTESIAN_POINT('Ctrl Pts',(15.22729309981,-1.562775627037,4.666120933029)); #480470=CARTESIAN_POINT('Ctrl Pts',(15.13110051352,-1.563129857163,4.659235723767)); #480471=CARTESIAN_POINT('Ctrl Pts',(15.04088372857,-1.589539145119,4.637694474638)); #480472=CARTESIAN_POINT('Ctrl Pts',(14.96565771011,-1.639364040803,4.603649995753)); #480473=CARTESIAN_POINT('Ctrl Pts',(14.90410880482,-1.719061539445,4.553276146407)); #480474=CARTESIAN_POINT('Ctrl Pts',(14.88912435197,-1.788124402776,4.512287487951)); #480475=CARTESIAN_POINT('Ctrl Pts',(14.89198626448,-1.829457975778,4.488577677017)); #480476=CARTESIAN_POINT('Ctrl Pts',(15.48451363828,-1.662198889438,4.427176707976)); #480477=CARTESIAN_POINT('Ctrl Pts',(15.469246081,-1.622001655021,4.44839748602)); #480478=CARTESIAN_POINT('Ctrl Pts',(15.4249659087,-1.560315014415,4.479651507409)); #480479=CARTESIAN_POINT('Ctrl Pts',(15.33193577127,-1.50158979961,4.50620219121)); #480480=CARTESIAN_POINT('Ctrl Pts',(15.23899122525,-1.475288185932,4.514864492842)); #480481=CARTESIAN_POINT('Ctrl Pts',(15.14221379223,-1.475665722933,4.508561783028)); #480482=CARTESIAN_POINT('Ctrl Pts',(15.05137882573,-1.502684803396,4.487931061758)); #480483=CARTESIAN_POINT('Ctrl Pts',(14.9756611745,-1.553616317861,4.455057519365)); #480484=CARTESIAN_POINT('Ctrl Pts',(14.91383891735,-1.634993541535,4.406261320556)); #480485=CARTESIAN_POINT('Ctrl Pts',(14.89901220023,-1.705375403731,4.366496073406)); #480486=CARTESIAN_POINT('Ctrl Pts',(14.90210555671,-1.747407370415,4.343503417907)); #480487=CARTESIAN_POINT('Ctrl Pts',(15.49610287453,-1.565065624416,4.241636763003)); #480488=CARTESIAN_POINT('Ctrl Pts',(15.48109678662,-1.524268657122,4.262350246062)); #480489=CARTESIAN_POINT('Ctrl Pts',(15.4370275658,-1.461620321745,4.292957631477)); #480490=CARTESIAN_POINT('Ctrl Pts',(15.3438413068,-1.402012620248,4.319153228895)); #480491=CARTESIAN_POINT('Ctrl Pts',(15.25053779269,-1.375395592146,4.327899457904)); #480492=CARTESIAN_POINT('Ctrl Pts',(15.15329542263,-1.375954615882,4.322052501741)); #480493=CARTESIAN_POINT('Ctrl Pts',(15.06202318506,-1.403633320359,4.302207881188)); #480494=CARTESIAN_POINT('Ctrl Pts',(14.98602153808,-1.45561086511,4.270387935863)); #480495=CARTESIAN_POINT('Ctrl Pts',(14.92415933537,-1.538482131571,4.223041221332)); #480496=CARTESIAN_POINT('Ctrl Pts',(14.90961763515,-1.609973096506,4.184412696046)); #480497=CARTESIAN_POINT('Ctrl Pts',(14.91299225101,-1.652568678642,4.162084283563)); #480498=CARTESIAN_POINT('Ctrl Pts',(15.50739620389,-1.448570607721,4.025908193989)); #480499=CARTESIAN_POINT('Ctrl Pts',(15.49214059482,-1.407930740959,4.046819185503)); #480500=CARTESIAN_POINT('Ctrl Pts',(15.44766256407,-1.345650599258,4.077733534971)); #480501=CARTESIAN_POINT('Ctrl Pts',(15.3540404368,-1.286720650933,4.104221023734)); #480502=CARTESIAN_POINT('Ctrl Pts',(15.26050507362,-1.260742637396,4.113094533174)); #480503=CARTESIAN_POINT('Ctrl Pts',(15.1632078379,-1.261936859566,4.107237918254)); #480504=CARTESIAN_POINT('Ctrl Pts',(15.0720754311,-1.29018195383,4.087248441034)); #480505=CARTESIAN_POINT('Ctrl Pts',(14.99640537037,-1.342595764213,4.055165763684)); #480506=CARTESIAN_POINT('Ctrl Pts',(14.93512565672,-1.425764884122,4.007410717517)); #480507=CARTESIAN_POINT('Ctrl Pts',(14.92112840888,-1.497243190569,3.968442302203)); #480508=CARTESIAN_POINT('Ctrl Pts',(14.92484205098,-1.539741858264,3.94591848035)); #480509=CARTESIAN_POINT('Ctrl Pts',(15.51227498545,-1.393156704595,3.926083130152)); #480510=CARTESIAN_POINT('Ctrl Pts',(15.4967946143,-1.352767958638,3.947246058694)); #480511=CARTESIAN_POINT('Ctrl Pts',(15.45199890865,-1.290966053532,3.978518267525)); #480512=CARTESIAN_POINT('Ctrl Pts',(15.35812656333,-1.232699312372,4.005284223284)); #480513=CARTESIAN_POINT('Ctrl Pts',(15.264522252,-1.20722133587,4.014222289144)); #480514=CARTESIAN_POINT('Ctrl Pts',(15.16729728544,-1.208793241048,4.008250650994)); #480515=CARTESIAN_POINT('Ctrl Pts',(15.0763592736,-1.237255340189,3.987977572214)); #480516=CARTESIAN_POINT('Ctrl Pts',(15.00097494444,-1.289706783764,3.955469181444)); #480517=CARTESIAN_POINT('Ctrl Pts',(14.94009083959,-1.372713309258,3.907097274103)); #480518=CARTESIAN_POINT('Ctrl Pts',(14.92638904124,-1.443914604483,3.867632014322)); #480519=CARTESIAN_POINT('Ctrl Pts',(14.93026288586,-1.486211424294,3.844819994392)); #480520=CARTESIAN_POINT('Ctrl Pts',(15.5175875638,-1.338239414357,3.82447493003)); #480521=CARTESIAN_POINT('Ctrl Pts',(15.50212955768,-1.297716115442,3.845560667937)); #480522=CARTESIAN_POINT('Ctrl Pts',(15.45730472683,-1.235723822202,3.876754617483)); #480523=CARTESIAN_POINT('Ctrl Pts',(15.36328678695,-1.177358307307,3.903522661204)); #480524=CARTESIAN_POINT('Ctrl Pts',(15.2695224304,-1.151934009398,3.912532005764)); #480525=CARTESIAN_POINT('Ctrl Pts',(15.17214615494,-1.153699891184,3.906691210994)); #480526=CARTESIAN_POINT('Ctrl Pts',(15.08110974702,-1.182475975297,3.886596989634)); #480527=CARTESIAN_POINT('Ctrl Pts',(15.00571683636,-1.235320954535,3.854303292604)); #480528=CARTESIAN_POINT('Ctrl Pts',(14.94495458536,-1.318814882644,3.806209657746)); #480529=CARTESIAN_POINT('Ctrl Pts',(14.9314626769,-1.390323014446,3.766955459378)); #480530=CARTESIAN_POINT('Ctrl Pts',(14.93549797253,-1.432753715556,3.744267941255)); #480531=CARTESIAN_POINT('Ctrl Pts',(15.52310067283,-1.28478692946,3.720887212252)); #480532=CARTESIAN_POINT('Ctrl Pts',(15.50786172376,-1.243812807158,3.741604858039)); #480533=CARTESIAN_POINT('Ctrl Pts',(15.46323836306,-1.181081933227,3.772328123483)); #480534=CARTESIAN_POINT('Ctrl Pts',(15.36916053608,-1.121992738406,3.798834246514)); #480535=CARTESIAN_POINT('Ctrl Pts',(15.27516606457,-1.096255764187,3.807899901344)); #480536=CARTESIAN_POINT('Ctrl Pts',(15.17746296396,-1.09806429854,3.802384774284)); #480537=CARTESIAN_POINT('Ctrl Pts',(15.08609726492,-1.127232857926,3.782855836594)); #480538=CARTESIAN_POINT('Ctrl Pts',(15.01046312438,-1.180761903613,3.751321636974)); #480539=CARTESIAN_POINT('Ctrl Pts',(14.94960579692,-1.265274572464,3.704274123277)); #480540=CARTESIAN_POINT('Ctrl Pts',(14.9362552943,-1.337567272711,3.665840834572)); #480541=CARTESIAN_POINT('Ctrl Pts',(14.94045228575,-1.380406808953,3.643632930606)); #480542=CARTESIAN_POINT('Ctrl Pts',(15.52884855973,-1.234310624121,3.614052723704)); #480543=CARTESIAN_POINT('Ctrl Pts',(15.51410414055,-1.192431852509,3.633900675262)); #480544=CARTESIAN_POINT('Ctrl Pts',(15.47000920447,-1.128172460785,3.663454319469)); #480545=CARTESIAN_POINT('Ctrl Pts',(15.37600181797,-1.067454651232,3.689180502794)); #480546=CARTESIAN_POINT('Ctrl Pts',(15.28168922374,-1.040871830509,3.698210482954)); #480547=CARTESIAN_POINT('Ctrl Pts',(15.18342338828,-1.042499728455,3.693286336224)); #480548=CARTESIAN_POINT('Ctrl Pts',(15.09141360443,-1.072169691791,3.674919574004)); #480549=CARTESIAN_POINT('Ctrl Pts',(15.0152189376,-1.126798784347,3.645019002354)); #480550=CARTESIAN_POINT('Ctrl Pts',(14.95396744098,-1.213094322534,3.600270653336)); #480551=CARTESIAN_POINT('Ctrl Pts',(14.94066293118,-1.286859733675,3.563662058124)); #480552=CARTESIAN_POINT('Ctrl Pts',(14.94502113033,-1.330504028118,3.542516886419)); #480553=CARTESIAN_POINT('Ctrl Pts',(15.53362858832,-1.185552297589,3.50780742681)); #480554=CARTESIAN_POINT('Ctrl Pts',(15.51914191456,-1.143139613646,3.527077298139)); #480555=CARTESIAN_POINT('Ctrl Pts',(15.47530154161,-1.077992723255,3.555840466382)); #480556=CARTESIAN_POINT('Ctrl Pts',(15.38127183934,-1.016372368918,3.581011396644)); #480557=CARTESIAN_POINT('Ctrl Pts',(15.28673598566,-0.989364890608,3.589978748454)); #480558=CARTESIAN_POINT('Ctrl Pts',(15.18812869763,-0.990979135884,3.585405743724)); #480559=CARTESIAN_POINT('Ctrl Pts',(15.09576025773,-1.021046877834,3.567770310904)); #480560=CARTESIAN_POINT('Ctrl Pts',(15.01928839564,-1.076423805925,3.538916688994)); #480561=CARTESIAN_POINT('Ctrl Pts',(14.95790137081,-1.163867326429,3.495654057148)); #480562=CARTESIAN_POINT('Ctrl Pts',(14.94471726064,-1.238539720578,3.460229570011)); #480563=CARTESIAN_POINT('Ctrl Pts',(14.94922937502,-1.282664855924,3.439773238046)); #480564=CARTESIAN_POINT('Ctrl Pts',(15.53776985799,-1.137519581377,3.402240108863)); #480565=CARTESIAN_POINT('Ctrl Pts',(15.52337139235,-1.094843977284,3.421217461727)); #480566=CARTESIAN_POINT('Ctrl Pts',(15.47958630134,-1.0292814504,3.449583705131)); #480567=CARTESIAN_POINT('Ctrl Pts',(15.38545963059,-0.967297514236,3.474482009614)); #480568=CARTESIAN_POINT('Ctrl Pts',(15.29076031699,-0.940180790021,3.483426145954)); #480569=CARTESIAN_POINT('Ctrl Pts',(15.19196203839,-0.941909773507,3.479039399724)); #480570=CARTESIAN_POINT('Ctrl Pts',(15.09943234252,-0.972303587941,3.461781859474)); #480571=CARTESIAN_POINT('Ctrl Pts',(15.02288074592,-1.028172320952,3.433464710354)); #480572=CARTESIAN_POINT('Ctrl Pts',(14.96153927589,-1.116298837943,3.390960462522)); #480573=CARTESIAN_POINT('Ctrl Pts',(14.9485280797,-1.191462778203,3.356139206449)); #480574=CARTESIAN_POINT('Ctrl Pts',(14.95318928347,-1.235831088344,3.336033988167)); #480575=CARTESIAN_POINT('Ctrl Pts',(15.54249663894,-1.073133297716,3.263256195058)); #480576=CARTESIAN_POINT('Ctrl Pts',(15.52796154326,-1.030523951878,3.282326142858)); #480577=CARTESIAN_POINT('Ctrl Pts',(15.48394997765,-0.9651336444583,3.310839131806)); #480578=CARTESIAN_POINT('Ctrl Pts',(15.38957859007,-0.903496217083,3.335881891624)); #480579=CARTESIAN_POINT('Ctrl Pts',(15.29474758886,-0.876721753636,3.344893334504)); #480580=CARTESIAN_POINT('Ctrl Pts',(15.19591700654,-0.878804537205,3.340509634164)); #480581=CARTESIAN_POINT('Ctrl Pts',(15.10346564827,-0.909526399482,3.323190894984)); #480582=CARTESIAN_POINT('Ctrl Pts',(15.02710216681,-0.965660549157,3.294756078734)); #480583=CARTESIAN_POINT('Ctrl Pts',(14.96609254528,-1.053986068055,3.252065537013)); #480584=CARTESIAN_POINT('Ctrl Pts',(14.95339195987,-1.129168084798,3.217087929988)); #480585=CARTESIAN_POINT('Ctrl Pts',(14.95824618561,-1.173495531873,3.196893019144)); #480586=CARTESIAN_POINT('Ctrl Pts',(15.54849486547,-0.9852938666765,3.07557086498)); #480587=CARTESIAN_POINT('Ctrl Pts',(15.5337562984,-0.9428154563627,3.094826445899)); #480588=CARTESIAN_POINT('Ctrl Pts',(15.48941589502,-0.8777278788137,3.123625577631)); #480589=CARTESIAN_POINT('Ctrl Pts',(15.39470406428,-0.8166361097877,3.148935765279)); #480590=CARTESIAN_POINT('Ctrl Pts',(15.29970068613,-0.7903678759897,3.158059297432)); #480591=CARTESIAN_POINT('Ctrl Pts',(15.20084283409,-0.7929444526058,3.153658100008)); #480592=CARTESIAN_POINT('Ctrl Pts',(15.10852012895,-0.8240955966028,3.136194460615)); #480593=CARTESIAN_POINT('Ctrl Pts',(15.03243512982,-0.8805469166857,3.107503955292)); #480594=CARTESIAN_POINT('Ctrl Pts',(14.97189856569,-0.9690676390519,3.064419566093)); #480595=CARTESIAN_POINT('Ctrl Pts',(14.959628113,-1.044207882815,3.029115424332)); #480596=CARTESIAN_POINT('Ctrl Pts',(14.96474558556,-1.088441332462,3.008732582577)); #480597=CARTESIAN_POINT('Ctrl Pts',(15.5528439445,-0.9122051185759,2.923702127945)); #480598=CARTESIAN_POINT('Ctrl Pts',(15.53788429194,-0.8699454011979,2.943251688415)); #480599=CARTESIAN_POINT('Ctrl Pts',(15.4932106628,-0.8052957830711,2.972488304943)); #480600=CARTESIAN_POINT('Ctrl Pts',(15.39819673824,-0.7448624730106,2.998178889893)); #480601=CARTESIAN_POINT('Ctrl Pts',(15.30307249932,-0.7191290338477,3.007435549302)); #480602=CARTESIAN_POINT('Ctrl Pts',(15.20424230008,-0.7221541201057,3.002960847384)); #480603=CARTESIAN_POINT('Ctrl Pts',(15.11209056344,-0.753620443485,2.985224725447)); #480604=CARTESIAN_POINT('Ctrl Pts',(15.03629974343,-0.810221273739,2.956091060593)); #480605=CARTESIAN_POINT('Ctrl Pts',(14.97621236305,-0.8987063963135,2.912343705448)); #480606=CARTESIAN_POINT('Ctrl Pts',(14.96431391982,-0.9736386149603,2.876497292119)); #480607=CARTESIAN_POINT('Ctrl Pts',(14.96964720148,-1.017694685268,2.85580121797)); #480608=CARTESIAN_POINT('Ctrl Pts',(15.55555694874,-0.8734178432871,2.838552185513)); #480609=CARTESIAN_POINT('Ctrl Pts',(15.54070632466,-0.8308893705594,2.857821068224)); #480610=CARTESIAN_POINT('Ctrl Pts',(15.49612007209,-0.7658031411177,2.886678158705)); #480611=CARTESIAN_POINT('Ctrl Pts',(15.4010403526,-0.7049569144579,2.912110639285)); #480612=CARTESIAN_POINT('Ctrl Pts',(15.30576739069,-0.6790624027955,2.921348422321)); #480613=CARTESIAN_POINT('Ctrl Pts',(15.20674759659,-0.682145836229,2.917055657842)); #480614=CARTESIAN_POINT('Ctrl Pts',(15.11442256607,-0.7138826205441,2.89968477182)); #480615=CARTESIAN_POINT('Ctrl Pts',(15.03852768648,-0.7709256278019,2.871067769992)); #480616=CARTESIAN_POINT('Ctrl Pts',(14.97844573268,-0.8600481581423,2.828049565961)); #480617=CARTESIAN_POINT('Ctrl Pts',(14.96668429095,-0.9354541428746,2.792782619301)); #480618=CARTESIAN_POINT('Ctrl Pts',(14.97214456403,-0.9797493396134,2.772423908713)); #480619=CARTESIAN_POINT('',(15.4570923994,-1.684203563354,4.556148337582)); #480620=CARTESIAN_POINT('Origin',(15.1936903378936,-1.80049268700561,4.47190942905737)); #480621=CARTESIAN_POINT('Ctrl Pts',(15.53544317398,-0.8524032638727,2.901022393337)); #480622=CARTESIAN_POINT('Ctrl Pts',(15.53325876559,-0.8849336678895,2.971094945443)); #480623=CARTESIAN_POINT('Ctrl Pts',(15.52924159563,-0.950419691674,3.108689664178)); #480624=CARTESIAN_POINT('Ctrl Pts',(15.52397089443,-1.031518646687,3.281730653246)); #480625=CARTESIAN_POINT('Ctrl Pts',(15.51937031525,-1.095807377463,3.420631605459)); #480626=CARTESIAN_POINT('Ctrl Pts',(15.51516052114,-1.144102570035,3.526472904633)); #480627=CARTESIAN_POINT('Ctrl Pts',(15.51015547009,-1.193394187492,3.633264584004)); #480628=CARTESIAN_POINT('Ctrl Pts',(15.50396923468,-1.244781038242,3.740916527613)); #480629=CARTESIAN_POINT('Ctrl Pts',(15.49826837037,-1.298682696795,3.844845413952)); #480630=CARTESIAN_POINT('Ctrl Pts',(15.49294559347,-1.353726752575,3.946521792164)); #480631=CARTESIAN_POINT('Ctrl Pts',(15.48828363465,-1.408880986301,4.046104178576)); #480632=CARTESIAN_POINT('Ctrl Pts',(15.47792560089,-1.517740208915,4.247894414402)); #480633=CARTESIAN_POINT('Ctrl Pts',(15.46735348671,-1.608380065064,4.419400096549)); #480634=CARTESIAN_POINT('Ctrl Pts',(15.4570923994,-1.684203563354,4.556148337582)); #480635=CARTESIAN_POINT('Ctrl Pts',(15.46611007824,-1.79921664681,4.670577076002)); #480636=CARTESIAN_POINT('Ctrl Pts',(15.4501926525,-1.760068547008,4.692704036965)); #480637=CARTESIAN_POINT('Ctrl Pts',(15.40520852998,-1.700217305931,4.725013220224)); #480638=CARTESIAN_POINT('Ctrl Pts',(15.31212615464,-1.643412288538,4.751970343591)); #480639=CARTESIAN_POINT('Ctrl Pts',(15.21981570828,-1.618020645143,4.760289293737)); #480640=CARTESIAN_POINT('Ctrl Pts',(15.1239901567,-1.618354419717,4.753039695333)); #480641=CARTESIAN_POINT('Ctrl Pts',(15.03416011144,-1.644380938085,4.730940780376)); #480642=CARTESIAN_POINT('Ctrl Pts',(14.95924093577,-1.693516665141,4.696186047251)); #480643=CARTESIAN_POINT('Ctrl Pts',(14.89781091862,-1.772236357065,4.644817498492)); #480644=CARTESIAN_POINT('Ctrl Pts',(14.88274287301,-1.840575022284,4.603038244335)); #480645=CARTESIAN_POINT('Ctrl Pts',(14.88551042679,-1.881554954179,4.578853327381)); #480646=CARTESIAN_POINT('Ctrl Pts',(15.47074556277,-1.765173910975,4.61175273833)); #480647=CARTESIAN_POINT('Ctrl Pts',(15.45495930062,-1.725779771371,4.63373963796)); #480648=CARTESIAN_POINT('Ctrl Pts',(15.4100926999,-1.66552903657,4.665893131329)); #480649=CARTESIAN_POINT('Ctrl Pts',(15.3169666487,-1.608340990161,4.692816576935)); #480650=CARTESIAN_POINT('Ctrl Pts',(15.22451057739,-1.582792472288,4.701226517511)); #480651=CARTESIAN_POINT('Ctrl Pts',(15.12848039402,-1.583165233171,4.694175561353)); #480652=CARTESIAN_POINT('Ctrl Pts',(15.03844311989,-1.609422586321,4.6723660274)); #480653=CARTESIAN_POINT('Ctrl Pts',(14.96336851014,-1.658948304354,4.6379711424)); #480654=CARTESIAN_POINT('Ctrl Pts',(14.90186837597,-1.738242914624,4.587079168457)); #480655=CARTESIAN_POINT('Ctrl Pts',(14.88687698443,-1.807021634675,4.545665945912)); #480656=CARTESIAN_POINT('Ctrl Pts',(14.88973827825,-1.848230482858,4.521696333461)); #480657=CARTESIAN_POINT('Ctrl Pts',(15.4753982321,-1.73223823438,4.551761126173)); #480658=CARTESIAN_POINT('Ctrl Pts',(15.45984188297,-1.692445870912,4.573475480264)); #480659=CARTESIAN_POINT('Ctrl Pts',(15.41520946228,-1.631531827013,4.605297471281)); #480660=CARTESIAN_POINT('Ctrl Pts',(15.32208586831,-1.573659307714,4.632074703716)); #480661=CARTESIAN_POINT('Ctrl Pts',(15.22945246702,-1.547776431618,4.64057648377)); #480662=CARTESIAN_POINT('Ctrl Pts',(15.13313287124,-1.548113248181,4.633820568929)); #480663=CARTESIAN_POINT('Ctrl Pts',(15.04277460839,-1.574636484911,4.612483482303)); #480664=CARTESIAN_POINT('Ctrl Pts',(14.96742789173,-1.624689175789,4.578702792912)); #480665=CARTESIAN_POINT('Ctrl Pts',(14.90574583872,-1.704811900663,4.52864375166)); #480666=CARTESIAN_POINT('Ctrl Pts',(14.89079207751,-1.77426013271,4.487878730958)); #480667=CARTESIAN_POINT('Ctrl Pts',(14.89374385751,-1.815830686092,4.464288977598)); #480668=CARTESIAN_POINT('Ctrl Pts',(15.47953094854,-1.699579911463,4.492403415935)); #480669=CARTESIAN_POINT('Ctrl Pts',(15.4640774197,-1.659576662401,4.513970580955)); #480670=CARTESIAN_POINT('Ctrl Pts',(15.41953222261,-1.598322548851,4.545616052509)); #480671=CARTESIAN_POINT('Ctrl Pts',(15.32636035341,-1.540132203975,4.572321051424)); #480672=CARTESIAN_POINT('Ctrl Pts',(15.23359922062,-1.514128758665,4.580879179885)); #480673=CARTESIAN_POINT('Ctrl Pts',(15.13710819249,-1.514516899394,4.574289328176)); #480674=CARTESIAN_POINT('Ctrl Pts',(15.04658314868,-1.541258097492,4.553213393887)); #480675=CARTESIAN_POINT('Ctrl Pts',(14.97112027533,-1.591664826124,4.519769387955)); #480676=CARTESIAN_POINT('Ctrl Pts',(14.90940375212,-1.672299606706,4.470164903742)); #480677=CARTESIAN_POINT('Ctrl Pts',(14.89453694424,-1.742132366648,4.429752749971)); #480678=CARTESIAN_POINT('Ctrl Pts',(14.89758141941,-1.783899890211,4.406369928501)); #480679=CARTESIAN_POINT('',(15.4500157002637,-1.73674498377405,4.64915568862442)); #480680=CARTESIAN_POINT('Origin',(15.1874070554096,-1.85274577127664,4.56208943522182)); #480681=CARTESIAN_POINT('Ctrl Pts',(15.4570923994,-1.684203563354,4.556148337582)); #480682=CARTESIAN_POINT('Ctrl Pts',(15.4547606765,-1.701436552201,4.587230037648)); #480683=CARTESIAN_POINT('Ctrl Pts',(15.45249033671,-1.719127544729,4.61822095796)); #480684=CARTESIAN_POINT('Ctrl Pts',(15.45001574821,-1.736744962603,4.649155704516)); #480685=CARTESIAN_POINT('Ctrl Pts',(15.37797278259,-2.50338389735,6.040052395551)); #480686=CARTESIAN_POINT('Ctrl Pts',(15.36816352813,-2.457118454624,6.053615127912)); #480687=CARTESIAN_POINT('Ctrl Pts',(15.33127456427,-2.384143784852,6.073902136337)); #480688=CARTESIAN_POINT('Ctrl Pts',(15.24350920504,-2.310510503746,6.091763997111)); #480689=CARTESIAN_POINT('Ctrl Pts',(15.1516627801,-2.273594004539,6.09825044286)); #480690=CARTESIAN_POINT('Ctrl Pts',(15.05275024458,-2.266652767556,6.095257522921)); #480691=CARTESIAN_POINT('Ctrl Pts',(14.95721020124,-2.290420000838,6.083100830509)); #480692=CARTESIAN_POINT('Ctrl Pts',(14.87512524858,-2.342386897409,6.063063255212)); #480693=CARTESIAN_POINT('Ctrl Pts',(14.805054929,-2.429653230567,6.032911123069)); #480694=CARTESIAN_POINT('Ctrl Pts',(14.78473470578,-2.507538448739,6.008177831057)); #480695=CARTESIAN_POINT('Ctrl Pts',(14.7851588323,-2.554580901305,5.993893611313)); #480696=CARTESIAN_POINT('Ctrl Pts',(15.38005508436,-2.487224493647,5.992603143771)); #480697=CARTESIAN_POINT('Ctrl Pts',(15.36992842302,-2.441318306828,6.006871301375)); #480698=CARTESIAN_POINT('Ctrl Pts',(15.33262465161,-2.36901315837,6.028186895598)); #480699=CARTESIAN_POINT('Ctrl Pts',(15.24460226736,-2.296228541977,6.046905045364)); #480700=CARTESIAN_POINT('Ctrl Pts',(15.15275056546,-2.259876005184,6.05365440846)); #480701=CARTESIAN_POINT('Ctrl Pts',(15.05401187511,-2.253262184038,6.050429380498)); #480702=CARTESIAN_POINT('Ctrl Pts',(14.95876748526,-2.277082763355,6.037569078298)); #480703=CARTESIAN_POINT('Ctrl Pts',(14.8770310519,-2.328832648942,6.016426444088)); #480704=CARTESIAN_POINT('Ctrl Pts',(14.8073488034,-2.415580345314,5.984642048344)); #480705=CARTESIAN_POINT('Ctrl Pts',(14.78722720707,-2.492937775099,5.958581651264)); #480706=CARTESIAN_POINT('Ctrl Pts',(14.78771975375,-2.539662102416,5.943529134534)); #480707=CARTESIAN_POINT('Ctrl Pts',(15.38345723345,-2.456489484783,5.916178886202)); #480708=CARTESIAN_POINT('Ctrl Pts',(15.37252464424,-2.411559997983,5.932030369012)); #480709=CARTESIAN_POINT('Ctrl Pts',(15.33418684416,-2.341039745013,5.955618470283)); #480710=CARTESIAN_POINT('Ctrl Pts',(15.24556451781,-2.270456524672,5.976158479929)); #480711=CARTESIAN_POINT('Ctrl Pts',(15.15375159971,-2.235523197102,5.983394309178)); #480712=CARTESIAN_POINT('Ctrl Pts',(15.05550277269,-2.229678082069,5.979540034238)); #480713=CARTESIAN_POINT('Ctrl Pts',(14.96104404364,-2.253529691238,5.964996973274)); #480714=CARTESIAN_POINT('Ctrl Pts',(14.88020661538,-2.304594848565,5.941279912161)); #480715=CARTESIAN_POINT('Ctrl Pts',(14.81149694032,-2.389853271484,5.905732853884)); #480716=CARTESIAN_POINT('Ctrl Pts',(14.79184400813,-2.46575049695,5.876628904088)); #480717=CARTESIAN_POINT('Ctrl Pts',(14.79248122448,-2.511605362867,5.859811911173)); #480718=CARTESIAN_POINT('Ctrl Pts',(15.38931360527,-2.409326338555,5.809071473681)); #480719=CARTESIAN_POINT('Ctrl Pts',(15.37755504774,-2.365395612747,5.826276740814)); #480720=CARTESIAN_POINT('Ctrl Pts',(15.33815277281,-2.296695434132,5.851776137517)); #480721=CARTESIAN_POINT('Ctrl Pts',(15.24889921549,-2.22836644431,5.873785280525)); #480722=CARTESIAN_POINT('Ctrl Pts',(15.15710947808,-2.194901981611,5.881344578988)); #480723=CARTESIAN_POINT('Ctrl Pts',(15.05935027232,-2.189879269807,5.876854620157)); #480724=CARTESIAN_POINT('Ctrl Pts',(14.9656963055,-2.213816311653,5.86077802271)); #480725=CARTESIAN_POINT('Ctrl Pts',(14.88579899984,-2.264245680929,5.834772060137)); #480726=CARTESIAN_POINT('Ctrl Pts',(14.81813606625,-2.348056011036,5.795913849086)); #480727=CARTESIAN_POINT('Ctrl Pts',(14.79902533566,-2.42249872582,5.764145075836)); #480728=CARTESIAN_POINT('Ctrl Pts',(14.79985536764,-2.467474433777,5.745781020281)); #480729=CARTESIAN_POINT('Ctrl Pts',(15.39494238882,-2.366697283465,5.716418961734)); #480730=CARTESIAN_POINT('Ctrl Pts',(15.3826491834,-2.323400944822,5.734411404133)); #480731=CARTESIAN_POINT('Ctrl Pts',(15.34255031272,-2.255860835764,5.761013465832)); #480732=CARTESIAN_POINT('Ctrl Pts',(15.25286555866,-2.188987060112,5.783852398287)); #480733=CARTESIAN_POINT('Ctrl Pts',(15.16106830942,-2.156489515115,5.79157402283)); #480734=CARTESIAN_POINT('Ctrl Pts',(15.06360695273,-2.152036093909,5.786686884249)); #480735=CARTESIAN_POINT('Ctrl Pts',(14.97046525157,-2.176083464379,5.769691417806)); #480736=CARTESIAN_POINT('Ctrl Pts',(14.89118429426,-2.226167735165,5.742328890936)); #480737=CARTESIAN_POINT('Ctrl Pts',(14.82423176862,-2.309120301482,5.701517347877)); #480738=CARTESIAN_POINT('Ctrl Pts',(14.80551746116,-2.382668088256,5.668180146772)); #480739=CARTESIAN_POINT('Ctrl Pts',(14.80650588149,-2.427092449578,5.648904961383)); #480740=CARTESIAN_POINT('Ctrl Pts',(15.4034334401,-2.309567946552,5.59045163949)); #480741=CARTESIAN_POINT('Ctrl Pts',(15.39088470681,-2.266505518284,5.608737614674)); #480742=CARTESIAN_POINT('Ctrl Pts',(15.35041714867,-2.199425398738,5.635759796559)); #480743=CARTESIAN_POINT('Ctrl Pts',(15.26042391446,-2.133214129176,5.658932842135)); #480744=CARTESIAN_POINT('Ctrl Pts',(15.16852138971,-2.101231127366,5.666740496365)); #480745=CARTESIAN_POINT('Ctrl Pts',(15.0711123783,-2.097183294066,5.66173178048)); #480746=CARTESIAN_POINT('Ctrl Pts',(14.97816280925,-2.121485293073,5.644418888663)); #480747=CARTESIAN_POINT('Ctrl Pts',(14.89918221085,-2.171650285744,5.616573273351)); #480748=CARTESIAN_POINT('Ctrl Pts',(14.83265939726,-2.254491771432,5.575057124214)); #480749=CARTESIAN_POINT('Ctrl Pts',(14.8142757103,-2.327795918047,5.541150537859)); #480750=CARTESIAN_POINT('Ctrl Pts',(14.81544651478,-2.372035280188,5.521545166497)); #480751=CARTESIAN_POINT('Ctrl Pts',(15.41385246572,-2.237116789094,5.433764713351)); #480752=CARTESIAN_POINT('Ctrl Pts',(15.40095593468,-2.194400164323,5.452510008751)); #480753=CARTESIAN_POINT('Ctrl Pts',(15.35999113177,-2.127985426481,5.48019167806)); #480754=CARTESIAN_POINT('Ctrl Pts',(15.26959157717,-2.062702324359,5.503893447619)); #480755=CARTESIAN_POINT('Ctrl Pts',(15.17755957108,-2.031418962829,5.511841974075)); #480756=CARTESIAN_POINT('Ctrl Pts',(15.08023452911,-2.027898316863,5.506649753448)); #480757=CARTESIAN_POINT('Ctrl Pts',(14.98755472066,-2.052500217477,5.48884686559)); #480758=CARTESIAN_POINT('Ctrl Pts',(14.90898383018,-2.102711893151,5.460251719087)); #480759=CARTESIAN_POINT('Ctrl Pts',(14.84303615862,-2.185318004263,5.417640072217)); #480760=CARTESIAN_POINT('Ctrl Pts',(14.82508539868,-2.25823062679,5.382847328814)); #480761=CARTESIAN_POINT('Ctrl Pts',(14.82649118462,-2.302187624587,5.362728218744)); #480762=CARTESIAN_POINT('Ctrl Pts',(15.42552887351,-2.14376698029,5.251595902658)); #480763=CARTESIAN_POINT('Ctrl Pts',(15.41173565483,-2.102134903211,5.27151668326)); #480764=CARTESIAN_POINT('Ctrl Pts',(15.3695963874,-2.037697195511,5.300825013945)); #480765=CARTESIAN_POINT('Ctrl Pts',(15.27845434725,-1.974905086529,5.325709697826)); #480766=CARTESIAN_POINT('Ctrl Pts',(15.18639114549,-1.945294863971,5.333841401944)); #480767=CARTESIAN_POINT('Ctrl Pts',(15.08955267612,-1.942789473739,5.327992695608)); #480768=CARTESIAN_POINT('Ctrl Pts',(14.99773335247,-1.967642447594,5.308755119828)); #480769=CARTESIAN_POINT('Ctrl Pts',(14.92021962546,-2.017339507575,5.278075139818)); #480770=CARTESIAN_POINT('Ctrl Pts',(14.85552270914,-2.098567477598,5.232482018918)); #480771=CARTESIAN_POINT('Ctrl Pts',(14.83830887586,-2.169996701386,5.195303498533)); #480772=CARTESIAN_POINT('Ctrl Pts',(14.84003213439,-2.213023711024,5.173797129117)); #480773=CARTESIAN_POINT('Ctrl Pts',(15.43733831558,-2.043660388837,5.072838713737)); #480774=CARTESIAN_POINT('Ctrl Pts',(15.42260669717,-2.003166044639,5.0937993292)); #480775=CARTESIAN_POINT('Ctrl Pts',(15.37925220522,-1.940799609557,5.124511689417)); #480776=CARTESIAN_POINT('Ctrl Pts',(15.28737050148,-1.880617390136,5.150344529499)); #480777=CARTESIAN_POINT('Ctrl Pts',(15.19531171689,-1.852763188195,5.158534908998)); #480778=CARTESIAN_POINT('Ctrl Pts',(15.09901780048,-1.851329938316,5.151995098395)); #480779=CARTESIAN_POINT('Ctrl Pts',(15.00812693358,-1.876461087374,5.131379780847)); #480780=CARTESIAN_POINT('Ctrl Pts',(14.93173612456,-1.925640616795,5.098753292179)); #480781=CARTESIAN_POINT('Ctrl Pts',(14.86835198429,-2.00545562863,5.050411347063)); #480782=CARTESIAN_POINT('Ctrl Pts',(14.85189837444,-2.075354736005,5.011047248429)); #480783=CARTESIAN_POINT('Ctrl Pts',(14.85394349233,-2.117420402078,4.988267769558)); #480784=CARTESIAN_POINT('Ctrl Pts',(15.44893014118,-1.93683707915,4.898237264464)); #480785=CARTESIAN_POINT('Ctrl Pts',(15.43315950287,-1.897671099784,4.920245564584)); #480786=CARTESIAN_POINT('Ctrl Pts',(15.38848343268,-1.837689430788,4.952335149186)); #480787=CARTESIAN_POINT('Ctrl Pts',(15.29584825809,-1.780456351363,4.979016708942)); #480788=CARTESIAN_POINT('Ctrl Pts',(15.20385985477,-1.754548749041,4.987152751634)); #480789=CARTESIAN_POINT('Ctrl Pts',(15.1082315104,-1.754259441886,4.979793553111)); #480790=CARTESIAN_POINT('Ctrl Pts',(15.01841769203,-1.779617226523,4.95766657501)); #480791=CARTESIAN_POINT('Ctrl Pts',(14.94329771505,-1.828114529463,4.922959955967)); #480792=CARTESIAN_POINT('Ctrl Pts',(14.88136902567,-1.906219351127,4.871716113097)); #480793=CARTESIAN_POINT('Ctrl Pts',(14.86573104092,-1.974317565138,4.830059206765)); #480794=CARTESIAN_POINT('Ctrl Pts',(14.86810885959,-2.015260951078,4.805941808995)); #480795=CARTESIAN_POINT('Ctrl Pts',(15.46091055854,-1.837553092348,4.737278677563)); #480796=CARTESIAN_POINT('Ctrl Pts',(15.44483816246,-1.798696259801,4.759567853279)); #480797=CARTESIAN_POINT('Ctrl Pts',(15.39971514075,-1.739316801814,4.792058396624)); #480798=CARTESIAN_POINT('Ctrl Pts',(15.30668280147,-1.682965405391,4.819056293503)); #480799=CARTESIAN_POINT('Ctrl Pts',(15.21453931226,-1.657762131298,4.827270690567)); #480800=CARTESIAN_POINT('Ctrl Pts',(15.11895072462,-1.658056592608,4.819790304968)); #480801=CARTESIAN_POINT('Ctrl Pts',(15.02936232911,-1.683820227068,4.797354032478)); #480802=CARTESIAN_POINT('Ctrl Pts',(14.95462635114,-1.732506960094,4.762179070406)); #480803=CARTESIAN_POINT('Ctrl Pts',(14.89328275168,-1.810561536056,4.71025347908)); #480804=CARTESIAN_POINT('Ctrl Pts',(14.87813051266,-1.8783888816,4.668046174534)); #480805=CARTESIAN_POINT('Ctrl Pts',(14.88079228183,-1.919101976853,4.643609518698)); #480806=CARTESIAN_POINT('Ctrl Pts',(15.46914371694,-1.7766606012,4.631874716923)); #480807=CARTESIAN_POINT('Ctrl Pts',(15.45329974104,-1.737372964601,4.653922489337)); #480808=CARTESIAN_POINT('Ctrl Pts',(15.40837952739,-1.677295067793,4.68614685369)); #480809=CARTESIAN_POINT('Ctrl Pts',(15.31526594201,-1.620276986996,4.713092833996)); #480810=CARTESIAN_POINT('Ctrl Pts',(15.22286374012,-1.594803932499,4.721470551721)); #480811=CARTESIAN_POINT('Ctrl Pts',(15.1269142688,-1.595172612749,4.714339521212)); #480812=CARTESIAN_POINT('Ctrl Pts',(15.03696225791,-1.621346794148,4.692408940425)); #480813=CARTESIAN_POINT('Ctrl Pts',(14.96195561199,-1.670722393407,4.657860774779)); #480814=CARTESIAN_POINT('Ctrl Pts',(14.90049378185,-1.749789298891,4.606763809474)); #480815=CARTESIAN_POINT('Ctrl Pts',(14.88548130122,-1.818389241063,4.5651922812)); #480816=CARTESIAN_POINT('Ctrl Pts',(14.88831105732,-1.859503370621,4.541129705549)); #480817=CARTESIAN_POINT('Ctrl Pts',(15.47264472577,-1.751871893524,4.586867962719)); #480818=CARTESIAN_POINT('Ctrl Pts',(15.45697041902,-1.712289763793,4.608715627622)); #480819=CARTESIAN_POINT('Ctrl Pts',(15.41222249485,-1.651721735086,4.640697265244)); #480820=CARTESIAN_POINT('Ctrl Pts',(15.31910922601,-1.594198902814,4.667537814576)); #480821=CARTESIAN_POINT('Ctrl Pts',(15.22657465841,-1.568480309046,4.675985023857)); #480822=CARTESIAN_POINT('Ctrl Pts',(15.1304102353,-1.56882448961,4.669072868705)); #480823=CARTESIAN_POINT('Ctrl Pts',(15.04022043241,-1.595197526486,4.647491438494)); #480824=CARTESIAN_POINT('Ctrl Pts',(14.9650128024,-1.644964972345,4.613396423622)); #480825=CARTESIAN_POINT('Ctrl Pts',(14.90341757051,-1.724646398728,4.562913466436)); #480826=CARTESIAN_POINT('Ctrl Pts',(14.888434451,-1.793742392457,4.521819546869)); #480827=CARTESIAN_POINT('Ctrl Pts',(14.89133223412,-1.835124263009,4.498036896089)); #480828=CARTESIAN_POINT('',(15.36567058389,-2.44201429022,6.0089221733)); #480829=CARTESIAN_POINT('Ctrl Pts',(15.4500156762959,-1.73674499436838, 4.64915568067383)); #480830=CARTESIAN_POINT('Ctrl Pts',(15.4479858472208,-1.75509231782291, 4.67972265118793)); #480831=CARTESIAN_POINT('Ctrl Pts',(15.4458792412775,-1.77343073798113, 4.70998196889412)); #480832=CARTESIAN_POINT('Ctrl Pts',(15.4437457251253,-1.79167789032131, 4.73998152545344)); #480833=CARTESIAN_POINT('Ctrl Pts',(15.4429801691457,-1.79822539949731, 4.75074607480264)); #480834=CARTESIAN_POINT('Ctrl Pts',(15.4422111536562,-1.80476106925215, 4.76147708529297)); #480835=CARTESIAN_POINT('Ctrl Pts',(15.4414401829656,-1.81128090808845, 4.77217747268333)); #480836=CARTESIAN_POINT('Ctrl Pts',(15.440345341584,-1.82053961201352,4.78737289717438)); #480837=CARTESIAN_POINT('Ctrl Pts',(15.4392470295686,-1.82976683107216, 4.80250572622905)); #480838=CARTESIAN_POINT('Ctrl Pts',(15.4381506419255,-1.83895192793719, 4.81758234767277)); #480839=CARTESIAN_POINT('Ctrl Pts',(15.4376709723316,-1.84297040781564, 4.8241783695544)); #480840=CARTESIAN_POINT('Ctrl Pts',(15.4371916718324,-1.84698082507682, 4.83076363311599)); #480841=CARTESIAN_POINT('Ctrl Pts',(15.4367131945172,-1.85098228837412, 4.83733867260916)); #480842=CARTESIAN_POINT('Ctrl Pts',(15.4347492276499,-1.8674067688837,4.86432670173297)); #480843=CARTESIAN_POINT('Ctrl Pts',(15.4328018627524,-1.88370581793923, 4.8911672751299)); #480844=CARTESIAN_POINT('Ctrl Pts',(15.4309013251713,-1.89978154829242, 4.91785338742634)); #480845=CARTESIAN_POINT('Ctrl Pts',(15.428023373579,-1.92412475214447,4.95826371139784)); #480846=CARTESIAN_POINT('Ctrl Pts',(15.4252527654378,-1.94795319399971, 4.99832116991438)); #480847=CARTESIAN_POINT('Ctrl Pts',(15.4225444541275,-1.97112605492324, 5.03816461498042)); #480848=CARTESIAN_POINT('Ctrl Pts',(15.4212837777368,-1.98191265783713, 5.05671111306138)); #480849=CARTESIAN_POINT('Ctrl Pts',(15.4200365655786,-1.99255778070834, 5.0752110281811)); #480850=CARTESIAN_POINT('Ctrl Pts',(15.4187983945746,-2.00305080978886, 5.09367627867086)); #480851=CARTESIAN_POINT('Ctrl Pts',(15.4180338207112,-2.00953028294444, 5.10507861959085)); #480852=CARTESIAN_POINT('Ctrl Pts',(15.417272708049,-2.01595182775037,5.11646767581214)); #480853=CARTESIAN_POINT('Ctrl Pts',(15.4165176217049,-2.02231666363147, 5.1278356742841)); #480854=CARTESIAN_POINT('Ctrl Pts',(15.413306532202,-2.04938384322661,5.17617936484047)); #480855=CARTESIAN_POINT('Ctrl Pts',(15.4101195213068,-2.07534501915965, 5.22431227401727)); #480856=CARTESIAN_POINT('Ctrl Pts',(15.4070619391988,-2.10036507639362, 5.27204629662339)); #480857=CARTESIAN_POINT('Ctrl Pts',(15.4052136141836,-2.11548983708162, 5.30090177292552)); #480858=CARTESIAN_POINT('Ctrl Pts',(15.4034121344647,-2.13027108289968, 5.32961251220439)); #480859=CARTESIAN_POINT('Ctrl Pts',(15.4016472633326,-2.14476855876156, 5.35821291993295)); #480860=CARTESIAN_POINT('Ctrl Pts',(15.401061713322,-2.14957854006316,5.36770198049101)); #480861=CARTESIAN_POINT('Ctrl Pts',(15.4004808730358,-2.15435661569115, 5.37717539155497)); #480862=CARTESIAN_POINT('Ctrl Pts',(15.3999047353323,-2.15910459713605, 5.38663237722822)); #480863=CARTESIAN_POINT('Ctrl Pts',(15.3994006148417,-2.16325908090033, 5.39490723969232)); #480864=CARTESIAN_POINT('Ctrl Pts',(15.3989000944656,-2.1673905239567,5.4031695263254)); #480865=CARTESIAN_POINT('Ctrl Pts',(15.3984031662495,-2.17150014322939, 5.41141871465386)); #480866=CARTESIAN_POINT('Ctrl Pts',(15.3975513697657,-2.17854453944819, 5.42555884472754)); #480867=CARTESIAN_POINT('Ctrl Pts',(15.3967134073603,-2.18552718422991, 5.43965167379172)); #480868=CARTESIAN_POINT('Ctrl Pts',(15.3958841470486,-2.19245044410161, 5.45370796581349)); #480869=CARTESIAN_POINT('Ctrl Pts',(15.3936282139568,-2.21128459162748, 5.49194692874582)); #480870=CARTESIAN_POINT('Ctrl Pts',(15.3914372627075,-2.22968231733383, 5.5299121401359)); #480871=CARTESIAN_POINT('Ctrl Pts',(15.3893016035408,-2.24779109045946, 5.56749731353577)); #480872=CARTESIAN_POINT('Ctrl Pts',(15.3890163930283,-2.25020945971257, 5.57251669431285)); #480873=CARTESIAN_POINT('Ctrl Pts',(15.3887321613279,-2.25262262132107, 5.57752934919891)); #480874=CARTESIAN_POINT('Ctrl Pts',(15.3884488787001,-2.25503087963348, 5.58253507235219)); #480875=CARTESIAN_POINT('Ctrl Pts',(15.3873729380523,-2.26417772717741, 5.60154739602789)); #480876=CARTESIAN_POINT('Ctrl Pts',(15.386311910249,-2.27324874250209,5.62044577272894)); #480877=CARTESIAN_POINT('Ctrl Pts',(15.3852425770382,-2.2822337353791,5.63926875819235)); #480878=CARTESIAN_POINT('Ctrl Pts',(15.384245171815,-2.2906143586827,5.6568256280505)); #480879=CARTESIAN_POINT('Ctrl Pts',(15.3832407084778,-2.29891990051538, 5.67431666060532)); #480880=CARTESIAN_POINT('Ctrl Pts',(15.3822405659967,-2.3071172796446,5.69171490683746)); #480881=CARTESIAN_POINT('Ctrl Pts',(15.3814558143872,-2.31354926967366, 5.70536626351185)); #480882=CARTESIAN_POINT('Ctrl Pts',(15.380667039346,-2.31991336619224,5.71898649165421)); #480883=CARTESIAN_POINT('Ctrl Pts',(15.3798882677474,-2.32620563764237, 5.73254737894607)); #480884=CARTESIAN_POINT('Ctrl Pts',(15.379124575814,-2.33237606932949,5.74584568136585)); #480885=CARTESIAN_POINT('Ctrl Pts',(15.3783704742923,-2.33847746825812, 5.75908698217184)); #480886=CARTESIAN_POINT('Ctrl Pts',(15.3776203485506,-2.34449036834998, 5.77230478074967)); #480887=CARTESIAN_POINT('Ctrl Pts',(15.3773362741868,-2.34676746760652, 5.77731039182833)); #480888=CARTESIAN_POINT('Ctrl Pts',(15.3770534875409,-2.34903244319325, 5.78231044328501)); #480889=CARTESIAN_POINT('Ctrl Pts',(15.376772210817,-2.35128467282603,5.78730510110313)); #480890=CARTESIAN_POINT('Ctrl Pts',(15.3760671513369,-2.35693020165814, 5.79982491005521)); #480891=CARTESIAN_POINT('Ctrl Pts',(15.3753694196485,-2.36249824428634, 5.8123248900867)); #480892=CARTESIAN_POINT('Ctrl Pts',(15.3746804511809,-2.36797456912076, 5.82480906880062)); #480893=CARTESIAN_POINT('Ctrl Pts',(15.3729801376801,-2.38148965651389, 5.85561892238888)); #480894=CARTESIAN_POINT('Ctrl Pts',(15.3713341546777,-2.39444326286458, 5.88633199299681)); #480895=CARTESIAN_POINT('Ctrl Pts',(15.3698461201991,-2.406721966344,5.91669179409085)); #480896=CARTESIAN_POINT('Ctrl Pts',(15.3686620697342,-2.41649230753767, 5.94084952505631)); #480897=CARTESIAN_POINT('Ctrl Pts',(15.3675771216188,-2.42583468275456, 5.96478649330756)); #480898=CARTESIAN_POINT('Ctrl Pts',(15.3665378783599,-2.43467408346935, 5.988720232791)); #480899=CARTESIAN_POINT('Ctrl Pts',(15.366245519365,-2.43716077586379,5.9954532512234)); #480900=CARTESIAN_POINT('Ctrl Pts',(15.3659567948521,-2.43960788685596, 6.00218580949917)); #480901=CARTESIAN_POINT('Ctrl Pts',(15.365670583874,-2.44201429022579,6.00892217329725)); #480902=CARTESIAN_POINT('Origin',(15.0872091610654,-2.54285660823244,5.96106757175019)); #480903=CARTESIAN_POINT('Ctrl Pts',(15.35935321498,-2.618778241189,6.453952192603)); #480904=CARTESIAN_POINT('Ctrl Pts',(15.35031145917,-2.572228487548,6.465598604547)); #480905=CARTESIAN_POINT('Ctrl Pts',(15.31480212883,-2.498187464884,6.483152786149)); #480906=CARTESIAN_POINT('Ctrl Pts',(15.22844560401,-2.422551918986,6.49878479195)); #480907=CARTESIAN_POINT('Ctrl Pts',(15.13681993605,-2.383893752611,6.504593415576)); #480908=CARTESIAN_POINT('Ctrl Pts',(15.03756893308,-2.375723197391,6.502152022592)); #480909=CARTESIAN_POINT('Ctrl Pts',(14.9412515837,-2.398910074526,6.491720190902)); #480910=CARTESIAN_POINT('Ctrl Pts',(14.85811465647,-2.450986988929,6.474407888708)); #480911=CARTESIAN_POINT('Ctrl Pts',(14.78696770038,-2.538801032429,6.448386271069)); #480912=CARTESIAN_POINT('Ctrl Pts',(14.76573179542,-2.617336370344,6.427061298793)); #480913=CARTESIAN_POINT('Ctrl Pts',(14.76552650773,-2.664716866879,6.414774928149)); #480914=CARTESIAN_POINT('Ctrl Pts',(15.36143612985,-2.604200423567,6.404684459579)); #480915=CARTESIAN_POINT('Ctrl Pts',(15.35215640279,-2.55790727804,6.416879893248)); #480916=CARTESIAN_POINT('Ctrl Pts',(15.31633055845,-2.484351386428,6.435242727483)); #480917=CARTESIAN_POINT('Ctrl Pts',(15.22976849856,-2.409344234391,6.451559541528)); #480918=CARTESIAN_POINT('Ctrl Pts',(15.13812814762,-2.371114650916,6.457588187414)); #480919=CARTESIAN_POINT('Ctrl Pts',(15.03899981756,-2.363204408864,6.454976317333)); #480920=CARTESIAN_POINT('Ctrl Pts',(14.94290105323,-2.386453375003,6.44400091195)); #480921=CARTESIAN_POINT('Ctrl Pts',(14.86002784207,-2.438394243632,6.425826700224)); #480922=CARTESIAN_POINT('Ctrl Pts',(14.78918016174,-2.52585343038,6.398531833735)); #480923=CARTESIAN_POINT('Ctrl Pts',(14.76810458415,-2.604016744373,6.376171937524)); #480924=CARTESIAN_POINT('Ctrl Pts',(14.76795899406,-2.651170831586,6.363288003446)); #480925=CARTESIAN_POINT('Ctrl Pts',(15.3657786336,-2.582088423488,6.316719387839)); #480926=CARTESIAN_POINT('Ctrl Pts',(15.35671837893,-2.535467178568,6.328207345497)); #480927=CARTESIAN_POINT('Ctrl Pts',(15.32114880711,-2.461331351091,6.345532105435)); #480928=CARTESIAN_POINT('Ctrl Pts',(15.2346723984,-2.385669012627,6.360977417665)); #480929=CARTESIAN_POINT('Ctrl Pts',(15.14294091473,-2.347073008981,6.366733849324)); #480930=CARTESIAN_POINT('Ctrl Pts',(15.04360590463,-2.339048791471,6.364353301783)); #480931=CARTESIAN_POINT('Ctrl Pts',(14.94724968548,-2.362451798322,6.354089244655)); #480932=CARTESIAN_POINT('Ctrl Pts',(14.86413712343,-2.414788282189,6.337035329492)); #480933=CARTESIAN_POINT('Ctrl Pts',(14.79310316851,-2.502914175328,6.311390981114)); #480934=CARTESIAN_POINT('Ctrl Pts',(14.7720216601,-2.581639813468,6.290370936815)); #480935=CARTESIAN_POINT('Ctrl Pts',(14.77192799278,-2.629101529446,6.278260895014)); #480936=CARTESIAN_POINT('Ctrl Pts',(15.37315806801,-2.536933907716,6.145568663727)); #480937=CARTESIAN_POINT('Ctrl Pts',(15.36388259082,-2.490458829984,6.157362952268)); #480938=CARTESIAN_POINT('Ctrl Pts',(15.32799483228,-2.41663717483,6.175142146092)); #480939=CARTESIAN_POINT('Ctrl Pts',(15.24123952704,-2.341470598525,6.190978465427)); #480940=CARTESIAN_POINT('Ctrl Pts',(15.14940115136,-2.303286721331,6.196866828806)); #480941=CARTESIAN_POINT('Ctrl Pts',(15.05009356443,-2.295612466703,6.194400546241)); #480942=CARTESIAN_POINT('Ctrl Pts',(14.95388538247,-2.319265143494,6.183841902457)); #480943=CARTESIAN_POINT('Ctrl Pts',(14.87101525564,-2.371726927894,6.166314687492)); #480944=CARTESIAN_POINT('Ctrl Pts',(14.80033290424,-2.459844892616,6.139967563675)); #480945=CARTESIAN_POINT('Ctrl Pts',(14.7795238366,-2.53843725704,6.118374886721)); #480946=CARTESIAN_POINT('Ctrl Pts',(14.77958007164,-2.585786438187,6.105934422211)); #480947=CARTESIAN_POINT('Ctrl Pts',(15.37799598049,-2.500489841812,6.029843192725)); #480948=CARTESIAN_POINT('Ctrl Pts',(15.36805715049,-2.454747436243,6.043214508673)); #480949=CARTESIAN_POINT('Ctrl Pts',(15.33129192311,-2.382305396796,6.063318576306)); #480950=CARTESIAN_POINT('Ctrl Pts',(15.2439780473,-2.308912677296,6.081127914602)); #480951=CARTESIAN_POINT('Ctrl Pts',(15.15211337565,-2.271927620344,6.087654666605)); #480952=CARTESIAN_POINT('Ctrl Pts',(15.05316096379,-2.264966732273,6.084705647911)); #480953=CARTESIAN_POINT('Ctrl Pts',(14.95757014023,-2.288765028096,6.072592615712)); #480954=CARTESIAN_POINT('Ctrl Pts',(14.87543486149,-2.340808679449,6.05259637134)); #480955=CARTESIAN_POINT('Ctrl Pts',(14.80557454122,-2.427885594762,6.022599423323)); #480956=CARTESIAN_POINT('Ctrl Pts',(14.7851928606,-2.505405329911,5.998039140527)); #480957=CARTESIAN_POINT('Ctrl Pts',(14.78540083386,-2.552106576287,5.983885330822)); #480958=CARTESIAN_POINT('Ctrl Pts',(15.38161720747,-2.46823011567,5.951074934212)); #480959=CARTESIAN_POINT('Ctrl Pts',(15.37088799405,-2.423450662155,5.965992622234)); #480960=CARTESIAN_POINT('Ctrl Pts',(15.33310132792,-2.352772304711,5.988326821733)); #480961=CARTESIAN_POINT('Ctrl Pts',(15.24518807028,-2.281564189279,6.007932520683)); #480962=CARTESIAN_POINT('Ctrl Pts',(15.15335817233,-2.245997558747,6.014940837945)); #480963=CARTESIAN_POINT('Ctrl Pts',(15.05489097276,-2.239809286972,6.011367354721)); #480964=CARTESIAN_POINT('Ctrl Pts',(14.96008194518,-2.263646924828,5.997585555263)); #480965=CARTESIAN_POINT('Ctrl Pts',(14.87884392141,-2.315017516989,5.975036708559)); #480966=CARTESIAN_POINT('Ctrl Pts',(14.80995420124,-2.400626151065,5.941322885818)); #480967=CARTESIAN_POINT('Ctrl Pts',(14.79004477461,-2.47670585693,5.913762378014)); #480968=CARTESIAN_POINT('Ctrl Pts',(14.79040262635,-2.522549965921,5.897873038828)); #480969=CARTESIAN_POINT('',(15.34856986238,-2.560590363312,6.418044801933)); #480970=CARTESIAN_POINT('Origin',(15.0674071209916,-2.65767617492219,6.37903380292727)); #480971=CARTESIAN_POINT('Ctrl Pts',(15.36567058389,-2.44201429022,6.0089221733)); #480972=CARTESIAN_POINT('Ctrl Pts',(15.36327183956,-2.465772297952,6.072958503766)); #480973=CARTESIAN_POINT('Ctrl Pts',(15.35943499251,-2.496084801624,6.172770342459)); #480974=CARTESIAN_POINT('Ctrl Pts',(15.35356403484,-2.532588602196,6.311765836828)); #480975=CARTESIAN_POINT('Ctrl Pts',(15.35012592975,-2.551139221325,6.383307703321)); #480976=CARTESIAN_POINT('Ctrl Pts',(15.34856986238,-2.560590363312,6.418044801933)); #480977=CARTESIAN_POINT('Ctrl Pts',(15.38746855144,-2.499853239693,7.64766350912)); #480978=CARTESIAN_POINT('Ctrl Pts',(15.381998673,-2.452234790764,7.631832246761)); #480979=CARTESIAN_POINT('Ctrl Pts',(15.35075244499,-2.374902353658,7.607391619252)); #480980=CARTESIAN_POINT('Ctrl Pts',(15.26609268161,-2.293342827757,7.584549941873)); #480981=CARTESIAN_POINT('Ctrl Pts',(15.17339201557,-2.249702550536,7.575018588359)); #480982=CARTESIAN_POINT('Ctrl Pts',(15.07123596003,-2.237445470732,7.576662252456)); #480983=CARTESIAN_POINT('Ctrl Pts',(14.97119420283,-2.257960474822,7.589295021199)); #480984=CARTESIAN_POINT('Ctrl Pts',(14.884596881,-2.308923434949,7.611485934762)); #480985=CARTESIAN_POINT('Ctrl Pts',(14.81094955868,-2.396865915036,7.645477127958)); #480986=CARTESIAN_POINT('Ctrl Pts',(14.78980554226,-2.476206937242,7.673572386138)); #480987=CARTESIAN_POINT('Ctrl Pts',(14.79043061028,-2.523910946357,7.689707640257)); #480988=CARTESIAN_POINT('Ctrl Pts',(15.38477272594,-2.5161265811,7.600085691833)); #480989=CARTESIAN_POINT('Ctrl Pts',(15.3793228141,-2.468511788665,7.584273883511)); #480990=CARTESIAN_POINT('Ctrl Pts',(15.3481197873,-2.391174848559,7.559867705679)); #480991=CARTESIAN_POINT('Ctrl Pts',(15.26352580006,-2.309577117685,7.53706603727)); #480992=CARTESIAN_POINT('Ctrl Pts',(15.17087514693,-2.265882147592,7.527558446425)); #480993=CARTESIAN_POINT('Ctrl Pts',(15.06875220538,-2.253552482011,7.529212075168)); #480994=CARTESIAN_POINT('Ctrl Pts',(14.96871770146,-2.273984601144,7.541839966513)); #480995=CARTESIAN_POINT('Ctrl Pts',(14.88209584843,-2.324864808882,7.564012365763)); #480996=CARTESIAN_POINT('Ctrl Pts',(14.80838114455,-2.412725143987,7.597969947096)); #480997=CARTESIAN_POINT('Ctrl Pts',(14.78715803,-2.492032292564,7.626035446006)); #480998=CARTESIAN_POINT('Ctrl Pts',(14.78772923064,-2.539730333953,7.642153902786)); #480999=CARTESIAN_POINT('Ctrl Pts',(15.38016490263,-2.543672301999,7.518961975146)); #481000=CARTESIAN_POINT('Ctrl Pts',(15.37475486443,-2.496031469593,7.503275557545)); #481001=CARTESIAN_POINT('Ctrl Pts',(15.34363431537,-2.4186370735,7.479070150118)); #481002=CARTESIAN_POINT('Ctrl Pts',(15.2591603939,-2.336927925579,7.456468840254)); #481003=CARTESIAN_POINT('Ctrl Pts',(15.16659806423,-2.293120692079,7.447056366625)); #481004=CARTESIAN_POINT('Ctrl Pts',(15.06453063703,-2.280671184022,7.448716499936)); #481005=CARTESIAN_POINT('Ctrl Pts',(14.9645037704,-2.300988382504,7.461261685034)); #481006=CARTESIAN_POINT('Ctrl Pts',(14.87783220888,-2.351773357929,7.483272600158)); #481007=CARTESIAN_POINT('Ctrl Pts',(14.80399091233,-2.439563484874,7.516974271979)); #481008=CARTESIAN_POINT('Ctrl Pts',(14.78262355054,-2.518870274321,7.544825029536)); #481009=CARTESIAN_POINT('Ctrl Pts',(14.78309761427,-2.56659095396,7.560820637329)); #481010=CARTESIAN_POINT('Ctrl Pts',(15.37158859737,-2.594100011495,7.370157285583)); #481011=CARTESIAN_POINT('Ctrl Pts',(15.36623492584,-2.546502628366,7.354440679638)); #481012=CARTESIAN_POINT('Ctrl Pts',(15.33523978928,-2.469144104302,7.330202261092)); #481013=CARTESIAN_POINT('Ctrl Pts',(15.25096203119,-2.387363679699,7.307594170618)); #481014=CARTESIAN_POINT('Ctrl Pts',(15.15855180704,-2.343407142411,7.298200659245)); #481015=CARTESIAN_POINT('Ctrl Pts',(15.05658821804,-2.330730221746,7.299900899147)); #481016=CARTESIAN_POINT('Ctrl Pts',(14.95658905312,-2.350765616314,7.312503069901)); #481017=CARTESIAN_POINT('Ctrl Pts',(14.86985011481,-2.40124944347,7.334583416957)); #481018=CARTESIAN_POINT('Ctrl Pts',(14.79581285556,-2.488715829226,7.368375251547)); #481019=CARTESIAN_POINT('Ctrl Pts',(14.77421065103,-2.567859901993,7.396294438159)); #481020=CARTESIAN_POINT('Ctrl Pts',(14.7745235132,-2.615528712499,7.41233024988)); #481021=CARTESIAN_POINT('Ctrl Pts',(15.36216514502,-2.648373809314,7.204431617435)); #481022=CARTESIAN_POINT('Ctrl Pts',(15.35699193669,-2.600161823238,7.190607044166)); #481023=CARTESIAN_POINT('Ctrl Pts',(15.32631684269,-2.521820160826,7.169300234254)); #481024=CARTESIAN_POINT('Ctrl Pts',(15.24242370027,-2.439005852761,7.149450827403)); #481025=CARTESIAN_POINT('Ctrl Pts',(15.15025026869,-2.394486680195,7.141225544366)); #481026=CARTESIAN_POINT('Ctrl Pts',(15.04838739885,-2.38162898529,7.14275834668)); #481027=CARTESIAN_POINT('Ctrl Pts',(14.94832425045,-2.401883739571,7.153876572034)); #481028=CARTESIAN_POINT('Ctrl Pts',(14.86134693672,-2.452965651418,7.173326147954)); #481029=CARTESIAN_POINT('Ctrl Pts',(14.78684400132,-2.541499092464,7.203075574296)); #481030=CARTESIAN_POINT('Ctrl Pts',(14.76477792858,-2.621640190578,7.227648750034)); #481031=CARTESIAN_POINT('Ctrl Pts',(14.76479580939,-2.669927205953,7.24176363944)); #481032=CARTESIAN_POINT('Ctrl Pts',(15.3551272365,-2.68642944484,7.039788661349)); #481033=CARTESIAN_POINT('Ctrl Pts',(15.35005246764,-2.637145243114,7.030591177503)); #481034=CARTESIAN_POINT('Ctrl Pts',(15.319601556,-2.55720257708,7.01644182363)); #481035=CARTESIAN_POINT('Ctrl Pts',(15.2360588776,-2.472948692977,7.003306596297)); #481036=CARTESIAN_POINT('Ctrl Pts',(15.14414965672,-2.427858521568,6.997905680647)); #481037=CARTESIAN_POINT('Ctrl Pts',(15.04244837414,-2.415142502553,6.998996647731)); #481038=CARTESIAN_POINT('Ctrl Pts',(14.94238619786,-2.436230523477,7.006457153622)); #481039=CARTESIAN_POINT('Ctrl Pts',(14.85520999236,-2.488751355802,7.019449593809)); #481040=CARTESIAN_POINT('Ctrl Pts',(14.7802298695,-2.579496846821,7.039291390928)); #481041=CARTESIAN_POINT('Ctrl Pts',(14.75762698265,-2.661503882605,7.055669130789)); #481042=CARTESIAN_POINT('Ctrl Pts',(14.75728327118,-2.710895253913,7.065078278556)); #481043=CARTESIAN_POINT('Ctrl Pts',(15.35192838731,-2.699525933834,6.907683213234)); #481044=CARTESIAN_POINT('Ctrl Pts',(15.34651533915,-2.649799401642,6.903878313085)); #481045=CARTESIAN_POINT('Ctrl Pts',(15.31567952822,-2.569394482468,6.898045646592)); #481046=CARTESIAN_POINT('Ctrl Pts',(15.23203487963,-2.485085840129,6.892668089419)); #481047=CARTESIAN_POINT('Ctrl Pts',(15.14028984613,-2.44030244978,6.890490873575)); #481048=CARTESIAN_POINT('Ctrl Pts',(15.0389097203,-2.428175180617,6.89099932666)); #481049=CARTESIAN_POINT('Ctrl Pts',(14.93920513836,-2.450057607295,6.894136911633)); #481050=CARTESIAN_POINT('Ctrl Pts',(14.85229970713,-2.503507482153,6.89955450917)); #481051=CARTESIAN_POINT('Ctrl Pts',(14.77741386619,-2.595420100444,6.907803439621)); #481052=CARTESIAN_POINT('Ctrl Pts',(14.75461775979,-2.678288568206,6.914602917868)); #481053=CARTESIAN_POINT('Ctrl Pts',(14.75405998972,-2.728185643609,6.918510660691)); #481054=CARTESIAN_POINT('Ctrl Pts',(15.35091588972,-2.698343031116,6.808273731027)); #481055=CARTESIAN_POINT('Ctrl Pts',(15.34486965507,-2.648780827014,6.809449552824)); #481056=CARTESIAN_POINT('Ctrl Pts',(15.31323356758,-2.56894207681,6.811260489977)); #481057=CARTESIAN_POINT('Ctrl Pts',(15.22916935522,-2.485718869003,6.812945418134)); #481058=CARTESIAN_POINT('Ctrl Pts',(15.13751002794,-2.441886932645,6.813641779308)); #481059=CARTESIAN_POINT('Ctrl Pts',(15.0365526753,-2.430575579346,6.813508413474)); #481060=CARTESIAN_POINT('Ctrl Pts',(14.93745089355,-2.453034711317,6.812560406087)); #481061=CARTESIAN_POINT('Ctrl Pts',(14.85115305814,-2.506782099312,6.810904572823)); #481062=CARTESIAN_POINT('Ctrl Pts',(14.77679098985,-2.598745514987,6.808373247546)); #481063=CARTESIAN_POINT('Ctrl Pts',(14.75408255719,-2.681472638214,6.806282888201)); #481064=CARTESIAN_POINT('Ctrl Pts',(14.75344367558,-2.731286584546,6.805082102619)); #481065=CARTESIAN_POINT('Ctrl Pts',(15.35149744367,-2.684179551332,6.708587930742)); #481066=CARTESIAN_POINT('Ctrl Pts',(15.34438101443,-2.635526889262,6.714734498955)); #481067=CARTESIAN_POINT('Ctrl Pts',(15.31135725853,-2.557545690656,6.724090522212)); #481068=CARTESIAN_POINT('Ctrl Pts',(15.22648046018,-2.476882968501,6.732597044839)); #481069=CARTESIAN_POINT('Ctrl Pts',(15.13486615859,-2.434868527811,6.73593035661)); #481070=CARTESIAN_POINT('Ctrl Pts',(15.03454762474,-2.4247247082,6.734923555781)); #481071=CARTESIAN_POINT('Ctrl Pts',(14.93644958384,-2.447556283184,6.729686646604)); #481072=CARTESIAN_POINT('Ctrl Pts',(14.85125464676,-2.500876021665,6.720791984189)); #481073=CARTESIAN_POINT('Ctrl Pts',(14.77799204429,-2.591564969645,6.70732787028)); #481074=CARTESIAN_POINT('Ctrl Pts',(14.75569509779,-2.672946033793,6.69625944863)); #481075=CARTESIAN_POINT('Ctrl Pts',(14.75510418402,-2.721971974522,6.689893845588)); #481076=CARTESIAN_POINT('Ctrl Pts',(15.35459309968,-2.651517741387,6.563462742492)); #481077=CARTESIAN_POINT('Ctrl Pts',(15.34602841739,-2.6043893454,6.574309375311)); #481078=CARTESIAN_POINT('Ctrl Pts',(15.31111662044,-2.529325200082,6.59069770703)); #481079=CARTESIAN_POINT('Ctrl Pts',(15.22510511328,-2.452435064396,6.60537474842)); #481080=CARTESIAN_POINT('Ctrl Pts',(15.13351726795,-2.41295784158,6.610914600583)); #481081=CARTESIAN_POINT('Ctrl Pts',(15.03404077908,-2.404290626194,6.608789231333)); #481082=CARTESIAN_POINT('Ctrl Pts',(14.93730958348,-2.427359453058,6.599226581529)); #481083=CARTESIAN_POINT('Ctrl Pts',(14.85366359405,-2.479697366676,6.583252194228)); #481084=CARTESIAN_POINT('Ctrl Pts',(14.78202239652,-2.568073815681,6.559216253571)); #481085=CARTESIAN_POINT('Ctrl Pts',(14.76043834414,-2.647147974176,6.539512032651)); #481086=CARTESIAN_POINT('Ctrl Pts',(14.76002118145,-2.694816248442,6.528171652108)); #481087=CARTESIAN_POINT('Ctrl Pts',(15.35940234675,-2.616417048899,6.44929362427)); #481088=CARTESIAN_POINT('Ctrl Pts',(15.35016205291,-2.570061467986,6.461493211657)); #481089=CARTESIAN_POINT('Ctrl Pts',(15.31436062338,-2.49643345548,6.479846549278)); #481090=CARTESIAN_POINT('Ctrl Pts',(15.22778939793,-2.421360531849,6.496135752176)); #481091=CARTESIAN_POINT('Ctrl Pts',(15.13618293899,-2.383091838929,6.502140985213)); #481092=CARTESIAN_POINT('Ctrl Pts',(15.03707559957,-2.375121291099,6.4995180441)); #481093=CARTESIAN_POINT('Ctrl Pts',(14.94097974567,-2.398295047243,6.488544879365)); #481094=CARTESIAN_POINT('Ctrl Pts',(14.85808823919,-2.450154495431,6.470385148616)); #481095=CARTESIAN_POINT('Ctrl Pts',(14.78729001826,-2.537405130804,6.443154922708)); #481096=CARTESIAN_POINT('Ctrl Pts',(14.76614567066,-2.615340746704,6.420867824025)); #481097=CARTESIAN_POINT('Ctrl Pts',(14.76588602928,-2.662323712752,6.408035564164)); #481098=CARTESIAN_POINT('Ctrl Pts',(15.36346329409,-2.594357692852,6.364425318052)); #481099=CARTESIAN_POINT('Ctrl Pts',(15.35431385074,-2.54782730976,6.376312608275)); #481100=CARTESIAN_POINT('Ctrl Pts',(15.31860513095,-2.473903970348,6.394215000234)); #481101=CARTESIAN_POINT('Ctrl Pts',(15.23203085599,-2.398528612789,6.410139313377)); #481102=CARTESIAN_POINT('Ctrl Pts',(15.14034810486,-2.360119562165,6.416044578867)); #481103=CARTESIAN_POINT('Ctrl Pts',(15.04112066757,-2.352151896547,6.413544142312)); #481104=CARTESIAN_POINT('Ctrl Pts',(14.94489712633,-2.375473215045,6.40290369022)); #481105=CARTESIAN_POINT('Ctrl Pts',(14.86190659623,-2.427603701098,6.385254662579)); #481106=CARTESIAN_POINT('Ctrl Pts',(14.79106151671,-2.515265357493,6.358768091362)); #481107=CARTESIAN_POINT('Ctrl Pts',(14.76996351989,-2.5935222798,6.337081196243)); #481108=CARTESIAN_POINT('Ctrl Pts',(14.76976215631,-2.640673758364,6.324595784766)); #481109=CARTESIAN_POINT('',(15.375553055531,-2.46782197927729,7.59081427993254)); #481110=CARTESIAN_POINT('Ctrl Pts',(15.375553055524,-2.46782197927904,7.59081427993353)); #481111=CARTESIAN_POINT('Ctrl Pts',(15.3737050098221,-2.47920061379971, 7.55766569656713)); #481112=CARTESIAN_POINT('Ctrl Pts',(15.3718229045789,-2.49065637013281, 7.5241024325678)); #481113=CARTESIAN_POINT('Ctrl Pts',(15.3698935023163,-2.50226592166075, 7.49000247337154)); #481114=CARTESIAN_POINT('Ctrl Pts',(15.3681785031289,-2.51258537231316, 7.45969184118835)); #481115=CARTESIAN_POINT('Ctrl Pts',(15.3664256175899,-2.52302933016319, 7.42895270485281)); #481116=CARTESIAN_POINT('Ctrl Pts',(15.3646663688548,-2.53341389161488, 7.39805662973944)); #481117=CARTESIAN_POINT('Ctrl Pts',(15.3644989263594,-2.5344022776511,7.39511599046852)); #481118=CARTESIAN_POINT('Ctrl Pts',(15.3643314298485,-2.5353901041765,7.39217396229666)); #481119=CARTESIAN_POINT('Ctrl Pts',(15.3641639088477,-2.53637719877076, 7.3892308006159)); #481120=CARTESIAN_POINT('Ctrl Pts',(15.3626459697708,-2.54532144725166, 7.36256226292434)); #481121=CARTESIAN_POINT('Ctrl Pts',(15.3611257547195,-2.55420741459797, 7.33580091886035)); #481122=CARTESIAN_POINT('Ctrl Pts',(15.3596279614685,-2.56286764835478, 7.30891172937931)); #481123=CARTESIAN_POINT('Ctrl Pts',(15.358958756834,-2.56673698650436,7.29689780809359)); #481124=CARTESIAN_POINT('Ctrl Pts',(15.3582983182889,-2.57057413005159, 7.28486503637186)); #481125=CARTESIAN_POINT('Ctrl Pts',(15.3576475156667,-2.57435545311815, 7.27281255943168)); #481126=CARTESIAN_POINT('Ctrl Pts',(15.3565086110778,-2.58097276848463, 7.25172072478636)); #481127=CARTESIAN_POINT('Ctrl Pts',(15.3553990867368,-2.5874191665714,7.23056856992441)); #481128=CARTESIAN_POINT('Ctrl Pts',(15.354325608106,-2.593567525526,7.20935119193882)); #481129=CARTESIAN_POINT('Ctrl Pts',(15.3540339211629,-2.59523816523617, 7.20358597971386)); #481130=CARTESIAN_POINT('Ctrl Pts',(15.3537449144235,-2.59688679166515, 7.19781600369193)); #481131=CARTESIAN_POINT('Ctrl Pts',(15.3534587404534,-2.59851085393903, 7.19204106715066)); #481132=CARTESIAN_POINT('Ctrl Pts',(15.3529550222579,-2.60136949869213, 7.18187612932246)); #481133=CARTESIAN_POINT('Ctrl Pts',(15.3524600797153,-2.6041520547427,7.17169581375863)); #481134=CARTESIAN_POINT('Ctrl Pts',(15.3519742549068,-2.60684468794927, 7.1614998278069)); #481135=CARTESIAN_POINT('Ctrl Pts',(15.3508315052101,-2.61317825866479, 7.13751698533058)); #481136=CARTESIAN_POINT('Ctrl Pts',(15.3497390116996,-2.61901438949297, 7.11344699382281)); #481137=CARTESIAN_POINT('Ctrl Pts',(15.3487026838825,-2.62417332219823, 7.08928209794724)); #481138=CARTESIAN_POINT('Ctrl Pts',(15.3476663560654,-2.62933225490349, 7.06511720207167)); #481139=CARTESIAN_POINT('Ctrl Pts',(15.3466862306173,-2.63381394495951, 7.04085743563477)); #481140=CARTESIAN_POINT('Ctrl Pts',(15.3457700478627,-2.63743892762107, 7.01649331898331)); #481141=CARTESIAN_POINT('Ctrl Pts',(15.3455547042683,-2.6382909593713,7.01076667064005)); #481142=CARTESIAN_POINT('Ctrl Pts',(15.3453428484449,-2.63909575793425, 7.00503325606847)); #481143=CARTESIAN_POINT('Ctrl Pts',(15.3451352347565,-2.6398529719114,6.99929410603016)); #481144=CARTESIAN_POINT('Ctrl Pts',(15.3441929187486,-2.64328981105966, 6.97324527950993)); #481145=CARTESIAN_POINT('Ctrl Pts',(15.343337884625,-2.64574550997547,6.94708200716235)); #481146=CARTESIAN_POINT('Ctrl Pts',(15.3426273074402,-2.64716559093393, 6.92089214194294)); #481147=CARTESIAN_POINT('Ctrl Pts',(15.3424748594815,-2.64747025656068, 6.91527334137103)); #481148=CARTESIAN_POINT('Ctrl Pts',(15.342329068929,-2.64772729568629,6.90965313318497)); #481149=CARTESIAN_POINT('Ctrl Pts',(15.342190520181,-2.64793623518957,6.90403205875926)); #481150=CARTESIAN_POINT('Ctrl Pts',(15.3418872388004,-2.64839360100408, 6.891727601044)); #481151=CARTESIAN_POINT('Ctrl Pts',(15.3416186321587,-2.64862046235862, 6.87941923686911)); #481152=CARTESIAN_POINT('Ctrl Pts',(15.3413924845777,-2.64861517712638, 6.86710192204619)); #481153=CARTESIAN_POINT('Ctrl Pts',(15.3413351657292,-2.64861383754351, 6.86398000360931)); #481154=CARTESIAN_POINT('Ctrl Pts',(15.3412805383027,-2.64859742135015, 6.86085806839618)); #481155=CARTESIAN_POINT('Ctrl Pts',(15.3412287078048,-2.6485658440949,6.85773623419976)); #481156=CARTESIAN_POINT('Ctrl Pts',(15.3409608348445,-2.64840264495171, 6.8416018152328)); #481157=CARTESIAN_POINT('Ctrl Pts',(15.3407848117562,-2.6478902851519,6.82548362938009)); #481158=CARTESIAN_POINT('Ctrl Pts',(15.3406849474805,-2.646923496478,6.8093780995333)); #481159=CARTESIAN_POINT('Ctrl Pts',(15.3405549737911,-2.64566521777996, 6.78841669848069)); #481160=CARTESIAN_POINT('Ctrl Pts',(15.340554557677,-2.64363682047122,6.76747456861675)); #481161=CARTESIAN_POINT('Ctrl Pts',(15.3406558077134,-2.64091608883388, 6.74659676192934)); #481162=CARTESIAN_POINT('Ctrl Pts',(15.3407025493352,-2.63966007536487, 6.73695861679122)); #481163=CARTESIAN_POINT('Ctrl Pts',(15.3407708183396,-2.63825677324096, 6.72733491843578)); #481164=CARTESIAN_POINT('Ctrl Pts',(15.3408570690291,-2.6367149085492,6.71773353771636)); #481165=CARTESIAN_POINT('Ctrl Pts',(15.3408675191715,-2.63652809606556, 6.71657023344708)); #481166=CARTESIAN_POINT('Ctrl Pts',(15.3408781813037,-2.63633906957334, 6.71540716800337)); #481167=CARTESIAN_POINT('Ctrl Pts',(15.3408890597043,-2.63614787515121, 6.71424433481167)); #481168=CARTESIAN_POINT('Ctrl Pts',(15.341109493231,-2.63227362357052,6.69068136534377)); #481169=CARTESIAN_POINT('Ctrl Pts',(15.3414182737859,-2.62751165022286, 6.66721620927618)); #481170=CARTESIAN_POINT('Ctrl Pts',(15.3418166179614,-2.62212148781092, 6.64373394585404)); #481171=CARTESIAN_POINT('Ctrl Pts',(15.3419619172069,-2.62015538266913, 6.63516860118972)); #481172=CARTESIAN_POINT('Ctrl Pts',(15.3421192594423,-2.61810572936431, 6.62660105442459)); #481173=CARTESIAN_POINT('Ctrl Pts',(15.3422890785522,-2.61598506567149, 6.61802592260162)); #481174=CARTESIAN_POINT('Ctrl Pts',(15.3423024627534,-2.61581792671157, 6.61735007823323)); #481175=CARTESIAN_POINT('Ctrl Pts',(15.3423159245157,-2.61565034645019, 6.6166741865848)); #481176=CARTESIAN_POINT('Ctrl Pts',(15.342329464147,-2.61548233157182,6.61599824464637)); #481177=CARTESIAN_POINT('Ctrl Pts',(15.3429393123205,-2.60791465381542, 6.58555266255512)); #481178=CARTESIAN_POINT('Ctrl Pts',(15.343702486812,-2.59945635841233,6.55500077721247)); #481179=CARTESIAN_POINT('Ctrl Pts',(15.3446450130362,-2.59068445415459, 6.52411480234661)); #481180=CARTESIAN_POINT('Ctrl Pts',(15.3457075010342,-2.58079608950789, 6.48929775772684)); #481181=CARTESIAN_POINT('Ctrl Pts',(15.3469984355863,-2.57050850171333, 6.45405354805617)); #481182=CARTESIAN_POINT('Ctrl Pts',(15.3485698623129,-2.56059036333516, 6.41804480192369)); #481183=CARTESIAN_POINT('Origin',(15.0875296840838,-2.54095415603675,7.63197520865788)); #481184=CARTESIAN_POINT('Ctrl Pts',(15.411663334,-2.34591684656,8.08678735917)); #481185=CARTESIAN_POINT('Ctrl Pts',(15.40573009944,-2.298991631846,8.070786594864)); #481186=CARTESIAN_POINT('Ctrl Pts',(15.37408017961,-2.222566880044,8.045929286282)); #481187=CARTESIAN_POINT('Ctrl Pts',(15.28925457561,-2.141928213521,8.022513852019)); #481188=CARTESIAN_POINT('Ctrl Pts',(15.19607984156,-2.098850583216,8.012622421677)); #481189=CARTESIAN_POINT('Ctrl Pts',(15.09361792867,-2.087266165433,8.014195304138)); #481190=CARTESIAN_POINT('Ctrl Pts',(14.99352203808,-2.108493189156,8.027053859182)); #481191=CARTESIAN_POINT('Ctrl Pts',(14.90717617643,-2.160116757128,8.049735417641)); #481192=CARTESIAN_POINT('Ctrl Pts',(14.83451219387,-2.248262642109,8.084374854581)); #481193=CARTESIAN_POINT('Ctrl Pts',(14.81406484989,-2.327183375379,8.112949428608)); #481194=CARTESIAN_POINT('Ctrl Pts',(14.81492411738,-2.374363794713,8.129316446001)); #481195=CARTESIAN_POINT('Ctrl Pts',(15.40211737601,-2.407344810978,7.911439076979)); #481196=CARTESIAN_POINT('Ctrl Pts',(15.39626376867,-2.360400470709,7.895598866089)); #481197=CARTESIAN_POINT('Ctrl Pts',(15.36478295229,-2.283907848543,7.871007495572)); #481198=CARTESIAN_POINT('Ctrl Pts',(15.28021042374,-2.203077338785,7.847872094193)); #481199=CARTESIAN_POINT('Ctrl Pts',(15.18722619831,-2.159771867965,7.838125816919)); #481200=CARTESIAN_POINT('Ctrl Pts',(15.08488699838,-2.147915131515,7.839728643055)); #481201=CARTESIAN_POINT('Ctrl Pts',(14.9848122934,-2.168854091662,7.852498827081)); #481202=CARTESIAN_POINT('Ctrl Pts',(14.89836436645,-2.220210711842,7.874986235129)); #481203=CARTESIAN_POINT('Ctrl Pts',(14.82543371723,-2.308118707223,7.909309113351)); #481204=CARTESIAN_POINT('Ctrl Pts',(14.804680142,-2.386971469148,7.937615071203)); #481205=CARTESIAN_POINT('Ctrl Pts',(14.8053332657,-2.434163179193,7.953829296633)); #481206=CARTESIAN_POINT('Ctrl Pts',(15.39232119923,-2.467947342489,7.73577610821)); #481207=CARTESIAN_POINT('Ctrl Pts',(15.38654669591,-2.420985494438,7.720092844558)); #481208=CARTESIAN_POINT('Ctrl Pts',(15.35523357965,-2.344428273844,7.695761063693)); #481209=CARTESIAN_POINT('Ctrl Pts',(15.27091187192,-2.263411282983,7.672898413901)); #481210=CARTESIAN_POINT('Ctrl Pts',(15.17811657761,-2.219882727265,7.663293058732)); #481211=CARTESIAN_POINT('Ctrl Pts',(15.07589945321,-2.207758007102,7.66492425237)); #481212=CARTESIAN_POINT('Ctrl Pts',(14.97584668788,-2.228412202174,7.677607335928)); #481213=CARTESIAN_POINT('Ctrl Pts',(14.8892990684,-2.279503530938,7.69990447647)); #481214=CARTESIAN_POINT('Ctrl Pts',(14.81610629246,-2.367173076764,7.733917594636)); #481215=CARTESIAN_POINT('Ctrl Pts',(14.79505089834,-2.445954989483,7.7619608709)); #481216=CARTESIAN_POINT('Ctrl Pts',(14.79550056363,-2.493155394052,7.778025657124)); #481217=CARTESIAN_POINT('Ctrl Pts',(15.38230305649,-2.527723613841,7.559907649089)); #481218=CARTESIAN_POINT('Ctrl Pts',(15.37660707812,-2.480745688805,7.544377972554)); #481219=CARTESIAN_POINT('Ctrl Pts',(15.34546016732,-2.404126815378,7.520299871255)); #481220=CARTESIAN_POINT('Ctrl Pts',(15.26138690887,-2.32292832353,7.497703199907)); #481221=CARTESIAN_POINT('Ctrl Pts',(15.1687788752,-2.279181209284,7.488234832621)); #481222=CARTESIAN_POINT('Ctrl Pts',(15.06668310398,-2.266792750646,7.489892927316)); #481223=CARTESIAN_POINT('Ctrl Pts',(14.96665295783,-2.287165553353,7.502490087888)); #481224=CARTESIAN_POINT('Ctrl Pts',(14.88000796065,-2.337993490744,7.524600563347)); #481225=CARTESIAN_POINT('Ctrl Pts',(14.80655756262,-2.425424486746,7.55831022939)); #481226=CARTESIAN_POINT('Ctrl Pts',(14.78520477947,-2.504133117466,7.586096330219)); #481227=CARTESIAN_POINT('Ctrl Pts',(14.78545370554,-2.551339896866,7.602014787953)); #481228=CARTESIAN_POINT('',(15.3780588585403,-2.45125173489278,7.63779147497479)); #481229=CARTESIAN_POINT('Ctrl Pts',(15.3780580847974,-2.45125305276677, 7.63778424735914)); #481230=CARTESIAN_POINT('Ctrl Pts',(15.3779479411185,-2.45192396876351, 7.6358216193797)); #481231=CARTESIAN_POINT('Ctrl Pts',(15.3778400269885,-2.4526023839448,7.63386112535068)); #481232=CARTESIAN_POINT('Ctrl Pts',(15.3777337755438,-2.45328639841715, 7.63190222517701)); #481233=CARTESIAN_POINT('Ctrl Pts',(15.3773618954875,-2.45568044907037, 7.6250460745692)); #481234=CARTESIAN_POINT('Ctrl Pts',(15.3770103596746,-2.45814309739783, 7.61820945219131)); #481235=CARTESIAN_POINT('Ctrl Pts',(15.3766549246471,-2.46059286901235, 7.61136919241936)); #481236=CARTESIAN_POINT('Ctrl Pts',(15.3764772071334,-2.46181775481961, 7.60794906253339)); #481237=CARTESIAN_POINT('Ctrl Pts',(15.3762985206734,-2.46303941987337, 7.60452802243161)); #481238=CARTESIAN_POINT('Ctrl Pts',(15.3761158715306,-2.4642476701582,7.60110317102991)); #481239=CARTESIAN_POINT('Ctrl Pts',(15.3760245469592,-2.46485179530061, 7.59939074532907)); #481240=CARTESIAN_POINT('Ctrl Pts',(15.3759322320907,-2.46545256665335, 7.59767736674896)); #481241=CARTESIAN_POINT('Ctrl Pts',(15.3758385538435,-2.46604870967195, 7.59596267249036)); #481242=CARTESIAN_POINT('Ctrl Pts',(15.3757448755964,-2.46664485269055, 7.59424797823175)); #481243=CARTESIAN_POINT('Ctrl Pts',(15.375649834058,-2.46723636735224,7.59253196828196)); #481244=CARTESIAN_POINT('Ctrl Pts',(15.3755530555451,-2.46782197927367, 7.59081427993051)); #481245=CARTESIAN_POINT('',(14.9133093601628,-2.17594690122798,8.0042455044339)); #481246=CARTESIAN_POINT('Ctrl Pts',(15.3780591468639,-2.45125109877449, 7.63779512285278)); #481247=CARTESIAN_POINT('Ctrl Pts',(15.3749428079349,-2.44004172452487, 7.63608673951556)); #481248=CARTESIAN_POINT('Ctrl Pts',(15.3711863408336,-2.42897960958733, 7.63460323150159)); #481249=CARTESIAN_POINT('Ctrl Pts',(15.3617585173843,-2.40545650885235, 7.63198759797203)); #481250=CARTESIAN_POINT('Ctrl Pts',(15.3558768548715,-2.39308023902563, 7.63095639286662)); #481251=CARTESIAN_POINT('Ctrl Pts',(15.3347054189934,-2.35463176557871, 7.62914044946863)); #481252=CARTESIAN_POINT('Ctrl Pts',(15.316805561031,-2.330392352245,7.63018020884441)); #481253=CARTESIAN_POINT('Ctrl Pts',(15.2700175448287,-2.27990122146581, 7.63942007307538)); #481254=CARTESIAN_POINT('Ctrl Pts',(15.2403822600721,-2.25634587941132, 7.64960074632251)); #481255=CARTESIAN_POINT('Ctrl Pts',(15.1772649648516,-2.2168439117915,7.68135146835641)); #481256=CARTESIAN_POINT('Ctrl Pts',(15.1448306356331,-2.20215738671271, 7.70298153739269)); #481257=CARTESIAN_POINT('Ctrl Pts',(15.0727924247399,-2.17739658258928, 7.76322562043072)); #481258=CARTESIAN_POINT('Ctrl Pts',(15.0350517590642,-2.17079378916892, 7.80464694909761)); #481259=CARTESIAN_POINT('Ctrl Pts',(14.9672490789938,-2.16658635781261, 7.8975478446165)); #481260=CARTESIAN_POINT('Ctrl Pts',(14.9373905497488,-2.16975867406538, 7.95028119895498)); #481261=CARTESIAN_POINT('Ctrl Pts',(14.9133093598102,-2.17594690220486, 8.00424550416454)); #481262=CARTESIAN_POINT('Ctrl Pts',(14.9133093603996,-2.1759469014851,8.004245504761)); #481263=CARTESIAN_POINT('Ctrl Pts',(14.8864957841732,-2.20064720301486, 8.004245504761)); #481264=CARTESIAN_POINT('Ctrl Pts',(14.8640559583416,-2.23003409411156, 8.00424550475747)); #481265=CARTESIAN_POINT('Ctrl Pts',(14.829714109243,-2.29470538660181,8.00424550475747)); #481266=CARTESIAN_POINT('Ctrl Pts',(14.8178946154407,-2.33027423418838, 8.004245504761)); #481267=CARTESIAN_POINT('Ctrl Pts',(14.8121227732587,-2.36664838940642, 8.004245504761)); #481268=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #481269=CARTESIAN_POINT('Ctrl Pts',(14.9417134545892,-1.32108250141064, 8.004245504761)); #481270=CARTESIAN_POINT('Ctrl Pts',(14.9295522610752,-1.45833257463571, 8.004245504761)); #481271=CARTESIAN_POINT('Ctrl Pts',(14.9228583672251,-1.59700734302186, 8.00424550478067)); #481272=CARTESIAN_POINT('Ctrl Pts',(14.914963704538,-1.88285642758153,8.00424550478067)); #481273=CARTESIAN_POINT('Ctrl Pts',(14.9139773927324,-2.06444368529894, 8.004245504761)); #481274=CARTESIAN_POINT('Ctrl Pts',(14.9133093595503,-2.17594690122431, 8.004245504761)); #481275=CARTESIAN_POINT('Ctrl Pts',(15.30594462037,-0.7563334809473,6.931914244376)); #481276=CARTESIAN_POINT('Ctrl Pts',(15.27042768566,-0.741065990631,6.952482508382)); #481277=CARTESIAN_POINT('Ctrl Pts',(15.21584865282,-0.7131410449451,6.990497249043)); #481278=CARTESIAN_POINT('Ctrl Pts',(15.15025935125,-0.6687646026167,7.051710897215)); #481279=CARTESIAN_POINT('Ctrl Pts',(15.10247067426,-0.6281893222944,7.108143902647)); #481280=CARTESIAN_POINT('Ctrl Pts',(15.06276866571,-0.5849467353969,7.16871313797)); #481281=CARTESIAN_POINT('Ctrl Pts',(15.03165342716,-0.5395815159896,7.232655667307)); #481282=CARTESIAN_POINT('Ctrl Pts',(15.00951689257,-0.4926650770938,7.29916606058)); #481283=CARTESIAN_POINT('Ctrl Pts',(14.99422807466,-0.4358300050441,7.380175240637)); #481284=CARTESIAN_POINT('Ctrl Pts',(14.99353191425,-0.3945632885504,7.439389370242)); #481285=CARTESIAN_POINT('Ctrl Pts',(14.99696241039,-0.369648122754,7.475286674133)); #481286=CARTESIAN_POINT('Ctrl Pts',(15.31294758873,-0.8014133103451,6.956181657896)); #481287=CARTESIAN_POINT('Ctrl Pts',(15.27653282395,-0.7862502799272,6.9767341654)); #481288=CARTESIAN_POINT('Ctrl Pts',(15.22052776445,-0.7584152207858,7.014922712617)); #481289=CARTESIAN_POINT('Ctrl Pts',(15.15316960511,-0.7140068038801,7.076782654197)); #481290=CARTESIAN_POINT('Ctrl Pts',(15.10407171623,-0.6733162488204,7.133999618365)); #481291=CARTESIAN_POINT('Ctrl Pts',(15.06327710558,-0.6298874328011,7.195560157021)); #481292=CARTESIAN_POINT('Ctrl Pts',(15.03131515732,-0.5842843409716,7.260665138198)); #481293=CARTESIAN_POINT('Ctrl Pts',(15.00860063389,-0.5370991772795,7.328469463589)); #481294=CARTESIAN_POINT('Ctrl Pts',(14.99296359615,-0.4799343338985,7.411120525312)); #481295=CARTESIAN_POINT('Ctrl Pts',(14.99233778477,-0.438444887727,7.471564739173)); #481296=CARTESIAN_POINT('Ctrl Pts',(14.99593122534,-0.4134134231605,7.508201618801)); #481297=CARTESIAN_POINT('Ctrl Pts',(15.32557188341,-0.8790666333222,6.996646364215)); #481298=CARTESIAN_POINT('Ctrl Pts',(15.28753285301,-0.8641095188757,7.017105539565)); #481299=CARTESIAN_POINT('Ctrl Pts',(15.22893683601,-0.8364646330553,7.05551358753)); #481300=CARTESIAN_POINT('Ctrl Pts',(15.15834852704,-0.7920355280698,7.118437307189)); #481301=CARTESIAN_POINT('Ctrl Pts',(15.10685141646,-0.7511669925074,7.177000500649)); #481302=CARTESIAN_POINT('Ctrl Pts',(15.06404795101,-0.7074313468601,7.240297712812)); #481303=CARTESIAN_POINT('Ctrl Pts',(15.03052378464,-0.6614279744922,7.30746214998)); #481304=CARTESIAN_POINT('Ctrl Pts',(15.00673760555,-0.6137872981718,7.377574189456)); #481305=CARTESIAN_POINT('Ctrl Pts',(14.99044718809,-0.5560637456529,7.463164772457)); #481306=CARTESIAN_POINT('Ctrl Pts',(14.98993983828,-0.514200769515,7.525816188432)); #481307=CARTESIAN_POINT('Ctrl Pts',(14.99382580879,-0.4889780617726,7.563779139559)); #481308=CARTESIAN_POINT('Ctrl Pts',(15.34313262379,-0.9900881250418,7.052808251706)); #481309=CARTESIAN_POINT('Ctrl Pts',(15.30277317222,-0.9754366448965,7.072979558084)); #481310=CARTESIAN_POINT('Ctrl Pts',(15.24044910585,-0.9480817720342,7.111476048374)); #481311=CARTESIAN_POINT('Ctrl Pts',(15.16517645096,-0.903647978727,7.175674171342)); #481312=CARTESIAN_POINT('Ctrl Pts',(15.11018264415,-0.862546632758,7.235997238698)); #481313=CARTESIAN_POINT('Ctrl Pts',(15.06443986519,-0.818396102416,7.301652014828)); #481314=CARTESIAN_POINT('Ctrl Pts',(15.02862277246,-0.771848299453,7.371669595313)); #481315=CARTESIAN_POINT('Ctrl Pts',(15.00325962451,-0.723590501892,7.445016790576)); #481316=CARTESIAN_POINT('Ctrl Pts',(14.98600480682,-0.6651188951867,7.534756111172)); #481317=CARTESIAN_POINT('Ctrl Pts',(14.98566893567,-0.6227702782494,7.600534909213)); #481318=CARTESIAN_POINT('Ctrl Pts',(14.98998342208,-0.5973093664727,7.640374334947)); #481319=CARTESIAN_POINT('Ctrl Pts',(15.3606541312,-1.088125765651,7.099592377347)); #481320=CARTESIAN_POINT('Ctrl Pts',(15.31801647393,-1.073817800023,7.119353493461)); #481321=CARTESIAN_POINT('Ctrl Pts',(15.252005162,-1.046818858436,7.157751390826)); #481322=CARTESIAN_POINT('Ctrl Pts',(15.1720589055,-1.002476868279,7.223000735546)); #481323=CARTESIAN_POINT('Ctrl Pts',(15.11355497474,-0.961226116902,7.284920420835)); #481324=CARTESIAN_POINT('Ctrl Pts',(15.06484419606,-0.916745541905,7.352793118691)); #481325=CARTESIAN_POINT('Ctrl Pts',(15.02669656339,-0.869739276499,7.425545070761)); #481326=CARTESIAN_POINT('Ctrl Pts',(14.99971507419,-0.820951394769,7.502025487586)); #481327=CARTESIAN_POINT('Ctrl Pts',(14.98144678343,-0.7618364156827,7.59580654702)); #481328=CARTESIAN_POINT('Ctrl Pts',(14.98124961256,-0.7190787982426,7.664642413138)); #481329=CARTESIAN_POINT('Ctrl Pts',(14.98597398295,-0.6934268911978,7.706314070784)); #481330=CARTESIAN_POINT('Ctrl Pts',(15.38867508487,-1.219248180356,7.157443884939)); #481331=CARTESIAN_POINT('Ctrl Pts',(15.34252304924,-1.205552129374,7.176350592097)); #481332=CARTESIAN_POINT('Ctrl Pts',(15.27077182037,-1.17923739597,7.214274946823)); #481333=CARTESIAN_POINT('Ctrl Pts',(15.18347173075,-1.135220637023,7.28080445659)); #481334=CARTESIAN_POINT('Ctrl Pts',(15.11940309478,-1.093890111253,7.34496832616)); #481335=CARTESIAN_POINT('Ctrl Pts',(15.06595321851,-1.049046917495,7.416108043729)); #481336=CARTESIAN_POINT('Ctrl Pts',(15.02405467886,-1.00147644038,7.492979913132)); #481337=CARTESIAN_POINT('Ctrl Pts',(14.9944384679,-0.952011679409,7.574240493255)); #481338=CARTESIAN_POINT('Ctrl Pts',(14.97447460319,-0.8920708038014,7.674230473536)); #481339=CARTESIAN_POINT('Ctrl Pts',(14.97443193233,-0.8488034183397,7.747781161949)); #481340=CARTESIAN_POINT('Ctrl Pts',(14.97976667777,-0.8229322232872,7.792274945176)); #481341=CARTESIAN_POINT('Ctrl Pts',(15.4284350742,-1.384422075036,7.225244178101)); #481342=CARTESIAN_POINT('Ctrl Pts',(15.37743756012,-1.371675449791,7.242410907282)); #481343=CARTESIAN_POINT('Ctrl Pts',(15.29764728701,-1.346479181823,7.278870082599)); #481344=CARTESIAN_POINT('Ctrl Pts',(15.19986956959,-1.303149767936,7.346309953329)); #481345=CARTESIAN_POINT('Ctrl Pts',(15.12778444867,-1.261907199242,7.41302748089)); #481346=CARTESIAN_POINT('Ctrl Pts',(15.06744308633,-1.216760850297,7.488298933736)); #481347=CARTESIAN_POINT('Ctrl Pts',(15.02004192508,-1.168612523097,7.570624976904)); #481348=CARTESIAN_POINT('Ctrl Pts',(14.98652059824,-1.118423707872,7.658367251488)); #481349=CARTESIAN_POINT('Ctrl Pts',(14.96399266624,-1.057611223045,7.766885426647)); #481350=CARTESIAN_POINT('Ctrl Pts',(14.96410451794,-1.013857697247,7.846959241735)); #481351=CARTESIAN_POINT('Ctrl Pts',(14.97028589105,-0.9878291621601,7.895348252115)); #481352=CARTESIAN_POINT('Ctrl Pts',(15.49820764336,-1.649767990272,7.327322715179)); #481353=CARTESIAN_POINT('Ctrl Pts',(15.43905931476,-1.638777394494,7.340105387133)); #481354=CARTESIAN_POINT('Ctrl Pts',(15.34537509735,-1.615735125532,7.371996246579)); #481355=CARTESIAN_POINT('Ctrl Pts',(15.22896216504,-1.573953243018,7.438813831193)); #481356=CARTESIAN_POINT('Ctrl Pts',(15.14236381133,-1.533198457168,7.508531596753)); #481357=CARTESIAN_POINT('Ctrl Pts',(15.06936453962,-1.487900890232,7.589953188917)); #481358=CARTESIAN_POINT('Ctrl Pts',(15.0117286222,-1.439172275444,7.68109282006)); #481359=CARTESIAN_POINT('Ctrl Pts',(14.97084842924,-1.388207642344,7.779732031455)); #481360=CARTESIAN_POINT('Ctrl Pts',(14.94338206266,-1.326535678334,7.902884297588)); #481361=CARTESIAN_POINT('Ctrl Pts',(14.94363948753,-1.282495064224,7.994278767235)); #481362=CARTESIAN_POINT('Ctrl Pts',(14.95130660546,-1.256569155733,8.049402947779)); #481363=CARTESIAN_POINT('Ctrl Pts',(15.58961443528,-1.981300497997,7.449128821335)); #481364=CARTESIAN_POINT('Ctrl Pts',(15.52057919675,-1.972640042366,7.453201168076)); #481365=CARTESIAN_POINT('Ctrl Pts',(15.40910082612,-1.952579108871,7.474656035175)); #481366=CARTESIAN_POINT('Ctrl Pts',(15.26751276038,-1.913252792728,7.535802315001)); #481367=CARTESIAN_POINT('Ctrl Pts',(15.16069109071,-1.873628890252,7.606311006702)); #481368=CARTESIAN_POINT('Ctrl Pts',(15.06962717919,-1.8287634579,7.693593660751)); #481369=CARTESIAN_POINT('Ctrl Pts',(14.99709645707,-1.780059575428,7.794941311562)); #481370=CARTESIAN_POINT('Ctrl Pts',(14.94530674775,-1.729039338412,7.907223413316)); #481371=CARTESIAN_POINT('Ctrl Pts',(14.91031802872,-1.667615833474,8.049390721157)); #481372=CARTESIAN_POINT('Ctrl Pts',(14.91053325376,-1.624420973771,8.155787309232)); #481373=CARTESIAN_POINT('Ctrl Pts',(14.92021523222,-1.599465171024,8.219780443577)); #481374=CARTESIAN_POINT('Ctrl Pts',(15.6971765946,-2.381440885194,7.593234015902)); #481375=CARTESIAN_POINT('Ctrl Pts',(15.61861621652,-2.37489544152,7.581519578122)); #481376=CARTESIAN_POINT('Ctrl Pts',(15.4875839666,-2.357633695898,7.582150892953)); #481377=CARTESIAN_POINT('Ctrl Pts',(15.31500407397,-2.320944534979,7.627261793787)); #481378=CARTESIAN_POINT('Ctrl Pts',(15.1817497755,-2.282940840459,7.692790422362)); #481379=CARTESIAN_POINT('Ctrl Pts',(15.06601097615,-2.239406030994,7.78313366368)); #481380=CARTESIAN_POINT('Ctrl Pts',(14.97241207623,-2.192119688113,7.894614034829)); #481381=CARTESIAN_POINT('Ctrl Pts',(14.90468719636,-2.143015880262,8.02272092724)); #481382=CARTESIAN_POINT('Ctrl Pts',(14.85820875599,-2.08495386981,8.188404847933)); #481383=CARTESIAN_POINT('Ctrl Pts',(14.85772519698,-2.045602669072,8.31395628568)); #481384=CARTESIAN_POINT('Ctrl Pts',(14.86989784605,-2.023728720292,8.389159702219)); #481385=CARTESIAN_POINT('Ctrl Pts',(15.7802093409,-2.717622711238,7.713464610439)); #481386=CARTESIAN_POINT('Ctrl Pts',(15.69733649404,-2.711213648669,7.684012930758)); #481387=CARTESIAN_POINT('Ctrl Pts',(15.55369252302,-2.694109217116,7.659596168286)); #481388=CARTESIAN_POINT('Ctrl Pts',(15.35634137523,-2.657925539591,7.68216232545)); #481389=CARTESIAN_POINT('Ctrl Pts',(15.19990645299,-2.620795956449,7.737371702229)); #481390=CARTESIAN_POINT('Ctrl Pts',(15.0611309188,-2.578891879176,7.825962466488)); #481391=CARTESIAN_POINT('Ctrl Pts',(14.94690926952,-2.534320510972,7.94347615747)); #481392=CARTESIAN_POINT('Ctrl Pts',(14.86290869296,-2.489329068809,8.084028176074)); #481393=CARTESIAN_POINT('Ctrl Pts',(14.80400801979,-2.43812111925,8.269896739672)); #481394=CARTESIAN_POINT('Ctrl Pts',(14.80192569889,-2.405714654391,8.412551101962)); #481395=CARTESIAN_POINT('Ctrl Pts',(14.81602153614,-2.388866902364,8.497642867475)); #481396=CARTESIAN_POINT('Ctrl Pts',(15.83493701129,-2.993859966898,7.80780012385)); #481397=CARTESIAN_POINT('Ctrl Pts',(15.75212862049,-2.985401566688,7.762178297544)); #481398=CARTESIAN_POINT('Ctrl Pts',(15.60266309979,-2.965283633499,7.713713152361)); #481399=CARTESIAN_POINT('Ctrl Pts',(15.38825126488,-2.926595862553,7.712637667019)); #481400=CARTESIAN_POINT('Ctrl Pts',(15.21380807162,-2.888845467473,7.755417890794)); #481401=CARTESIAN_POINT('Ctrl Pts',(15.05579766081,-2.848156316147,7.839356624063)); #481402=CARTESIAN_POINT('Ctrl Pts',(14.92344299404,-2.806913157521,7.959528864919)); #481403=CARTESIAN_POINT('Ctrl Pts',(14.82446534912,-2.767537461456,8.10889938043)); #481404=CARTESIAN_POINT('Ctrl Pts',(14.75344140688,-2.725760789827,8.310513166792)); #481405=CARTESIAN_POINT('Ctrl Pts',(14.74897773505,-2.702559903871,8.467034662772)); #481406=CARTESIAN_POINT('Ctrl Pts',(14.76421307247,-2.692046234931,8.560051706533)); #481407=CARTESIAN_POINT('Ctrl Pts',(15.8674132043,-3.201901815162,7.874203840603)); #481408=CARTESIAN_POINT('Ctrl Pts',(15.78667697203,-3.190469059255,7.816112487441)); #481409=CARTESIAN_POINT('Ctrl Pts',(15.63555085257,-3.16592989073,7.748445012417)); #481410=CARTESIAN_POINT('Ctrl Pts',(15.41055703651,-3.123260946274,7.727497027818)); #481411=CARTESIAN_POINT('Ctrl Pts',(15.22351783046,-3.08401001489,7.759121541678)); #481412=CARTESIAN_POINT('Ctrl Pts',(15.05119670891,-3.044016829138,7.837938064991)); #481413=CARTESIAN_POINT('Ctrl Pts',(14.90478287926,-3.005886496607,7.958802316672)); #481414=CARTESIAN_POINT('Ctrl Pts',(14.79377814156,-2.972109139487,8.113844501394)); #481415=CARTESIAN_POINT('Ctrl Pts',(14.71258532928,-2.939806135546,8.326501545006)); #481416=CARTESIAN_POINT('Ctrl Pts',(14.70562807047,-2.925712253717,8.493054791007)); #481417=CARTESIAN_POINT('Ctrl Pts',(14.72137613986,-2.921310284346,8.591753584468)); #481418=CARTESIAN_POINT('Ctrl Pts',(15.88853760969,-3.409321163823,7.932140273426)); #481419=CARTESIAN_POINT('Ctrl Pts',(15.81190439172,-3.393231715237,7.862126100269)); #481420=CARTESIAN_POINT('Ctrl Pts',(15.66211265113,-3.361659620868,7.77538480259)); #481421=CARTESIAN_POINT('Ctrl Pts',(15.42947579466,-3.312351122199,7.733662647665)); #481422=CARTESIAN_POINT('Ctrl Pts',(15.2314478898,-3.270203674627,7.752937877307)); #481423=CARTESIAN_POINT('Ctrl Pts',(15.04560291151,-3.230473037925,7.825239501015)); #481424=CARTESIAN_POINT('Ctrl Pts',(14.88522689802,-3.196005353601,7.945373343295)); #481425=CARTESIAN_POINT('Ctrl Pts',(14.76177913834,-3.169278061032,8.104729789954)); #481426=CARTESIAN_POINT('Ctrl Pts',(14.66953703266,-3.149031083463,8.326924878383)); #481427=CARTESIAN_POINT('Ctrl Pts',(14.659277543,-3.146406706895,8.502492145318)); #481428=CARTESIAN_POINT('Ctrl Pts',(14.67505557602,-3.149540623186,8.606239156384)); #481429=CARTESIAN_POINT('Ctrl Pts',(15.89526486139,-3.61872681439,7.976216412884)); #481430=CARTESIAN_POINT('Ctrl Pts',(15.82441756853,-3.596281185772,7.895857273692)); #481431=CARTESIAN_POINT('Ctrl Pts',(15.67879678229,-3.554931637024,7.791826655806)); #481432=CARTESIAN_POINT('Ctrl Pts',(15.44188086114,-3.496032161659,7.730222167656)); #481433=CARTESIAN_POINT('Ctrl Pts',(15.23510446365,-3.44932135721,7.737010247729)); #481434=CARTESIAN_POINT('Ctrl Pts',(15.03727402967,-3.409157917437,7.801949336919)); #481435=CARTESIAN_POINT('Ctrl Pts',(14.86375775328,-3.37866437564,7.919974273071)); #481436=CARTESIAN_POINT('Ctrl Pts',(14.72802905693,-3.360220216874,8.081898352008)); #481437=CARTESIAN_POINT('Ctrl Pts',(14.62426821126,-3.354352048178,8.311287581703)); #481438=CARTESIAN_POINT('Ctrl Pts',(14.60989303914,-3.365340421482,8.494065002235)); #481439=CARTESIAN_POINT('Ctrl Pts',(14.62510453627,-3.377268558484,8.60178542657)); #481440=CARTESIAN_POINT('Ctrl Pts',(15.88559318271,-3.796876217349,7.994062917267)); #481441=CARTESIAN_POINT('Ctrl Pts',(15.82006967579,-3.768370779838,7.907493069365)); #481442=CARTESIAN_POINT('Ctrl Pts',(15.67941373945,-3.717501162659,7.792514868402)); #481443=CARTESIAN_POINT('Ctrl Pts',(15.44170456029,-3.648890909364,7.717512293272)); #481444=CARTESIAN_POINT('Ctrl Pts',(15.23009842348,-3.597213024486,7.715381608961)); #481445=CARTESIAN_POINT('Ctrl Pts',(15.02456182636,-3.555910271392,7.774493103509)); #481446=CARTESIAN_POINT('Ctrl Pts',(14.84195030247,-3.528369406832,7.88999411239)); #481447=CARTESIAN_POINT('Ctrl Pts',(14.69723698559,-3.516851332266,8.052407038271)); #481448=CARTESIAN_POINT('Ctrl Pts',(14.5845195758,-3.523327121339,8.285086404824)); #481449=CARTESIAN_POINT('Ctrl Pts',(14.56637359508,-3.546061280795,8.471571108915)); #481450=CARTESIAN_POINT('Ctrl Pts',(14.58063592794,-3.565502029681,8.581273877264)); #481451=CARTESIAN_POINT('Ctrl Pts',(15.8663840084,-3.939960868347,7.991233438904)); #481452=CARTESIAN_POINT('Ctrl Pts',(15.80414922737,-3.90754305854,7.901750780911)); #481453=CARTESIAN_POINT('Ctrl Pts',(15.66689040209,-3.850378312191,7.781439613958)); #481454=CARTESIAN_POINT('Ctrl Pts',(15.42961092939,-3.77502574459,7.699627189413)); #481455=CARTESIAN_POINT('Ctrl Pts',(15.21595744313,-3.719605187869,7.692789196906)); #481456=CARTESIAN_POINT('Ctrl Pts',(15.00661277358,-3.676933577279,7.748631421495)); #481457=CARTESIAN_POINT('Ctrl Pts',(14.81921793688,-3.650606607575,7.862448024133)); #481458=CARTESIAN_POINT('Ctrl Pts',(14.66956341383,-3.642842802557,8.024646491829)); #481459=CARTESIAN_POINT('Ctrl Pts',(14.55167821146,-3.656441027221,8.258406377864)); #481460=CARTESIAN_POINT('Ctrl Pts',(14.53109731321,-3.686178904201,8.446332273696)); #481461=CARTESIAN_POINT('Ctrl Pts',(14.54457667384,-3.710139785571,8.556776197761)); #481462=CARTESIAN_POINT('Ctrl Pts',(15.85232818483,-4.011598215381,7.983253455995)); #481463=CARTESIAN_POINT('Ctrl Pts',(15.7910793686,-3.977940465472,7.893068467916)); #481464=CARTESIAN_POINT('Ctrl Pts',(15.65492312671,-3.918702652953,7.771440601845)); #481465=CARTESIAN_POINT('Ctrl Pts',(15.41801521723,-3.840944518061,7.687903141125)); #481466=CARTESIAN_POINT('Ctrl Pts',(15.20399957045,-3.784021515089,7.679846134517)); #481467=CARTESIAN_POINT('Ctrl Pts',(14.99377284688,-3.740532858274,7.734812489182)); #481468=CARTESIAN_POINT('Ctrl Pts',(14.80517348558,-3.714168797782,7.848138982139)); #481469=CARTESIAN_POINT('Ctrl Pts',(14.65420422891,-3.70716608725,8.010208891785)); #481470=CARTESIAN_POINT('Ctrl Pts',(14.53486453111,-3.722543115497,8.244146492785)); #481471=CARTESIAN_POINT('Ctrl Pts',(14.5135133794,-3.754218578758,8.432365005926)); #481472=CARTESIAN_POINT('Ctrl Pts',(14.52669342499,-3.779480338754,8.54295322468)); #481473=CARTESIAN_POINT('Ctrl Pts',(15.84407791189,-4.046303669424,7.977409087436)); #481474=CARTESIAN_POINT('Ctrl Pts',(15.78303627984,-4.01234542857,7.887120816797)); #481475=CARTESIAN_POINT('Ctrl Pts',(15.64714605905,-3.95256049103,7.765297571775)); #481476=CARTESIAN_POINT('Ctrl Pts',(15.41041878967,-3.874060796541,7.681501170235)); #481477=CARTESIAN_POINT('Ctrl Pts',(15.19643543805,-3.816584567444,7.673259096481)); #481478=CARTESIAN_POINT('Ctrl Pts',(14.98613723078,-3.772666494404,7.728089922986)); #481479=CARTESIAN_POINT('Ctrl Pts',(14.79738724078,-3.746037246621,7.841337054764)); #481480=CARTESIAN_POINT('Ctrl Pts',(14.64621766025,-3.738958521629,8.003380470822)); #481481=CARTESIAN_POINT('Ctrl Pts',(14.52661718894,-3.754478380362,8.23733503556)); #481482=CARTESIAN_POINT('Ctrl Pts',(14.50508746411,-3.786458852441,8.425589932032)); #481483=CARTESIAN_POINT('Ctrl Pts',(14.51817569832,-3.811963545192,8.536195329291)); #481484=CARTESIAN_POINT('',(15.7620332244914,-3.95529659561545,7.87585366476074)); #481485=CARTESIAN_POINT('Ctrl Pts',(15.7620333706987,-3.95529652245021, 7.8758537978562)); #481486=CARTESIAN_POINT('Ctrl Pts',(15.7437680031504,-3.88196511043615, 7.85560681407234)); #481487=CARTESIAN_POINT('Ctrl Pts',(15.7246457424719,-3.80243073392223, 7.84044305099303)); #481488=CARTESIAN_POINT('Ctrl Pts',(15.6819223458535,-3.62467167837573, 7.81099837444754)); #481489=CARTESIAN_POINT('Ctrl Pts',(15.6536254092065,-3.50620377846306, 7.7953882365153)); #481490=CARTESIAN_POINT('Ctrl Pts',(15.5199465399057,-2.96776436798558, 7.72018788726361)); #481491=CARTESIAN_POINT('Ctrl Pts',(15.4514184496853,-2.71629321476374, 7.67828429356788)); #481492=CARTESIAN_POINT('Ctrl Pts',(15.3780593440959,-2.45125105362829, 7.63779505478741)); #481493=CARTESIAN_POINT('',(15.7801522493107,-3.9634571373723,7.89371187636775)); #481494=CARTESIAN_POINT('Origin',(15.3578739484339,-3.93020024162245,8.28116685192654)); #481495=CARTESIAN_POINT('',(15.27397537317,-0.7788736259352,6.976158714125)); #481496=CARTESIAN_POINT('Ctrl Pts',(15.7801522508931,-3.96345713747003, 7.8937118747775)); #481497=CARTESIAN_POINT('Ctrl Pts',(15.7837735356901,-3.94489108161731, 7.89617412946255)); #481498=CARTESIAN_POINT('Ctrl Pts',(15.7869338705559,-3.92657413446417, 7.89816121556879)); #481499=CARTESIAN_POINT('Ctrl Pts',(15.7897284320096,-3.90843191631077, 7.89974441128294)); #481500=CARTESIAN_POINT('Ctrl Pts',(15.7941777491131,-3.87954706877289, 7.90226507146016)); #481501=CARTESIAN_POINT('Ctrl Pts',(15.79770185768,-3.85110496360748,7.90376267596539)); #481502=CARTESIAN_POINT('Ctrl Pts',(15.8005041291123,-3.8229615433139,7.90441697278935)); #481503=CARTESIAN_POINT('Ctrl Pts',(15.8012222629191,-3.81574927211162, 7.90458464843403)); #481504=CARTESIAN_POINT('Ctrl Pts',(15.8018930612432,-3.80855658174515, 7.90469721593333)); #481505=CARTESIAN_POINT('Ctrl Pts',(15.8025200683932,-3.80138100001306, 7.90475810589229)); #481506=CARTESIAN_POINT('Ctrl Pts',(15.805829592408,-3.76350621650825,7.90507950060276)); #481507=CARTESIAN_POINT('Ctrl Pts',(15.8079188646526,-3.72610880209044, 7.90395640602177)); #481508=CARTESIAN_POINT('Ctrl Pts',(15.8089863059348,-3.68901992987119, 7.90164407419445)); #481509=CARTESIAN_POINT('Ctrl Pts',(15.8099016159078,-3.65721694904598, 7.89966129498426)); #481510=CARTESIAN_POINT('Ctrl Pts',(15.810066242054,-3.62564033719042,7.89680761955197)); #481511=CARTESIAN_POINT('Ctrl Pts',(15.8096111786668,-3.59418304447827, 7.89325447403678)); #481512=CARTESIAN_POINT('Ctrl Pts',(15.8089779182308,-3.55040747505879, 7.88830996181886)); #481513=CARTESIAN_POINT('Ctrl Pts',(15.8071370441975,-3.50686365173168, 7.88200605463462)); #481514=CARTESIAN_POINT('Ctrl Pts',(15.8043089023873,-3.4634087084997,7.87468079735743)); #481515=CARTESIAN_POINT('Ctrl Pts',(15.8027346314132,-3.43921973199169, 7.8706032295823)); #481516=CARTESIAN_POINT('Ctrl Pts',(15.8008545286841,-3.41505842325276, 7.86620857250297)); #481517=CARTESIAN_POINT('Ctrl Pts',(15.7987066245939,-3.39090146107963, 7.86154766104315)); #481518=CARTESIAN_POINT('Ctrl Pts',(15.7948804435097,-3.34786932005402, 7.85324492008551)); #481519=CARTESIAN_POINT('Ctrl Pts',(15.790204457875,-3.30485049858879,7.84409945406045)); #481520=CARTESIAN_POINT('Ctrl Pts',(15.7848216958288,-3.26173171519586, 7.83431272309513)); #481521=CARTESIAN_POINT('Ctrl Pts',(15.7817542806183,-3.23716008990443, 7.82873566671308)); #481522=CARTESIAN_POINT('Ctrl Pts',(15.7784572945642,-3.21255615117079, 7.82294946392672)); #481523=CARTESIAN_POINT('Ctrl Pts',(15.7749566169484,-3.18790012455973, 7.81698384566878)); #481524=CARTESIAN_POINT('Ctrl Pts',(15.7678185474663,-3.13762516341743, 7.80481962996451)); #481525=CARTESIAN_POINT('Ctrl Pts',(15.7598368103351,-3.08713307207525, 7.7919134153662)); #481526=CARTESIAN_POINT('Ctrl Pts',(15.7511927653025,-3.0365403180564,7.77849678272428)); #481527=CARTESIAN_POINT('Ctrl Pts',(15.7481584567683,-3.0187808056164,7.77378715861056)); #481528=CARTESIAN_POINT('Ctrl Pts',(15.7450425326753,-3.00100895670438, 7.76901417371039)); #481529=CARTESIAN_POINT('Ctrl Pts',(15.7418528099198,-2.98323002063165, 7.76418633532915)); #481530=CARTESIAN_POINT('Ctrl Pts',(15.7317041384383,-2.92666316660528, 7.74882570700711)); #481531=CARTESIAN_POINT('Ctrl Pts',(15.7208107288985,-2.87002373431472, 7.73291434512996)); #481532=CARTESIAN_POINT('Ctrl Pts',(15.7094014973278,-2.81361299471478, 7.71670438821343)); #481533=CARTESIAN_POINT('Ctrl Pts',(15.7070093646419,-2.80178555715294, 7.713305704653)); #481534=CARTESIAN_POINT('Ctrl Pts',(15.7045945647289,-2.7899681941078,7.70989371311406)); #481535=CARTESIAN_POINT('Ctrl Pts',(15.7021592197801,-2.7781637147194,7.7064704521365)); #481536=CARTESIAN_POINT('Ctrl Pts',(15.6982656754476,-2.75929112591341, 7.70099746220789)); #481537=CARTESIAN_POINT('Ctrl Pts',(15.6943185734166,-2.74045131148446, 7.69549543266631)); #481538=CARTESIAN_POINT('Ctrl Pts',(15.6903239015209,-2.72164004719842, 7.68996772920356)); #481539=CARTESIAN_POINT('Ctrl Pts',(15.679181284364,-2.66916847465046,7.67454892008693)); #481540=CARTESIAN_POINT('Ctrl Pts',(15.6676691036036,-2.61691858298883, 7.65893412839751)); #481541=CARTESIAN_POINT('Ctrl Pts',(15.6559125866325,-2.56480291035088, 7.64316445794475)); #481542=CARTESIAN_POINT('Ctrl Pts',(15.6550457697317,-2.5609603823346,7.64200174819824)); #481543=CARTESIAN_POINT('Ctrl Pts',(15.6541776211575,-2.55711858674311, 7.64083817362826)); #481544=CARTESIAN_POINT('Ctrl Pts',(15.6533081894863,-2.55327748983078, 7.63967373993215)); #481545=CARTESIAN_POINT('Ctrl Pts',(15.6521928886245,-2.54835015759928, 7.63818001253781)); #481546=CARTESIAN_POINT('Ctrl Pts',(15.651075476371,-2.54342397506961,7.63668487152769)); #481547=CARTESIAN_POINT('Ctrl Pts',(15.6499560559677,-2.53849887075009, 7.63518832596855)); #481548=CARTESIAN_POINT('Ctrl Pts',(15.6374025980047,-2.48326752973357, 7.61840569300061)); #481549=CARTESIAN_POINT('Ctrl Pts',(15.624597360136,-2.42817115673125,7.60145142564804)); #481550=CARTESIAN_POINT('Ctrl Pts',(15.6116817747802,-2.37311156308975, 7.58432430946504)); #481551=CARTESIAN_POINT('Ctrl Pts',(15.5932135079542,-2.29438069347079, 7.55983388623503)); #481552=CARTESIAN_POINT('Ctrl Pts',(15.5745240013436,-2.21573248898969, 7.53498683760616)); #481553=CARTESIAN_POINT('Ctrl Pts',(15.5557412634107,-2.13717112961642, 7.50974856306814)); #481554=CARTESIAN_POINT('Ctrl Pts',(15.5424799487542,-2.08170387504441, 7.49192939840594)); #481555=CARTESIAN_POINT('Ctrl Pts',(15.5291719927808,-2.02628008067787, 7.47391400229896)); #481556=CARTESIAN_POINT('Ctrl Pts',(15.5158600852374,-1.97090457078431, 7.45567522440838)); #481557=CARTESIAN_POINT('Ctrl Pts',(15.4997769337381,-1.90400110769624, 7.43363953741029)); #481558=CARTESIAN_POINT('Ctrl Pts',(15.4836910199693,-1.83716703095408, 7.41128086176177)); #481559=CARTESIAN_POINT('Ctrl Pts',(15.4677496206691,-1.77036282609906, 7.38847196968401)); #481560=CARTESIAN_POINT('Ctrl Pts',(15.4518082213689,-1.70355862124404, 7.36566307760625)); #481561=CARTESIAN_POINT('Ctrl Pts',(15.4360113246926,-1.63678381004305, 7.3424031860687)); #481562=CARTESIAN_POINT('Ctrl Pts',(15.4204953936597,-1.57001936242426, 7.31851130105246)); #481563=CARTESIAN_POINT('Ctrl Pts',(15.4086039365113,-1.51885088492746, 7.30020048707269)); #481564=CARTESIAN_POINT('Ctrl Pts',(15.3968757680939,-1.46768861396075, 7.28152151772029)); #481565=CARTESIAN_POINT('Ctrl Pts',(15.3855096484922,-1.41659390639055, 7.26232019919702)); #481566=CARTESIAN_POINT('Ctrl Pts',(15.3820452956257,-1.40102042108147, 7.25646770554048)); #481567=CARTESIAN_POINT('Ctrl Pts',(15.3786145196148,-1.38545338540352, 7.25056635644745)); #481568=CARTESIAN_POINT('Ctrl Pts',(15.3752227979048,-1.36989503789761, 7.24461100397321)); #481569=CARTESIAN_POINT('Ctrl Pts',(15.3634107163243,-1.31571120864702, 7.22387076780937)); #481570=CARTESIAN_POINT('Ctrl Pts',(15.3520729181118,-1.26163232236274, 7.20247797799555)); #481571=CARTESIAN_POINT('Ctrl Pts',(15.3414238124801,-1.20778421404777, 7.18020788249529)); #481572=CARTESIAN_POINT('Ctrl Pts',(15.3389829142657,-1.19544160463244, 7.1751033189553)); #481573=CARTESIAN_POINT('Ctrl Pts',(15.3365781625226,-1.18311132873022, 7.16995265789824)); #481574=CARTESIAN_POINT('Ctrl Pts',(15.3342120777298,-1.17079530352929, 7.16475330768265)); #481575=CARTESIAN_POINT('Ctrl Pts',(15.3278676798239,-1.13777122732959, 7.15081181804559)); #481576=CARTESIAN_POINT('Ctrl Pts',(15.3218025233881,-1.10484624727882, 7.13652176697206)); #481577=CARTESIAN_POINT('Ctrl Pts',(15.3162572184329,-1.07198875824697, 7.12163371049307)); #481578=CARTESIAN_POINT('Ctrl Pts',(15.3151240969613,-1.06527469533631, 7.1185915015245)); #481579=CARTESIAN_POINT('Ctrl Pts',(15.3140125195771,-1.05856353926403, 7.11552432363424)); #481580=CARTESIAN_POINT('Ctrl Pts',(15.3129206760619,-1.05185544825166, 7.11243317427781)); #481581=CARTESIAN_POINT('Ctrl Pts',(15.3087539251621,-1.02625567697237, 7.10063656681245)); #481582=CARTESIAN_POINT('Ctrl Pts',(15.3048743726939,-1.00070103067267, 7.08848854801506)); #481583=CARTESIAN_POINT('Ctrl Pts',(15.3011831496214,-0.9751965838777,7.07606167053584)); #481584=CARTESIAN_POINT('Ctrl Pts',(15.3011081131229,-0.974678120391199, 7.0758090525404)); #481585=CARTESIAN_POINT('Ctrl Pts',(15.301033154539,-0.974159677417483, 7.07555632031884)); #481586=CARTESIAN_POINT('Ctrl Pts',(15.3009582730553,-0.973641254930834, 7.07530347427072)); #481587=CARTESIAN_POINT('Ctrl Pts',(15.2966393229923,-0.943740126901495, 7.06072003653172)); #481588=CARTESIAN_POINT('Ctrl Pts',(15.292576277629,-0.913908028003387, 7.04575369318097)); #481589=CARTESIAN_POINT('Ctrl Pts',(15.2884972797043,-0.884191918898568, 7.03064037735084)); #481590=CARTESIAN_POINT('Ctrl Pts',(15.2881149994816,-0.881406950380768, 7.02922397022169)); #481591=CARTESIAN_POINT('Ctrl Pts',(15.2877325861614,-0.878622970804116, 7.02780629468311)); #481592=CARTESIAN_POINT('Ctrl Pts',(15.2873498201596,-0.875840000453452, 7.02638755921897)); #481593=CARTESIAN_POINT('Ctrl Pts',(15.282882871597,-0.843362232441507, 7.00983065940734)); #481594=CARTESIAN_POINT('Ctrl Pts',(15.2783711356452,-0.811023684877081, 6.99313055006207)); #481595=CARTESIAN_POINT('Ctrl Pts',(15.2739753729587,-0.778873626080154, 6.97615871390515)); #481596=CARTESIAN_POINT('Origin',(15.7433716189447,-0.456891751684446,7.46451804653399)); #481597=CARTESIAN_POINT('Ctrl Pts',(15.21128623982,-0.08107382788155,6.50747001201)); #481598=CARTESIAN_POINT('Ctrl Pts',(15.13912612928,-0.03256032244279,6.56294856681)); #481599=CARTESIAN_POINT('Ctrl Pts',(15.02596801767,0.08507886806759,6.696278092619)); #481600=CARTESIAN_POINT('Ctrl Pts',(14.99327027947,0.2238046486713,6.851552304158)); #481601=CARTESIAN_POINT('Ctrl Pts',(14.99869334103,0.2927027570976,6.928248842932)); #481602=CARTESIAN_POINT('Ctrl Pts',(15.22237538868,-0.1480099079316,6.553698139645)); #481603=CARTESIAN_POINT('Ctrl Pts',(15.14672414524,-0.09972903912852,6.610382635453)); #481604=CARTESIAN_POINT('Ctrl Pts',(15.02785980419,0.01862616277972,6.748265161202)); #481605=CARTESIAN_POINT('Ctrl Pts',(14.99393763479,0.1591518067547,6.910284456907)); #481606=CARTESIAN_POINT('Ctrl Pts',(15.00003044177,0.228820025522,6.990258372631)); #481607=CARTESIAN_POINT('Ctrl Pts',(15.24226314139,-0.2709046876465,6.635238923063)); #481608=CARTESIAN_POINT('Ctrl Pts',(15.1601543465,-0.2231252256195,6.693709125097)); #481609=CARTESIAN_POINT('Ctrl Pts',(15.03061104449,-0.1035736922015,6.839357950431)); #481610=CARTESIAN_POINT('Ctrl Pts',(14.99439072448,0.04012899626728,7.013481043733)); #481611=CARTESIAN_POINT('Ctrl Pts',(15.00175470832,0.1111135705606,7.099318672735)); #481612=CARTESIAN_POINT('Ctrl Pts',(15.26516761552,-0.424946618444,6.732192018579)); #481613=CARTESIAN_POINT('Ctrl Pts',(15.17514694155,-0.3778510586901,6.791972207203)); #481614=CARTESIAN_POINT('Ctrl Pts',(15.03222930031,-0.2569393320284,6.945893657731)); #481615=CARTESIAN_POINT('Ctrl Pts',(14.99313753401,-0.1095345539142,7.134255595372)); #481616=CARTESIAN_POINT('Ctrl Pts',(15.00215243625,-0.03711872186893,7.226954449722)); #481617=CARTESIAN_POINT('Ctrl Pts',(15.28176009841,-0.5390384923514,6.801217805275)); #481618=CARTESIAN_POINT('Ctrl Pts',(15.1857689121,-0.4925075383551,6.861574240063)); #481619=CARTESIAN_POINT('Ctrl Pts',(15.03258547204,-0.3706477073876,7.02117381779)); #481620=CARTESIAN_POINT('Ctrl Pts',(14.99125651838,-0.2205587279291,7.220060355775)); #481621=CARTESIAN_POINT('Ctrl Pts',(15.00154419057,-0.1471569915113,7.317812231807)); #481622=CARTESIAN_POINT('Ctrl Pts',(15.30151949298,-0.6678933673878,6.875885505903)); #481623=CARTESIAN_POINT('Ctrl Pts',(15.19830769333,-0.6221443356356,6.936609339907)); #481624=CARTESIAN_POINT('Ctrl Pts',(15.03253415458,-0.4993207513541,7.102631713135)); #481625=CARTESIAN_POINT('Ctrl Pts',(14.98837243593,-0.346159649224,7.31409546775)); #481626=CARTESIAN_POINT('Ctrl Pts',(15.00018364398,-0.2716698467777,7.417870652895)); #481627=CARTESIAN_POINT('Ctrl Pts',(15.31811061748,-0.7694908804105,6.930919927423)); #481628=CARTESIAN_POINT('Ctrl Pts',(15.20869052555,-0.7245327744742,6.991459528208)); #481629=CARTESIAN_POINT('Ctrl Pts',(15.03182248605,-0.6010948844607,7.162343771059)); #481630=CARTESIAN_POINT('Ctrl Pts',(14.98505634177,-0.4455087363915,7.384431652618)); #481631=CARTESIAN_POINT('Ctrl Pts',(14.99817549897,-0.3702255838573,7.493271287671)); #481632=CARTESIAN_POINT('Ctrl Pts',(15.33028343032,-0.8426029949358,6.969081226039)); #481633=CARTESIAN_POINT('Ctrl Pts',(15.21624095778,-0.798284283888,7.029166219693)); #481634=CARTESIAN_POINT('Ctrl Pts',(15.03091984996,-0.6744760243245,7.203270748411)); #481635=CARTESIAN_POINT('Ctrl Pts',(14.98211256073,-0.5171864205465,7.433212971291)); #481636=CARTESIAN_POINT('Ctrl Pts',(14.9962204131,-0.4413925246985,7.54578136781)); #481637=CARTESIAN_POINT('',(15.18494926982,-0.09705293936192,6.56047002086)); #481638=CARTESIAN_POINT('Ctrl Pts',(15.1849492698176,-0.0970529393633444, 6.56047002085843)); #481639=CARTESIAN_POINT('Ctrl Pts',(15.1262640222238,-0.0533994403635644, 6.61100115330601)); #481640=CARTESIAN_POINT('Ctrl Pts',(15.0795851537062,-0.00267913494662448, 6.66934823439255)); #481641=CARTESIAN_POINT('Ctrl Pts',(15.0478207946088,0.051940134673549, 6.73186720027654)); #481642=CARTESIAN_POINT('Ctrl Pts',(15.0160564506156,0.10655937832196,6.79438613643242)); #481643=CARTESIAN_POINT('Ctrl Pts',(14.9992067608131,0.165077604060161, 6.86107697523124)); #481644=CARTESIAN_POINT('Ctrl Pts',(14.9983297265327,0.223842387196341, 6.92777708889)); #481645=CARTESIAN_POINT('Ctrl Pts',(15.27397537317,-0.7788736259352,6.976158714125)); #481646=CARTESIAN_POINT('Ctrl Pts',(15.26591285301,-0.7198999202375,6.945026520336)); #481647=CARTESIAN_POINT('Ctrl Pts',(15.25409903229,-0.6321863320952,6.897105725066)); #481648=CARTESIAN_POINT('Ctrl Pts',(15.23933168408,-0.5168311502706,6.830076288622)); #481649=CARTESIAN_POINT('Ctrl Pts',(15.22540079512,-0.4025512034175,6.760634713035)); #481650=CARTESIAN_POINT('Ctrl Pts',(15.20770467882,-0.2617193985858,6.67140197912)); #481651=CARTESIAN_POINT('Ctrl Pts',(15.19260849819,-0.1514864861326,6.59793804193)); #481652=CARTESIAN_POINT('Ctrl Pts',(15.18494926982,-0.09705293936192,6.56047002086)); #481653=CARTESIAN_POINT('Ctrl Pts',(15.16925041743,0.1890854060909,6.301669990728)); #481654=CARTESIAN_POINT('Ctrl Pts',(15.10909544288,0.2389055027754,6.351781491062)); #481655=CARTESIAN_POINT('Ctrl Pts',(15.0155769772,0.3553236934885,6.467301246117)); #481656=CARTESIAN_POINT('Ctrl Pts',(14.98764702437,0.4891946004837,6.597464933361)); #481657=CARTESIAN_POINT('Ctrl Pts',(14.99122711799,0.5559797731147,6.661811881226)); #481658=CARTESIAN_POINT('Ctrl Pts',(15.1874312642,0.07663235704453,6.393242668361)); #481659=CARTESIAN_POINT('Ctrl Pts',(15.12204388342,0.1263484049955,6.446161727832)); #481660=CARTESIAN_POINT('Ctrl Pts',(15.02025115656,0.2443340436192,6.570066400983)); #481661=CARTESIAN_POINT('Ctrl Pts',(14.99070223156,0.38143210008,6.711348560048)); #481662=CARTESIAN_POINT('Ctrl Pts',(14.99532939594,0.4496761342628,6.781118024751)); #481663=CARTESIAN_POINT('Ctrl Pts',(15.20889898947,-0.04087034201193,6.475657332162)); #481664=CARTESIAN_POINT('Ctrl Pts',(15.13688451262,0.008398492848365,6.531300251048)); #481665=CARTESIAN_POINT('Ctrl Pts',(15.02440403592,0.1277648741242,6.6645060846)); #481666=CARTESIAN_POINT('Ctrl Pts',(14.99262557299,0.2683737741243,6.818938056993)); #481667=CARTESIAN_POINT('Ctrl Pts',(14.99853411668,0.3381583735008,6.895090313232)); #481668=CARTESIAN_POINT('Ctrl Pts',(15.22873458469,-0.162013685475,6.557129863877)); #481669=CARTESIAN_POINT('Ctrl Pts',(15.15027458543,-0.1132617591213,6.614539765703)); #481670=CARTESIAN_POINT('Ctrl Pts',(15.02715415426,0.007317108864529,6.755518990678)); #481671=CARTESIAN_POINT('Ctrl Pts',(14.9931964764,0.1511394978211,6.922059247405)); #481672=CARTESIAN_POINT('Ctrl Pts',(15.00045907266,0.2222435689419,7.0040502124)); #481673=CARTESIAN_POINT('',(15.14506781977,0.1783155312012,6.35768824267)); #481674=CARTESIAN_POINT('Ctrl Pts',(15.1450678197729,0.178315531202791, 6.35768824267148)); #481675=CARTESIAN_POINT('Ctrl Pts',(15.0975729838393,0.222014831524856, 6.40226194575151)); #481676=CARTESIAN_POINT('Ctrl Pts',(15.0599514283473,0.271221101701752, 6.45194517226914)); #481677=CARTESIAN_POINT('Ctrl Pts',(15.0340338839294,0.323535479893438, 6.50431591621644)); #481678=CARTESIAN_POINT('Ctrl Pts',(15.0081164082096,0.375849719418494, 6.5566865213477)); #481679=CARTESIAN_POINT('Ctrl Pts',(14.9939029168825,0.431272055684101, 6.61174463365512)); #481680=CARTESIAN_POINT('Ctrl Pts',(14.9920889703916,0.487101944069451, 6.666807360041)); #481681=CARTESIAN_POINT('Ctrl Pts',(15.18494926982,-0.09705293936192,6.56047002086)); #481682=CARTESIAN_POINT('Ctrl Pts',(15.17166750642,-0.00266094190832299, 6.495497590427)); #481683=CARTESIAN_POINT('Ctrl Pts',(15.1577092311,0.08937264866287,6.429144097998)); #481684=CARTESIAN_POINT('Ctrl Pts',(15.14506781977,0.1783155312012,6.35768824267)); #481685=CARTESIAN_POINT('Ctrl Pts',(15.11022466885,0.6163320561326,5.876822015824)); #481686=CARTESIAN_POINT('Ctrl Pts',(15.06399775202,0.6670049675279,5.916738934458)); #481687=CARTESIAN_POINT('Ctrl Pts',(14.99234747481,0.7807248979894,6.004828518775)); #481688=CARTESIAN_POINT('Ctrl Pts',(14.96897975331,0.907683900243,6.100541474668)); #481689=CARTESIAN_POINT('Ctrl Pts',(14.97007219794,0.9713268201503,6.147926015038)); #481690=CARTESIAN_POINT('Ctrl Pts',(15.12078918614,0.5391193808404,5.966020968423)); #481691=CARTESIAN_POINT('Ctrl Pts',(15.07223859301,0.5900062699043,6.007958114177)); #481692=CARTESIAN_POINT('Ctrl Pts',(14.99708106512,0.7049502200044,6.101104800624)); #481693=CARTESIAN_POINT('Ctrl Pts',(14.97322848171,0.8338714818494,6.202831705977)); #481694=CARTESIAN_POINT('Ctrl Pts',(14.9748984334,0.8984351747663,6.253164294074)); #481695=CARTESIAN_POINT('Ctrl Pts',(15.14390165279,0.3774445425172,6.135123544718)); #481696=CARTESIAN_POINT('Ctrl Pts',(15.08976054325,0.4286676418346,6.181461577837)); #481697=CARTESIAN_POINT('Ctrl Pts',(15.00609248846,0.5462048945708,6.285944931503)); #481698=CARTESIAN_POINT('Ctrl Pts',(14.98095471058,0.6795026410944,6.401402124114)); #481699=CARTESIAN_POINT('Ctrl Pts',(14.98395114675,0.746105728912,6.458452550004)); #481700=CARTESIAN_POINT('Ctrl Pts',(15.17268861711,0.2011586937467,6.284294102879)); #481701=CARTESIAN_POINT('Ctrl Pts',(15.1103376009,0.2522654115125,6.335302810554)); #481702=CARTESIAN_POINT('Ctrl Pts',(15.01381999854,0.3724516559346,6.453417560244)); #481703=CARTESIAN_POINT('Ctrl Pts',(14.98643941479,0.5110584529442,6.586628228639)); #481704=CARTESIAN_POINT('Ctrl Pts',(14.99126137762,0.5800571644059,6.652302549036)); #481705=CARTESIAN_POINT('Ctrl Pts',(15.18865071823,0.1095544115132,6.354464801162)); #481706=CARTESIAN_POINT('Ctrl Pts',(15.12146406401,0.1604055098339,6.407641202787)); #481707=CARTESIAN_POINT('Ctrl Pts',(15.01722741792,0.2818004227042,6.53288597058)); #481708=CARTESIAN_POINT('Ctrl Pts',(14.98843055445,0.4231884909409,6.675952555183)); #481709=CARTESIAN_POINT('Ctrl Pts',(14.99432276481,0.493402068512,6.746388081578)); #481710=CARTESIAN_POINT('',(15.08768238397,0.6159447637873,5.937382457253)); #481711=CARTESIAN_POINT('Origin',(15.7213971985834,0.948772959754705,6.1612818229136)); #481712=CARTESIAN_POINT('Ctrl Pts',(15.14506781977,0.1783155312012,6.35768824267)); #481713=CARTESIAN_POINT('Ctrl Pts',(15.13393230078,0.2566496105313,6.294756673618)); #481714=CARTESIAN_POINT('Ctrl Pts',(15.11339050729,0.4080306320917,6.161968646838)); #481715=CARTESIAN_POINT('Ctrl Pts',(15.09585739472,0.5484458077465,6.014583591239)); #481716=CARTESIAN_POINT('Ctrl Pts',(15.08768238397,0.6159447637873,5.937382457253)); #481717=CARTESIAN_POINT('Ctrl Pts',(15.06603352219,1.020520682188,5.306633342727)); #481718=CARTESIAN_POINT('Ctrl Pts',(15.02578290042,1.07063001608,5.33984846197)); #481719=CARTESIAN_POINT('Ctrl Pts',(14.96290819851,1.181295842294,5.411775949322)); #481720=CARTESIAN_POINT('Ctrl Pts',(14.94014322618,1.303538413401,5.488666378999)); #481721=CARTESIAN_POINT('Ctrl Pts',(14.93935451305,1.365031895493,5.52676081764)); #481722=CARTESIAN_POINT('Ctrl Pts',(15.07228236172,0.9480890614733,5.42435076702)); #481723=CARTESIAN_POINT('Ctrl Pts',(15.03178140099,0.9985611532558,5.457785555801)); #481724=CARTESIAN_POINT('Ctrl Pts',(14.96865916294,1.110060177834,5.530276373004)); #481725=CARTESIAN_POINT('Ctrl Pts',(14.94623663984,1.233153507058,5.60784424399)); #481726=CARTESIAN_POINT('Ctrl Pts',(14.94576879319,1.295024338815,5.646269386742)); #481727=CARTESIAN_POINT('Ctrl Pts',(15.08330007464,0.8421590333684,5.586470003993)); #481728=CARTESIAN_POINT('Ctrl Pts',(15.0415114729,0.8931291069467,5.621385977882)); #481729=CARTESIAN_POINT('Ctrl Pts',(14.97660034755,1.006088086622,5.697353452795)); #481730=CARTESIAN_POINT('Ctrl Pts',(14.95430848211,1.131006644622,5.778867945572)); #481731=CARTESIAN_POINT('Ctrl Pts',(14.95441273648,1.19372660752,5.819233321717)); #481732=CARTESIAN_POINT('Ctrl Pts',(15.10423511398,0.6827763375116,5.792328697037)); #481733=CARTESIAN_POINT('Ctrl Pts',(15.05836015224,0.734310497343,5.831226468787)); #481734=CARTESIAN_POINT('Ctrl Pts',(14.98738548889,0.849831849116,5.916877285448)); #481735=CARTESIAN_POINT('Ctrl Pts',(14.96447348107,0.9786189200022,6.009659065183)); #481736=CARTESIAN_POINT('Ctrl Pts',(14.96573534657,1.043157438676,6.05554677581)); #481737=CARTESIAN_POINT('Ctrl Pts',(15.115942625,0.5982275095097,5.890608566551)); #481738=CARTESIAN_POINT('Ctrl Pts',(15.06746443401,0.6499884036188,5.931753241457)); #481739=CARTESIAN_POINT('Ctrl Pts',(14.99257518909,0.7668793291304,6.02305552042)); #481740=CARTESIAN_POINT('Ctrl Pts',(14.96918154114,0.8978738211897,6.122560986105)); #481741=CARTESIAN_POINT('Ctrl Pts',(14.97113456896,0.9634409614636,6.171735272834)); #481742=CARTESIAN_POINT('Ctrl Pts',(15.12294880092,0.5492828860245,5.944111273015)); #481743=CARTESIAN_POINT('Ctrl Pts',(15.07280595684,0.6011454980502,5.986574065753)); #481744=CARTESIAN_POINT('Ctrl Pts',(14.99539264444,0.7188283674401,6.081282877919)); #481745=CARTESIAN_POINT('Ctrl Pts',(14.97166375891,0.8511526264452,6.184911556309)); #481746=CARTESIAN_POINT('Ctrl Pts',(14.97404635258,0.9173347681156,6.236097182024)); #481747=CARTESIAN_POINT('',(15.04292853179,1.029048870082,5.369965453593)); #481748=CARTESIAN_POINT('Origin',(15.690746900272,1.36490355312213,5.54326575286023)); #481749=CARTESIAN_POINT('Ctrl Pts',(15.0876823839678,0.615944763786129, 5.93738245725221)); #481750=CARTESIAN_POINT('Ctrl Pts',(15.0831088902183,0.653710318815018, 5.89418827128192)); #481751=CARTESIAN_POINT('Ctrl Pts',(15.078729389968,0.690515543039409,5.85004809203484)); #481752=CARTESIAN_POINT('Ctrl Pts',(15.0745142457394,0.726424923811687, 5.80500144972643)); #481753=CARTESIAN_POINT('Ctrl Pts',(15.0730756346458,0.738680645263034, 5.78962721913327)); #481754=CARTESIAN_POINT('Ctrl Pts',(15.0716549311087,0.750834302478576, 5.77414802646159)); #481755=CARTESIAN_POINT('Ctrl Pts',(15.0702580857273,0.762884631600181, 5.75854945387774)); #481756=CARTESIAN_POINT('Ctrl Pts',(15.0675621608126,0.7861418817894,5.72844405994897)); #481757=CARTESIAN_POINT('Ctrl Pts',(15.0649551794624,0.809014089025334, 5.69789393432618)); #481758=CARTESIAN_POINT('Ctrl Pts',(15.0624730062278,0.83153711686669,5.66681005706396)); #481759=CARTESIAN_POINT('Ctrl Pts',(15.0591722071431,0.861488285723766, 5.6254746529979)); #481760=CARTESIAN_POINT('Ctrl Pts',(15.0560862257439,0.890825731145158, 5.58320118701684)); #481761=CARTESIAN_POINT('Ctrl Pts',(15.0531911891318,0.919705420816386, 5.5400652501339)); #481762=CARTESIAN_POINT('Ctrl Pts',(15.0503777162147,0.947771464749881, 5.49814460924574)); #481763=CARTESIAN_POINT('Ctrl Pts',(15.0477445819714,0.975405087981104, 5.45540934443993)); #481764=CARTESIAN_POINT('Ctrl Pts',(15.0452654512122,1.00279054499035,5.41195587047636)); #481765=CARTESIAN_POINT('Ctrl Pts',(15.0444710209756,1.01156613498698,5.39803133121067)); #481766=CARTESIAN_POINT('Ctrl Pts',(15.0436923699405,1.02031657064046,5.38403325828512)); #481767=CARTESIAN_POINT('Ctrl Pts',(15.0429285312008,1.02904886977658,5.36996545343541)); #481768=CARTESIAN_POINT('Ctrl Pts',(14.93336914283,2.071579703426,3.404810240974)); #481769=CARTESIAN_POINT('Ctrl Pts',(14.89805433669,2.118181176165,3.430378182927)); #481770=CARTESIAN_POINT('Ctrl Pts',(14.84113768266,2.219940268629,3.48464141377)); #481771=CARTESIAN_POINT('Ctrl Pts',(14.81500462206,2.332207407504,3.541663609553)); #481772=CARTESIAN_POINT('Ctrl Pts',(14.81011839386,2.389141189981,3.569931974764)); #481773=CARTESIAN_POINT('Ctrl Pts',(14.93900558689,2.037662742065,3.4729842947)); #481774=CARTESIAN_POINT('Ctrl Pts',(14.90344362553,2.084426479902,3.498910709518)); #481775=CARTESIAN_POINT('Ctrl Pts',(14.84620144902,2.186607437067,3.553976857241)); #481776=CARTESIAN_POINT('Ctrl Pts',(14.82014021013,2.299380342725,3.611878240626)); #481777=CARTESIAN_POINT('Ctrl Pts',(14.81539478091,2.356557557317,3.640579670252)); #481778=CARTESIAN_POINT('Ctrl Pts',(14.94933299652,1.975674326779,3.593945999756)); #481779=CARTESIAN_POINT('Ctrl Pts',(14.91323159076,2.022744390854,3.620610841433)); #481780=CARTESIAN_POINT('Ctrl Pts',(14.85525831991,2.125747518425,3.677338611091)); #481781=CARTESIAN_POINT('Ctrl Pts',(14.82929223668,2.239530840876,3.737065668633)); #481782=CARTESIAN_POINT('Ctrl Pts',(14.82481350066,2.297196644597,3.766665909021)); #481783=CARTESIAN_POINT('Ctrl Pts',(14.96041406271,1.899311764447,3.744946020541)); #481784=CARTESIAN_POINT('Ctrl Pts',(14.92407214765,1.946776801522,3.771840757479)); #481785=CARTESIAN_POINT('Ctrl Pts',(14.86585818051,2.050681361675,3.829118588313)); #481786=CARTESIAN_POINT('Ctrl Pts',(14.84020114965,2.165415366411,3.889476102184)); #481787=CARTESIAN_POINT('Ctrl Pts',(14.83601806317,2.223522258401,3.919384766392)); #481788=CARTESIAN_POINT('Ctrl Pts',(14.96757969532,1.844505830823,3.856061046164)); #481789=CARTESIAN_POINT('Ctrl Pts',(14.93127154798,1.892247469231,3.882841776582)); #481790=CARTESIAN_POINT('Ctrl Pts',(14.87320724959,1.996712589337,3.939892259876)); #481791=CARTESIAN_POINT('Ctrl Pts',(14.84786746619,2.111954719895,4.000023193015)); #481792=CARTESIAN_POINT('Ctrl Pts',(14.84388175741,2.170285675872,4.029818562605)); #481793=CARTESIAN_POINT('Ctrl Pts',(14.9738185006,1.790511536532,3.969461709768)); #481794=CARTESIAN_POINT('Ctrl Pts',(14.93779223463,1.8385116893,3.995788769478)); #481795=CARTESIAN_POINT('Ctrl Pts',(14.88026273434,1.943413728909,4.051856056484)); #481796=CARTESIAN_POINT('Ctrl Pts',(14.85534810725,2.058937482697,4.110936631315)); #481797=CARTESIAN_POINT('Ctrl Pts',(14.85153771371,2.117374077649,4.14021264266)); #481798=CARTESIAN_POINT('Ctrl Pts',(14.98309696614,1.722924179754,4.105590675131)); #481799=CARTESIAN_POINT('Ctrl Pts',(14.94678898963,1.771225998433,4.132364982243)); #481800=CARTESIAN_POINT('Ctrl Pts',(14.88894798608,1.876850108492,4.189444795818)); #481801=CARTESIAN_POINT('Ctrl Pts',(14.86430110443,1.993163069671,4.249642765344)); #481802=CARTESIAN_POINT('Ctrl Pts',(14.86077403431,2.051965156016,4.279468521172)); #481803=CARTESIAN_POINT('Ctrl Pts',(14.99799457065,1.63720843201,4.256093329299)); #481804=CARTESIAN_POINT('Ctrl Pts',(14.96001389584,1.685890111135,4.285249219108)); #481805=CARTESIAN_POINT('Ctrl Pts',(14.89974055345,1.792882666876,4.347683123323)); #481806=CARTESIAN_POINT('Ctrl Pts',(14.87488765212,1.911180957215,4.413760523769)); #481807=CARTESIAN_POINT('Ctrl Pts',(14.87185567522,1.970961811045,4.446481077169)); #481808=CARTESIAN_POINT('Ctrl Pts',(15.01348527599,1.545803447324,4.403552967297)); #481809=CARTESIAN_POINT('Ctrl Pts',(14.97367527568,1.594879036108,4.434989760486)); #481810=CARTESIAN_POINT('Ctrl Pts',(14.91073389343,1.703331447708,4.50265649896)); #481811=CARTESIAN_POINT('Ctrl Pts',(14.88566323342,1.823758204028,4.574565153422)); #481812=CARTESIAN_POINT('Ctrl Pts',(14.88318227012,1.884580415812,4.610150405442)); #481813=CARTESIAN_POINT('Ctrl Pts',(15.02329976757,1.468478428647,4.53332213213)); #481814=CARTESIAN_POINT('Ctrl Pts',(14.98296365671,1.517935215541,4.565309968783)); #481815=CARTESIAN_POINT('Ctrl Pts',(14.91935322781,1.627361526276,4.634294322065)); #481816=CARTESIAN_POINT('Ctrl Pts',(14.89453231472,1.748901294159,4.707713511565)); #481817=CARTESIAN_POINT('Ctrl Pts',(14.89241666702,1.810237189179,4.74403771333)); #481818=CARTESIAN_POINT('Ctrl Pts',(15.02847960812,1.407854740212,4.643465503306)); #481819=CARTESIAN_POINT('Ctrl Pts',(14.98854328219,1.45763982311,4.674909331164)); #481820=CARTESIAN_POINT('Ctrl Pts',(14.9256725462,1.567602158902,4.742683388051)); #481821=CARTESIAN_POINT('Ctrl Pts',(14.90138300315,1.689456664561,4.814783421505)); #481822=CARTESIAN_POINT('Ctrl Pts',(14.89946563942,1.750903903473,4.850457377033)); #481823=CARTESIAN_POINT('Ctrl Pts',(15.0338394234,1.347828327279,4.752787076859)); #481824=CARTESIAN_POINT('Ctrl Pts',(14.99415203686,1.397918527918,4.783888562749)); #481825=CARTESIAN_POINT('Ctrl Pts',(14.93178643964,1.508422801167,4.850913913104)); #481826=CARTESIAN_POINT('Ctrl Pts',(14.90796725906,1.630660134416,4.9222083965)); #481827=CARTESIAN_POINT('Ctrl Pts',(14.90626555643,1.692255917063,4.957484480893)); #481828=CARTESIAN_POINT('Ctrl Pts',(15.0415041282,1.272778107035,4.885973566626)); #481829=CARTESIAN_POINT('Ctrl Pts',(15.00165852018,1.323225977404,4.917259835654)); #481830=CARTESIAN_POINT('Ctrl Pts',(14.93919792689,1.434523840475,4.984745112869)); #481831=CARTESIAN_POINT('Ctrl Pts',(14.91578221778,1.557542708344,5.056580459612)); #481832=CARTESIAN_POINT('Ctrl Pts',(14.91440649333,1.619481993864,5.09212015388)); #481833=CARTESIAN_POINT('Ctrl Pts',(15.05768422128,1.141562980733,5.102444116033)); #481834=CARTESIAN_POINT('Ctrl Pts',(15.01627039258,1.192610046899,5.135583562922)); #481835=CARTESIAN_POINT('Ctrl Pts',(14.95164290411,1.305695903076,5.207404531584)); #481836=CARTESIAN_POINT('Ctrl Pts',(14.92842969495,1.430982468288,5.284138465635)); #481837=CARTESIAN_POINT('Ctrl Pts',(14.92778302072,1.493982105502,5.322079713506)); #481838=CARTESIAN_POINT('Ctrl Pts',(15.06916178571,1.036562956382,5.267240252888)); #481839=CARTESIAN_POINT('Ctrl Pts',(15.02664868463,1.088128488848,5.301477757189)); #481840=CARTESIAN_POINT('Ctrl Pts',(14.96052941325,1.202675355466,5.375953764678)); #481841=CARTESIAN_POINT('Ctrl Pts',(14.93756237469,1.32973066598,5.455754838112)); #481842=CARTESIAN_POINT('Ctrl Pts',(14.93749731418,1.39354273827,5.495194852527)); #481843=CARTESIAN_POINT('Ctrl Pts',(15.07540701877,0.9638458458299,5.384146300554)); #481844=CARTESIAN_POINT('Ctrl Pts',(15.03255583021,1.015779343035,5.418698571683)); #481845=CARTESIAN_POINT('Ctrl Pts',(14.96606549407,1.13120502898,5.493968454611)); #481846=CARTESIAN_POINT('Ctrl Pts',(14.94344809125,1.259180001336,5.574711366454)); #481847=CARTESIAN_POINT('Ctrl Pts',(14.94374175724,1.323396623328,5.614609848399)); #481848=CARTESIAN_POINT('',(14.91157326178,2.086190917457,3.466917585116)); #481849=CARTESIAN_POINT('Origin',(15.5594558730473,2.44250546239876,3.59256816031118)); #481850=CARTESIAN_POINT('Ctrl Pts',(15.04292853179,1.029048870082,5.369965453593)); #481851=CARTESIAN_POINT('Ctrl Pts',(15.03732756389,1.093072715682,5.266824781048)); #481852=CARTESIAN_POINT('Ctrl Pts',(15.02749896958,1.188770971696,5.114312459727)); #481853=CARTESIAN_POINT('Ctrl Pts',(15.01330883575,1.311471620487,4.909827291099)); #481854=CARTESIAN_POINT('Ctrl Pts',(15.00574535837,1.386242178672,4.776490953182)); #481855=CARTESIAN_POINT('Ctrl Pts',(15.00019827807,1.446047417195,4.667431731723)); #481856=CARTESIAN_POINT('Ctrl Pts',(14.99472530903,1.506436435846,4.557706249856)); #481857=CARTESIAN_POINT('Ctrl Pts',(14.98526812133,1.583457601301,4.427505555074)); #481858=CARTESIAN_POINT('Ctrl Pts',(14.97105204486,1.674507740636,4.278279262464)); #481859=CARTESIAN_POINT('Ctrl Pts',(14.95731961526,1.759884393734,4.125941546102)); #481860=CARTESIAN_POINT('Ctrl Pts',(14.94822748524,1.827236594451,3.989467926062)); #481861=CARTESIAN_POINT('Ctrl Pts',(14.94178200599,1.881042803218,3.876412388197)); #481862=CARTESIAN_POINT('Ctrl Pts',(14.93457785271,1.935649810283,3.76538723804)); #481863=CARTESIAN_POINT('Ctrl Pts',(14.92473482574,2.004414308603,3.628538222762)); #481864=CARTESIAN_POINT('Ctrl Pts',(14.91591921902,2.059227245317,3.520971779492)); #481865=CARTESIAN_POINT('Ctrl Pts',(14.91157326178,2.086190917457,3.466917585116)); #481866=CARTESIAN_POINT('Ctrl Pts',(14.73521041184,3.107995830312,0.6964562875137)); #481867=CARTESIAN_POINT('Ctrl Pts',(14.70203932567,3.152977283254,0.7125146206293)); #481868=CARTESIAN_POINT('Ctrl Pts',(14.64777918808,3.249057303438,0.7456505135871)); #481869=CARTESIAN_POINT('Ctrl Pts',(14.61788288784,3.354823021015,0.7800327184784)); #481870=CARTESIAN_POINT('Ctrl Pts',(14.60948764632,3.407891740347,0.7968205459523)); #481871=CARTESIAN_POINT('Ctrl Pts',(14.73829647394,3.094345620568,0.7409974118583)); #481872=CARTESIAN_POINT('Ctrl Pts',(14.70507984227,3.139393748713,0.7571538158878)); #481873=CARTESIAN_POINT('Ctrl Pts',(14.65077131189,3.235626795702,0.7904973802144)); #481874=CARTESIAN_POINT('Ctrl Pts',(14.62091876629,3.341562035043,0.8250992429268)); #481875=CARTESIAN_POINT('Ctrl Pts',(14.61256838836,3.394711511725,0.8419939235634)); #481876=CARTESIAN_POINT('Ctrl Pts',(14.7421102605,3.072921298547,0.819820321927)); #481877=CARTESIAN_POINT('Ctrl Pts',(14.70921581465,3.118027906327,0.8352417732813)); #481878=CARTESIAN_POINT('Ctrl Pts',(14.65543605962,3.21426670609,0.8670504083262)); #481879=CARTESIAN_POINT('Ctrl Pts',(14.62584850155,3.320053587076,0.9000451437932)); #481880=CARTESIAN_POINT('Ctrl Pts',(14.6175561045,3.373109398216,0.9161564945727)); #481881=CARTESIAN_POINT('Ctrl Pts',(14.74979045389,3.035267098598,0.9562124599098)); #481882=CARTESIAN_POINT('Ctrl Pts',(14.71704963665,3.080502038664,0.9713311759792)); #481883=CARTESIAN_POINT('Ctrl Pts',(14.66356791785,3.176952137365,1.002512412068)); #481884=CARTESIAN_POINT('Ctrl Pts',(14.63424882758,3.282870086657,1.034854016378)); #481885=CARTESIAN_POINT('Ctrl Pts',(14.62607708943,3.335972044592,1.050646666418)); #481886=CARTESIAN_POINT('Ctrl Pts',(14.75758144795,3.001195499147,1.07207457539)); #481887=CARTESIAN_POINT('Ctrl Pts',(14.72461410787,3.046589366362,1.087796179163)); #481888=CARTESIAN_POINT('Ctrl Pts',(14.670841589,3.143447595244,1.120241399865)); #481889=CARTESIAN_POINT('Ctrl Pts',(14.64158533139,3.249867023781,1.15391022268)); #481890=CARTESIAN_POINT('Ctrl Pts',(14.63353553706,3.303214220868,1.170349413914)); #481891=CARTESIAN_POINT('Ctrl Pts',(14.76698185199,2.964018116002,1.182355120068)); #481892=CARTESIAN_POINT('Ctrl Pts',(14.73337373251,3.009626670365,1.19952378821)); #481893=CARTESIAN_POINT('Ctrl Pts',(14.67868124215,3.107155521232,1.235012292276)); #481894=CARTESIAN_POINT('Ctrl Pts',(14.64930552084,3.214525230599,1.271884005918)); #481895=CARTESIAN_POINT('Ctrl Pts',(14.64140686045,3.268353463448,1.289882758233)); #481896=CARTESIAN_POINT('Ctrl Pts',(14.77735104518,2.924227675227,1.290023845844)); #481897=CARTESIAN_POINT('Ctrl Pts',(14.74290823729,2.970070394326,1.308934840003)); #481898=CARTESIAN_POINT('Ctrl Pts',(14.68700412022,3.068381554399,1.348103807383)); #481899=CARTESIAN_POINT('Ctrl Pts',(14.65743591013,3.17690062803,1.388861609194)); #481900=CARTESIAN_POINT('Ctrl Pts',(14.64971065626,3.231313889633,1.408751399731)); #481901=CARTESIAN_POINT('Ctrl Pts',(14.78708099682,2.882284595185,1.401411022648)); #481902=CARTESIAN_POINT('Ctrl Pts',(14.75217442883,2.92834551046,1.421167828864)); #481903=CARTESIAN_POINT('Ctrl Pts',(14.69563004261,3.027273647835,1.462143414772)); #481904=CARTESIAN_POINT('Ctrl Pts',(14.66605989394,3.136605227482,1.504824190053)); #481905=CARTESIAN_POINT('Ctrl Pts',(14.65850004429,3.191420629827,1.52564828461)); #481906=CARTESIAN_POINT('Ctrl Pts',(14.79578666957,2.840173314995,1.516397444418)); #481907=CARTESIAN_POINT('Ctrl Pts',(14.76076935872,2.886446947298,1.536298057228)); #481908=CARTESIAN_POINT('Ctrl Pts',(14.70412424705,2.98585107189,1.577591801971)); #481909=CARTESIAN_POINT('Ctrl Pts',(14.67471446056,3.095691020585,1.620619619148)); #481910=CARTESIAN_POINT('Ctrl Pts',(14.6672989866,3.150744274259,1.641611546869)); #481911=CARTESIAN_POINT('Ctrl Pts',(14.80316620736,2.799041484416,1.63588182288)); #481912=CARTESIAN_POINT('Ctrl Pts',(14.7684404396,2.84550511712,1.655137575337)); #481913=CARTESIAN_POINT('Ctrl Pts',(14.7123074901,2.945191901111,1.695076619549)); #481914=CARTESIAN_POINT('Ctrl Pts',(14.68323716771,3.055164146009,1.736679795373)); #481915=CARTESIAN_POINT('Ctrl Pts',(14.67593843297,3.110255130082,1.756977927654)); #481916=CARTESIAN_POINT('Ctrl Pts',(14.81261676889,2.74891424478,1.783156757902)); #481917=CARTESIAN_POINT('Ctrl Pts',(14.7780108429,2.795608786051,1.80210743989)); #481918=CARTESIAN_POINT('Ctrl Pts',(14.72214385184,2.895725935332,1.841415075144)); #481919=CARTESIAN_POINT('Ctrl Pts',(14.69338432929,3.00605394475,1.882361593507)); #481920=CARTESIAN_POINT('Ctrl Pts',(14.68624538894,3.061296151378,1.902339242549)); #481921=CARTESIAN_POINT('Ctrl Pts',(14.82540517514,2.687448068968,1.953434753272)); #481922=CARTESIAN_POINT('Ctrl Pts',(14.79038481722,2.734454440417,1.973164012375)); #481923=CARTESIAN_POINT('Ctrl Pts',(14.73398948135,2.835361830659,2.01413717106)); #481924=CARTESIAN_POINT('Ctrl Pts',(14.7053621463,2.946639971421,2.056858408419)); #481925=CARTESIAN_POINT('Ctrl Pts',(14.69845793716,3.002340477284,2.077698150354)); #481926=CARTESIAN_POINT('Ctrl Pts',(14.83967620536,2.622237146135,2.118366158454)); #481927=CARTESIAN_POINT('Ctrl Pts',(14.80383897329,2.669578684692,2.139600323742)); #481928=CARTESIAN_POINT('Ctrl Pts',(14.74631150029,2.771472533426,2.183797046486)); #481929=CARTESIAN_POINT('Ctrl Pts',(14.71766999532,2.884072979289,2.229956561644)); #481930=CARTESIAN_POINT('Ctrl Pts',(14.71104992639,2.940425757941,2.252466179216)); #481931=CARTESIAN_POINT('Ctrl Pts',(14.85328591125,2.554652556117,2.285574142316)); #481932=CARTESIAN_POINT('Ctrl Pts',(14.81694001315,2.602319556507,2.30768395952)); #481933=CARTESIAN_POINT('Ctrl Pts',(14.75875707385,2.705068432143,2.353777217067)); #481934=CARTESIAN_POINT('Ctrl Pts',(14.73026243536,2.818716656119,2.401975560233)); #481935=CARTESIAN_POINT('Ctrl Pts',(14.72392106114,2.875572780058,2.425473895484)); #481936=CARTESIAN_POINT('Ctrl Pts',(14.87036980595,2.463127698671,2.511218088943)); #481937=CARTESIAN_POINT('Ctrl Pts',(14.83368777091,2.511229160136,2.533874180088)); #481938=CARTESIAN_POINT('Ctrl Pts',(14.77515658194,2.6149929708,2.581169253464)); #481939=CARTESIAN_POINT('Ctrl Pts',(14.74702113048,2.729755779602,2.630673698228)); #481940=CARTESIAN_POINT('Ctrl Pts',(14.74103452609,2.787130316085,2.654804117914)); #481941=CARTESIAN_POINT('Ctrl Pts',(14.89198789317,2.345969063729,2.790236720179)); #481942=CARTESIAN_POINT('Ctrl Pts',(14.85465887946,2.394634004517,2.81394867799)); #481943=CARTESIAN_POINT('Ctrl Pts',(14.79535667299,2.499791913788,2.863555213754)); #481944=CARTESIAN_POINT('Ctrl Pts',(14.76760342698,2.616166661947,2.915563232207)); #481945=CARTESIAN_POINT('Ctrl Pts',(14.76209829623,2.674299807138,2.940906022596)); #481946=CARTESIAN_POINT('Ctrl Pts',(14.92038253967,2.190957615728,3.13234072298)); #481947=CARTESIAN_POINT('Ctrl Pts',(14.88170521403,2.240373003748,3.158142442192)); #481948=CARTESIAN_POINT('Ctrl Pts',(14.82064431101,2.34756884613,3.212340740975)); #481949=CARTESIAN_POINT('Ctrl Pts',(14.79322987563,2.46646987511,3.269335149848)); #481950=CARTESIAN_POINT('Ctrl Pts',(14.78843106665,2.525805996252,3.297091443688)); #481951=CARTESIAN_POINT('Ctrl Pts',(14.94036491251,2.077615092407,3.360896312174)); #481952=CARTESIAN_POINT('Ctrl Pts',(14.90052901114,2.127567834485,3.388335155079)); #481953=CARTESIAN_POINT('Ctrl Pts',(14.83792801929,2.236297284368,3.446181105768)); #481954=CARTESIAN_POINT('Ctrl Pts',(14.81073017064,2.357147202667,3.507174792595)); #481955=CARTESIAN_POINT('Ctrl Pts',(14.80649116202,2.417408060044,3.536863332871)); #481956=CARTESIAN_POINT('Ctrl Pts',(14.95057661505,2.015885103534,3.482636666849)); #481957=CARTESIAN_POINT('Ctrl Pts',(14.91021216044,2.066149144063,3.510742014028)); #481958=CARTESIAN_POINT('Ctrl Pts',(14.84692847801,2.175714235224,3.570095976063)); #481959=CARTESIAN_POINT('Ctrl Pts',(14.81989426731,2.297577112495,3.632760152099)); #481960=CARTESIAN_POINT('Ctrl Pts',(14.81595293393,2.358312131362,3.663254242278)); #481961=CARTESIAN_POINT('Ctrl Pts',(14.7085943839559,3.13769817301279,0.758588096175977)); #481962=CARTESIAN_POINT('Ctrl Pts',(14.710160227919,3.13134206811572,0.778484269036418)); #481963=CARTESIAN_POINT('Ctrl Pts',(14.7116426477557,3.12506670891793,0.798883391209513)); #481964=CARTESIAN_POINT('Ctrl Pts',(14.713096322814,3.11882562467332,0.819547668812606)); #481965=CARTESIAN_POINT('Ctrl Pts',(14.7137880876116,3.11585566081888,0.829381241819137)); #481966=CARTESIAN_POINT('Ctrl Pts',(14.7144735030016,3.11289337642593,0.839274174881343)); #481967=CARTESIAN_POINT('Ctrl Pts',(14.7151593954513,3.10993325641755,0.849196738567629)); #481968=CARTESIAN_POINT('Ctrl Pts',(14.7172077465012,3.10109314609053,0.878829509355804)); #481969=CARTESIAN_POINT('Ctrl Pts',(14.7192605900041,3.09227449564426,0.908724345847495)); #481970=CARTESIAN_POINT('Ctrl Pts',(14.7213419902753,3.08332709594145,0.938964955526059)); #481971=CARTESIAN_POINT('Ctrl Pts',(14.7235193796951,3.0739670637947,0.970600189016257)); #481972=CARTESIAN_POINT('Ctrl Pts',(14.7257275193004,3.06446647862896,1.00261559501379)); #481973=CARTESIAN_POINT('Ctrl Pts',(14.7280111455647,3.05465540232421,1.03502989685219)); #481974=CARTESIAN_POINT('Ctrl Pts',(14.7297273134276,3.04728228084762,1.05938956725018)); #481975=CARTESIAN_POINT('Ctrl Pts',(14.7314862722248,3.03973323998351,1.08397415876806)); #481976=CARTESIAN_POINT('Ctrl Pts',(14.7333110364695,3.03191884696829,1.10878325481415)); #481977=CARTESIAN_POINT('Ctrl Pts',(14.7334119588721,3.03148665562092,1.11015537375242)); #481978=CARTESIAN_POINT('Ctrl Pts',(14.7335130967798,3.03105388389608,1.11152682192356)); #481979=CARTESIAN_POINT('Ctrl Pts',(14.7336144543995,3.03062051641821,1.11289760260278)); #481980=CARTESIAN_POINT('Ctrl Pts',(14.735697172041,3.02171559053335,1.1410646916809)); #481981=CARTESIAN_POINT('Ctrl Pts',(14.7378725098564,3.01255995409424,1.16895004938724)); #481982=CARTESIAN_POINT('Ctrl Pts',(14.7401496661262,3.00304133282458,1.19672517348134)); #481983=CARTESIAN_POINT('Ctrl Pts',(14.7423983334184,2.99364179683305,1.22415280939579)); #481984=CARTESIAN_POINT('Ctrl Pts',(14.7447471552219,2.98388689265595,1.25146951691458)); #481985=CARTESIAN_POINT('Ctrl Pts',(14.7470785142454,2.97387325018423,1.27928074704787)); #481986=CARTESIAN_POINT('Ctrl Pts',(14.7505079556536,2.9591431293441,1.32019121311784)); #481987=CARTESIAN_POINT('Ctrl Pts',(14.7538988348618,2.94385159786351,1.3621782373181)); #481988=CARTESIAN_POINT('Ctrl Pts',(14.7572259868924,2.92814485293886,1.40550458798546)); #481989=CARTESIAN_POINT('Ctrl Pts',(14.7582990725602,2.92307905410822,1.41947836661367)); #481990=CARTESIAN_POINT('Ctrl Pts',(14.7593654281135,2.91797037271338,1.43359164133209)); #481991=CARTESIAN_POINT('Ctrl Pts',(14.760423866015,2.91282479473078,1.4478537828125)); #481992=CARTESIAN_POINT('Ctrl Pts',(14.7612714258218,2.90870439704375,1.45927440357983)); #481993=CARTESIAN_POINT('Ctrl Pts',(14.7621139195792,2.90456033383429,1.47079041595537)); #481994=CARTESIAN_POINT('Ctrl Pts',(14.7629475674243,2.90039931875184,1.48242151066264)); #481995=CARTESIAN_POINT('Ctrl Pts',(14.764181947524,2.89423811502234,1.4996436410007)); #481996=CARTESIAN_POINT('Ctrl Pts',(14.7653936850692,2.88807222111702,1.51700384710512)); #481997=CARTESIAN_POINT('Ctrl Pts',(14.7665696211355,2.88192124106479,1.53458605952091)); #481998=CARTESIAN_POINT('Ctrl Pts',(14.7685157932075,2.87174138015562,1.56368458940537)); #481999=CARTESIAN_POINT('Ctrl Pts',(14.7703631452074,2.8616024945302,1.59339511267207)); #482000=CARTESIAN_POINT('Ctrl Pts',(14.7722379553932,2.85143646102267,1.62329923835192)); #482001=CARTESIAN_POINT('Ctrl Pts',(14.7745300390405,2.83900778992906,1.65985907677282)); #482002=CARTESIAN_POINT('Ctrl Pts',(14.7768636152161,2.82654033041143,1.69670501608274)); #482003=CARTESIAN_POINT('Ctrl Pts',(14.7792839415437,2.81383083018795,1.73398575039297)); #482004=CARTESIAN_POINT('Ctrl Pts',(14.7806896485352,2.80644924981244,1.75563811531136)); #482005=CARTESIAN_POINT('Ctrl Pts',(14.7821247598914,2.79898572335084,1.77743654354713)); #482006=CARTESIAN_POINT('Ctrl Pts',(14.7835998920812,2.79139575779922,1.79940335372352)); #482007=CARTESIAN_POINT('Ctrl Pts',(14.7860422980322,2.77882889961587,1.83577424188503)); #482008=CARTESIAN_POINT('Ctrl Pts',(14.7885943204284,2.76591754092722,1.87260619095265)); #482009=CARTESIAN_POINT('Ctrl Pts',(14.7912784669103,2.75246633661498,1.91012673755427)); #482010=CARTESIAN_POINT('Ctrl Pts',(14.7927196924054,2.7452438475577,1.93027301821157)); #482011=CARTESIAN_POINT('Ctrl Pts',(14.7941763916602,2.73793226506224,1.95049863884498)); #482012=CARTESIAN_POINT('Ctrl Pts',(14.7956562945531,2.73050238115264,1.97079500948884)); #482013=CARTESIAN_POINT('Ctrl Pts',(14.79845236013,2.71646467453088,2.0091421088583)); #482014=CARTESIAN_POINT('Ctrl Pts',(14.8013322352677,2.70200314060032,2.04773759059621)); #482015=CARTESIAN_POINT('Ctrl Pts',(14.8042525387068,2.68711779147273,2.08684874380559)); #482016=CARTESIAN_POINT('Ctrl Pts',(14.8055370415613,2.68057043300731,2.10405188347419)); #482017=CARTESIAN_POINT('Ctrl Pts',(14.8068292225124,2.67394123275366,2.12135545731634)); #482018=CARTESIAN_POINT('Ctrl Pts',(14.8081247634237,2.6672308918273,2.13878519614112)); #482019=CARTESIAN_POINT('Ctrl Pts',(14.812211965336,2.64606095575931,2.19377293233095)); #482020=CARTESIAN_POINT('Ctrl Pts',(14.8163326148071,2.62408022271989,2.25001886082596)); #482021=CARTESIAN_POINT('Ctrl Pts',(14.8205573201377,2.6013423264101,2.30733403921716)); #482022=CARTESIAN_POINT('Ctrl Pts',(14.8223670761167,2.59160199174119,2.33188639936221)); #482023=CARTESIAN_POINT('Ctrl Pts',(14.8241958040571,2.58172336506964,2.35663506451861)); #482024=CARTESIAN_POINT('Ctrl Pts',(14.8260598527832,2.57169379996048,2.38152854161183)); #482025=CARTESIAN_POINT('Ctrl Pts',(14.8284042888594,2.5590794975863,2.41283736146402)); #482026=CARTESIAN_POINT('Ctrl Pts',(14.8307773044864,2.54622817752265,2.44454793741747)); #482027=CARTESIAN_POINT('Ctrl Pts',(14.8331914804786,2.53314144712237,2.47658659286337)); #482028=CARTESIAN_POINT('Ctrl Pts',(14.8383001053835,2.50544868691617,2.54438342029506)); #482029=CARTESIAN_POINT('Ctrl Pts',(14.8435933719563,2.47670527386663,2.61364718392852)); #482030=CARTESIAN_POINT('Ctrl Pts',(14.8490597903405,2.44678356678314,2.68429906007704)); #482031=CARTESIAN_POINT('Ctrl Pts',(14.8523157330466,2.4289614102838,2.7263811775905)); #482032=CARTESIAN_POINT('Ctrl Pts',(14.8556332549839,2.41072044040889,2.76895525507472)); #482033=CARTESIAN_POINT('Ctrl Pts',(14.8590111525757,2.39202610214481,2.81200022905554)); #482034=CARTESIAN_POINT('Ctrl Pts',(14.8614459623912,2.37855110562317,2.84302731685463)); #482035=CARTESIAN_POINT('Ctrl Pts',(14.8639121976665,2.36484039785679,2.87429887050988)); #482036=CARTESIAN_POINT('Ctrl Pts',(14.8664060699664,2.35088301155634,2.90581811635195)); #482037=CARTESIAN_POINT('Ctrl Pts',(14.8716707352555,2.32141840457361,2.97235651911139)); #482038=CARTESIAN_POINT('Ctrl Pts',(14.8769888454977,2.29133743470843,3.03882083570306)); #482039=CARTESIAN_POINT('Ctrl Pts',(14.8823936239447,2.26027633848909,3.10578699493756)); #482040=CARTESIAN_POINT('Ctrl Pts',(14.8833572393968,2.25473846999574,3.11772636052617)); #482041=CARTESIAN_POINT('Ctrl Pts',(14.8843236127611,2.24916932142478,3.12968163089421)); #482042=CARTESIAN_POINT('Ctrl Pts',(14.8852929354678,2.24356665887037,3.14165601121744)); #482043=CARTESIAN_POINT('Ctrl Pts',(14.8907721328519,2.21189702625116,3.20934244101693)); #482044=CARTESIAN_POINT('Ctrl Pts',(14.8963468781695,2.17915805733632,3.2776369129776)); #482045=CARTESIAN_POINT('Ctrl Pts',(14.9019793624583,2.14513772875866,3.34731405483172)); #482046=CARTESIAN_POINT('Ctrl Pts',(14.9051624656565,2.12591171566272,3.38669091266297)); #482047=CARTESIAN_POINT('Ctrl Pts',(14.9083637524848,2.10627607166716,3.42650982543447)); #482048=CARTESIAN_POINT('Ctrl Pts',(14.9115732617796,2.08619091745676,3.46691758511592)); #482049=CARTESIAN_POINT('Ctrl Pts',(14.55035609046,3.591298434916,-0.44204500146)); #482050=CARTESIAN_POINT('Ctrl Pts',(14.93671863291,2.927194961585,-0.267355087588)); #482051=CARTESIAN_POINT('Ctrl Pts',(16.03728608902,0.960938553989,0.2463299598337)); #482052=CARTESIAN_POINT('Ctrl Pts',(18.31694174666,-4.139890056397,1.541753449183)); #482053=CARTESIAN_POINT('Ctrl Pts',(19.51120186551,-9.253618037109,2.771756725723)); #482054=CARTESIAN_POINT('Ctrl Pts',(19.7872949263,-12.96880049455,3.622390043483)); #482055=CARTESIAN_POINT('Ctrl Pts',(19.81804470439,-13.37474756196,3.715378354252)); #482056=CARTESIAN_POINT('Ctrl Pts',(14.55095247217,3.588883901653,-0.4316471141315)); #482057=CARTESIAN_POINT('Ctrl Pts',(14.93722289821,2.924751409994,-0.256911057761)); #482058=CARTESIAN_POINT('Ctrl Pts',(16.03751313846,0.9584187877338,0.2569160933962)); #482059=CARTESIAN_POINT('Ctrl Pts',(18.31651096397,-4.142478892316,1.55267332721)); #482060=CARTESIAN_POINT('Ctrl Pts',(19.51015784959,-9.25603891958,2.783010967816)); #482061=CARTESIAN_POINT('Ctrl Pts',(19.78587652803,-12.97098268429,3.633869649679)); #482062=CARTESIAN_POINT('Ctrl Pts',(19.8165853265,-13.37690378527,3.726882601741)); #482063=CARTESIAN_POINT('Ctrl Pts',(14.55902378096,3.556027962614,-0.2907255472138)); #482064=CARTESIAN_POINT('Ctrl Pts',(14.94404288959,2.891503298761,-0.1153638484489)); #482065=CARTESIAN_POINT('Ctrl Pts',(16.04056760491,0.924141028948,0.4003900546889)); #482066=CARTESIAN_POINT('Ctrl Pts',(18.31062903413,-4.177684254429,1.700672508621)); #482067=CARTESIAN_POINT('Ctrl Pts',(19.4959453146,-9.288959402139,2.935543701568)); #482068=CARTESIAN_POINT('Ctrl Pts',(19.76657624006,-13.00066166822,3.789458075675)); #482069=CARTESIAN_POINT('Ctrl Pts',(19.7967281393,-13.40623013642,3.882805136821)); #482070=CARTESIAN_POINT('Ctrl Pts',(14.57427169661,3.488580610977,-0.01534163290741)); #482071=CARTESIAN_POINT('Ctrl Pts',(14.95677006288,2.823294826789,0.1612444395359)); #482072=CARTESIAN_POINT('Ctrl Pts',(16.04571449394,0.8539364969079,0.6807692045057)); #482073=CARTESIAN_POINT('Ctrl Pts',(18.29776466906,-4.249652950113,1.989907386264)); #482074=CARTESIAN_POINT('Ctrl Pts',(19.46628888796,-9.356400719306,3.233651380071)); #482075=CARTESIAN_POINT('Ctrl Pts',(19.72661509998,-13.06171529318,4.093545717691)); #482076=CARTESIAN_POINT('Ctrl Pts',(19.75563911727,-13.46658884604,4.187546627906)); #482077=CARTESIAN_POINT('Ctrl Pts',(14.59580744785,3.399829131104,0.3827553738224)); #482078=CARTESIAN_POINT('Ctrl Pts',(14.97440422296,2.732711349151,0.560880115945)); #482079=CARTESIAN_POINT('Ctrl Pts',(16.05163664163,0.7583195734262,1.085176239292)); #482080=CARTESIAN_POINT('Ctrl Pts',(18.2757730617,-4.353174365393,2.405434173054)); #482081=CARTESIAN_POINT('Ctrl Pts',(19.41826602947,-9.458438743241,3.660425805423)); #482082=CARTESIAN_POINT('Ctrl Pts',(19.66242363611,-13.15816961076,4.527837275842)); #482083=CARTESIAN_POINT('Ctrl Pts',(19.6896781837,-13.56243748255,4.622660172304)); #482084=CARTESIAN_POINT('Ctrl Pts',(14.62368191716,3.277362033409,0.7753336106816)); #482085=CARTESIAN_POINT('Ctrl Pts',(14.99783328255,2.609448878131,0.955285729469)); #482086=CARTESIAN_POINT('Ctrl Pts',(16.06175626117,0.6330670353054,1.485202349945)); #482087=CARTESIAN_POINT('Ctrl Pts',(18.25402723772,-4.478739589613,2.818679583084)); #482088=CARTESIAN_POINT('Ctrl Pts',(19.36679194936,-9.575333340037,4.08689141369)); #482089=CARTESIAN_POINT('Ctrl Pts',(19.5921567616,-13.26429160688,4.963227485704)); #482090=CARTESIAN_POINT('Ctrl Pts',(19.61735504062,-13.66738679009,5.059026183316)); #482091=CARTESIAN_POINT('Ctrl Pts',(14.64771578923,3.171857910934,1.171143039141)); #482092=CARTESIAN_POINT('Ctrl Pts',(15.01735109626,2.50223717027,1.352602845985)); #482093=CARTESIAN_POINT('Ctrl Pts',(16.06775871024,0.5211883300482,1.887201161441)); #482094=CARTESIAN_POINT('Ctrl Pts',(18.22764808554,-4.597592602183,3.231572426174)); #482095=CARTESIAN_POINT('Ctrl Pts',(19.3102011177,-9.69179137483,4.51082457778)); #482096=CARTESIAN_POINT('Ctrl Pts',(19.51641529223,-13.37449221919,5.394526839685)); #482097=CARTESIAN_POINT('Ctrl Pts',(19.53951820904,-13.77690811758,5.49113103062)); #482098=CARTESIAN_POINT('Ctrl Pts',(14.67796461878,3.026594811755,1.564746658114)); #482099=CARTESIAN_POINT('Ctrl Pts',(15.04238800573,2.356353990699,1.748079782269)); #482100=CARTESIAN_POINT('Ctrl Pts',(16.07723266611,0.3738361078541,2.288438610171)); #482101=CARTESIAN_POINT('Ctrl Pts',(18.19969009032,-4.743871652363,3.646361875504)); #482102=CARTESIAN_POINT('Ctrl Pts',(19.24730776202,-9.827921733276,4.939162742595)); #482103=CARTESIAN_POINT('Ctrl Pts',(19.43105092395,-13.49869929963,5.832013888968)); #482104=CARTESIAN_POINT('Ctrl Pts',(19.45169555937,-13.8998168539,5.929618401276)); #482105=CARTESIAN_POINT('Ctrl Pts',(14.70525401741,2.893287069753,1.963885656653)); #482106=CARTESIAN_POINT('Ctrl Pts',(15.06473468334,2.222133488548,2.149019439987)); #482107=CARTESIAN_POINT('Ctrl Pts',(16.08480498683,0.237289338135,2.694926560933)); #482108=CARTESIAN_POINT('Ctrl Pts',(18.17179036204,-4.881456118613,4.065873018474)); #482109=CARTESIAN_POINT('Ctrl Pts',(19.18630697719,-9.957302864606,5.371730599125)); #482110=CARTESIAN_POINT('Ctrl Pts',(19.34890094617,-13.61753493654,6.273376512288)); #482111=CARTESIAN_POINT('Ctrl Pts',(19.367231742,-14.01750472806,6.371942649179)); #482112=CARTESIAN_POINT('Ctrl Pts',(14.74210722657,2.694395965094,2.485926731329)); #482113=CARTESIAN_POINT('Ctrl Pts',(15.094054294,2.021724726396,2.673216196744)); #482114=CARTESIAN_POINT('Ctrl Pts',(16.09166062989,0.03289503486298,3.225800310622)); #482115=CARTESIAN_POINT('Ctrl Pts',(18.12448482115,-5.089455044293,4.612327769471)); #482116=CARTESIAN_POINT('Ctrl Pts',(19.08844201436,-10.15649796847,5.933920324976)); #482117=CARTESIAN_POINT('Ctrl Pts',(19.21822105038,-13.80422576061,6.846097268795)); #482118=CARTESIAN_POINT('Ctrl Pts',(19.23296229861,-14.2028352569,6.945814941821)); #482119=CARTESIAN_POINT('Ctrl Pts',(14.78049010233,2.483168721464,2.996314006976)); #482120=CARTESIAN_POINT('Ctrl Pts',(15.12451924353,1.80935207779,3.185803561328)); #482121=CARTESIAN_POINT('Ctrl Pts',(16.09853851556,-0.1823765257208,3.745191008874)); #482122=CARTESIAN_POINT('Ctrl Pts',(18.07439862439,-5.305704858293,5.147623618685)); #482123=CARTESIAN_POINT('Ctrl Pts',(18.98517220286,-10.36161997955,6.485241798227)); #482124=CARTESIAN_POINT('Ctrl Pts',(19.08021998864,-13.99524741846,7.40816598186)); #482125=CARTESIAN_POINT('Ctrl Pts',(19.09116230965,-14.39232213039,7.509058811091)); #482126=CARTESIAN_POINT('Ctrl Pts',(14.81591721036,2.251105749743,3.497357170581)); #482127=CARTESIAN_POINT('Ctrl Pts',(15.15137956545,1.576245317176,3.688927580008)); #482128=CARTESIAN_POINT('Ctrl Pts',(16.09990905907,-0.4180845013064,4.254768428063)); #482129=CARTESIAN_POINT('Ctrl Pts',(18.01408442823,-5.541657007073,5.672238876662)); #482130=CARTESIAN_POINT('Ctrl Pts',(18.86725689352,-10.58576581608,7.025070283975)); #482131=CARTESIAN_POINT('Ctrl Pts',(18.92440784049,-14.20481132516,7.958160482202)); #482132=CARTESIAN_POINT('Ctrl Pts',(18.93120529668,-14.60029862106,8.060164950044)); #482133=CARTESIAN_POINT('Ctrl Pts',(14.84204446964,2.070536279817,3.858617241578)); #482134=CARTESIAN_POINT('Ctrl Pts',(15.17148202952,1.395727643749,4.052147764076)); #482135=CARTESIAN_POINT('Ctrl Pts',(16.10207489148,-0.5981137352381,4.623993773678)); #482136=CARTESIAN_POINT('Ctrl Pts',(17.9728870003,-5.715932976093,6.05565044266)); #482137=CARTESIAN_POINT('Ctrl Pts',(18.78557038709,-10.74585354107,7.422591808035)); #482138=CARTESIAN_POINT('Ctrl Pts',(18.81617885368,-14.35025623088,8.365253334821)); #482139=CARTESIAN_POINT('Ctrl Pts',(18.82007318999,-14.74414781454,8.468304270062)); #482140=CARTESIAN_POINT('Ctrl Pts',(14.86607821855,1.896151638122,4.224698653752)); #482141=CARTESIAN_POINT('Ctrl Pts',(15.18872320842,1.220170118196,4.41942252581)); #482142=CARTESIAN_POINT('Ctrl Pts',(16.09912685722,-0.7767734699591,4.995025765284)); #482143=CARTESIAN_POINT('Ctrl Pts',(17.92099192578,-5.897731251363,6.435290502534)); #482144=CARTESIAN_POINT('Ctrl Pts',(18.68796142753,-10.92175588173,7.811124685507)); #482145=CARTESIAN_POINT('Ctrl Pts',(18.68829395596,-14.51743922793,8.759619981612)); #482146=CARTESIAN_POINT('Ctrl Pts',(18.68887716669,-14.91038243959,8.863308940065)); #482147=CARTESIAN_POINT('Ctrl Pts',(14.88998902313,1.689042164301,4.583741028432)); #482148=CARTESIAN_POINT('Ctrl Pts',(15.20555521408,1.013143298125,4.780286212184)); #482149=CARTESIAN_POINT('Ctrl Pts',(16.09492431008,-0.983212851236,5.361494019123)); #482150=CARTESIAN_POINT('Ctrl Pts',(17.86577037896,-6.098057072623,6.81493340784)); #482151=CARTESIAN_POINT('Ctrl Pts',(18.58508908789,-11.10733388793,8.203952055081)); #482152=CARTESIAN_POINT('Ctrl Pts',(18.55381274114,-14.68786047195,9.161342509831)); #482153=CARTESIAN_POINT('Ctrl Pts',(18.5509391055,-15.07915192002,9.266004055479)); #482154=CARTESIAN_POINT('Ctrl Pts',(14.91055392442,1.492484816354,4.948429414426)); #482155=CARTESIAN_POINT('Ctrl Pts',(15.21882087891,0.8157388190101,5.146207075736)); #482156=CARTESIAN_POINT('Ctrl Pts',(16.08650904975,-1.182756912818,5.731292403361)); #482157=CARTESIAN_POINT('Ctrl Pts',(17.80473230133,-6.298253921133,7.193622929361)); #482158=CARTESIAN_POINT('Ctrl Pts',(18.47489938381,-11.29911872385,8.591817299486)); #482159=CARTESIAN_POINT('Ctrl Pts',(18.41093831342,-14.86902752771,9.555232614499)); #482160=CARTESIAN_POINT('Ctrl Pts',(18.40449026234,-15.25916323653,9.660553076817)); #482161=CARTESIAN_POINT('Ctrl Pts',(14.93135515002,1.274420465558,5.312565759241)); #482162=CARTESIAN_POINT('Ctrl Pts',(15.23222186472,0.5978499596198,5.512175027394)); #482163=CARTESIAN_POINT('Ctrl Pts',(16.07792621942,-1.399777816282,6.102901400792)); #482164=CARTESIAN_POINT('Ctrl Pts',(17.74280349682,-6.508354202013,7.578479686606)); #482165=CARTESIAN_POINT('Ctrl Pts',(18.36314446231,-11.49350029209,8.989947388068)); #482166=CARTESIAN_POINT('Ctrl Pts',(18.26607685912,-15.04744728413,9.962308454741)); #482167=CARTESIAN_POINT('Ctrl Pts',(18.25600823377,-15.43584336203,10.06860704535)); #482168=CARTESIAN_POINT('Ctrl Pts',(14.94791285961,1.058929124668,5.66778484733)); #482169=CARTESIAN_POINT('Ctrl Pts',(15.2411525019,0.38213333242,5.868821282636)); #482170=CARTESIAN_POINT('Ctrl Pts',(16.06421388818,-1.615809568481,6.463996707014)); #482171=CARTESIAN_POINT('Ctrl Pts',(17.6740844546,-6.720503599013,7.949882268891)); #482172=CARTESIAN_POINT('Ctrl Pts',(18.24304322429,-11.69297510486,9.371852641534)); #482173=CARTESIAN_POINT('Ctrl Pts',(18.11169886997,-15.23324245921,10.35118762461)); #482174=CARTESIAN_POINT('Ctrl Pts',(18.09788184477,-15.62014820324,10.45824884716)); #482175=CARTESIAN_POINT('Ctrl Pts',(14.96355548312,0.8061934051458,6.012537774937)); #482176=CARTESIAN_POINT('Ctrl Pts',(15.24804783233,0.1295479114829,6.214954514196)); #482177=CARTESIAN_POINT('Ctrl Pts',(16.04517338265,-1.867599161551,6.81444874723)); #482178=CARTESIAN_POINT('Ctrl Pts',(17.59190109325,-6.965527764613,8.310298628608)); #482179=CARTESIAN_POINT('Ctrl Pts',(18.10186665489,-11.92237981052,9.742474172569)); #482180=CARTESIAN_POINT('Ctrl Pts',(17.93086426776,-15.44673301699,10.72855510428)); #482181=CARTESIAN_POINT('Ctrl Pts',(17.91271069791,-15.83190436227,10.83635406012)); #482182=CARTESIAN_POINT('Ctrl Pts',(14.97699186168,0.5231928690746,6.320261647836)); #482183=CARTESIAN_POINT('Ctrl Pts',(15.25248176329,-0.1521967639877,6.524812246356)); #482184=CARTESIAN_POINT('Ctrl Pts',(16.02292949704,-2.145313606225,7.130829225098)); #482185=CARTESIAN_POINT('Ctrl Pts',(17.50464717644,-7.228561699043,8.642126986762)); #482186=CARTESIAN_POINT('Ctrl Pts',(17.95387019615,-12.16259639008,10.08961921508)); #482187=CARTESIAN_POINT('Ctrl Pts',(17.74189902735,-15.66598752406,11.08611892877)); #482188=CARTESIAN_POINT('Ctrl Pts',(17.71926573207,-16.04887272009,11.19505697854)); #482189=CARTESIAN_POINT('Ctrl Pts',(14.98324900483,0.2129346974637,6.585737593514)); #482190=CARTESIAN_POINT('Ctrl Pts',(15.24843871835,-0.4614266057721,6.791569915692)); #482191=CARTESIAN_POINT('Ctrl Pts',(15.98840944421,-2.451189094306,7.401603966236)); #482192=CARTESIAN_POINT('Ctrl Pts',(17.39566466777,-7.521548906073,8.922152497434)); #482193=CARTESIAN_POINT('Ctrl Pts',(17.7752976627,-12.43466774125,10.37914110469)); #482194=CARTESIAN_POINT('Ctrl Pts',(17.51595982109,-15.91857266957,11.38190541291)); #482195=CARTESIAN_POINT('Ctrl Pts',(17.48814769397,-16.29933288708,11.49152856837)); #482196=CARTESIAN_POINT('Ctrl Pts',(14.98480541319,-0.01780041484356,6.749236483956)); #482197=CARTESIAN_POINT('Ctrl Pts',(15.24262185491,-0.6909705597517,6.956270170678)); #482198=CARTESIAN_POINT('Ctrl Pts',(15.96078068282,-2.67700327989,7.570007758022)); #482199=CARTESIAN_POINT('Ctrl Pts',(17.31472459723,-7.734921890253,9.099244589915)); #482200=CARTESIAN_POINT('Ctrl Pts',(17.64453311892,-12.63021514876,10.56494983352)); #482201=CARTESIAN_POINT('Ctrl Pts',(17.35123847309,-16.09820719485,11.57358195665)); #482202=CARTESIAN_POINT('Ctrl Pts',(17.31971372835,-16.47723157343,11.68384670572)); #482203=CARTESIAN_POINT('Ctrl Pts',(14.98277256043,-0.2583677943644,6.900483628183)); #482204=CARTESIAN_POINT('Ctrl Pts',(15.23300227897,-0.9301936204595,7.108665969873)); #482205=CARTESIAN_POINT('Ctrl Pts',(15.92872598625,-2.912050893168,7.725949833067)); #482206=CARTESIAN_POINT('Ctrl Pts',(17.22780096608,-7.956470169963,9.263492282897)); #482207=CARTESIAN_POINT('Ctrl Pts',(17.50632652306,-12.83303948462,10.73754735701)); #482208=CARTESIAN_POINT('Ctrl Pts',(17.17800513919,-16.28452209137,11.7517901865)); #482209=CARTESIAN_POINT('Ctrl Pts',(17.14265087921,-16.66174539176,11.86266842679)); #482210=CARTESIAN_POINT('Ctrl Pts',(14.97928556982,-0.4073046063753,6.979088824188)); #482211=CARTESIAN_POINT('Ctrl Pts',(15.22485733975,-1.07826306433,7.188076538658)); #482212=CARTESIAN_POINT('Ctrl Pts',(15.90681209822,-3.057440285688,7.807826258371)); #482213=CARTESIAN_POINT('Ctrl Pts',(17.17219044394,-8.093373156713,9.351197993395)); #482214=CARTESIAN_POINT('Ctrl Pts',(17.41921983843,-12.95843674482,10.83104594844)); #482215=CARTESIAN_POINT('Ctrl Pts',(17.06933705229,-16.39986550432,11.84922135206)); #482216=CARTESIAN_POINT('Ctrl Pts',(17.03162551146,-16.775991918,11.96052955166)); #482217=CARTESIAN_POINT('Ctrl Pts',(14.96398273691,-0.7723911452444,7.060366535896)); #482218=CARTESIAN_POINT('Ctrl Pts',(15.19808605038,-1.441141957505,7.271103815234)); #482219=CARTESIAN_POINT('Ctrl Pts',(15.84615430474,-3.41352728785,7.896226563699)); #482220=CARTESIAN_POINT('Ctrl Pts',(17.02854226465,-8.42833067212,9.452257017524)); #482221=CARTESIAN_POINT('Ctrl Pts',(17.19799594789,-13.26531990345,10.94473790638)); #482222=CARTESIAN_POINT('Ctrl Pts',(16.7948741586,-16.68241818515,11.97145736208)); #482223=CARTESIAN_POINT('Ctrl Pts',(16.75134220766,-17.05588995009,12.08369973838)); #482224=CARTESIAN_POINT('Ctrl Pts',(14.94700303525,-1.024935835893,7.011103188766)); #482225=CARTESIAN_POINT('Ctrl Pts',(15.1730501071,-1.692115850233,7.222202880382)); #482226=CARTESIAN_POINT('Ctrl Pts',(15.79732933861,-3.659690610579,7.848543840039)); #482227=CARTESIAN_POINT('Ctrl Pts',(16.92139260445,-8.659811906448,9.407166589749)); #482228=CARTESIAN_POINT('Ctrl Pts',(17.03632189086,-13.47773157117,10.90257880887)); #482229=CARTESIAN_POINT('Ctrl Pts',(16.59564040977,-16.87846048016,11.93107496277)); #482230=CARTESIAN_POINT('Ctrl Pts',(16.54800235664,-17.25014608665,12.04351180129)); #482231=CARTESIAN_POINT('Ctrl Pts',(14.93182856369,-1.262439103906,6.97787166789)); #482232=CARTESIAN_POINT('Ctrl Pts',(15.15031449901,-1.928147300058,7.189417457718)); #482233=CARTESIAN_POINT('Ctrl Pts',(15.75226482764,-3.891211955558,7.817214302208)); #482234=CARTESIAN_POINT('Ctrl Pts',(16.8215910438,-8.877533814151,9.379040335616)); #482235=CARTESIAN_POINT('Ctrl Pts',(16.88535043563,-13.6774761568,10.87793036918)); #482236=CARTESIAN_POINT('Ctrl Pts',(16.40943720896,-17.06275340701,11.90861064607)); #482237=CARTESIAN_POINT('Ctrl Pts',(16.35794753113,-17.43275300874,12.02128645567)); #482238=CARTESIAN_POINT('',(15.7728226343738,-3.99826236745399,7.88853128966651)); #482239=CARTESIAN_POINT('Ctrl Pts',(15.7728226712773,-3.99826234014762, 7.88853106913276)); #482240=CARTESIAN_POINT('Ctrl Pts',(15.7754149779862,-3.98660183280681, 7.89040865573518)); #482241=CARTESIAN_POINT('Ctrl Pts',(15.7779144482055,-3.97493007683364, 7.89219026085545)); #482242=CARTESIAN_POINT('Ctrl Pts',(15.78015224882,-3.963457137342,7.893711876861)); #482243=CARTESIAN_POINT('Ctrl Pts',(15.7728225979322,-3.99826253426242, 7.88853104344053)); #482244=CARTESIAN_POINT('Ctrl Pts',(16.0364946391786,-5.0390932080112,8.2167218065987)); #482245=CARTESIAN_POINT('Ctrl Pts',(16.2525803452272,-6.09183841474234, 8.54577879187886)); #482246=CARTESIAN_POINT('Ctrl Pts',(16.4172218247933,-7.15200896315348, 8.87686821119804)); #482247=CARTESIAN_POINT('Ctrl Pts',(16.688752018456,-8.90046454727635,9.42290785068831)); #482248=CARTESIAN_POINT('Ctrl Pts',(16.8203720018673,-10.6691156849587, 9.97447238379547)); #482249=CARTESIAN_POINT('Ctrl Pts',(16.8119689885759,-12.4383055417869, 10.5217193469747)); #482250=CARTESIAN_POINT('Ctrl Pts',(16.8059247815281,-13.7108668755287, 10.9153488507059)); #482251=CARTESIAN_POINT('Ctrl Pts',(16.7274345775843,-14.9837074120707, 11.3067447406692)); #482252=CARTESIAN_POINT('Ctrl Pts',(16.5764397957485,-16.2495131358224, 11.6922442498254)); #482253=CARTESIAN_POINT('Ctrl Pts',(16.5387663532249,-16.5653337131963, 11.7884270014709)); #482254=CARTESIAN_POINT('Ctrl Pts',(16.4965793697683,-16.880716236529,11.8842427744691)); #482255=CARTESIAN_POINT('Ctrl Pts',(16.4540388540021,-17.1960636958125, 11.9800289455695)); #482256=CARTESIAN_POINT('Origin',(20.03021946891,-13.13298079289,5.504245504762)); #482257=CARTESIAN_POINT('',(19.95133530034,-12.97629447843,7.004245504761)); #482258=CARTESIAN_POINT('Origin',(21.31405647071,-11.64696368204,7.004245504761)); #482259=CARTESIAN_POINT('',(22.6947555681873,-9.78475556819072,7.004245504761)); #482260=CARTESIAN_POINT('Origin',(22.45400106,-9.544001060003,5.504245504762)); #482261=CARTESIAN_POINT('Origin',(0.,0.,2.004328879589)); #482262=CARTESIAN_POINT('Ctrl Pts',(23.8,-1.68011579637925E-12,4.00424550476882)); #482263=CARTESIAN_POINT('Ctrl Pts',(23.8,-4.77915500697376,4.00424550476814)); #482264=CARTESIAN_POINT('Ctrl Pts',(23.8,-9.95991967839779,0.00424550476391342)); #482265=CARTESIAN_POINT('Ctrl Pts',(16.7743950142017,-19.6025424858013, 0.00424550476450538)); #482266=CARTESIAN_POINT('Ctrl Pts',(16.7849648564074,-19.5167619067455, 0.120851298330444)); #482267=CARTESIAN_POINT('Ctrl Pts',(16.7991719947237,-19.4295190960223, 0.234481224899036)); #482268=CARTESIAN_POINT('Ctrl Pts',(16.8665548210577,-19.1043217759792, 0.636846974639256)); #482269=CARTESIAN_POINT('Ctrl Pts',(16.9517128366047,-18.8290998444676, 0.936400598892776)); #482270=CARTESIAN_POINT('Ctrl Pts',(17.164592487995,-18.4037215746303,1.2734113218815)); #482271=CARTESIAN_POINT('Ctrl Pts',(17.2496092806692,-18.2598338906203, 1.3671556093446)); #482272=CARTESIAN_POINT('Ctrl Pts',(17.4512820462338,-17.9759334819246, 1.49826995060597)); #482273=CARTESIAN_POINT('Ctrl Pts',(17.5678901009434,-17.835915821344,1.53570300984523)); #482274=CARTESIAN_POINT('Ctrl Pts',(17.835915821344,-17.5678901009434,1.53570300984523)); #482275=CARTESIAN_POINT('Ctrl Pts',(17.9759334819246,-17.4512820462338, 1.49826995060597)); #482276=CARTESIAN_POINT('Ctrl Pts',(18.2598338906203,-17.2496092806692, 1.3671556093446)); #482277=CARTESIAN_POINT('Ctrl Pts',(18.4037215746303,-17.164592487995,1.2734113218815)); #482278=CARTESIAN_POINT('Ctrl Pts',(18.8290998444676,-16.9517128366047, 0.936400598892776)); #482279=CARTESIAN_POINT('Ctrl Pts',(19.1043217759792,-16.8665548210577, 0.636846974639256)); #482280=CARTESIAN_POINT('Ctrl Pts',(19.429519096022,-16.7991719947237,0.234481224899357)); #482281=CARTESIAN_POINT('Ctrl Pts',(19.516761906745,-16.7849648564075,0.120851298331107)); #482282=CARTESIAN_POINT('Ctrl Pts',(19.6025424858006,-16.7743950142018, 0.00424550476552658)); #482283=CARTESIAN_POINT('Ctrl Pts',(-5.34144724606677E-13,-23.8,4.00424550476882)); #482284=CARTESIAN_POINT('Ctrl Pts',(4.77915500697207,-23.8,4.00424550476903)); #482285=CARTESIAN_POINT('Ctrl Pts',(9.95991967839661,-23.8,0.00424550476482838)); #482286=CARTESIAN_POINT('Origin',(0.,-23.8,2.004245504764)); #482287=CARTESIAN_POINT('Ctrl Pts',(-5.3669236727438E-13,-23.8,4.00424550476782)); #482288=CARTESIAN_POINT('Ctrl Pts',(-4.77915500697248,-23.8,4.0042455047676)); #482289=CARTESIAN_POINT('Ctrl Pts',(-9.95991967839625,-23.8,0.00424550476410413)); #482290=CARTESIAN_POINT('Origin',(0.,0.,2.004328879588)); #482291=CARTESIAN_POINT('Origin',(0.,0.,4.000782242867)); #482292=CARTESIAN_POINT('Ctrl Pts',(-19.6025424858004,-16.7743950142018, 0.0042455047647658)); #482293=CARTESIAN_POINT('Ctrl Pts',(-19.5167619067449,-16.7849648564076, 0.120851298330263)); #482294=CARTESIAN_POINT('Ctrl Pts',(-19.429519096022,-16.7991719947237, 0.234481224898433)); #482295=CARTESIAN_POINT('Ctrl Pts',(-19.1043217759792,-16.8665548210577, 0.636846974638256)); #482296=CARTESIAN_POINT('Ctrl Pts',(-18.8290998444676,-16.9517128366047, 0.936400598891776)); #482297=CARTESIAN_POINT('Ctrl Pts',(-18.4037215746303,-17.164592487995, 1.2734113218805)); #482298=CARTESIAN_POINT('Ctrl Pts',(-18.2598338906203,-17.2496092806692, 1.3671556093436)); #482299=CARTESIAN_POINT('Ctrl Pts',(-17.9759334819246,-17.4512820462338, 1.49826995060497)); #482300=CARTESIAN_POINT('Ctrl Pts',(-17.835915821344,-17.5678901009434, 1.53570300984423)); #482301=CARTESIAN_POINT('Ctrl Pts',(-17.5678901009434,-17.835915821344, 1.53570300984423)); #482302=CARTESIAN_POINT('Ctrl Pts',(-17.4512820462338,-17.9759334819246, 1.49826995060497)); #482303=CARTESIAN_POINT('Ctrl Pts',(-17.2496092806692,-18.2598338906203, 1.3671556093436)); #482304=CARTESIAN_POINT('Ctrl Pts',(-17.164592487995,-18.4037215746303, 1.2734113218805)); #482305=CARTESIAN_POINT('Ctrl Pts',(-16.9517128366047,-18.8290998444676, 0.936400598891778)); #482306=CARTESIAN_POINT('Ctrl Pts',(-16.8665548210577,-19.1043217759792, 0.636846974638262)); #482307=CARTESIAN_POINT('Ctrl Pts',(-16.7991719947237,-19.4295190960221, 0.234481224898359)); #482308=CARTESIAN_POINT('Ctrl Pts',(-16.7849648564075,-19.516761906745, 0.120851298330102)); #482309=CARTESIAN_POINT('Ctrl Pts',(-16.7743950142018,-19.6025424858006, 0.00424550476451544)); #482310=CARTESIAN_POINT('',(-23.7999999997711,-1.26074097635698,3.93750792870216)); #482311=CARTESIAN_POINT('Ctrl Pts',(-23.8,-9.95991967839628,0.00424550476408531)); #482312=CARTESIAN_POINT('Ctrl Pts',(-23.8,-5.43798582636272,3.4955709278081)); #482313=CARTESIAN_POINT('Ctrl Pts',(-23.8,-1.26074097625563,3.93750792774416)); #482314=CARTESIAN_POINT('Ctrl Pts',(-23.7673142161178,-1.28678765609398, 4.)); #482315=CARTESIAN_POINT('Ctrl Pts',(-23.7836433403284,-1.27377269565169, 3.96879673805504)); #482316=CARTESIAN_POINT('Ctrl Pts',(-23.7999999995955,-1.26074097657938, 3.93750792851769)); #482317=CARTESIAN_POINT('Origin',(0.,0.,2.012745533165)); #482318=CARTESIAN_POINT('',(7.70202429031856,-12.9140561425281,0.0411650412962972)); #482319=CARTESIAN_POINT('Ctrl Pts',(7.70202460312478,-12.9140568079799, 0.041162907807713)); #482320=CARTESIAN_POINT('Ctrl Pts',(7.72883609910074,-12.8841766893755, 0.0357740921500926)); #482321=CARTESIAN_POINT('Ctrl Pts',(7.75784158479541,-12.8560310556663, 0.0316326216764927)); #482322=CARTESIAN_POINT('Ctrl Pts',(7.81917570059981,-12.8045246307101, 0.0261214260853757)); #482323=CARTESIAN_POINT('Ctrl Pts',(7.85143659005657,-12.7810884028904, 0.0247042328225309)); #482324=CARTESIAN_POINT('Ctrl Pts',(7.88527879806325,-12.7601871937392, 0.0247088075373119)); #482325=CARTESIAN_POINT('',(6.0993316010349E-6,-19.573916314763,2.09091895622165)); #482326=CARTESIAN_POINT('Ctrl Pts',(7.70202342905137,-12.9140558707486, 0.0411622725147286)); #482327=CARTESIAN_POINT('Ctrl Pts',(6.58943804745745,-14.1555386698361, 0.265384987143518)); #482328=CARTESIAN_POINT('Ctrl Pts',(5.43475837102564,-15.3303208736376, 0.564066487298313)); #482329=CARTESIAN_POINT('Ctrl Pts',(2.8376773720369,-17.5923163673404,1.2679168623678)); #482330=CARTESIAN_POINT('Ctrl Pts',(1.44914905519171,-18.6372505524303, 1.66779198516718)); #482331=CARTESIAN_POINT('Ctrl Pts',(6.0993316036706E-6,-19.573916314763, 2.09091895622165)); #482332=CARTESIAN_POINT('Ctrl Pts',(-6.50789342326244,-22.8939289281801, 4.00042381433051)); #482333=CARTESIAN_POINT('Ctrl Pts',(-5.42274143298755,-22.4607452773521, 3.67815912285453)); #482334=CARTESIAN_POINT('Ctrl Pts',(-4.38805006955139,-22.0163863650409, 3.37973067210429)); #482335=CARTESIAN_POINT('Ctrl Pts',(-2.16078991214194,-20.8912219835627, 2.72499916150536)); #482336=CARTESIAN_POINT('Ctrl Pts',(-1.06338921065546,-20.2612508352548, 2.40141367637939)); #482337=CARTESIAN_POINT('Ctrl Pts',(6.09933160228282E-6,-19.573916314763, 2.09091895622165)); #482338=CARTESIAN_POINT('',(0.000416218350672865,-18.4769982954818,1.59539980794916)); #482339=CARTESIAN_POINT('Ctrl Pts',(0.00041621835067257,-18.4769982954818, 1.59539980794916)); #482340=CARTESIAN_POINT('Ctrl Pts',(-1.26681212867183,-19.2690237099365, 1.95317459912674)); #482341=CARTESIAN_POINT('Ctrl Pts',(-2.5827376406581,-19.9764335614383, 2.33135196616735)); #482342=CARTESIAN_POINT('Ctrl Pts',(-5.43546344173069,-21.2784522200842, 3.15095829706078)); #482343=CARTESIAN_POINT('Ctrl Pts',(-6.80536679172936,-21.7752885815597, 3.53729740529955)); #482344=CARTESIAN_POINT('Ctrl Pts',(-8.40235687808401,-22.2685747877611, 4.00046524348495)); #482345=CARTESIAN_POINT('',(4.89281059317973,-14.7807779400365,0.281999867565347)); #482346=CARTESIAN_POINT('Ctrl Pts',(0.000416218350674513,-18.4769982954818, 1.59539980794916)); #482347=CARTESIAN_POINT('Ctrl Pts',(0.890686947573068,-17.9205736819178, 1.3440509291244)); #482348=CARTESIAN_POINT('Ctrl Pts',(1.75376073122333,-17.3243153955369, 1.10352957642574)); #482349=CARTESIAN_POINT('Ctrl Pts',(3.38289295098476,-16.0861674344535, 0.663845057067044)); #482350=CARTESIAN_POINT('Ctrl Pts',(4.13605241278255,-15.4620269852134, 0.466722485127961)); #482351=CARTESIAN_POINT('Ctrl Pts',(4.89281124024981,-14.7807791335261, 0.281998116923634)); #482352=CARTESIAN_POINT('Ctrl Pts',(4.65194224866147,-14.2604149594746, 0.0247088460680814)); #482353=CARTESIAN_POINT('Ctrl Pts',(4.67892174675545,-14.2516092765567, 0.0247068697045341)); #482354=CARTESIAN_POINT('Ctrl Pts',(4.70697875312617,-14.2464526516189, 0.0264603206474164)); #482355=CARTESIAN_POINT('Ctrl Pts',(4.76305196924832,-14.2443449458894, 0.0335466595194152)); #482356=CARTESIAN_POINT('Ctrl Pts',(4.79043216200797,-14.2473773232567, 0.0387807252413105)); #482357=CARTESIAN_POINT('Ctrl Pts',(4.85402776988941,-14.2643218092305, 0.0552291427719786)); #482358=CARTESIAN_POINT('Ctrl Pts',(4.88428731176172,-14.281067246222,0.0668135730731077)); #482359=CARTESIAN_POINT('Ctrl Pts',(4.94026769920856,-14.3242942754237, 0.0934928377943146)); #482360=CARTESIAN_POINT('Ctrl Pts',(4.96289744469107,-14.3541260071375, 0.109574738836376)); #482361=CARTESIAN_POINT('Ctrl Pts',(4.99773809791942,-14.4194454203564, 0.142606865739742)); #482362=CARTESIAN_POINT('Ctrl Pts',(5.00752643889173,-14.457884196953,0.16046123679839)); #482363=CARTESIAN_POINT('Ctrl Pts',(5.01383669304399,-14.5323676570296, 0.19319301088932)); #482364=CARTESIAN_POINT('Ctrl Pts',(5.01037656532814,-14.576895478785,0.211691185547628)); #482365=CARTESIAN_POINT('Ctrl Pts',(4.98544154722428,-14.6533744902701, 0.240744230009862)); #482366=CARTESIAN_POINT('Ctrl Pts',(4.97180423217357,-14.6820011397331, 0.251005622142432)); #482367=CARTESIAN_POINT('Ctrl Pts',(4.93732068999988,-14.7349173933054, 0.268686702648829)); #482368=CARTESIAN_POINT('Ctrl Pts',(4.91656716894464,-14.7593625904642, 0.276186001086734)); #482369=CARTESIAN_POINT('Ctrl Pts',(4.89281009870302,-14.7807780380293, 0.281997485062736)); #482370=CARTESIAN_POINT('Ctrl Pts',(4.624694604495,-14.27357989752,-0.05340803264637)); #482371=CARTESIAN_POINT('Ctrl Pts',(4.66735859645,-14.25090298323,-0.04096980714491)); #482372=CARTESIAN_POINT('Ctrl Pts',(4.748558492356,-14.23242447654,-0.01930379038439)); #482373=CARTESIAN_POINT('Ctrl Pts',(4.862004432301,-14.25564325513,0.006978905997223)); #482374=CARTESIAN_POINT('Ctrl Pts',(4.948460230562,-14.30750814428,0.02423008560144)); #482375=CARTESIAN_POINT('Ctrl Pts',(5.013971761202,-14.38543007203,0.03416157536204)); #482376=CARTESIAN_POINT('Ctrl Pts',(5.051204376756,-14.48068602795,0.03566135578816)); #482377=CARTESIAN_POINT('Ctrl Pts',(5.055990480839,-14.58261171481,0.02856170542322)); #482378=CARTESIAN_POINT('Ctrl Pts',(5.023278217203,-14.69535770509,0.01127065082631)); #482379=CARTESIAN_POINT('Ctrl Pts',(4.969388948988,-14.75968773858,-0.007342081062064)); #482380=CARTESIAN_POINT('Ctrl Pts',(4.929835190496,-14.7874502244,-0.01942152845073)); #482381=CARTESIAN_POINT('Ctrl Pts',(4.607153585139,-14.27926220686,0.01853601970923)); #482382=CARTESIAN_POINT('Ctrl Pts',(4.649858062627,-14.25663485205,0.03090846709975)); #482383=CARTESIAN_POINT('Ctrl Pts',(4.731101164126,-14.23824804336,0.05245976881734)); #482384=CARTESIAN_POINT('Ctrl Pts',(4.844543481469,-14.26158925853,0.07860395567362)); #482385=CARTESIAN_POINT('Ctrl Pts',(4.930953525472,-14.31354382983,0.0957651554469)); #482386=CARTESIAN_POINT('Ctrl Pts',(4.996383202139,-14.39153046826,0.1056463833225)); #482387=CARTESIAN_POINT('Ctrl Pts',(5.033508099586,-14.48682019594,0.1071414295637)); #482388=CARTESIAN_POINT('Ctrl Pts',(5.03817329513,-14.58874649694,0.1000831308052)); #482389=CARTESIAN_POINT('Ctrl Pts',(5.005321265783,-14.70145658991,0.08288799822169)); #482390=CARTESIAN_POINT('Ctrl Pts',(4.951346208227,-14.76573387835,0.06437622841655)); #482391=CARTESIAN_POINT('Ctrl Pts',(4.911752128393,-14.79345939569,0.05236153766557)); #482392=CARTESIAN_POINT('Ctrl Pts',(4.582473239055,-14.28720528924,0.1208043747513)); #482393=CARTESIAN_POINT('Ctrl Pts',(4.625156687864,-14.26468138573,0.1333391980987)); #482394=CARTESIAN_POINT('Ctrl Pts',(4.706315723258,-14.24646421405,0.1551725054673)); #482395=CARTESIAN_POINT('Ctrl Pts',(4.819577243303,-14.26998481851,0.1816627543079)); #482396=CARTESIAN_POINT('Ctrl Pts',(4.905817152688,-14.32203834009,0.1990567969907)); #482397=CARTESIAN_POINT('Ctrl Pts',(4.971091744817,-14.4000635043,0.2090814600395)); #482398=CARTESIAN_POINT('Ctrl Pts',(5.008101836331,-14.49533637451,0.2106155700643)); #482399=CARTESIAN_POINT('Ctrl Pts',(5.012709797361,-14.59720376104,0.2034877501143)); #482400=CARTESIAN_POINT('Ctrl Pts',(4.979866202807,-14.70981855492,0.1860940931169)); #482401=CARTESIAN_POINT('Ctrl Pts',(4.925958892562,-14.77402550591,0.167354619516)); #482402=CARTESIAN_POINT('Ctrl Pts',(4.886419381114,-14.80171854055,0.1551874576014)); #482403=CARTESIAN_POINT('Ctrl Pts',(4.548803436513,-14.29793282655,0.2523272279591)); #482404=CARTESIAN_POINT('Ctrl Pts',(4.591280400407,-14.27562309596,0.2655895269325)); #482405=CARTESIAN_POINT('Ctrl Pts',(4.671996728111,-14.25772610036,0.2886869696708)); #482406=CARTESIAN_POINT('Ctrl Pts',(4.7846138111,-14.28151045103,0.3167251833437)); #482407=CARTESIAN_POINT('Ctrl Pts',(4.87038008457,-14.33364460618,0.3351560576337)); #482408=CARTESIAN_POINT('Ctrl Pts',(4.93534691178,-14.41161399386,0.3458119105637)); #482409=CARTESIAN_POINT('Ctrl Pts',(4.97227335536,-14.50672953539,0.3475049534137)); #482410=CARTESIAN_POINT('Ctrl Pts',(4.97704467932,-14.60839054831,0.3400466832537)); #482411=CARTESIAN_POINT('Ctrl Pts',(4.944658043695,-14.72077907033,0.3217415607266)); #482412=CARTESIAN_POINT('Ctrl Pts',(4.891257037495,-14.78489523951,0.3019699176414)); #482413=CARTESIAN_POINT('Ctrl Pts',(4.852038377852,-14.81258287471,0.2891158244267)); #482414=CARTESIAN_POINT('Ctrl Pts',(4.500710415815,-14.31317245642,0.4329055000338)); #482415=CARTESIAN_POINT('Ctrl Pts',(4.542961704164,-14.29113715434,0.4469699281039)); #482416=CARTESIAN_POINT('Ctrl Pts',(4.623175416759,-14.27366014652,0.4714607784008)); #482417=CARTESIAN_POINT('Ctrl Pts',(4.73502962359,-14.29781698263,0.5012074098237)); #482418=CARTESIAN_POINT('Ctrl Pts',(4.82021348298,-14.35009469335,0.5207856644137)); #482419=CARTESIAN_POINT('Ctrl Pts',(4.88477421175,-14.42803676906,0.5321449924137)); #482420=CARTESIAN_POINT('Ctrl Pts',(4.9215439521,-14.52299064792,0.5340239463037)); #482421=CARTESIAN_POINT('Ctrl Pts',(4.92644125252,-14.62441448179,0.5262140854437)); #482422=CARTESIAN_POINT('Ctrl Pts',(4.894514864282,-14.73652148266,0.5069191312716)); #482423=CARTESIAN_POINT('Ctrl Pts',(4.84166184584,-14.80050178164,0.4860181582465)); #482424=CARTESIAN_POINT('Ctrl Pts',(4.802798699404,-14.82816095591,0.4724098168928)); #482425=CARTESIAN_POINT('Ctrl Pts',(4.440415199002,-14.33200694094,0.6423554918623)); #482426=CARTESIAN_POINT('Ctrl Pts',(4.482485880982,-14.31026983801,0.6570576609487)); #482427=CARTESIAN_POINT('Ctrl Pts',(4.562259035856,-14.29325979994,0.682655144901)); #482428=CARTESIAN_POINT('Ctrl Pts',(4.67338784493,-14.31785958845,0.7137643432437)); #482429=CARTESIAN_POINT('Ctrl Pts',(4.75798243933,-14.37033829149,0.7342659065737)); #482430=CARTESIAN_POINT('Ctrl Pts',(4.82209083113,-14.448300836,0.7462045904937)); #482431=CARTESIAN_POINT('Ctrl Pts',(4.85862264057,-14.54312555538,0.7482598358637)); #482432=CARTESIAN_POINT('Ctrl Pts',(4.86353838094,-14.644325285,0.7402045435237)); #482433=CARTESIAN_POINT('Ctrl Pts',(4.831930944535,-14.75614094382,0.7201631464928)); #482434=CARTESIAN_POINT('Ctrl Pts',(4.779525041605,-14.81995658547,0.698387732923)); #482435=CARTESIAN_POINT('Ctrl Pts',(4.740964939234,-14.84756385346,0.6841882439082)); #482436=CARTESIAN_POINT('Ctrl Pts',(4.369243682388,-14.35380711354,0.8816711773819)); #482437=CARTESIAN_POINT('Ctrl Pts',(4.411358724568,-14.3323160522,0.8964352055166)); #482438=CARTESIAN_POINT('Ctrl Pts',(4.491086151052,-14.31572435714,0.9221383749684)); #482439=CARTESIAN_POINT('Ctrl Pts',(4.6019359362,-14.34080549129,0.9533855842437)); #482440=CARTESIAN_POINT('Ctrl Pts',(4.68618890074,-14.39358457295,0.9739918482237)); #482441=CARTESIAN_POINT('Ctrl Pts',(4.74991087727,-14.4717118224,0.9860141222737)); #482442=CARTESIAN_POINT('Ctrl Pts',(4.78606837165,-14.56656477255,0.9881253212637)); #482443=CARTESIAN_POINT('Ctrl Pts',(4.79067131248,-14.66767426942,0.9800926430637)); #482444=CARTESIAN_POINT('Ctrl Pts',(4.758813670425,-14.77928549809,0.9600338134729)); #482445=CARTESIAN_POINT('Ctrl Pts',(4.70634720476,-14.84290926497,0.9382050954835)); #482446=CARTESIAN_POINT('Ctrl Pts',(4.667792175207,-14.87040842042,0.9239595199642)); #482447=CARTESIAN_POINT('Ctrl Pts',(4.315942570626,-14.36991863612,1.061213917398)); #482448=CARTESIAN_POINT('Ctrl Pts',(4.358098209897,-14.34860689202,1.076021999412)); #482449=CARTESIAN_POINT('Ctrl Pts',(4.437807214708,-14.33232565991,1.101800687295)); #482450=CARTESIAN_POINT('Ctrl Pts',(4.54846745197,-14.35777727625,1.133145289884)); #482451=CARTESIAN_POINT('Ctrl Pts',(4.63247441743,-14.41079803234,1.153823804144)); #482452=CARTESIAN_POINT('Ctrl Pts',(4.69590613953,-14.48906994207,1.165901422104)); #482453=CARTESIAN_POINT('Ctrl Pts',(4.73176970566,-14.58396508338,1.168046532164)); #482454=CARTESIAN_POINT('Ctrl Pts',(4.73611231126,-14.68502240333,1.160022865714)); #482455=CARTESIAN_POINT('Ctrl Pts',(4.704025629808,-14.79648605919,1.139943221746)); #482456=CARTESIAN_POINT('Ctrl Pts',(4.651478932901,-14.85995539665,1.118071727173)); #482457=CARTESIAN_POINT('Ctrl Pts',(4.612908418671,-14.88736109027,1.10379161129)); #482458=CARTESIAN_POINT('Ctrl Pts',(4.26151670702,-14.38613869757,1.239665154232)); #482459=CARTESIAN_POINT('Ctrl Pts',(4.303466875619,-14.3651073825,1.255125846908)); #482460=CARTESIAN_POINT('Ctrl Pts',(4.382702303477,-14.3492577721,1.282036710117)); #482461=CARTESIAN_POINT('Ctrl Pts',(4.4926180581,-14.37510160268,1.314776568844)); #482462=CARTESIAN_POINT('Ctrl Pts',(4.57603922266,-14.42828435478,1.336402319074)); #482463=CARTESIAN_POINT('Ctrl Pts',(4.63904072671,-14.50654715662,1.349077190654)); #482464=CARTESIAN_POINT('Ctrl Pts',(4.67470391606,-14.60129636292,1.351409032524)); #482465=CARTESIAN_POINT('Ctrl Pts',(4.6791132358,-14.7021273121,1.343141963954)); #482466=CARTESIAN_POINT('Ctrl Pts',(4.647407441903,-14.81331373042,1.322308015494)); #482467=CARTESIAN_POINT('Ctrl Pts',(4.595351246012,-14.87664142661,1.299547676219)); #482468=CARTESIAN_POINT('Ctrl Pts',(4.557106454614,-14.90401186018,1.284665146554)); #482469=CARTESIAN_POINT('Ctrl Pts',(4.199315130256,-14.40441297498,1.432088345897)); #482470=CARTESIAN_POINT('Ctrl Pts',(4.24101141575,-14.38370647154,1.448281288091)); #482471=CARTESIAN_POINT('Ctrl Pts',(4.319671221792,-14.36835188171,1.476461661217)); #482472=CARTESIAN_POINT('Ctrl Pts',(4.428695244209,-14.39463408606,1.510769957326)); #482473=CARTESIAN_POINT('Ctrl Pts',(4.511422345705,-14.4479868417,1.533466110773)); #482474=CARTESIAN_POINT('Ctrl Pts',(4.573922412049,-14.52622070421,1.546824880416)); #482475=CARTESIAN_POINT('Ctrl Pts',(4.60936387076,-14.62078526358,1.549385880097)); #482476=CARTESIAN_POINT('Ctrl Pts',(4.613873665118,-14.72134456711,1.540869390923)); #482477=CARTESIAN_POINT('Ctrl Pts',(4.58264815796,-14.83220925098,1.519217559574)); #482478=CARTESIAN_POINT('Ctrl Pts',(4.531194881311,-14.89538329613,1.495476831311)); #482479=CARTESIAN_POINT('Ctrl Pts',(4.493347993722,-14.92272311527,1.479924862652)); #482480=CARTESIAN_POINT('Ctrl Pts',(4.154561176339,-14.41734577372,1.565184174653)); #482481=CARTESIAN_POINT('Ctrl Pts',(4.196223466717,-14.39681310697,1.581541445811)); #482482=CARTESIAN_POINT('Ctrl Pts',(4.274743093602,-14.3817420049,1.610005769513)); #482483=CARTESIAN_POINT('Ctrl Pts',(4.383458598477,-14.40832396643,1.644669398804)); #482484=CARTESIAN_POINT('Ctrl Pts',(4.465889930007,-14.46184333783,1.667614457695)); #482485=CARTESIAN_POINT('Ctrl Pts',(4.52811285144,-14.54014469353,1.681142459487)); #482486=CARTESIAN_POINT('Ctrl Pts',(4.563338405678,-14.63468582774,1.68377730376)); #482487=CARTESIAN_POINT('Ctrl Pts',(4.567724114808,-14.73515214756,1.675231757254)); #482488=CARTESIAN_POINT('Ctrl Pts',(4.536477948158,-14.84586320805,1.653428510089)); #482489=CARTESIAN_POINT('Ctrl Pts',(4.485115440897,-14.90892164612,1.629486549883)); #482490=CARTESIAN_POINT('Ctrl Pts',(4.447349430176,-14.93620699859,1.613791324941)); #482491=CARTESIAN_POINT('Ctrl Pts',(4.12952761748,-14.42450872607,1.639274525049)); #482492=CARTESIAN_POINT('Ctrl Pts',(4.171194452233,-14.40406440956,1.655671103428)); #482493=CARTESIAN_POINT('Ctrl Pts',(4.249678748876,-14.38914079381,1.684202965344)); #482494=CARTESIAN_POINT('Ctrl Pts',(4.358274143942,-14.4158869865,1.718952939963)); #482495=CARTESIAN_POINT('Ctrl Pts',(4.440572552751,-14.46950479928,1.741961037352)); #482496=CARTESIAN_POINT('Ctrl Pts',(4.502654796788,-14.54785553692,1.755535861579)); #482497=CARTESIAN_POINT('Ctrl Pts',(4.537752698468,-14.64239847376,1.758197384522)); #482498=CARTESIAN_POINT('Ctrl Pts',(4.542040768646,-14.74282710636,1.749655693992)); #482499=CARTESIAN_POINT('Ctrl Pts',(4.510728929853,-14.85346360465,1.727829093738)); #482500=CARTESIAN_POINT('Ctrl Pts',(4.45936557171,-14.9164574131,1.703846471871)); #482501=CARTESIAN_POINT('Ctrl Pts',(4.421613507218,-14.9437083035,1.688119720698)); #482502=CARTESIAN_POINT('',(4.47211956309784,-14.9090352907494,1.65778386890559)); #482503=CARTESIAN_POINT('Ctrl Pts',(4.89281044022618,-14.7807766488789, 0.282004000797728)); #482504=CARTESIAN_POINT('Ctrl Pts',(4.89113768731913,-14.7813025044211, 0.288406710668051)); #482505=CARTESIAN_POINT('Ctrl Pts',(4.88943962172843,-14.7818492866472, 0.294799664385915)); #482506=CARTESIAN_POINT('Ctrl Pts',(4.88773966892471,-14.7823936515396, 0.301189983756611)); #482507=CARTESIAN_POINT('Ctrl Pts',(4.88365053789482,-14.783703087524,0.316561500643632)); #482508=CARTESIAN_POINT('Ctrl Pts',(4.87955048740838,-14.7849985278184, 0.331917757510095)); #482509=CARTESIAN_POINT('Ctrl Pts',(4.87542809238708,-14.7862929871533, 0.34725742671383)); #482510=CARTESIAN_POINT('Ctrl Pts',(4.87130569736578,-14.7875874464881, 0.362597095917566)); #482511=CARTESIAN_POINT('Ctrl Pts',(4.86716095419221,-14.7888809236985, 0.37792017710482)); #482512=CARTESIAN_POINT('Ctrl Pts',(4.86298245480498,-14.7901864623187, 0.393225390833628)); #482513=CARTESIAN_POINT('Ctrl Pts',(4.84626845725606,-14.7954086167995, 0.454446245748824)); #482514=CARTESIAN_POINT('Ctrl Pts',(4.82901446270721,-14.8008238416869, 0.515381335396209)); #482515=CARTESIAN_POINT('Ctrl Pts',(4.81140913114222,-14.8063558340739, 0.57605814530807)); #482516=CARTESIAN_POINT('Ctrl Pts',(4.79380379957724,-14.811887826461,0.63673495521993)); #482517=CARTESIAN_POINT('Ctrl Pts',(4.77584708915881,-14.8175365560172, 0.697153460409823)); #482518=CARTESIAN_POINT('Ctrl Pts',(4.75772745974398,-14.8232255018965, 0.757340783691911)); #482519=CARTESIAN_POINT('Ctrl Pts',(4.73960783032913,-14.8289144477759, 0.817528106974081)); #482520=CARTESIAN_POINT('Ctrl Pts',(4.7213253043085,-14.8346436193312,0.87748422965749)); #482521=CARTESIAN_POINT('Ctrl Pts',(4.70303768521472,-14.840352048974,0.937438067087575)); #482522=CARTESIAN_POINT('Ctrl Pts',(4.68475006612096,-14.8460604786168, 0.997391904517615)); #482523=CARTESIAN_POINT('Ctrl Pts',(4.66645733033729,-14.8517481485298, 1.05734345723787)); #482524=CARTESIAN_POINT('Ctrl Pts',(4.64796848028479,-14.8573662859995, 1.11756270545152)); #482525=CARTESIAN_POINT('Ctrl Pts',(4.6294796302323,-14.8629844234692,1.17778195366513)); #482526=CARTESIAN_POINT('Ctrl Pts',(4.61079463989094,-14.8685329983789, 1.2382688908065)); #482527=CARTESIAN_POINT('Ctrl Pts',(4.59156820868602,-14.8742217574319, 1.29858886305698)); #482528=CARTESIAN_POINT('Ctrl Pts',(4.58195499308355,-14.8770661369584, 1.32874884918221)); #482529=CARTESIAN_POINT('Ctrl Pts',(4.57220643266053,-14.8799455791127, 1.35886709755893)); #482530=CARTESIAN_POINT('Ctrl Pts',(4.562368874352,-14.8828366090325,1.38893102246849)); #482531=CARTESIAN_POINT('Ctrl Pts',(4.55253131604347,-14.8857276389523, 1.41899494737806)); #482532=CARTESIAN_POINT('Ctrl Pts',(4.54260475909277,-14.88863025584,1.44900454877631)); #482533=CARTESIAN_POINT('Ctrl Pts',(4.53263547734332,-14.8915209059399, 1.47894722401262)); #482534=CARTESIAN_POINT('Ctrl Pts',(4.5226661955872,-14.8944115560417,1.50888989926897)); #482535=CARTESIAN_POINT('Ctrl Pts',(4.5126541636579,-14.8972902120032,1.53876564239335)); #482536=CARTESIAN_POINT('Ctrl Pts',(4.50257595149981,-14.9001961461302, 1.56857241921352)); #482537=CARTESIAN_POINT('Ctrl Pts',(4.49249773934172,-14.9031020802571, 1.59837919603369)); #482538=CARTESIAN_POINT('Ctrl Pts',(4.48235337216002,-14.9060353196278, 1.62811701240136)); #482539=CARTESIAN_POINT('Ctrl Pts',(4.47211950889666,-14.9090352323307, 1.65778385611567)); #482540=CARTESIAN_POINT('Origin',(4.27066081899131,-14.6918840198763,1.61024708333575)); #482541=CARTESIAN_POINT('Ctrl Pts',(4.159046033242,-14.41621299813,1.54873966536)); #482542=CARTESIAN_POINT('Ctrl Pts',(4.200929908357,-14.3953505738,1.56518885464)); #482543=CARTESIAN_POINT('Ctrl Pts',(4.279797765954,-14.38003807536,1.593764181622)); #482544=CARTESIAN_POINT('Ctrl Pts',(4.388872207229,-14.40666333517,1.628500611319)); #482545=CARTESIAN_POINT('Ctrl Pts',(4.471335595277,-14.46016076414,1.651423394962)); #482546=CARTESIAN_POINT('Ctrl Pts',(4.533590725816,-14.53845122344,1.664936037056)); #482547=CARTESIAN_POINT('Ctrl Pts',(4.568843899746,-14.63299217919,1.667563838566)); #482548=CARTESIAN_POINT('Ctrl Pts',(4.573248935207,-14.7334672043,1.659020285756)); #482549=CARTESIAN_POINT('Ctrl Pts',(4.541918525473,-14.84453015896,1.637163878112)); #482550=CARTESIAN_POINT('Ctrl Pts',(4.490210190148,-14.90780331976,1.613102130392)); #482551=CARTESIAN_POINT('Ctrl Pts',(4.452068150642,-14.93514235238,1.597287889316)); #482552=CARTESIAN_POINT('Ctrl Pts',(4.134100399467,-14.42340626566,1.622841294865)); #482553=CARTESIAN_POINT('Ctrl Pts',(4.17600148663,-14.4026275046,1.639304035561)); #482554=CARTESIAN_POINT('Ctrl Pts',(4.254857088591,-14.38745767395,1.667902190717)); #482555=CARTESIAN_POINT('Ctrl Pts',(4.36383899348,-14.4142491459,1.702669668358)); #482556=CARTESIAN_POINT('Ctrl Pts',(4.446186106769,-14.46785210221,1.725617644297)); #482557=CARTESIAN_POINT('Ctrl Pts',(4.508306515029,-14.54620304229,1.739152856845)); #482558=CARTESIAN_POINT('Ctrl Pts',(4.543425503562,-14.64075821994,1.741799088326)); #482559=CARTESIAN_POINT('Ctrl Pts',(4.547713961502,-14.74120616413,1.733267999247)); #482560=CARTESIAN_POINT('Ctrl Pts',(4.516283555287,-14.85220102819,1.711416879866)); #482561=CARTESIAN_POINT('Ctrl Pts',(4.46454334057,-14.91540650916,1.687348849845)); #482562=CARTESIAN_POINT('Ctrl Pts',(4.426397153386,-14.94270584701,1.671526525412)); #482563=CARTESIAN_POINT('Ctrl Pts',(4.099287081906,-14.43334619417,1.726327095698)); #482564=CARTESIAN_POINT('Ctrl Pts',(4.141136618555,-14.41271438196,1.74297555565)); #482565=CARTESIAN_POINT('Ctrl Pts',(4.21983538582,-14.39777717878,1.771894391949)); #482566=CARTESIAN_POINT('Ctrl Pts',(4.328519339715,-14.42479845417,1.80706070051)); #482567=CARTESIAN_POINT('Ctrl Pts',(4.410602831664,-14.47851544455,1.830284713896)); #482568=CARTESIAN_POINT('Ctrl Pts',(4.472496628584,-14.55689506357,1.844003727771)); #482569=CARTESIAN_POINT('Ctrl Pts',(4.507462792872,-14.65140564034,1.846724038417)); #482570=CARTESIAN_POINT('Ctrl Pts',(4.51169567411,-14.7517589214,1.838149721035)); #482571=CARTESIAN_POINT('Ctrl Pts',(4.480321740726,-14.86262006631,1.816115384838)); #482572=CARTESIAN_POINT('Ctrl Pts',(4.428718484951,-14.92574130899,1.791812584309)); #482573=CARTESIAN_POINT('Ctrl Pts',(4.390674444083,-14.95300900076,1.775825152036)); #482574=CARTESIAN_POINT('Ctrl Pts',(4.052924631978,-14.44638761846,1.858276898459)); #482575=CARTESIAN_POINT('Ctrl Pts',(4.094523902239,-14.42601975447,1.875538384394)); #482576=CARTESIAN_POINT('Ctrl Pts',(4.172680247685,-14.41146823604,1.905516931152)); #482577=CARTESIAN_POINT('Ctrl Pts',(4.28056444346,-14.43879199601,1.941995618974)); #482578=CARTESIAN_POINT('Ctrl Pts',(4.3620527413,-14.49258775923,1.966120189564)); #482579=CARTESIAN_POINT('Ctrl Pts',(4.42354660543,-14.57088226844,1.980426746604)); #482580=CARTESIAN_POINT('Ctrl Pts',(4.45838095084,-14.66519047352,1.983364466784)); #482581=CARTESIAN_POINT('Ctrl Pts',(4.46278106093,-14.76529114591,1.974615172814)); #482582=CARTESIAN_POINT('Ctrl Pts',(4.431930966147,-14.87588743486,1.951937929191)); #482583=CARTESIAN_POINT('Ctrl Pts',(4.380930207008,-14.93891808531,1.92683862534)); #482584=CARTESIAN_POINT('Ctrl Pts',(4.343274200561,-14.96619564074,1.910298771862)); #482585=CARTESIAN_POINT('Ctrl Pts',(4.021210878066,-14.45522922244,1.945528794448)); #482586=CARTESIAN_POINT('Ctrl Pts',(4.062538049023,-14.43507926293,1.963394356318)); #482587=CARTESIAN_POINT('Ctrl Pts',(4.140138376882,-14.42083313132,1.994417071657)); #482588=CARTESIAN_POINT('Ctrl Pts',(4.2472516219,-14.44836217839,2.032189216574)); #482589=CARTESIAN_POINT('Ctrl Pts',(4.32819628869,-14.50217228089,2.057201829664)); #482590=CARTESIAN_POINT('Ctrl Pts',(4.38936000168,-14.58034162128,2.072088541504)); #482591=CARTESIAN_POINT('Ctrl Pts',(4.42414075442,-14.67443357713,2.075242277164)); #482592=CARTESIAN_POINT('Ctrl Pts',(4.42878514477,-14.77429233103,2.066322856144)); #482593=CARTESIAN_POINT('Ctrl Pts',(4.398537538497,-14.88465957725,2.043015141784)); #482594=CARTESIAN_POINT('Ctrl Pts',(4.34817935012,-14.94763926727,2.017133176605)); #482595=CARTESIAN_POINT('Ctrl Pts',(4.310927779261,-14.97495139438,2.000050101886)); #482596=CARTESIAN_POINT('Ctrl Pts',(3.988215594302,-14.46435067326,2.032411205839)); #482597=CARTESIAN_POINT('Ctrl Pts',(4.029303280654,-14.44440874637,2.050792846314)); #482598=CARTESIAN_POINT('Ctrl Pts',(4.106406146219,-14.43045826047,2.082707021807)); #482599=CARTESIAN_POINT('Ctrl Pts',(4.21281547429,-14.45819806799,2.121586251554)); #482600=CARTESIAN_POINT('Ctrl Pts',(4.29325349564,-14.51203916448,2.147362878914)); #482601=CARTESIAN_POINT('Ctrl Pts',(4.35409596117,-14.5901081601,2.162754958764)); #482602=CARTESIAN_POINT('Ctrl Pts',(4.38880039423,-14.68401115968,2.166107181564)); #482603=CARTESIAN_POINT('Ctrl Pts',(4.39363579977,-14.7836511112,2.157059328144)); #482604=CARTESIAN_POINT('Ctrl Pts',(4.363899882189,-14.8938038642,2.133233567786)); #482605=CARTESIAN_POINT('Ctrl Pts',(4.314104044051,-14.95672675852,2.106695462087)); #482606=CARTESIAN_POINT('Ctrl Pts',(4.277210335624,-14.98406266345,2.08915327071)); #482607=CARTESIAN_POINT('Ctrl Pts',(3.954372932071,-14.47363252667,2.119418546979)); #482608=CARTESIAN_POINT('Ctrl Pts',(3.995405525304,-14.45383029723,2.137953366017)); #482609=CARTESIAN_POINT('Ctrl Pts',(4.072348835778,-14.44009956852,2.170131283736)); #482610=CARTESIAN_POINT('Ctrl Pts',(4.17846120941,-14.46805457338,2.209342020464)); #482611=CARTESIAN_POINT('Ctrl Pts',(4.25863809249,-14.52200122947,2.235352982624)); #482612=CARTESIAN_POINT('Ctrl Pts',(4.31925634945,-14.60009529039,2.250908819924)); #482613=CARTESIAN_POINT('Ctrl Pts',(4.353808946,-14.6939547805,2.254339601534)); #482614=CARTESIAN_POINT('Ctrl Pts',(4.35858769115,-14.79350489284,2.245277331534)); #482615=CARTESIAN_POINT('Ctrl Pts',(4.328904779739,-14.9035321104,2.221326407698)); #482616=CARTESIAN_POINT('Ctrl Pts',(4.279242490833,-14.96637709813,2.194610583006)); #482617=CARTESIAN_POINT('Ctrl Pts',(4.242449431054,-14.99368445515,2.176938642544)); #482618=CARTESIAN_POINT('Ctrl Pts',(3.92028255082,-14.48290205347,2.206624544221)); #482619=CARTESIAN_POINT('Ctrl Pts',(3.961388055987,-14.4631915765,2.225078466803)); #482620=CARTESIAN_POINT('Ctrl Pts',(4.038406791206,-14.44962738343,2.25711560122)); #482621=CARTESIAN_POINT('Ctrl Pts',(4.14450648288,-14.47780048635,2.296156360794)); #482622=CARTESIAN_POINT('Ctrl Pts',(4.2245949998,-14.5319046123,2.322056811784)); #482623=CARTESIAN_POINT('Ctrl Pts',(4.28505944301,-14.61011113463,2.337550232404)); #482624=CARTESIAN_POINT('Ctrl Pts',(4.31941119208,-14.70402849343,2.340973850364)); #482625=CARTESIAN_POINT('Ctrl Pts',(4.32396467806,-14.8035786531,2.331960535684)); #482626=CARTESIAN_POINT('Ctrl Pts',(4.294019502779,-14.91354230401,2.308125450839)); #482627=CARTESIAN_POINT('Ctrl Pts',(4.244194082748,-14.97629456086,2.281532903437)); #482628=CARTESIAN_POINT('Ctrl Pts',(4.207322985839,-15.003536752,2.263940607716)); #482629=CARTESIAN_POINT('Ctrl Pts',(3.875253715331,-14.49504423534,2.323626819754)); #482630=CARTESIAN_POINT('Ctrl Pts',(3.916698129671,-14.47536467364,2.341505587165)); #482631=CARTESIAN_POINT('Ctrl Pts',(3.994262149076,-14.46192074247,2.37254704811)); #482632=CARTESIAN_POINT('Ctrl Pts',(4.10088413475,-14.49038879815,2.410361374214)); #482633=CARTESIAN_POINT('Ctrl Pts',(4.18118322265,-14.54479998074,2.435429521154)); #482634=CARTESIAN_POINT('Ctrl Pts',(4.24157728137,-14.62332055213,2.450394522684)); #482635=CARTESIAN_POINT('Ctrl Pts',(4.27556988466,-14.71750532347,2.453646501484)); #482636=CARTESIAN_POINT('Ctrl Pts',(4.27950535707,-14.81722365099,2.444835909654)); #482637=CARTESIAN_POINT('Ctrl Pts',(4.24861577864,-14.92721703899,2.421649644031)); #482638=CARTESIAN_POINT('Ctrl Pts',(4.198013397091,-14.98981537641,2.395830313152)); #482639=CARTESIAN_POINT('Ctrl Pts',(4.160701723694,-15.01690013155,2.378765328006)); #482640=CARTESIAN_POINT('Ctrl Pts',(3.831709792291,-14.50660971545,2.440454509937)); #482641=CARTESIAN_POINT('Ctrl Pts',(3.873168079957,-14.48707821772,2.458379061448)); #482642=CARTESIAN_POINT('Ctrl Pts',(3.950683170199,-14.47388297186,2.489498578059)); #482643=CARTESIAN_POINT('Ctrl Pts',(4.05711264643,-14.50263356093,2.527414631224)); #482644=CARTESIAN_POINT('Ctrl Pts',(4.13719073829,-14.55721900274,2.552559680254)); #482645=CARTESIAN_POINT('Ctrl Pts',(4.19734237463,-14.63583375768,2.567586085894)); #482646=CARTESIAN_POINT('Ctrl Pts',(4.2311050937,-14.73003269725,2.570879296234)); #482647=CARTESIAN_POINT('Ctrl Pts',(4.23485239786,-14.82969589748,2.562085743574)); #482648=CARTESIAN_POINT('Ctrl Pts',(4.203816586448,-14.9395664913,2.538887691064)); #482649=CARTESIAN_POINT('Ctrl Pts',(4.153184899628,-15.00204957707,2.5130303127)); #482650=CARTESIAN_POINT('Ctrl Pts',(4.115881857627,-15.02906895199,2.49593218169)); #482651=CARTESIAN_POINT('Ctrl Pts',(3.787019893815,-14.51829974581,2.556088526763)); #482652=CARTESIAN_POINT('Ctrl Pts',(3.828146782464,-14.49904582108,2.57471377699)); #482653=CARTESIAN_POINT('Ctrl Pts',(3.904977426453,-14.4862410661,2.607043411372)); #482654=CARTESIAN_POINT('Ctrl Pts',(4.0104438219,-14.51526106073,2.646463444354)); #482655=CARTESIAN_POINT('Ctrl Pts',(4.08983166412,-14.56987556364,2.672648053054)); #482656=CARTESIAN_POINT('Ctrl Pts',(4.14954844933,-14.64834449682,2.688364722034)); #482657=CARTESIAN_POINT('Ctrl Pts',(4.18321182053,-14.74228226246,2.691933401504)); #482658=CARTESIAN_POINT('Ctrl Pts',(4.18722470732,-14.84164951203,2.682972884274)); #482659=CARTESIAN_POINT('Ctrl Pts',(4.156891904466,-14.95123686749,2.659080793383)); #482660=CARTESIAN_POINT('Ctrl Pts',(4.107029494972,-15.01365368323,2.6323386539)); #482661=CARTESIAN_POINT('Ctrl Pts',(4.07021527416,-15.04071291632,2.614619898747)); #482662=CARTESIAN_POINT('Ctrl Pts',(3.734694822872,-14.53183832864,2.684975963715)); #482663=CARTESIAN_POINT('Ctrl Pts',(3.775093352383,-14.51303120227,2.704962352174)); #482664=CARTESIAN_POINT('Ctrl Pts',(3.85049876733,-14.50081190994,2.739641525875)); #482665=CARTESIAN_POINT('Ctrl Pts',(3.954082603364,-14.53011868834,2.78198707984)); #482666=CARTESIAN_POINT('Ctrl Pts',(4.032202115514,-14.58461259742,2.810201467568)); #482667=CARTESIAN_POINT('Ctrl Pts',(4.091219309427,-14.66266583777,2.827278056446)); #482668=CARTESIAN_POINT('Ctrl Pts',(4.12489059758,-14.75602165097,2.83140939983)); #482669=CARTESIAN_POINT('Ctrl Pts',(4.129654397377,-14.85480389708,2.822158420754)); #482670=CARTESIAN_POINT('Ctrl Pts',(4.100972292361,-14.96390405501,2.796960207772)); #482671=CARTESIAN_POINT('Ctrl Pts',(4.05279304713,-15.02629641199,2.768526148442)); #482672=CARTESIAN_POINT('Ctrl Pts',(4.017024173001,-15.05350985052,2.749613297356)); #482673=CARTESIAN_POINT('Ctrl Pts',(3.69041812292,-14.54311520138,2.784779735255)); #482674=CARTESIAN_POINT('Ctrl Pts',(3.730590293828,-14.5245403246,2.805142642976)); #482675=CARTESIAN_POINT('Ctrl Pts',(3.80550540813,-14.51265123202,2.840468884239)); #482676=CARTESIAN_POINT('Ctrl Pts',(3.90836224815,-14.54219812502,2.883633450037)); #482677=CARTESIAN_POINT('Ctrl Pts',(3.985935378424,-14.59673476015,2.912434733195)); #482678=CARTESIAN_POINT('Ctrl Pts',(4.044576650777,-14.67468751564,2.92993390504)); #482679=CARTESIAN_POINT('Ctrl Pts',(4.078108645817,-14.76784557293,2.93428754219)); #482680=CARTESIAN_POINT('Ctrl Pts',(4.082999838717,-14.86639588394,2.925037345712)); #482681=CARTESIAN_POINT('Ctrl Pts',(4.054763745173,-14.9752668611,2.89957708832)); #482682=CARTESIAN_POINT('Ctrl Pts',(4.007114730274,-15.03759735363,2.870736061745)); #482683=CARTESIAN_POINT('Ctrl Pts',(3.971692742733,-15.06483565439,2.851517696159)); #482684=CARTESIAN_POINT('Ctrl Pts',(3.658508277368,-14.55112991324,2.856293805398)); #482685=CARTESIAN_POINT('Ctrl Pts',(3.698657124862,-14.53267184788,2.876730573286)); #482686=CARTESIAN_POINT('Ctrl Pts',(3.773475319654,-14.52096719457,2.912183110273)); #482687=CARTESIAN_POINT('Ctrl Pts',(3.876119935961,-14.55069803282,2.955510507232)); #482688=CARTESIAN_POINT('Ctrl Pts',(3.953490879361,-14.60532857285,2.984432560548)); #482689=CARTESIAN_POINT('Ctrl Pts',(4.011943154434,-14.68330961235,3.002024894299)); #482690=CARTESIAN_POINT('Ctrl Pts',(4.045328143835,-14.77643924485,3.006436811791)); #482691=CARTESIAN_POINT('Ctrl Pts',(4.050134825369,-14.87492161233,2.997204502259)); #482692=CARTESIAN_POINT('Ctrl Pts',(4.021884196009,-14.9836933153,2.971714291004)); #482693=CARTESIAN_POINT('Ctrl Pts',(3.974296638673,-15.04595901724,2.94280628375)); #482694=CARTESIAN_POINT('Ctrl Pts',(3.938929526012,-15.07317187254,2.923532966117)); #482695=CARTESIAN_POINT('',(3.991408433962,-15.03814455584,2.897970567556)); #482696=CARTESIAN_POINT('Ctrl Pts',(4.472119671497,-14.90903540759,1.657783894484)); #482697=CARTESIAN_POINT('Ctrl Pts',(4.451651883328,-14.91503501151,1.717117423585)); #482698=CARTESIAN_POINT('Ctrl Pts',(4.420314111029,-14.92442301533,1.80626600216)); #482699=CARTESIAN_POINT('Ctrl Pts',(4.378047561609,-14.93601014633,1.926088723088)); #482700=CARTESIAN_POINT('Ctrl Pts',(4.345286320871,-14.94477642191,2.016340003543)); #482701=CARTESIAN_POINT('Ctrl Pts',(4.311230527204,-14.95387562395,2.105876563833)); #482702=CARTESIAN_POINT('Ctrl Pts',(4.276375730131,-14.96353274181,2.193781415587)); #482703=CARTESIAN_POINT('Ctrl Pts',(4.241332787886,-14.97344553002,2.280707141085)); #482704=CARTESIAN_POINT('Ctrl Pts',(4.195152260794,-14.98694339036,2.395033671871)); #482705=CARTESIAN_POINT('Ctrl Pts',(4.150332389933,-14.99917748938,2.512229861995)); #482706=CARTESIAN_POINT('Ctrl Pts',(4.104194859566,-15.0108066527,2.631499269089)); #482707=CARTESIAN_POINT('Ctrl Pts',(4.056092004575,-15.02207527294,2.752282422629)); #482708=CARTESIAN_POINT('Ctrl Pts',(4.017471511135,-15.03157561799,2.840144127201)); #482709=CARTESIAN_POINT('Ctrl Pts',(3.991408433962,-15.03814455584,2.897970567556)); #482710=CARTESIAN_POINT('Origin',(3.79337720378127,-14.8222902882498,2.83323597903717)); #482711=CARTESIAN_POINT('Ctrl Pts',(3.69604161538,-14.54177667271,2.770179413462)); #482712=CARTESIAN_POINT('Ctrl Pts',(3.736443591803,-14.52294884173,2.790503029081)); #482713=CARTESIAN_POINT('Ctrl Pts',(3.811740112855,-14.51086316327,2.825721204365)); #482714=CARTESIAN_POINT('Ctrl Pts',(3.915021595079,-14.54044078671,2.868694182808)); #482715=CARTESIAN_POINT('Ctrl Pts',(3.992737743441,-14.59499263808,2.897297322662)); #482716=CARTESIAN_POINT('Ctrl Pts',(4.051459705772,-14.67299378138,2.914658430641)); #482717=CARTESIAN_POINT('Ctrl Pts',(4.08499428816,-14.76621863366,2.918946357106)); #482718=CARTESIAN_POINT('Ctrl Pts',(4.089805470837,-14.86483556412,2.909709142963)); #482719=CARTESIAN_POINT('Ctrl Pts',(4.061296304817,-14.97411742524,2.884272505626)); #482720=CARTESIAN_POINT('Ctrl Pts',(4.013115172464,-15.03666463601,2.855401349029)); #482721=CARTESIAN_POINT('Ctrl Pts',(3.977198559664,-15.06393688,2.836116293999)); #482722=CARTESIAN_POINT('Ctrl Pts',(3.659562766276,-14.55103369072,2.852765077267)); #482723=CARTESIAN_POINT('Ctrl Pts',(3.699772769548,-14.53240031105,2.873431822937)); #482724=CARTESIAN_POINT('Ctrl Pts',(3.774654883963,-14.52059045973,2.909239649177)); #482725=CARTESIAN_POINT('Ctrl Pts',(3.87732547574,-14.55036775829,2.952954592591)); #482726=CARTESIAN_POINT('Ctrl Pts',(3.954585694815,-14.60495447742,2.982083457782)); #482727=CARTESIAN_POINT('Ctrl Pts',(4.012996761998,-14.68287124382,2.999815699583)); #482728=CARTESIAN_POINT('Ctrl Pts',(4.046420468028,-14.77593091519,3.004287724707)); #482729=CARTESIAN_POINT('Ctrl Pts',(4.051345181376,-14.87435458376,2.995029841469)); #482730=CARTESIAN_POINT('Ctrl Pts',(4.023218765303,-14.98344542072,2.96932846359)); #482731=CARTESIAN_POINT('Ctrl Pts',(3.975489056029,-15.04594211733,2.94006935318)); #482732=CARTESIAN_POINT('Ctrl Pts',(3.939867205856,-15.07323664188,2.920497618325)); #482733=CARTESIAN_POINT('Ctrl Pts',(3.59136671871,-14.56809182309,3.004309196015)); #482734=CARTESIAN_POINT('Ctrl Pts',(3.631805936903,-14.54960875942,3.024671325654)); #482735=CARTESIAN_POINT('Ctrl Pts',(3.706990969583,-14.53808703373,3.05995155419)); #482736=CARTESIAN_POINT('Ctrl Pts',(3.809824451936,-14.5682761992,3.103021535494)); #482737=CARTESIAN_POINT('Ctrl Pts',(3.88702887006,-14.62318420916,3.131719423331)); #482738=CARTESIAN_POINT('Ctrl Pts',(3.945193141379,-14.70135585826,3.149187331325)); #482739=CARTESIAN_POINT('Ctrl Pts',(3.978203886654,-14.79457582312,3.153589205801)); #482740=CARTESIAN_POINT('Ctrl Pts',(3.982592254169,-14.89304667225,3.144462680837)); #482741=CARTESIAN_POINT('Ctrl Pts',(3.953761362249,-15.00205266472,3.119133981781)); #482742=CARTESIAN_POINT('Ctrl Pts',(3.905525028294,-15.06436988974,3.090302510146)); #482743=CARTESIAN_POINT('Ctrl Pts',(3.86963431799,-15.09152407656,3.071017897853)); #482744=CARTESIAN_POINT('Ctrl Pts',(3.509183698867,-14.58803558157,3.190787787338)); #482745=CARTESIAN_POINT('Ctrl Pts',(3.54978751515,-14.56977313092,3.210970759524)); #482746=CARTESIAN_POINT('Ctrl Pts',(3.625135574662,-14.5586452054,3.245939485343)); #482747=CARTESIAN_POINT('Ctrl Pts',(3.72792054155,-14.58933907855,3.288634124974)); #482748=CARTESIAN_POINT('Ctrl Pts',(3.80490558317,-14.64460445124,3.317088920984)); #482749=CARTESIAN_POINT('Ctrl Pts',(3.86270234051,-14.72302544538,3.334420287094)); #482750=CARTESIAN_POINT('Ctrl Pts',(3.89524101525,-14.8163672368,3.338807994534)); #482751=CARTESIAN_POINT('Ctrl Pts',(3.89910516211,-14.91482758119,3.329791533304)); #482752=CARTESIAN_POINT('Ctrl Pts',(3.869666106742,-15.02367794442,3.304721956974)); #482753=CARTESIAN_POINT('Ctrl Pts',(3.821053572973,-15.08577880399,3.276166106627)); #482754=CARTESIAN_POINT('Ctrl Pts',(3.784983092274,-15.11278281293,3.257059785427)); #482755=CARTESIAN_POINT('Ctrl Pts',(3.448812905383,-14.60239042624,3.328795460416)); #482756=CARTESIAN_POINT('Ctrl Pts',(3.489528238874,-14.58429255678,3.348866478259)); #482757=CARTESIAN_POINT('Ctrl Pts',(3.564979936561,-14.57345797705,3.383640457916)); #482758=CARTESIAN_POINT('Ctrl Pts',(3.66770984951,-14.60452702009,3.426100848294)); #482759=CARTESIAN_POINT('Ctrl Pts',(3.74452095216,-14.66005745061,3.454404517294)); #482760=CARTESIAN_POINT('Ctrl Pts',(3.80204007256,-14.73866264429,3.471651979714)); #482761=CARTESIAN_POINT('Ctrl Pts',(3.83423015128,-14.83209331541,3.476032867504)); #482762=CARTESIAN_POINT('Ctrl Pts',(3.83771335158,-14.93054369542,3.467087646044)); #482763=CARTESIAN_POINT('Ctrl Pts',(3.807838745518,-15.03927539315,3.442182989237)); #482764=CARTESIAN_POINT('Ctrl Pts',(3.758962442767,-15.10121299471,3.413801179574)); #482765=CARTESIAN_POINT('Ctrl Pts',(3.72276826504,-15.12810389343,3.394806976164)); #482766=CARTESIAN_POINT('Ctrl Pts',(3.403469803871,-14.61301067911,3.431737077289)); #482767=CARTESIAN_POINT('Ctrl Pts',(3.444017394634,-14.59512210848,3.452130487106)); #482768=CARTESIAN_POINT('Ctrl Pts',(3.519087768437,-14.5845953223,3.487458472681)); #482769=CARTESIAN_POINT('Ctrl Pts',(3.6212228628,-14.6159183191,3.530616009534)); #482770=CARTESIAN_POINT('Ctrl Pts',(3.69756701221,-14.67153171651,3.559413708884)); #482771=CARTESIAN_POINT('Ctrl Pts',(3.75473809331,-14.75009619685,3.577010060974)); #482772=CARTESIAN_POINT('Ctrl Pts',(3.78675587152,-14.84339458683,3.581564279354)); #482773=CARTESIAN_POINT('Ctrl Pts',(3.79027194891,-14.94166807713,3.572600238564)); #482774=CARTESIAN_POINT('Ctrl Pts',(3.760672693922,-15.05020352901,3.547447893569)); #482775=CARTESIAN_POINT('Ctrl Pts',(3.7121696467,-15.11206293284,3.518702668458)); #482776=CARTESIAN_POINT('Ctrl Pts',(3.676228952287,-15.13895121333,3.499439870927)); #482777=CARTESIAN_POINT('Ctrl Pts',(3.357164465103,-14.62369292452,3.533746093043)); #482778=CARTESIAN_POINT('Ctrl Pts',(3.397333177702,-14.60608694845,3.554783483247)); #482779=CARTESIAN_POINT('Ctrl Pts',(3.471635400432,-14.59594183577,3.591218917548)); #482780=CARTESIAN_POINT('Ctrl Pts',(3.57270714168,-14.62749243848,3.635766292074)); #482781=CARTESIAN_POINT('Ctrl Pts',(3.64829793572,-14.68308701509,3.665543757614)); #482782=CARTESIAN_POINT('Ctrl Pts',(3.70499973949,-14.76145544809,3.683824185904)); #482783=CARTESIAN_POINT('Ctrl Pts',(3.73691685821,-14.85444997963,3.688706343254)); #482784=CARTESIAN_POINT('Ctrl Pts',(3.74073126151,-14.95240163403,3.679682711564)); #482785=CARTESIAN_POINT('Ctrl Pts',(3.711912221916,-15.06065101728,3.654009116088)); #482786=CARTESIAN_POINT('Ctrl Pts',(3.664263252155,-15.12247330593,3.624522381287)); #482787=CARTESIAN_POINT('Ctrl Pts',(3.628868142707,-15.14943187523,3.604717272076)); #482788=CARTESIAN_POINT('Ctrl Pts',(3.29284949288,-14.63829044219,3.667627890958)); #482789=CARTESIAN_POINT('Ctrl Pts',(3.33207749113,-14.62121284891,3.690071965536)); #482790=CARTESIAN_POINT('Ctrl Pts',(3.404556859952,-14.61172473953,3.72892391399)); #482791=CARTESIAN_POINT('Ctrl Pts',(3.50323841819,-14.64351000063,3.776515654684)); #482792=CARTESIAN_POINT('Ctrl Pts',(3.57722465139,-14.69885332762,3.808455469824)); #482793=CARTESIAN_POINT('Ctrl Pts',(3.63303730308,-14.77662233201,3.828271028714)); #482794=CARTESIAN_POINT('Ctrl Pts',(3.66495207843,-14.86884130894,3.833928934714)); #482795=CARTESIAN_POINT('Ctrl Pts',(3.66969615106,-14.96605208368,3.824848742294)); #482796=CARTESIAN_POINT('Ctrl Pts',(3.642945209487,-15.07372707178,3.79812935971)); #482797=CARTESIAN_POINT('Ctrl Pts',(3.597425578268,-15.13558791942,3.767083506134)); #482798=CARTESIAN_POINT('Ctrl Pts',(3.563364815616,-15.16279677559,3.746120825958)); #482799=CARTESIAN_POINT('Ctrl Pts',(3.223663876082,-14.65366199924,3.800297021757)); #482800=CARTESIAN_POINT('Ctrl Pts',(3.262573590161,-14.63691274787,3.823166113769)); #482801=CARTESIAN_POINT('Ctrl Pts',(3.334359358457,-14.62788024895,3.862743508585)); #482802=CARTESIAN_POINT('Ctrl Pts',(3.4320016238,-14.65997623867,3.911270615984)); #482803=CARTESIAN_POINT('Ctrl Pts',(3.50519718843,-14.71535298538,3.943904407124)); #482804=CARTESIAN_POINT('Ctrl Pts',(3.56044882251,-14.7929563952,3.964258352634)); #482805=CARTESIAN_POINT('Ctrl Pts',(3.59212660238,-14.8848798461,3.970258448944)); #482806=CARTESIAN_POINT('Ctrl Pts',(3.59700338787,-14.98175715714,3.961293651954)); #482807=CARTESIAN_POINT('Ctrl Pts',(3.570827566684,-15.08911440619,3.934423315809)); #482808=CARTESIAN_POINT('Ctrl Pts',(3.52602681691,-15.15090594534,3.903011365613)); #482809=CARTESIAN_POINT('Ctrl Pts',(3.492445877157,-15.17816655116,3.881743887223)); #482810=CARTESIAN_POINT('Ctrl Pts',(3.154066707212,-14.66876070327,3.933897090741)); #482811=CARTESIAN_POINT('Ctrl Pts',(3.193267955477,-14.65213943805,3.95642421983)); #482812=CARTESIAN_POINT('Ctrl Pts',(3.265472431706,-14.64337366311,3.995411627737)); #482813=CARTESIAN_POINT('Ctrl Pts',(3.36342043291,-14.67589523903,4.043207076924)); #482814=CARTESIAN_POINT('Ctrl Pts',(3.4366488147,-14.73163190857,4.075337147654)); #482815=CARTESIAN_POINT('Ctrl Pts',(3.49169178203,-14.80954692224,4.095357922464)); #482816=CARTESIAN_POINT('Ctrl Pts',(3.52293391329,-14.9016923585,4.101226781984)); #482817=CARTESIAN_POINT('Ctrl Pts',(3.52718858144,-14.99866811933,4.092345282824)); #482818=CARTESIAN_POINT('Ctrl Pts',(3.500142071507,-15.10597047209,4.065814034602)); #482819=CARTESIAN_POINT('Ctrl Pts',(3.454672346106,-15.16756419589,4.034832614375)); #482820=CARTESIAN_POINT('Ctrl Pts',(3.4207215323,-15.1946549223,4.013866873489)); #482821=CARTESIAN_POINT('Ctrl Pts',(3.043824246516,-14.69225692712,4.148474429214)); #482822=CARTESIAN_POINT('Ctrl Pts',(3.083268386986,-14.67591044036,4.170742630319)); #482823=CARTESIAN_POINT('Ctrl Pts',(3.155738486312,-14.66763982088,4.209280946546)); #482824=CARTESIAN_POINT('Ctrl Pts',(3.253688813322,-14.7008104089,4.256530247037)); #482825=CARTESIAN_POINT('Ctrl Pts',(3.326670997534,-14.75701801395,4.288299409146)); #482826=CARTESIAN_POINT('Ctrl Pts',(3.381249388604,-14.83527420121,4.308105434043)); #482827=CARTESIAN_POINT('Ctrl Pts',(3.411859515942,-14.92760123505,4.313928987881)); #482828=CARTESIAN_POINT('Ctrl Pts',(3.415381297139,-15.02458641556,4.305176666438)); #482829=CARTESIAN_POINT('Ctrl Pts',(3.387449132839,-15.13170492611,4.278983870781)); #482830=CARTESIAN_POINT('Ctrl Pts',(3.341399126043,-15.19301613837,4.248379520873)); #482831=CARTESIAN_POINT('Ctrl Pts',(3.307157922309,-15.21990298466,4.227663501295)); #482832=CARTESIAN_POINT('Ctrl Pts',(2.951171058254,-14.71107495726,4.328703934242)); #482833=CARTESIAN_POINT('Ctrl Pts',(2.990186149159,-14.69516061242,4.351612146815)); #482834=CARTESIAN_POINT('Ctrl Pts',(3.061727751322,-14.68749414772,4.391246213725)); #482835=CARTESIAN_POINT('Ctrl Pts',(3.158293049962,-14.72109061138,4.439892953058)); #482836=CARTESIAN_POINT('Ctrl Pts',(3.230223066786,-14.77736244589,4.472678159767)); #482837=CARTESIAN_POINT('Ctrl Pts',(3.284053948833,-14.85542373216,4.493241765203)); #482838=CARTESIAN_POINT('Ctrl Pts',(3.314344129793,-14.9473836203,4.499503791805)); #482839=CARTESIAN_POINT('Ctrl Pts',(3.318032139681,-15.04394587307,4.490830894182)); #482840=CARTESIAN_POINT('Ctrl Pts',(3.290844982767,-15.15065266918,4.464293373206)); #482841=CARTESIAN_POINT('Ctrl Pts',(3.24572984819,-15.21186242022,4.433063184711)); #482842=CARTESIAN_POINT('Ctrl Pts',(3.212112375334,-15.23880448189,4.41185635417)); #482843=CARTESIAN_POINT('Ctrl Pts',(2.870893548286,-14.72668676277,4.472933055201)); #482844=CARTESIAN_POINT('Ctrl Pts',(2.909340793058,-14.71119784114,4.496534625963)); #482845=CARTESIAN_POINT('Ctrl Pts',(2.979723222766,-14.70407901107,4.537352156169)); #482846=CARTESIAN_POINT('Ctrl Pts',(3.074664786818,-14.73793902688,4.587524816625)); #482847=CARTESIAN_POINT('Ctrl Pts',(3.145427391091,-14.79409966298,4.621442315669)); #482848=CARTESIAN_POINT('Ctrl Pts',(3.198506078377,-14.87178278813,4.642885004436)); #482849=CARTESIAN_POINT('Ctrl Pts',(3.228590253402,-14.96321693142,4.649707413145)); #482850=CARTESIAN_POINT('Ctrl Pts',(3.232670578445,-15.05925443644,4.641227251915)); #482851=CARTESIAN_POINT('Ctrl Pts',(3.206599066928,-15.16552872433,4.614452601658)); #482852=CARTESIAN_POINT('Ctrl Pts',(3.162744386742,-15.22672888276,4.582635963676)); #482853=CARTESIAN_POINT('Ctrl Pts',(3.129944272853,-15.25382323309,4.560940010812)); #482854=CARTESIAN_POINT('',(3.183788339511,-15.21936489091,4.539663243474)); #482855=CARTESIAN_POINT('Ctrl Pts',(3.991408433962,-15.03814455584,2.897970567556)); #482856=CARTESIAN_POINT('Ctrl Pts',(3.960069897746,-15.04604308158,2.967500842333)); #482857=CARTESIAN_POINT('Ctrl Pts',(3.897014202664,-15.06237240902,3.103949620877)); #482858=CARTESIAN_POINT('Ctrl Pts',(3.818904985879,-15.08224577829,3.275589105192)); #482859=CARTESIAN_POINT('Ctrl Pts',(3.756838357046,-15.09766184741,3.413233432538)); #482860=CARTESIAN_POINT('Ctrl Pts',(3.71005214073,-15.10852872835,3.518118070823)); #482861=CARTESIAN_POINT('Ctrl Pts',(3.662155667568,-15.11896788432,3.623908597219)); #482862=CARTESIAN_POINT('Ctrl Pts',(3.59533175095,-15.13215537948,3.766401648383)); #482863=CARTESIAN_POINT('Ctrl Pts',(3.523949059909,-15.14750150054,3.902304037004)); #482864=CARTESIAN_POINT('Ctrl Pts',(3.452603439145,-15.16414747968,4.034136007819)); #482865=CARTESIAN_POINT('Ctrl Pts',(3.346680068388,-15.18792371365,4.233920583901)); #482866=CARTESIAN_POINT('Ctrl Pts',(3.258167367685,-15.20575573459,4.404015964861)); #482867=CARTESIAN_POINT('Ctrl Pts',(3.183788339511,-15.21936489091,4.539663243474)); #482868=CARTESIAN_POINT('Origin',(2.99174267647144,-15.0046484716504,4.45590572078495)); #482869=CARTESIAN_POINT('Ctrl Pts',(2.915980453359,-14.71784786061,4.390729907711)); #482870=CARTESIAN_POINT('Ctrl Pts',(2.954713991406,-14.70212878695,4.413970679908)); #482871=CARTESIAN_POINT('Ctrl Pts',(3.025688244318,-14.6947080638,4.454172034899)); #482872=CARTESIAN_POINT('Ctrl Pts',(3.121470536033,-14.72840630482,4.503551237613)); #482873=CARTESIAN_POINT('Ctrl Pts',(3.192845162953,-14.78460498363,4.536881380045)); #482874=CARTESIAN_POINT('Ctrl Pts',(3.246327796156,-14.86246872714,4.557870422896)); #482875=CARTESIAN_POINT('Ctrl Pts',(3.276538443196,-14.95416584402,4.564407034655)); #482876=CARTESIAN_POINT('Ctrl Pts',(3.280438794339,-15.05047272369,4.555834048436)); #482877=CARTESIAN_POINT('Ctrl Pts',(3.253818067524,-15.15697657113,4.529192612596)); #482878=CARTESIAN_POINT('Ctrl Pts',(3.209330129843,-15.2181928402,4.497688053857)); #482879=CARTESIAN_POINT('Ctrl Pts',(3.176117012944,-15.24521704618,4.476250032636)); #482880=CARTESIAN_POINT('Ctrl Pts',(2.876101449725,-14.72573200846,4.464601120171)); #482881=CARTESIAN_POINT('Ctrl Pts',(2.914639420141,-14.71019957745,4.488106716353)); #482882=CARTESIAN_POINT('Ctrl Pts',(2.985194617457,-14.70303339186,4.528760514788)); #482883=CARTESIAN_POINT('Ctrl Pts',(3.08035903082,-14.73689498389,4.578721113933)); #482884=CARTESIAN_POINT('Ctrl Pts',(3.151269215458,-14.79309871555,4.612479978631)); #482885=CARTESIAN_POINT('Ctrl Pts',(3.204427990538,-14.87085449948,4.633797796133)); #482886=CARTESIAN_POINT('Ctrl Pts',(3.234509423987,-14.96237262498,4.640538107693)); #482887=CARTESIAN_POINT('Ctrl Pts',(3.238500183967,-15.05848414147,4.632025607382)); #482888=CARTESIAN_POINT('Ctrl Pts',(3.212232287205,-15.16480863074,4.605276424695)); #482889=CARTESIAN_POINT('Ctrl Pts',(3.168175706548,-15.22599439154,4.573535788087)); #482890=CARTESIAN_POINT('Ctrl Pts',(3.135248393398,-15.25305607394,4.551904956959)); #482891=CARTESIAN_POINT('Ctrl Pts',(2.801498769279,-14.74015167006,4.596580100851)); #482892=CARTESIAN_POINT('Ctrl Pts',(2.839247454209,-14.72509263178,4.620997837696)); #482893=CARTESIAN_POINT('Ctrl Pts',(2.908250785131,-14.71849840402,4.663208473424)); #482894=CARTESIAN_POINT('Ctrl Pts',(3.001332816256,-14.75252708837,4.715176637324)); #482895=CARTESIAN_POINT('Ctrl Pts',(3.070805261844,-14.80846406098,4.750425580052)); #482896=CARTESIAN_POINT('Ctrl Pts',(3.123109676576,-14.88564433408,4.772901041937)); #482897=CARTESIAN_POINT('Ctrl Pts',(3.15307545531,-14.97643861853,4.780380779485)); #482898=CARTESIAN_POINT('Ctrl Pts',(3.157740899457,-15.07187142394,4.772125499853)); #482899=CARTESIAN_POINT('Ctrl Pts',(3.133112006394,-15.1776840559,4.745070733223)); #482900=CARTESIAN_POINT('Ctrl Pts',(3.090811465746,-15.23892685787,4.712565183571)); #482901=CARTESIAN_POINT('Ctrl Pts',(3.059005071246,-15.26623477886,4.690295496013)); #482902=CARTESIAN_POINT('Ctrl Pts',(2.688923528679,-14.76107043593,4.787776839277)); #482903=CARTESIAN_POINT('Ctrl Pts',(2.726946277254,-14.74627095696,4.811904334092)); #482904=CARTESIAN_POINT('Ctrl Pts',(2.796272549156,-14.74014183059,4.853612380164)); #482905=CARTESIAN_POINT('Ctrl Pts',(2.88943463732,-14.77477949597,4.904965648624)); #482906=CARTESIAN_POINT('Ctrl Pts',(2.95871811003,-14.83115983918,4.939803009641)); #482907=CARTESIAN_POINT('Ctrl Pts',(3.01059823865,-14.90866447653,4.962024946467)); #482908=CARTESIAN_POINT('Ctrl Pts',(3.03994893281,-14.99963649343,4.969435576661)); #482909=CARTESIAN_POINT('Ctrl Pts',(3.04387062371,-15.09508793425,4.961302927766)); #482910=CARTESIAN_POINT('Ctrl Pts',(3.0183090126,-15.20074059228,4.934600599535)); #482911=CARTESIAN_POINT('Ctrl Pts',(2.975365440395,-15.26172598603,4.902501519602)); #482912=CARTESIAN_POINT('Ctrl Pts',(2.943223202123,-15.28884539899,4.880505474907)); #482913=CARTESIAN_POINT('Ctrl Pts',(2.587494671826,-14.77922378043,4.968444983003)); #482914=CARTESIAN_POINT('Ctrl Pts',(2.626641081765,-14.76440612921,4.991300163003)); #482915=CARTESIAN_POINT('Ctrl Pts',(2.697849884374,-14.75850352196,5.030825944409)); #482916=CARTESIAN_POINT('Ctrl Pts',(2.79299414437,-14.79397821669,5.079414380593)); #482917=CARTESIAN_POINT('Ctrl Pts',(2.86326601744,-14.85132617274,5.112265995022)); #482918=CARTESIAN_POINT('Ctrl Pts',(2.9152450294,-14.92988646074,5.133043218778)); #482919=CARTESIAN_POINT('Ctrl Pts',(2.94373480736,-15.02180658554,5.139668215351)); #482920=CARTESIAN_POINT('Ctrl Pts',(2.94588745884,-15.11789804385,5.131478637131)); #482921=CARTESIAN_POINT('Ctrl Pts',(2.917400950056,-15.2237338601,5.105578235279)); #482922=CARTESIAN_POINT('Ctrl Pts',(2.871886684405,-15.28421951209,5.074784672565)); #482923=CARTESIAN_POINT('Ctrl Pts',(2.838224204544,-15.31078646321,5.05378162889)); #482924=CARTESIAN_POINT('Ctrl Pts',(2.492255982916,-14.79561265126,5.152353403432)); #482925=CARTESIAN_POINT('Ctrl Pts',(2.532414813405,-14.78080277321,5.173951406385)); #482926=CARTESIAN_POINT('Ctrl Pts',(2.605313460852,-14.77514776844,5.211317402935)); #482927=CARTESIAN_POINT('Ctrl Pts',(2.70221067878,-14.81143221035,5.257185451518)); #482928=CARTESIAN_POINT('Ctrl Pts',(2.77332667316,-14.8696894069,5.28810529662)); #482929=CARTESIAN_POINT('Ctrl Pts',(2.82533213847,-14.94922177194,5.307511077687)); #482930=CARTESIAN_POINT('Ctrl Pts',(2.85297315459,-15.04199565614,5.313441729722)); #482931=CARTESIAN_POINT('Ctrl Pts',(2.85345761928,-15.13863926436,5.305298156366)); #482932=CARTESIAN_POINT('Ctrl Pts',(2.822261245945,-15.24458406852,5.280320505997)); #482933=CARTESIAN_POINT('Ctrl Pts',(2.774401474983,-15.30455914653,5.250904085668)); #482934=CARTESIAN_POINT('Ctrl Pts',(2.739366706869,-15.33058906276,5.230922533351)); #482935=CARTESIAN_POINT('Ctrl Pts',(2.403118314525,-14.81038173919,5.33963733662)); #482936=CARTESIAN_POINT('Ctrl Pts',(2.444271996408,-14.79558136794,5.359851402025)); #482937=CARTESIAN_POINT('Ctrl Pts',(2.518833544161,-14.79016430599,5.394835786968)); #482938=CARTESIAN_POINT('Ctrl Pts',(2.61746002155,-14.82721769638,5.437722608932)); #482939=CARTESIAN_POINT('Ctrl Pts',(2.68941306039,-14.88633432475,5.46655115938)); #482940=CARTESIAN_POINT('Ctrl Pts',(2.74145410323,-14.96678056269,5.484512054564)); #482941=CARTESIAN_POINT('Ctrl Pts',(2.76827362355,-15.06034997428,5.489772445535)); #482942=CARTESIAN_POINT('Ctrl Pts',(2.7671358476,-15.15749683452,5.481795778336)); #482943=CARTESIAN_POINT('Ctrl Pts',(2.733303661924,-15.2635152426,5.457979941617)); #482944=CARTESIAN_POINT('Ctrl Pts',(2.683166247088,-15.32298219952,5.430174108241)); #482945=CARTESIAN_POINT('Ctrl Pts',(2.646802394386,-15.34848970198,5.411358741611)); #482946=CARTESIAN_POINT('Ctrl Pts',(2.333318495245,-14.82151256988,5.497391569759)); #482947=CARTESIAN_POINT('Ctrl Pts',(2.374909368086,-14.80682178354,5.516943853037)); #482948=CARTESIAN_POINT('Ctrl Pts',(2.450151899938,-14.80167424121,5.550786638078)); #482949=CARTESIAN_POINT('Ctrl Pts',(2.54938899329,-14.83922954228,5.592256973983)); #482950=CARTESIAN_POINT('Ctrl Pts',(2.62154950516,-14.89880742681,5.620109282711)); #482951=CARTESIAN_POINT('Ctrl Pts',(2.67343786109,-14.97967978142,5.63742286143)); #482952=CARTESIAN_POINT('Ctrl Pts',(2.69974357225,-15.07357071736,5.642425722412)); #482953=CARTESIAN_POINT('Ctrl Pts',(2.69777510787,-15.17087161118,5.634606133159)); #482954=CARTESIAN_POINT('Ctrl Pts',(2.662703310774,-15.2768196402,5.611441849031)); #482955=CARTESIAN_POINT('Ctrl Pts',(2.611562699991,-15.33598889783,5.584466888579)); #482956=CARTESIAN_POINT('Ctrl Pts',(2.57463192634,-15.36123221397,5.566234930731)); #482957=CARTESIAN_POINT('Ctrl Pts',(2.278504318571,-14.8300130092,5.623714201177)); #482958=CARTESIAN_POINT('Ctrl Pts',(2.320243426438,-14.81545944237,5.643065821076)); #482959=CARTESIAN_POINT('Ctrl Pts',(2.39566879932,-14.81056500873,5.676561548932)); #482960=CARTESIAN_POINT('Ctrl Pts',(2.49496713312,-14.84846415689,5.717605387624)); #482961=CARTESIAN_POINT('Ctrl Pts',(2.56703973042,-14.90829878732,5.745169523591)); #482962=CARTESIAN_POINT('Ctrl Pts',(2.61870746455,-14.98936259626,5.762301153675)); #482963=CARTESIAN_POINT('Ctrl Pts',(2.64468145878,-15.08335862741,5.767246527419)); #482964=CARTESIAN_POINT('Ctrl Pts',(2.6423036101,-15.18066578361,5.759499671575)); #482965=CARTESIAN_POINT('Ctrl Pts',(2.606712091664,-15.28650289682,5.736563815495)); #482966=CARTESIAN_POINT('Ctrl Pts',(2.555210854648,-15.34549562487,5.709859860833)); #482967=CARTESIAN_POINT('Ctrl Pts',(2.518093038711,-15.37060795036,5.691812575094)); #482968=CARTESIAN_POINT('Ctrl Pts',(2.237915367793,-14.83621274786,5.719548121782)); #482969=CARTESIAN_POINT('Ctrl Pts',(2.280138779002,-14.821662681,5.738145593459)); #482970=CARTESIAN_POINT('Ctrl Pts',(2.356377444577,-14.81688498365,5.770342011728)); #482971=CARTESIAN_POINT('Ctrl Pts',(2.45652313715,-14.8551644179,5.809766266711)); #482972=CARTESIAN_POINT('Ctrl Pts',(2.52900474263,-14.915423781,5.836203820397)); #482973=CARTESIAN_POINT('Ctrl Pts',(2.58068597989,-14.99693734573,5.852572028419)); #482974=CARTESIAN_POINT('Ctrl Pts',(2.60625052034,-15.09132109186,5.857186858832)); #482975=CARTESIAN_POINT('Ctrl Pts',(2.6030692078,-15.18886670384,5.849573763001)); #482976=CARTESIAN_POINT('Ctrl Pts',(2.56617738977,-15.29472207106,5.827324645121)); #482977=CARTESIAN_POINT('Ctrl Pts',(2.513558753783,-15.3534459079,5.801532900366)); #482978=CARTESIAN_POINT('Ctrl Pts',(2.475792796052,-15.37828868271,5.784136205801)); #482979=CARTESIAN_POINT('Ctrl Pts',(2.193114822965,-14.8429483527,5.832354812686)); #482980=CARTESIAN_POINT('Ctrl Pts',(2.236165984434,-14.82832880165,5.849557997457)); #482981=CARTESIAN_POINT('Ctrl Pts',(2.313832818183,-14.82363081154,5.879351173812)); #482982=CARTESIAN_POINT('Ctrl Pts',(2.415536521298,-14.86243589875,5.915784579179)); #482983=CARTESIAN_POINT('Ctrl Pts',(2.488831740429,-14.9233521234,5.94014839742)); #482984=CARTESIAN_POINT('Ctrl Pts',(2.540652639321,-15.00560422239,5.955121975012)); #482985=CARTESIAN_POINT('Ctrl Pts',(2.565619811961,-15.10065505553,5.959150147885)); #482986=CARTESIAN_POINT('Ctrl Pts',(2.561141894739,-15.19863847163,5.951814706819)); #482987=CARTESIAN_POINT('Ctrl Pts',(2.522080154602,-15.30457725116,5.930873626867)); #482988=CARTESIAN_POINT('Ctrl Pts',(2.467556543154,-15.36288160303,5.906793607431)); #482989=CARTESIAN_POINT('Ctrl Pts',(2.42867729301,-15.38728300988,5.890611090719)); #482990=CARTESIAN_POINT('Ctrl Pts',(2.164163335493,-14.84722248466,5.914957463033)); #482991=CARTESIAN_POINT('Ctrl Pts',(2.208031721838,-14.83248838414,5.930581570664)); #482992=CARTESIAN_POINT('Ctrl Pts',(2.28713293527,-14.82779701398,5.957649749291)); #482993=CARTESIAN_POINT('Ctrl Pts',(2.390439225809,-14.8670482699,5.990706683325)); #482994=CARTESIAN_POINT('Ctrl Pts',(2.464600206114,-14.92857365551,6.01275009026)); #482995=CARTESIAN_POINT('Ctrl Pts',(2.51661653828,-15.01153803453,6.026195877339)); #482996=CARTESIAN_POINT('Ctrl Pts',(2.541037480264,-15.1072488802,6.0296343673)); #482997=CARTESIAN_POINT('Ctrl Pts',(2.535304499858,-15.2056775819,6.022705230724)); #482998=CARTESIAN_POINT('Ctrl Pts',(2.494108808468,-15.31171881347,6.003359985962)); #482999=CARTESIAN_POINT('Ctrl Pts',(2.437697324867,-15.36962074722,5.981291387841)); #483000=CARTESIAN_POINT('Ctrl Pts',(2.397714849904,-15.39358996761,5.966515176938)); #483001=CARTESIAN_POINT('Ctrl Pts',(2.148623950814,-14.84949307159,5.965068892498)); #483002=CARTESIAN_POINT('Ctrl Pts',(2.192926636953,-14.83469931081,5.979723987667)); #483003=CARTESIAN_POINT('Ctrl Pts',(2.272790774052,-14.83001587524,6.005117876328)); #483004=CARTESIAN_POINT('Ctrl Pts',(2.37694462618,-14.86951439975,6.036109700964)); #483005=CARTESIAN_POINT('Ctrl Pts',(2.451556488534,-14.931373224,6.056747154218)); #483006=CARTESIAN_POINT('Ctrl Pts',(2.503660890331,-15.01472443669,6.06928830991)); #483007=CARTESIAN_POINT('Ctrl Pts',(2.527770034082,-15.11079031192,6.072412336294)); #483008=CARTESIAN_POINT('Ctrl Pts',(2.521345490187,-15.20945362708,6.065790452699)); #483009=CARTESIAN_POINT('Ctrl Pts',(2.478988325179,-15.31553796518,6.047496017412)); #483010=CARTESIAN_POINT('Ctrl Pts',(2.421560399379,-15.37320985342,6.026706347203)); #483011=CARTESIAN_POINT('Ctrl Pts',(2.380988922325,-15.39693760304,6.012811602551)); #483012=CARTESIAN_POINT('',(2.435552945325,-15.36610199729,5.982654745818)); #483013=CARTESIAN_POINT('Ctrl Pts',(3.18378833951114,-15.2193648909102, 4.53966324347406)); #483014=CARTESIAN_POINT('Ctrl Pts',(3.14993042720945,-15.2255606989439, 4.60141200747786)); #483015=CARTESIAN_POINT('Ctrl Pts',(3.11530568454627,-15.231342131271,4.66342948802586)); #483016=CARTESIAN_POINT('Ctrl Pts',(3.08011328680993,-15.237781277161,4.72381407572051)); #483017=CARTESIAN_POINT('Ctrl Pts',(3.06251708794104,-15.2410008501062, 4.75400636956906)); #483018=CARTESIAN_POINT('Ctrl Pts',(3.04477932909182,-15.2443852425516, 4.7837906076886)); #483019=CARTESIAN_POINT('Ctrl Pts',(3.02705092550044,-15.247874628595,4.81328982390631)); #483020=CARTESIAN_POINT('Ctrl Pts',(3.00932252190905,-15.2513640146383, 4.84278904012402)); #483021=CARTESIAN_POINT('Ctrl Pts',(2.99160342096885,-15.254958336595,4.87200320848544)); #483022=CARTESIAN_POINT('Ctrl Pts',(2.97404443858171,-15.2585976564069, 4.90105531121617)); #483023=CARTESIAN_POINT('Ctrl Pts',(2.93892647380741,-15.2658762960308, 4.95915951667768)); #483024=CARTESIAN_POINT('Ctrl Pts',(2.90444922484505,-15.2733351926001, 5.01661557745668)); #483025=CARTESIAN_POINT('Ctrl Pts',(2.87096484917821,-15.2804444019899, 5.07470561961628)); #483026=CARTESIAN_POINT('Ctrl Pts',(2.83748047351136,-15.2875536113796, 5.13279566177588)); #483027=CARTESIAN_POINT('Ctrl Pts',(2.8049887119439,-15.2943128400653,5.19151956830458)); #483028=CARTESIAN_POINT('Ctrl Pts',(2.77354088289732,-15.30075082437,5.25077009724822)); #483029=CARTESIAN_POINT('Ctrl Pts',(2.74209305385076,-15.3071888086748, 5.31002062619181)); #483030=CARTESIAN_POINT('Ctrl Pts',(2.71168924384471,-15.3133056501217, 5.36979781215218)); #483031=CARTESIAN_POINT('Ctrl Pts',(2.68216826079417,-15.3189613893721, 5.4305493629602)); #483032=CARTESIAN_POINT('Ctrl Pts',(2.65264727774361,-15.3246171286226, 5.49130091376827)); #483033=CARTESIAN_POINT('Ctrl Pts',(2.62400907773096,-15.3298117139522, 5.55302681251795)); #483034=CARTESIAN_POINT('Ctrl Pts',(2.59568687961669,-15.3347057106165, 5.61540346181327)); #483035=CARTESIAN_POINT('Ctrl Pts',(2.58152578055949,-15.3371527089487, 5.64659178646105)); #483036=CARTESIAN_POINT('Ctrl Pts',(2.56744369683694,-15.339524577349,5.67794280477005)); #483037=CARTESIAN_POINT('Ctrl Pts',(2.55345607068627,-15.3420358408558, 5.70889977556772)); #483038=CARTESIAN_POINT('Ctrl Pts',(2.53946844453574,-15.3445471043626, 5.73985674636509)); #483039=CARTESIAN_POINT('Ctrl Pts',(2.52557515860321,-15.3471976250387, 5.77041962198356)); #483040=CARTESIAN_POINT('Ctrl Pts',(2.51203379310441,-15.3498503968944, 5.8008681103184)); #483041=CARTESIAN_POINT('Ctrl Pts',(2.50526311035493,-15.3511767828223, 5.81609235448599)); #483042=CARTESIAN_POINT('Ctrl Pts',(2.49858046496456,-15.3525037999778, 5.8312880244883)); #483043=CARTESIAN_POINT('Ctrl Pts',(2.49198693261866,-15.3538441878989, 5.84645488405127)); #483044=CARTESIAN_POINT('Ctrl Pts',(2.48539340027277,-15.35518457582,5.86162174361425)); #483045=CARTESIAN_POINT('Ctrl Pts',(2.47888899392094,-15.3565383514652, 5.87675979529829)); #483046=CARTESIAN_POINT('Ctrl Pts',(2.47247435588991,-15.357917725484,5.89186864991188)); #483047=CARTESIAN_POINT('Ctrl Pts',(2.46605971785962,-15.3592970995026, 5.90697750452373)); #483048=CARTESIAN_POINT('Ctrl Pts',(2.45973472024834,-15.3607019152301, 5.92205711853441)); #483049=CARTESIAN_POINT('Ctrl Pts',(2.45356057025454,-15.3620823463924, 5.93716793082112)); #483050=CARTESIAN_POINT('Ctrl Pts',(2.44738642026074,-15.3634627775547, 5.95227874310783)); #483051=CARTESIAN_POINT('Ctrl Pts',(2.44136311449979,-15.3648188143063, 5.96742075932143)); #483052=CARTESIAN_POINT('Ctrl Pts',(2.43555294532477,-15.3661019972897, 5.98265474581794)); #483053=CARTESIAN_POINT('Origin',(2.2531966704401,-15.1331789378312,5.93272442054079)); #483054=CARTESIAN_POINT('Ctrl Pts',(2.181389774987,-14.84458482334,5.868700033472)); #483055=CARTESIAN_POINT('Ctrl Pts',(2.224655858508,-14.83004928991,5.88517918745)); #483056=CARTESIAN_POINT('Ctrl Pts',(2.302746371146,-14.82543193662,5.913746344972)); #483057=CARTESIAN_POINT('Ctrl Pts',(2.40496332471,-14.86436774095,5.94869253456)); #483058=CARTESIAN_POINT('Ctrl Pts',(2.478615328455,-14.92553880945,5.972071222953)); #483059=CARTESIAN_POINT('Ctrl Pts',(2.530514104562,-15.00808795326,5.986398926768)); #483060=CARTESIAN_POINT('Ctrl Pts',(2.555250911189,-15.10341318716,5.990182337095)); #483061=CARTESIAN_POINT('Ctrl Pts',(2.55024847075,-15.20158067894,5.983027355548)); #483062=CARTESIAN_POINT('Ctrl Pts',(2.510278491735,-15.30761020252,5.962765048594)); #483063=CARTESIAN_POINT('Ctrl Pts',(2.454915323127,-15.36577169032,5.939525447448)); #483064=CARTESIAN_POINT('Ctrl Pts',(2.415520859845,-15.38999484895,5.923922793623)); #483065=CARTESIAN_POINT('Ctrl Pts',(2.150464708819,-14.84917107017,5.954539604745)); #483066=CARTESIAN_POINT('Ctrl Pts',(2.194648551015,-14.83450199506,5.969257756822)); #483067=CARTESIAN_POINT('Ctrl Pts',(2.274353390353,-14.8298825581,5.994783455979)); #483068=CARTESIAN_POINT('Ctrl Pts',(2.378381410368,-14.86930761093,6.025957042166)); #483069=CARTESIAN_POINT('Ctrl Pts',(2.453018451571,-14.93115640973,6.046738002917)); #483070=CARTESIAN_POINT('Ctrl Pts',(2.505148571403,-15.01450267084,6.059353299877)); #483071=CARTESIAN_POINT('Ctrl Pts',(2.529281382992,-15.1105698687,6.062472453112)); #483072=CARTESIAN_POINT('Ctrl Pts',(2.522875290191,-15.20924123704,6.055766531582)); #483073=CARTESIAN_POINT('Ctrl Pts',(2.480509430762,-15.31539289984,6.037283747035)); #483074=CARTESIAN_POINT('Ctrl Pts',(2.423021042424,-15.37310800411,6.016292573448)); #483075=CARTESIAN_POINT('Ctrl Pts',(2.382382863599,-15.39684828833,6.00226392072)); #483076=CARTESIAN_POINT('Ctrl Pts',(2.11530729935,-14.85425825389,6.076889684819)); #483077=CARTESIAN_POINT('Ctrl Pts',(2.160293140253,-14.83950193845,6.089608601406)); #483078=CARTESIAN_POINT('Ctrl Pts',(2.241399290033,-14.83493904533,6.111674014812)); #483079=CARTESIAN_POINT('Ctrl Pts',(2.346959473163,-14.87487779117,6.138589521316)); #483080=CARTESIAN_POINT('Ctrl Pts',(2.422385154837,-14.93738557884,6.156486266561)); #483081=CARTESIAN_POINT('Ctrl Pts',(2.474618752454,-15.02147685827,6.167276201122)); #483082=CARTESIAN_POINT('Ctrl Pts',(2.498106888365,-15.11821245173,6.169812013603)); #483083=CARTESIAN_POINT('Ctrl Pts',(2.490353107073,-15.21730849915,6.163824270839)); #483084=CARTESIAN_POINT('Ctrl Pts',(2.445768247241,-15.32350386348,6.147618546296)); #483085=CARTESIAN_POINT('Ctrl Pts',(2.386368040917,-15.38074697179,6.129339340603)); #483086=CARTESIAN_POINT('Ctrl Pts',(2.344632990278,-15.40400789487,6.117163029944)); #483087=CARTESIAN_POINT('Ctrl Pts',(2.079752419455,-14.85926480661,6.216194098316)); #483088=CARTESIAN_POINT('Ctrl Pts',(2.124943631638,-14.84457585463,6.228413980432)); #483089=CARTESIAN_POINT('Ctrl Pts',(2.206367521249,-14.84017732608,6.249615073554)); #483090=CARTESIAN_POINT('Ctrl Pts',(2.31219185813,-14.8804180952,6.27546970125)); #483091=CARTESIAN_POINT('Ctrl Pts',(2.38767754486,-14.94320000003,6.292651687386)); #483092=CARTESIAN_POINT('Ctrl Pts',(2.4397830534,-15.02753860603,6.302995401029)); #483093=CARTESIAN_POINT('Ctrl Pts',(2.46295828968,-15.12445161626,6.305399036539)); #483094=CARTESIAN_POINT('Ctrl Pts',(2.45473552789,-15.2236169995,6.299606750732)); #483095=CARTESIAN_POINT('Ctrl Pts',(2.409480850194,-15.32973545032,6.283988982738)); #483096=CARTESIAN_POINT('Ctrl Pts',(2.349564729265,-15.38677078924,6.266398331081)); #483097=CARTESIAN_POINT('Ctrl Pts',(2.30755034896,-15.40985637995,6.254688773706)); #483098=CARTESIAN_POINT('Ctrl Pts',(2.061949110516,-14.86173910446,6.286119080847)); #483099=CARTESIAN_POINT('Ctrl Pts',(2.107103342907,-14.84711963794,6.298475364161)); #483100=CARTESIAN_POINT('Ctrl Pts',(2.188432309273,-14.84282649361,6.319912420397)); #483101=CARTESIAN_POINT('Ctrl Pts',(2.29409300905,-14.88316350168,6.346057970685)); #483102=CARTESIAN_POINT('Ctrl Pts',(2.3694405626,-14.94598706728,6.36343779819)); #483103=CARTESIAN_POINT('Ctrl Pts',(2.42143196675,-15.03032779375,6.3739079802)); #483104=CARTESIAN_POINT('Ctrl Pts',(2.44453411113,-15.12721099035,6.376354171944)); #483105=CARTESIAN_POINT('Ctrl Pts',(2.43628918937,-15.22632679896,6.370516215159)); #483106=CARTESIAN_POINT('Ctrl Pts',(2.391069962458,-15.33238117807,6.354747124409)); #483107=CARTESIAN_POINT('Ctrl Pts',(2.331226866847,-15.38938012992,6.336973852143)); #483108=CARTESIAN_POINT('Ctrl Pts',(2.289265557406,-15.41245382898,6.325138836519)); #483109=CARTESIAN_POINT('Ctrl Pts',(2.034842737097,-14.86547374981,6.390482009876)); #483110=CARTESIAN_POINT('Ctrl Pts',(2.07978732358,-14.85099841694,6.403428561505)); #483111=CARTESIAN_POINT('Ctrl Pts',(2.160693605487,-14.84689008556,6.425886813275)); #483112=CARTESIAN_POINT('Ctrl Pts',(2.26578081096,-14.88731352459,6.453291047543)); #483113=CARTESIAN_POINT('Ctrl Pts',(2.34073285445,-14.9500968145,6.471526333835)); #483114=CARTESIAN_POINT('Ctrl Pts',(2.39249369632,-15.03430899567,6.482542563218)); #483115=CARTESIAN_POINT('Ctrl Pts',(2.41557285688,-15.13101843616,6.485171179306)); #483116=CARTESIAN_POINT('Ctrl Pts',(2.40752306082,-15.2299675235,6.479133547111)); #483117=CARTESIAN_POINT('Ctrl Pts',(2.362759027472,-15.33589645677,6.462707258618)); #483118=CARTESIAN_POINT('Ctrl Pts',(2.303387222992,-15.39291281557,6.444142457319)); #483119=CARTESIAN_POINT('Ctrl Pts',(2.261717141217,-15.41605026154,6.431764166977)); #483120=CARTESIAN_POINT('Ctrl Pts',(2.001650673931,-14.87000922177,6.514005793298)); #483121=CARTESIAN_POINT('Ctrl Pts',(2.047201579739,-14.85549405548,6.52555742441)); #483122=CARTESIAN_POINT('Ctrl Pts',(2.129155392586,-14.85147051404,6.545602637647)); #483123=CARTESIAN_POINT('Ctrl Pts',(2.23536820727,-14.89232578197,6.570031516133)); #483124=CARTESIAN_POINT('Ctrl Pts',(2.31088368204,-14.95563067427,6.586242992968)); #483125=CARTESIAN_POINT('Ctrl Pts',(2.36269146291,-15.04041468416,6.595964777858)); #483126=CARTESIAN_POINT('Ctrl Pts',(2.38525847871,-15.13762457409,6.598156451638)); #483127=CARTESIAN_POINT('Ctrl Pts',(2.37617467125,-15.23687956686,6.592583490294)); #483128=CARTESIAN_POINT('Ctrl Pts',(2.329727477217,-15.34281677816,6.577701265107)); #483129=CARTESIAN_POINT('Ctrl Pts',(2.268915533182,-15.39945701363,6.561001195927)); #483130=CARTESIAN_POINT('Ctrl Pts',(2.226420706126,-15.42222214007,6.549904243571)); #483131=CARTESIAN_POINT('Ctrl Pts',(1.974234420087,-14.87373440512,6.66120079782)); #483132=CARTESIAN_POINT('Ctrl Pts',(2.021011455645,-14.85899846457,6.668577972219)); #483133=CARTESIAN_POINT('Ctrl Pts',(2.105156917312,-14.85495079591,6.681387561628)); #483134=CARTESIAN_POINT('Ctrl Pts',(2.21381635253,-14.89654084448,6.696960789179)); #483135=CARTESIAN_POINT('Ctrl Pts',(2.29061463356,-14.96087535727,6.707241929912)); #483136=CARTESIAN_POINT('Ctrl Pts',(2.34261668929,-15.04686546778,6.713319907359)); #483137=CARTESIAN_POINT('Ctrl Pts',(2.36417781739,-15.14517881042,6.714533527872)); #483138=CARTESIAN_POINT('Ctrl Pts',(2.35295817274,-15.24514497899,6.71075086868)); #483139=CARTESIAN_POINT('Ctrl Pts',(2.302986729436,-15.35116211052,6.700977526996)); #483140=CARTESIAN_POINT('Ctrl Pts',(2.239151602953,-15.40701472947,6.690154403898)); #483141=CARTESIAN_POINT('Ctrl Pts',(2.194939573283,-15.42897622177,6.683009138526)); #483142=CARTESIAN_POINT('Ctrl Pts',(1.964149726501,-14.8751184189,6.754945068072)); #483143=CARTESIAN_POINT('Ctrl Pts',(2.011620416404,-14.86021903401,6.758506513506)); #483144=CARTESIAN_POINT('Ctrl Pts',(2.097030410269,-14.85614589182,6.764693777806)); #483145=CARTESIAN_POINT('Ctrl Pts',(2.20709226989,-14.89825401256,6.772201050464)); #483146=CARTESIAN_POINT('Ctrl Pts',(2.28459021732,-14.96332024886,6.777136030866)); #483147=CARTESIAN_POINT('Ctrl Pts',(2.33661418776,-15.05016755124,6.780018779666)); #483148=CARTESIAN_POINT('Ctrl Pts',(2.357451414,-15.14926085749,6.780531495888)); #483149=CARTESIAN_POINT('Ctrl Pts',(2.34481441544,-15.24971999462,6.77861769453)); #483150=CARTESIAN_POINT('Ctrl Pts',(2.292575966164,-15.3557671081,6.773794514921)); #483151=CARTESIAN_POINT('Ctrl Pts',(2.226853303539,-15.41103018749,6.768508684927)); #483152=CARTESIAN_POINT('Ctrl Pts',(2.181597125292,-15.43240078115,6.765037309153)); #483153=CARTESIAN_POINT('Ctrl Pts',(1.961981623617,-14.87544113721,6.830024869989)); #483154=CARTESIAN_POINT('Ctrl Pts',(2.009736715096,-14.86043729177,6.830068869092)); #483155=CARTESIAN_POINT('Ctrl Pts',(2.095691253106,-14.85636765772,6.830145636225)); #483156=CARTESIAN_POINT('Ctrl Pts',(2.20633095446,-14.89887264924,6.830237273944)); #483157=CARTESIAN_POINT('Ctrl Pts',(2.28405660026,-14.9644785731,6.830295366324)); #483158=CARTESIAN_POINT('Ctrl Pts',(2.33594440383,-15.05194566443,6.830325774023)); #483159=CARTESIAN_POINT('Ctrl Pts',(2.35624946852,-15.15159125827,6.830324723823)); #483160=CARTESIAN_POINT('Ctrl Pts',(2.34272451746,-15.25238395762,6.830292261707)); #483161=CARTESIAN_POINT('Ctrl Pts',(2.289161939529,-15.35841549737,6.830222171192)); #483162=CARTESIAN_POINT('Ctrl Pts',(2.222410478072,-15.41322041631,6.830150859858)); #483163=CARTESIAN_POINT('Ctrl Pts',(2.176619528269,-15.43414577846,6.830105867622)); #483164=CARTESIAN_POINT('Ctrl Pts',(1.963613148576,-14.87525456447,6.904835167853)); #483165=CARTESIAN_POINT('Ctrl Pts',(2.011434257731,-14.86017808348,6.901553432868)); #483166=CARTESIAN_POINT('Ctrl Pts',(2.097548800564,-14.85613010122,6.895850216829)); #483167=CARTESIAN_POINT('Ctrl Pts',(2.2083260567,-14.89897492503,6.88893894718)); #483168=CARTESIAN_POINT('Ctrl Pts',(2.28602774706,-14.96502643315,6.884408124541)); #483169=CARTESIAN_POINT('Ctrl Pts',(2.33769564601,-15.05299565354,6.881781777904)); #483170=CARTESIAN_POINT('Ctrl Pts',(2.35757153054,-15.15308035352,6.881351854215)); #483171=CARTESIAN_POINT('Ctrl Pts',(2.34344113945,-15.25412771528,6.883166099234)); #483172=CARTESIAN_POINT('Ctrl Pts',(2.289055779616,-15.3601224658,6.887670860357)); #483173=CARTESIAN_POINT('Ctrl Pts',(2.221729931392,-15.41453667513,6.892576005153)); #483174=CARTESIAN_POINT('Ctrl Pts',(2.175672447592,-15.43509075194,6.895786752411)); #483175=CARTESIAN_POINT('Ctrl Pts',(1.975805743383,-14.87367771403,7.03481108749)); #483176=CARTESIAN_POINT('Ctrl Pts',(2.023265752543,-14.85854152185,7.026337876916)); #483177=CARTESIAN_POINT('Ctrl Pts',(2.10882105231,-14.85456317054,7.011607587049)); #483178=CARTESIAN_POINT('Ctrl Pts',(2.21888606411,-14.89785960672,6.993780034655)); #483179=CARTESIAN_POINT('Ctrl Pts',(2.29601154054,-14.96446711104,6.982125451202)); #483180=CARTESIAN_POINT('Ctrl Pts',(2.34713573842,-15.05304132839,6.975423403399)); #483181=CARTESIAN_POINT('Ctrl Pts',(2.36651675127,-15.15363623648,6.974425206629)); #483182=CARTESIAN_POINT('Ctrl Pts',(2.35197873026,-15.25495540345,6.97924264776)); #483183=CARTESIAN_POINT('Ctrl Pts',(2.297286974081,-15.36085170702,6.991031555825)); #483184=CARTESIAN_POINT('Ctrl Pts',(2.229967113453,-15.4147515163,7.003785627259)); #483185=CARTESIAN_POINT('Ctrl Pts',(2.18403344684,-15.43483445338,7.012106090541)); #483186=CARTESIAN_POINT('Ctrl Pts',(2.015574692333,-14.86839003239,7.217731322823)); #483187=CARTESIAN_POINT('Ctrl Pts',(2.061837463965,-14.85325267302,7.204021300607)); #483188=CARTESIAN_POINT('Ctrl Pts',(2.145396540626,-14.84935955349,7.180181389696)); #483189=CARTESIAN_POINT('Ctrl Pts',(2.25313448782,-14.89294328708,7.151354799574)); #483190=CARTESIAN_POINT('Ctrl Pts',(2.32876679759,-14.95986761034,7.132546782628)); #483191=CARTESIAN_POINT('Ctrl Pts',(2.37903445021,-15.04876188779,7.121792178189)); #483192=CARTESIAN_POINT('Ctrl Pts',(2.39826843414,-15.14960247526,7.120303682653)); #483193=CARTESIAN_POINT('Ctrl Pts',(2.38430059101,-15.25101812723,7.128248921708)); #483194=CARTESIAN_POINT('Ctrl Pts',(2.331045511875,-15.35678483057,7.147501475964)); #483195=CARTESIAN_POINT('Ctrl Pts',(2.265319766765,-15.41034414583,7.168237473067)); #483196=CARTESIAN_POINT('Ctrl Pts',(2.220454736775,-15.43013702208,7.181733473021)); #483197=CARTESIAN_POINT('Ctrl Pts',(2.070482600686,-14.86083955728,7.391700601873)); #483198=CARTESIAN_POINT('Ctrl Pts',(2.115944484261,-14.84562756909,7.375686105132)); #483199=CARTESIAN_POINT('Ctrl Pts',(2.198202921166,-14.8416289908,7.347837071435)); #483200=CARTESIAN_POINT('Ctrl Pts',(2.30453138942,-14.88513619863,7.314171699689)); #483201=CARTESIAN_POINT('Ctrl Pts',(2.37935837221,-14.95204467321,7.29221935399)); #483202=CARTESIAN_POINT('Ctrl Pts',(2.42930291584,-15.0409629641,7.27968782772)); #483203=CARTESIAN_POINT('Ctrl Pts',(2.44872375231,-15.14184910472,7.277992614535)); #483204=CARTESIAN_POINT('Ctrl Pts',(2.43542820557,-15.24330899561,7.287324932714)); #483205=CARTESIAN_POINT('Ctrl Pts',(2.383439401515,-15.34909919991,7.309874393074)); #483206=CARTESIAN_POINT('Ctrl Pts',(2.318929018884,-15.40263110193,7.334129704472)); #483207=CARTESIAN_POINT('Ctrl Pts',(2.274824774441,-15.42238608644,7.349905379114)); #483208=CARTESIAN_POINT('Ctrl Pts',(2.120593307955,-14.8537659438,7.542959714765)); #483209=CARTESIAN_POINT('Ctrl Pts',(2.166018249362,-14.83838593355,7.526880953165)); #483210=CARTESIAN_POINT('Ctrl Pts',(2.248297759122,-14.83411692896,7.498918527321)); #483211=CARTESIAN_POINT('Ctrl Pts',(2.354802900384,-14.87734297183,7.46512359657)); #483212=CARTESIAN_POINT('Ctrl Pts',(2.429850922993,-14.9440986159,7.443097486786)); #483213=CARTESIAN_POINT('Ctrl Pts',(2.480048897864,-15.03295909459,7.430541540558)); #483214=CARTESIAN_POINT('Ctrl Pts',(2.499718870673,-15.1338746964,7.428876054002)); #483215=CARTESIAN_POINT('Ctrl Pts',(2.486636870745,-15.23543177876,7.438289157661)); #483216=CARTESIAN_POINT('Ctrl Pts',(2.434830196185,-15.34138273773,7.460980169955)); #483217=CARTESIAN_POINT('Ctrl Pts',(2.370378824358,-15.39504028164,7.485361235011)); #483218=CARTESIAN_POINT('Ctrl Pts',(2.326285132653,-15.41485885732,7.501209577331)); #483219=CARTESIAN_POINT('Ctrl Pts',(2.148020551052,-14.84981752136,7.625770573913)); #483220=CARTESIAN_POINT('Ctrl Pts',(2.193404567301,-14.8343484271,7.609601290124)); #483221=CARTESIAN_POINT('Ctrl Pts',(2.275659655272,-14.82993620354,7.581480559043)); #483222=CARTESIAN_POINT('Ctrl Pts',(2.382218208729,-14.87301378965,7.547498339956)); #483223=CARTESIAN_POINT('Ctrl Pts',(2.457359144358,-14.93968909128,7.525355921026)); #483224=CARTESIAN_POINT('Ctrl Pts',(2.507680009988,-15.0285195533,7.512743177147)); #483225=CARTESIAN_POINT('Ctrl Pts',(2.527484538619,-15.12945108538,7.511087925143)); #483226=CARTESIAN_POINT('Ctrl Pts',(2.514531801407,-15.23105940506,7.520577279021)); #483227=CARTESIAN_POINT('Ctrl Pts',(2.462854161176,-15.33709428833,7.543423422518)); #483228=CARTESIAN_POINT('Ctrl Pts',(2.398466362414,-15.39081653345,7.567956963193)); #483229=CARTESIAN_POINT('Ctrl Pts',(2.354398687506,-15.41066734572,7.583899519841)); #483230=CARTESIAN_POINT('Ctrl Pts',(2.164216064046,-14.84745885243,7.674284835237)); #483231=CARTESIAN_POINT('Ctrl Pts',(2.209583602294,-14.83193610192,7.658083410351)); #483232=CARTESIAN_POINT('Ctrl Pts',(2.291837697845,-14.82743747885,7.629906249256)); #483233=CARTESIAN_POINT('Ctrl Pts',(2.398444100354,-14.87042521965,7.595858281124)); #483234=CARTESIAN_POINT('Ctrl Pts',(2.473650568513,-14.93705168038,7.573676505779)); #483235=CARTESIAN_POINT('Ctrl Pts',(2.524050106098,-15.02586363918,7.561047097437)); #483236=CARTESIAN_POINT('Ctrl Pts',(2.543934919875,-15.12680448643,7.559400422456)); #483237=CARTESIAN_POINT('Ctrl Pts',(2.531053957884,-15.22844363878,7.568922709335)); #483238=CARTESIAN_POINT('Ctrl Pts',(2.479441497342,-15.33452942184,7.591830829128)); #483239=CARTESIAN_POINT('Ctrl Pts',(2.415079389514,-15.3882912639,7.616422332382)); #483240=CARTESIAN_POINT('Ctrl Pts',(2.371019378024,-15.40816198997,7.632399590441)); #483241=CARTESIAN_POINT('',(2.39848061304947,-15.3865219581001,7.5740307117423)); #483242=CARTESIAN_POINT('Ctrl Pts',(2.39848061305073,-15.3865219581018, 7.57403071174196)); #483243=CARTESIAN_POINT('Ctrl Pts',(2.38691987288987,-15.3883270940298, 7.54051853214027)); #483244=CARTESIAN_POINT('Ctrl Pts',(2.37522533896048,-15.3901197842479, 7.50636420004143)); #483245=CARTESIAN_POINT('Ctrl Pts',(2.36334001502093,-15.3919124823124, 7.47156559482291)); #483246=CARTESIAN_POINT('Ctrl Pts',(2.35346134458743,-15.3934025109776, 7.44264219700491)); #483247=CARTESIAN_POINT('Ctrl Pts',(2.34344735541802,-15.3948930544746, 7.41327006709207)); #483248=CARTESIAN_POINT('Ctrl Pts',(2.33350624787209,-15.3963560031331, 7.38371144926607)); #483249=CARTESIAN_POINT('Ctrl Pts',(2.33188887614639,-15.396594018041,7.37890240032444)); #483250=CARTESIAN_POINT('Ctrl Pts',(2.33027350565866,-15.3968312919411, 7.37408850018933)); #483251=CARTESIAN_POINT('Ctrl Pts',(2.32866111735035,-15.3970676914134, 7.36927097812439)); #483252=CARTESIAN_POINT('Ctrl Pts',(2.32373668681511,-15.3977896842322, 7.35455767820869)); #483253=CARTESIAN_POINT('Ctrl Pts',(2.31883992778174,-15.3985035467453, 7.33981069105502)); #483254=CARTESIAN_POINT('Ctrl Pts',(2.3139988375169,-15.3992047220019,7.32502288035329)); #483255=CARTESIAN_POINT('Ctrl Pts',(2.30702615169894,-15.4002146339225, 7.30372380260065)); #483256=CARTESIAN_POINT('Ctrl Pts',(2.30013715780289,-15.4012198496306, 7.28235221296459)); #483257=CARTESIAN_POINT('Ctrl Pts',(2.29345247773425,-15.4021825795119, 7.26085536703464)); #483258=CARTESIAN_POINT('Ctrl Pts',(2.28843896768278,-15.4029046269228, 7.24473273258718)); #483259=CARTESIAN_POINT('Ctrl Pts',(2.28354042889532,-15.4036028444889, 7.22853963101215)); #483260=CARTESIAN_POINT('Ctrl Pts',(2.27880862180534,-15.4042627006709, 7.21225349487083)); #483261=CARTESIAN_POINT('Ctrl Pts',(2.27463912335058,-15.4048441422383, 7.19790273665438)); #483262=CARTESIAN_POINT('Ctrl Pts',(2.27060522172938,-15.4053938643455, 7.1834936232713)); #483263=CARTESIAN_POINT('Ctrl Pts',(2.26673417798553,-15.4059051646987, 7.16898919300744)); #483264=CARTESIAN_POINT('Ctrl Pts',(2.26039877703445,-15.4067419655612, 7.14525105224511)); #483265=CARTESIAN_POINT('Ctrl Pts',(2.25449709651895,-15.4074764306601, 7.12125613797871)); #483266=CARTESIAN_POINT('Ctrl Pts',(2.24918923367386,-15.4080653221891, 7.09695758277794)); #483267=CARTESIAN_POINT('Ctrl Pts',(2.24669854033443,-15.4083416571759, 7.08555558326086)); #483268=CARTESIAN_POINT('Ctrl Pts',(2.24433920690699,-15.4085858056166, 7.07408697906322)); #483269=CARTESIAN_POINT('Ctrl Pts',(2.24213143499053,-15.4087924722466, 7.06254856296822)); #483270=CARTESIAN_POINT('Ctrl Pts',(2.23645652108269,-15.4093236934855, 7.03288992047722)); #483271=CARTESIAN_POINT('Ctrl Pts',(2.2317511095484,-15.4096225103473,7.00271717855621)); #483272=CARTESIAN_POINT('Ctrl Pts',(2.22828219084032,-15.4097119855078, 6.97242069472115)); #483273=CARTESIAN_POINT('Ctrl Pts',(2.22643303523322,-15.4097596814929, 6.9562707305181)); #483274=CARTESIAN_POINT('Ctrl Pts',(2.22493531733576,-15.409747930262,6.9400852640174)); #483275=CARTESIAN_POINT('Ctrl Pts',(2.22381380226732,-15.4096798854975, 6.92389760008957)); #483276=CARTESIAN_POINT('Ctrl Pts',(2.22341062497003,-15.4096554238518, 6.91807824128148)); #483277=CARTESIAN_POINT('Ctrl Pts',(2.22305670449026,-15.409623328947,6.91226010248194)); #483278=CARTESIAN_POINT('Ctrl Pts',(2.22275353610824,-15.4095834633386, 6.90644565144963)); #483279=CARTESIAN_POINT('Ctrl Pts',(2.22248826377396,-15.4095485809312, 6.90135800679635)); #483280=CARTESIAN_POINT('Ctrl Pts',(2.22226184824417,-15.4095077486197, 6.89627318576903)); #483281=CARTESIAN_POINT('Ctrl Pts',(2.22207527143657,-15.4094608455427, 6.89119284624356)); #483282=CARTESIAN_POINT('Ctrl Pts',(2.22190228544691,-15.4094173590273, 6.88648257398707)); #483283=CARTESIAN_POINT('Ctrl Pts',(2.22176164172311,-15.409369827901,6.88177242066243)); #483284=CARTESIAN_POINT('Ctrl Pts',(2.22165532091506,-15.4093174358318, 6.87706551577511)); #483285=CARTESIAN_POINT('Ctrl Pts',(2.22130110060018,-15.4091428854723, 6.86138390686087)); #483286=CARTESIAN_POINT('Ctrl Pts',(2.22133001169537,-15.4089139049413, 6.8457383865765)); #483287=CARTESIAN_POINT('Ctrl Pts',(2.22179102862534,-15.4086047295718, 6.83024805835563)); #483288=CARTESIAN_POINT('Ctrl Pts',(2.22223637113129,-15.4083060660633, 6.81528439620089)); #483289=CARTESIAN_POINT('Ctrl Pts',(2.22308472898327,-15.4079328195311, 6.8004637428711)); #483290=CARTESIAN_POINT('Ctrl Pts',(2.22431756263656,-15.4074960216191, 6.78573396542536)); #483291=CARTESIAN_POINT('Ctrl Pts',(2.22437236228054,-15.4074766058853, 6.7850792245706)); #483292=CARTESIAN_POINT('Ctrl Pts',(2.22442797660521,-15.4074570203973, 6.78442478262723)); #483293=CARTESIAN_POINT('Ctrl Pts',(2.2244844014019,-15.4074372671578,6.7837706237572)); #483294=CARTESIAN_POINT('Ctrl Pts',(2.22620002612988,-15.4068366598328, 6.76388058959822)); #483295=CARTESIAN_POINT('Ctrl Pts',(2.22866025208816,-15.4060823792062, 6.74425230021363)); #483296=CARTESIAN_POINT('Ctrl Pts',(2.23167755525723,-15.4052239535243, 6.72479511949469)); #483297=CARTESIAN_POINT('Ctrl Pts',(2.23351784333882,-15.404700389778,6.71292796005721)); #483298=CARTESIAN_POINT('Ctrl Pts',(2.23556649968591,-15.4041377355366, 6.70112445801483)); #483299=CARTESIAN_POINT('Ctrl Pts',(2.23778989297791,-15.4035446715963, 6.68936357166704)); #483300=CARTESIAN_POINT('Ctrl Pts',(2.2400657364885,-15.4029376171788,6.67732524404881)); #483301=CARTESIAN_POINT('Ctrl Pts',(2.24252474555654,-15.4022986550443, 6.6653316894281)); #483302=CARTESIAN_POINT('Ctrl Pts',(2.24514073269122,-15.4016330925138, 6.65337114161188)); #483303=CARTESIAN_POINT('Ctrl Pts',(2.24632820444435,-15.4013309745506, 6.64794190516974)); #483304=CARTESIAN_POINT('Ctrl Pts',(2.24754658253615,-15.4010245551601, 6.64251839335193)); #483305=CARTESIAN_POINT('Ctrl Pts',(2.24879264123933,-15.4007151682437, 6.63709877422438)); #483306=CARTESIAN_POINT('Ctrl Pts',(2.25221831265056,-15.3998646000386, 6.62219912763661)); #483307=CARTESIAN_POINT('Ctrl Pts',(2.25585325770973,-15.3989914140386, 6.60732685165242)); #483308=CARTESIAN_POINT('Ctrl Pts',(2.25963182317528,-15.398125713166,6.59244976985922)); #483309=CARTESIAN_POINT('Ctrl Pts',(2.26066373085949,-15.3978892945063, 6.5883869118352)); #483310=CARTESIAN_POINT('Ctrl Pts',(2.26170639976489,-15.397653430701,6.58432366356591)); #483311=CARTESIAN_POINT('Ctrl Pts',(2.26275848946714,-15.3974187058017, 6.58025856356144)); #483312=CARTESIAN_POINT('Ctrl Pts',(2.26521076758331,-15.3968715939607, 6.57078336790109)); #483313=CARTESIAN_POINT('Ctrl Pts',(2.26771396453401,-15.3963304790549, 6.56130086003884)); #483314=CARTESIAN_POINT('Ctrl Pts',(2.27025067170116,-15.3958021734499, 6.55180144693333)); #483315=CARTESIAN_POINT('Ctrl Pts',(2.2727873788683,-15.3952738678449,6.54230203382782)); #483316=CARTESIAN_POINT('Ctrl Pts',(2.27535759984873,-15.3947583745135, 6.53278571773106)); #483317=CARTESIAN_POINT('Ctrl Pts',(2.27794408477128,-15.3942627160865, 6.52324294724417)); #483318=CARTESIAN_POINT('Ctrl Pts',(2.28385605030853,-15.3931297825391, 6.501430900417)); #483319=CARTESIAN_POINT('Ctrl Pts',(2.2898530741226,-15.3921005138731,6.47948086728135)); #483320=CARTESIAN_POINT('Ctrl Pts',(2.295903159893,-15.3911122869755,6.45740036137383)); #483321=CARTESIAN_POINT('Ctrl Pts',(2.2981719420569,-15.3907417018889,6.44912017165851)); #483322=CARTESIAN_POINT('Ctrl Pts',(2.30044819020464,-15.3903769003074, 6.44082161355081)); #483323=CARTESIAN_POINT('Ctrl Pts',(2.30273027955719,-15.3900146734704, 6.43250505228278)); #483324=CARTESIAN_POINT('Ctrl Pts',(2.30372869364893,-15.3898561992292, 6.42886655672802)); #483325=CARTESIAN_POINT('Ctrl Pts',(2.3047282265773,-15.3896982188681,6.42522461538622)); #483326=CARTESIAN_POINT('Ctrl Pts',(2.30572874632962,-15.3895404691882, 6.42157925993509)); #483327=CARTESIAN_POINT('Ctrl Pts',(2.30863578971914,-15.3890821222509, 6.41098755852988)); #483328=CARTESIAN_POINT('Ctrl Pts',(2.31156230856997,-15.3886161514628, 6.40037435814885)); #483329=CARTESIAN_POINT('Ctrl Pts',(2.31448889875753,-15.3881547052112, 6.38970220530306)); #483330=CARTESIAN_POINT('Ctrl Pts',(2.31931428513871,-15.3873938687719, 6.37210587042206)); #483331=CARTESIAN_POINT('Ctrl Pts',(2.32414038692869,-15.3866453200169, 6.35434891965327)); #483332=CARTESIAN_POINT('Ctrl Pts',(2.32897322856332,-15.3858512981366, 6.33662882303288)); #483333=CARTESIAN_POINT('Ctrl Pts',(2.33376671966558,-15.3850637414347, 6.31905300907025)); #483334=CARTESIAN_POINT('Ctrl Pts',(2.33856613221909,-15.3842314434161, 6.30151363158852)); #483335=CARTESIAN_POINT('Ctrl Pts',(2.34339471013116,-15.3833879239297, 6.28388953724828)); #483336=CARTESIAN_POINT('Ctrl Pts',(2.3459881618193,-15.3829348656844,6.27442355408494)); #483337=CARTESIAN_POINT('Ctrl Pts',(2.3485900976557,-15.3824785514024,6.26493325143191)); #483338=CARTESIAN_POINT('Ctrl Pts',(2.35120321470541,-15.3820235545681, 6.25540140423385)); #483339=CARTESIAN_POINT('Ctrl Pts',(2.35744408604357,-15.3809368919166, 6.23263662529495)); #483340=CARTESIAN_POINT('Ctrl Pts',(2.36374223824881,-15.3798382367119, 6.20978436148735)); #483341=CARTESIAN_POINT('Ctrl Pts',(2.37020393342344,-15.3786932423878, 6.18691716038708)); #483342=CARTESIAN_POINT('Ctrl Pts',(2.37082174008802,-15.3785837687737, 6.18473081317352)); #483343=CARTESIAN_POINT('Ctrl Pts',(2.37144103998686,-15.3784738803,6.18254431885934)); #483344=CARTESIAN_POINT('Ctrl Pts',(2.37206193418247,-15.3783635412494, 6.18035775869714)); #483345=CARTESIAN_POINT('Ctrl Pts',(2.3738762854636,-15.3780411130494,6.1739682832284)); #483346=CARTESIAN_POINT('Ctrl Pts',(2.37570424729205,-15.3777148376458, 6.16757824761564)); #483347=CARTESIAN_POINT('Ctrl Pts',(2.37754799978486,-15.3773838677978, 6.16118913346999)); #483348=CARTESIAN_POINT('Ctrl Pts',(2.39117110857904,-15.3749383995121, 6.11398128022292)); #483349=CARTESIAN_POINT('Ctrl Pts',(2.40565592281402,-15.3722340694295, 6.06682487824783)); #483350=CARTESIAN_POINT('Ctrl Pts',(2.42190508373902,-15.3689316249592, 6.02035398308018)); #483351=CARTESIAN_POINT('Ctrl Pts',(2.42631813156951,-15.3680347266226, 6.00773312936059)); #483352=CARTESIAN_POINT('Ctrl Pts',(2.43086145740047,-15.3670937444266, 5.99516260513948)); #483353=CARTESIAN_POINT('Ctrl Pts',(2.43555294532506,-15.3661019972901, 5.98265474581802)); #483354=CARTESIAN_POINT('Origin',(2.24000646671461,-15.1351369671105,7.61515893727721)); #483355=CARTESIAN_POINT('Ctrl Pts',(2.531321473947,-15.38231211364,8.08680382164)); #483356=CARTESIAN_POINT('Ctrl Pts',(2.574135193787,-15.36216982427,8.070796637477)); #483357=CARTESIAN_POINT('Ctrl Pts',(2.637057764664,-15.30844571347,8.045932462621)); #483358=CARTESIAN_POINT('Ctrl Pts',(2.68754460972,-15.2028374293,8.022513564456)); #483359=CARTESIAN_POINT('Ctrl Pts',(2.69972129491,-15.10091127166,8.012622134357)); #483360=CARTESIAN_POINT('Ctrl Pts',(2.67907625906,-14.99988441982,8.014195016869)); #483361=CARTESIAN_POINT('Ctrl Pts',(2.627956829029,-14.91124708178,8.027053571765)); #483362=CARTESIAN_POINT('Ctrl Pts',(2.552177560038,-14.84507984691,8.049735129901)); #483363=CARTESIAN_POINT('Ctrl Pts',(2.445890259399,-14.80321040503,8.084374949934)); #483364=CARTESIAN_POINT('Ctrl Pts',(2.364512250571,-14.80815228997,8.11295002878)); #483365=CARTESIAN_POINT('Ctrl Pts',(2.319905570071,-14.82355010561,8.129317439373)); #483366=CARTESIAN_POINT('Ctrl Pts',(2.467993631157,-15.39253129229,7.905865398756)); #483367=CARTESIAN_POINT('Ctrl Pts',(2.510851507042,-15.37246106401,7.890023945678)); #483368=CARTESIAN_POINT('Ctrl Pts',(2.573894616198,-15.31888129781,7.865434258022)); #483369=CARTESIAN_POINT('Ctrl Pts',(2.624650468946,-15.21346023066,7.84230436265)); #483370=CARTESIAN_POINT('Ctrl Pts',(2.637111498343,-15.11164838243,7.832562712944)); #483371=CARTESIAN_POINT('Ctrl Pts',(2.616772838586,-15.01065512431,7.834166493697)); #483372=CARTESIAN_POINT('Ctrl Pts',(2.56594286249,-14.92194672298,7.846933860391)); #483373=CARTESIAN_POINT('Ctrl Pts',(2.490393024153,-14.8555942026,7.86941507879)); #483374=CARTESIAN_POINT('Ctrl Pts',(2.384254152685,-14.8133872014,7.903728244915)); #483375=CARTESIAN_POINT('Ctrl Pts',(2.30284519929,-14.81800687832,7.932026139367)); #483376=CARTESIAN_POINT('Ctrl Pts',(2.258161700641,-14.83320598421,7.948235883413)); #483377=CARTESIAN_POINT('Ctrl Pts',(2.405419979294,-15.40222539882,7.724593771339)); #483378=CARTESIAN_POINT('Ctrl Pts',(2.448320200199,-15.38222723348,7.708914206905)); #483379=CARTESIAN_POINT('Ctrl Pts',(2.511480070912,-15.32879146565,7.684592242755)); #483380=CARTESIAN_POINT('Ctrl Pts',(2.56249875618,-15.22355709455,7.661743593602)); #483381=CARTESIAN_POINT('Ctrl Pts',(2.575238792214,-15.12185952172,7.652147218007)); #483382=CARTESIAN_POINT('Ctrl Pts',(2.555201905971,-15.02090063779,7.653780218513)); #483383=CARTESIAN_POINT('Ctrl Pts',(2.504658288701,-14.93212302281,7.666457750694)); #483384=CARTESIAN_POINT('Ctrl Pts',(2.429336981887,-14.86558816658,7.688742765566)); #483385=CARTESIAN_POINT('Ctrl Pts',(2.32334859864,-14.82304802286,7.722736519787)); #483386=CARTESIAN_POINT('Ctrl Pts',(2.241913066908,-14.82734901651,7.750763551397)); #483387=CARTESIAN_POINT('Ctrl Pts',(2.197156263464,-14.84235127991,7.766819200296)); #483388=CARTESIAN_POINT('Ctrl Pts',(2.343609169924,-15.41142393459,7.543103649128)); #483389=CARTESIAN_POINT('Ctrl Pts',(2.386550102141,-15.3914977144,7.527582405499)); #483390=CARTESIAN_POINT('Ctrl Pts',(2.449823270507,-15.33820539702,7.503521889719)); #483391=CARTESIAN_POINT('Ctrl Pts',(2.501098979492,-15.23315695492,7.480947295706)); #483392=CARTESIAN_POINT('Ctrl Pts',(2.514112900206,-15.13157345213,7.471492017572)); #483393=CARTESIAN_POINT('Ctrl Pts',(2.49437325837,-15.03064960472,7.473152680535)); #483394=CARTESIAN_POINT('Ctrl Pts',(2.444112810347,-14.941804571,7.485741627248)); #483395=CARTESIAN_POINT('Ctrl Pts',(2.369018868718,-14.87509034544,7.507834261541)); #483396=CARTESIAN_POINT('Ctrl Pts',(2.263182545531,-14.83222158509,7.541515298477)); #483397=CARTESIAN_POINT('Ctrl Pts',(2.181724342519,-14.83620758003,7.569277311119)); #483398=CARTESIAN_POINT('Ctrl Pts',(2.136897468513,-14.85101499149,7.585182166381)); #483399=CARTESIAN_POINT('',(2.42090746589201,-15.3828120427309,7.63777291560264)); #483400=CARTESIAN_POINT('Ctrl Pts',(2.42090591495409,-15.3828116595328, 7.637765557945)); #483401=CARTESIAN_POINT('Ctrl Pts',(2.41999391765863,-15.3829508424954, 7.63510245419189)); #483402=CARTESIAN_POINT('Ctrl Pts',(2.41907320650026,-15.3830960376028, 7.63244225750795)); #483403=CARTESIAN_POINT('Ctrl Pts',(2.4181459984527,-15.383245710959,7.62978422891871)); #483404=CARTESIAN_POINT('Ctrl Pts',(2.41490077028623,-15.3837695677056, 7.62048112885637)); #483405=CARTESIAN_POINT('Ctrl Pts',(2.41157593054452,-15.3843482450091, 7.61120459442223)); #483406=CARTESIAN_POINT('Ctrl Pts',(2.40826659573002,-15.3849160744857, 7.60192292532301)); #483407=CARTESIAN_POINT('Ctrl Pts',(2.40661192832277,-15.385199989224,7.59728209077341)); #483408=CARTESIAN_POINT('Ctrl Pts',(2.40496114326069,-15.3854812014149, 7.5926399709556)); #483409=CARTESIAN_POINT('Ctrl Pts',(2.4033261680601,-15.3857515616058,7.58799259342433)); #483410=CARTESIAN_POINT('Ctrl Pts',(2.40250868045981,-15.3858867417012, 7.5856689046587)); #483411=CARTESIAN_POINT('Ctrl Pts',(2.40169514570789,-15.3860192093997, 7.58334390136506)); #483412=CARTESIAN_POINT('Ctrl Pts',(2.4008870559001,-15.3861479478547,7.58101708668774)); #483413=CARTESIAN_POINT('Ctrl Pts',(2.4000789660923,-15.3862766863097,7.57869027201042)); #483414=CARTESIAN_POINT('Ctrl Pts',(2.39927632131805,-15.3864016956623, 7.57636164592616)); #483415=CARTESIAN_POINT('Ctrl Pts',(2.39848061304728,-15.3865219580963, 7.57403071174285)); #483416=CARTESIAN_POINT('',(2.53901755517119,-14.8558046178633,8.00424550443389)); #483417=CARTESIAN_POINT('Ctrl Pts',(2.42090814607251,-15.3828120752526, 7.63777665684281)); #483418=CARTESIAN_POINT('Ctrl Pts',(2.43060031380678,-15.3763823998521, 7.63607045258768)); #483419=CARTESIAN_POINT('Ctrl Pts',(2.43995466518354,-15.3693900288413, 7.63458915613556)); #483420=CARTESIAN_POINT('Ctrl Pts',(2.45940157754991,-15.3531525969986, 7.63197828054466)); #483421=CARTESIAN_POINT('Ctrl Pts',(2.46934859440124,-15.3437338750743, 7.63094963647213)); #483422=CARTESIAN_POINT('Ctrl Pts',(2.49935011942371,-15.3117225769057, 7.62914196752141)); #483423=CARTESIAN_POINT('Ctrl Pts',(2.51685756449317,-15.2872171087112, 7.63018621766703)); #483424=CARTESIAN_POINT('Ctrl Pts',(2.55040229343982,-15.2271266040288, 7.63943248006584)); #483425=CARTESIAN_POINT('Ctrl Pts',(2.5636417925366,-15.1916624032827,7.64961837480938)); #483426=CARTESIAN_POINT('Ctrl Pts',(2.58169774389188,-15.119426235333,7.68138013307939)); #483427=CARTESIAN_POINT('Ctrl Pts',(2.58563863119842,-15.0840393249835, 7.70301772205022)); #483428=CARTESIAN_POINT('Ctrl Pts',(2.58691767447987,-15.0078729695867, 7.76328011761795)); #483429=CARTESIAN_POINT('Ctrl Pts',(2.5815293825205,-14.9699385481278,7.80471418595576)); #483430=CARTESIAN_POINT('Ctrl Pts',(2.56457492626088,-14.9041821592546, 7.89760479726474)); #483431=CARTESIAN_POINT('Ctrl Pts',(2.55233722724571,-14.8767836164278, 7.95031026667618)); #483432=CARTESIAN_POINT('Ctrl Pts',(2.53901755413314,-14.8558046178298, 8.00424550416452)); #483433=CARTESIAN_POINT('Ctrl Pts',(2.32637390035514,-14.8185016238519, 8.004245504761)); #483434=CARTESIAN_POINT('Ctrl Pts',(2.36284720099176,-14.8127347526096, 8.004245504761)); #483435=CARTESIAN_POINT('Ctrl Pts',(2.4004327441435,-14.8129995628614,8.00424550476108)); #483436=CARTESIAN_POINT('Ctrl Pts',(2.47254575554868,-14.8257420395609, 8.00424550476108)); #483437=CARTESIAN_POINT('Ctrl Pts',(2.50732598899861,-14.8379843757268, 8.004245504761)); #483438=CARTESIAN_POINT('Ctrl Pts',(2.53901755499984,-14.855804618168,8.004245504761)); #483439=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #483440=CARTESIAN_POINT('Ctrl Pts',(3.36256874611225,-14.6182493574009, 8.004245504761)); #483441=CARTESIAN_POINT('Ctrl Pts',(3.22799660064036,-14.64911054763,8.004245504761)); #483442=CARTESIAN_POINT('Ctrl Pts',(3.09374693388449,-14.6856517499321, 8.00424550477949)); #483443=CARTESIAN_POINT('Ctrl Pts',(2.81887514048889,-14.7666165579788, 8.00424550477949)); #483444=CARTESIAN_POINT('Ctrl Pts',(2.64540440431955,-14.8219407356721, 8.004245504761)); #483445=CARTESIAN_POINT('Ctrl Pts',(2.53901755498541,-14.8558046172796, 8.004245504761)); #483446=CARTESIAN_POINT('Ctrl Pts',(4.010481805673,-14.79053792719,6.931913829149)); #483447=CARTESIAN_POINT('Ctrl Pts',(4.014026721815,-14.75204141157,6.952482094769)); #483448=CARTESIAN_POINT('Ctrl Pts',(4.023719083965,-14.6915044033,6.990496834155)); #483449=CARTESIAN_POINT('Ctrl Pts',(4.045655389534,-14.61541222203,7.051710473569)); #483450=CARTESIAN_POINT('Ctrl Pts',(4.069477266085,-14.55742406021,7.108143465578)); #483451=CARTESIAN_POINT('Ctrl Pts',(4.098334817602,-14.50630253087,7.168712683912)); #483452=CARTESIAN_POINT('Ctrl Pts',(4.131864568315,-14.46269157184,7.232655193313)); #483453=CARTESIAN_POINT('Ctrl Pts',(4.169644186597,-14.42714051032,7.299165564385)); #483454=CARTESIAN_POINT('Ctrl Pts',(4.218970065962,-14.39503892298,7.380169825344)); #483455=CARTESIAN_POINT('Ctrl Pts',(4.257998341294,-14.38162503242,7.439378883124)); #483456=CARTESIAN_POINT('Ctrl Pts',(4.282750476613,-14.37718799736,7.475271285446)); #483457=CARTESIAN_POINT('Ctrl Pts',(3.969772379126,-14.81112857805,6.95618124741)); #483458=CARTESIAN_POINT('Ctrl Pts',(3.972940503347,-14.77181045529,6.976733756873)); #483459=CARTESIAN_POINT('Ctrl Pts',(3.982106712029,-14.70994499182,7.014922303303)); #483460=CARTESIAN_POINT('Ctrl Pts',(4.003526820375,-14.63216064661,7.076782236589)); #483461=CARTESIAN_POINT('Ctrl Pts',(4.027053761205,-14.57289172886,7.133999187552)); #483462=CARTESIAN_POINT('Ctrl Pts',(4.055750794697,-14.5206735256,7.1955597093)); #483463=CARTESIAN_POINT('Ctrl Pts',(4.089245127972,-14.47618379148,7.260664670508)); #483464=CARTESIAN_POINT('Ctrl Pts',(4.127101710477,-14.43999998959,7.328468973579)); #483465=CARTESIAN_POINT('Ctrl Pts',(4.17663360285,-14.40746534686,7.411115016856)); #483466=CARTESIAN_POINT('Ctrl Pts',(4.215895419032,-14.39404950908,7.471554051304)); #483467=CARTESIAN_POINT('Ctrl Pts',(4.240808486413,-14.38973145552,7.50818593023)); #483468=CARTESIAN_POINT('Ctrl Pts',(3.899820797364,-14.84713118983,6.996645968877)); #483469=CARTESIAN_POINT('Ctrl Pts',(3.902291158516,-14.80633193057,7.017105146928)); #483470=CARTESIAN_POINT('Ctrl Pts',(3.910475852321,-14.74206108771,7.055513195104)); #483471=CARTESIAN_POINT('Ctrl Pts',(3.930917465854,-14.66119829597,7.118436907314)); #483472=CARTESIAN_POINT('Ctrl Pts',(3.953872276524,-14.59959258491,7.177000087874)); #483473=CARTESIAN_POINT('Ctrl Pts',(3.982240352581,-14.54536903266,7.240297283098)); #483474=CARTESIAN_POINT('Ctrl Pts',(4.015632623756,-14.49926984819,7.307461699983)); #483475=CARTESIAN_POINT('Ctrl Pts',(4.053591265045,-14.46192608069,7.377573716602)); #483476=CARTESIAN_POINT('Ctrl Pts',(4.103452596384,-14.42859743466,7.463159102869)); #483477=CARTESIAN_POINT('Ctrl Pts',(4.143106221588,-14.41517878593,7.525805145661)); #483478=CARTESIAN_POINT('Ctrl Pts',(4.168291522158,-14.41107975637,7.563762918416)); #483479=CARTESIAN_POINT('Ctrl Pts',(3.799659643387,-14.89813998478,7.052807871002)); #483480=CARTESIAN_POINT('Ctrl Pts',(3.801122279195,-14.85522831943,7.072979180935)); #483481=CARTESIAN_POINT('Ctrl Pts',(3.807879123404,-14.78750150911,7.11147567286)); #483482=CARTESIAN_POINT('Ctrl Pts',(3.82687765317,-14.70218219023,7.175673790246)); #483483=CARTESIAN_POINT('Ctrl Pts',(3.84897334118,-14.6371789808,7.235996846088)); #483484=CARTESIAN_POINT('Ctrl Pts',(3.87682769832,-14.58003176867,7.301651606522)); #483485=CARTESIAN_POINT('Ctrl Pts',(3.91002920075,-14.5315836432,7.371669167839)); #483486=CARTESIAN_POINT('Ctrl Pts',(3.9480874493,-14.49254938811,7.445016341257)); #483487=CARTESIAN_POINT('Ctrl Pts',(3.99836218183,-14.45807245069,7.53475021575)); #483488=CARTESIAN_POINT('Ctrl Pts',(4.038530607585,-14.44466674495,7.600523366782)); #483489=CARTESIAN_POINT('Ctrl Pts',(4.064074810741,-14.44090151329,7.640357364438)); #483490=CARTESIAN_POINT('Ctrl Pts',(3.711834723404,-14.94509921665,7.099592032116)); #483491=CARTESIAN_POINT('Ctrl Pts',(3.712266654268,-14.90012700203,7.119353153041)); #483492=CARTESIAN_POINT('Ctrl Pts',(3.717545567902,-14.82900340407,7.157751053572)); #483493=CARTESIAN_POINT('Ctrl Pts',(3.7350125675,-14.73926759822,7.223000393552)); #483494=CARTESIAN_POINT('Ctrl Pts',(3.75616566214,-14.67087989674,7.284920067146)); #483495=CARTESIAN_POINT('Ctrl Pts',(3.7834167494,-14.61080796244,7.352792748358)); #483496=CARTESIAN_POINT('Ctrl Pts',(3.81633410014,-14.56000169135,7.425544679663)); #483497=CARTESIAN_POINT('Ctrl Pts',(3.85439639458,-14.51926449895,7.502025072538)); #483498=CARTESIAN_POINT('Ctrl Pts',(3.904969812864,-14.48362494979,7.59580043894)); #483499=CARTESIAN_POINT('Ctrl Pts',(3.945570027788,-14.47022469671,7.664630386519)); #483500=CARTESIAN_POINT('Ctrl Pts',(3.971422484622,-14.46679013111,7.706296371027)); #483501=CARTESIAN_POINT('Ctrl Pts',(3.595788808139,-15.01226776133,7.157443590476)); #483502=CARTESIAN_POINT('Ctrl Pts',(3.594552772796,-14.96414226857,7.176350304693)); #483503=CARTESIAN_POINT('Ctrl Pts',(3.597407236909,-14.88777112145,7.214274665329)); #483504=CARTESIAN_POINT('Ctrl Pts',(3.61229246761,-14.79114191297,7.280804171874)); #483505=CARTESIAN_POINT('Ctrl Pts',(3.63180184657,-14.71743721602,7.344968029451)); #483506=CARTESIAN_POINT('Ctrl Pts',(3.65793334545,-14.65274608154,7.416107728702)); #483507=CARTESIAN_POINT('Ctrl Pts',(3.69022820133,-14.59819813895,7.492979574498)); #483508=CARTESIAN_POINT('Ctrl Pts',(3.72812007384,-14.55474601308,7.574240126912)); #483509=CARTESIAN_POINT('Ctrl Pts',(3.778954990697,-14.51723877612,7.674224034087)); #483510=CARTESIAN_POINT('Ctrl Pts',(3.82008769386,-14.50382782349,7.747768383143)); #483511=CARTESIAN_POINT('Ctrl Pts',(3.846337247811,-14.50090578129,7.792256116466)); #483512=CARTESIAN_POINT('Ctrl Pts',(3.450985576543,-15.10112331275,7.225243912049)); #483513=CARTESIAN_POINT('Ctrl Pts',(3.447349248246,-15.04868288383,7.242410650767)); #483514=CARTESIAN_POINT('Ctrl Pts',(3.446655787291,-14.96501177526,7.278869835944)); #483515=CARTESIAN_POINT('Ctrl Pts',(3.45764954964,-14.85863015184,7.34630970809)); #483516=CARTESIAN_POINT('Ctrl Pts',(3.47459804726,-14.7773285055,7.413027226758)); #483517=CARTESIAN_POINT('Ctrl Pts',(3.49888827858,-14.70598949898,7.488298663633)); #483518=CARTESIAN_POINT('Ctrl Pts',(3.53003230009,-14.64602968754,7.570624684874)); #483519=CARTESIAN_POINT('Ctrl Pts',(3.56740604312,-14.59863983129,7.658366932886)); #483520=CARTESIAN_POINT('Ctrl Pts',(3.618277568478,-14.55842484321,7.766878520947)); #483521=CARTESIAN_POINT('Ctrl Pts',(3.659920286329,-14.54501046155,7.846945416813)); #483522=CARTESIAN_POINT('Ctrl Pts',(3.686581018307,-14.54284467601,7.895327863514)); #483523=CARTESIAN_POINT('Ctrl Pts',(3.220187462543,-15.24947739245,7.327322492113)); #483524=CARTESIAN_POINT('Ctrl Pts',(3.212362309941,-15.18982771909,7.340105177279)); #483525=CARTESIAN_POINT('Ctrl Pts',(3.2053268088,-15.09360830446,7.371996052723)); #483526=CARTESIAN_POINT('Ctrl Pts',(3.20909018378,-14.96998175108,7.438813646213)); #483527=CARTESIAN_POINT('Ctrl Pts',(3.22108993795,-14.8750279339,7.508531407976)); #483528=CARTESIAN_POINT('Ctrl Pts',(3.24161247854,-14.79160381226,7.58995298806)); #483529=CARTESIAN_POINT('Ctrl Pts',(3.27014567455,-14.72173085162,7.681092600066)); #483530=CARTESIAN_POINT('Ctrl Pts',(3.30598325152,-14.66710255776,7.779731786772)); #483531=CARTESIAN_POINT('Ctrl Pts',(3.356146175263,-14.62192554575,7.902876583744)); #483532=CARTESIAN_POINT('Ctrl Pts',(3.398106820673,-14.6085605891,7.994263117736)); #483533=CARTESIAN_POINT('Ctrl Pts',(3.425128974617,-14.60783895537,8.049379853194)); #483534=CARTESIAN_POINT('Ctrl Pts',(2.933127491172,-15.43885964763,7.449128635763)); #483535=CARTESIAN_POINT('Ctrl Pts',(2.920031017646,-15.3705270125,7.453200998476)); #483536=CARTESIAN_POINT('Ctrl Pts',(2.904661399014,-15.25830562992,7.474655887338)); #483537=CARTESIAN_POINT('Ctrl Pts',(2.8983098453,-15.1114949082,7.535802184512)); #483538=CARTESIAN_POINT('Ctrl Pts',(2.9029847167,-14.99765703434,7.606310879062)); #483539=CARTESIAN_POINT('Ctrl Pts',(2.91751399269,-14.8971859548,7.693593526838)); #483540=CARTESIAN_POINT('Ctrl Pts',(2.9414209204,-14.81315483502,7.794941163454)); #483541=CARTESIAN_POINT('Ctrl Pts',(2.97394015587,-14.74813379155,7.907223244694)); #483542=CARTESIAN_POINT('Ctrl Pts',(3.021542403825,-14.69587980226,8.049381939618)); #483543=CARTESIAN_POINT('Ctrl Pts',(3.062685633744,-14.68273559899,8.155769224071)); #483544=CARTESIAN_POINT('Ctrl Pts',(3.089407776825,-14.68422911219,8.219753769708)); #483545=CARTESIAN_POINT('Ctrl Pts',(2.585809820419,-15.66480758423,7.593233872086)); #483546=CARTESIAN_POINT('Ctrl Pts',(2.567758416804,-15.58806957206,7.581519450661)); #483547=CARTESIAN_POINT('Ctrl Pts',(2.543684125785,-15.4581163337,7.582150790515)); #483548=CARTESIAN_POINT('Ctrl Pts',(2.52524748245,-15.28264555067,7.627261715655)); #483549=CARTESIAN_POINT('Ctrl Pts',(2.52021331106,-15.14416942049,7.692790353443)); #483550=CARTESIAN_POINT('Ctrl Pts',(2.52585212943,-15.02064231032,7.783133594468)); #483551=CARTESIAN_POINT('Ctrl Pts',(2.54190047286,-14.91701220479,7.894613956819)); #483552=CARTESIAN_POINT('Ctrl Pts',(2.56767283946,-14.83742812164,8.022720833505)); #483553=CARTESIAN_POINT('Ctrl Pts',(2.608528007955,-14.77528611322,8.188394726569)); #483554=CARTESIAN_POINT('Ctrl Pts',(2.645800010696,-14.76266439783,8.313935067928)); #483555=CARTESIAN_POINT('Ctrl Pts',(2.67036104104,-14.76747774072,8.389128482416)); #483556=CARTESIAN_POINT('Ctrl Pts',(2.291740366283,-15.84766237374,7.713464502576)); #483557=CARTESIAN_POINT('Ctrl Pts',(2.27222662655,-15.76686509985,7.684012836405)); #483558=CARTESIAN_POINT('Ctrl Pts',(2.244105479097,-15.62496600758,7.659596097387)); #483559=CARTESIAN_POINT('Ctrl Pts',(2.21753334784,-15.4260925554,7.682162280724)); #483560=CARTESIAN_POINT('Ctrl Pts',(2.20450463738,-15.26584045205,7.737371670062)); #483561=CARTESIAN_POINT('Ctrl Pts',(2.20147379322,-15.12090802513,7.825962437995)); #483562=CARTESIAN_POINT('Ctrl Pts',(2.20856726223,-14.99850348991,7.943476124442)); #483563=CARTESIAN_POINT('Ctrl Pts',(2.22539907101,-14.90471108838,8.084028131671)); #483564=CARTESIAN_POINT('Ctrl Pts',(2.25589758714,-14.83287344004,8.269885454147)); #483565=CARTESIAN_POINT('Ctrl Pts',(2.286071278141,-14.82087656277,8.412527070929)); #483566=CARTESIAN_POINT('Ctrl Pts',(2.306446948308,-14.82907085467,8.49760762281)); #483567=CARTESIAN_POINT('Ctrl Pts',(2.045934852937,-15.98507353285,7.807800047813)); #483568=CARTESIAN_POINT('Ctrl Pts',(2.028390064622,-15.90370427788,7.762178230728)); #483569=CARTESIAN_POINT('Ctrl Pts',(2.001335965429,-15.75533733471,7.713713104574)); #483570=CARTESIAN_POINT('Ctrl Pts',(1.9718733212,-15.5394643905,7.712637643499)); #483571=CARTESIAN_POINT('Ctrl Pts',(1.95387017312,-15.36189355745,7.755417880551)); #483572=CARTESIAN_POINT('Ctrl Pts',(1.94373996008,-15.19904310454,7.839356619459)); #483573=CARTESIAN_POINT('Ctrl Pts',(1.94206470246,-15.06042151508,7.95952885868)); #483574=CARTESIAN_POINT('Ctrl Pts',(1.94892745107,-14.9541204339,8.10889936637)); #483575=CARTESIAN_POINT('Ctrl Pts',(1.966710761924,-14.8736678154,8.310500965695)); #483576=CARTESIAN_POINT('Ctrl Pts',(1.987394376652,-14.86225020177,8.467008342199)); #483577=CARTESIAN_POINT('Ctrl Pts',(2.002098851636,-14.87348440362,8.560013228398)); #483578=CARTESIAN_POINT('Ctrl Pts',(1.858110944411,-16.08024873106,7.874203793094)); #483579=CARTESIAN_POINT('Ctrl Pts',(1.84403526764,-15.99993108866,7.81611244446)); #483580=CARTESIAN_POINT('Ctrl Pts',(1.820672857574,-15.84861858239,7.748444982621)); #483581=CARTESIAN_POINT('Ctrl Pts',(1.79172651893,-15.62145132028,7.72749701795)); #483582=CARTESIAN_POINT('Ctrl Pts',(1.7712580812,-15.43143727163,7.759121543839)); #483583=CARTESIAN_POINT('Ctrl Pts',(1.75604371085,-15.2551915852,7.837938073172)); #483584=CARTESIAN_POINT('Ctrl Pts',(1.74706345817,-15.10416085006,7.958802324991)); #483585=CARTESIAN_POINT('Ctrl Pts',(1.74488529333,-14.98815130332,8.113844504758)); #483586=CARTESIAN_POINT('Ctrl Pts',(1.750516990673,-14.90095544454,8.326488698892)); #483587=CARTESIAN_POINT('Ctrl Pts',(1.761769619119,-14.8899800265,8.493026811414)); #483588=CARTESIAN_POINT('Ctrl Pts',(1.770820717858,-14.90358957775,8.591712786245)); #483589=CARTESIAN_POINT('Ctrl Pts',(1.667371175729,-16.16443536945,7.932140253345)); #483590=CARTESIAN_POINT('Ctrl Pts',(1.658992178203,-16.0865809286,7.862126079673)); #483591=CARTESIAN_POINT('Ctrl Pts',(1.642730823092,-15.93436419671,7.775384788291)); #483592=CARTESIAN_POINT('Ctrl Pts',(1.61773724423,-15.69787623154,7.733662647272)); #483593=CARTESIAN_POINT('Ctrl Pts',(1.5966278604,-15.49651623249,7.752937886419)); #483594=CARTESIAN_POINT('Ctrl Pts',(1.57698468759,-15.30748972204,7.825239515506)); #483595=CARTESIAN_POINT('Ctrl Pts',(1.56020649567,-15.14431197795,7.945373358991)); #483596=CARTESIAN_POINT('Ctrl Pts',(1.5474782143,-15.01864700114,8.104729803169)); #483597=CARTESIAN_POINT('Ctrl Pts',(1.538230426737,-14.92466856461,8.326911470384)); #483598=CARTESIAN_POINT('Ctrl Pts',(1.537555565504,-14.91409633336,8.50246266985)); #483599=CARTESIAN_POINT('Ctrl Pts',(1.539449824294,-14.93006219314,8.606196292067)); #483600=CARTESIAN_POINT('Ctrl Pts',(1.470293354503,-16.23554329748,7.976216418434)); #483601=CARTESIAN_POINT('Ctrl Pts',(1.46974739445,-16.16122743205,7.895857273732)); #483602=CARTESIAN_POINT('Ctrl Pts',(1.464073848476,-16.00995611355,7.791826654665)); #483603=CARTESIAN_POINT('Ctrl Pts',(1.44687952681,-15.76643473761,7.730222172988)); #483604=CARTESIAN_POINT('Ctrl Pts',(1.4274067193,-15.55534426903,7.737010258795)); #483605=CARTESIAN_POINT('Ctrl Pts',(1.4044714554,-15.35478516522,7.801949351615)); #483606=CARTESIAN_POINT('Ctrl Pts',(1.37985306245,-15.18033836223,7.919974289181)); #483607=CARTESIAN_POINT('Ctrl Pts',(1.35545203183,-15.04555314671,8.081898367526)); #483608=CARTESIAN_POINT('Ctrl Pts',(1.328970734984,-14.94506342484,8.311273745359)); #483609=CARTESIAN_POINT('Ctrl Pts',(1.314078907411,-14.93478307601,8.494034325612)); #483610=CARTESIAN_POINT('Ctrl Pts',(1.307435435073,-14.9529268416,8.601740931934)); #483611=CARTESIAN_POINT('Ctrl Pts',(1.297874448469,-16.28139619402,7.994062938052)); #483612=CARTESIAN_POINT('Ctrl Pts',(1.304736852378,-16.21027096759,7.907493081484)); #483613=CARTESIAN_POINT('Ctrl Pts',(1.309651656096,-16.06077963967,7.792514874135)); #483614=CARTESIAN_POINT('Ctrl Pts',(1.30144770521,-15.81350303326,7.717512299674)); #483615=CARTESIAN_POINT('Ctrl Pts',(1.28520640074,-15.59628429487,7.715381617737)); #483616=CARTESIAN_POINT('Ctrl Pts',(1.26097335185,-15.38804412425,7.77449311391)); #483617=CARTESIAN_POINT('Ctrl Pts',(1.23073620773,-15.20585965139,7.889994123598)); #483618=CARTESIAN_POINT('Ctrl Pts',(1.19697167597,-15.06466982934,8.052407049527)); #483619=CARTESIAN_POINT('Ctrl Pts',(1.155983718349,-14.95947668387,8.285072367446)); #483620=CARTESIAN_POINT('Ctrl Pts',(1.128756931822,-14.94923943936,8.471539809373)); #483621=CARTESIAN_POINT('Ctrl Pts',(1.114676232708,-14.96880144259,8.581228564172)); #483622=CARTESIAN_POINT('Ctrl Pts',(1.155856865034,-16.30734278054,7.991233464143)); #483623=CARTESIAN_POINT('Ctrl Pts',(1.167456430346,-16.23813632978,7.901750796134)); #483624=CARTESIAN_POINT('Ctrl Pts',(1.179408025467,-16.0899305452,7.781439620411)); #483625=CARTESIAN_POINT('Ctrl Pts',(1.177749185302,-15.84097912346,7.699627193675)); #483626=CARTESIAN_POINT('Ctrl Pts',(1.164434708211,-15.62065668965,7.692789201775)); #483627=CARTESIAN_POINT('Ctrl Pts',(1.1403267608,-15.4083718252,7.748631426796)); #483628=CARTESIAN_POINT('Ctrl Pts',(1.10745700795,-15.22201326415,7.862448029673)); #483629=CARTESIAN_POINT('Ctrl Pts',(1.068595035006,-15.0772842076,8.024646497428)); #483630=CARTESIAN_POINT('Ctrl Pts',(1.019236805187,-14.96937731588,8.25839227012)); #483631=CARTESIAN_POINT('Ctrl Pts',(0.9845973932286,-14.95898845652,8.446300727702)); #483632=CARTESIAN_POINT('Ctrl Pts',(0.9659764707201,-14.97920231211,8.556730574308)); #483633=CARTESIAN_POINT('Ctrl Pts',(1.08338219608,-16.31611205801,7.983253480928)); #483634=CARTESIAN_POINT('Ctrl Pts',(1.096465695028,-16.24746015324,7.893068482515)); #483635=CARTESIAN_POINT('Ctrl Pts',(1.110729611137,-16.09966237529,7.771440607086)); #483636=CARTESIAN_POINT('Ctrl Pts',(1.11147342157,-15.85032096985,7.687903143441)); #483637=CARTESIAN_POINT('Ctrl Pts',(1.099475942333,-15.62918981918,7.679846136967)); #483638=CARTESIAN_POINT('Ctrl Pts',(1.075872482406,-15.41581358994,7.734812491729)); #483639=CARTESIAN_POINT('Ctrl Pts',(1.042665786144,-15.22829799581,7.848138984741)); #483640=CARTESIAN_POINT('Ctrl Pts',(1.002673693768,-15.08255374407,8.010208894402)); #483641=CARTESIAN_POINT('Ctrl Pts',(0.9511743858039,-14.97381327557,8.244132371412)); #483642=CARTESIAN_POINT('Ctrl Pts',(0.9144544176647,-14.96329058085,8.432333408027)); #483643=CARTESIAN_POINT('Ctrl Pts',(0.8945039921485,-14.98362169365,8.542907538894)); #483644=CARTESIAN_POINT('Ctrl Pts',(1.047825867917,-16.31899015791,7.977409111844)); #483645=CARTESIAN_POINT('Ctrl Pts',(1.061259174311,-16.25044244027,7.887120830807)); #483646=CARTESIAN_POINT('Ctrl Pts',(1.076125642501,-16.10272859253,7.76529757631)); #483647=CARTESIAN_POINT('Ctrl Pts',(1.07763053909,-15.85332983147,7.681501171694)); #483648=CARTESIAN_POINT('Ctrl Pts',(1.066169189023,-15.632058439,7.673259097974)); #483649=CARTESIAN_POINT('Ctrl Pts',(1.042952038549,-15.41848152772,7.728089924505)); #483650=CARTESIAN_POINT('Ctrl Pts',(1.009951003522,-15.23074072971,7.841337056299)); #483651=CARTESIAN_POINT('Ctrl Pts',(0.9699693016261,-15.0847824688,8.003380472362)); #483652=CARTESIAN_POINT('Ctrl Pts',(0.9182535832323,-14.97583813926,8.2373209121)); #483653=CARTESIAN_POINT('Ctrl Pts',(0.8811883970709,-14.96523986469,8.425558326986)); #483654=CARTESIAN_POINT('Ctrl Pts',(0.8609786065992,-14.98555872241,8.536149635382)); #483655=CARTESIAN_POINT('',(1.10902554056802,-16.2128382681505,7.87585366177347)); #483656=CARTESIAN_POINT('Ctrl Pts',(1.10902565533322,-16.2128383845927, 7.87585379486987)); #483657=CARTESIAN_POINT('Ctrl Pts',(1.17312549258938,-16.1728051930203, 7.85560623400696)); #483658=CARTESIAN_POINT('Ctrl Pts',(1.24286045964015,-16.1300399253726, 7.84044213192077)); #483659=CARTESIAN_POINT('Ctrl Pts',(1.39872380909944,-16.0344728913473, 7.81099648514061)); #483660=CARTESIAN_POINT('Ctrl Pts',(1.5026570497948,-15.9709475100124,7.79538535471863)); #483661=CARTESIAN_POINT('Ctrl Pts',(1.97347004770241,-15.6774015105174, 7.72017891878492)); #483662=CARTESIAN_POINT('Ctrl Pts',(2.19149018920755,-15.5344958934504, 7.67826794362778)); #483663=CARTESIAN_POINT('Ctrl Pts',(2.42090833622848,-15.3828123936863, 7.63777653209432)); #483664=CARTESIAN_POINT('',(1.10686349022312,-16.2325922361833,7.89371187803061)); #483665=CARTESIAN_POINT('Origin',(1.00800155073007,-15.8207049377465,8.28116667791728)); #483666=CARTESIAN_POINT('',(3.979165294259,-14.76710277408,6.976155872882)); #483667=CARTESIAN_POINT('Ctrl Pts',(1.10686349061849,-16.2325922377159, 7.89371187644295)); #483668=CARTESIAN_POINT('Ctrl Pts',(1.12563990228532,-16.2302990567273, 7.89617413160148)); #483669=CARTESIAN_POINT('Ctrl Pts',(1.14403695589529,-16.227644465581,7.89816121853571)); #483670=CARTESIAN_POINT('Ctrl Pts',(1.1621548020728,-16.2246959970747,7.89974441462968)); #483671=CARTESIAN_POINT('Ctrl Pts',(1.19100084976042,-16.2200016386887, 7.90226507572692)); #483672=CARTESIAN_POINT('Ctrl Pts',(1.21913991889033,-16.2145641683954, 7.90376267976687)); #483673=CARTESIAN_POINT('Ctrl Pts',(1.24677186160681,-16.2085324884648, 7.90441697555097)); #483674=CARTESIAN_POINT('Ctrl Pts',(1.25385305289275,-16.2069867600374, 7.90458465082637)); #483675=CARTESIAN_POINT('Ctrl Pts',(1.26090099425112,-16.2054020637214, 7.90469721798621)); #483676=CARTESIAN_POINT('Ctrl Pts',(1.26791913213212,-16.2037810064114, 7.90475810764238)); #483677=CARTESIAN_POINT('Ctrl Pts',(1.30496289122587,-16.1952245976479, 7.90507950083706)); #483678=CARTESIAN_POINT('Ctrl Pts',(1.34117556688088,-16.1856551759319, 7.90395640503003)); #483679=CARTESIAN_POINT('Ctrl Pts',(1.37677903839513,-16.1752092801004, 7.90164407209477)); #483680=CARTESIAN_POINT('Ctrl Pts',(1.40730833254359,-16.1662521247185, 7.89966129094038)); #483681=CARTESIAN_POINT('Ctrl Pts',(1.43739036254439,-16.1566509778751, 7.89680761277707)); #483682=CARTESIAN_POINT('Ctrl Pts',(1.4671674185001,-16.146497342347,7.89325446390255)); #483683=CARTESIAN_POINT('Ctrl Pts',(1.50860476189633,-16.1323676821575, 7.88830995057422)); #483684=CARTESIAN_POINT('Ctrl Pts',(1.54944852900033,-16.1171611271238, 7.88200604301464)); #483685=CARTESIAN_POINT('Ctrl Pts',(1.58990268382756,-16.1010430905267, 7.87468078592177)); #483686=CARTESIAN_POINT('Ctrl Pts',(1.61242131489356,-16.0920710548683, 7.87060321310775)); #483687=CARTESIAN_POINT('Ctrl Pts',(1.63481912490696,-16.0828167051581, 7.86620855036446)); #483688=CARTESIAN_POINT('Ctrl Pts',(1.65713004577742,-16.0733090039067, 7.86154763277591)); #483689=CARTESIAN_POINT('Ctrl Pts',(1.69687369112896,-16.0563724234382, 7.85324488916764)); #483690=CARTESIAN_POINT('Ctrl Pts',(1.73634206487836,-16.0386317468838, 7.84409942069752)); #483691=CARTESIAN_POINT('Ctrl Pts',(1.77568710235529,-16.0201879960753, 7.83431268739492)); #483692=CARTESIAN_POINT('Ctrl Pts',(1.79810823616779,-16.0096776539484, 7.82873562682931)); #483693=CARTESIAN_POINT('Ctrl Pts',(1.82048916062416,-15.9989389913356, 7.82294941968095)); #483694=CARTESIAN_POINT('Ctrl Pts',(1.84285667952837,-15.9879905102684, 7.81698379690889)); #483695=CARTESIAN_POINT('Ctrl Pts',(1.88846523970361,-15.9656659753159, 7.80481957492489)); #483696=CARTESIAN_POINT('Ctrl Pts',(1.93401959572004,-15.9424719673062, 7.7919133537752)); #483697=CARTESIAN_POINT('Ctrl Pts',(1.97946502271275,-15.9186169606262, 7.77849671466744)); #483698=CARTESIAN_POINT('Ctrl Pts',(1.99541767002241,-15.9102431696132, 7.77378708982881)); #483699=CARTESIAN_POINT('Ctrl Pts',(2.01135682936417,-15.9017879454717, 7.7690141042558)); #483700=CARTESIAN_POINT('Ctrl Pts',(2.0272799238635,-15.893260344655,7.76418626526096)); #483701=CARTESIAN_POINT('Ctrl Pts',(2.07794206435462,-15.8661282749026, 7.74882564189498)); #483702=CARTESIAN_POINT('Ctrl Pts',(2.12844309336857,-15.8382654906673, 7.73291428606694)); #483703=CARTESIAN_POINT('Ctrl Pts',(2.17856722559274,-15.8099828009571, 7.716704335563)); #483704=CARTESIAN_POINT('Ctrl Pts',(2.18907661471156,-15.8040528470946, 7.71330563976751)); #483705=CARTESIAN_POINT('Ctrl Pts',(2.19956941773353,-15.7981044484893, 7.70989363590321)); #483706=CARTESIAN_POINT('Ctrl Pts',(2.21004361878998,-15.7921404915631, 7.70647036252747)); #483707=CARTESIAN_POINT('Ctrl Pts',(2.22678924829661,-15.7826056151041, 7.7009974040325)); #483708=CARTESIAN_POINT('Ctrl Pts',(2.24348715788044,-15.7730299305928, 7.69549540628076)); #483709=CARTESIAN_POINT('Ctrl Pts',(2.26014321526038,-15.7634178273551, 7.68996773490148)); #483710=CARTESIAN_POINT('Ctrl Pts',(2.30660340207523,-15.7366059533373, 7.67454892124863)); #483711=CARTESIAN_POINT('Ctrl Pts',(2.35273855626314,-15.7095111064513, 7.65893412487817)); #483712=CARTESIAN_POINT('Ctrl Pts',(2.39867055636617,-15.6822253577843, 7.64316444964069)); #483713=CARTESIAN_POINT('Ctrl Pts',(2.40205714991856,-15.680213563386,7.64200174215218)); #483714=CARTESIAN_POINT('Ctrl Pts',(2.40544263538791,-15.6782007288264, 7.64083816984351)); #483715=CARTESIAN_POINT('Ctrl Pts',(2.40882705987886,-15.676186889876,7.63967373841202)); #483716=CARTESIAN_POINT('Ctrl Pts',(2.41316857489994,-15.6736035519772, 7.63818001427535)); #483717=CARTESIAN_POINT('Ctrl Pts',(2.41750834404069,-15.6710185613094, 7.636684876529)); #483718=CARTESIAN_POINT('Ctrl Pts',(2.42184646719644,-15.6684319939685, 7.63518833423972)); #483719=CARTESIAN_POINT('Ctrl Pts',(2.47049549096827,-15.6394254463123, 7.61840565684834)); #483720=CARTESIAN_POINT('Ctrl Pts',(2.51893834705119,-15.6102211479525, 7.60145134417647)); #483721=CARTESIAN_POINT('Ctrl Pts',(2.56731212435695,-15.5809232678345, 7.58432418179017)); #483722=CARTESIAN_POINT('Ctrl Pts',(2.63648245093074,-15.5390298278646, 7.55983381776392)); #483723=CARTESIAN_POINT('Ctrl Pts',(2.70550579229464,-15.4969515220622, 7.53498683014506)); #483724=CARTESIAN_POINT('Ctrl Pts',(2.77441772968981,-15.4548113856965, 7.5097486185711)); #483725=CARTESIAN_POINT('Ctrl Pts',(2.8230724526486,-15.4250586790129,7.49192938088134)); #483726=CARTESIAN_POINT('Ctrl Pts',(2.87167142915459,-15.3952750438743, 7.47391391014671)); #483727=CARTESIAN_POINT('Ctrl Pts',(2.92022326302328,-15.3655025723628, 7.45567505549737)); #483728=CARTESIAN_POINT('Ctrl Pts',(2.97888228536483,-15.3295322715029, 7.4336393609188)); #483729=CARTESIAN_POINT('Ctrl Pts',(3.03747446389491,-15.2935807866677, 7.41128067698239)); #483730=CARTESIAN_POINT('Ctrl Pts',(3.09608289006428,-15.2577759729557, 7.38847177611815)); #483731=CARTESIAN_POINT('Ctrl Pts',(3.15469131623366,-15.2219711592438, 7.36566287525392)); #483732=CARTESIAN_POINT('Ctrl Pts',(3.21331644120179,-15.1863128576098, 7.3424029744283)); #483733=CARTESIAN_POINT('Ctrl Pts',(3.2720185332126,-15.1509249687593,7.31851108081865)); #483734=CARTESIAN_POINT('Ctrl Pts',(3.31700715350724,-15.1238040904397, 7.30020060340796)); #483735=CARTESIAN_POINT('Ctrl Pts',(3.36204032858961,-15.0968404186899, 7.28152198501305)); #483736=CARTESIAN_POINT('Ctrl Pts',(3.40712112427391,-15.0702419484085, 7.2623210356052)); #483737=CARTESIAN_POINT('Ctrl Pts',(3.420862692974,-15.0621341787664,7.25646818493143)); #483738=CARTESIAN_POINT('Ctrl Pts',(3.43460850403065,-15.0540603405751, 7.25056647266993)); #483739=CARTESIAN_POINT('Ctrl Pts',(3.44835812097054,-15.046026336432,7.24461074971654)); #483740=CARTESIAN_POINT('Ctrl Pts',(3.49624150323288,-15.0180477175974, 7.22386979670872)); #483741=CARTESIAN_POINT('Ctrl Pts',(3.54417164205518,-14.9905526485888, 7.20247623653649)); #483742=CARTESIAN_POINT('Ctrl Pts',(3.59209511654252,-14.9637838901848, 7.18020532202112)); #483743=CARTESIAN_POINT('Ctrl Pts',(3.60307773801448,-14.9576492944784, 7.17510149708828)); #483744=CARTESIAN_POINT('Ctrl Pts',(3.61405979973809,-14.9515528740833, 7.16995158889286)); #483745=CARTESIAN_POINT('Ctrl Pts',(3.62504025781244,-14.9454976164591, 7.16475300740036)); #483746=CARTESIAN_POINT('Ctrl Pts',(3.65448750402113,-14.929258707216,7.15081152079668)); #483747=CARTESIAN_POINT('Ctrl Pts',(3.68392679822832,-14.9133159724214, 7.13652148495233)); #483748=CARTESIAN_POINT('Ctrl Pts',(3.71346253702613,-14.8978886394119, 7.12163337362392)); #483749=CARTESIAN_POINT('Ctrl Pts',(3.71949752814997,-14.8947363966861, 7.11859130921737)); #483750=CARTESIAN_POINT('Ctrl Pts',(3.72553641138903,-14.8916055452521, 7.11552427545797)); #483751=CARTESIAN_POINT('Ctrl Pts',(3.7315784772622,-14.8884944070292,7.11243327247728)); #483752=CARTESIAN_POINT('Ctrl Pts',(3.75463776938634,-14.8766208779041, 7.10063658866341)); #483753=CARTESIAN_POINT('Ctrl Pts',(3.7777428908506,-14.865034437658,7.08848848682881)); #483754=CARTESIAN_POINT('Ctrl Pts',(3.80085849584742,-14.853642309535,7.07606169468952)); #483755=CARTESIAN_POINT('Ctrl Pts',(3.80132864640115,-14.8534106039254, 7.07580894494725)); #483756=CARTESIAN_POINT('Ctrl Pts',(3.80179880154094,-14.8531789787116, 7.07555608092838)); #483757=CARTESIAN_POINT('Ctrl Pts',(3.80226896103961,-14.852947433107,7.07530310303468)); #483758=CARTESIAN_POINT('Ctrl Pts',(3.82940685917891,-14.8395824770666, 7.06070106196078)); #483759=CARTESIAN_POINT('Ctrl Pts',(3.85655826259982,-14.8264824836745, 7.04571537934821)); #483760=CARTESIAN_POINT('Ctrl Pts',(3.88359382602778,-14.8134043450235, 7.03058184881859)); #483761=CARTESIAN_POINT('Ctrl Pts',(3.88608962149539,-14.8121970330599, 7.02918479278884)); #483762=CARTESIAN_POINT('Ctrl Pts',(3.88858445940827,-14.8109899048867, 7.02778649860231)); #483763=CARTESIAN_POINT('Ctrl Pts',(3.89107825593015,-14.8097827676445, 7.02638716538099)); #483764=CARTESIAN_POINT('Ctrl Pts',(3.9205859636595,-14.7954993838079,7.00982963338168)); #483765=CARTESIAN_POINT('Ctrl Pts',(3.94994716443821,-14.781218325594,6.9931277408737)); #483766=CARTESIAN_POINT('Ctrl Pts',(3.97916529400176,-14.7671027738822, 6.97615587260363)); #483767=CARTESIAN_POINT('Origin',(4.43043967120149,-15.1140234325594,7.46451792447794)); #483768=CARTESIAN_POINT('Ctrl Pts',(4.215069325039,-14.39856748992,7.547135362769)); #483769=CARTESIAN_POINT('Ctrl Pts',(4.138265135093,-14.40832284733,7.434153306001)); #483770=CARTESIAN_POINT('Ctrl Pts',(4.003081683528,-14.50349919489,7.203182218413)); #483771=CARTESIAN_POINT('Ctrl Pts',(3.942518275905,-14.71911814137,7.028499055849)); #483772=CARTESIAN_POINT('Ctrl Pts',(3.935777938116,-14.84190846678,6.96839018521)); #483773=CARTESIAN_POINT('Ctrl Pts',(4.28801354974,-14.37705107629,7.490995663171)); #483774=CARTESIAN_POINT('Ctrl Pts',(4.212060670037,-14.38766240251,7.382013860536)); #483775=CARTESIAN_POINT('Ctrl Pts',(4.077944943695,-14.48020117933,7.159483302326)); #483776=CARTESIAN_POINT('Ctrl Pts',(4.014947407601,-14.68705508385,6.988246662821)); #483777=CARTESIAN_POINT('Ctrl Pts',(4.006012096369,-14.80533683417,6.927631421695)); #483778=CARTESIAN_POINT('Ctrl Pts',(4.38967980763,-14.34622416831,7.409477461867)); #483779=CARTESIAN_POINT('Ctrl Pts',(4.314986769943,-14.35793019035,7.305955057707)); #483780=CARTESIAN_POINT('Ctrl Pts',(4.182513455487,-14.44701422014,7.094892024381)); #483781=CARTESIAN_POINT('Ctrl Pts',(4.116458104465,-14.64228911905,6.928920624545)); #483782=CARTESIAN_POINT('Ctrl Pts',(4.104639115535,-14.75446496732,6.868113795739)); #483783=CARTESIAN_POINT('Ctrl Pts',(4.51807258015,-14.30585881482,7.300550443794)); #483784=CARTESIAN_POINT('Ctrl Pts',(4.445024530595,-14.31877686166,7.203523684449)); #483785=CARTESIAN_POINT('Ctrl Pts',(4.314802998327,-14.40397431725,7.006034881914)); #483786=CARTESIAN_POINT('Ctrl Pts',(4.245583090533,-14.58606491086,6.847072893622)); #483787=CARTESIAN_POINT('Ctrl Pts',(4.230568386992,-14.69110396745,6.78672307537)); #483788=CARTESIAN_POINT('Ctrl Pts',(4.701555130423,-14.24698953433,7.13999169849)); #483789=CARTESIAN_POINT('Ctrl Pts',(4.630848935376,-14.26149085992,7.051699319095)); #483790=CARTESIAN_POINT('Ctrl Pts',(4.503935585822,-14.34165044286,6.872413449992)); #483791=CARTESIAN_POINT('Ctrl Pts',(4.430894047258,-14.50645318969,6.723402815332)); #483792=CARTESIAN_POINT('Ctrl Pts',(4.411803957462,-14.60199797803,6.664156542046)); #483793=CARTESIAN_POINT('Ctrl Pts',(4.850518223242,-14.19646031834,6.9971820564)); #483794=CARTESIAN_POINT('Ctrl Pts',(4.781972004958,-14.21209537004,6.916626585806)); #483795=CARTESIAN_POINT('Ctrl Pts',(4.658081326768,-14.28804952786,6.753347266072)); #483796=CARTESIAN_POINT('Ctrl Pts',(4.582035484255,-14.43870065524,6.61438734692)); #483797=CARTESIAN_POINT('Ctrl Pts',(4.559572014557,-14.52622233791,6.557284701799)); #483798=CARTESIAN_POINT('Ctrl Pts',(4.966778453431,-14.15547444506,6.874012901833)); #483799=CARTESIAN_POINT('Ctrl Pts',(4.900116199267,-14.17186029756,6.799951110538)); #483800=CARTESIAN_POINT('Ctrl Pts',(4.778915054176,-14.2444710802,6.650026897541)); #483801=CARTESIAN_POINT('Ctrl Pts',(4.700849669199,-14.38417994414,6.520317989544)); #483802=CARTESIAN_POINT('Ctrl Pts',(4.675887635895,-14.46537040048,6.465820318768)); #483803=CARTESIAN_POINT('',(4.653640870511,-14.44551521068,6.519363334803)); #483804=CARTESIAN_POINT('Ctrl Pts',(3.979165294259,-14.76710277408,6.976155872882)); #483805=CARTESIAN_POINT('Ctrl Pts',(4.037386452319,-14.7389845587,6.942338200726)); #483806=CARTESIAN_POINT('Ctrl Pts',(4.124363822479,-14.69698323896,6.890099751365)); #483807=CARTESIAN_POINT('Ctrl Pts',(4.239021191514,-14.64295022734,6.816364296293)); #483808=CARTESIAN_POINT('Ctrl Pts',(4.409559423269,-14.56395265211,6.701429747842)); #483809=CARTESIAN_POINT('Ctrl Pts',(4.547080244019,-14.49788920177,6.602218888834)); #483810=CARTESIAN_POINT('Ctrl Pts',(4.653640870511,-14.44551521068,6.519363334803)); #483811=CARTESIAN_POINT('Ctrl Pts',(4.6536408705118,-14.4455152106807,6.51936333480357)); #483812=CARTESIAN_POINT('Ctrl Pts',(4.67782907888381,-14.3785368874061, 6.56877359127178)); #483813=CARTESIAN_POINT('Ctrl Pts',(4.71198231389182,-14.320471296988,6.6253680017492)); #483814=CARTESIAN_POINT('Ctrl Pts',(4.75406948599929,-14.2747624936962, 6.68578418580353)); #483815=CARTESIAN_POINT('Ctrl Pts',(4.7961566581063,-14.2290536904049,6.74620036985719)); #483816=CARTESIAN_POINT('Ctrl Pts',(4.84617776731259,-14.1957016742397, 6.81043832748766)); #483817=CARTESIAN_POINT('Ctrl Pts',(4.90116494846946,-14.1766915092313, 6.874684433915)); #483818=CARTESIAN_POINT('Ctrl Pts',(4.901054955486,-14.17891367532,6.950449810748)); #483819=CARTESIAN_POINT('Ctrl Pts',(4.831817680371,-14.19432816559,6.871192759084)); #483820=CARTESIAN_POINT('Ctrl Pts',(4.706316460292,-14.26965434776,6.710246342305)); #483821=CARTESIAN_POINT('Ctrl Pts',(4.628495633921,-14.41934144115,6.572888050749)); #483822=CARTESIAN_POINT('Ctrl Pts',(4.605171254487,-14.50639321066,6.516332687331)); #483823=CARTESIAN_POINT('Ctrl Pts',(4.951547836146,-14.16135727681,6.898814918567)); #483824=CARTESIAN_POINT('Ctrl Pts',(4.883108621837,-14.17716542493,6.822302715583)); #483825=CARTESIAN_POINT('Ctrl Pts',(4.75875050758,-14.25107793729,6.667041783021)); #483826=CARTESIAN_POINT('Ctrl Pts',(4.679983705037,-14.3959409622,6.533442864261)); #483827=CARTESIAN_POINT('Ctrl Pts',(4.655526798674,-14.48022891384,6.477817985599)); #483828=CARTESIAN_POINT('Ctrl Pts',(5.050539950793,-14.12632604816,6.793180990544)); #483829=CARTESIAN_POINT('Ctrl Pts',(4.983792517799,-14.14286822373,6.72248007293)); #483830=CARTESIAN_POINT('Ctrl Pts',(4.861849318824,-14.21384294304,6.579219268949)); #483831=CARTESIAN_POINT('Ctrl Pts',(4.781204991014,-14.34887469199,6.453915202288)); #483832=CARTESIAN_POINT('Ctrl Pts',(4.754445914438,-14.42747489153,6.40059335504)); #483833=CARTESIAN_POINT('Ctrl Pts',(5.145058214475,-14.09180594853,6.68304426911)); #483834=CARTESIAN_POINT('Ctrl Pts',(5.079955786922,-14.10891173665,6.617649560287)); #483835=CARTESIAN_POINT('Ctrl Pts',(4.960453398328,-14.17736193311,6.485289078907)); #483836=CARTESIAN_POINT('Ctrl Pts',(4.878601485363,-14.30404062452,6.368071659145)); #483837=CARTESIAN_POINT('Ctrl Pts',(4.850055815152,-14.37771673812,6.317369718004)); #483838=CARTESIAN_POINT('Ctrl Pts',(5.191250667316,-14.0746896443,6.626809918577)); #483839=CARTESIAN_POINT('Ctrl Pts',(5.126942399901,-14.09204303593,6.563911750473)); #483840=CARTESIAN_POINT('Ctrl Pts',(5.008638287895,-14.15934053162,6.436669872498)); #483841=CARTESIAN_POINT('Ctrl Pts',(4.926327061439,-14.28221727785,6.323346099542)); #483842=CARTESIAN_POINT('Ctrl Pts',(4.897010741534,-14.35363748151,6.273968196217)); #483843=CARTESIAN_POINT('',(4.88001603925,-14.33388505248,6.330482603735)); #483844=CARTESIAN_POINT('Ctrl Pts',(4.65364087051208,-14.445515210681,6.51936333480378)); #483845=CARTESIAN_POINT('Ctrl Pts',(4.69244137728298,-14.4264397815545, 6.48919612087958)); #483846=CARTESIAN_POINT('Ctrl Pts',(4.73067844016921,-14.407430856628,6.45870478381613)); #483847=CARTESIAN_POINT('Ctrl Pts',(4.76840834529349,-14.3887601342254, 6.42734301151292)); #483848=CARTESIAN_POINT('Ctrl Pts',(4.80613825099242,-14.3700894115384, 6.39598123873204)); #483849=CARTESIAN_POINT('Ctrl Pts',(4.84336274767422,-14.3517584907129, 6.36375017664835)); #483850=CARTESIAN_POINT('Ctrl Pts',(4.8800160392503,-14.3338850524803,6.33048260373518)); #483851=CARTESIAN_POINT('Ctrl Pts',(4.8800160392503,-14.3338850524803,6.33048260373518)); #483852=CARTESIAN_POINT('Ctrl Pts',(4.90724646357627,-14.2763953021753, 6.37425297953963)); #483853=CARTESIAN_POINT('Ctrl Pts',(4.94252562099186,-14.2264093355492, 6.42286107453867)); #483854=CARTESIAN_POINT('Ctrl Pts',(4.98418517078108,-14.1862867592,6.47400962018047)); #483855=CARTESIAN_POINT('Ctrl Pts',(5.02584472057036,-14.1461641828508, 6.52515816582236)); #483856=CARTESIAN_POINT('Ctrl Pts',(5.07388466273328,-14.1159049967785, 6.57884716210701)); #483857=CARTESIAN_POINT('Ctrl Pts',(5.12603656255192,-14.0969411277525, 6.632540403893)); #483858=CARTESIAN_POINT('Ctrl Pts',(5.133100425709,-14.09692285602,6.711677885553)); #483859=CARTESIAN_POINT('Ctrl Pts',(5.064931768339,-14.11321595086,6.642887298537)); #483860=CARTESIAN_POINT('Ctrl Pts',(4.939948589153,-14.18355630188,6.503193533195)); #483861=CARTESIAN_POINT('Ctrl Pts',(4.856172136879,-14.31766766724,6.380394698546)); #483862=CARTESIAN_POINT('Ctrl Pts',(4.827944858029,-14.39580451243,6.327969910947)); #483863=CARTESIAN_POINT('Ctrl Pts',(5.212098687636,-14.06794415436,6.618721770215)); #483864=CARTESIAN_POINT('Ctrl Pts',(5.145374002382,-14.08483888129,6.55449798191)); #483865=CARTESIAN_POINT('Ctrl Pts',(5.022552261256,-14.15309358617,6.424249826614)); #483866=CARTESIAN_POINT('Ctrl Pts',(4.937679253883,-14.27991053986,6.308374773201)); #483867=CARTESIAN_POINT('Ctrl Pts',(4.907839570176,-14.35375742131,6.258114086747)); #483868=CARTESIAN_POINT('Ctrl Pts',(5.363111348638,-14.01110223058,6.427372748815)); #483869=CARTESIAN_POINT('Ctrl Pts',(5.299131859913,-14.02893245764,6.371399263)); #483870=CARTESIAN_POINT('Ctrl Pts',(5.18054284303,-14.09362885418,6.258125967928)); #483871=CARTESIAN_POINT('Ctrl Pts',(5.094419898429,-14.20813714579,6.155404598197)); #483872=CARTESIAN_POINT('Ctrl Pts',(5.062134850476,-14.27462703452,6.10972651423)); #483873=CARTESIAN_POINT('Ctrl Pts',(5.501089343211,-13.95680329945,6.225210253803)); #483874=CARTESIAN_POINT('Ctrl Pts',(5.439366797479,-13.9752353341,6.175662746105)); #483875=CARTESIAN_POINT('Ctrl Pts',(5.324408073691,-14.03750657428,6.075520519619)); #483876=CARTESIAN_POINT('Ctrl Pts',(5.238198990802,-14.14360281304,5.983691061966)); #483877=CARTESIAN_POINT('Ctrl Pts',(5.20461403518,-14.20495751747,5.94227120393)); #483878=CARTESIAN_POINT('Ctrl Pts',(5.567026108304,-13.93035287787,6.12166613718)); #483879=CARTESIAN_POINT('Ctrl Pts',(5.506320364406,-13.94903805973,6.07494459641)); #483880=CARTESIAN_POINT('Ctrl Pts',(5.393022887067,-14.01029831427,5.980566616632)); #483881=CARTESIAN_POINT('Ctrl Pts',(5.306919956034,-14.11286583416,5.893601417629)); #483882=CARTESIAN_POINT('Ctrl Pts',(5.272859859602,-14.17205480381,5.854134820081)); #483883=CARTESIAN_POINT('',(5.26591556421,-14.15065964765,5.914961692299)); #483884=CARTESIAN_POINT('Ctrl Pts',(4.88001603925,-14.33388505248,6.330482603735)); #483885=CARTESIAN_POINT('Ctrl Pts',(4.948828044884,-14.30032924469,6.268025672748)); #483886=CARTESIAN_POINT('Ctrl Pts',(5.08207203127,-14.23637671286,6.136426168076)); #483887=CARTESIAN_POINT('Ctrl Pts',(5.206153877092,-14.17835782339,5.990980277523)); #483888=CARTESIAN_POINT('Ctrl Pts',(5.26591556421,-14.15065964765,5.914961692299)); #483889=CARTESIAN_POINT('Origin',(5.77865378451205,-14.6516094825312,6.13552637642375)); #483890=CARTESIAN_POINT('Ctrl Pts',(5.521810817047,-13.94942544789,6.213176958178)); #483891=CARTESIAN_POINT('Ctrl Pts',(5.456697237163,-13.96748352346,6.161642340871)); #483892=CARTESIAN_POINT('Ctrl Pts',(5.335281969799,-14.03169187449,6.056999763982)); #483893=CARTESIAN_POINT('Ctrl Pts',(5.245447072342,-14.14401437317,5.961432267427)); #483894=CARTESIAN_POINT('Ctrl Pts',(5.211087674582,-14.20925209124,5.918644567907)); #483895=CARTESIAN_POINT('Ctrl Pts',(5.597460991951,-13.91928108893,6.098196363811)); #483896=CARTESIAN_POINT('Ctrl Pts',(5.533631439706,-13.93777163856,6.05019577108)); #483897=CARTESIAN_POINT('Ctrl Pts',(5.414311509135,-14.00075083071,5.952829416233)); #483898=CARTESIAN_POINT('Ctrl Pts',(5.324445431319,-14.10840731683,5.86326764568)); #483899=CARTESIAN_POINT('Ctrl Pts',(5.289337979345,-14.17078769506,5.822791848416)); #483900=CARTESIAN_POINT('Ctrl Pts',(5.69949305278,-13.87765712856,5.929086511467)); #483901=CARTESIAN_POINT('Ctrl Pts',(5.637342719677,-13.89662856861,5.885659960234)); #483902=CARTESIAN_POINT('Ctrl Pts',(5.520805526528,-13.95812898464,5.797668263991)); #483903=CARTESIAN_POINT('Ctrl Pts',(5.431212118527,-14.06025420569,5.716114676274)); #483904=CARTESIAN_POINT('Ctrl Pts',(5.395371533081,-14.11921330881,5.678894311278)); #483905=CARTESIAN_POINT('Ctrl Pts',(5.82397415979,-13.82550174124,5.705023905087)); #483906=CARTESIAN_POINT('Ctrl Pts',(5.763362112779,-13.84493319422,5.665029377681)); #483907=CARTESIAN_POINT('Ctrl Pts',(5.649526113632,-13.90552761101,5.584056631838)); #483908=CARTESIAN_POINT('Ctrl Pts',(5.56084972465,-14.00366890448,5.508594442635)); #483909=CARTESIAN_POINT('Ctrl Pts',(5.524829556643,-14.06011339202,5.473909990258)); #483910=CARTESIAN_POINT('Ctrl Pts',(5.909332134899,-13.78917240907,5.547563150186)); #483911=CARTESIAN_POINT('Ctrl Pts',(5.849453225674,-13.80888134633,5.508540164256)); #483912=CARTESIAN_POINT('Ctrl Pts',(5.737018574288,-13.86938588605,5.429556641292)); #483913=CARTESIAN_POINT('Ctrl Pts',(5.649223026207,-13.96632124567,5.355806379963)); #483914=CARTESIAN_POINT('Ctrl Pts',(5.613436572826,-14.0219623474,5.321825333977)); #483915=CARTESIAN_POINT('Ctrl Pts',(5.993804084253,-13.75260416824,5.38975290491)); #483916=CARTESIAN_POINT('Ctrl Pts',(5.934661125699,-13.77257434174,5.351652163559)); #483917=CARTESIAN_POINT('Ctrl Pts',(5.823631601586,-13.83297478892,5.274557050161)); #483918=CARTESIAN_POINT('Ctrl Pts',(5.736728757824,-13.92872329742,5.202431204902)); #483919=CARTESIAN_POINT('Ctrl Pts',(5.701186301985,-13.98357645769,5.169117060728)); #483920=CARTESIAN_POINT('Ctrl Pts',(6.104015646988,-13.70405068785,5.177385334379)); #483921=CARTESIAN_POINT('Ctrl Pts',(6.046089332717,-13.724354469,5.141599799506)); #483922=CARTESIAN_POINT('Ctrl Pts',(5.937247566573,-13.78419305734,5.069230957621)); #483923=CARTESIAN_POINT('Ctrl Pts',(5.851347657294,-13.87729163352,5.001261571738)); #483924=CARTESIAN_POINT('Ctrl Pts',(5.815878402677,-13.9304535131,4.969711465058)); #483925=CARTESIAN_POINT('Ctrl Pts',(6.209116006576,-13.65666885455,4.962633462856)); #483926=CARTESIAN_POINT('Ctrl Pts',(6.15210193111,-13.67728402796,4.928365622714)); #483927=CARTESIAN_POINT('Ctrl Pts',(6.044952843057,-13.73693579722,4.859090533413)); #483928=CARTESIAN_POINT('Ctrl Pts',(5.960025794828,-13.82844916587,4.793870303745)); #483929=CARTESIAN_POINT('Ctrl Pts',(5.924773839516,-13.88056277858,4.763504322163)); #483930=CARTESIAN_POINT('Ctrl Pts',(6.316504151299,-13.60725259776,4.751559724308)); #483931=CARTESIAN_POINT('Ctrl Pts',(6.259710308326,-13.62817759153,4.715608502937)); #483932=CARTESIAN_POINT('Ctrl Pts',(6.153309931017,-13.68879826429,4.642915788142)); #483933=CARTESIAN_POINT('Ctrl Pts',(6.069857506135,-13.78178928719,4.574570797487)); #483934=CARTESIAN_POINT('Ctrl Pts',(6.035535588921,-13.83470505471,4.54280433927)); #483935=CARTESIAN_POINT('Ctrl Pts',(6.383759913148,-13.57573418403,4.618609871338)); #483936=CARTESIAN_POINT('Ctrl Pts',(6.327608299105,-13.59683339269,4.583609633276)); #483937=CARTESIAN_POINT('Ctrl Pts',(6.222394369149,-13.65725458654,4.51285766294)); #483938=CARTESIAN_POINT('Ctrl Pts',(6.139621244232,-13.74910826504,4.446223259077)); #483939=CARTESIAN_POINT('Ctrl Pts',(6.105451937691,-13.801286687,4.415184958309)); #483940=CARTESIAN_POINT('Ctrl Pts',(6.443238749373,-13.54751240965,4.495988355467)); #483941=CARTESIAN_POINT('Ctrl Pts',(6.387844853802,-13.56875413863,4.462686934673)); #483942=CARTESIAN_POINT('Ctrl Pts',(6.283938588414,-13.62868442245,4.395393729911)); #483943=CARTESIAN_POINT('Ctrl Pts',(6.201645837579,-13.71873865352,4.33185943309)); #483944=CARTESIAN_POINT('Ctrl Pts',(6.167432322546,-13.76978683027,4.302172830397)); #483945=CARTESIAN_POINT('Ctrl Pts',(6.475446880787,-13.53206864174,4.426247463396)); #483946=CARTESIAN_POINT('Ctrl Pts',(6.420575383128,-13.55337755663,4.394379259694)); #483947=CARTESIAN_POINT('Ctrl Pts',(6.317534336651,-13.61286174321,4.329999996945)); #483948=CARTESIAN_POINT('Ctrl Pts',(6.235449706767,-13.70149623966,4.269103274546)); #483949=CARTESIAN_POINT('Ctrl Pts',(6.2011202564,-13.75166366441,4.240582469179)); #483950=CARTESIAN_POINT('Ctrl Pts',(6.494469296058,-13.52290262453,4.38353099413)); #483951=CARTESIAN_POINT('Ctrl Pts',(6.439883063168,-13.54424990785,4.352438069582)); #483952=CARTESIAN_POINT('Ctrl Pts',(6.337320079021,-13.60350774982,4.289633690041)); #483953=CARTESIAN_POINT('Ctrl Pts',(6.255373338115,-13.69140535324,4.230171213468)); #483954=CARTESIAN_POINT('Ctrl Pts',(6.220998415763,-13.74111351337,4.202289618538)); #483955=CARTESIAN_POINT('',(6.22511687319574,-13.7177440634682,4.26368210525178)); #483956=CARTESIAN_POINT('Origin',(6.75703198710416,-14.2269117730268,4.40621187190395)); #483957=CARTESIAN_POINT('Ctrl Pts',(5.26591556421,-14.15065964765,5.914961692299)); #483958=CARTESIAN_POINT('Ctrl Pts',(5.334120259111,-14.11903279654,5.828215794933)); #483959=CARTESIAN_POINT('Ctrl Pts',(5.430739128717,-14.07483352644,5.692785521492)); #483960=CARTESIAN_POINT('Ctrl Pts',(5.552003979609,-14.02100049335,5.499234874994)); #483961=CARTESIAN_POINT('Ctrl Pts',(5.640394419964,-13.98341185125,5.34660514669)); #483962=CARTESIAN_POINT('Ctrl Pts',(5.727939913716,-13.94554949489,5.193400410412)); #483963=CARTESIAN_POINT('Ctrl Pts',(5.842507788966,-13.89357283322,4.99268062166)); #483964=CARTESIAN_POINT('Ctrl Pts',(5.951198593733,-13.84439254876,4.785591939653)); #483965=CARTESIAN_POINT('Ctrl Pts',(6.061339304324,-13.79795804865,4.565929388485)); #483966=CARTESIAN_POINT('Ctrl Pts',(6.131085865042,-13.7650747204,4.437745134538)); #483967=CARTESIAN_POINT('Ctrl Pts',(6.185576260195,-13.73800322367,4.337644493462)); #483968=CARTESIAN_POINT('Ctrl Pts',(6.212045045963,-13.72448422408,4.288386205802)); #483969=CARTESIAN_POINT('Ctrl Pts',(6.225116895088,-13.71774408452,4.263682111093)); #483970=CARTESIAN_POINT('Ctrl Pts',(6.462376332865,-13.53929071009,4.479810441874)); #483971=CARTESIAN_POINT('Ctrl Pts',(6.403635812621,-13.56072360135,4.445140657457)); #483972=CARTESIAN_POINT('Ctrl Pts',(6.29379604016,-13.62285015138,4.375061259448)); #483973=CARTESIAN_POINT('Ctrl Pts',(6.207733497177,-13.71809736109,4.309063705262)); #483974=CARTESIAN_POINT('Ctrl Pts',(6.172481243585,-13.77211854334,4.278457082045)); #483975=CARTESIAN_POINT('Ctrl Pts',(6.494755521504,-13.52374217523,4.409999512582)); #483976=CARTESIAN_POINT('Ctrl Pts',(6.436600365314,-13.54528266403,4.376914397367)); #483977=CARTESIAN_POINT('Ctrl Pts',(6.32772665739,-13.60693795727,4.310064721143)); #483978=CARTESIAN_POINT('Ctrl Pts',(6.241859753431,-13.70057732355,4.246972224365)); #483979=CARTESIAN_POINT('Ctrl Pts',(6.20644534909,-13.75360287095,4.217630691757)); #483980=CARTESIAN_POINT('Ctrl Pts',(6.54994667537,-13.49703341538,4.284460401284)); #483981=CARTESIAN_POINT('Ctrl Pts',(6.492605775198,-13.51873992408,4.253466196179)); #483982=CARTESIAN_POINT('Ctrl Pts',(6.38511504643,-13.57985843366,4.190870648875)); #483983=CARTESIAN_POINT('Ctrl Pts',(6.299682807207,-13.67150915202,4.131642232069)); #483984=CARTESIAN_POINT('Ctrl Pts',(6.26416106638,-13.7232886113,4.104006826949)); #483985=CARTESIAN_POINT('Ctrl Pts',(6.615021905851,-13.4651627653,4.131532344693)); #483986=CARTESIAN_POINT('Ctrl Pts',(6.558154924906,-13.48706289341,4.101054961219)); #483987=CARTESIAN_POINT('Ctrl Pts',(6.451584235105,-13.54824095452,4.03951315884)); #483988=CARTESIAN_POINT('Ctrl Pts',(6.366826873265,-13.63937752848,3.981230504835)); #483989=CARTESIAN_POINT('Ctrl Pts',(6.331541489585,-13.69079105285,3.954005528686)); #483990=CARTESIAN_POINT('Ctrl Pts',(6.662503917036,-13.44171749396,4.022447117052)); #483991=CARTESIAN_POINT('Ctrl Pts',(6.605796050533,-13.46375228462,3.99143320439)); #483992=CARTESIAN_POINT('Ctrl Pts',(6.499639275049,-13.52525203625,3.928805172583)); #483993=CARTESIAN_POINT('Ctrl Pts',(6.415488850147,-13.61676926463,3.869508401569)); #483994=CARTESIAN_POINT('Ctrl Pts',(6.380551393379,-13.66837367351,3.841818475844)); #483995=CARTESIAN_POINT('Ctrl Pts',(6.710162163877,-13.41800361427,3.913185237759)); #483996=CARTESIAN_POINT('Ctrl Pts',(6.653747179741,-13.44016335286,3.882097196305)); #483997=CARTESIAN_POINT('Ctrl Pts',(6.548206214424,-13.5017983184,3.819322612979)); #483998=CARTESIAN_POINT('Ctrl Pts',(6.464649507454,-13.59323799136,3.759870935985)); #483999=CARTESIAN_POINT('Ctrl Pts',(6.429984236373,-13.64475932971,3.732098969328)); #484000=CARTESIAN_POINT('Ctrl Pts',(6.769715869633,-13.38805063254,3.776371624669)); #484001=CARTESIAN_POINT('Ctrl Pts',(6.713788312089,-13.4103554995,3.745613185625)); #484002=CARTESIAN_POINT('Ctrl Pts',(6.609196954309,-13.47198413449,3.68351371133)); #484003=CARTESIAN_POINT('Ctrl Pts',(6.526361162193,-13.56290310619,3.624652253138)); #484004=CARTESIAN_POINT('Ctrl Pts',(6.491961589958,-13.61406796284,3.597126465195)); #484005=CARTESIAN_POINT('Ctrl Pts',(6.863322122959,-13.34030431843,3.55587438276)); #484006=CARTESIAN_POINT('Ctrl Pts',(6.808357208622,-13.36283029881,3.526582595301)); #484007=CARTESIAN_POINT('Ctrl Pts',(6.705524344572,-13.42414339761,3.467468848354)); #484008=CARTESIAN_POINT('Ctrl Pts',(6.623699550763,-13.51346227346,3.411310704384)); #484009=CARTESIAN_POINT('Ctrl Pts',(6.58953563762,-13.56359595941,3.384972912605)); #484010=CARTESIAN_POINT('Ctrl Pts',(6.975943978224,-13.28172485702,3.277530302727)); #484011=CARTESIAN_POINT('Ctrl Pts',(6.922148144572,-13.3045121748,3.250361894634)); #484012=CARTESIAN_POINT('Ctrl Pts',(6.821417182153,-13.36539577613,3.195562233419)); #484013=CARTESIAN_POINT('Ctrl Pts',(6.740719797869,-13.45267128786,3.143353867302)); #484014=CARTESIAN_POINT('Ctrl Pts',(6.706775668897,-13.5014911327,3.118779168023)); #484015=CARTESIAN_POINT('Ctrl Pts',(7.102601325395,-13.21426502288,2.93876078683)); #484016=CARTESIAN_POINT('Ctrl Pts',(7.050078113134,-13.2373150298,2.913862322947)); #484017=CARTESIAN_POINT('Ctrl Pts',(6.951647334475,-13.29775726761,2.863667399581)); #484018=CARTESIAN_POINT('Ctrl Pts',(6.872256720104,-13.38294776543,2.815711357723)); #484019=CARTESIAN_POINT('Ctrl Pts',(6.838615774868,-13.43042347412,2.793057313346)); #484020=CARTESIAN_POINT('Ctrl Pts',(7.203000813229,-13.15962048023,2.65400036098)); #484021=CARTESIAN_POINT('Ctrl Pts',(7.151325940133,-13.18287916771,2.630285670552)); #484022=CARTESIAN_POINT('Ctrl Pts',(7.054476851144,-13.24318452865,2.582490984012)); #484023=CARTESIAN_POINT('Ctrl Pts',(6.976145934701,-13.32728383676,2.536757272556)); #484024=CARTESIAN_POINT('Ctrl Pts',(6.942843566063,-13.37403379909,2.515110498783)); #484025=CARTESIAN_POINT('Ctrl Pts',(7.281595355895,-13.11617147254,2.425489146533)); #484026=CARTESIAN_POINT('Ctrl Pts',(7.230509562591,-13.1395975544,2.402393231534)); #484027=CARTESIAN_POINT('Ctrl Pts',(7.134784943707,-13.19989499286,2.355853938691)); #484028=CARTESIAN_POINT('Ctrl Pts',(7.057287309012,-13.2833896762,2.311278709345)); #484029=CARTESIAN_POINT('Ctrl Pts',(7.024289716201,-13.3297222579,2.290154606869)); #484030=CARTESIAN_POINT('Ctrl Pts',(7.339193842623,-13.08397283861,2.252994305899)); #484031=CARTESIAN_POINT('Ctrl Pts',(7.28865823179,-13.10749968457,2.230861056932)); #484032=CARTESIAN_POINT('Ctrl Pts',(7.193931527167,-13.16761621028,2.186271169132)); #484033=CARTESIAN_POINT('Ctrl Pts',(7.117032921986,-13.25027812313,2.143513708952)); #484034=CARTESIAN_POINT('Ctrl Pts',(7.084195394674,-13.29607293489,2.123221436042)); #484035=CARTESIAN_POINT('Ctrl Pts',(7.394250583304,-13.05285609736,2.078362849487)); #484036=CARTESIAN_POINT('Ctrl Pts',(7.344407950047,-13.07644096344,2.057872276205)); #484037=CARTESIAN_POINT('Ctrl Pts',(7.250886759939,-13.13614141991,2.01660417964)); #484038=CARTESIAN_POINT('Ctrl Pts',(7.174572821479,-13.21749135908,1.976967968271)); #484039=CARTESIAN_POINT('Ctrl Pts',(7.141820175905,-13.26246636791,1.958118599326)); #484040=CARTESIAN_POINT('Ctrl Pts',(7.451138892827,-13.02042795463,1.887791677963)); #484041=CARTESIAN_POINT('Ctrl Pts',(7.401836178618,-13.04409424818,1.868216376398)); #484042=CARTESIAN_POINT('Ctrl Pts',(7.309301240983,-13.10361526078,1.828798828043)); #484043=CARTESIAN_POINT('Ctrl Pts',(7.233614248788,-13.18420090141,1.790902982486)); #484044=CARTESIAN_POINT('Ctrl Pts',(7.201049451359,-13.22868356067,1.772859069636)); #484045=CARTESIAN_POINT('Ctrl Pts',(7.49037433657,-12.9978639123,1.756320577899)); #484046=CARTESIAN_POINT('Ctrl Pts',(7.441233720451,-13.02162483988,1.736411101568)); #484047=CARTESIAN_POINT('Ctrl Pts',(7.349063429453,-13.08132187885,1.696320534806)); #484048=CARTESIAN_POINT('Ctrl Pts',(7.273804754085,-13.1620466586,1.657778228269)); #484049=CARTESIAN_POINT('Ctrl Pts',(7.241464781721,-13.20658722083,1.639426851258)); #484050=CARTESIAN_POINT('Ctrl Pts',(7.513015218123,-12.98477621516,1.683500231934)); #484051=CARTESIAN_POINT('Ctrl Pts',(7.463902209504,-13.00860333456,1.663081148761)); #484052=CARTESIAN_POINT('Ctrl Pts',(7.371846701447,-13.06849886289,1.621962493304)); #484053=CARTESIAN_POINT('Ctrl Pts',(7.296844697565,-13.1495218905,1.582441703158)); #484054=CARTESIAN_POINT('Ctrl Pts',(7.264671671042,-13.19422603192,1.563630366844)); #484055=CARTESIAN_POINT('',(7.27839614551744,-13.165685168536,1.62660310988762)); #484056=CARTESIAN_POINT('Ctrl Pts',(6.22511676356631,-13.7177439584253, 4.26368207590487)); #484057=CARTESIAN_POINT('Ctrl Pts',(6.2471179030315,-13.7064015007548,4.22209410395552)); #484058=CARTESIAN_POINT('Ctrl Pts',(6.26866708804681,-13.6953360411129, 4.17953281426678)); #484059=CARTESIAN_POINT('Ctrl Pts',(6.28972972944713,-13.6847880103,4.13579125086375)); #484060=CARTESIAN_POINT('Ctrl Pts',(6.2936941006788,-13.6828026795378,4.12755829506835)); #484061=CARTESIAN_POINT('Ctrl Pts',(6.2976413614589,-13.6808356435243,4.11928358966673)); #484062=CARTESIAN_POINT('Ctrl Pts',(6.30157149868591,-13.6788884394946, 4.11096586569864)); #484063=CARTESIAN_POINT('Ctrl Pts',(6.31860371519358,-13.6704497518093, 4.0749189626791)); #484064=CARTESIAN_POINT('Ctrl Pts',(6.33531317296002,-13.66238190634,4.03806413245651)); #484065=CARTESIAN_POINT('Ctrl Pts',(6.35196852436344,-13.6544738291115, 4.00059431163111)); #484066=CARTESIAN_POINT('Ctrl Pts',(6.35957508599764,-13.6508621804954, 3.98348170351933)); #484067=CARTESIAN_POINT('Ctrl Pts',(6.3671709917453,-13.6472835893023,3.96624118845636)); #484068=CARTESIAN_POINT('Ctrl Pts',(6.37478467240215,-13.6437167266509, 3.94889280999853)); #484069=CARTESIAN_POINT('Ctrl Pts',(6.37743323490923,-13.6424759261613, 3.9428578491415)); #484070=CARTESIAN_POINT('Ctrl Pts',(6.38008343785663,-13.6412371905555, 3.93680944777287)); #484071=CARTESIAN_POINT('Ctrl Pts',(6.38273627802134,-13.6399985181823, 3.93075371802574)); #484072=CARTESIAN_POINT('Ctrl Pts',(6.39224535868522,-13.6355585086899, 3.90904701160217)); #484073=CARTESIAN_POINT('Ctrl Pts',(6.4017885909614,-13.63111921406,3.88724627002425)); #484074=CARTESIAN_POINT('Ctrl Pts',(6.4113627129614,-13.6266090962492,3.86560557020024)); #484075=CARTESIAN_POINT('Ctrl Pts',(6.42360782033646,-13.6208407472698, 3.83792755512833)); #484076=CARTESIAN_POINT('Ctrl Pts',(6.4359056651003,-13.6149532427009,3.81051309670147)); #484077=CARTESIAN_POINT('Ctrl Pts',(6.44821993144488,-13.609000033098,3.78322434995103)); #484078=CARTESIAN_POINT('Ctrl Pts',(6.47284846413416,-13.5970936138923, 3.72864685644988)); #484079=CARTESIAN_POINT('Ctrl Pts',(6.49754223655155,-13.5849239468446, 3.67457211145182)); #484080=CARTESIAN_POINT('Ctrl Pts',(6.52205679046733,-13.5726338879328, 3.62088787646553)); #484081=CARTESIAN_POINT('Ctrl Pts',(6.53205964412598,-13.5676190848419, 3.59898270339207)); #484082=CARTESIAN_POINT('Ctrl Pts',(6.54203607904884,-13.5625802275095, 3.57714510234014)); #484083=CARTESIAN_POINT('Ctrl Pts',(6.55196916347083,-13.5575357154015, 3.55533787514902)); #484084=CARTESIAN_POINT('Ctrl Pts',(6.56637964558708,-13.5502173590451, 3.52370090881592)); #484085=CARTESIAN_POINT('Ctrl Pts',(6.58069913031441,-13.5428869458242, 3.49212802153033)); #484086=CARTESIAN_POINT('Ctrl Pts',(6.59491559768791,-13.5355752326213, 3.46053023779269)); #484087=CARTESIAN_POINT('Ctrl Pts',(6.63769702081208,-13.5135721929015, 3.36544345425135)); #484088=CARTESIAN_POINT('Ctrl Pts',(6.67950280427597,-13.4917935743342, 3.27009745615993)); #484089=CARTESIAN_POINT('Ctrl Pts',(6.72014651714343,-13.4704114880561, 3.17400178271073)); #484090=CARTESIAN_POINT('Ctrl Pts',(6.72513438686901,-13.4677874398752, 3.16220874840011)); #484091=CARTESIAN_POINT('Ctrl Pts',(6.73010469524243,-13.4651693951238, 3.15040438950191)); #484092=CARTESIAN_POINT('Ctrl Pts',(6.73505734867806,-13.4625580283906, 3.13858777660436)); #484093=CARTESIAN_POINT('Ctrl Pts',(6.78036675464401,-13.4386679102998, 3.03048335987573)); #484094=CARTESIAN_POINT('Ctrl Pts',(6.82420382866744,-13.4153292143359, 2.92136075189247)); #484095=CARTESIAN_POINT('Ctrl Pts',(6.86690590303918,-13.3924973141897, 2.81103807106757)); #484096=CARTESIAN_POINT('Ctrl Pts',(6.90960797741096,-13.3696654140434, 2.70071539024258)); #484097=CARTESIAN_POINT('Ctrl Pts',(6.9511740589176,-13.3473393489209,2.58919241749028)); #484098=CARTESIAN_POINT('Ctrl Pts',(6.9920747245983,-13.3252236950987,2.47688158322403)); #484099=CARTESIAN_POINT('Ctrl Pts',(7.00026291433064,-13.3207962080019, 2.45439729342914)); #484100=CARTESIAN_POINT('Ctrl Pts',(7.00842296432666,-13.3163789541853, 2.43188073773004)); #484101=CARTESIAN_POINT('Ctrl Pts',(7.01655833313929,-13.3119648930862, 2.40936128895106)); #484102=CARTESIAN_POINT('Ctrl Pts',(7.02874137479788,-13.3053546592428, 2.37563750962139)); #484103=CARTESIAN_POINT('Ctrl Pts',(7.04086890134897,-13.2987516679779, 2.34190716819063)); #484104=CARTESIAN_POINT('Ctrl Pts',(7.05292376096171,-13.2921351497713, 2.30825974175936)); #484105=CARTESIAN_POINT('Ctrl Pts',(7.07302839432399,-13.2811003740084, 2.25214385209188)); #484106=CARTESIAN_POINT('Ctrl Pts',(7.09293677272316,-13.2700205485207, 2.19626143095811)); #484107=CARTESIAN_POINT('Ctrl Pts',(7.11248129865801,-13.258989323332,2.14059975778293)); #484108=CARTESIAN_POINT('Ctrl Pts',(7.12706470943903,-13.2507582263547, 2.09906705203309)); #484109=CARTESIAN_POINT('Ctrl Pts',(7.14145250940422,-13.2425475720044, 2.05766021226227)); #484110=CARTESIAN_POINT('Ctrl Pts',(7.15554306163222,-13.2345051839212, 2.01595899273374)); #484111=CARTESIAN_POINT('Ctrl Pts',(7.16033651175408,-13.2317692523897, 2.00177269878324)); #484112=CARTESIAN_POINT('Ctrl Pts',(7.16509536750121,-13.2290528882569, 1.98755225216112)); #484113=CARTESIAN_POINT('Ctrl Pts',(7.16982202578613,-13.2263593770573, 1.97328339557016)); #484114=CARTESIAN_POINT('Ctrl Pts',(7.18844289882948,-13.2157481741601, 1.91707062302808)); #484115=CARTESIAN_POINT('Ctrl Pts',(7.20652846520705,-13.205530729784,1.86009003087765)); #484116=CARTESIAN_POINT('Ctrl Pts',(7.22449511217846,-13.1954801029133, 1.8023020993155)); #484117=CARTESIAN_POINT('Ctrl Pts',(7.24047930283255,-13.1865384714363, 1.75089054116194)); #484118=CARTESIAN_POINT('Ctrl Pts',(7.25636992349162,-13.1777280315283, 1.69884031414082)); #484119=CARTESIAN_POINT('Ctrl Pts',(7.27241732158262,-13.1689500501198, 1.64621160204706)); #484120=CARTESIAN_POINT('Ctrl Pts',(7.27440761706709,-13.1678613517115, 1.63968427048591)); #484121=CARTESIAN_POINT('Ctrl Pts',(7.27640038124881,-13.1667731268837, 1.6331480617473)); #484122=CARTESIAN_POINT('Ctrl Pts',(7.27839614150017,-13.1656851649109, 1.62660310926523)); #484123=CARTESIAN_POINT('Origin',(7.83149783418349,-13.6649237577417,1.71227306159528)); #484124=CARTESIAN_POINT('Ctrl Pts',(7.487649467349,-12.99961187564,1.774140243412)); #484125=CARTESIAN_POINT('Ctrl Pts',(7.437680895188,-13.02352609366,1.75391689457)); #484126=CARTESIAN_POINT('Ctrl Pts',(7.344122193185,-13.0838463548,1.713227510652)); #484127=CARTESIAN_POINT('Ctrl Pts',(7.267934526026,-13.16577802998,1.674131754475)); #484128=CARTESIAN_POINT('Ctrl Pts',(7.235323087189,-13.21095989336,1.655560071799)); #484129=CARTESIAN_POINT('Ctrl Pts',(7.500799058568,-12.99204020787,1.730413038127)); #484130=CARTESIAN_POINT('Ctrl Pts',(7.450875319836,-13.01598850077,1.710030391063)); #484131=CARTESIAN_POINT('Ctrl Pts',(7.357425299229,-13.07638296416,1.669020207796)); #484132=CARTESIAN_POINT('Ctrl Pts',(7.281383351927,-13.1583936118,1.629617640382)); #484133=CARTESIAN_POINT('Ctrl Pts',(7.248853007962,-13.20361393592,1.610901070247)); #484134=CARTESIAN_POINT('Ctrl Pts',(7.523244518834,-12.97908037807,1.658170076061)); #484135=CARTESIAN_POINT('Ctrl Pts',(7.473340355632,-13.00309555649,1.637243271866)); #484136=CARTESIAN_POINT('Ctrl Pts',(7.379993995196,-13.0636994454,1.595135935626)); #484137=CARTESIAN_POINT('Ctrl Pts',(7.304211836167,-13.14603443108,1.554690471293)); #484138=CARTESIAN_POINT('Ctrl Pts',(7.271854051747,-13.19143355769,1.535485284047)); #484139=CARTESIAN_POINT('Ctrl Pts',(7.563775747933,-12.95549154938,1.527529282053)); #484140=CARTESIAN_POINT('Ctrl Pts',(7.514169070766,-12.97957906688,1.506762141407)); #484141=CARTESIAN_POINT('Ctrl Pts',(7.421400371724,-13.04018679175,1.464979178415)); #484142=CARTESIAN_POINT('Ctrl Pts',(7.346085433731,-13.12227991116,1.424830082036)); #484143=CARTESIAN_POINT('Ctrl Pts',(7.313916562189,-13.16751019942,1.405756471429)); #484144=CARTESIAN_POINT('Ctrl Pts',(7.599012521143,-12.93480321893,1.410718915211)); #484145=CARTESIAN_POINT('Ctrl Pts',(7.549835957895,-12.95892287334,1.390874741997)); #484146=CARTESIAN_POINT('Ctrl Pts',(7.457819828447,-13.0192846416,1.350956461658)); #484147=CARTESIAN_POINT('Ctrl Pts',(7.38289329507,-13.10061148857,1.312561515428)); #484148=CARTESIAN_POINT('Ctrl Pts',(7.350797133633,-13.14536418106,1.294298606987)); #484149=CARTESIAN_POINT('Ctrl Pts',(7.631682167612,-12.91546100931,1.292091225877)); #484150=CARTESIAN_POINT('Ctrl Pts',(7.583109342223,-12.93956397705,1.274114139906)); #484151=CARTESIAN_POINT('Ctrl Pts',(7.492098147006,-12.99940258626,1.237962228575)); #484152=CARTESIAN_POINT('Ctrl Pts',(7.41754558541,-13.07939229779,1.203138297781)); #484153=CARTESIAN_POINT('Ctrl Pts',(7.385433449227,-13.1233307207,1.186542889386)); #484154=CARTESIAN_POINT('Ctrl Pts',(7.662378454182,-12.8972220789,1.172869034811)); #484155=CARTESIAN_POINT('Ctrl Pts',(7.61431210369,-12.92131773654,1.156465156901)); #484156=CARTESIAN_POINT('Ctrl Pts',(7.524154992725,-12.98074997542,1.123484805309)); #484157=CARTESIAN_POINT('Ctrl Pts',(7.449958466093,-13.05967970153,1.09167760486)); #484158=CARTESIAN_POINT('Ctrl Pts',(7.417863280778,-13.10296993113,1.076496757182)); #484159=CARTESIAN_POINT('Ctrl Pts',(7.691157819345,-12.88005172652,1.053575759285)); #484160=CARTESIAN_POINT('Ctrl Pts',(7.643363336384,-12.90418601706,1.037826174376)); #484161=CARTESIAN_POINT('Ctrl Pts',(7.553694614359,-12.96352099548,1.006164262931)); #484162=CARTESIAN_POINT('Ctrl Pts',(7.479793937658,-13.04205595609,0.9756140817195)); #484163=CARTESIAN_POINT('Ctrl Pts',(7.447781163053,-13.08509261887,0.9610244408917)); #484164=CARTESIAN_POINT('Ctrl Pts',(7.723597435437,-12.8606227431,0.9199876086559)); #484165=CARTESIAN_POINT('Ctrl Pts',(7.675891309741,-12.88485453962,0.9039604768216)); #484166=CARTESIAN_POINT('Ctrl Pts',(7.586436431693,-12.94438683563,0.8717403087149)); #484167=CARTESIAN_POINT('Ctrl Pts',(7.512819978498,-13.02311008318,0.8406529146978)); #484168=CARTESIAN_POINT('Ctrl Pts',(7.480964633154,-13.06623470553,0.8258075888009)); #484169=CARTESIAN_POINT('Ctrl Pts',(7.742381960408,-12.84933816058,0.8464996863633)); #484170=CARTESIAN_POINT('Ctrl Pts',(7.694604086784,-12.87365388079,0.8296954489109)); #484171=CARTESIAN_POINT('Ctrl Pts',(7.605089041688,-12.93347715158,0.7959102152015)); #484172=CARTESIAN_POINT('Ctrl Pts',(7.531630008896,-13.01268571486,0.7633265222143)); #484173=CARTESIAN_POINT('Ctrl Pts',(7.499916706101,-13.05608429788,0.7477749244075)); #484174=CARTESIAN_POINT('Ctrl Pts',(7.75381478537,-12.84242971891,0.8019591079281)); #484175=CARTESIAN_POINT('Ctrl Pts',(7.706118965899,-12.86676444619,0.7852285307459)); #484176=CARTESIAN_POINT('Ctrl Pts',(7.616761740052,-12.92658726416,0.7515920247346)); #484177=CARTESIAN_POINT('Ctrl Pts',(7.543428398494,-13.0057281848,0.7191486779307)); #484178=CARTESIAN_POINT('Ctrl Pts',(7.51176547782,-13.04907970229,0.703662204348)); #484179=CARTESIAN_POINT('',(7.528367541147,-13.01965371527,0.7623927947095)); #484180=CARTESIAN_POINT('Ctrl Pts',(7.27839614632,-13.16568516926,1.626603110012)); #484181=CARTESIAN_POINT('Ctrl Pts',(7.287439879936,-13.16075526912,1.596945078136)); #484182=CARTESIAN_POINT('Ctrl Pts',(7.305602125459,-13.15094540758,1.537521104667)); #484183=CARTESIAN_POINT('Ctrl Pts',(7.342837781748,-13.1297544915,1.422353492007)); #484184=CARTESIAN_POINT('Ctrl Pts',(7.379597409979,-13.10802951575,1.310169240292)); #484185=CARTESIAN_POINT('Ctrl Pts',(7.414203885165,-13.08665104384,1.200959410511)); #484186=CARTESIAN_POINT('Ctrl Pts',(7.446578339357,-13.06681871217,1.089677737288)); #484187=CARTESIAN_POINT('Ctrl Pts',(7.476417153595,-13.04913602002,0.9736932971836)); #484188=CARTESIAN_POINT('Ctrl Pts',(7.505734341119,-13.03235075565,0.8538823418706)); #484189=CARTESIAN_POINT('Ctrl Pts',(7.520725077485,-13.02400993256,0.792515713028)); #484190=CARTESIAN_POINT('Ctrl Pts',(7.528367541147,-13.01965371527,0.7623927947095)); #484191=CARTESIAN_POINT('Origin',(8.08779176183043,-13.5166464564126,0.832445762616085)); #484192=CARTESIAN_POINT('Ctrl Pts',(7.732463849841,-12.8554126511,0.8905167425339)); #484193=CARTESIAN_POINT('Ctrl Pts',(7.635892912995,-12.90419838275,0.8574054701426)); #484194=CARTESIAN_POINT('Ctrl Pts',(7.55133912738,-12.97618277948,0.8238105023737)); #484195=CARTESIAN_POINT('Ctrl Pts',(7.487225476533,-13.06420163494,0.7930770504957)); #484196=CARTESIAN_POINT('Ctrl Pts',(7.746199900477,-12.84716293202,0.8361921345737)); #484197=CARTESIAN_POINT('Ctrl Pts',(7.649595422571,-12.89605284685,0.8022804032088)); #484198=CARTESIAN_POINT('Ctrl Pts',(7.565100176262,-12.96825997194,0.7678705158371)); #484199=CARTESIAN_POINT('Ctrl Pts',(7.501176834311,-13.05655901827,0.7364080303221)); #484200=CARTESIAN_POINT('Ctrl Pts',(7.770621312728,-12.83241753918,0.7417738900969)); #484201=CARTESIAN_POINT('Ctrl Pts',(7.674212266947,-12.88143058587,0.707463328899)); #484202=CARTESIAN_POINT('Ctrl Pts',(7.589958545877,-12.95373634007,0.6726489760291)); #484203=CARTESIAN_POINT('Ctrl Pts',(7.526298241858,-13.04210006893,0.6408153337424)); #484204=CARTESIAN_POINT('Ctrl Pts',(7.799614159043,-12.81477158179,0.6264637609662)); #484205=CARTESIAN_POINT('Ctrl Pts',(7.703823894947,-12.86383715779,0.5934059950332)); #484206=CARTESIAN_POINT('Ctrl Pts',(7.620056649558,-12.93580070526,0.5598700334358)); #484207=CARTESIAN_POINT('Ctrl Pts',(7.556576450362,-13.02356917438,0.5291624876853)); #484208=CARTESIAN_POINT('Ctrl Pts',(7.818996247811,-12.80291404505,0.5452437558469)); #484209=CARTESIAN_POINT('Ctrl Pts',(7.72378999827,-12.85197240859,0.513862582951)); #484210=CARTESIAN_POINT('Ctrl Pts',(7.64043395548,-12.92350344961,0.4820350614419)); #484211=CARTESIAN_POINT('Ctrl Pts',(7.577012875438,-13.01057560954,0.4528467057288)); #484212=CARTESIAN_POINT('Ctrl Pts',(7.837616410037,-12.79148750106,0.4635963507709)); #484213=CARTESIAN_POINT('Ctrl Pts',(7.743061771216,-12.84051398897,0.4343053222694)); #484214=CARTESIAN_POINT('Ctrl Pts',(7.660148869004,-12.91152430719,0.4046061446679)); #484215=CARTESIAN_POINT('Ctrl Pts',(7.596758897375,-12.99777476627,0.377319295826)); #484216=CARTESIAN_POINT('Ctrl Pts',(7.859393023388,-12.77808454417,0.3612593012772)); #484217=CARTESIAN_POINT('Ctrl Pts',(7.765480734715,-12.82710021291,0.3340213908168)); #484218=CARTESIAN_POINT('Ctrl Pts',(7.683022869122,-12.89764274801,0.3064109637353)); #484219=CARTESIAN_POINT('Ctrl Pts',(7.619709249239,-12.983139255,0.2810014852033)); #484220=CARTESIAN_POINT('Ctrl Pts',(7.884214221896,-12.76276312282,0.2385621211886)); #484221=CARTESIAN_POINT('Ctrl Pts',(7.790744138394,-12.81184612171,0.212455529856)); #484222=CARTESIAN_POINT('Ctrl Pts',(7.708640553302,-12.88218216527,0.1859958374997)); #484223=CARTESIAN_POINT('Ctrl Pts',(7.645475231274,-12.96729039523,0.161621945716)); #484224=CARTESIAN_POINT('Ctrl Pts',(7.908967666066,-12.74743900038,0.1152602970204)); #484225=CARTESIAN_POINT('Ctrl Pts',(7.815632271046,-12.79667456402,0.08885163393991)); #484226=CARTESIAN_POINT('Ctrl Pts',(7.733711162087,-12.86715228672,0.06208552421145)); #484227=CARTESIAN_POINT('Ctrl Pts',(7.67076334674,-12.95237478916,0.03743091653781)); #484228=CARTESIAN_POINT('Ctrl Pts',(7.926227516947,-12.73671834801,0.03324351276743)); #484229=CARTESIAN_POINT('Ctrl Pts',(7.832857087811,-12.78609234299,0.005884719074897)); #484230=CARTESIAN_POINT('Ctrl Pts',(7.750999339237,-12.85682389432,-0.02184657397664)); #484231=CARTESIAN_POINT('Ctrl Pts',(7.688251883138,-12.94235379206,-0.04737720278564)); #484232=CARTESIAN_POINT('Ctrl Pts',(7.935046708982,-12.73122304634,-0.008390159374457)); #484233=CARTESIAN_POINT('Ctrl Pts',(7.841719949543,-12.78064921736,-0.03597620328952)); #484234=CARTESIAN_POINT('Ctrl Pts',(7.759930121589,-12.85144195349,-0.06393811679476)); #484235=CARTESIAN_POINT('Ctrl Pts',(7.697274370799,-12.93703134169,-0.08967942495324)); #484236=CARTESIAN_POINT('Ctrl Pts',(7.70202483977787,-12.9140557477424, 0.0411699433655218)); #484237=CARTESIAN_POINT('Ctrl Pts',(7.70143776684565,-12.9144127213424, 0.0439316734094173)); #484238=CARTESIAN_POINT('Ctrl Pts',(7.70085145209102,-12.9147692116148, 0.0466930856140657)); #484239=CARTESIAN_POINT('Ctrl Pts',(7.70026588838539,-12.9151252628823, 0.0494539664598301)); #484240=CARTESIAN_POINT('Ctrl Pts',(7.69219010212275,-12.9200357344628, 0.0875305811691459)); #484241=CARTESIAN_POINT('Ctrl Pts',(7.68425810016984,-12.9248620373257, 0.125507170970783)); #484242=CARTESIAN_POINT('Ctrl Pts',(7.6762664528684,-12.9297381746556,0.163321781441385)); #484243=CARTESIAN_POINT('Ctrl Pts',(7.675655847821,-12.9301107379024,0.166211022063237)); #484244=CARTESIAN_POINT('Ctrl Pts',(7.67504491035695,-12.9304835669357, 0.169099349864444)); #484245=CARTESIAN_POINT('Ctrl Pts',(7.674433531093,-12.9308567475376,0.171986676024096)); #484246=CARTESIAN_POINT('Ctrl Pts',(7.6707539213825,-12.9331027494019,0.189364160019535)); #484247=CARTESIAN_POINT('Ctrl Pts',(7.66705834971475,-12.9353614685727, 0.206705340991152)); #484248=CARTESIAN_POINT('Ctrl Pts',(7.66332845946159,-12.9376463916316, 0.224004448858412)); #484249=CARTESIAN_POINT('Ctrl Pts',(7.66115364756421,-12.9389786769043, 0.234091154646042)); #484250=CARTESIAN_POINT('Ctrl Pts',(7.65896713778418,-12.9403199095807, 0.244163461764111)); #484251=CARTESIAN_POINT('Ctrl Pts',(7.65676522298681,-12.941672806559,0.254220076538938)); #484252=CARTESIAN_POINT('Ctrl Pts',(7.65566426558813,-12.9423492550481, 0.259248383926352)); #484253=CARTESIAN_POINT('Ctrl Pts',(7.65455945665103,-12.9430286193658, 0.264272768235415)); #484254=CARTESIAN_POINT('Ctrl Pts',(7.65345033112137,-12.9437112376748, 0.26929306783574)); #484255=CARTESIAN_POINT('Ctrl Pts',(7.6523412055917,-12.9443938559837,0.274313367436065)); #484256=CARTESIAN_POINT('Ctrl Pts',(7.65122776346724,-12.9450797282822, 0.279329582325978)); #484257=CARTESIAN_POINT('Ctrl Pts',(7.65010953862768,-12.9457691917978, 0.284341550960368)); #484258=CARTESIAN_POINT('Ctrl Pts',(7.64955767157065,-12.9461094562847, 0.286815061011573)); #484259=CARTESIAN_POINT('Ctrl Pts',(7.64900498844486,-12.9464500825682, 0.289288549801357)); #484260=CARTESIAN_POINT('Ctrl Pts',(7.64845142837181,-12.9467911278539, 0.291761889209163)); #484261=CARTESIAN_POINT('Ctrl Pts',(7.63969518747855,-12.9521857992236, 0.330885295538467)); #484262=CARTESIAN_POINT('Ctrl Pts',(7.63071831349543,-12.9576865014942, 0.369967947686391)); #484263=CARTESIAN_POINT('Ctrl Pts',(7.62129604869486,-12.9634905816449, 0.408641327805119)); #484264=CARTESIAN_POINT('Ctrl Pts',(7.61660141251758,-12.9663824601081, 0.427910309652444)); #484265=CARTESIAN_POINT('Ctrl Pts',(7.61179650023171,-12.9693492934133, 0.447078674730977)); #484266=CARTESIAN_POINT('Ctrl Pts',(7.60690764887259,-12.9723512526274, 0.466278641866894)); #484267=CARTESIAN_POINT('Ctrl Pts',(7.60211841284898,-12.9752920438589, 0.485087390078824)); #484268=CARTESIAN_POINT('Ctrl Pts',(7.59724870888489,-12.97826653988,0.503926323918023)); #484269=CARTESIAN_POINT('Ctrl Pts',(7.59232000842443,-12.9812645012785, 0.522774541726184)); #484270=CARTESIAN_POINT('Ctrl Pts',(7.58973299847247,-12.9828380917281, 0.532667722989208)); #484271=CARTESIAN_POINT('Ctrl Pts',(7.58712439700667,-12.9844243855003, 0.542561887567858)); #484272=CARTESIAN_POINT('Ctrl Pts',(7.58449870751875,-12.9860198792421, 0.552460937801575)); #484273=CARTESIAN_POINT('Ctrl Pts',(7.58334996836778,-12.9867179077541, 0.556791772278826)); #484274=CARTESIAN_POINT('Ctrl Pts',(7.58219795799674,-12.9874176967685, 0.561123541804128)); #484275=CARTESIAN_POINT('Ctrl Pts',(7.58104305114688,-12.9881189507328, 0.565456572688666)); #484276=CARTESIAN_POINT('Ctrl Pts',(7.57996032597514,-12.9887763763243, 0.569518789142921)); #484277=CARTESIAN_POINT('Ctrl Pts',(7.57887505505209,-12.9894350894527, 0.573582114210255)); #484278=CARTESIAN_POINT('Ctrl Pts',(7.5777875460468,-12.9900948455802,0.5776468165452)); #484279=CARTESIAN_POINT('Ctrl Pts',(7.5720751490272,-12.9935603699281,0.598997622277308)); #484280=CARTESIAN_POINT('Ctrl Pts',(7.56630127164511,-12.9970534109448, 0.620393941439654)); #484281=CARTESIAN_POINT('Ctrl Pts',(7.56050969030399,-13.0005404003283, 0.641861930440745)); #484282=CARTESIAN_POINT('Ctrl Pts',(7.54976251346263,-13.0070110495933, 0.681699111451173)); #484283=CARTESIAN_POINT('Ctrl Pts',(7.53895480208144,-13.0134608513215, 0.721782618492609)); #484284=CARTESIAN_POINT('Ctrl Pts',(7.52836754119412,-13.0196537153118, 0.762392794715405)); #484285=CARTESIAN_POINT('Ctrl Pts',(7.912029083929,-12.72831049798,-0.4429301403483)); #484286=CARTESIAN_POINT('Ctrl Pts',(7.399821576407,-13.30099489405,-0.2682424025048)); #484287=CARTESIAN_POINT('Ctrl Pts',(5.869903329362,-14.95532980983,0.2454323329615)); #484288=CARTESIAN_POINT('Ctrl Pts',(1.723196471352,-18.69971015931,1.540829351042)); #484289=CARTESIAN_POINT('Ctrl Pts',(-2.771200989077,-21.41580303194,2.770804970885)); #484290=CARTESIAN_POINT('Ctrl Pts',(-6.219244113552,-22.82647465949,3.621419812295)); #484291=CARTESIAN_POINT('Ctrl Pts',(-6.595824027101,-22.98116898937,3.714406655203)); #484292=CARTESIAN_POINT('Ctrl Pts',(7.909857968771,-12.72966075908,-0.4322388182635)); #484293=CARTESIAN_POINT('Ctrl Pts',(7.397592814095,-13.30226430753,-0.2575036365215)); #484294=CARTESIAN_POINT('Ctrl Pts',(5.867511952037,-14.95635238922,0.256317209871)); #484295=CARTESIAN_POINT('Ctrl Pts',(1.720528525292,-18.70011148873,1.552057382696)); #484296=CARTESIAN_POINT('Ctrl Pts',(-2.773899551096,-21.41555140847,2.782376794364)); #484297=CARTESIAN_POINT('Ctrl Pts',(-6.221828222659,-22.82578115182,3.633223354122)); #484298=CARTESIAN_POINT('Ctrl Pts',(-6.598395765344,-22.98042716416,3.726235533245)); #484299=CARTESIAN_POINT('Ctrl Pts',(7.881047763329,-12.7475267735,-0.2910232207675)); #484300=CARTESIAN_POINT('Ctrl Pts',(7.36802137863,-13.31905927419,-0.1156610874213)); #484301=CARTESIAN_POINT('Ctrl Pts',(5.835793228165,-14.9698777176,0.4000905322081)); #484302=CARTESIAN_POINT('Ctrl Pts',(1.685158623018,-18.70540786767,1.700365360234)); #484303=CARTESIAN_POINT('Ctrl Pts',(-2.809671434311,-21.41220137409,2.935227772029)); #484304=CARTESIAN_POINT('Ctrl Pts',(-6.256086239809,-22.81657889225,3.789136392975)); #484305=CARTESIAN_POINT('Ctrl Pts',(-6.632490151204,-22.97058499762,3.882483379558)); #484306=CARTESIAN_POINT('Ctrl Pts',(7.82155031462,-12.78290837853,-0.01534690346728)); #484307=CARTESIAN_POINT('Ctrl Pts',(7.307019497247,-13.352276394,0.161240914734)); #484308=CARTESIAN_POINT('Ctrl Pts',(5.770546021844,-14.99649535231,0.6807674140928)); #484309=CARTESIAN_POINT('Ctrl Pts',(1.61266011162,-18.71542330392,1.989907386264)); #484310=CARTESIAN_POINT('Ctrl Pts',(-2.88305412367,-21.40482911985,3.233652025863)); #484311=CARTESIAN_POINT('Ctrl Pts',(-6.326575130026,-22.79741985612,4.093546964722)); #484312=CARTESIAN_POINT('Ctrl Pts',(-6.702666338597,-22.95013716567,4.187548499052)); #484313=CARTESIAN_POINT('Ctrl Pts',(7.743797642466,-12.83081590449,0.3827500573287)); #484314=CARTESIAN_POINT('Ctrl Pts',(7.226318802745,-13.39703937586,0.5608765587807)); #484315=CARTESIAN_POINT('Ctrl Pts',(5.681438990057,-15.03167493672,1.085174433673)); #484316=CARTESIAN_POINT('Ctrl Pts',(1.50740961463,-18.72649791904,2.405434173054)); #484317=CARTESIAN_POINT('Ctrl Pts',(-2.994937934086,-21.39068813772,3.66042645705)); #484318=CARTESIAN_POINT('Ctrl Pts',(-6.438144890067,-22.76617614462,4.527838533775)); #484319=CARTESIAN_POINT('Ctrl Pts',(-6.814206857796,-22.9170234103,4.62266205982)); #484320=CARTESIAN_POINT('Ctrl Pts',(7.63593825947,-12.89517063346,0.7753282396551)); #484321=CARTESIAN_POINT('Ctrl Pts',(7.116329244786,-13.45741201568,0.9552821341616)); #484322=CARTESIAN_POINT('Ctrl Pts',(5.565443895782,-15.08000447102,1.485200526247)); #484323=CARTESIAN_POINT('Ctrl Pts',(1.38127016075,-18.74461819963,2.818679583084)); #484324=CARTESIAN_POINT('Ctrl Pts',(-3.122017668095,-21.37785577931,4.086892072186)); #484325=CARTESIAN_POINT('Ctrl Pts',(-6.56078655792,-22.73214184528,4.963228756578)); #484326=CARTESIAN_POINT('Ctrl Pts',(-6.936368651379,-22.88067108721,5.059028090254)); #484327=CARTESIAN_POINT('Ctrl Pts',(7.543024841336,-12.95063088337,1.171137623114)); #484328=CARTESIAN_POINT('Ctrl Pts',(7.020396245985,-13.50910487674,1.352599218911)); #484329=CARTESIAN_POINT('Ctrl Pts',(5.460895805643,-15.12028559972,1.887199322846)); #484330=CARTESIAN_POINT('Ctrl Pts',(1.26008262234,-18.75625773577,3.231572426174)); #484331=CARTESIAN_POINT('Ctrl Pts',(-3.250263373343,-21.36002219666,4.510825242006)); #484332=CARTESIAN_POINT('Ctrl Pts',(-6.688998969524,-22.69416126005,5.394528121244)); #484333=CARTESIAN_POINT('Ctrl Pts',(-7.064582527349,-22.84048776896,5.491132953592)); #484334=CARTESIAN_POINT('Ctrl Pts',(7.414218892347,-13.02428813836,1.564741186179)); #484335=CARTESIAN_POINT('Ctrl Pts',(6.889389970054,-13.57799687166,1.748076116122)); #484336=CARTESIAN_POINT('Ctrl Pts',(5.32368314232,-15.17483025715,2.288436753038)); #484337=CARTESIAN_POINT('Ctrl Pts',(1.11232348282,-18.77487081466,3.646361875504)); #484338=CARTESIAN_POINT('Ctrl Pts',(-3.399166154138,-21.34227363624,4.939163413854)); #484339=CARTESIAN_POINT('Ctrl Pts',(-6.833505956878,-22.65135698367,5.832015183795)); #484340=CARTESIAN_POINT('Ctrl Pts',(-7.208614363687,-22.79494429949,5.929620344162)); #484341=CARTESIAN_POINT('Ctrl Pts',(7.295868655079,-13.0914363884,1.963880130969)); #484342=CARTESIAN_POINT('Ctrl Pts',(6.768644236005,-13.64072633164,2.149015736191)); #484343=CARTESIAN_POINT('Ctrl Pts',(5.196159439333,-15.22422728018,2.69492468599)); #484344=CARTESIAN_POINT('Ctrl Pts',(0.97285138947,-18.79085253451,4.065873018474)); #484345=CARTESIAN_POINT('Ctrl Pts',(-3.541065202614,-21.32423939301,5.371731277163)); #484346=CARTESIAN_POINT('Ctrl Pts',(-6.971911097888,-22.60994990945,6.273377819868)); #484347=CARTESIAN_POINT('Ctrl Pts',(-7.346642930804,-22.75098193785,6.371944611207)); #484348=CARTESIAN_POINT('Ctrl Pts',(7.118100354451,-13.18794680434,2.48592114131)); #484349=CARTESIAN_POINT('Ctrl Pts',(6.587104507611,-13.73054078832,2.673212447649)); #484350=CARTESIAN_POINT('Ctrl Pts',(5.003887443102,-15.29390876637,3.225798414362)); #484351=CARTESIAN_POINT('Ctrl Pts',(0.76041443976,-18.81013749444,4.612327769471)); #484352=CARTESIAN_POINT('Ctrl Pts',(-3.760752944599,-21.29271892829,5.933921011185)); #484353=CARTESIAN_POINT('Ctrl Pts',(-7.189846928738,-22.54335652947,6.846098591647)); #484354=CARTESIAN_POINT('Ctrl Pts',(-7.564394274075,-22.68055431546,6.945816926769)); #484355=CARTESIAN_POINT('Ctrl Pts',(6.929072374426,-13.28972411059,2.996308351279)); #484356=CARTESIAN_POINT('Ctrl Pts',(6.394540371564,-13.82514158078,3.185799766078)); #484357=CARTESIAN_POINT('Ctrl Pts',(4.801277431543,-15.36697266867,3.745189090863)); #484358=CARTESIAN_POINT('Ctrl Pts',(0.53927115903,-18.82932755819,5.147623618685)); #484359=CARTESIAN_POINT('Ctrl Pts',(-3.987747699667,-21.25788966043,6.485242492757)); #484360=CARTESIAN_POINT('Ctrl Pts',(-7.414163990623,-22.47113860481,7.408167320298)); #484361=CARTESIAN_POINT('Ctrl Pts',(-7.788425600575,-22.60424909469,7.509060819433)); #484362=CARTESIAN_POINT('Ctrl Pts',(6.719315060063,-13.39512892811,3.497351452777)); #484363=CARTESIAN_POINT('Ctrl Pts',(6.181143034063,-13.92272137452,3.688923740972)); #484364=CARTESIAN_POINT('Ctrl Pts',(4.577529373039,-15.44111398329,4.254766489489)); #484365=CARTESIAN_POINT('Ctrl Pts',(0.29622921878,-18.84487857273,5.672238876662)); #484366=CARTESIAN_POINT('Ctrl Pts',(-4.237360895993,-21.21501037948,7.025070986403)); #484367=CARTESIAN_POINT('Ctrl Pts',(-7.661619708252,-22.38771119577,7.958161835384)); #484368=CARTESIAN_POINT('Ctrl Pts',(-8.035652428065,-22.51638911698,8.060166980512)); #484369=CARTESIAN_POINT('Ctrl Pts',(6.55565711029,-13.47577663468,3.858611465272)); #484370=CARTESIAN_POINT('Ctrl Pts',(6.015672561934,-13.99762309962,4.052143884296)); #484371=CARTESIAN_POINT('Ctrl Pts',(4.406980686847,-15.49880596392,4.623991815702)); #484372=CARTESIAN_POINT('Ctrl Pts',(0.11775221746,-18.85955172659,6.05565044266)); #484373=CARTESIAN_POINT('Ctrl Pts',(-4.414855888213,-21.1867917006,7.422592517791)); #484374=CARTESIAN_POINT('Ctrl Pts',(-7.833390621508,-22.32972421704,8.365254701882)); #484375=CARTESIAN_POINT('Ctrl Pts',(-8.206802838148,-22.4551479834,8.468306321363)); #484376=CARTESIAN_POINT('Ctrl Pts',(6.397234393251,-13.55252208803,4.224692841833)); #484377=CARTESIAN_POINT('Ctrl Pts',(5.854035312891,-14.0682708178,4.419418620537)); #484378=CARTESIAN_POINT('Ctrl Pts',(4.236154214025,-15.55121117331,4.995023795535)); #484379=CARTESIAN_POINT('Ctrl Pts',(-0.07118467683999,-18.86637533441,6.435290502534)); #484380=CARTESIAN_POINT('Ctrl Pts',(-4.612311787253,-21.14831685263,7.81112539988)); #484381=CARTESIAN_POINT('Ctrl Pts',(-8.031909708526,-22.25976079332,8.759621357134)); #484382=CARTESIAN_POINT('Ctrl Pts',(-8.405443164711,-22.38174240622,8.863311004065)); #484383=CARTESIAN_POINT('Ctrl Pts',(6.207650486497,-13.63926316334,4.583735162152)); #484384=CARTESIAN_POINT('Ctrl Pts',(5.662342522724,-14.14825394913,4.780282268887)); #484385=CARTESIAN_POINT('Ctrl Pts',(4.038520050372,-15.61100765953,5.361492031356)); #484386=CARTESIAN_POINT('Ctrl Pts',(-0.27877025096,-18.87576060565,6.81493340784)); #484387=CARTESIAN_POINT('Ctrl Pts',(-4.820596260902,-21.10782617549,8.203952776299)); #484388=CARTESIAN_POINT('Ctrl Pts',(-8.23554691722,-22.18452456787,9.161343898253)); #484389=CARTESIAN_POINT('Ctrl Pts',(-8.608577673901,-22.30270807623,9.26600613884)); #484390=CARTESIAN_POINT('Ctrl Pts',(6.027068335264,-13.71956130699,4.948423511361)); #484391=CARTESIAN_POINT('Ctrl Pts',(5.478699081658,-14.22187182033,5.146203106132)); #484392=CARTESIAN_POINT('Ctrl Pts',(3.84614193494,-15.66466684568,5.731290403435)); #484393=CARTESIAN_POINT('Ctrl Pts',(-0.48803057156,-18.87957417258,7.193622929361)); #484394=CARTESIAN_POINT('Ctrl Pts',(-5.037044973717,-21.06229428732,8.591818025469)); #484395=CARTESIAN_POINT('Ctrl Pts',(-8.451997652376,-22.10462656159,9.555234011658)); #484396=CARTESIAN_POINT('Ctrl Pts',(-8.825033790925,-22.21905343083,9.660555173294)); #484397=CARTESIAN_POINT('Ctrl Pts',(5.826104808956,-13.8067302501,5.312559801508)); #484398=CARTESIAN_POINT('Ctrl Pts',(5.275615634956,-14.30194841932,5.512171019518)); #484399=CARTESIAN_POINT('Ctrl Pts',(3.637090563644,-15.72356730859,6.102899382747)); #484400=CARTESIAN_POINT('Ctrl Pts',(-0.70698486581,-18.88560093682,7.578479686606)); #484401=CARTESIAN_POINT('Ctrl Pts',(-5.256447001029,-21.01607622186,8.989948120942)); #484402=CARTESIAN_POINT('Ctrl Pts',(-8.666449568376,-22.02198981556,9.962309864874)); #484403=CARTESIAN_POINT('Ctrl Pts',(-9.03895003514,-22.13243571211,10.06860916131)); #484404=CARTESIAN_POINT('Ctrl Pts',(5.62627705539,-13.8890682686,5.667778847001)); #484405=CARTESIAN_POINT('Ctrl Pts',(5.07321669914,-14.37710220923,5.868817244573)); #484406=CARTESIAN_POINT('Ctrl Pts',(3.427394832798,-15.77728366255,6.463994674873)); #484407=CARTESIAN_POINT('Ctrl Pts',(-0.92998628413,-18.88580301295,7.949882268891)); #484408=CARTESIAN_POINT('Ctrl Pts',(-5.483272147126,-20.96349423652,9.371853379862)); #484409=CARTESIAN_POINT('Ctrl Pts',(-8.89085669903,-21.93258143616,10.35118904486)); #484410=CARTESIAN_POINT('Ctrl Pts',(-9.263098091645,-22.03900182742,10.45825097829)); #484411=CARTESIAN_POINT('Ctrl Pts',(5.390745015343,-13.98204516972,6.01253173341)); #484412=CARTESIAN_POINT('Ctrl Pts',(4.835124512164,-14.46171341479,6.214950446831)); #484413=CARTESIAN_POINT('Ctrl Pts',(3.182044877671,-15.83698241394,6.814446701461)); #484414=CARTESIAN_POINT('Ctrl Pts',(-1.18841416879,-18.8833586228,8.310298628608)); #484415=CARTESIAN_POINT('Ctrl Pts',(-5.745074948215,-20.90011726124,9.742474916197)); #484416=CARTESIAN_POINT('Ctrl Pts',(-9.149779246254,-21.82656965804,10.7285565343)); #484417=CARTESIAN_POINT('Ctrl Pts',(-9.521711191635,-21.92832976062,10.83635620594)); #484418=CARTESIAN_POINT('Ctrl Pts',(5.125747628102,-14.08227616731,6.320255542619)); #484419=CARTESIAN_POINT('Ctrl Pts',(4.568539592571,-14.55299440711,6.524808134737)); #484420=CARTESIAN_POINT('Ctrl Pts',(2.911049015105,-15.90164579534,7.130827158204)); #484421=CARTESIAN_POINT('Ctrl Pts',(-1.46553724926,-18.88165717249,8.642126986762)); #484422=CARTESIAN_POINT('Ctrl Pts',(-6.019267910866,-20.83359523653,10.08961996667)); #484423=CARTESIAN_POINT('Ctrl Pts',(-9.416696134017,-21.71460633766,11.08612037391)); #484424=CARTESIAN_POINT('Ctrl Pts',(-9.787838128362,-21.81139947624,11.19505914702)); #484425=CARTESIAN_POINT('Ctrl Pts',(4.832608201631,-14.1841024136,6.585731450041)); #484426=CARTESIAN_POINT('Ctrl Pts',(4.273195209171,-14.64470672284,6.791565776818)); #484427=CARTESIAN_POINT('Ctrl Pts',(2.609476870719,-15.96333610043,7.401601886688)); #484428=CARTESIAN_POINT('Ctrl Pts',(-1.77786208896,-18.86854667356,8.922152497434)); #484429=CARTESIAN_POINT('Ctrl Pts',(-6.3332050907,-20.74783729843,10.3791418612)); #484430=CARTESIAN_POINT('Ctrl Pts',(-9.726737931346,-21.57777841169,11.38190686713)); #484431=CARTESIAN_POINT('Ctrl Pts',(-10.09745929538,-21.66898949699,11.49153075048)); #484432=CARTESIAN_POINT('Ctrl Pts',(4.613647060365,-14.25688393711,6.749230304628)); #484433=CARTESIAN_POINT('Ctrl Pts',(4.053088447965,-14.71010768826,6.956266006678)); #484434=CARTESIAN_POINT('Ctrl Pts',(2.38617706759,-16.00684008238,7.570005666593)); #484435=CARTESIAN_POINT('Ctrl Pts',(-2.00580371329,-18.8575039703,9.099244589915)); #484436=CARTESIAN_POINT('Ctrl Pts',(-6.559590192335,-20.68390027162,10.56495059455)); #484437=CARTESIAN_POINT('Ctrl Pts',(-9.94848220631,-21.47662916742,11.57358341938)); #484438=CARTESIAN_POINT('Ctrl Pts',(-10.31869994803,-21.56377291282,11.68384890062)); #484439=CARTESIAN_POINT('Ctrl Pts',(4.384225732228,-14.32929021556,6.900477414571)); #484440=CARTESIAN_POINT('Ctrl Pts',(3.822601204885,-14.77488307259,7.108661781812)); #484441=CARTESIAN_POINT('Ctrl Pts',(2.152728062988,-16.04898803842,7.725947730278)); #484442=CARTESIAN_POINT('Ctrl Pts',(-2.24336952762,-18.84329686798,9.263492282897)); #484443=CARTESIAN_POINT('Ctrl Pts',(-6.795195784451,-20.61513412637,10.73754812239)); #484444=CARTESIAN_POINT('Ctrl Pts',(-10.17921023983,-21.36944888999,11.75179165737)); #484445=CARTESIAN_POINT('Ctrl Pts',(-10.54889843622,-21.45239395834,11.86267063391)); #484446=CARTESIAN_POINT('Ctrl Pts',(4.241500885634,-14.37199803664,6.979082586538)); #484447=CARTESIAN_POINT('Ctrl Pts',(3.679261882368,-14.81289284405,7.188072333868)); #484448=CARTESIAN_POINT('Ctrl Pts',(2.007682773223,-16.07307453291,7.80782414761)); #484449=CARTESIAN_POINT('Ctrl Pts',(-2.39075660168,-18.83271346801,9.351197993395)); #484450=CARTESIAN_POINT('Ctrl Pts',(-6.941373111148,-20.57104061339,10.83104671682)); #484451=CARTESIAN_POINT('Ctrl Pts',(-10.32248862572,-21.3017424384,11.84922282862)); #484452=CARTESIAN_POINT('Ctrl Pts',(-10.69186205868,-21.38210662563,11.96053176732)); #484453=CARTESIAN_POINT('Ctrl Pts',(3.889551539424,-14.47026320061,7.060360851564)); #484454=CARTESIAN_POINT('Ctrl Pts',(3.325868157382,-14.89956845838,7.271100192561)); #484455=CARTESIAN_POINT('Ctrl Pts',(1.650276965274,-16.12542298517,7.896225094229)); #484456=CARTESIAN_POINT('Ctrl Pts',(-2.753712562088,-18.79960324903,9.452257770437)); #484457=CARTESIAN_POINT('Ctrl Pts',(-7.301600962974,-20.45547539825,10.94473952836)); #484458=CARTESIAN_POINT('Ctrl Pts',(-10.67602851672,-21.12802491648,11.97145976174)); #484459=CARTESIAN_POINT('Ctrl Pts',(-11.04467582415,-21.20203314366,12.08370289029)); #484460=CARTESIAN_POINT('Ctrl Pts',(3.644117205491,-14.53215609334,7.011095744823)); #484461=CARTESIAN_POINT('Ctrl Pts',(3.079438202252,-14.95331382444,7.222197496026)); #484462=CARTESIAN_POINT('Ctrl Pts',(1.401070873934,-16.15505671285,7.848540601847)); #484463=CARTESIAN_POINT('Ctrl Pts',(-3.006978537668,-18.76922904355,9.407165543332)); #484464=CARTESIAN_POINT('Ctrl Pts',(-7.553579657981,-20.36735183388,10.90257860694)); #484465=CARTESIAN_POINT('Ctrl Pts',(-10.92404554904,-20.99912100586,11.93107551715)); #484466=CARTESIAN_POINT('Ctrl Pts',(-11.2922629668,-21.06867205878,12.04351310677)); #484467=CARTESIAN_POINT('Ctrl Pts',(3.413546280701,-14.59111781157,6.977864136274)); #484468=CARTESIAN_POINT('Ctrl Pts',(2.84793051859,-15.00462949192,7.189411997061)); #484469=CARTESIAN_POINT('Ctrl Pts',(1.166952500661,-16.18374228656,7.817211018285)); #484470=CARTESIAN_POINT('Ctrl Pts',(-3.244887594621,-18.74159158073,9.379039305063)); #484471=CARTESIAN_POINT('Ctrl Pts',(-7.790203614788,-20.28549293666,10.87793024433)); #484472=CARTESIAN_POINT('Ctrl Pts',(-11.15686126074,-20.8789794186,11.90861131768)); #484473=CARTESIAN_POINT('Ctrl Pts',(-11.5246654023,-20.944346277,12.02128788422)); #484474=CARTESIAN_POINT('',(1.07156473820553,-16.2363348004292,7.88850801738744)); #484475=CARTESIAN_POINT('Ctrl Pts',(1.071564999116,-16.23633504774,7.888508308235)); #484476=CARTESIAN_POINT('Ctrl Pts',(1.083451931317,-16.23519750205,7.890385217689)); #484477=CARTESIAN_POINT('Ctrl Pts',(1.095264350647,-16.23400883229,7.892190757598)); #484478=CARTESIAN_POINT('Ctrl Pts',(1.106863490101,-16.23259223571,7.893711878521)); #484479=CARTESIAN_POINT('Ctrl Pts',(1.071564999116,-16.23633504774,7.888508308235)); #484480=CARTESIAN_POINT('Ctrl Pts',(0.163094662486,-16.80877769786,8.216721995436)); #484481=CARTESIAN_POINT('Ctrl Pts',(-3.420736848757,-18.84461815046,9.478731116005)); #484482=CARTESIAN_POINT('Ctrl Pts',(-7.64539592857928,-20.2989829725088, 10.8705006667391)); #484483=CARTESIAN_POINT('Ctrl Pts',(-10.9567918280308,-20.9056074093036, 11.8842427069241)); #484484=CARTESIAN_POINT('Ctrl Pts',(-11.2698508366168,-20.9625966990855, 11.9800288809217)); #484485=CARTESIAN_POINT('Ctrl Pts',(2.615119299067,-15.26185565222,7.653554821568)); #484486=CARTESIAN_POINT('Ctrl Pts',(2.373331956685,-15.43150877858,7.743765389091)); #484487=CARTESIAN_POINT('Ctrl Pts',(1.00739992294,-16.36860749164,8.25099219069)); #484488=CARTESIAN_POINT('Ctrl Pts',(-3.058011350028,-18.76453673672,9.697729669064)); #484489=CARTESIAN_POINT('Ctrl Pts',(-7.601824286793,-20.34910169706,11.20536518831)); #484490=CARTESIAN_POINT('Ctrl Pts',(-10.9644604046,-20.97299128034,12.24150047692)); #484491=CARTESIAN_POINT('Ctrl Pts',(-11.3275716915,-21.0407896185,12.35344789518)); #484492=CARTESIAN_POINT('Ctrl Pts',(2.579998768741,-15.26828873304,7.55620957149)); #484493=CARTESIAN_POINT('Ctrl Pts',(2.338397014484,-15.43747017124,7.646190503718)); #484494=CARTESIAN_POINT('Ctrl Pts',(0.9734776093405,-16.37193272139,8.152125003529)); #484495=CARTESIAN_POINT('Ctrl Pts',(-3.089562304883,-18.76046954772,9.595293744451)); #484496=CARTESIAN_POINT('Ctrl Pts',(-7.632494723102,-20.33795394836,11.0990635937)); #484497=CARTESIAN_POINT('Ctrl Pts',(-10.99530839149,-20.95661010389,12.13263519106)); #484498=CARTESIAN_POINT('Ctrl Pts',(-11.35843818608,-21.02384335536,12.24430554952)); #484499=CARTESIAN_POINT('Ctrl Pts',(2.474505393943,-15.28761211456,7.263808549784)); #484500=CARTESIAN_POINT('Ctrl Pts',(2.233461100458,-15.45537671834,7.353099714458)); #484501=CARTESIAN_POINT('Ctrl Pts',(0.8715833808362,-16.38192088802,7.85515245977)); #484502=CARTESIAN_POINT('Ctrl Pts',(-3.184333559021,-18.74825271924,9.287601597257)); #484503=CARTESIAN_POINT('Ctrl Pts',(-7.724621117618,-20.30446887223,10.77975993124)); #484504=CARTESIAN_POINT('Ctrl Pts',(-11.08796810397,-20.90740510694,11.80563083506)); #484505=CARTESIAN_POINT('Ctrl Pts',(-11.45115349112,-20.97294097797,11.91646897449)); #484506=CARTESIAN_POINT('Ctrl Pts',(2.364119977886,-15.3078315787,6.95784802384)); #484507=CARTESIAN_POINT('Ctrl Pts',(2.123658996284,-15.47411364524,7.046417434386)); #484508=CARTESIAN_POINT('Ctrl Pts',(0.7649640141881,-16.39237223561,7.54440841671)); #484509=CARTESIAN_POINT('Ctrl Pts',(-3.28349963782,-18.73546936012,8.965640850898)); #484510=CARTESIAN_POINT('Ctrl Pts',(-7.821019686804,-20.26943099362,10.44564920915)); #484511=CARTESIAN_POINT('Ctrl Pts',(-11.18492472265,-20.85591832808,11.46346231539)); #484512=CARTESIAN_POINT('Ctrl Pts',(-11.54816828034,-20.91967810611,11.57342964332)); #484513=CARTESIAN_POINT('Ctrl Pts',(2.369885642855,-15.30816617973,6.622925582742)); #484514=CARTESIAN_POINT('Ctrl Pts',(2.130290931877,-15.47471903197,6.710822885918)); #484515=CARTESIAN_POINT('Ctrl Pts',(0.7763582793444,-16.39453033808,7.205029492078)); #484516=CARTESIAN_POINT('Ctrl Pts',(-3.26029513094,-18.7424219637,8.615864250142)); #484517=CARTESIAN_POINT('Ctrl Pts',(-7.791036084734,-20.28272057001,10.0844895322)); #484518=CARTESIAN_POINT('Ctrl Pts',(-11.1528468908,-20.87317943631,11.09484892043)); #484519=CARTESIAN_POINT('Ctrl Pts',(-11.51586196329,-20.93736879133,11.20401064199)); #484520=CARTESIAN_POINT('Ctrl Pts',(2.413096784187,-15.30095056079,6.466562044201)); #484521=CARTESIAN_POINT('Ctrl Pts',(2.173843129849,-15.46816922896,6.554183229642)); #484522=CARTESIAN_POINT('Ctrl Pts',(0.8217785716851,-16.39173120762,7.046834371338)); #484523=CARTESIAN_POINT('Ctrl Pts',(-3.21036777656,-18.75047147468,8.45341531834)); #484524=CARTESIAN_POINT('Ctrl Pts',(-7.738953496199,-20.30247212371,9.917338341591)); #484525=CARTESIAN_POINT('Ctrl Pts',(-11.10050239959,-20.90104503205,10.92465424511)); #484526=CARTESIAN_POINT('Ctrl Pts',(-11.46348812216,-20.96611107214,11.03348699385)); #484527=CARTESIAN_POINT('Ctrl Pts',(2.483650146228,-15.28887587099,6.211604512124)); #484528=CARTESIAN_POINT('Ctrl Pts',(2.244790043403,-15.45709645345,6.298778814569)); #484529=CARTESIAN_POINT('Ctrl Pts',(0.8948590573403,-16.38630456947,6.788912355638)); #484530=CARTESIAN_POINT('Ctrl Pts',(-3.13254486787,-18.7613999557,8.18861245107)); #484531=CARTESIAN_POINT('Ctrl Pts',(-7.66029366087,-20.33113424109,9.644919685581)); #484532=CARTESIAN_POINT('Ctrl Pts',(-11.02334734203,-20.94197008501,10.64731377542)); #484533=CARTESIAN_POINT('Ctrl Pts',(-11.38649385244,-21.0083611163,10.75561451496)); #484534=CARTESIAN_POINT('Ctrl Pts',(2.519509492417,-15.2830083158,6.066412264871)); #484535=CARTESIAN_POINT('Ctrl Pts',(2.280921787867,-15.45175742402,6.153338836211)); #484536=CARTESIAN_POINT('Ctrl Pts',(0.9324762852632,-16.38394517453,6.642076520958)); #484537=CARTESIAN_POINT('Ctrl Pts',(-3.09146387928,-18.76768503819,8.037967300408)); #484538=CARTESIAN_POINT('Ctrl Pts',(-7.617980826919,-20.34684379643,9.490045289061)); #484539=CARTESIAN_POINT('Ctrl Pts',(-10.98136140993,-20.9641782003,10.48971631414)); #484540=CARTESIAN_POINT('Ctrl Pts',(-11.34454222731,-21.03127141164,10.59772270336)); #484541=CARTESIAN_POINT('Ctrl Pts',(2.597652134607,-15.2696270589,5.834860901611)); #484542=CARTESIAN_POINT('Ctrl Pts',(2.359579614406,-15.43953816514,5.921426479079)); #484543=CARTESIAN_POINT('Ctrl Pts',(1.013954308062,-16.37826858417,6.408128997385)); #484544=CARTESIAN_POINT('Ctrl Pts',(-3.00321220331,-18.7808984408,7.798495431025)); #484545=CARTESIAN_POINT('Ctrl Pts',(-7.526597770521,-20.38030115096,9.244371994098)); #484546=CARTESIAN_POINT('Ctrl Pts',(-10.88971876748,-21.0117202993,10.2401028708)); #484547=CARTESIAN_POINT('Ctrl Pts',(-11.25286990402,-21.08033524939,10.34768330431)); #484548=CARTESIAN_POINT('Ctrl Pts',(2.702207145254,-15.2507630077,5.600603049067)); #484549=CARTESIAN_POINT('Ctrl Pts',(2.464603478638,-15.42217073714,5.686799742501)); #484550=CARTESIAN_POINT('Ctrl Pts',(1.121535500458,-16.36932200041,6.171422403962)); #484551=CARTESIAN_POINT('Ctrl Pts',(-2.88965867324,-18.79619852048,7.556148674111)); #484552=CARTESIAN_POINT('Ctrl Pts',(-7.410886212937,-20.42133179964,8.995681123062)); #484553=CARTESIAN_POINT('Ctrl Pts',(-10.77452851522,-21.07074568823,9.987390902169)); #484554=CARTESIAN_POINT('Ctrl Pts',(-11.13773424563,-21.14130471638,10.09453662053)); #484555=CARTESIAN_POINT('Ctrl Pts',(2.85859357826,-15.21846106671,5.272825617778)); #484556=CARTESIAN_POINT('Ctrl Pts',(2.62116802795,-15.3919202729,5.358232353327)); #484557=CARTESIAN_POINT('Ctrl Pts',(1.278995647903,-16.3506156126,5.838408608206)); #484558=CARTESIAN_POINT('Ctrl Pts',(-2.73150746515,-18.81074631728,7.210877586827)); #484559=CARTESIAN_POINT('Ctrl Pts',(-7.257595130178,-20.47122530545,8.637083843624)); #484560=CARTESIAN_POINT('Ctrl Pts',(-10.62765571717,-21.1453375419,9.619994699652)); #484561=CARTESIAN_POINT('Ctrl Pts',(-10.99155225319,-21.21856490136,9.726189480718)); #484562=CARTESIAN_POINT('Ctrl Pts',(3.044817117889,-15.18057190739,4.930769615034)); #484563=CARTESIAN_POINT('Ctrl Pts',(2.80836575583,-15.356823248,5.015813101982)); #484564=CARTESIAN_POINT('Ctrl Pts',(1.471547029163,-16.33122019167,5.493935552759)); #484565=CARTESIAN_POINT('Ctrl Pts',(-2.5257151653,-18.83646686735,6.860985884445)); #484566=CARTESIAN_POINT('Ctrl Pts',(-7.04432540816,-20.54445772764,8.280748637509)); #484567=CARTESIAN_POINT('Ctrl Pts',(-10.41219097236,-21.25193208887,9.259841854614)); #484568=CARTESIAN_POINT('Ctrl Pts',(-10.77584780568,-21.32876360687,9.365623687948)); #484569=CARTESIAN_POINT('Ctrl Pts',(3.246522780113,-15.1348667108,4.616899643643)); #484570=CARTESIAN_POINT('Ctrl Pts',(3.010342356985,-15.31381605827,4.701160229057)); #484571=CARTESIAN_POINT('Ctrl Pts',(1.674936606045,-16.30338507353,5.174875375781)); #484572=CARTESIAN_POINT('Ctrl Pts',(-2.32022181163,-18.85222391967,6.529792461504)); #484573=CARTESIAN_POINT('Ctrl Pts',(-6.842435792602,-20.60611312609,7.936326947378)); #484574=CARTESIAN_POINT('Ctrl Pts',(-10.21591483302,-21.34581988716,8.906715112899)); #484575=CARTESIAN_POINT('Ctrl Pts',(-10.58017546584,-21.42613351426,9.011556130018)); #484576=CARTESIAN_POINT('Ctrl Pts',(3.44004362847,-15.08819992273,4.282147775357)); #484577=CARTESIAN_POINT('Ctrl Pts',(3.204285016015,-15.26980850947,4.365659687805)); #484578=CARTESIAN_POINT('Ctrl Pts',(1.871134746714,-16.27433345262,4.835158987292)); #484579=CARTESIAN_POINT('Ctrl Pts',(-2.11959102094,-18.866164169,6.17850242053)); #484580=CARTESIAN_POINT('Ctrl Pts',(-6.643249002809,-20.66539979668,7.572344737467)); #484581=CARTESIAN_POINT('Ctrl Pts',(-10.02090457817,-21.43692160487,8.534439000463)); #484582=CARTESIAN_POINT('Ctrl Pts',(-10.38561371538,-21.52067228666,8.638383588994)); #484583=CARTESIAN_POINT('Ctrl Pts',(3.615351182362,-15.04436523074,3.955729127195)); #484584=CARTESIAN_POINT('Ctrl Pts',(3.380282042236,-15.22853465458,4.038687607111)); #484585=CARTESIAN_POINT('Ctrl Pts',(2.050893360778,-16.24746192269,4.505066965723)); #484586=CARTESIAN_POINT('Ctrl Pts',(-1.93103086383,-18.88069118846,5.839938003004)); #484587=CARTESIAN_POINT('Ctrl Pts',(-6.451447228044,-20.72358511601,7.224277578924)); #484588=CARTESIAN_POINT('Ctrl Pts',(-9.829794795951,-21.52574038013,8.180327993124)); #484589=CARTESIAN_POINT('Ctrl Pts',(-10.19457617861,-21.61280046347,8.283619207485)); #484590=CARTESIAN_POINT('Ctrl Pts',(3.803794799888,-14.99482182983,3.622019650329)); #484591=CARTESIAN_POINT('Ctrl Pts',(3.569121257696,-15.18155876171,3.704191112969)); #484592=CARTESIAN_POINT('Ctrl Pts',(2.241841929274,-16.21492705503,4.166139656847)); #484593=CARTESIAN_POINT('Ctrl Pts',(-1.73604907479,-18.88967925673,5.488821738804)); #484594=CARTESIAN_POINT('Ctrl Pts',(-6.258265981722,-20.77641347509,6.859851793769)); #484595=CARTESIAN_POINT('Ctrl Pts',(-9.641019268226,-21.60931105008,7.807159867494)); #484596=CARTESIAN_POINT('Ctrl Pts',(-10.00627393109,-21.69969231558,7.909506222215)); #484597=CARTESIAN_POINT('Ctrl Pts',(3.958665876189,-14.95254724857,3.285502449578)); #484598=CARTESIAN_POINT('Ctrl Pts',(3.724741481031,-15.14157815444,3.367108772227)); #484599=CARTESIAN_POINT('Ctrl Pts',(2.401558720097,-16.18785167339,3.825871732057)); #484600=CARTESIAN_POINT('Ctrl Pts',(-1.56657341606,-18.8997408843,5.139893748354)); #484601=CARTESIAN_POINT('Ctrl Pts',(-6.084564217573,-20.82580073126,6.501232283439)); #484602=CARTESIAN_POINT('Ctrl Pts',(-9.467298509562,-21.68623180545,7.442359796335)); #484603=CARTESIAN_POINT('Ctrl Pts',(-9.832548629021,-21.77958764314,7.544038011752)); #484604=CARTESIAN_POINT('Ctrl Pts',(4.117949495002,-14.90628923593,2.948334041346)); #484605=CARTESIAN_POINT('Ctrl Pts',(3.884602307656,-15.09759769142,3.02924976393)); #484606=CARTESIAN_POINT('Ctrl Pts',(2.564550678034,-16.15668461515,3.484123214735)); #484607=CARTESIAN_POINT('Ctrl Pts',(-1.39658647366,-18.90545302713,4.787485306522)); #484608=CARTESIAN_POINT('Ctrl Pts',(-5.913207792795,-20.87059029893,6.137092991433)); #484609=CARTESIAN_POINT('Ctrl Pts',(-9.297993589286,-21.75837193754,7.070586715372)); #484610=CARTESIAN_POINT('Ctrl Pts',(-9.663462779664,-21.85468259425,7.171439822636)); #484611=CARTESIAN_POINT('Ctrl Pts',(4.281623674835,-14.85614695678,2.613612701339)); #484612=CARTESIAN_POINT('Ctrl Pts',(4.048608094364,-15.0497194624,2.693705372757)); #484613=CARTESIAN_POINT('Ctrl Pts',(2.730309893168,-16.12154404703,3.143946309997)); #484614=CARTESIAN_POINT('Ctrl Pts',(-1.22775234937,-18.90697592211,4.434533745012)); #484615=CARTESIAN_POINT('Ctrl Pts',(-5.746984186884,-20.91097076931,5.770262594267)); #484616=CARTESIAN_POINT('Ctrl Pts',(-9.136669020733,-21.82594586994,6.69458471817)); #484617=CARTESIAN_POINT('Ctrl Pts',(-9.502664846583,-21.92519437667,6.794446617746)); #484618=CARTESIAN_POINT('Ctrl Pts',(4.41406650452,-14.81476721267,2.273171264322)); #484619=CARTESIAN_POINT('Ctrl Pts',(4.1816955923,-15.01030159197,2.352613118849)); #484620=CARTESIAN_POINT('Ctrl Pts',(2.86690941514,-16.09316788098,2.799188074483)); #484621=CARTESIAN_POINT('Ctrl Pts',(-1.08302972222,-18.91042726873,4.079742307184)); #484622=CARTESIAN_POINT('Ctrl Pts',(-5.599613930417,-20.9483304004,5.404397749806)); #484623=CARTESIAN_POINT('Ctrl Pts',(-8.990365590695,-21.88697037146,6.321539104832)); #484624=CARTESIAN_POINT('Ctrl Pts',(-9.356474189728,-21.98877558805,6.420624840512)); #484625=CARTESIAN_POINT('Ctrl Pts',(4.556557095898,-14.76969364381,1.937885430412)); #484626=CARTESIAN_POINT('Ctrl Pts',(4.324820774369,-14.96730239939,2.016661502921)); #484627=CARTESIAN_POINT('Ctrl Pts',(3.013490169361,-16.06184147094,2.459486538739)); #484628=CARTESIAN_POINT('Ctrl Pts',(-0.9284850826,-18.9127240828,3.729768376844)); #484629=CARTESIAN_POINT('Ctrl Pts',(-5.442579102745,-20.98635855908,5.043108093656)); #484630=CARTESIAN_POINT('Ctrl Pts',(-8.834508004827,-21.94996878017,5.952894658829)); #484631=CARTESIAN_POINT('Ctrl Pts',(-9.200741304973,-22.05447170083,6.051185434949)); #484632=CARTESIAN_POINT('Ctrl Pts',(4.685393669395,-14.72608676164,1.589967984186)); #484633=CARTESIAN_POINT('Ctrl Pts',(4.45405274302,-14.92549784576,1.66794849318)); #484634=CARTESIAN_POINT('Ctrl Pts',(3.144834137106,-16.03018420217,2.106295319515)); #484635=CARTESIAN_POINT('Ctrl Pts',(-0.79303250185,-18.9103553272,3.364238817254)); #484636=CARTESIAN_POINT('Ctrl Pts',(-5.308647294544,-21.01534400884,4.664149176558)); #484637=CARTESIAN_POINT('Ctrl Pts',(-8.704674285118,-22.00078119798,5.565080603334)); #484638=CARTESIAN_POINT('Ctrl Pts',(-9.071347727192,-22.10764232621,5.662414356947)); #484639=CARTESIAN_POINT('Ctrl Pts',(4.812566326436,-14.68276867801,1.232038523508)); #484640=CARTESIAN_POINT('Ctrl Pts',(4.581904453044,-14.88408404195,1.309321169471)); #484641=CARTESIAN_POINT('Ctrl Pts',(3.276386570394,-15.9994904703,1.743737493688)); #484642=CARTESIAN_POINT('Ctrl Pts',(-0.65289506116,-18.91058994104,2.990911029244)); #484643=CARTESIAN_POINT('Ctrl Pts',(-5.165617908824,-21.04863663191,4.278964161783)); #484644=CARTESIAN_POINT('Ctrl Pts',(-8.562655721383,-22.05710575661,5.172183689652)); #484645=CARTESIAN_POINT('Ctrl Pts',(-8.929435789002,-22.16645525733,5.268683889047)); #484646=CARTESIAN_POINT('Ctrl Pts',(4.923927401328,-14.64470647239,0.8750765982737)); #484647=CARTESIAN_POINT('Ctrl Pts',(4.694017004685,-14.84768071578,0.9516912853697)); #484648=CARTESIAN_POINT('Ctrl Pts',(3.39260799765,-15.97242994275,1.382345132287)); #484649=CARTESIAN_POINT('Ctrl Pts',(-0.52689338452,-18.91053084667,2.619219704734)); #484650=CARTESIAN_POINT('Ctrl Pts',(-5.03540926413,-21.07761786819,3.895909934243)); #484651=CARTESIAN_POINT('Ctrl Pts',(-8.432463373433,-22.10625404392,4.781757989403)); #484652=CARTESIAN_POINT('Ctrl Pts',(-8.799242691588,-22.21778246771,4.87746141436)); #484653=CARTESIAN_POINT('Ctrl Pts',(5.036860388533,-14.60357023662,0.5249162392749)); #484654=CARTESIAN_POINT('Ctrl Pts',(4.80734694111,-14.8081254278,0.6007451616827)); #484655=CARTESIAN_POINT('Ctrl Pts',(3.508059616706,-15.94177972505,1.026975907273)); #484656=CARTESIAN_POINT('Ctrl Pts',(-0.40728827397,-18.90563012088,2.251665273784)); #484657=CARTESIAN_POINT('Ctrl Pts',(-4.917227479196,-21.10046147227,3.515089863368)); #484658=CARTESIAN_POINT('Ctrl Pts',(-8.318282522119,-22.14834633994,4.39219306997)); #484659=CARTESIAN_POINT('Ctrl Pts',(-8.685491511177,-22.26195448429,4.486951390113)); #484660=CARTESIAN_POINT('Ctrl Pts',(5.131426583189,-14.56864312994,0.2045024557101)); #484661=CARTESIAN_POINT('Ctrl Pts',(4.902621954996,-14.77467246304,0.2797372219984)); #484662=CARTESIAN_POINT('Ctrl Pts',(3.607215563992,-15.91662930087,0.7026211435414)); #484663=CARTESIAN_POINT('Ctrl Pts',(-0.2987971572928,-18.90447672942,1.918150963358)); #484664=CARTESIAN_POINT('Ctrl Pts',(-4.804371873156,-21.12512494446,3.171465983882)); #484665=CARTESIAN_POINT('Ctrl Pts',(-8.205008258655,-22.19093549212,4.042013749097)); #484666=CARTESIAN_POINT('Ctrl Pts',(-8.572169785682,-22.30648039664,4.136063496271)); #484667=CARTESIAN_POINT('Ctrl Pts',(5.185031104804,-14.54861660761,-0.003045769001725)); #484668=CARTESIAN_POINT('Ctrl Pts',(4.956509127756,-14.75540974657,0.07175201244324)); #484669=CARTESIAN_POINT('Ctrl Pts',(3.662627236139,-15.90167248257,0.4921757454762)); #484670=CARTESIAN_POINT('Ctrl Pts',(-0.2401424850166,-18.90201036028,1.700938107968)); #484671=CARTESIAN_POINT('Ctrl Pts',(-4.745703031529,-21.13626927443,2.946862605358)); #484672=CARTESIAN_POINT('Ctrl Pts',(-8.148062902909,-22.21146738441,3.812556635439)); #484673=CARTESIAN_POINT('Ctrl Pts',(-8.515409147477,-22.32802662772,3.906081797269)); #484674=CARTESIAN_POINT('Ctrl Pts',(5.207713365718,-14.54013926212,-0.09032224530788)); #484675=CARTESIAN_POINT('Ctrl Pts',(4.979312721519,-14.7472573531,-0.01570769781711)); #484676=CARTESIAN_POINT('Ctrl Pts',(3.686085773848,-15.89535188028,0.4036844314202)); #484677=CARTESIAN_POINT('Ctrl Pts',(-0.2152808936508,-18.90100225042,1.609609381968)); #484678=CARTESIAN_POINT('Ctrl Pts',(-4.720795974092,-21.14104581829,2.852434523246)); #484679=CARTESIAN_POINT('Ctrl Pts',(-8.123852551463,-22.22023526181,3.716093606054)); #484680=CARTESIAN_POINT('Ctrl Pts',(-8.491273443103,-22.33722577235,3.809398832983)); #484681=CARTESIAN_POINT('Ctrl Pts',(1.1090256548856,-16.2128383846151,7.87585379340852)); #484682=CARTESIAN_POINT('Ctrl Pts',(1.10273519236978,-16.216767057452,7.87784079554168)); #484683=CARTESIAN_POINT('Ctrl Pts',(1.09646448197713,-16.2206910333588, 7.87988604036259)); #484684=CARTESIAN_POINT('Ctrl Pts',(1.08397216027868,-16.2285256236789, 7.88410201855408)); #484685=CARTESIAN_POINT('Ctrl Pts',(1.0777611434239,-16.2324295380458,7.88626873164404)); #484686=CARTESIAN_POINT('Ctrl Pts',(1.07156421639097,-16.2363343058035, 7.88850743569003)); #484687=CARTESIAN_POINT('Ctrl Pts',(1.107520845249,-16.23288876648,7.894162086067)); #484688=CARTESIAN_POINT('Ctrl Pts',(1.108298488794,-16.2261460261,7.887837431915)); #484689=CARTESIAN_POINT('Ctrl Pts',(1.109045561001,-16.21928472375,7.8816355455)); #484690=CARTESIAN_POINT('Ctrl Pts',(1.109761696754,-16.21231010053,7.875560077189)); #484691=CARTESIAN_POINT('Ctrl Pts',(1.095463317729,-16.23437049069,7.892591204893)); #484692=CARTESIAN_POINT('Ctrl Pts',(1.096258676968,-16.22763193071,7.88625723388)); #484693=CARTESIAN_POINT('Ctrl Pts',(1.097023349903,-16.22077452075,7.880045979016)); #484694=CARTESIAN_POINT('Ctrl Pts',(1.097756959591,-16.21380350605,7.873961101043)); #484695=CARTESIAN_POINT('Ctrl Pts',(1.083173951284,-16.23563396035,7.890739346308)); #484696=CARTESIAN_POINT('Ctrl Pts',(1.083983223463,-16.2288984188,7.884399244097)); #484697=CARTESIAN_POINT('Ctrl Pts',(1.084761758716,-16.2220438271,7.878181821846)); #484698=CARTESIAN_POINT('Ctrl Pts',(1.085509170619,-16.21507543305,7.872090747184)); #484699=CARTESIAN_POINT('Ctrl Pts',(1.070805300642,-16.23681381311,7.888783209963)); #484700=CARTESIAN_POINT('Ctrl Pts',(1.071627196029,-16.2300809086,7.882438059332)); #484701=CARTESIAN_POINT('Ctrl Pts',(1.072418325852,-16.22322878345,7.876215557642)); #484702=CARTESIAN_POINT('Ctrl Pts',(1.073178294994,-16.2162626876,7.870119378224)); #484703=CARTESIAN_POINT('Origin',(-23.7827514722,-1.274623948348,3.96960980679)); #484704=CARTESIAN_POINT('',(-23.8000003638886,-1.25089584205516,4.00000007822016)); #484705=CARTESIAN_POINT('',(-18.7066265901833,-6.84382763567859,4.)); #484706=CARTESIAN_POINT('',(-23.8,-1.39176974422118,3.10578416840367)); #484707=CARTESIAN_POINT('Origin',(0.,0.,4.)); #484708=CARTESIAN_POINT('',(-23.8,1.57309484827E-12,4.)); #484709=CARTESIAN_POINT('Origin',(-23.8,-1.500133350874E-12,2.004245777484)); #484710=CARTESIAN_POINT('',(-23.7999983802391,1.08323041990162,4.00000152824805)); #484711=CARTESIAN_POINT('Origin',(-23.8,5.89187664857075,5.87561125731978)); #484712=CARTESIAN_POINT('',(-23.79999838822,1.083225634167,4.000000628045)); #484713=CARTESIAN_POINT('Origin',(0.,0.,4.)); #484714=CARTESIAN_POINT('Origin',(-19.0028513320586,-0.789620829540892, 4.)); #484715=CARTESIAN_POINT('Origin',(-21.37663924349,2.516605231326,4.928114519136)); #484716=CARTESIAN_POINT('Origin',(-20.,-20.,1.634883986244)); #484717=CARTESIAN_POINT('Origin',(20.,-20.,1.634883986244)); #484718=CARTESIAN_POINT('Origin',(23.8,-9.983125437429E-13,2.004245504764)); #484719=CARTESIAN_POINT('Ctrl Pts',(15.32331899625,-2.230087701647,7.653859584606)); #484720=CARTESIAN_POINT('Ctrl Pts',(15.40983934897,-2.512124702586,7.743960693506)); #484721=CARTESIAN_POINT('Ctrl Pts',(15.87885065889,-4.100443729357,8.25107724445)); #484722=CARTESIAN_POINT('Ctrl Pts',(16.90115397053,-8.706909736928,9.697703401353)); #484723=CARTESIAN_POINT('Ctrl Pts',(17.00404796232,-13.51800033167,11.20534136596)); #484724=CARTESIAN_POINT('Ctrl Pts',(16.55828614558,-16.90886006614,12.24147915989)); #484725=CARTESIAN_POINT('Ctrl Pts',(16.5105571166,-17.27516102242,12.35342980979)); #484726=CARTESIAN_POINT('Ctrl Pts',(15.31858335374,-2.265473039417,7.556521816034)); #484727=CARTESIAN_POINT('Ctrl Pts',(15.40471296458,-2.54718820911,7.646393587236)); #484728=CARTESIAN_POINT('Ctrl Pts',(15.87153071406,-4.133730127354,8.152218235827)); #484729=CARTESIAN_POINT('Ctrl Pts',(16.88753722669,-8.735657190156,9.595276232645)); #484730=CARTESIAN_POINT('Ctrl Pts',(16.98396983888,-13.54372260044,11.09904884886)); #484731=CARTESIAN_POINT('Ctrl Pts',(16.53317628323,-16.93313409891,12.13262316317)); #484732=CARTESIAN_POINT('Ctrl Pts',(16.48490413611,-17.29927804441,12.24429676877)); #484733=CARTESIAN_POINT('Ctrl Pts',(15.30435784535,-2.371767891301,7.264126594021)); #484734=CARTESIAN_POINT('Ctrl Pts',(15.38931369745,-2.652516304518,7.353309452297)); #484735=CARTESIAN_POINT('Ctrl Pts',(15.84954215888,-4.233719924484,7.855253324893)); #484736=CARTESIAN_POINT('Ctrl Pts',(16.84663356827,-8.822012343899,9.287592841353)); #484737=CARTESIAN_POINT('Ctrl Pts',(16.9236566896,-13.62099033112,10.77975424627)); #484738=CARTESIAN_POINT('Ctrl Pts',(16.45774817461,-17.00605143924,11.80562806359)); #484739=CARTESIAN_POINT('Ctrl Pts',(16.40784454214,-17.37172373641,11.91646944928)); #484740=CARTESIAN_POINT('Ctrl Pts',(15.2894726391,-2.482992091593,6.958171750855)); #484741=CARTESIAN_POINT('Ctrl Pts',(15.37320030022,-2.762728915687,7.046633748356)); #484742=CARTESIAN_POINT('Ctrl Pts',(15.82653390004,-4.338346677585,7.544516876721)); #484743=CARTESIAN_POINT('Ctrl Pts',(16.80383303169,-8.912372156673,8.965640850898)); #484744=CARTESIAN_POINT('Ctrl Pts',(16.86054656079,-13.70184129804,10.44565258281)); #484745=CARTESIAN_POINT('Ctrl Pts',(16.37882214094,-17.08235026954,11.46346879806)); #484746=CARTESIAN_POINT('Ctrl Pts',(16.32721136411,-17.44752904603,11.57343937022)); #484747=CARTESIAN_POINT('Ctrl Pts',(15.29157447178,-2.477609310383,6.623246853156)); #484748=CARTESIAN_POINT('Ctrl Pts',(15.37582671869,-2.756606885977,6.711037556063)); #484749=CARTESIAN_POINT('Ctrl Pts',(15.83210802552,-4.32817623368,7.205137158602)); #484750=CARTESIAN_POINT('Ctrl Pts',(16.8176159376,-8.892451831853,8.615864250142)); #484751=CARTESIAN_POINT('Ctrl Pts',(16.88245116013,-13.67743189263,10.0844928799)); #484752=CARTESIAN_POINT('Ctrl Pts',(16.40515105377,-17.05717640944,11.09485535563)); #484753=CARTESIAN_POINT('Ctrl Pts',(16.35401944894,-17.42227062758,11.20402029764)); #484754=CARTESIAN_POINT('Ctrl Pts',(15.29806768709,-2.434282890463,6.466882305385)); #484755=CARTESIAN_POINT('Ctrl Pts',(15.38305765538,-2.713162015586,6.554397224138)); #484756=CARTESIAN_POINT('Ctrl Pts',(15.84348243015,-4.284113918515,7.046941713235)); #484757=CARTESIAN_POINT('Ctrl Pts',(16.84069987846,-8.847455531823,8.45341531834)); #484758=CARTESIAN_POINT('Ctrl Pts',(16.91733043583,-13.63400197675,9.917341678581)); #484759=CARTESIAN_POINT('Ctrl Pts',(16.44782819719,-17.01600479703,10.92466066092)); #484760=CARTESIAN_POINT('Ctrl Pts',(16.39753946393,-17.3813420194,11.0334966204)); #484761=CARTESIAN_POINT('Ctrl Pts',(15.30839008988,-2.363451135039,6.211923139917)); #484762=CARTESIAN_POINT('Ctrl Pts',(15.39445324095,-2.642265691821,6.298991715491)); #484763=CARTESIAN_POINT('Ctrl Pts',(15.86090580146,-4.212933364499,6.789019172431)); #484764=CARTESIAN_POINT('Ctrl Pts',(16.87514208288,-8.776818633753,8.18861245107)); #484765=CARTESIAN_POINT('Ctrl Pts',(16.96889699426,-13.56804911983,9.644923005211)); #484766=CARTESIAN_POINT('Ctrl Pts',(16.51059253079,-16.95527254686,10.64732015988)); #484767=CARTESIAN_POINT('Ctrl Pts',(16.4615142886,-17.3211721489,10.75562409445)); #484768=CARTESIAN_POINT('Ctrl Pts',(15.31389300557,-2.327533345999,6.066729987188)); #484769=CARTESIAN_POINT('Ctrl Pts',(15.40054227561,-2.60625229627,6.153551130814)); #484770=CARTESIAN_POINT('Ctrl Pts',(15.87028695533,-4.176428114091,6.642183047046)); #484771=CARTESIAN_POINT('Ctrl Pts',(16.89381427516,-8.739690489163,8.037967300408)); #484772=CARTESIAN_POINT('Ctrl Pts',(16.99691307531,-13.53266174692,9.49004859905)); #484773=CARTESIAN_POINT('Ctrl Pts',(16.54468810882,-16.92220425237,10.48972268126)); #484774=CARTESIAN_POINT('Ctrl Pts',(16.49626709753,-17.28835347511,10.59773225682)); #484775=CARTESIAN_POINT('Ctrl Pts',(15.32531869961,-2.249079762794,5.835177304469)); #484776=CARTESIAN_POINT('Ctrl Pts',(15.41323075638,-2.527668012304,5.921637889664)); #484777=CARTESIAN_POINT('Ctrl Pts',(15.89006782156,-4.097183700526,6.408235101888)); #484778=CARTESIAN_POINT('Ctrl Pts',(16.93365223546,-8.659841323613,7.798495431024)); #484779=CARTESIAN_POINT('Ctrl Pts',(17.05697187387,-13.45609019426,9.244375289952)); #484780=CARTESIAN_POINT('Ctrl Pts',(16.61822255163,-16.84973826277,10.24010921283)); #484781=CARTESIAN_POINT('Ctrl Pts',(16.57125801476,-17.21632951345,10.34769282009)); #484782=CARTESIAN_POINT('Ctrl Pts',(15.33969292799,-2.143812786858,5.600918103624)); #484783=CARTESIAN_POINT('Ctrl Pts',(15.4291713317,-2.422417625333,5.687010249656)); #484784=CARTESIAN_POINT('Ctrl Pts',(15.91480543215,-3.992103398368,6.171528078042)); #484785=CARTESIAN_POINT('Ctrl Pts',(16.98329344651,-8.556573483533,7.556148674111)); #484786=CARTESIAN_POINT('Ctrl Pts',(17.13175123471,-13.35872114459,8.995684404455)); #484787=CARTESIAN_POINT('Ctrl Pts',(16.70995488591,-16.7584257096,9.987397218581)); #484788=CARTESIAN_POINT('Ctrl Pts',(16.66482246079,-17.12566965445,10.09454609786)); #484789=CARTESIAN_POINT('Ctrl Pts',(15.35730535446,-1.985100299985,5.273137784983)); #484790=CARTESIAN_POINT('Ctrl Pts',(15.44878742623,-2.26416916254,5.35844092909)); #484791=CARTESIAN_POINT('Ctrl Pts',(15.94567489146,-3.836570041401,5.838513346881)); #484792=CARTESIAN_POINT('Ctrl Pts',(17.04600063443,-8.410658262963,7.210877586827)); #484793=CARTESIAN_POINT('Ctrl Pts',(17.22657240139,-13.22835063809,8.637087094638)); #484794=CARTESIAN_POINT('Ctrl Pts',(16.82628228198,-16.64179161587,9.620000960022)); #484795=CARTESIAN_POINT('Ctrl Pts',(16.78347418869,-17.01051715917,9.726198873933)); #484796=CARTESIAN_POINT('Ctrl Pts',(15.37882766732,-1.796282562296,4.931080454533)); #484797=CARTESIAN_POINT('Ctrl Pts',(15.47326265639,-2.075287862438,5.016020785634)); #484798=CARTESIAN_POINT('Ctrl Pts',(15.98673398583,-3.647449383784,5.494039877916)); #484799=CARTESIAN_POINT('Ctrl Pts',(17.13405564914,-8.222886242253,6.860985884445)); #484800=CARTESIAN_POINT('Ctrl Pts',(17.36212465047,-13.04814915948,8.280751873837)); #484801=CARTESIAN_POINT('Ctrl Pts',(16.99424219474,-16.46981204519,9.259848090669)); #484802=CARTESIAN_POINT('Ctrl Pts',(16.95493603543,-16.83942338988,9.365633044638)); #484803=CARTESIAN_POINT('Ctrl Pts',(15.3976996045,-1.590327500974,4.61720762158)); #484804=CARTESIAN_POINT('Ctrl Pts',(15.49478104802,-1.869908200098,4.701365998302)); #484805=CARTESIAN_POINT('Ctrl Pts',(16.02311526455,-3.445413752113,5.174978775001)); #484806=CARTESIAN_POINT('Ctrl Pts',(17.21254243495,-8.032319646143,6.529792461504)); #484807=CARTESIAN_POINT('Ctrl Pts',(17.48314983809,-12.87519333108,7.936330153552)); #484808=CARTESIAN_POINT('Ctrl Pts',(17.14418764395,-16.31215536654,8.906721293511)); #484809=CARTESIAN_POINT('Ctrl Pts',(17.10800667513,-16.68341703811,9.011565403487)); #484810=CARTESIAN_POINT('Ctrl Pts',(15.41312780291,-1.39185894387,4.28245301684)); #484811=CARTESIAN_POINT('Ctrl Pts',(15.51286544079,-1.671859765761,4.365863625806)); #484812=CARTESIAN_POINT('Ctrl Pts',(16.05611731575,-3.249841479497,4.835261503279)); #484813=CARTESIAN_POINT('Ctrl Pts',(17.28779872383,-7.845816199233,6.17850242053)); #484814=CARTESIAN_POINT('Ctrl Pts',(17.60108701288,-12.70407606052,7.572347914709)); #484815=CARTESIAN_POINT('Ctrl Pts',(17.29109219353,-16.15484165983,8.534445128248)); #484816=CARTESIAN_POINT('Ctrl Pts',(17.25804155488,-16.52759203649,8.638392783173)); #484817=CARTESIAN_POINT('Ctrl Pts',(15.4256212275,-1.211586383391,3.956032345841)); #484818=CARTESIAN_POINT('Ctrl Pts',(15.5280041989,-1.49172270382,4.038890189903)); #484819=CARTESIAN_POINT('Ctrl Pts',(16.08611265097,-3.07057745629,4.505168835148)); #484820=CARTESIAN_POINT('Ctrl Pts',(17.35988303336,-7.670973928993,5.839938003004)); #484821=CARTESIAN_POINT('Ctrl Pts',(17.71569464487,-12.53964200903,7.224280734504)); #484822=CARTESIAN_POINT('Ctrl Pts',(17.43462022323,-16.00053203159,8.180334082415)); #484823=CARTESIAN_POINT('Ctrl Pts',(17.40469477963,-16.37437381112,8.283628343873)); #484824=CARTESIAN_POINT('Ctrl Pts',(15.43674430541,-1.017057625015,3.622319992367)); #484825=CARTESIAN_POINT('Ctrl Pts',(15.54168824557,-1.297610655232,3.70439177114)); #484826=CARTESIAN_POINT('Ctrl Pts',(16.11417961459,-2.878921435408,4.166240596081)); #484827=CARTESIAN_POINT('Ctrl Pts',(17.42868388067,-7.488312693813,5.488821738804)); #484828=CARTESIAN_POINT('Ctrl Pts',(17.8256337819,-12.37224062141,6.85985491901)); #484829=CARTESIAN_POINT('Ctrl Pts',(17.57243567718,-15.84682068026,7.807165901102)); #484830=CARTESIAN_POINT('Ctrl Pts',(17.54552270279,-16.22213892116,7.909515275029)); #484831=CARTESIAN_POINT('Ctrl Pts',(15.44440540431,-0.8567029015896,3.285800725987)); #484832=CARTESIAN_POINT('Ctrl Pts',(15.55175960337,-1.137252380603,3.367308046674)); #484833=CARTESIAN_POINT('Ctrl Pts',(16.13778752461,-2.718655155165,3.825972010407)); #484834=CARTESIAN_POINT('Ctrl Pts',(17.49062391581,-7.330240978133,5.139893748354)); #484835=CARTESIAN_POINT('Ctrl Pts',(17.92628073901,-12.22230194673,6.501235386589)); #484836=CARTESIAN_POINT('Ctrl Pts',(17.69927449634,-15.70537229543,7.442365790579)); #484837=CARTESIAN_POINT('Ctrl Pts',(17.67519199575,-16.08160543548,7.544047005467)); #484838=CARTESIAN_POINT('Ctrl Pts',(15.44964133418,-0.6909212319999,2.948629793562)); #484839=CARTESIAN_POINT('Ctrl Pts',(15.55933712326,-0.9716254156989,3.029447348883)); #484840=CARTESIAN_POINT('Ctrl Pts',(16.15851601733,-2.554009802809,3.484222679583)); #484841=CARTESIAN_POINT('Ctrl Pts',(17.5485853405,-7.170338938083,4.787485306522)); #484842=CARTESIAN_POINT('Ctrl Pts',(18.02183028223,-12.07317306445,6.137096067843)); #484843=CARTESIAN_POINT('Ctrl Pts',(17.82020209829,-15.56664634081,7.070592660995)); #484844=CARTESIAN_POINT('Ctrl Pts',(17.79886218188,-15.94400095192,7.171448743368)); #484845=CARTESIAN_POINT('Ctrl Pts',(15.45253954071,-0.5197644248794,2.613905445245)); #484846=CARTESIAN_POINT('Ctrl Pts',(15.56448829429,-0.8008524425386,2.69390094548)); #484847=CARTESIAN_POINT('Ctrl Pts',(16.17632049902,-2.385505029826,3.144044799956)); #484848=CARTESIAN_POINT('Ctrl Pts',(17.60220631332,-7.010238744433,4.434533745012)); #484849=CARTESIAN_POINT('Ctrl Pts',(18.11160039322,-11.92756330551,5.770265639039)); #484850=CARTESIAN_POINT('Ctrl Pts',(17.93432091541,-15.43409913534,6.694590605377)); #484851=CARTESIAN_POINT('Ctrl Pts',(17.91561239876,-15.81286249354,6.7944554508)); #484852=CARTESIAN_POINT('Ctrl Pts',(15.45411969851,-0.3810167395134,2.273461629444)); #484853=CARTESIAN_POINT('Ctrl Pts',(15.56813100325,-0.6620979498161,2.352807099174)); #484854=CARTESIAN_POINT('Ctrl Pts',(16.19154723666,-2.246822607786,2.799285798771)); #484855=CARTESIAN_POINT('Ctrl Pts',(17.65021049027,-6.873665871583,4.079742307184)); #484856=CARTESIAN_POINT('Ctrl Pts',(18.19267150289,-11.79895064185,5.404400769337)); #484857=CARTESIAN_POINT('Ctrl Pts',(18.0375690527,-15.31381396591,6.321544946303)); #484858=CARTESIAN_POINT('Ctrl Pts',(18.02125733354,-15.69347467092,6.420633604912)); #484859=CARTESIAN_POINT('Ctrl Pts',(15.45529212904,-0.2315717724066,1.93817336205)); #484860=CARTESIAN_POINT('Ctrl Pts',(15.57146974056,-0.5126904708311,2.016853854392)); #484861=CARTESIAN_POINT('Ctrl Pts',(16.20705262251,-2.097735848143,2.459583479096)); #484862=CARTESIAN_POINT('Ctrl Pts',(17.70015181029,-6.727394939603,3.729768376844)); #484863=CARTESIAN_POINT('Ctrl Pts',(18.27736494069,-11.66135301277,5.043111087394)); #484864=CARTESIAN_POINT('Ctrl Pts',(18.14564689149,-15.18505222845,5.952900453454)); #484865=CARTESIAN_POINT('Ctrl Pts',(18.1318623812,-15.5656651956,6.051194129033)); #484866=CARTESIAN_POINT('Ctrl Pts',(15.4536389221,-0.09556630329249,1.590253007982)); #484867=CARTESIAN_POINT('Ctrl Pts',(15.5716505974,-0.3768657370069,1.668138899433)); #484868=CARTESIAN_POINT('Ctrl Pts',(16.2175345115,-1.963038070191,2.106391318278)); #484869=CARTESIAN_POINT('Ctrl Pts',(17.73975613923,-6.597839894293,3.364238817254)); #484870=CARTESIAN_POINT('Ctrl Pts',(18.34631901329,-11.54293331579,4.664152139682)); #484871=CARTESIAN_POINT('Ctrl Pts',(18.23409332254,-15.07727499171,5.56508634156)); #484872=CARTESIAN_POINT('Ctrl Pts',(18.2224156518,-15.45903531861,5.662422966381)); #484873=CARTESIAN_POINT('Ctrl Pts',(15.4517468752,0.0387683144594,1.23232099657)); #484874=CARTESIAN_POINT('Ctrl Pts',(15.57177700593,-0.2424738760485,1.309509868426)); #484875=CARTESIAN_POINT('Ctrl Pts',(16.22899742255,-1.828439492778,1.743832670553)); #484876=CARTESIAN_POINT('Ctrl Pts',(17.78328412097,-6.464633767813,2.990911029244)); #484877=CARTESIAN_POINT('Ctrl Pts',(18.42218076399,-11.41719228982,4.278967097881)); #484878=CARTESIAN_POINT('Ctrl Pts',(18.33154744829,-14.95961260849,5.172189378759)); #484879=CARTESIAN_POINT('Ctrl Pts',(18.32220348021,-15.34224331729,5.268692424751)); #484880=CARTESIAN_POINT('Ctrl Pts',(15.44996664648,0.1564415972802,0.8753566298935)); #484881=CARTESIAN_POINT('Ctrl Pts',(15.57180448026,-0.1245988134881,0.9518783500159)); #484882=CARTESIAN_POINT('Ctrl Pts',(16.239177918,-1.709544111085,1.3824395232)); #484883=CARTESIAN_POINT('Ctrl Pts',(17.82216457829,-6.344780791013,2.619219704734)); #484884=CARTESIAN_POINT('Ctrl Pts',(18.48998030754,-11.30231221568,3.89591284444)); #484885=CARTESIAN_POINT('Ctrl Pts',(18.41852201739,-14.85098002343,4.78176363156)); #484886=CARTESIAN_POINT('Ctrl Pts',(18.41125062106,-15.23428336337,4.877469879589)); #484887=CARTESIAN_POINT('Ctrl Pts',(15.44574791765,0.2765586407339,0.5251933988694)); #484888=CARTESIAN_POINT('Ctrl Pts',(15.56921000579,-0.00459270160739,0.6009303050534)); #484889=CARTESIAN_POINT('Ctrl Pts',(16.24570630786,-1.590271964088,1.027069368284)); #484890=CARTESIAN_POINT('Ctrl Pts',(17.85446372286,-6.229515163693,2.251665273784)); #484891=CARTESIAN_POINT('Ctrl Pts',(18.54822610517,-11.1969737435,3.515092743323)); #484892=CARTESIAN_POINT('Ctrl Pts',(18.49383810201,-14.75539487767,4.392198656428)); #484893=CARTESIAN_POINT('Ctrl Pts',(18.48841191635,-15.13974955878,4.486959771742)); #484894=CARTESIAN_POINT('Ctrl Pts',(15.44175801864,0.3773088091145,0.204718583483)); #484895=CARTESIAN_POINT('Ctrl Pts',(15.56683945952,0.0963757890341,0.2798619424654)); #484896=CARTESIAN_POINT('Ctrl Pts',(16.25243090277,-1.488178283097,0.7026543217312)); #484897=CARTESIAN_POINT('Ctrl Pts',(17.8868983383,-6.125958542889,1.918089697064)); #484898=CARTESIAN_POINT('Ctrl Pts',(18.60656753564,-11.09724480798,3.171405717378)); #484899=CARTESIAN_POINT('Ctrl Pts',(18.56936044541,-14.6608080835,4.041954966157)); #484900=CARTESIAN_POINT('Ctrl Pts',(18.56579129058,-15.0457162352,4.136007357401)); #484901=CARTESIAN_POINT('Ctrl Pts',(15.4392786583,0.4344926665262,-0.002891373682085)); #484902=CARTESIAN_POINT('Ctrl Pts',(15.56517304338,0.1535923453209,0.07181538780792)); #484903=CARTESIAN_POINT('Ctrl Pts',(16.25533068177,-1.430842686801,0.492147335198)); #484904=CARTESIAN_POINT('Ctrl Pts',(17.90268106185,-6.069399085469,1.700813572349)); #484905=CARTESIAN_POINT('Ctrl Pts',(18.63530187694,-11.04487952242,2.946736672482)); #484906=CARTESIAN_POINT('Ctrl Pts',(18.60649198424,-14.61298452469,3.812430593423)); #484907=CARTESIAN_POINT('Ctrl Pts',(18.60383064815,-14.99838199429,3.905958213235)); #484908=CARTESIAN_POINT('Ctrl Pts',(15.43822596476,0.4587011598214,-0.09022795865993)); #484909=CARTESIAN_POINT('Ctrl Pts',(15.56446671116,0.177815799083,-0.01570433340996)); #484910=CARTESIAN_POINT('Ctrl Pts',(16.25656980665,-1.406562399236,0.4035955433747)); #484911=CARTESIAN_POINT('Ctrl Pts',(17.90940964688,-6.04542622498,1.60942266439)); #484912=CARTESIAN_POINT('Ctrl Pts',(18.64754990967,-11.02265214375,2.852244302163)); #484913=CARTESIAN_POINT('Ctrl Pts',(18.6223232244,-14.59265442399,3.715901892439)); #484914=CARTESIAN_POINT('Ctrl Pts',(18.62004926313,-14.97825629923,3.809209421978)); #484915=CARTESIAN_POINT('Ctrl Pts',(15.7728226338321,-3.99826222055533, 7.88853175589015)); #484916=CARTESIAN_POINT('Ctrl Pts',(15.7710106748698,-3.99110985542914, 7.88627606907546)); #484917=CARTESIAN_POINT('Ctrl Pts',(15.769201580409,-3.98393470424306,7.88409060951112)); #484918=CARTESIAN_POINT('Ctrl Pts',(15.7656051140781,-3.96960969864721, 7.87986899841924)); #484919=CARTESIAN_POINT('Ctrl Pts',(15.7638184296962,-3.96246314060867, 7.877832517093)); #484920=CARTESIAN_POINT('Ctrl Pts',(15.7620333705818,-3.95529652288219, 7.87585379639705)); #484921=CARTESIAN_POINT('Ctrl Pts',(15.78063744499,-3.962923360261,7.894162114862)); #484922=CARTESIAN_POINT('Ctrl Pts',(15.77446502421,-3.960100156904,7.887837462406)); #484923=CARTESIAN_POINT('Ctrl Pts',(15.76817039727,-3.957269390955,7.881635577629)); #484924=CARTESIAN_POINT('Ctrl Pts',(15.76175843596,-3.954433029244,7.875560110895)); #484925=CARTESIAN_POINT('Ctrl Pts',(15.77831990191,-3.974852585246,7.892590715695)); #484926=CARTESIAN_POINT('Ctrl Pts',(15.77215693453,-3.972013819878,7.886256743248)); #484927=CARTESIAN_POINT('Ctrl Pts',(15.76587145147,-3.969167512266,7.880045486874)); #484928=CARTESIAN_POINT('Ctrl Pts',(15.75946832476,-3.96631564176,7.873960607317)); #484929=CARTESIAN_POINT('Ctrl Pts',(15.77572295772,-3.986934960093,7.890738151396)); #484930=CARTESIAN_POINT('Ctrl Pts',(15.76956716201,-3.984083892447,7.884398046751)); #484931=CARTESIAN_POINT('Ctrl Pts',(15.76328864457,-3.981225268828,7.878180621981)); #484932=CARTESIAN_POINT('Ctrl Pts',(15.75689227694,-3.978361078417,7.872089544719)); #484933=CARTESIAN_POINT('Ctrl Pts',(15.773021977,-3.999066898814,7.888781297707)); #484934=CARTESIAN_POINT('Ctrl Pts',(15.76687259167,-3.996204637684,7.88243614367)); #484935=CARTESIAN_POINT('Ctrl Pts',(15.7606003136,-3.993334795116,7.876213638487)); #484936=CARTESIAN_POINT('Ctrl Pts',(15.75421001364,-3.990459369224,7.870117455487)); #484937=CARTESIAN_POINT('Origin',(20.,20.,1.634883986244)); #484938=CARTESIAN_POINT('Origin',(-20.,20.,1.634883986244)); #484939=CARTESIAN_POINT('Origin',(0.,0.001909091374064,8.004245504761)); #484940=CARTESIAN_POINT('',(-13.3441102126792,-7.00544528095964,8.00424550443346)); #484941=CARTESIAN_POINT('Ctrl Pts',(-13.3441102130224,-7.00544528089075, 8.004245504761)); #484942=CARTESIAN_POINT('Ctrl Pts',(-13.336936220788,-6.96970255654199, 8.004245504761)); #484943=CARTESIAN_POINT('Ctrl Pts',(-13.3360550717648,-6.93273918933755, 8.00424550475748)); #484944=CARTESIAN_POINT('Ctrl Pts',(-13.3462838699078,-6.86023549562885, 8.00424550475748)); #484945=CARTESIAN_POINT('Ctrl Pts',(-13.3576276234805,-6.82451359115441, 8.004245504761)); #484946=CARTESIAN_POINT('Ctrl Pts',(-13.3743369959797,-6.79169458617233, 8.004245504761)); #484947=CARTESIAN_POINT('Ctrl Pts',(-12.8636895215729,-7.71528190171036, 8.004245504761)); #484948=CARTESIAN_POINT('Ctrl Pts',(-12.9346257333837,-7.59683206562878, 8.004245504761)); #484949=CARTESIAN_POINT('Ctrl Pts',(-13.0108642667355,-7.48044433234459, 8.00424550477949)); #484950=CARTESIAN_POINT('Ctrl Pts',(-13.1728068425329,-7.24404468631111, 8.00424550477949)); #484951=CARTESIAN_POINT('Ctrl Pts',(-13.2790266105942,-7.09616317881163, 8.004245504761)); #484952=CARTESIAN_POINT('Ctrl Pts',(-13.3441102121809,-7.00544528060213, 8.004245504761)); #484953=CARTESIAN_POINT('Ctrl Pts',(-12.82721525387,-8.38468950174,6.931967501191)); #484954=CARTESIAN_POINT('Ctrl Pts',(-12.78951505216,-8.37617397885,6.952537129557)); #484955=CARTESIAN_POINT('Ctrl Pts',(-12.72895854071,-8.366698957364,6.990551423997)); #484956=CARTESIAN_POINT('Ctrl Pts',(-12.64982897022,-8.364064274844,7.051758847305)); #484957=CARTESIAN_POINT('Ctrl Pts',(-12.58733090386,-8.368812597117,7.108183429104)); #484958=CARTESIAN_POINT('Ctrl Pts',(-12.52980588107,-8.380469731242,7.168741326707)); #484959=CARTESIAN_POINT('Ctrl Pts',(-12.47797815514,-8.398888885217,7.2326701011)); #484960=CARTESIAN_POINT('Ctrl Pts',(-12.43250024744,-8.423838129754,7.299164875602)); #484961=CARTESIAN_POINT('Ctrl Pts',(-12.3867332616,-8.460832513557,7.380149117017)); #484962=CARTESIAN_POINT('Ctrl Pts',(-12.36191656149,-8.49380492264,7.439343076072)); #484963=CARTESIAN_POINT('Ctrl Pts',(-12.35004719149,-8.515973347076,7.475226419441)); #484964=CARTESIAN_POINT('Ctrl Pts',(-12.8825122831,-8.329699357078,6.973090558933)); #484965=CARTESIAN_POINT('Ctrl Pts',(-12.84364755903,-8.320101725789,6.993627032544)); #484966=CARTESIAN_POINT('Ctrl Pts',(-12.78116179986,-8.309014813578,7.031938846717)); #484967=CARTESIAN_POINT('Ctrl Pts',(-12.69948935672,-8.30458194848,7.094273497126)); #484968=CARTESIAN_POINT('Ctrl Pts',(-12.63501373548,-8.308127594538,7.152070156991)); #484969=CARTESIAN_POINT('Ctrl Pts',(-12.57574473413,-8.318906737596,7.214365955804)); #484970=CARTESIAN_POINT('Ctrl Pts',(-12.5224684133,-8.336775695521,7.280334643554)); #484971=CARTESIAN_POINT('Ctrl Pts',(-12.47589142559,-8.361496825546,7.349101373534)); #484972=CARTESIAN_POINT('Ctrl Pts',(-12.4292884692,-8.398585774745,7.432969312669)); #484973=CARTESIAN_POINT('Ctrl Pts',(-12.40434104098,-8.431938067344,7.494324081797)); #484974=CARTESIAN_POINT('Ctrl Pts',(-12.39258262073,-8.454434828142,7.531506444832)); #484975=CARTESIAN_POINT('Ctrl Pts',(-12.9611137528,-8.250051746813,7.03067697262)); #484976=CARTESIAN_POINT('Ctrl Pts',(-12.9206146439,-8.238932723838,7.051039375163)); #484977=CARTESIAN_POINT('Ctrl Pts',(-12.85540605616,-8.225569145624,7.089582299419)); #484978=CARTESIAN_POINT('Ctrl Pts',(-12.77012653724,-8.218580792239,7.153292320571)); #484979=CARTESIAN_POINT('Ctrl Pts',(-12.7028392077,-8.220407918442,7.212873670248)); #484980=CARTESIAN_POINT('Ctrl Pts',(-12.64108900991,-8.229923455293,7.277497757845)); #484981=CARTESIAN_POINT('Ctrl Pts',(-12.5857547681,-8.246990178926,7.346244441941)); #484982=CARTESIAN_POINT('Ctrl Pts',(-12.53762415952,-8.271363553253,7.418135227336)); #484983=CARTESIAN_POINT('Ctrl Pts',(-12.48985572206,-8.308555556553,7.505990429219)); #484984=CARTESIAN_POINT('Ctrl Pts',(-12.46475248657,-8.342421702109,7.570342596881)); #484985=CARTESIAN_POINT('Ctrl Pts',(-12.45317552478,-8.365367253016,7.609324983388)); #484986=CARTESIAN_POINT('Ctrl Pts',(-13.06659620244,-8.148438814922,7.099609998323)); #484987=CARTESIAN_POINT('Ctrl Pts',(-13.02369335781,-8.134954380744,7.119371340457)); #484988=CARTESIAN_POINT('Ctrl Pts',(-12.95442227254,-8.117999426475,7.15776908018)); #484989=CARTESIAN_POINT('Ctrl Pts',(-12.86368443308,-8.106884986093,7.223017059491)); #484990=CARTESIAN_POINT('Ctrl Pts',(-12.79210980661,-8.105872181333,7.284935100005)); #484991=CARTESIAN_POINT('Ctrl Pts',(-12.72655929019,-8.113228171193,7.352805569035)); #484992=CARTESIAN_POINT('Ctrl Pts',(-12.66806960322,-8.128835871013,7.425554807613)); #484993=CARTESIAN_POINT('Ctrl Pts',(-12.61756585095,-8.152447740223,7.502032137124)); #484994=CARTESIAN_POINT('Ctrl Pts',(-12.5680437592,-8.189533274295,7.595803563985)); #484995=CARTESIAN_POINT('Ctrl Pts',(-12.54275341944,-8.224005396627,7.664630537618)); #484996=CARTESIAN_POINT('Ctrl Pts',(-12.53149797642,-8.247531003007,7.706294736913)); #484997=CARTESIAN_POINT('Ctrl Pts',(-13.16636479476,-8.058851850373,7.157434382736)); #484998=CARTESIAN_POINT('Ctrl Pts',(-13.12097618903,-8.042803817539,7.17634083063)); #484999=CARTESIAN_POINT('Ctrl Pts',(-13.0474597489,-8.021917087833,7.214265075578)); #485000=CARTESIAN_POINT('Ctrl Pts',(-12.95095848438,-8.006211817313,7.280795040627)); #485001=CARTESIAN_POINT('Ctrl Pts',(-12.87483087792,-8.001988940533,7.344959858934)); #485002=CARTESIAN_POINT('Ctrl Pts',(-12.80522949195,-8.006849686343,7.416100862132)); #485003=CARTESIAN_POINT('Ctrl Pts',(-12.74337049104,-8.020706831733,7.49297429673)); #485004=CARTESIAN_POINT('Ctrl Pts',(-12.69033493445,-8.043316135203,7.574236657673)); #485005=CARTESIAN_POINT('Ctrl Pts',(-12.63895388599,-8.080072299214,7.674222884711)); #485006=CARTESIAN_POINT('Ctrl Pts',(-12.61348848395,-8.115047653101,7.747768983418)); #485007=CARTESIAN_POINT('Ctrl Pts',(-12.60259797618,-8.139109618236,7.792257764467)); #485008=CARTESIAN_POINT('Ctrl Pts',(-13.29560466043,-7.948582389535,7.225247842603)); #485009=CARTESIAN_POINT('Ctrl Pts',(-13.24685516147,-7.928919581913,7.242414580986)); #485010=CARTESIAN_POINT('Ctrl Pts',(-13.16749431777,-7.90240494539,7.278873643149)); #485011=CARTESIAN_POINT('Ctrl Pts',(-13.06292306102,-7.879987586273,7.346313077267)); #485012=CARTESIAN_POINT('Ctrl Pts',(-12.98036370302,-7.870983410483,7.413030290065)); #485013=CARTESIAN_POINT('Ctrl Pts',(-12.90501060845,-7.872040179733,7.488301316377)); #485014=CARTESIAN_POINT('Ctrl Pts',(-12.83836176681,-7.883131568303,7.57062684026)); #485015=CARTESIAN_POINT('Ctrl Pts',(-12.78174249079,-7.904032015903,7.658368524019)); #485016=CARTESIAN_POINT('Ctrl Pts',(-12.72777581706,-7.939986702729,7.766879383646)); #485017=CARTESIAN_POINT('Ctrl Pts',(-12.70214971588,-7.97544599408,7.846945728939)); #485018=CARTESIAN_POINT('Ctrl Pts',(-12.69185128991,-8.000132552959,7.895327843598)); #485019=CARTESIAN_POINT('Ctrl Pts',(-13.50802339382,-7.774928598902,7.327321095022)); #485020=CARTESIAN_POINT('Ctrl Pts',(-13.45371151588,-7.749053703216,7.340103735726)); #485021=CARTESIAN_POINT('Ctrl Pts',(-13.36437563001,-7.712628991956,7.371994528145)); #485022=CARTESIAN_POINT('Ctrl Pts',(-13.24563682711,-7.678005182563,7.438812027467)); #485023=CARTESIAN_POINT('Ctrl Pts',(-13.15162193447,-7.660074998313,7.508529974767)); #485024=CARTESIAN_POINT('Ctrl Pts',(-13.06593878079,-7.653813391593,7.589951813207)); #485025=CARTESIAN_POINT('Ctrl Pts',(-12.99066816356,-7.659357966793,7.68109174418)); #485026=CARTESIAN_POINT('Ctrl Pts',(-12.92763896412,-7.676560339903,7.779731296709)); #485027=CARTESIAN_POINT('Ctrl Pts',(-12.86917173743,-7.710307578053,7.902876560504)); #485028=CARTESIAN_POINT('Ctrl Pts',(-12.84349433303,-7.746084529202,7.994263446069)); #485029=CARTESIAN_POINT('Ctrl Pts',(-12.83445773128,-7.77156114802,8.049380390286)); #485030=CARTESIAN_POINT('Ctrl Pts',(-13.77684024257,-7.560438397204,7.449129556666)); #485031=CARTESIAN_POINT('Ctrl Pts',(-13.71589955339,-7.526867322207,7.453202016744)); #485032=CARTESIAN_POINT('Ctrl Pts',(-13.61392069371,-7.477571994947,7.474656887603)); #485033=CARTESIAN_POINT('Ctrl Pts',(-13.47625867765,-7.426164565353,7.535802928842)); #485034=CARTESIAN_POINT('Ctrl Pts',(-13.36654788494,-7.395432863433,7.606311558693)); #485035=CARTESIAN_POINT('Ctrl Pts',(-13.26650448212,-7.378203808883,7.69359412893)); #485036=CARTESIAN_POINT('Ctrl Pts',(-13.17919854613,-7.374973643153,7.794941677704)); #485037=CARTESIAN_POINT('Ctrl Pts',(-13.10731090574,-7.385808690893,7.907223663364)); #485038=CARTESIAN_POINT('Ctrl Pts',(-13.04290454325,-7.414933759372,8.049382232577)); #485039=CARTESIAN_POINT('Ctrl Pts',(-13.01768971562,-7.450001505721,8.155769421582)); #485040=CARTESIAN_POINT('Ctrl Pts',(-13.01085253299,-7.47587728363,8.219753907542)); #485041=CARTESIAN_POINT('Ctrl Pts',(-14.09905716701,-7.299942015366,7.593233583005)); #485042=CARTESIAN_POINT('Ctrl Pts',(-14.03165359763,-7.259061073573,7.581519403421)); #485043=CARTESIAN_POINT('Ctrl Pts',(-13.91550057236,-7.196007596726,7.582150856822)); #485044=CARTESIAN_POINT('Ctrl Pts',(-13.75431563866,-7.124250030303,7.627261625661)); #485045=CARTESIAN_POINT('Ctrl Pts',(-13.62417260836,-7.076670729013,7.692790271176)); #485046=CARTESIAN_POINT('Ctrl Pts',(-13.50494880953,-7.043861550503,7.78313353545)); #485047=CARTESIAN_POINT('Ctrl Pts',(-13.40143147341,-7.027100937583,7.894613934546)); #485048=CARTESIAN_POINT('Ctrl Pts',(-13.31777838482,-7.027019057073,8.022720858754)); #485049=CARTESIAN_POINT('Ctrl Pts',(-13.24605286478,-7.046671675001,8.18839481)); #485050=CARTESIAN_POINT('Ctrl Pts',(-13.22253121796,-7.078219123521,8.313935194642)); #485051=CARTESIAN_POINT('Ctrl Pts',(-13.21951920747,-7.10306545245,8.389128632325)); #485052=CARTESIAN_POINT('Ctrl Pts',(-14.36383451368,-7.07677040161,7.713463989144)); #485053=CARTESIAN_POINT('Ctrl Pts',(-14.2930222479,-7.033244331581,7.684012736486)); #485054=CARTESIAN_POINT('Ctrl Pts',(-14.16675864784,-6.962650652924,7.659596262619)); #485055=CARTESIAN_POINT('Ctrl Pts',(-13.98583056483,-6.875924049703,7.682162346314)); #485056=CARTESIAN_POINT('Ctrl Pts',(-13.83744783619,-6.814012376263,7.73737172099)); #485057=CARTESIAN_POINT('Ctrl Pts',(-13.70054547501,-6.766343277003,7.825962482401)); #485058=CARTESIAN_POINT('Ctrl Pts',(-13.58193982925,-6.735264472253,7.943476169915)); #485059=CARTESIAN_POINT('Ctrl Pts',(-13.48753663105,-6.722289014713,8.084028184573)); #485060=CARTESIAN_POINT('Ctrl Pts',(-13.409790407,-6.729095758588,8.269885513285)); #485061=CARTESIAN_POINT('Ctrl Pts',(-13.38905651821,-6.754085393972,8.412527133837)); #485062=CARTESIAN_POINT('Ctrl Pts',(-13.39055332642,-6.775995975591,8.497607685015)); #485063=CARTESIAN_POINT('Ctrl Pts',(-14.57047831532,-6.885457944099,7.807799123266)); #485064=CARTESIAN_POINT('Ctrl Pts',(-14.49851359063,-6.843627660839,7.762177863305)); #485065=CARTESIAN_POINT('Ctrl Pts',(-14.36576897118,-6.772050114101,7.713713144721)); #485066=CARTESIAN_POINT('Ctrl Pts',(-14.16956667687,-6.677321365203,7.71263766309)); #485067=CARTESIAN_POINT('Ctrl Pts',(-14.00625004284,-6.605326939433,7.75541788726)); #485068=CARTESIAN_POINT('Ctrl Pts',(-13.85450045156,-6.545368964693,7.839356621065)); #485069=CARTESIAN_POINT('Ctrl Pts',(-13.72318115599,-6.500939259373,7.959528862575)); #485070=CARTESIAN_POINT('Ctrl Pts',(-13.61996210537,-6.474617266433,8.10889937883)); #485071=CARTESIAN_POINT('Ctrl Pts',(-13.53795177253,-6.466668958052,8.310500985035)); #485072=CARTESIAN_POINT('Ctrl Pts',(-13.52070139055,-6.482811995749,8.467008365276)); #485073=CARTESIAN_POINT('Ctrl Pts',(-13.52684181973,-6.500268333473,8.560013250676)); #485074=CARTESIAN_POINT('Ctrl Pts',(-14.71903603077,-6.736237655304,7.874202572915)); #485075=CARTESIAN_POINT('Ctrl Pts',(-14.64699940217,-6.698031605046,7.816111888167)); #485076=CARTESIAN_POINT('Ctrl Pts',(-14.51031259414,-6.6290548056,7.748444946716)); #485077=CARTESIAN_POINT('Ctrl Pts',(-14.30320925794,-6.531326971843,7.727497028871)); #485078=CARTESIAN_POINT('Ctrl Pts',(-14.1288202432,-6.453142755613,7.759121542625)); #485079=CARTESIAN_POINT('Ctrl Pts',(-13.96590212275,-6.384210108763,7.837938065794)); #485080=CARTESIAN_POINT('Ctrl Pts',(-13.82503839936,-6.328998306073,7.958802317301)); #485081=CARTESIAN_POINT('Ctrl Pts',(-13.71537984782,-6.291077814313,8.113844501823)); #485082=CARTESIAN_POINT('Ctrl Pts',(-13.63071136943,-6.269488860308,8.326488696855)); #485083=CARTESIAN_POINT('Ctrl Pts',(-13.61679587498,-6.276799143166,8.493026807967)); #485084=CARTESIAN_POINT('Ctrl Pts',(-13.62694238368,-6.289612823816,8.591712778927)); #485085=CARTESIAN_POINT('Ctrl Pts',(-14.85804408204,-6.580848627382,7.932138743919)); #485086=CARTESIAN_POINT('Ctrl Pts',(-14.78658968652,-6.54882153427,7.862125330322)); #485087=CARTESIAN_POINT('Ctrl Pts',(-14.64684855831,-6.486318764692,7.775384669186)); #485088=CARTESIAN_POINT('Ctrl Pts',(-14.42965927239,-6.389469973623,7.733662647383)); #485089=CARTESIAN_POINT('Ctrl Pts',(-14.24467768385,-6.307170092923,7.752937877052)); #485090=CARTESIAN_POINT('Ctrl Pts',(-14.07097285574,-6.230075915723,7.825239500799)); #485091=CARTESIAN_POINT('Ctrl Pts',(-13.92096633878,-6.163694202523,7.945373343126)); #485092=CARTESIAN_POINT('Ctrl Pts',(-13.80538509484,-6.112756263843,8.104729789839)); #485093=CARTESIAN_POINT('Ctrl Pts',(-13.71886401666,-6.074920149529,8.326911453743)); #485094=CARTESIAN_POINT('Ctrl Pts',(-13.70901777164,-6.07101130856,8.502462647844)); #485095=CARTESIAN_POINT('Ctrl Pts',(-13.72361684647,-6.077746570046,8.606196263895)); #485096=CARTESIAN_POINT('Ctrl Pts',(-14.98657211641,-6.415390262971,7.976214657807)); #485097=CARTESIAN_POINT('Ctrl Pts',(-14.91606252208,-6.391906214358,7.895856350725)); #485098=CARTESIAN_POINT('Ctrl Pts',(-14.77394871539,-6.339765121836,7.791826459357)); #485099=CARTESIAN_POINT('Ctrl Pts',(-14.54766018789,-6.248160406143,7.730222167733)); #485100=CARTESIAN_POINT('Ctrl Pts',(-14.35291864632,-6.164410123803,7.737010247799)); #485101=CARTESIAN_POINT('Ctrl Pts',(-14.16926298566,-6.080621217593,7.801949336978)); #485102=CARTESIAN_POINT('Ctrl Pts',(-14.01096171511,-6.003300702843,7.919974273117)); #485103=CARTESIAN_POINT('Ctrl Pts',(-13.89031368863,-5.938443014703,8.081898352039)); #485104=CARTESIAN_POINT('Ctrl Pts',(-13.80292545826,-5.882204766065,8.311273722466)); #485105=CARTESIAN_POINT('Ctrl Pts',(-13.79775009254,-5.864864986527,8.49403429337)); #485106=CARTESIAN_POINT('Ctrl Pts',(-13.81705878085,-5.864153394952,8.601740891274)); #485107=CARTESIAN_POINT('Ctrl Pts',(-15.08346117212,-6.26557967385,7.994061026448)); #485108=CARTESIAN_POINT('Ctrl Pts',(-15.0136967145,-6.25012727527,7.907492049168)); #485109=CARTESIAN_POINT('Ctrl Pts',(-14.87000379368,-6.20860627478,7.792514629118)); #485110=CARTESIAN_POINT('Ctrl Pts',(-14.63736567515,-6.124391454513,7.717512293262)); #485111=CARTESIAN_POINT('Ctrl Pts',(-14.43579721575,-6.041820775093,7.715381608952)); #485112=CARTESIAN_POINT('Ctrl Pts',(-14.24523746656,-5.954424023663,7.774493103501)); #485113=CARTESIAN_POINT('Ctrl Pts',(-14.08131352634,-5.869368690493,7.889994112384)); #485114=CARTESIAN_POINT('Ctrl Pts',(-13.95746783931,-5.793626655083,8.052407038267)); #485115=CARTESIAN_POINT('Ctrl Pts',(-13.87008919211,-5.722138319968,8.285072346789)); #485116=CARTESIAN_POINT('Ctrl Pts',(-13.86876653122,-5.693080621638,8.471539777189)); #485117=CARTESIAN_POINT('Ctrl Pts',(-13.89172227127,-5.685734069133,8.581228522398)); #485118=CARTESIAN_POINT('Ctrl Pts',(-15.15202371547,-6.138530934348,7.99123148298)); #485119=CARTESIAN_POINT('Ctrl Pts',(-15.08262024211,-6.128176730274,7.901749710372)); #485120=CARTESIAN_POINT('Ctrl Pts',(-14.9379754542,-6.093745327103,7.781439347104)); #485121=CARTESIAN_POINT('Ctrl Pts',(-14.70172195219,-6.015237713612,7.699627179957)); #485122=CARTESIAN_POINT('Ctrl Pts',(-14.49629726295,-5.934491518469,7.692789184961)); #485123=CARTESIAN_POINT('Ctrl Pts',(-14.30185212215,-5.845963866607,7.748631407821)); #485124=CARTESIAN_POINT('Ctrl Pts',(-14.1347719084,-5.757114909141,7.862448009567)); #485125=CARTESIAN_POINT('Ctrl Pts',(-14.00913540493,-5.675431234612,8.02464647715)); #485126=CARTESIAN_POINT('Ctrl Pts',(-13.92176238798,-5.595143702805,8.258392240439)); #485127=CARTESIAN_POINT('Ctrl Pts',(-13.9225861601,-5.558989326385,8.446300686489)); #485128=CARTESIAN_POINT('Ctrl Pts',(-13.94756485475,-5.547526197879,8.556730523514)); #485129=CARTESIAN_POINT('Ctrl Pts',(-15.18275972334,-6.072313255517,7.98325146892)); #485130=CARTESIAN_POINT('Ctrl Pts',(-15.11342508931,-6.063541706428,7.8930673699)); #485131=CARTESIAN_POINT('Ctrl Pts',(-14.96845379151,-6.031435518836,7.771440313659)); #485132=CARTESIAN_POINT('Ctrl Pts',(-14.73108693655,-5.955092444324,7.6879031157)); #485133=CARTESIAN_POINT('Ctrl Pts',(-14.52448613702,-5.875348882332,7.679846106448)); #485134=CARTESIAN_POINT('Ctrl Pts',(-14.32884715127,-5.786963777307,7.734812459214)); #485135=CARTESIAN_POINT('Ctrl Pts',(-14.16077065475,-5.69743682565,7.848138951108)); #485136=CARTESIAN_POINT('Ctrl Pts',(-14.03451786916,-5.614364631858,8.010208860476)); #485137=CARTESIAN_POINT('Ctrl Pts',(-13.94701370283,-5.531783225036,8.244132327807)); #485138=CARTESIAN_POINT('Ctrl Pts',(-13.94835311687,-5.493608764961,8.432333352614)); #485139=CARTESIAN_POINT('Ctrl Pts',(-13.97385416853,-5.480917438498,8.542907473753)); #485140=CARTESIAN_POINT('Ctrl Pts',(-15.19648449357,-6.039386490599,7.977407075369)); #485141=CARTESIAN_POINT('Ctrl Pts',(-15.12714084996,-6.030979820011,7.887119695126)); #485142=CARTESIAN_POINT('Ctrl Pts',(-14.98206317573,-5.999472625138,7.765297262358)); #485143=CARTESIAN_POINT('Ctrl Pts',(-14.74440658523,-5.923835660423,7.681501126372)); #485144=CARTESIAN_POINT('Ctrl Pts',(-14.53750673539,-5.844558651414,7.673259051349)); #485145=CARTESIAN_POINT('Ctrl Pts',(-14.34155751405,-5.756478936133,7.728089876926)); #485146=CARTESIAN_POINT('Ctrl Pts',(-14.17320328343,-5.667077990508,7.84133700816)); #485147=CARTESIAN_POINT('Ctrl Pts',(-14.04674375216,-5.58394954891,8.003380424037)); #485148=CARTESIAN_POINT('Ctrl Pts',(-13.95911257638,-5.501099330352,8.237320853812)); #485149=CARTESIAN_POINT('Ctrl Pts',(-13.96048678701,-5.462573195133,8.425558256476)); #485150=CARTESIAN_POINT('Ctrl Pts',(-13.98605633087,-5.449631411993,8.536149554936)); #485151=CARTESIAN_POINT('Ctrl Pts',(-13.8819204368073,-7.05589257241298, 7.6377950907081)); #485152=CARTESIAN_POINT('Ctrl Pts',(-13.8728106386435,-7.06312934670472, 7.63608672305662)); #485153=CARTESIAN_POINT('Ctrl Pts',(-13.8632695301549,-7.06987073640704, 7.63460322735278)); #485154=CARTESIAN_POINT('Ctrl Pts',(-13.8418158120828,-7.08335976485461, 7.63198759621783)); #485155=CARTESIAN_POINT('Ctrl Pts',(-13.8297828483678,-7.08991524152125, 7.63095638686326)); #485156=CARTESIAN_POINT('Ctrl Pts',(-13.7900550167638,-7.10857664615145, 7.62914041931999)); #485157=CARTESIAN_POINT('Ctrl Pts',(-13.7613257990966,-7.11766555671753, 7.63018021290506)); #485158=CARTESIAN_POINT('Ctrl Pts',(-13.6937954478698,-7.13101231321487, 7.63942023208087)); #485159=CARTESIAN_POINT('Ctrl Pts',(-13.6559747759828,-7.13264975912556, 7.64960088831783)); #485160=CARTESIAN_POINT('Ctrl Pts',(-13.5816933988192,-7.12750811839303, 7.6813515162679)); #485161=CARTESIAN_POINT('Ctrl Pts',(-13.5468209627297,-7.12032534590277, 7.70298158268753)); #485162=CARTESIAN_POINT('Ctrl Pts',(-13.4739867913448,-7.09801425378698, 7.76322566812519)); #485163=CARTESIAN_POINT('Ctrl Pts',(-13.4395729229889,-7.08117261142564, 7.80464700529169)); #485164=CARTESIAN_POINT('Ctrl Pts',(-13.3822463535061,-7.0447230768204, 7.89754789175886)); #485165=CARTESIAN_POINT('Ctrl Pts',(-13.3599549485721,-7.02460622258815, 7.95028122301377)); #485166=CARTESIAN_POINT('Ctrl Pts',(-13.3441102129686,-7.00544527996074, 8.00424550416374)); #485167=CARTESIAN_POINT('Origin',(-14.7348953717374,-5.84753340561702,8.28116616474171)); #485168=CARTESIAN_POINT('Origin',(-13.0052097772505,-8.88408794641608,7.46451847910472)); #485169=CARTESIAN_POINT('Ctrl Pts',(-12.35384307616,-8.875379604444,6.507470028573)); #485170=CARTESIAN_POINT('Ctrl Pts',(-12.26694880043,-8.87221320691,6.562948582438)); #485171=CARTESIAN_POINT('Ctrl Pts',(-12.10624004439,-8.900875378321,6.696290836851)); #485172=CARTESIAN_POINT('Ctrl Pts',(-11.99824077331,-8.993902667537,6.851581967215)); #485173=CARTESIAN_POINT('Ctrl Pts',(-11.96213077737,-9.052845984574,6.928292771623)); #485174=CARTESIAN_POINT('Ctrl Pts',(-12.40215842289,-8.827745219535,6.553698152675)); #485175=CARTESIAN_POINT('Ctrl Pts',(-12.31257650198,-8.822338578861,6.610382647458)); #485176=CARTESIAN_POINT('Ctrl Pts',(-12.14682996799,-8.84822571354,6.74827833608)); #485177=CARTESIAN_POINT('Ctrl Pts',(-12.0367822681,-8.941989825694,6.910315403264)); #485178=CARTESIAN_POINT('Ctrl Pts',(-12.00076189041,-9.001950283045,6.990304172919)); #485179=CARTESIAN_POINT('Ctrl Pts',(-12.49048368771,-8.740010985051,6.635238932779)); #485180=CARTESIAN_POINT('Ctrl Pts',(-12.39597221785,-8.730403044713,6.693709133771)); #485181=CARTESIAN_POINT('Ctrl Pts',(-12.22088214562,-8.750980589692,6.839371863033)); #485182=CARTESIAN_POINT('Ctrl Pts',(-12.10710796359,-8.845964905517,7.013514296834)); #485183=CARTESIAN_POINT('Ctrl Pts',(-12.07134311282,-8.907738300599,7.099367825668)); #485184=CARTESIAN_POINT('Ctrl Pts',(-12.59955736728,-8.628851360527,6.732192025119)); #485185=CARTESIAN_POINT('Ctrl Pts',(-12.49904704093,-8.614039646294,6.791972212816)); #485186=CARTESIAN_POINT('Ctrl Pts',(-12.31233629079,-8.62785572637,6.945908356772)); #485187=CARTESIAN_POINT('Ctrl Pts',(-12.1940632768,-8.724148196969,7.134291563292)); #485188=CARTESIAN_POINT('Ctrl Pts',(-12.15879391723,-8.788050858388,7.227007526841)); #485189=CARTESIAN_POINT('Ctrl Pts',(-12.68004248833,-8.546301911933,6.801217811877)); #485190=CARTESIAN_POINT('Ctrl Pts',(-12.57503378311,-8.527524043172,6.86157424588)); #485191=CARTESIAN_POINT('Ctrl Pts',(-12.37945969968,-8.536072567951,7.021189059138)); #485192=CARTESIAN_POINT('Ctrl Pts',(-12.25779922849,-8.633222283782,7.220098333403)); #485193=CARTESIAN_POINT('Ctrl Pts',(-12.22298096623,-8.698671395558,7.317868202147)); #485194=CARTESIAN_POINT('Ctrl Pts',(-12.77176717373,-8.453670403577,6.875885516432)); #485195=CARTESIAN_POINT('Ctrl Pts',(-12.66137647192,-8.430015776449,6.936609349863)); #485196=CARTESIAN_POINT('Ctrl Pts',(-12.45504927789,-8.431943087503,7.102647572165)); #485197=CARTESIAN_POINT('Ctrl Pts',(-12.32929154735,-8.529913934153,7.314135851938)); #485198=CARTESIAN_POINT('Ctrl Pts',(-12.29506742455,-8.597139682033,7.417930077224)); #485199=CARTESIAN_POINT('Ctrl Pts',(-12.84490719977,-8.381228301901,6.930919941421)); #485200=CARTESIAN_POINT('Ctrl Pts',(-12.72995877833,-8.353284659632,6.991459541785)); #485201=CARTESIAN_POINT('Ctrl Pts',(-12.51429397298,-8.349187195149,7.162360098231)); #485202=CARTESIAN_POINT('Ctrl Pts',(-12.38500402837,-8.447589759734,7.384474070063)); #485203=CARTESIAN_POINT('Ctrl Pts',(-12.35137275281,-8.516226860088,7.493333616662)); #485204=CARTESIAN_POINT('Ctrl Pts',(-12.89772943965,-8.329234353308,6.969081243379)); #485205=CARTESIAN_POINT('Ctrl Pts',(-12.7794172604,-8.298056462398,7.029166236677)); #485206=CARTESIAN_POINT('Ctrl Pts',(-12.55669540712,-8.289289598179,7.203287386728)); #485207=CARTESIAN_POINT('Ctrl Pts',(-12.42475304243,-8.387871012327,7.433256892899)); #485208=CARTESIAN_POINT('Ctrl Pts',(-12.3916222235,-8.457502988582,7.545845836494)); #485209=CARTESIAN_POINT('Ctrl Pts',(-12.3419283004197,-8.84697176034134, 6.56047002366859)); #485210=CARTESIAN_POINT('Ctrl Pts',(-12.2687920550721,-8.84779386030545, 6.61100115640652)); #485211=CARTESIAN_POINT('Ctrl Pts',(-12.2012154127423,-8.86139029865219, 6.66934823521832)); #485212=CARTESIAN_POINT('Ctrl Pts',(-12.1434131059923,-8.88690759383775, 6.73186720029161)); #485213=CARTESIAN_POINT('Ctrl Pts',(-12.0856108267293,-8.91242487688896, 6.794386135635)); #485214=CARTESIAN_POINT('Ctrl Pts',(-12.0375829904987,-8.94986311715203, 6.86107697524502)); #485215=CARTESIAN_POINT('Ctrl Pts',(-12.0023323819801,-8.99688931756311, 6.927777088905)); #485216=CARTESIAN_POINT('Ctrl Pts',(-12.1610397665,-9.069234990618,6.301669990724)); #485217=CARTESIAN_POINT('Ctrl Pts',(-12.08308985169,-9.074182088596,6.35178149106)); #485218=CARTESIAN_POINT('Ctrl Pts',(-11.93900292807,-9.113397608394,6.467301246115)); #485219=CARTESIAN_POINT('Ctrl Pts',(-11.83771977674,-9.20528463284,6.597464933359)); #485220=CARTESIAN_POINT('Ctrl Pts',(-11.80136079378,-9.261419298701,6.661811881225)); #485221=CARTESIAN_POINT('Ctrl Pts',(-12.24184662432,-8.98894499647,6.393242668363)); #485222=CARTESIAN_POINT('Ctrl Pts',(-12.15972476227,-8.990732386048,6.446161727834)); #485223=CARTESIAN_POINT('Ctrl Pts',(-12.00802249796,-9.026352509142,6.570066400987)); #485224=CARTESIAN_POINT('Ctrl Pts',(-11.90353269977,-9.119898744383,6.711348560053)); #485225=CARTESIAN_POINT('Ctrl Pts',(-11.86716331754,-9.177829106783,6.781118024758)); #485226=CARTESIAN_POINT('Ctrl Pts',(-12.32828073252,-8.906501728355,6.475657332168)); #485227=CARTESIAN_POINT('Ctrl Pts',(-12.24106030237,-8.904032005606,6.531300251055)); #485228=CARTESIAN_POINT('Ctrl Pts',(-12.07989988665,-8.934487071237,6.66450608461)); #485229=CARTESIAN_POINT('Ctrl Pts',(-11.97154273232,-9.029563149046,6.818938057006)); #485230=CARTESIAN_POINT('Ctrl Pts',(-11.93530448623,-9.089493030732,6.895090313245)); #485231=CARTESIAN_POINT('Ctrl Pts',(-12.41553433685,-8.820153775077,6.557129863889)); #485232=CARTESIAN_POINT('Ctrl Pts',(-12.32340320073,-8.813477281539,6.614539765715)); #485233=CARTESIAN_POINT('Ctrl Pts',(-12.15292219922,-8.838659261206,6.755518990693)); #485234=CARTESIAN_POINT('Ctrl Pts',(-12.04091318156,-8.935054195799,6.922059247422)); #485235=CARTESIAN_POINT('Ctrl Pts',(-12.00499482098,-8.996847444679,7.004050212419)); #485236=CARTESIAN_POINT('Ctrl Pts',(-12.1478060076692,-9.04630780453318, 6.35768824266609)); #485237=CARTESIAN_POINT('Ctrl Pts',(-12.0836960739795,-9.05374451701299, 6.40226194574753)); #485238=CARTESIAN_POINT('Ctrl Pts',(-12.0243368763018,-9.07143983032937, 6.45194517226359)); #485239=CARTESIAN_POINT('Ctrl Pts',(-11.9726195224305,-9.09852910095306, 6.5043159162125)); #485240=CARTESIAN_POINT('Ctrl Pts',(-11.9209023056445,-9.12561829977227, 6.55668652134429)); #485241=CARTESIAN_POINT('Ctrl Pts',(-11.8768269177082,-9.16210143109462, 6.61174463365403)); #485242=CARTESIAN_POINT('Ctrl Pts',(-11.8425434191403,-9.20620254859923, 6.66680736004)); #485243=CARTESIAN_POINT('Ctrl Pts',(-11.86215765281,-9.380190326761,5.876822015828)); #485244=CARTESIAN_POINT('Ctrl Pts',(-11.7949745015,-9.394014073268,5.916738934461)); #485245=CARTESIAN_POINT('Ctrl Pts',(-11.67016531156,-9.443900453347,6.004828518779)); #485246=CARTESIAN_POINT('Ctrl Pts',(-11.57663579857,-9.532877241678,6.100541474672)); #485247=CARTESIAN_POINT('Ctrl Pts',(-11.54011123511,-9.585007568297,6.147926015043)); #485248=CARTESIAN_POINT('Ctrl Pts',(-11.91608899866,-9.323933627736,5.966020968426)); #485249=CARTESIAN_POINT('Ctrl Pts',(-11.84690018081,-9.336564663147,6.00795811418)); #485250=CARTESIAN_POINT('Ctrl Pts',(-11.71853410478,-9.385379785688,6.101104800627)); #485251=CARTESIAN_POINT('Ctrl Pts',(-11.62345894302,-9.475659080699,6.202831705981)); #485252=CARTESIAN_POINT('Ctrl Pts',(-11.58686037579,-9.528873778464,6.253164294078)); #485253=CARTESIAN_POINT('Ctrl Pts',(-12.02981746259,-9.206721103004,6.13512354472)); #485254=CARTESIAN_POINT('Ctrl Pts',(-11.95590820252,-9.216338115123,6.181461577838)); #485255=CARTESIAN_POINT('Ctrl Pts',(-11.81913266056,-9.262248901375,6.285944931505)); #485256=CARTESIAN_POINT('Ctrl Pts',(-11.72044532148,-9.35531342851,6.401402124115)); #485257=CARTESIAN_POINT('Ctrl Pts',(-11.6837211765,-9.410957719426,6.458452550007)); #485258=CARTESIAN_POINT('Ctrl Pts',(-12.15672482804,-9.081023408573,6.28429410288)); #485259=CARTESIAN_POINT('Ctrl Pts',(-12.07624202131,-9.08572060398,6.335302810554)); #485260=CARTESIAN_POINT('Ctrl Pts',(-11.92751393876,-9.126221694953,6.453417560244)); #485261=CARTESIAN_POINT('Ctrl Pts',(-11.82389155004,-9.222263045946,6.586628228639)); #485262=CARTESIAN_POINT('Ctrl Pts',(-11.78723617489,-9.280918454745,6.652302549037)); #485263=CARTESIAN_POINT('Ctrl Pts',(-12.22348208526,-9.016296275121,6.354464801163)); #485264=CARTESIAN_POINT('Ctrl Pts',(-12.13923741454,-9.017944353339,6.407641202787)); #485265=CARTESIAN_POINT('Ctrl Pts',(-11.98355405693,-9.054886137571,6.532885970579)); #485266=CARTESIAN_POINT('Ctrl Pts',(-11.87715108364,-9.152345115909,6.675952555182)); #485267=CARTESIAN_POINT('Ctrl Pts',(-11.84064747654,-9.212612447759,6.746388081579)); #485268=CARTESIAN_POINT('Origin',(-12.1612027554311,-10.008378866987,6.16128182288645)); #485269=CARTESIAN_POINT('Ctrl Pts',(-11.58883015062,-9.681210889888,5.306633342731)); #485270=CARTESIAN_POINT('Ctrl Pts',(-11.52681318611,-9.698091470709,5.339848461972)); #485271=CARTESIAN_POINT('Ctrl Pts',(-11.41089874318,-9.750665182291,5.411775949325)); #485272=CARTESIAN_POINT('Ctrl Pts',(-11.32062911318,-9.836180584748,5.488666379)); #485273=CARTESIAN_POINT('Ctrl Pts',(-11.28384606897,-9.88546626286,5.526760817642)); #485274=CARTESIAN_POINT('Ctrl Pts',(-11.63645980645,-9.626285453526,5.424350767023)); #485275=CARTESIAN_POINT('Ctrl Pts',(-11.57402708973,-9.643312366098,5.457785555803)); #485276=CARTESIAN_POINT('Ctrl Pts',(-11.45742264414,-9.696414651219,5.530276373007)); #485277=CARTESIAN_POINT('Ctrl Pts',(-11.3669299983,-9.782819618054,5.607844243992)); #485278=CARTESIAN_POINT('Ctrl Pts',(-11.33018473996,-9.832599179042,5.646269386744)); #485279=CARTESIAN_POINT('Ctrl Pts',(-11.70763743173,-9.547062309738,5.586470003997)); #485280=CARTESIAN_POINT('Ctrl Pts',(-11.64387028519,-9.563735241648,5.621385977886)); #485281=CARTESIAN_POINT('Ctrl Pts',(-11.5249604593,-9.61696717369,5.697353452797)); #485282=CARTESIAN_POINT('Ctrl Pts',(-11.4335006752,-9.704925580273,5.778867945574)); #485283=CARTESIAN_POINT('Ctrl Pts',(-11.39671914954,-9.755728375326,5.819233321719)); #485284=CARTESIAN_POINT('Ctrl Pts',(-11.81825703243,-9.430424307562,5.792328697042)); #485285=CARTESIAN_POINT('Ctrl Pts',(-11.75085238963,-9.4451516927,5.831226468791)); #485286=CARTESIAN_POINT('Ctrl Pts',(-11.62553093391,-9.496892569088,5.916877285452)); #485287=CARTESIAN_POINT('Ctrl Pts',(-11.53129558926,-9.587616157789,6.009659065187)); #485288=CARTESIAN_POINT('Ctrl Pts',(-11.49438167042,-9.640570622125,6.055546775815)); #485289=CARTESIAN_POINT('Ctrl Pts',(-11.87742516201,-9.368904371176,5.890608566557)); #485290=CARTESIAN_POINT('Ctrl Pts',(-11.80778119144,-9.382285048429,5.931753241461)); #485291=CARTESIAN_POINT('Ctrl Pts',(-11.67848775747,-9.432832999937,6.023055520424)); #485292=CARTESIAN_POINT('Ctrl Pts',(-11.58256526815,-9.525059328917,6.122560986107)); #485293=CARTESIAN_POINT('Ctrl Pts',(-11.54560590276,-9.579252220624,6.171735272838)); #485294=CARTESIAN_POINT('Ctrl Pts',(-11.91186220526,-9.33342546587,5.94411127302)); #485295=CARTESIAN_POINT('Ctrl Pts',(-11.84081171376,-9.345909976113,5.986574065757)); #485296=CARTESIAN_POINT('Ctrl Pts',(-11.70901077337,-9.395615014041,6.081282877922)); #485297=CARTESIAN_POINT('Ctrl Pts',(-11.61203545375,-9.488720099373,6.184911556311)); #485298=CARTESIAN_POINT('Ctrl Pts',(-11.57506212568,-9.543663030127,6.236097182028)); #485299=CARTESIAN_POINT('Origin',(-11.8918107174662,-10.3270197957013,5.54326575281734)); #485300=CARTESIAN_POINT('Ctrl Pts',(-10.8637054212,-10.45355733446,3.404810240972)); #485301=CARTESIAN_POINT('Ctrl Pts',(-10.80774348448,-10.47050119564,3.430378182926)); #485302=CARTESIAN_POINT('Ctrl Pts',(-10.70188445026,-10.51937126092,3.484641413769)); #485303=CARTESIAN_POINT('Ctrl Pts',(-10.61475339158,-10.59483665658,3.541663609553)); #485304=CARTESIAN_POINT('Ctrl Pts',(-10.57733551223,-10.63802500128,3.569931974764)); #485305=CARTESIAN_POINT('Ctrl Pts',(-10.88820128992,-10.42943095502,3.472984294698)); #485306=CARTESIAN_POINT('Ctrl Pts',(-10.83194402338,-10.44636081722,3.498910709517)); #485307=CARTESIAN_POINT('Ctrl Pts',(-10.72557367011,-10.49538084091,3.553976857241)); #485308=CARTESIAN_POINT('Ctrl Pts',(-10.63820343415,-10.57129762622,3.611878240627)); #485309=CARTESIAN_POINT('Ctrl Pts',(-10.60075637777,-10.6147656712,3.640579670252)); #485310=CARTESIAN_POINT('Ctrl Pts',(-10.93299221614,-10.38535157267,3.593945999754)); #485311=CARTESIAN_POINT('Ctrl Pts',(-10.87611847588,-10.40221218055,3.620610841432)); #485312=CARTESIAN_POINT('Ctrl Pts',(-10.7686733952,-10.45146762759,3.67733861109)); #485313=CARTESIAN_POINT('Ctrl Pts',(-10.68078623371,-10.52825778835,3.737065668632)); #485314=CARTESIAN_POINT('Ctrl Pts',(-10.64326775116,-10.57227786858,3.76666590902)); #485315=CARTESIAN_POINT('Ctrl Pts',(-10.98684177497,-10.3300862493,3.74494602054)); #485316=CARTESIAN_POINT('Ctrl Pts',(-10.92954129929,-10.34712502922,3.771840757478)); #485317=CARTESIAN_POINT('Ctrl Pts',(-10.82137164245,-10.39696827281,3.829118588312)); #485318=CARTESIAN_POINT('Ctrl Pts',(-10.73317571255,-10.47470920812,3.889476102183)); #485319=CARTESIAN_POINT('Ctrl Pts',(-10.69563715033,-10.5192599147,3.919384766396)); #485320=CARTESIAN_POINT('Ctrl Pts',(-11.02485301306,-10.28995917078,3.856061046162)); #485321=CARTESIAN_POINT('Ctrl Pts',(-10.96741727385,-10.30724157405,3.882841776581)); #485322=CARTESIAN_POINT('Ctrl Pts',(-10.8590392127,-10.35762629326,3.939892259875)); #485323=CARTESIAN_POINT('Ctrl Pts',(-10.77080127251,-10.43596478436,4.000023193015)); #485324=CARTESIAN_POINT('Ctrl Pts',(-10.73329069069,-10.4808127782,4.029818562604)); #485325=CARTESIAN_POINT('Ctrl Pts',(-11.06163736244,-10.24994394684,3.969461709767)); #485326=CARTESIAN_POINT('Ctrl Pts',(-11.00427771912,-10.26760117832,3.995788769477)); #485327=CARTESIAN_POINT('Ctrl Pts',(-10.89607550389,-10.31865371928,4.051856056483)); #485328=CARTESIAN_POINT('Ctrl Pts',(-10.8080159884,-10.39746994897,4.110936631315)); #485329=CARTESIAN_POINT('Ctrl Pts',(-10.77058514656,-10.44250645425,4.140212642659)); #485330=CARTESIAN_POINT('Ctrl Pts',(-11.10887065029,-10.2007183718,4.105590675135)); #485331=CARTESIAN_POINT('Ctrl Pts',(-11.0511057836,-10.21845407084,4.132364982243)); #485332=CARTESIAN_POINT('Ctrl Pts',(-10.94222713456,-10.26990768203,4.189444795818)); #485333=CARTESIAN_POINT('Ctrl Pts',(-10.85392034523,-10.34951977075,4.249642765345)); #485334=CARTESIAN_POINT('Ctrl Pts',(-10.8165038864,-10.3950184981,4.279468521172)); #485335=CARTESIAN_POINT('Ctrl Pts',(-11.17130551793,-10.14012946742,4.256093329298)); #485336=CARTESIAN_POINT('Ctrl Pts',(-11.1119641335,-10.15718929262,4.285249219107)); #485337=CARTESIAN_POINT('Ctrl Pts',(-11.00031332883,-10.20832030673,4.347683123322)); #485338=CARTESIAN_POINT('Ctrl Pts',(-10.91067291887,-10.28941746514,4.413760523769)); #485339=CARTESIAN_POINT('Ctrl Pts',(-10.87308169378,-10.33599904051,4.446481077168)); #485340=CARTESIAN_POINT('Ctrl Pts',(-11.23756426379,-10.07528648959,4.403552967295)); #485341=CARTESIAN_POINT('Ctrl Pts',(-11.17651138966,-10.09158974385,4.434989760485)); #485342=CARTESIAN_POINT('Ctrl Pts',(-11.06184401366,-10.14233357167,4.502656498959)); #485343=CARTESIAN_POINT('Ctrl Pts',(-10.9707763523,-10.22502469988,4.574565153421)); #485344=CARTESIAN_POINT('Ctrl Pts',(-10.93301881172,-10.27277262921,4.610150405443)); #485345=CARTESIAN_POINT('Ctrl Pts',(-11.29095485989,-10.0184980488,4.533322132129)); #485346=CARTESIAN_POINT('Ctrl Pts',(-11.22925229076,-10.03480045878,4.565309968783)); #485347=CARTESIAN_POINT('Ctrl Pts',(-11.11347120109,-10.0859389318,4.634294322066)); #485348=CARTESIAN_POINT('Ctrl Pts',(-11.02195137746,-10.16967730285,4.707713511565)); #485349=CARTESIAN_POINT('Ctrl Pts',(-10.98418744798,-10.21805553777,4.74403771333)); #485350=CARTESIAN_POINT('Ctrl Pts',(-11.33077914893,-9.972497088476,4.643465503306)); #485351=CARTESIAN_POINT('Ctrl Pts',(-11.26920704505,-9.989300083192,4.674909331164)); #485352=CARTESIAN_POINT('Ctrl Pts',(-11.15370931189,-10.04130699017,4.742683388052)); #485353=CARTESIAN_POINT('Ctrl Pts',(-11.06243437742,-10.12561232091,4.814783421505)); #485354=CARTESIAN_POINT('Ctrl Pts',(-11.02476541675,-10.17419718332,4.850457377034)); #485355=CARTESIAN_POINT('Ctrl Pts',(-11.37039797084,-9.927085120678,4.752787076859)); #485356=CARTESIAN_POINT('Ctrl Pts',(-11.30884791944,-9.944281283735,4.783888562749)); #485357=CARTESIAN_POINT('Ctrl Pts',(-11.1934403093,-9.997023540449,4.850913913104)); #485358=CARTESIAN_POINT('Ctrl Pts',(-11.10232088565,-10.08191505733,4.922208396501)); #485359=CARTESIAN_POINT('Ctrl Pts',(-11.06473908667,-10.13074685656,4.957484480894)); #485360=CARTESIAN_POINT('Ctrl Pts',(-11.42071225992,-9.870873417508,4.885973566626)); #485361=CARTESIAN_POINT('Ctrl Pts',(-11.35882397167,-9.888265941209,4.917259835653)); #485362=CARTESIAN_POINT('Ctrl Pts',(-11.2428730477,-9.941594388286,4.984745112869)); #485363=CARTESIAN_POINT('Ctrl Pts',(-11.15162066481,-10.02735533453,5.056580459613)); #485364=CARTESIAN_POINT('Ctrl Pts',(-11.11410068177,-10.07665663859,5.092120153881)); #485365=CARTESIAN_POINT('Ctrl Pts',(-11.51092854631,-9.774228570503,5.102444116033)); #485366=CARTESIAN_POINT('Ctrl Pts',(-11.44741934243,-9.791184076794,5.135583562922)); #485367=CARTESIAN_POINT('Ctrl Pts',(-11.32866440745,-9.844685371653,5.207404531584)); #485368=CARTESIAN_POINT('Ctrl Pts',(-11.2362429314,-9.932399950071,5.284138465635)); #485369=CARTESIAN_POINT('Ctrl Pts',(-11.1986895033,-9.982987621642,5.322079713507)); #485370=CARTESIAN_POINT('Ctrl Pts',(-11.58193155679,-9.696028109501,5.267240252888)); #485371=CARTESIAN_POINT('Ctrl Pts',(-11.51722827602,-9.712756927745,5.301477757189)); #485372=CARTESIAN_POINT('Ctrl Pts',(-11.39640770293,-9.766563356886,5.375953764679)); #485373=CARTESIAN_POINT('Ctrl Pts',(-11.30314574068,-9.85585357576,5.455754838113)); #485374=CARTESIAN_POINT('Ctrl Pts',(-11.26558531061,-9.90744038508,5.495194852528)); #485375=CARTESIAN_POINT('Ctrl Pts',(-11.62972610165,-9.640869587176,5.384146300555)); #485376=CARTESIAN_POINT('Ctrl Pts',(-11.56453301812,-9.657697372315,5.418698571683)); #485377=CARTESIAN_POINT('Ctrl Pts',(-11.44289569028,-9.711996674824,5.493968454612)); #485378=CARTESIAN_POINT('Ctrl Pts',(-11.34937602561,-9.80223642649,5.574711366456)); #485379=CARTESIAN_POINT('Ctrl Pts',(-11.31186802303,-9.854361377537,5.6146098484)); #485380=CARTESIAN_POINT('Origin',(-11.1521955350471,-11.1216471237224,3.59256816028147)); #485381=CARTESIAN_POINT('Ctrl Pts',(-10.09420152563,-11.17556081467,0.6964562875018)); #485382=CARTESIAN_POINT('Ctrl Pts',(-10.04092611853,-11.19245409929,0.7125146206229)); #485383=CARTESIAN_POINT('Ctrl Pts',(-9.940554326195,-11.23829115978,0.745650513581)); #485384=CARTESIAN_POINT('Ctrl Pts',(-9.854200182234,-11.30628481833,0.7800327184725)); #485385=CARTESIAN_POINT('Ctrl Pts',(-9.816215278595,-11.34428371499,0.7968205459462)); #485386=CARTESIAN_POINT('Ctrl Pts',(-10.10472159428,-11.16633150481,0.7409974118535)); #485387=CARTESIAN_POINT('Ctrl Pts',(-10.0513701494,-11.18325195982,0.7571538158831)); #485388=CARTESIAN_POINT('Ctrl Pts',(-9.950869259579,-11.22918437701,0.7904973802092)); #485389=CARTESIAN_POINT('Ctrl Pts',(-9.864450871483,-11.2973408999,0.8250992429146)); #485390=CARTESIAN_POINT('Ctrl Pts',(-9.826454795274,-11.33543150079,0.8419939235545)); #485391=CARTESIAN_POINT('Ctrl Pts',(-10.12039991295,-11.15124055169,0.8198203219244)); #485392=CARTESIAN_POINT('Ctrl Pts',(-10.06727474841,-11.16839769379,0.8352417732788)); #485393=CARTESIAN_POINT('Ctrl Pts',(-9.967198265428,-11.21464557144,0.8670504083238)); #485394=CARTESIAN_POINT('Ctrl Pts',(-9.881081459601,-11.28283782566,0.900045143791)); #485395=CARTESIAN_POINT('Ctrl Pts',(-9.843187346122,-11.32088672983,0.9161564945704)); #485396=CARTESIAN_POINT('Ctrl Pts',(-10.14874590334,-11.12529196843,0.9562124599038)); #485397=CARTESIAN_POINT('Ctrl Pts',(-10.09566959512,-11.14264323416,0.9713311759787)); #485398=CARTESIAN_POINT('Ctrl Pts',(-9.995710030134,-11.18923723754,1.002512412068)); #485399=CARTESIAN_POINT('Ctrl Pts',(-9.909733379292,-11.25769332966,1.034854016379)); #485400=CARTESIAN_POINT('Ctrl Pts',(-9.871909756515,-11.29585048889,1.050646666418)); #485401=CARTESIAN_POINT('Ctrl Pts',(-10.17507573362,-11.10230689686,1.072074575383)); #485402=CARTESIAN_POINT('Ctrl Pts',(-10.12172274954,-11.11965359056,1.087796179162)); #485403=CARTESIAN_POINT('Ctrl Pts',(-10.02128802944,-11.16640685021,1.120241399864)); #485404=CARTESIAN_POINT('Ctrl Pts',(-9.935067449196,-11.23530557967,1.15391022268)); #485405=CARTESIAN_POINT('Ctrl Pts',(-9.897198333087,-11.27373281833,1.170349413914)); #485406=CARTESIAN_POINT('Ctrl Pts',(-10.20453313776,-11.07775518095,1.182355120068)); #485407=CARTESIAN_POINT('Ctrl Pts',(-10.15053556233,-11.09489891954,1.199523788209)); #485408=CARTESIAN_POINT('Ctrl Pts',(-10.04896238796,-11.14165397808,1.235012292276)); #485409=CARTESIAN_POINT('Ctrl Pts',(-9.962086598474,-11.21125128188,1.271884005917)); #485410=CARTESIAN_POINT('Ctrl Pts',(-9.924057006563,-11.25015652094,1.289882758233)); #485411=CARTESIAN_POINT('Ctrl Pts',(-10.23631022555,-11.05165889698,1.290023845843)); #485412=CARTESIAN_POINT('Ctrl Pts',(-10.18149973442,-11.06850146128,1.308934840002)); #485413=CARTESIAN_POINT('Ctrl Pts',(-10.07848650364,-11.11517724495,1.348103807382)); #485414=CARTESIAN_POINT('Ctrl Pts',(-9.990779408101,-11.18559126191,1.388861609194)); #485415=CARTESIAN_POINT('Ctrl Pts',(-9.952546233734,-11.22507172497,1.408751399731)); #485416=CARTESIAN_POINT('Ctrl Pts',(-10.26883544566,-11.02344535452,1.401411022647)); #485417=CARTESIAN_POINT('Ctrl Pts',(-10.21352151224,-11.04019185188,1.421167828863)); #485418=CARTESIAN_POINT('Ctrl Pts',(-10.10962764266,-11.08699043992,1.462143414772)); #485419=CARTESIAN_POINT('Ctrl Pts',(-10.02144139974,-11.15806064858,1.504824190053)); #485420=CARTESIAN_POINT('Ctrl Pts',(-9.983105667796,-11.19796367248,1.52564828461)); #485421=CARTESIAN_POINT('Ctrl Pts',(-10.30063087232,-10.99449367924,1.516397444412)); #485422=CARTESIAN_POINT('Ctrl Pts',(-10.24510231411,-11.01134717528,1.536298057227)); #485423=CARTESIAN_POINT('Ctrl Pts',(-10.14084717766,-11.05847164012,1.577591801971)); #485424=CARTESIAN_POINT('Ctrl Pts',(-10.05249185864,-11.13004738651,1.620619619147)); #485425=CARTESIAN_POINT('Ctrl Pts',(-10.01413312358,-11.1702276981,1.641611546869)); #485426=CARTESIAN_POINT('Ctrl Pts',(-10.33077772721,-10.96555491277,1.635881822874)); #485427=CARTESIAN_POINT('Ctrl Pts',(-10.2753733529,-10.98273348709,1.655137575336)); #485428=CARTESIAN_POINT('Ctrl Pts',(-10.17136642131,-11.03038766957,1.695076619548)); #485429=CARTESIAN_POINT('Ctrl Pts',(-10.08320797276,-11.10226997781,1.736679795372)); #485430=CARTESIAN_POINT('Ctrl Pts',(-10.04492150434,-11.14254943153,1.756977927649)); #485431=CARTESIAN_POINT('Ctrl Pts',(-10.36788744431,-10.93055602473,1.783156757896)); #485432=CARTESIAN_POINT('Ctrl Pts',(-10.31244429935,-10.94799184922,1.802107439889)); #485433=CARTESIAN_POINT('Ctrl Pts',(-10.20839957031,-10.99615053098,1.841415075143)); #485434=CARTESIAN_POINT('Ctrl Pts',(-10.12028345097,-11.06850334234,1.882361593506)); #485435=CARTESIAN_POINT('Ctrl Pts',(-10.08203737254,-11.10899906245,1.902339242548)); #485436=CARTESIAN_POINT('Ctrl Pts',(-10.41436239394,-10.88834568051,1.953434753271)); #485437=CARTESIAN_POINT('Ctrl Pts',(-10.35840067733,-10.90579018393,1.973164012375)); #485438=CARTESIAN_POINT('Ctrl Pts',(-10.25346401637,-10.95427763077,2.014137171059)); #485439=CARTESIAN_POINT('Ctrl Pts',(-10.16489636577,-11.0274768124,2.056858408418)); #485440=CARTESIAN_POINT('Ctrl Pts',(-10.12657080736,-11.06848127593,2.077698150353)); #485441=CARTESIAN_POINT('Ctrl Pts',(-10.46423791866,-10.84397723681,2.118366158453)); #485442=CARTESIAN_POINT('Ctrl Pts',(-10.40741833069,-10.86121274956,2.139600323741)); #485443=CARTESIAN_POINT('Ctrl Pts',(-10.3009859258,-10.90983280457,2.183797046484)); #485444=CARTESIAN_POINT('Ctrl Pts',(-10.21162958005,-10.98409342462,2.229956561637)); #485445=CARTESIAN_POINT('Ctrl Pts',(-10.17315049957,-11.02579260134,2.252466179211)); #485446=CARTESIAN_POINT('Ctrl Pts',(-10.5149736273,-10.79729973934,2.285574142315)); #485447=CARTESIAN_POINT('Ctrl Pts',(-10.45755121821,-10.81449956984,2.307683959519)); #485448=CARTESIAN_POINT('Ctrl Pts',(-10.35008595773,-10.86342608272,2.353777217066)); #485449=CARTESIAN_POINT('Ctrl Pts',(-10.26023256095,-10.93862069904,2.401975560233)); #485450=CARTESIAN_POINT('Ctrl Pts',(-10.22168309028,-10.98089090328,2.425473895484)); #485451=CARTESIAN_POINT('Ctrl Pts',(-10.58259174986,-10.73329623562,2.511218088942)); #485452=CARTESIAN_POINT('Ctrl Pts',(-10.52464203046,-10.75064997617,2.533874180087)); #485453=CARTESIAN_POINT('Ctrl Pts',(-10.41629846626,-10.80019289272,2.581169253463)); #485454=CARTESIAN_POINT('Ctrl Pts',(-10.32608052136,-10.87650035193,2.630673698228)); #485455=CARTESIAN_POINT('Ctrl Pts',(-10.28751335026,-10.91939848922,2.654804117913)); #485456=CARTESIAN_POINT('Ctrl Pts',(-10.6689452676,-10.65121970176,2.790236720178)); #485457=CARTESIAN_POINT('Ctrl Pts',(-10.61014092663,-10.66864902213,2.813948677989)); #485458=CARTESIAN_POINT('Ctrl Pts',(-10.50035416557,-10.71886659544,2.863555213753)); #485459=CARTESIAN_POINT('Ctrl Pts',(-10.40949795719,-10.79670279572,2.915563232206)); #485460=CARTESIAN_POINT('Ctrl Pts',(-10.37087440744,-10.84049766345,2.940906022594)); #485461=CARTESIAN_POINT('Ctrl Pts',(-10.78303046224,-10.54250276046,3.132340722979)); #485462=CARTESIAN_POINT('Ctrl Pts',(-10.7226942122,-10.55974668755,3.158142442192)); #485463=CARTESIAN_POINT('Ctrl Pts',(-10.6102867687,-10.61057924747,3.212340740975)); #485464=CARTESIAN_POINT('Ctrl Pts',(-10.51821975327,-10.69065839975,3.269335149848)); #485465=CARTESIAN_POINT('Ctrl Pts',(-10.47946053832,-10.73584166099,3.297091443687)); #485466=CARTESIAN_POINT('Ctrl Pts',(-10.86581760512,-10.46255207697,3.360896312173)); #485467=CARTESIAN_POINT('Ctrl Pts',(-10.80422819883,-10.47954973888,3.388335155078)); #485468=CARTESIAN_POINT('Ctrl Pts',(-10.68967336543,-10.53071777185,3.446181105768)); #485469=CARTESIAN_POINT('Ctrl Pts',(-10.59663604393,-10.61250091518,3.507174792594)); #485470=CARTESIAN_POINT('Ctrl Pts',(-10.55778617067,-10.65876134615,3.536863332871)); #485471=CARTESIAN_POINT('Ctrl Pts',(-10.9103630231,-10.41861375506,3.482636666849)); #485472=CARTESIAN_POINT('Ctrl Pts',(-10.84816303162,-10.43555258692,3.510742014027)); #485473=CARTESIAN_POINT('Ctrl Pts',(-10.73256471231,-10.48699539241,3.570095976062)); #485474=CARTESIAN_POINT('Ctrl Pts',(-10.63906437437,-10.56969422076,3.632760152099)); #485475=CARTESIAN_POINT('Ctrl Pts',(-10.60017662029,-10.61651322554,3.663254242278)); #485476=CARTESIAN_POINT('Origin',(-10.3549524922058,-11.869586113815,0.828710977309838)); #485477=CARTESIAN_POINT('Ctrl Pts',(-9.91673096625692,-11.3257530847535, -0.134603799359692)); #485478=CARTESIAN_POINT('Ctrl Pts',(-9.81595249510391,-11.3588099313404, -0.10872789498767)); #485479=CARTESIAN_POINT('Ctrl Pts',(-9.72332344072981,-11.4146492142981, -0.0806197946411286)); #485480=CARTESIAN_POINT('Ctrl Pts',(-9.64744609045278,-11.4880812998151, -0.052890468039704)); #485481=CARTESIAN_POINT('Ctrl Pts',(-9.92359115541218,-11.3197982292944, -0.0988825961373774)); #485482=CARTESIAN_POINT('Ctrl Pts',(-9.8227824984902,-11.3529202800573, -0.0730421271815371)); #485483=CARTESIAN_POINT('Ctrl Pts',(-9.73013915928271,-11.4088383331716, -0.0449707286841134)); #485484=CARTESIAN_POINT('Ctrl Pts',(-9.6542708472847,-11.4823517620337, -0.0172778837596205)); #485485=CARTESIAN_POINT('Ctrl Pts',(-9.934088843511,-11.3104836786259,-0.0420721105015874)); #485486=CARTESIAN_POINT('Ctrl Pts',(-9.83333692714278,-11.3437579956282, -0.016567175201371)); #485487=CARTESIAN_POINT('Ctrl Pts',(-9.74075933380873,-11.3997867124558, 0.0111359042467299)); #485488=CARTESIAN_POINT('Ctrl Pts',(-9.66494643337655,-11.4733669011406, 0.0384659820989058)); #485489=CARTESIAN_POINT('Ctrl Pts',(-9.951444430733,-11.29480263455,0.05697010762836)); #485490=CARTESIAN_POINT('Ctrl Pts',(-9.850930040515,-11.32838694023,0.0815735346832)); #485491=CARTESIAN_POINT('Ctrl Pts',(-9.758585557787,-11.38457593223,0.1082838248729)); #485492=CARTESIAN_POINT('Ctrl Pts',(-9.682929129541,-11.45818262719,0.1346365636522)); #485493=CARTESIAN_POINT('Ctrl Pts',(-9.9695918435,-11.27877221119,0.1592686729133)); #485494=CARTESIAN_POINT('Ctrl Pts',(-9.869108383064,-11.31255538794,0.1836482119652)); #485495=CARTESIAN_POINT('Ctrl Pts',(-9.776825663506,-11.36892443084,0.2101141400885)); #485496=CARTESIAN_POINT('Ctrl Pts',(-9.701252955674,-11.44267769359,0.2362259872031)); #485497=CARTESIAN_POINT('Ctrl Pts',(-9.992532564581,-11.25922892164,0.2784569190551)); #485498=CARTESIAN_POINT('Ctrl Pts',(-9.8916457962,-11.2930378736,0.303839709737)); #485499=CARTESIAN_POINT('Ctrl Pts',(-9.79906711108,-11.34967784435,0.3314206157181)); #485500=CARTESIAN_POINT('Ctrl Pts',(-9.723426423215,-11.42386507611,0.3586285553493)); #485501=CARTESIAN_POINT('Ctrl Pts',(-10.01343869834,-11.24199371845,0.3746422217603)); #485502=CARTESIAN_POINT('Ctrl Pts',(-9.911824933078,-11.2756486264,0.4018689232065)); #485503=CARTESIAN_POINT('Ctrl Pts',(-9.818672109549,-11.3325747939,0.4315010966917)); #485504=CARTESIAN_POINT('Ctrl Pts',(-9.742827614828,-11.40736129791,0.4607252613669)); #485505=CARTESIAN_POINT('Ctrl Pts',(-10.03163524041,-11.22723720393,0.4500001312236)); #485506=CARTESIAN_POINT('Ctrl Pts',(-9.929232847315,-11.26066846256,0.4791270454306)); #485507=CARTESIAN_POINT('Ctrl Pts',(-9.835449842152,-11.31785438547,0.5108857233967)); #485508=CARTESIAN_POINT('Ctrl Pts',(-9.759374452623,-11.39324892908,0.5421981883414)); #485509=CARTESIAN_POINT('Ctrl Pts',(-10.05025498597,-11.21188082918,0.5260672721763)); #485510=CARTESIAN_POINT('Ctrl Pts',(-9.947163816265,-11.24514796239,0.5567402722274)); #485511=CARTESIAN_POINT('Ctrl Pts',(-9.852835429332,-11.30259145194,0.5902371258379)); #485512=CARTESIAN_POINT('Ctrl Pts',(-9.776569663671,-11.37854347105,0.62325535914)); #485513=CARTESIAN_POINT('Ctrl Pts',(-10.0807184778819,-11.1858611391347, 0.652045786094188)); #485514=CARTESIAN_POINT('Ctrl Pts',(-9.97691242237601,-11.2191061451115, 0.684025995558863)); #485515=CARTESIAN_POINT('Ctrl Pts',(-9.88203620561261,-11.2769532713124, 0.71900636431087)); #485516=CARTESIAN_POINT('Ctrl Pts',(-9.80560679946294,-11.3535952295668, 0.753478405820364)); #485517=CARTESIAN_POINT('Ctrl Pts',(-10.1055245117833,-11.1633090451808, 0.763139398648117)); #485518=CARTESIAN_POINT('Ctrl Pts',(-10.00176762422,-11.1969082148551,0.794496977190306)); #485519=CARTESIAN_POINT('Ctrl Pts',(-9.90697374388765,-11.2550518652182, 0.828792765468201)); #485520=CARTESIAN_POINT('Ctrl Pts',(-9.83063531465743,-11.3319130440715, 0.862590713994354)); #485521=CARTESIAN_POINT('Ctrl Pts',(-10.1196964792365,-11.149633535144, 0.83637639299311)); #485522=CARTESIAN_POINT('Ctrl Pts',(-10.0163518691585,-11.1836491009652, 0.866461828368025)); #485523=CARTESIAN_POINT('Ctrl Pts',(-9.92192788681706,-11.241934014608, 0.899331071339259)); #485524=CARTESIAN_POINT('Ctrl Pts',(-9.84578019505538,-11.318708416611, 0.93172852414349)); #485525=CARTESIAN_POINT('Ctrl Pts',(-15.09615177809,-6.069552782355,7.894161664841)); #485526=CARTESIAN_POINT('Ctrl Pts',(-15.08949886572,-6.068208767482,7.887837132195)); #485527=CARTESIAN_POINT('Ctrl Pts',(-15.08274264519,-6.066799042337,7.881635362787)); #485528=CARTESIAN_POINT('Ctrl Pts',(-15.07588821362,-6.06532487918,7.875560006778)); #485529=CARTESIAN_POINT('Ctrl Pts',(-15.10128250856,-6.058552800417,7.892592134762)); #485530=CARTESIAN_POINT('Ctrl Pts',(-15.09462809885,-6.057226909788,7.886258293605)); #485531=CARTESIAN_POINT('Ctrl Pts',(-15.08787014283,-6.055835110723,7.880047164146)); #485532=CARTESIAN_POINT('Ctrl Pts',(-15.08101374516,-6.05437866554,7.873962406912)); #485533=CARTESIAN_POINT('Ctrl Pts',(-15.10627754558,-6.047265383798,7.890742117054)); #485534=CARTESIAN_POINT('Ctrl Pts',(-15.09962170843,-6.045953648729,7.88440214744)); #485535=CARTESIAN_POINT('Ctrl Pts',(-15.09286215033,-6.044575895251,7.878184853353)); #485536=CARTESIAN_POINT('Ctrl Pts',(-15.08600398136,-6.043133377446,7.872093902205)); #485537=CARTESIAN_POINT('Ctrl Pts',(-15.11121758505,-6.03587674135,7.888787852417)); #485538=CARTESIAN_POINT('Ctrl Pts',(-15.10456035625,-6.034577817495,7.882442837009)); #485539=CARTESIAN_POINT('Ctrl Pts',(-15.09779925332,-6.033212795326,7.876220466129)); #485540=CARTESIAN_POINT('Ctrl Pts',(-15.09093939102,-6.031782921328,7.870124412888)); #485541=CARTESIAN_POINT('Ctrl Pts',(-13.84719287477,-7.160861824055,8.086787357773)); #485542=CARTESIAN_POINT('Ctrl Pts',(-13.81481083798,-7.195337652572,8.070786593427)); #485543=CARTESIAN_POINT('Ctrl Pts',(-13.74428417286,-7.238563219558,8.045929284804)); #485544=CARTESIAN_POINT('Ctrl Pts',(-13.62826059862,-7.253942032149,8.02251385052)); #485545=CARTESIAN_POINT('Ctrl Pts',(-13.52756025953,-7.234025832582,8.012622420179)); #485546=CARTESIAN_POINT('Ctrl Pts',(-13.43785768078,-7.183172222123,8.014195302641)); #485547=CARTESIAN_POINT('Ctrl Pts',(-13.36935533573,-7.107164310889,8.027053857683)); #485548=CARTESIAN_POINT('Ctrl Pts',(-13.32984363818,-7.014647143014,8.049735416141)); #485549=CARTESIAN_POINT('Ctrl Pts',(-13.32286809265,-6.900624806769,8.084374853076)); #485550=CARTESIAN_POINT('Ctrl Pts',(-13.352714287,-6.824757945111,8.112949427099)); #485551=CARTESIAN_POINT('Ctrl Pts',(-13.38114140367,-6.787093248827,8.129316444488)); #485552=CARTESIAN_POINT('Ctrl Pts',(-13.87557648634,-7.105554579197,7.911439061636)); #485553=CARTESIAN_POINT('Ctrl Pts',(-13.8432476276,-7.140092684314,7.895598850718)); #485554=CARTESIAN_POINT('Ctrl Pts',(-13.77281787688,-7.183472556108,7.871007480182)); #485555=CARTESIAN_POINT('Ctrl Pts',(-13.65688628234,-7.199155327175,7.847872078805)); #485556=CARTESIAN_POINT('Ctrl Pts',(-13.55620614667,-7.17953543264,7.838125801543)); #485557=CARTESIAN_POINT('Ctrl Pts',(-13.4664427799,-7.128974261507,7.839728627681)); #485558=CARTESIAN_POINT('Ctrl Pts',(-13.39778825483,-7.053211851202,7.852498811701)); #485559=CARTESIAN_POINT('Ctrl Pts',(-13.35803707674,-6.960850656171,7.87498621973)); #485560=CARTESIAN_POINT('Ctrl Pts',(-13.35070596532,-6.84686403392,7.909309097924)); #485561=CARTESIAN_POINT('Ctrl Pts',(-13.38026446079,-6.770872164007,7.93761505575)); #485562=CARTESIAN_POINT('Ctrl Pts',(-13.40853144014,-6.733077165063,7.953829281165)); #485563=CARTESIAN_POINT('Ctrl Pts',(-13.90327248926,-7.050768048745,7.735776078871)); #485564=CARTESIAN_POINT('Ctrl Pts',(-13.87099733623,-7.085366814141,7.720092815204)); #485565=CARTESIAN_POINT('Ctrl Pts',(-13.80066528774,-7.128897518828,7.69576103434)); #485566=CARTESIAN_POINT('Ctrl Pts',(-13.68482700068,-7.144878585086,7.672898384572)); #485567=CARTESIAN_POINT('Ctrl Pts',(-13.58416858749,-7.125550220973,7.663293029428)); #485568=CARTESIAN_POINT('Ctrl Pts',(-13.49434646501,-7.075277607434,7.66492422307)); #485569=CARTESIAN_POINT('Ctrl Pts',(-13.42554230876,-6.999758472739,7.677607306612)); #485570=CARTESIAN_POINT('Ctrl Pts',(-13.38555454331,-6.907553305177,7.699904447122)); #485571=CARTESIAN_POINT('Ctrl Pts',(-13.37787120977,-6.793605518463,7.733917565236)); #485572=CARTESIAN_POINT('Ctrl Pts',(-13.40714388449,-6.71749356206,7.761960841454)); #485573=CARTESIAN_POINT('Ctrl Pts',(-13.43525137302,-6.679571939232,7.77802562765)); #485574=CARTESIAN_POINT('Ctrl Pts',(-13.93030325431,-6.996519508579,7.559907605731)); #485575=CARTESIAN_POINT('Ctrl Pts',(-13.89808217948,-7.031177436342,7.544377929194)); #485576=CARTESIAN_POINT('Ctrl Pts',(-13.82784835541,-7.074855712346,7.520299827914)); #485577=CARTESIAN_POINT('Ctrl Pts',(-13.71210438445,-7.091129650833,7.497703156611)); #485578=CARTESIAN_POINT('Ctrl Pts',(-13.61146900282,-7.072088173347,7.48823478936)); #485579=CARTESIAN_POINT('Ctrl Pts',(-13.52159003555,-7.022100258343,7.489892884061)); #485580=CARTESIAN_POINT('Ctrl Pts',(-13.4526387803,-6.946822070099,7.502490044612)); #485581=CARTESIAN_POINT('Ctrl Pts',(-13.41241741705,-6.854772753473,7.524600520024)); #485582=CARTESIAN_POINT('Ctrl Pts',(-13.40438544677,-6.740866531154,7.558310185992)); #485583=CARTESIAN_POINT('Ctrl Pts',(-13.43337445461,-6.664639060242,7.586096286754)); #485584=CARTESIAN_POINT('Ctrl Pts',(-13.46132328872,-6.626594288502,7.60201474445)); #485585=CARTESIAN_POINT('',(-13.69960344381,-7.099320848917,7.543864013625)); #485586=CARTESIAN_POINT('Origin',(-13.6999192405331,-6.81237100038259,7.6320792770171)); #485587=CARTESIAN_POINT('Origin',(-13.6885181550706,-6.78249818339192,7.63951501720439)); #485588=CARTESIAN_POINT('Ctrl Pts',(-13.91810042207,-7.022103337588,7.647663532716)); #485589=CARTESIAN_POINT('Ctrl Pts',(-13.88568577543,-7.057412358143,7.631832270343)); #485590=CARTESIAN_POINT('Ctrl Pts',(-13.81495217988,-7.101609541962,7.607391642813)); #485591=CARTESIAN_POINT('Ctrl Pts',(-13.69852150603,-7.117830824056,7.584549965413)); #485592=CARTESIAN_POINT('Ctrl Pts',(-13.59787398045,-7.098648465556,7.575018611887)); #485593=CARTESIAN_POINT('Ctrl Pts',(-13.50802346471,-7.0485188285,7.576662275979)); #485594=CARTESIAN_POINT('Ctrl Pts',(-13.43914639986,-6.973118772017,7.589295044724)); #485595=CARTESIAN_POINT('Ctrl Pts',(-13.39904297125,-6.880988242506,7.611485958296)); #485596=CARTESIAN_POINT('Ctrl Pts',(-13.39115232879,-6.766552471652,7.645477151508)); #485597=CARTESIAN_POINT('Ctrl Pts',(-13.42068194297,-6.689936095312,7.673572409704)); #485598=CARTESIAN_POINT('Ctrl Pts',(-13.44922734668,-6.651710147018,7.689707663831)); #485599=CARTESIAN_POINT('Ctrl Pts',(-13.92548468476,-7.007353358891,7.600085707544)); #485600=CARTESIAN_POINT('Ctrl Pts',(-13.89308834067,-7.042671157343,7.584273899194)); #485601=CARTESIAN_POINT('Ctrl Pts',(-13.82238704884,-7.086897377193,7.559867721319)); #485602=CARTESIAN_POINT('Ctrl Pts',(-13.70598713271,-7.103188230007,7.537066052869)); #485603=CARTESIAN_POINT('Ctrl Pts',(-13.60534792076,-7.084079515833,7.527558462007)); #485604=CARTESIAN_POINT('Ctrl Pts',(-13.51548152994,-7.034028065835,7.529212090747)); #485605=CARTESIAN_POINT('Ctrl Pts',(-13.44656161457,-6.958699328082,7.541839982109)); #485606=CARTESIAN_POINT('Ctrl Pts',(-13.40638969915,-6.866621327605,7.56401238139)); #485607=CARTESIAN_POINT('Ctrl Pts',(-13.39839626022,-6.752212407554,7.597969962773)); #485608=CARTESIAN_POINT('Ctrl Pts',(-13.42784197224,-6.675576942856,7.626035461724)); #485609=CARTESIAN_POINT('Ctrl Pts',(-13.45634028857,-6.637324160103,7.642153918527)); #485610=CARTESIAN_POINT('Ctrl Pts',(-13.93794784712,-6.982359989559,7.51896198303)); #485611=CARTESIAN_POINT('Ctrl Pts',(-13.90556845557,-7.017722291941,7.503275565427)); #485612=CARTESIAN_POINT('Ctrl Pts',(-13.83490011785,-7.062043473873,7.479070157999)); #485613=CARTESIAN_POINT('Ctrl Pts',(-13.71853184767,-7.07849503789,7.456468848132)); #485614=CARTESIAN_POINT('Ctrl Pts',(-13.61789810412,-7.059529061958,7.447056374501)); #485615=CARTESIAN_POINT('Ctrl Pts',(-13.52800618372,-7.009607197093,7.44871650781)); #485616=CARTESIAN_POINT('Ctrl Pts',(-13.45902489836,-6.934375921186,7.461261692906)); #485617=CARTESIAN_POINT('Ctrl Pts',(-13.41875679177,-6.842345747346,7.483272608027)); #485618=CARTESIAN_POINT('Ctrl Pts',(-13.41061966991,-6.72791921758,7.516974279842)); #485619=CARTESIAN_POINT('Ctrl Pts',(-13.43994847235,-6.651199257009,7.544825037395)); #485620=CARTESIAN_POINT('Ctrl Pts',(-13.4683815097,-6.612871063869,7.560820645186)); #485621=CARTESIAN_POINT('Ctrl Pts',(-13.96065013556,-6.936522087409,7.370157285592)); #485622=CARTESIAN_POINT('Ctrl Pts',(-13.92834188446,-6.971882370091,7.354440679643)); #485623=CARTESIAN_POINT('Ctrl Pts',(-13.85779609265,-7.016248246564,7.330202261094)); #485624=CARTESIAN_POINT('Ctrl Pts',(-13.74154462658,-7.032872776573,7.307594170617)); #485625=CARTESIAN_POINT('Ctrl Pts',(-13.64094618043,-7.014116995343,7.298200659244)); #485626=CARTESIAN_POINT('Ctrl Pts',(-13.55100459711,-6.964440145703,7.299900899147)); #485627=CARTESIAN_POINT('Ctrl Pts',(-13.48188008272,-6.889453136633,7.3125030699)); #485628=CARTESIAN_POINT('Ctrl Pts',(-13.44138045664,-6.797626993783,7.334583416956)); #485629=CARTESIAN_POINT('Ctrl Pts',(-13.43289450729,-6.683347192161,7.368375251547)); #485630=CARTESIAN_POINT('Ctrl Pts',(-13.4619376755,-6.606620835058,7.396294438158)); #485631=CARTESIAN_POINT('Ctrl Pts',(-13.49020981012,-6.568239853023,7.41233024988)); #485632=CARTESIAN_POINT('Ctrl Pts',(-13.98492774041,-6.887074696316,7.204431617443)); #485633=CARTESIAN_POINT('Ctrl Pts',(-13.95240423255,-6.923038276825,7.190607044172)); #485634=CARTESIAN_POINT('Ctrl Pts',(-13.88153948639,-6.968387645235,7.169300234255)); #485635=CARTESIAN_POINT('Ctrl Pts',(-13.76499147949,-6.986074675943,7.149450827402)); #485636=CARTESIAN_POINT('Ctrl Pts',(-13.66425389383,-6.967913259393,7.141225544365)); #485637=CARTESIAN_POINT('Ctrl Pts',(-13.57428753759,-6.918441860433,7.142758346679)); #485638=CARTESIAN_POINT('Ctrl Pts',(-13.50524019588,-6.843239777073,7.153876572034)); #485639=CARTESIAN_POINT('Ctrl Pts',(-13.46489926539,-6.750789659993,7.173326147953)); #485640=CARTESIAN_POINT('Ctrl Pts',(-13.45666377551,-6.635372874937,7.203075574296)); #485641=CARTESIAN_POINT('Ctrl Pts',(-13.48591770322,-6.557567252476,7.227648750034)); #485642=CARTESIAN_POINT('Ctrl Pts',(-13.51431456462,-6.518512746507,7.24176363944)); #485643=CARTESIAN_POINT('Ctrl Pts',(-14.00160249414,-6.852150261601,7.039788661355)); #485644=CARTESIAN_POINT('Ctrl Pts',(-13.96852839295,-6.889039144071,7.030591177507)); #485645=CARTESIAN_POINT('Ctrl Pts',(-13.8969039678,-6.935815522691,7.016441823632)); #485646=CARTESIAN_POINT('Ctrl Pts',(-13.77979333068,-6.954873192473,7.003306596297)); #485647=CARTESIAN_POINT('Ctrl Pts',(-13.67893387127,-6.937329022843,6.997905680647)); #485648=CARTESIAN_POINT('Ctrl Pts',(-13.58918151687,-6.887837984283,6.998996647731)); #485649=CARTESIAN_POINT('Ctrl Pts',(-13.52062474346,-6.811962345453,7.006457153622)); #485650=CARTESIAN_POINT('Ctrl Pts',(-13.48096868239,-6.718231211603,7.019449593809)); #485651=CARTESIAN_POINT('Ctrl Pts',(-13.47364735009,-6.600744356773,7.039291390928)); #485652=CARTESIAN_POINT('Ctrl Pts',(-13.50356375672,-6.521113627615,7.055669130789)); #485653=CARTESIAN_POINT('Ctrl Pts',(-13.53231720795,-6.480953140311,7.065078278555)); #485654=CARTESIAN_POINT('Ctrl Pts',(-14.00671249387,-6.839674743065,6.907683213237)); #485655=CARTESIAN_POINT('Ctrl Pts',(-13.97310472364,-6.876722642806,6.903878313086)); #485656=CARTESIAN_POINT('Ctrl Pts',(-13.90089720285,-6.92364675395,6.898045646593)); #485657=CARTESIAN_POINT('Ctrl Pts',(-13.78367188404,-6.942688787503,6.89266808942)); #485658=CARTESIAN_POINT('Ctrl Pts',(-13.6831255764,-6.924992933703,6.890490873575)); #485659=CARTESIAN_POINT('Ctrl Pts',(-13.59397910174,-6.875214357713,6.890999326661)); #485660=CARTESIAN_POINT('Ctrl Pts',(-13.52617856822,-6.798906219803,6.894136911634)); #485661=CARTESIAN_POINT('Ctrl Pts',(-13.48728764563,-6.704582631873,6.89955450917)); #485662=CARTESIAN_POINT('Ctrl Pts',(-13.48072860921,-6.586206968776,6.907803439622)); #485663=CARTESIAN_POINT('Ctrl Pts',(-13.51099503495,-6.505765754898,6.914602917868)); #485664=CARTESIAN_POINT('Ctrl Pts',(-13.53987255454,-6.465070323906,6.918510660692)); #485665=CARTESIAN_POINT('Ctrl Pts',(-14.00519807334,-6.840036600314,6.808273731026)); #485666=CARTESIAN_POINT('Ctrl Pts',(-13.97117463411,-6.876579378163,6.809449552824)); #485667=CARTESIAN_POINT('Ctrl Pts',(-13.89865246176,-6.922575058232,6.811260489978)); #485668=CARTESIAN_POINT('Ctrl Pts',(-13.78172571113,-6.940492343403,6.812945418134)); #485669=CARTESIAN_POINT('Ctrl Pts',(-13.68180799189,-6.922077124003,6.813641779308)); #485670=CARTESIAN_POINT('Ctrl Pts',(-13.59348313125,-6.871886958053,6.813508413474)); #485671=CARTESIAN_POINT('Ctrl Pts',(-13.52650925219,-6.795466572823,6.812560406087)); #485672=CARTESIAN_POINT('Ctrl Pts',(-13.48828475879,-6.701259427583,6.810904572823)); #485673=CARTESIAN_POINT('Ctrl Pts',(-13.48217932128,-6.583150534366,6.808373247547)); #485674=CARTESIAN_POINT('Ctrl Pts',(-13.51243359636,-6.502875203964,6.806282888202)); #485675=CARTESIAN_POINT('Ctrl Pts',(-13.54119663328,-6.46219934963,6.80508210262)); #485676=CARTESIAN_POINT('Ctrl Pts',(-13.99734347584,-6.851836924998,6.70858793074)); #485677=CARTESIAN_POINT('Ctrl Pts',(-13.96298884638,-6.887014823282,6.714734498955)); #485678=CARTESIAN_POINT('Ctrl Pts',(-13.89043586814,-6.930692061517,6.724090522213)); #485679=CARTESIAN_POINT('Ctrl Pts',(-13.77435673734,-6.946060244223,6.73259704484)); #485680=CARTESIAN_POINT('Ctrl Pts',(-13.6755437418,-6.926201105373,6.73593035661)); #485681=CARTESIAN_POINT('Ctrl Pts',(-13.5884219555,-6.875441873103,6.734923555781)); #485682=CARTESIAN_POINT('Ctrl Pts',(-13.52247903636,-6.799310159213,6.729686646605)); #485683=CARTESIAN_POINT('Ctrl Pts',(-13.48489544036,-6.706097257053,6.72079198419)); #485684=CARTESIAN_POINT('Ctrl Pts',(-13.47893037608,-6.589665679668,6.707327870281)); #485685=CARTESIAN_POINT('Ctrl Pts',(-13.50872635677,-6.510721199425,6.696259448632)); #485686=CARTESIAN_POINT('Ctrl Pts',(-13.53706502242,-6.470711049806,6.689893845588)); #485687=CARTESIAN_POINT('Ctrl Pts',(-13.98064978393,-6.880080465294,6.563462742282)); #485688=CARTESIAN_POINT('Ctrl Pts',(-13.94601943428,-6.913173944628,6.57430937511)); #485689=CARTESIAN_POINT('Ctrl Pts',(-13.87365359964,-6.953381474488,6.590697706843)); #485690=CARTESIAN_POINT('Ctrl Pts',(-13.75887394082,-6.965030605517,6.605374748241)); #485691=CARTESIAN_POINT('Ctrl Pts',(-13.6615736881,-6.943134364893,6.610914600408)); #485692=CARTESIAN_POINT('Ctrl Pts',(-13.57600105668,-6.891675476326,6.608789231156)); #485693=CARTESIAN_POINT('Ctrl Pts',(-13.51130339178,-6.816155233142,6.599226581346)); #485694=CARTESIAN_POINT('Ctrl Pts',(-13.47439581858,-6.724647092577,6.583252194035)); #485695=CARTESIAN_POINT('Ctrl Pts',(-13.46838324565,-6.611039404065,6.559216253363)); #485696=CARTESIAN_POINT('Ctrl Pts',(-13.49740000467,-6.53438027836,6.539512032431)); #485697=CARTESIAN_POINT('Ctrl Pts',(-13.52508122158,-6.495570632311,6.528171651881)); #485698=CARTESIAN_POINT('Ctrl Pts',(-13.96390887711,-6.911304326603,6.449293623847)); #485699=CARTESIAN_POINT('Ctrl Pts',(-13.92918619554,-6.94337547091,6.461493211242)); #485700=CARTESIAN_POINT('Ctrl Pts',(-13.85694477071,-6.981898232007,6.479846548873)); #485701=CARTESIAN_POINT('Ctrl Pts',(-13.74278042073,-6.991748213443,6.496135751779)); #485702=CARTESIAN_POINT('Ctrl Pts',(-13.64617546534,-6.96886331079,6.502140984818)); #485703=CARTESIAN_POINT('Ctrl Pts',(-13.561310973,-6.917057786927,6.499518043702)); #485704=CARTESIAN_POINT('Ctrl Pts',(-13.49718898622,-6.841826098661,6.488544878962)); #485705=CARTESIAN_POINT('Ctrl Pts',(-13.46061056763,-6.75114851871,6.470385148206)); #485706=CARTESIAN_POINT('Ctrl Pts',(-13.45461824044,-6.638947121769,6.443154922285)); #485707=CARTESIAN_POINT('Ctrl Pts',(-13.48332150956,-6.563467548354,6.420867823593)); #485708=CARTESIAN_POINT('Ctrl Pts',(-13.51072734982,-6.525304917005,6.408035563727)); #485709=CARTESIAN_POINT('Ctrl Pts',(-13.95422808835,-6.931537685536,6.364425317388)); #485710=CARTESIAN_POINT('Ctrl Pts',(-13.91947616022,-6.963803648355,6.376312607612)); #485711=CARTESIAN_POINT('Ctrl Pts',(-13.84713615035,-7.002619827364,6.39421499957)); #485712=CARTESIAN_POINT('Ctrl Pts',(-13.73279156706,-7.012712690531,6.410139312712)); #485713=CARTESIAN_POINT('Ctrl Pts',(-13.63604238979,-6.989896496225,6.416044578201)); #485714=CARTESIAN_POINT('Ctrl Pts',(-13.55108243036,-6.938018048853,6.413544141647)); #485715=CARTESIAN_POINT('Ctrl Pts',(-13.48694387733,-6.862591927391,6.402903689558)); #485716=CARTESIAN_POINT('Ctrl Pts',(-13.45044465901,-6.771636868578,6.385254661921)); #485717=CARTESIAN_POINT('Ctrl Pts',(-13.44465601452,-6.659075405856,6.358768090711)); #485718=CARTESIAN_POINT('Ctrl Pts',(-13.47358564137,-6.583363134397,6.337081195596)); #485719=CARTESIAN_POINT('Ctrl Pts',(-13.50113767854,-6.545098428389,6.324595784121)); #485720=CARTESIAN_POINT('Origin',(-13.7519312830331,-6.70629450494703,6.3790338029275)); #485721=CARTESIAN_POINT('Ctrl Pts',(-13.96525700271,-6.909365202898,6.453952192607)); #485722=CARTESIAN_POINT('Ctrl Pts',(-13.93058080992,-6.941710133955,6.46559860455)); #485723=CARTESIAN_POINT('Ctrl Pts',(-13.85833293704,-6.980738718876,6.483152786152)); #485724=CARTESIAN_POINT('Ctrl Pts',(-13.74401158245,-6.991170069161,6.498784791952)); #485725=CARTESIAN_POINT('Ctrl Pts',(-13.64716215987,-6.968588966369,6.504593415578)); #485726=CARTESIAN_POINT('Ctrl Pts',(-13.5620638799,-6.916860808576,6.502152022594)); #485727=CARTESIAN_POINT('Ctrl Pts',(-13.49777041182,-6.841488313415,6.491720190904)); #485728=CARTESIAN_POINT('Ctrl Pts',(-13.46112126711,-6.750490544909,6.47440788871)); #485729=CARTESIAN_POINT('Ctrl Pts',(-13.45517797024,-6.637628359835,6.448386271069)); #485730=CARTESIAN_POINT('Ctrl Pts',(-13.48415967565,-6.561609785048,6.427061298795)); #485731=CARTESIAN_POINT('Ctrl Pts',(-13.51184315153,-6.52315749308,6.414774928151)); #485732=CARTESIAN_POINT('Ctrl Pts',(-13.95837349004,-6.922383211743,6.404684459583)); #485733=CARTESIAN_POINT('Ctrl Pts',(-13.92365560492,-6.954380666477,6.416879893252)); #485734=CARTESIAN_POINT('Ctrl Pts',(-13.85143681971,-6.992830729873,6.435242727485)); #485735=CARTESIAN_POINT('Ctrl Pts',(-13.73731854439,-7.002632888354,6.45155954153)); #485736=CARTESIAN_POINT('Ctrl Pts',(-13.64070915777,-6.979696424289,6.457588187415)); #485737=CARTESIAN_POINT('Ctrl Pts',(-13.55586313049,-6.92782977404,6.454976317336)); #485738=CARTESIAN_POINT('Ctrl Pts',(-13.49178299644,-6.852535528895,6.444000911952)); #485739=CARTESIAN_POINT('Ctrl Pts',(-13.45526723679,-6.761802832142,6.425826700226)); #485740=CARTESIAN_POINT('Ctrl Pts',(-13.44935747955,-6.649403642086,6.398531833736)); #485741=CARTESIAN_POINT('Ctrl Pts',(-13.47825022235,-6.573780279042,6.376171937526)); #485742=CARTESIAN_POINT('Ctrl Pts',(-13.50584891454,-6.535546245423,6.363288003448)); #485743=CARTESIAN_POINT('Ctrl Pts',(-13.94888954182,-6.942824655247,6.316719387842)); #485744=CARTESIAN_POINT('Ctrl Pts',(-13.91415636161,-6.975216550601,6.3282073455)); #485745=CARTESIAN_POINT('Ctrl Pts',(-13.84180402747,-7.014286425176,6.345532105437)); #485746=CARTESIAN_POINT('Ctrl Pts',(-13.72736993651,-7.024668985119,6.360977417666)); #485747=CARTESIAN_POINT('Ctrl Pts',(-13.63047144555,-7.001975394705,6.366733849326)); #485748=CARTESIAN_POINT('Ctrl Pts',(-13.54539121754,-6.950079469075,6.364353301784)); #485749=CARTESIAN_POINT('Ctrl Pts',(-13.48119334102,-6.874509274226,6.354089244657)); #485750=CARTESIAN_POINT('Ctrl Pts',(-13.44471647925,-6.783315831091,6.337035329494)); #485751=CARTESIAN_POINT('Ctrl Pts',(-13.43904790287,-6.670267774783,6.311390981116)); #485752=CARTESIAN_POINT('Ctrl Pts',(-13.46826637338,-6.5941859959,6.290370936817)); #485753=CARTESIAN_POINT('Ctrl Pts',(-13.49608789163,-6.555733604825,6.278260895015)); #485754=CARTESIAN_POINT('Ctrl Pts',(-13.92831847122,-6.983692948598,6.145568663721)); #485755=CARTESIAN_POINT('Ctrl Pts',(-13.89349708725,-7.015840087592,6.157362952261)); #485756=CARTESIAN_POINT('Ctrl Pts',(-13.82107200052,-7.054468765954,6.175142146084)); #485757=CARTESIAN_POINT('Ctrl Pts',(-13.7067036792,-7.064286314617,6.190978465419)); #485758=CARTESIAN_POINT('Ctrl Pts',(-13.60996095265,-7.041196477353,6.196866828798)); #485759=CARTESIAN_POINT('Ctrl Pts',(-13.52510861347,-6.989033544734,6.194400546233)); #485760=CARTESIAN_POINT('Ctrl Pts',(-13.46117725385,-6.913348376741,6.183841902449)); #485761=CARTESIAN_POINT('Ctrl Pts',(-13.42497017611,-6.822196063206,6.166314687483)); #485762=CARTESIAN_POINT('Ctrl Pts',(-13.41958139274,-6.70936108848,6.139967563667)); #485763=CARTESIAN_POINT('Ctrl Pts',(-13.44894193613,-6.633547266959,6.118374886712)); #485764=CARTESIAN_POINT('Ctrl Pts',(-13.47681858162,-6.59527402887,6.105934422203)); #485765=CARTESIAN_POINT('Ctrl Pts',(-13.91081114016,-7.016020470864,6.029843192709)); #485766=CARTESIAN_POINT('Ctrl Pts',(-13.87588374639,-7.047184956633,6.043214508657)); #485767=CARTESIAN_POINT('Ctrl Pts',(-13.8035596902,-7.084181739196,6.063318576289)); #485768=CARTESIAN_POINT('Ctrl Pts',(-13.68978212268,-7.092235888013,6.081127914584)); #485769=CARTESIAN_POINT('Ctrl Pts',(-13.59372277111,-7.068160728432,6.087654666588)); #485770=CARTESIAN_POINT('Ctrl Pts',(-13.50957708093,-7.015629436809,6.084705647893)); #485771=CARTESIAN_POINT('Ctrl Pts',(-13.44623076747,-6.940189334704,6.072592615695)); #485772=CARTESIAN_POINT('Ctrl Pts',(-13.41037242188,-6.849807230771,6.052596371322)); #485773=CARTESIAN_POINT('Ctrl Pts',(-13.40503676219,-6.738297660488,6.022599423306)); #485774=CARTESIAN_POINT('Ctrl Pts',(-13.43411259328,-6.663602826068,5.998039140509)); #485775=CARTESIAN_POINT('Ctrl Pts',(-13.46173115106,-6.625942967707,5.983885330802)); #485776=CARTESIAN_POINT('Ctrl Pts',(-13.89477898305,-7.044247641366,5.951074934189)); #485777=CARTESIAN_POINT('Ctrl Pts',(-13.85977816468,-7.074168506847,5.96599262221)); #485778=CARTESIAN_POINT('Ctrl Pts',(-13.78766441346,-7.10913805406,5.988326821708)); #485779=CARTESIAN_POINT('Ctrl Pts',(-13.67468601391,-7.115072513257,6.00793252066)); #485780=CARTESIAN_POINT('Ctrl Pts',(-13.57948852497,-7.089870262059,6.01494083792)); #485781=CARTESIAN_POINT('Ctrl Pts',(-13.49618951225,-7.036999111351,6.011367354697)); #485782=CARTESIAN_POINT('Ctrl Pts',(-13.4334988097,-6.961986709028,5.997585555238)); #485783=CARTESIAN_POINT('Ctrl Pts',(-13.39797074436,-6.872676514659,5.975036708533)); #485784=CARTESIAN_POINT('Ctrl Pts',(-13.39255728257,-6.762925313274,5.941322885791)); #485785=CARTESIAN_POINT('Ctrl Pts',(-13.42116874719,-6.689673070943,5.913762377985)); #485786=CARTESIAN_POINT('Ctrl Pts',(-13.44840474649,-6.65279474765,5.897873038798)); #485787=CARTESIAN_POINT('Origin',(-13.7004635969152,-6.81082333622286,5.96106693063441)); #485788=CARTESIAN_POINT('Ctrl Pts',(-13.91249345582,-7.013665495368,6.040052395536)); #485789=CARTESIAN_POINT('Ctrl Pts',(-13.87736345734,-7.04532928968,6.053615127895)); #485790=CARTESIAN_POINT('Ctrl Pts',(-13.804626224,-7.082684248753,6.073902136321)); #485791=CARTESIAN_POINT('Ctrl Pts',(-13.69034200016,-7.090667640697,6.091763997094)); #485792=CARTESIAN_POINT('Ctrl Pts',(-13.59433770771,-7.066547741879,6.098250442844)); #485793=CARTESIAN_POINT('Ctrl Pts',(-13.51023582878,-7.014023990906,6.095257522904)); #485794=CARTESIAN_POINT('Ctrl Pts',(-13.44691233929,-6.938638866794,6.083100830492)); #485795=CARTESIAN_POINT('Ctrl Pts',(-13.41104959302,-6.848348439722,6.063063255195)); #485796=CARTESIAN_POINT('Ctrl Pts',(-13.40565537732,-6.736562192679,6.03291112305)); #485797=CARTESIAN_POINT('Ctrl Pts',(-13.43499575402,-6.661607800038,6.008177831036)); #485798=CARTESIAN_POINT('Ctrl Pts',(-13.46298973944,-6.623798951771,5.993893611292)); #485799=CARTESIAN_POINT('Ctrl Pts',(-13.90467981416,-7.027962673852,5.992603143762)); #485800=CARTESIAN_POINT('Ctrl Pts',(-13.86950419345,-7.059149256943,6.006871301365)); #485801=CARTESIAN_POINT('Ctrl Pts',(-13.7968249085,-7.095718744135,6.028186895587)); #485802=CARTESIAN_POINT('Ctrl Pts',(-13.68283157965,-7.102864476392,6.046905045353)); #485803=CARTESIAN_POINT('Ctrl Pts',(-13.58715450684,-7.078285220667,6.053654408449)); #485804=CARTESIAN_POINT('Ctrl Pts',(-13.5033857218,-7.025598768353,6.050429380488)); #485805=CARTESIAN_POINT('Ctrl Pts',(-13.44033277702,-6.950344267154,6.037569078288)); #485806=CARTESIAN_POINT('Ctrl Pts',(-13.40462443294,-6.860434260162,6.016426444077)); #485807=CARTESIAN_POINT('Ctrl Pts',(-13.39923932629,-6.749295701552,5.984642048332)); #485808=CARTESIAN_POINT('Ctrl Pts',(-13.42843016929,-6.674885048642,5.958581651251)); #485809=CARTESIAN_POINT('Ctrl Pts',(-13.45629251845,-6.637373785464,5.94352913452)); #485810=CARTESIAN_POINT('Ctrl Pts',(-13.88936662566,-7.054827551411,5.9161788862)); #485811=CARTESIAN_POINT('Ctrl Pts',(-13.85411308546,-7.084750255069,5.932030369009)); #485812=CARTESIAN_POINT('Ctrl Pts',(-13.781646389,-7.11926794468,5.95561847028)); #485813=CARTESIAN_POINT('Ctrl Pts',(-13.66846164492,-7.124280072996,5.976158479924)); #485814=CARTESIAN_POINT('Ctrl Pts',(-13.57365013911,-7.098575449437,5.983394309173)); #485815=CARTESIAN_POINT('Ctrl Pts',(-13.49072949596,-7.045555035257,5.979540034234)); #485816=CARTESIAN_POINT('Ctrl Pts',(-13.42833040301,-6.970737230211,5.96499697327)); #485817=CARTESIAN_POINT('Ctrl Pts',(-13.39294689615,-6.881909601954,5.941279912157)); #485818=CARTESIAN_POINT('Ctrl Pts',(-13.38747324497,-6.7725475552,5.905732853879)); #485819=CARTESIAN_POINT('Ctrl Pts',(-13.41618495866,-6.699593706265,5.876628904082)); #485820=CARTESIAN_POINT('Ctrl Pts',(-13.44365329145,-6.662870886838,5.859811911166)); #485821=CARTESIAN_POINT('Ctrl Pts',(-13.86638272818,-7.096425627195,5.809071473685)); #485822=CARTESIAN_POINT('Ctrl Pts',(-13.83104802256,-7.125054824241,5.826276740817)); #485823=CARTESIAN_POINT('Ctrl Pts',(-13.7587899607,-7.157474360147,5.85177613752)); #485824=CARTESIAN_POINT('Ctrl Pts',(-13.64641954351,-7.160291749423,5.873785280527)); #485825=CARTESIAN_POINT('Ctrl Pts',(-13.55249016839,-7.133412414483,5.88134457899)); #485826=CARTESIAN_POINT('Ctrl Pts',(-13.47044903365,-7.080014454273,5.876854620159)); #485827=CARTESIAN_POINT('Ctrl Pts',(-13.40875122308,-7.005600560113,5.860778022712)); #485828=CARTESIAN_POINT('Ctrl Pts',(-13.37375458454,-6.917839885383,5.834772060139)); #485829=CARTESIAN_POINT('Ctrl Pts',(-13.3682765974,-6.810264629534,5.795913849088)); #485830=CARTESIAN_POINT('Ctrl Pts',(-13.39657202147,-6.738806202564,5.764145075836)); #485831=CARTESIAN_POINT('Ctrl Pts',(-13.4236795893,-6.702907971051,5.745781020281)); #485832=CARTESIAN_POINT('Ctrl Pts',(-13.84587977983,-7.134221773185,5.716418961739)); #485833=CARTESIAN_POINT('Ctrl Pts',(-13.8104854184,-7.162023482091,5.734411404137)); #485834=CARTESIAN_POINT('Ctrl Pts',(-13.73834567052,-7.193095053293,5.761013465835)); #485835=CARTESIAN_POINT('Ctrl Pts',(-13.62648176126,-7.194481698483,5.783852398289)); #485836=CARTESIAN_POINT('Ctrl Pts',(-13.53311464891,-7.166815695353,5.791574022832)); #485837=CARTESIAN_POINT('Ctrl Pts',(-13.45164909974,-7.113132240663,5.786686884251)); #485838=CARTESIAN_POINT('Ctrl Pts',(-13.39043057034,-7.038930190963,5.769691417808)); #485839=CARTESIAN_POINT('Ctrl Pts',(-13.35572972428,-6.951810987253,5.742328890937)); #485840=CARTESIAN_POINT('Ctrl Pts',(-13.35032228834,-6.845347244201,5.701517347878)); #485841=CARTESIAN_POINT('Ctrl Pts',(-13.37841239997,-6.774845840866,5.668180146772)); #485842=CARTESIAN_POINT('Ctrl Pts',(-13.40532403325,-6.739486756492,5.648904961384)); #485843=CARTESIAN_POINT('Ctrl Pts',(-13.81916940291,-7.18543129234,5.590451639494)); #485844=CARTESIAN_POINT('Ctrl Pts',(-13.78370580415,-7.21289356826,5.608737614677)); #485845=CARTESIAN_POINT('Ctrl Pts',(-13.71153815692,-7.243376291082,5.635759796561)); #485846=CARTESIAN_POINT('Ctrl Pts',(-13.59981409327,-7.244045637503,5.658932842137)); #485847=CARTESIAN_POINT('Ctrl Pts',(-13.50666425213,-7.215901480803,5.666740496367)); #485848=CARTESIAN_POINT('Ctrl Pts',(-13.42547944979,-7.161920666393,5.661731780481)); #485849=CARTESIAN_POINT('Ctrl Pts',(-13.36456602542,-7.087625550303,5.644418888664)); #485850=CARTESIAN_POINT('Ctrl Pts',(-13.33015562196,-7.000617587753,5.616573273353)); #485851=CARTESIAN_POINT('Ctrl Pts',(-13.32503053882,-6.894496289218,5.575057124216)); #485852=CARTESIAN_POINT('Ctrl Pts',(-13.35324491997,-6.82438632877,5.54115053786)); #485853=CARTESIAN_POINT('Ctrl Pts',(-13.38019536533,-6.789284114581,5.521545166497)); #485854=CARTESIAN_POINT('Ctrl Pts',(-13.78501284984,-7.250169659591,5.433764713355)); #485855=CARTESIAN_POINT('Ctrl Pts',(-13.74947113499,-7.277147744217,5.452510008753)); #485856=CARTESIAN_POINT('Ctrl Pts',(-13.67729230982,-7.306799888793,5.480191678063)); #485857=CARTESIAN_POINT('Ctrl Pts',(-13.56578508922,-7.306479502843,5.503893447621)); #485858=CARTESIAN_POINT('Ctrl Pts',(-13.47294173372,-7.277693218043,5.511841974077)); #485859=CARTESIAN_POINT('Ctrl Pts',(-13.39213473701,-7.223335256113,5.50664975345)); #485860=CARTESIAN_POINT('Ctrl Pts',(-13.3316158313,-7.148956075833,5.488846865591)); #485861=CARTESIAN_POINT('Ctrl Pts',(-13.29756432809,-7.062151166213,5.460251719088)); #485862=CARTESIAN_POINT('Ctrl Pts',(-13.29276619492,-6.956558349716,5.417640072219)); #485863=CARTESIAN_POINT('Ctrl Pts',(-13.32110068929,-6.887019607026,5.382847328814)); #485864=CARTESIAN_POINT('Ctrl Pts',(-13.34807526906,-6.852283949032,5.362728218744)); #485865=CARTESIAN_POINT('Ctrl Pts',(-13.73958962125,-7.332554461633,5.251595902662)); #485866=CARTESIAN_POINT('Ctrl Pts',(-13.703959952,-7.358128068981,5.271516683263)); #485867=CARTESIAN_POINT('Ctrl Pts',(-13.63199303423,-7.385490429638,5.300825013947)); #485868=CARTESIAN_POINT('Ctrl Pts',(-13.52134929925,-7.382718365853,5.325709697827)); #485869=CARTESIAN_POINT('Ctrl Pts',(-13.42946415233,-7.352560146843,5.333841401946)); #485870=CARTESIAN_POINT('Ctrl Pts',(-13.34964755347,-7.297666825963,5.327992695609)); #485871=CARTESIAN_POINT('Ctrl Pts',(-13.28997237173,-7.223590303443,5.308755119829)); #485872=CARTESIAN_POINT('Ctrl Pts',(-13.25647364822,-7.137823111753,5.27807513982)); #485873=CARTESIAN_POINT('Ctrl Pts',(-13.25187734629,-7.034080410306,5.23248201892)); #485874=CARTESIAN_POINT('Ctrl Pts',(-13.27993610695,-6.966174917029,5.195303498533)); #485875=CARTESIAN_POINT('Ctrl Pts',(-13.3066208941,-6.932378240963,5.173797129116)); #485876=CARTESIAN_POINT('Ctrl Pts',(-13.69030248247,-7.420483811255,5.072838713741)); #485877=CARTESIAN_POINT('Ctrl Pts',(-13.65458237451,-7.444585395837,5.093799329203)); #485878=CARTESIAN_POINT('Ctrl Pts',(-13.58284978295,-7.469557770707,5.124511689419)); #485879=CARTESIAN_POINT('Ctrl Pts',(-13.47314170213,-7.464239498563,5.1503445295)); #485880=CARTESIAN_POINT('Ctrl Pts',(-13.3822922918,-7.432663225373,5.158534909)); #485881=CARTESIAN_POINT('Ctrl Pts',(-13.30354643383,-7.377222604933,5.151995098397)); #485882=CARTESIAN_POINT('Ctrl Pts',(-13.24478589666,-7.303466767123,5.131379780849)); #485883=CARTESIAN_POINT('Ctrl Pts',(-13.21189143606,-7.218778301093,5.09875329218)); #485884=CARTESIAN_POINT('Ctrl Pts',(-13.20752667628,-7.116950337232,5.050411347064)); #485885=CARTESIAN_POINT('Ctrl Pts',(-13.23530109075,-7.050729582257,5.011047248429)); #485886=CARTESIAN_POINT('Ctrl Pts',(-13.26168120403,-7.01789983366,4.988267769557)); #485887=CARTESIAN_POINT('Ctrl Pts',(-13.63689130035,-7.51371918832,4.898237264469)); #485888=CARTESIAN_POINT('Ctrl Pts',(-13.60111140088,-7.536135382604,4.920245564588)); #485889=CARTESIAN_POINT('Ctrl Pts',(-13.5297113604,-7.558401636989,4.952335149188)); #485890=CARTESIAN_POINT('Ctrl Pts',(-13.42112716986,-7.550254581413,4.979016708943)); #485891=CARTESIAN_POINT('Ctrl Pts',(-13.33147888172,-7.517144845123,4.987152751635)); #485892=CARTESIAN_POINT('Ctrl Pts',(-13.2539438755,-7.461169969003,4.979793553112)); #485893=CARTESIAN_POINT('Ctrl Pts',(-13.19618790195,-7.387863852403,4.957666575012)); #485894=CARTESIAN_POINT('Ctrl Pts',(-13.1639205634,-7.304474295523,4.922959955968)); #485895=CARTESIAN_POINT('Ctrl Pts',(-13.15972806356,-7.204885397143,4.871716113098)); #485896=CARTESIAN_POINT('Ctrl Pts',(-13.18710379403,-7.140601007906,4.830059206765)); #485897=CARTESIAN_POINT('Ctrl Pts',(-13.21309340819,-7.108874759625,4.805941808994)); #485898=CARTESIAN_POINT('Ctrl Pts',(-13.58822599835,-7.601083533549,4.737278677567)); #485899=CARTESIAN_POINT('Ctrl Pts',(-13.55238368367,-7.623072254042,4.759567853282)); #485900=CARTESIAN_POINT('Ctrl Pts',(-13.48097602256,-7.644588597967,4.792058396627)); #485901=CARTESIAN_POINT('Ctrl Pts',(-13.3725887593,-7.635494798318,4.819056293504)); #485902=CARTESIAN_POINT('Ctrl Pts',(-13.28322899779,-7.601724091324,4.827270690567)); #485903=CARTESIAN_POINT('Ctrl Pts',(-13.20606928593,-7.545300305009,4.81979030497)); #485904=CARTESIAN_POINT('Ctrl Pts',(-13.14873423584,-7.471798349234,4.797354032481)); #485905=CARTESIAN_POINT('Ctrl Pts',(-13.11688890324,-7.388481249155,4.762179070407)); #485906=CARTESIAN_POINT('Ctrl Pts',(-13.1131402174,-7.289276907627,4.71025347908)); #485907=CARTESIAN_POINT('Ctrl Pts',(-13.14074971195,-7.225497169764,4.668046174534)); #485908=CARTESIAN_POINT('Ctrl Pts',(-13.16683368541,-7.194124132474,4.643609518697)); #485909=CARTESIAN_POINT('Ctrl Pts',(-13.55909505514,-7.655185922806,4.631874716927)); #485910=CARTESIAN_POINT('Ctrl Pts',(-13.52318431598,-7.677657433106,4.65392248934)); #485911=CARTESIAN_POINT('Ctrl Pts',(-13.45153019802,-7.699858033495,4.686146853692)); #485912=CARTESIAN_POINT('Ctrl Pts',(-13.34268533804,-7.691255837573,4.713092833996)); #485913=CARTESIAN_POINT('Ctrl Pts',(-13.25295770062,-7.657551320013,4.721470551722)); #485914=CARTESIAN_POINT('Ctrl Pts',(-13.17554965254,-7.600855367219,4.714339521213)); #485915=CARTESIAN_POINT('Ctrl Pts',(-13.11816174487,-7.526807544242,4.692408940427)); #485916=CARTESIAN_POINT('Ctrl Pts',(-13.08650234271,-7.442774045033,4.65786077478)); #485917=CARTESIAN_POINT('Ctrl Pts',(-13.0832530386,-7.34268121747,4.606763809474)); #485918=CARTESIAN_POINT('Ctrl Pts',(-13.11142972096,-7.278358583723,4.5651922812)); #485919=CARTESIAN_POINT('Ctrl Pts',(-13.13788532075,-7.246759843102,4.541129705548)); #485920=CARTESIAN_POINT('Ctrl Pts',(-13.54735699399,-7.677298249943,4.586867962724)); #485921=CARTESIAN_POINT('Ctrl Pts',(-13.51141042134,-7.70010773922,4.608715627626)); #485922=CARTESIAN_POINT('Ctrl Pts',(-13.43960759619,-7.72280613386,4.640697265246)); #485923=CARTESIAN_POINT('Ctrl Pts',(-13.33046630683,-7.714612476518,4.667537814578)); #485924=CARTESIAN_POINT('Ctrl Pts',(-13.24048725894,-7.681028801787,4.675985023859)); #485925=CARTESIAN_POINT('Ctrl Pts',(-13.16289091064,-7.624226324161,4.669072868706)); #485926=CARTESIAN_POINT('Ctrl Pts',(-13.10542750952,-7.549877853088,4.647491438495)); #485927=CARTESIAN_POINT('Ctrl Pts',(-13.07383582946,-7.465409207835,4.613396423624)); #485928=CARTESIAN_POINT('Ctrl Pts',(-13.0708398074,-7.364740810843,4.562913466436)); #485929=CARTESIAN_POINT('Ctrl Pts',(-13.0993318152,-7.300034120997,4.52181954687)); #485930=CARTESIAN_POINT('Ctrl Pts',(-13.1259998242,-7.268258758638,4.498036896088)); #485931=CARTESIAN_POINT('Origin',(-13.3758870489122,-7.42803107251485,4.56208943522651)); #485932=CARTESIAN_POINT('Ctrl Pts',(-13.56989890083,-7.63515457049,4.670577075999)); #485933=CARTESIAN_POINT('Ctrl Pts',(-13.53401075717,-7.657470020411,4.692704036961)); #485934=CARTESIAN_POINT('Ctrl Pts',(-13.46243816078,-7.6794496878,4.725013220221)); #485935=CARTESIAN_POINT('Ctrl Pts',(-13.35374378575,-7.670693464748,4.751970343591)); #485936=CARTESIAN_POINT('Ctrl Pts',(-13.26413823238,-7.636977016775,4.760289293731)); #485937=CARTESIAN_POINT('Ctrl Pts',(-13.18680992043,-7.580382141464,4.75303969533)); #485938=CARTESIAN_POINT('Ctrl Pts',(-13.12943389087,-7.506525469977,4.730940780373)); #485939=CARTESIAN_POINT('Ctrl Pts',(-13.09770426027,-7.422737445175,4.696186047248)); #485940=CARTESIAN_POINT('Ctrl Pts',(-13.09427660643,-7.322944218507,4.644817498488)); #485941=CARTESIAN_POINT('Ctrl Pts',(-13.12225476101,-7.25880030197,4.603038244329)); #485942=CARTESIAN_POINT('Ctrl Pts',(-13.14858115867,-7.227273567922,4.578853327375)); #485943=CARTESIAN_POINT('Ctrl Pts',(-13.55363926853,-7.665420391766,4.611752738328)); #485944=CARTESIAN_POINT('Ctrl Pts',(-13.51771261989,-7.688011988106,4.633739637957)); #485945=CARTESIAN_POINT('Ctrl Pts',(-13.44600028405,-7.710383930245,4.665893131328)); #485946=CARTESIAN_POINT('Ctrl Pts',(-13.33704543576,-7.701911912162,4.69281657693)); #485947=CARTESIAN_POINT('Ctrl Pts',(-13.24722986078,-7.668236782108,4.701226517511)); #485948=CARTESIAN_POINT('Ctrl Pts',(-13.16975891382,-7.611490086659,4.694175561349)); #485949=CARTESIAN_POINT('Ctrl Pts',(-13.11235091386,-7.537324859836,4.672366027397)); #485950=CARTESIAN_POINT('Ctrl Pts',(-13.08072476541,-7.453129963867,4.637971142396)); #485951=CARTESIAN_POINT('Ctrl Pts',(-13.07757831418,-7.352830404708,4.587079168455)); #485952=CARTESIAN_POINT('Ctrl Pts',(-13.10587714102,-7.288375532483,4.545665945912)); #485953=CARTESIAN_POINT('Ctrl Pts',(-13.13241392954,-7.256718700304,4.521696333462)); #485954=CARTESIAN_POINT('Ctrl Pts',(-13.53804425212,-7.694800684263,4.551761126175)); #485955=CARTESIAN_POINT('Ctrl Pts',(-13.50206953688,-7.717849589952,4.573475480266)); #485956=CARTESIAN_POINT('Ctrl Pts',(-13.4301567734,-7.740895808009,4.605297471282)); #485957=CARTESIAN_POINT('Ctrl Pts',(-13.32080158993,-7.732978984459,4.632074703719)); #485958=CARTESIAN_POINT('Ctrl Pts',(-13.23064602121,-7.699470123936,4.64057648377)); #485959=CARTESIAN_POINT('Ctrl Pts',(-13.15291980715,-7.642582395707,4.633820568931)); #485960=CARTESIAN_POINT('Ctrl Pts',(-13.09540840429,-7.568013392129,4.612483482305)); #485961=CARTESIAN_POINT('Ctrl Pts',(-13.06387186358,-7.48323222572,4.578702792914)); #485962=CARTESIAN_POINT('Ctrl Pts',(-13.06106499052,-7.382155778568,4.528643751659)); #485963=CARTESIAN_POINT('Ctrl Pts',(-13.08978779014,-7.317181378309,4.487878730958)); #485964=CARTESIAN_POINT('Ctrl Pts',(-13.11661038856,-7.285285106912,4.464288977596)); #485965=CARTESIAN_POINT('Ctrl Pts',(-13.52219160935,-7.72365097228,4.492403415941)); #485966=CARTESIAN_POINT('Ctrl Pts',(-13.48617612207,-7.746930924258,4.513970580961)); #485967=CARTESIAN_POINT('Ctrl Pts',(-13.41413403604,-7.770303533194,4.545616052515)); #485968=CARTESIAN_POINT('Ctrl Pts',(-13.30455298391,-7.762615460447,4.572321051427)); #485969=CARTESIAN_POINT('Ctrl Pts',(-13.21422320937,-7.729129063769,4.580879179892)); #485970=CARTESIAN_POINT('Ctrl Pts',(-13.1363884712,-7.672099048025,4.574289328182)); #485971=CARTESIAN_POINT('Ctrl Pts',(-13.07887025423,-7.597255678592,4.553213393893)); #485972=CARTESIAN_POINT('Ctrl Pts',(-13.04744783894,-7.512119814443,4.519769387958)); #485973=CARTESIAN_POINT('Ctrl Pts',(-13.04491405769,-7.410608844453,4.470164903747)); #485974=CARTESIAN_POINT('Ctrl Pts',(-13.07393322389,-7.345374464479,4.429752749974)); #485975=CARTESIAN_POINT('Ctrl Pts',(-13.10094659039,-7.313373325704,4.406369928506)); #485976=CARTESIAN_POINT('Origin',(-13.3502604939204,-7.47399464222276,4.47190672609066)); #485977=CARTESIAN_POINT('Ctrl Pts',(-13.54426129121,-7.682476208334,4.577296350954)); #485978=CARTESIAN_POINT('Ctrl Pts',(-13.50839130792,-7.705163788318,4.59901946895)); #485979=CARTESIAN_POINT('Ctrl Pts',(-13.43671940959,-7.727794222543,4.630874372661)); #485980=CARTESIAN_POINT('Ctrl Pts',(-13.3277225309,-7.719647850068,4.65766382341)); #485981=CARTESIAN_POINT('Ctrl Pts',(-13.23771594658,-7.686065484873,4.666122402689)); #485982=CARTESIAN_POINT('Ctrl Pts',(-13.16010268402,-7.629238333936,4.659237220584)); #485983=CARTESIAN_POINT('Ctrl Pts',(-13.10263873802,-7.554844650935,4.637696041727)); #485984=CARTESIAN_POINT('Ctrl Pts',(-13.07106594386,-7.470318665724,4.603651669495)); #485985=CARTESIAN_POINT('Ctrl Pts',(-13.06811686455,-7.369664408894,4.553277974249)); #485986=CARTESIAN_POINT('Ctrl Pts',(-13.0965883531,-7.304983668513,4.512289439643)); #485987=CARTESIAN_POINT('Ctrl Pts',(-13.12319897872,-7.273226258038,4.488579700202)); #485988=CARTESIAN_POINT('Ctrl Pts',(-13.50425002076,-7.756821508971,4.427180081645)); #485989=CARTESIAN_POINT('Ctrl Pts',(-13.46827099907,-7.780367247904,4.448401330944)); #485990=CARTESIAN_POINT('Ctrl Pts',(-13.39618925781,-7.804244828904,4.479656014836)); #485991=CARTESIAN_POINT('Ctrl Pts',(-13.28640884913,-7.797072074381,4.506207201561)); #485992=CARTESIAN_POINT('Ctrl Pts',(-13.19575576642,-7.763718761041,4.514869606493)); #485993=CARTESIAN_POINT('Ctrl Pts',(-13.1176833711,-7.706528943572,4.50856666507)); #485994=CARTESIAN_POINT('Ctrl Pts',(-13.06007792381,-7.631278835712,4.487935399923)); #485995=CARTESIAN_POINT('Ctrl Pts',(-13.02875786213,-7.545569117352,4.455061051684)); #485996=CARTESIAN_POINT('Ctrl Pts',(-13.02657475436,-7.44339604369,4.406263690782)); #485997=CARTESIAN_POINT('Ctrl Pts',(-13.05594882168,-7.377741528514,4.366497509833)); #485998=CARTESIAN_POINT('Ctrl Pts',(-13.08315696702,-7.345555459563,4.343504311956)); #485999=CARTESIAN_POINT('Ctrl Pts',(-13.45653950976,-7.842212439388,4.241624915636)); #486000=CARTESIAN_POINT('Ctrl Pts',(-13.42041927428,-7.866400367466,4.262335488746)); #486001=CARTESIAN_POINT('Ctrl Pts',(-13.34794172949,-7.89118518365,4.292938780173)); #486002=CARTESIAN_POINT('Ctrl Pts',(-13.2375136697,-7.884639701238,4.319131263357)); #486003=CARTESIAN_POINT('Ctrl Pts',(-13.14638237998,-7.851332967837,4.327876845354)); #486004=CARTESIAN_POINT('Ctrl Pts',(-13.06803849258,-7.793723320389,4.322031309608)); #486005=CARTESIAN_POINT('Ctrl Pts',(-13.01046579176,-7.717680839856,4.30219003795)); #486006=CARTESIAN_POINT('Ctrl Pts',(-12.97953070892,-7.630954652916,4.27037505981)); #486007=CARTESIAN_POINT('Ctrl Pts',(-12.97819474205,-7.527544419083,4.223035503848)); #486008=CARTESIAN_POINT('Ctrl Pts',(-13.00845335324,-7.461156318551,4.184412728438)); #486009=CARTESIAN_POINT('Ctrl Pts',(-13.03622178265,-7.428677599773,4.162087653367)); #486010=CARTESIAN_POINT('Ctrl Pts',(-13.39719104644,-7.943102901011,4.025917111856)); #486011=CARTESIAN_POINT('Ctrl Pts',(-13.3609616276,-7.967012195013,4.04683017634)); #486012=CARTESIAN_POINT('Ctrl Pts',(-13.28837152922,-7.991251162117,4.077747442196)); #486013=CARTESIAN_POINT('Ctrl Pts',(-13.17799309629,-7.983893664983,4.104237149284)); #486014=CARTESIAN_POINT('Ctrl Pts',(-13.08705337532,-7.949930140223,4.113111119464)); #486015=CARTESIAN_POINT('Ctrl Pts',(-13.00904144575,-7.891773941813,4.107253492474)); #486016=CARTESIAN_POINT('Ctrl Pts',(-12.95191657252,-7.815357939113,4.087261629384)); #486017=CARTESIAN_POINT('Ctrl Pts',(-12.92150633736,-7.728478541613,4.055175413364)); #486018=CARTESIAN_POINT('Ctrl Pts',(-12.92081480581,-7.625177044078,4.007415265625)); #486019=CARTESIAN_POINT('Ctrl Pts',(-12.95150343784,-7.559124849673,3.968442751807)); #486020=CARTESIAN_POINT('Ctrl Pts',(-12.97948703851,-7.526926751567,3.945916550562)); #486021=CARTESIAN_POINT('Ctrl Pts',(-13.3590540998,-8.006685579043,3.892759060725)); #486022=CARTESIAN_POINT('Ctrl Pts',(-13.32277811319,-8.03016101694,3.913994566899)); #486023=CARTESIAN_POINT('Ctrl Pts',(-13.25021537725,-8.053655919309,3.945370022005)); #486024=CARTESIAN_POINT('Ctrl Pts',(-13.14007631284,-8.045407215633,3.972216599294)); #486025=CARTESIAN_POINT('Ctrl Pts',(-13.04944454478,-8.010859839563,3.981173649924)); #486026=CARTESIAN_POINT('Ctrl Pts',(-12.97179849708,-7.952353995733,3.975169237054)); #486027=CARTESIAN_POINT('Ctrl Pts',(-12.91504855741,-7.875849480813,3.954814746644)); #486028=CARTESIAN_POINT('Ctrl Pts',(-12.88497560789,-7.789140345283,3.922183914094)); #486029=CARTESIAN_POINT('Ctrl Pts',(-12.88458848331,-7.686304467908,3.873634438151)); #486030=CARTESIAN_POINT('Ctrl Pts',(-12.91538685985,-7.620767369019,3.834026096485)); #486031=CARTESIAN_POINT('Ctrl Pts',(-12.94339087269,-7.588904604673,3.811131090209)); #486032=CARTESIAN_POINT('Ctrl Pts',(-13.32199541348,-8.070083958349,3.756449416969)); #486033=CARTESIAN_POINT('Ctrl Pts',(-13.28562595783,-8.093945841552,3.777445502895)); #486034=CARTESIAN_POINT('Ctrl Pts',(-13.21280554428,-8.117969160854,3.808542522333)); #486035=CARTESIAN_POINT('Ctrl Pts',(-13.10225440337,-8.110040022053,3.835296363944)); #486036=CARTESIAN_POINT('Ctrl Pts',(-13.01132685015,-8.075455472253,3.844371363714)); #486037=CARTESIAN_POINT('Ctrl Pts',(-12.93352669513,-8.016626390133,3.838664837174)); #486038=CARTESIAN_POINT('Ctrl Pts',(-12.87682648811,-7.939580762183,3.818761694984)); #486039=CARTESIAN_POINT('Ctrl Pts',(-12.84703603447,-7.852213578833,3.786702511964)); #486040=CARTESIAN_POINT('Ctrl Pts',(-12.84723803736,-7.748611523302,3.738916800998)); #486041=CARTESIAN_POINT('Ctrl Pts',(-12.87863581503,-7.682653430449,3.699897925883)); #486042=CARTESIAN_POINT('Ctrl Pts',(-12.90701548149,-7.650641121689,3.677348922418)); #486043=CARTESIAN_POINT('Ctrl Pts',(-13.28860732578,-8.129052343534,3.614062006551)); #486044=CARTESIAN_POINT('Ctrl Pts',(-13.25206326408,-8.154264319541,3.633912115918)); #486045=CARTESIAN_POINT('Ctrl Pts',(-13.17861975184,-8.180329580174,3.663468795868)); #486046=CARTESIAN_POINT('Ctrl Pts',(-13.06687882522,-8.174191915713,3.689197288314)); #486047=CARTESIAN_POINT('Ctrl Pts',(-12.97495487457,-8.140260785053,3.698227748054)); #486048=CARTESIAN_POINT('Ctrl Pts',(-12.89641429039,-8.081184399883,3.693302547854)); #486049=CARTESIAN_POINT('Ctrl Pts',(-12.83941715163,-8.003099987283,3.674933302124)); #486050=CARTESIAN_POINT('Ctrl Pts',(-12.80988461407,-7.914120115463,3.645029046964)); #486051=CARTESIAN_POINT('Ctrl Pts',(-12.81105354109,-7.808306002662,3.600275387691)); #486052=CARTESIAN_POINT('Ctrl Pts',(-12.84364688518,-7.740810778957,3.563662526368)); #486053=CARTESIAN_POINT('Ctrl Pts',(-12.87282528955,-7.708064760528,3.542514878)); #486054=CARTESIAN_POINT('Ctrl Pts',(-13.26382091089,-8.17130518791,3.507804943415)); #486055=CARTESIAN_POINT('Ctrl Pts',(-13.22717135582,-8.197103281218,3.527074237463)); #486056=CARTESIAN_POINT('Ctrl Pts',(-13.15341116349,-8.224040387837,3.555836593549)); #486057=CARTESIAN_POINT('Ctrl Pts',(-13.04111955152,-8.218623898583,3.581006906054)); #486058=CARTESIAN_POINT('Ctrl Pts',(-12.94876343232,-8.184907036863,3.589974129554)); #486059=CARTESIAN_POINT('Ctrl Pts',(-12.86993694439,-8.125641223263,3.585401406674)); #486060=CARTESIAN_POINT('Ctrl Pts',(-12.81288247521,-8.047022811753,3.567766638244)); #486061=CARTESIAN_POINT('Ctrl Pts',(-12.78356516269,-7.957272342913,3.538914001784)); #486062=CARTESIAN_POINT('Ctrl Pts',(-12.78530024647,-7.850445809707,3.495652789354)); #486063=CARTESIAN_POINT('Ctrl Pts',(-12.81852576024,-7.782284492608,3.460229441939)); #486064=CARTESIAN_POINT('Ctrl Pts',(-12.84811249203,-7.749238321022,3.439773771312)); #486065=CARTESIAN_POINT('Ctrl Pts',(-13.23893677832,-8.212599474803,3.402240759703)); #486066=CARTESIAN_POINT('Ctrl Pts',(-13.20220409461,-8.238661410073,3.421218263842)); #486067=CARTESIAN_POINT('Ctrl Pts',(-13.12824457899,-8.26596614454,3.449584720083)); #486068=CARTESIAN_POINT('Ctrl Pts',(-13.01566137696,-8.260785705583,3.474483186454)); #486069=CARTESIAN_POINT('Ctrl Pts',(-12.92310931964,-8.227060628923,3.483427356424)); #486070=CARTESIAN_POINT('Ctrl Pts',(-12.84419619484,-8.167589668233,3.479040536334)); #486071=CARTESIAN_POINT('Ctrl Pts',(-12.78720318849,-8.088613041343,3.461782821954)); #486072=CARTESIAN_POINT('Ctrl Pts',(-12.75811046797,-7.998418534163,3.433465414594)); #486073=CARTESIAN_POINT('Ctrl Pts',(-12.76028358612,-7.891067292895,3.390960793584)); #486074=CARTESIAN_POINT('Ctrl Pts',(-12.79393747348,-7.822610768113,3.356139237286)); #486075=CARTESIAN_POINT('Ctrl Pts',(-12.82378744238,-7.7894559264,3.33603384448)); #486076=CARTESIAN_POINT('Ctrl Pts',(-13.20491603051,-8.267467133816,3.263255818279)); #486077=CARTESIAN_POINT('Ctrl Pts',(-13.16811173999,-8.293395389466,3.282325678484)); #486078=CARTESIAN_POINT('Ctrl Pts',(-13.09407014615,-8.32042804524,3.310838544205)); #486079=CARTESIAN_POINT('Ctrl Pts',(-12.98149245085,-8.314823793493,3.335881210294)); #486080=CARTESIAN_POINT('Ctrl Pts',(-12.88903486239,-8.280744587663,3.344892633704)); #486081=CARTESIAN_POINT('Ctrl Pts',(-12.81030341874,-8.220968428873,3.340508976134)); #486082=CARTESIAN_POINT('Ctrl Pts',(-12.75356652494,-8.141772331163,3.323190337754)); #486083=CARTESIAN_POINT('Ctrl Pts',(-12.72478199325,-8.051473436903,3.294755671014)); #486084=CARTESIAN_POINT('Ctrl Pts',(-12.72734064321,-7.944155904131,3.252065343852)); #486085=CARTESIAN_POINT('Ctrl Pts',(-12.76125658943,-7.875867057061,3.217087908721)); #486086=CARTESIAN_POINT('Ctrl Pts',(-12.79123880041,-7.842858588805,3.196893097409)); #486087=CARTESIAN_POINT('Ctrl Pts',(-13.15813769163,-8.342056550906,3.075571022527)); #486088=CARTESIAN_POINT('Ctrl Pts',(-13.12124576015,-8.367759153483,3.094826645248)); #486089=CARTESIAN_POINT('Ctrl Pts',(-13.04711611687,-8.394353410181,3.12362583579)); #486090=CARTESIAN_POINT('Ctrl Pts',(-12.93458382789,-8.388107409221,3.148936068189)); #486091=CARTESIAN_POINT('Ctrl Pts',(-12.84228442969,-8.353517242458,3.158059609631)); #486092=CARTESIAN_POINT('Ctrl Pts',(-12.76382124614,-8.293325557158,3.153658391806)); #486093=CARTESIAN_POINT('Ctrl Pts',(-12.70744080677,-8.213857848738,3.136194704309)); #486094=CARTESIAN_POINT('Ctrl Pts',(-12.67906800423,-8.123466171559,3.107504127626)); #486095=CARTESIAN_POINT('Ctrl Pts',(-12.68212405452,-8.016268962276,3.064419634615)); #486096=CARTESIAN_POINT('Ctrl Pts',(-12.71636335374,-7.9482668825,3.029115408994)); #486097=CARTESIAN_POINT('Ctrl Pts',(-12.74650322996,-7.915489268732,3.008732518311)); #486098=CARTESIAN_POINT('Ctrl Pts',(-13.11869578345,-8.403742867367,2.923702049437)); #486099=CARTESIAN_POINT('Ctrl Pts',(-13.0817535311,-8.429138641472,2.943251601986)); #486100=CARTESIAN_POINT('Ctrl Pts',(-13.00761171101,-8.455182793004,2.972488207379)); #486101=CARTESIAN_POINT('Ctrl Pts',(-12.89522201676,-8.448226596088,2.998178783879)); #486102=CARTESIAN_POINT('Ctrl Pts',(-12.80313914925,-8.413132766461,3.00743544154)); #486103=CARTESIAN_POINT('Ctrl Pts',(-12.72496193491,-8.352594487239,3.002960743501)); #486104=CARTESIAN_POINT('Ctrl Pts',(-12.66890505195,-8.272972261034,2.985224630696)); #486105=CARTESIAN_POINT('Ctrl Pts',(-12.64085812368,-8.182632493448,2.956090979369)); #486106=CARTESIAN_POINT('Ctrl Pts',(-12.6442566651,-8.075728035455,2.912343642756)); #486107=CARTESIAN_POINT('Ctrl Pts',(-12.6786746823,-8.008112856923,2.876497243823)); #486108=CARTESIAN_POINT('Ctrl Pts',(-12.70888491221,-7.975605566159,2.855801177777)); #486109=CARTESIAN_POINT('Ctrl Pts',(-13.09809203406,-8.436717116368,2.838552128451)); #486110=CARTESIAN_POINT('Ctrl Pts',(-13.06108001785,-8.462394393496,2.857821013427)); #486111=CARTESIAN_POINT('Ctrl Pts',(-12.98675225677,-8.488843113964,2.886678107112)); #486112=CARTESIAN_POINT('Ctrl Pts',(-12.87406663491,-8.482182284946,2.912110590156)); #486113=CARTESIAN_POINT('Ctrl Pts',(-12.78176877915,-8.447131341259,2.921348373729)); #486114=CARTESIAN_POINT('Ctrl Pts',(-12.70347248103,-8.386434416215,2.917055608182)); #486115=CARTESIAN_POINT('Ctrl Pts',(-12.64743437702,-8.306491527993,2.899684719572)); #486116=CARTESIAN_POINT('Ctrl Pts',(-12.61956316829,-8.215732876861,2.871067713905)); #486117=CARTESIAN_POINT('Ctrl Pts',(-12.62334075502,-8.108315949738,2.828049503361)); #486118=CARTESIAN_POINT('Ctrl Pts',(-12.65814807543,-8.040398025382,2.792782550994)); #486119=CARTESIAN_POINT('Ctrl Pts',(-12.6886015942,-8.007771926973,2.772423836845)); #486120=CARTESIAN_POINT('Origin',(-12.925377992401,-8.18685907100621,2.83624853993835)); #486121=CARTESIAN_POINT('Ctrl Pts',(-13.11885262724,-8.402924990914,2.926562258116)); #486122=CARTESIAN_POINT('Ctrl Pts',(-13.08207077986,-8.428096654559,2.945809831576)); #486123=CARTESIAN_POINT('Ctrl Pts',(-13.00819905324,-8.454071525465,2.974691945098)); #486124=CARTESIAN_POINT('Ctrl Pts',(-12.89608223196,-8.447332297685,3.000170015106)); #486125=CARTESIAN_POINT('Ctrl Pts',(-12.80390694464,-8.412332272075,3.009401392353)); #486126=CARTESIAN_POINT('Ctrl Pts',(-12.72565124341,-8.351808760489,3.004986996754)); #486127=CARTESIAN_POINT('Ctrl Pts',(-12.66954614184,-8.272127002309,2.987390875826)); #486128=CARTESIAN_POINT('Ctrl Pts',(-12.6414921016,-8.181667945117,2.958464010289)); #486129=CARTESIAN_POINT('Ctrl Pts',(-12.6449304598,-8.074707686598,2.915065993576)); #486130=CARTESIAN_POINT('Ctrl Pts',(-12.67931106855,-8.007047674706,2.879525446046)); #486131=CARTESIAN_POINT('Ctrl Pts',(-12.70943700757,-7.974510216324,2.859020545227)); #486132=CARTESIAN_POINT('Ctrl Pts',(-13.1007816147,-8.431533500653,2.854526878643)); #486133=CARTESIAN_POINT('Ctrl Pts',(-13.06395897537,-8.456745404887,2.873723281567)); #486134=CARTESIAN_POINT('Ctrl Pts',(-12.99000823158,-8.482752352152,2.902543633165)); #486135=CARTESIAN_POINT('Ctrl Pts',(-12.87780481333,-8.475962513875,2.927995569486)); #486136=CARTESIAN_POINT('Ctrl Pts',(-12.78559400592,-8.440858215693,2.937245491464)); #486137=CARTESIAN_POINT('Ctrl Pts',(-12.70735921345,-8.380185758976,2.932887383304)); #486138=CARTESIAN_POINT('Ctrl Pts',(-12.65134092993,-8.300335021075,2.915379973371)); #486139=CARTESIAN_POINT('Ctrl Pts',(-12.62343884138,-8.209716608333,2.886567373041)); #486140=CARTESIAN_POINT('Ctrl Pts',(-12.62711656168,-8.102617071598,2.843323123429)); #486141=CARTESIAN_POINT('Ctrl Pts',(-12.66170057495,-8.034926516413,2.807901708709)); #486142=CARTESIAN_POINT('Ctrl Pts',(-12.69194344558,-8.002405649104,2.787466588726)); #486143=CARTESIAN_POINT('Ctrl Pts',(-13.07594425815,-8.471213107421,2.752621037323)); #486144=CARTESIAN_POINT('Ctrl Pts',(-13.03903952814,-8.496747819414,2.771527407001)); #486145=CARTESIAN_POINT('Ctrl Pts',(-12.96487208027,-8.523216862613,2.799962515428)); #486146=CARTESIAN_POINT('Ctrl Pts',(-12.85232505552,-8.516758096719,2.825169085248)); #486147=CARTESIAN_POINT('Ctrl Pts',(-12.75986497526,-8.4816927938,2.834423594802)); #486148=CARTESIAN_POINT('Ctrl Pts',(-12.68149393355,-8.420825463776,2.830280877142)); #486149=CARTESIAN_POINT('Ctrl Pts',(-12.62550126051,-8.340593462023,2.813179124101)); #486150=CARTESIAN_POINT('Ctrl Pts',(-12.59780868105,-8.249482767275,2.784928062432)); #486151=CARTESIAN_POINT('Ctrl Pts',(-12.60193393421,-8.141786545729,2.742467379354)); #486152=CARTESIAN_POINT('Ctrl Pts',(-12.6369754772,-8.073747645136,2.707665016976)); #486153=CARTESIAN_POINT('Ctrl Pts',(-12.66750377255,-8.041091904604,2.68759054838)); #486154=CARTESIAN_POINT('Ctrl Pts',(-13.0472690033,-8.5179699146,2.617545871035)); #486155=CARTESIAN_POINT('Ctrl Pts',(-13.0101876218,-8.544547098707,2.63526133826)); #486156=CARTESIAN_POINT('Ctrl Pts',(-12.93547625413,-8.572610841307,2.662008865892)); #486157=CARTESIAN_POINT('Ctrl Pts',(-12.82195449601,-8.567573094663,2.685913391604)); #486158=CARTESIAN_POINT('Ctrl Pts',(-12.72870439881,-8.533041801143,2.694879804164)); #486159=CARTESIAN_POINT('Ctrl Pts',(-12.64977615597,-8.472001411573,2.691301258794)); #486160=CARTESIAN_POINT('Ctrl Pts',(-12.59360367187,-8.390973700973,2.675559952894)); #486161=CARTESIAN_POINT('Ctrl Pts',(-12.56618853308,-8.298616819113,2.649338266644)); #486162=CARTESIAN_POINT('Ctrl Pts',(-12.57117083431,-8.189212821477,2.609806126081)); #486163=CARTESIAN_POINT('Ctrl Pts',(-12.60721425462,-8.119994748642,2.577357379718)); #486164=CARTESIAN_POINT('Ctrl Pts',(-12.63839640358,-8.086782457701,2.558647708363)); #486165=CARTESIAN_POINT('Ctrl Pts',(-13.02205966757,-8.557878745222,2.49868387023)); #486166=CARTESIAN_POINT('Ctrl Pts',(-12.98486360962,-8.584946951974,2.515763506522)); #486167=CARTESIAN_POINT('Ctrl Pts',(-12.90984563631,-8.613743983249,2.541604090821)); #486168=CARTESIAN_POINT('Ctrl Pts',(-12.79582514549,-8.609309167903,2.564796750844)); #486169=CARTESIAN_POINT('Ctrl Pts',(-12.70220058177,-8.574949241143,2.573591765424)); #486170=CARTESIAN_POINT('Ctrl Pts',(-12.6230457849,-8.513734437853,2.570295810414)); #486171=CARTESIAN_POINT('Ctrl Pts',(-12.56686416253,-8.432240120643,2.555262733354)); #486172=CARTESIAN_POINT('Ctrl Pts',(-12.53969040812,-8.339220911083,2.530107711364)); #486173=CARTESIAN_POINT('Ctrl Pts',(-12.54523439685,-8.228960903154,2.492121081386)); #486174=CARTESIAN_POINT('Ctrl Pts',(-12.58187242332,-8.159194909152,2.460916912259)); #486175=CARTESIAN_POINT('Ctrl Pts',(-12.61342935691,-8.125744503691,2.442928547173)); #486176=CARTESIAN_POINT('Ctrl Pts',(-12.99663110432,-8.596781133134,2.381341432648)); #486177=CARTESIAN_POINT('Ctrl Pts',(-12.95936901317,-8.623848630596,2.398373351237)); #486178=CARTESIAN_POINT('Ctrl Pts',(-12.88424572816,-8.652600136293,2.424154669908)); #486179=CARTESIAN_POINT('Ctrl Pts',(-12.77014388495,-8.648005959763,2.447318085544)); #486180=CARTESIAN_POINT('Ctrl Pts',(-12.67651644263,-8.613458295673,2.456125080494)); #486181=CARTESIAN_POINT('Ctrl Pts',(-12.5974392391,-8.552030092853,2.452875713904)); #486182=CARTESIAN_POINT('Ctrl Pts',(-12.54141838976,-8.470328289343,2.437919267354)); #486183=CARTESIAN_POINT('Ctrl Pts',(-12.51447910506,-8.377141285353,2.412864746774)); #486184=CARTESIAN_POINT('Ctrl Pts',(-12.52035740446,-8.266768170826,2.375014595541)); #486185=CARTESIAN_POINT('Ctrl Pts',(-12.55725560734,-8.197019711144,2.343916681452)); #486186=CARTESIAN_POINT('Ctrl Pts',(-12.58895493742,-8.163622047929,2.325990469687)); #486187=CARTESIAN_POINT('Ctrl Pts',(-12.96950593999,-8.637008007143,2.266381547711)); #486188=CARTESIAN_POINT('Ctrl Pts',(-12.93223036398,-8.663607982359,2.283940834875)); #486189=CARTESIAN_POINT('Ctrl Pts',(-12.85720081119,-8.691572955115,2.310495869799)); #486190=CARTESIAN_POINT('Ctrl Pts',(-12.74341914206,-8.686089442793,2.334308913024)); #486191=CARTESIAN_POINT('Ctrl Pts',(-12.65014290335,-8.651005505133,2.343319059854)); #486192=CARTESIAN_POINT('Ctrl Pts',(-12.57143133048,-8.589318152413,2.339897886644)); #486193=CARTESIAN_POINT('Ctrl Pts',(-12.51573120226,-8.507646501513,2.324412275604)); #486194=CARTESIAN_POINT('Ctrl Pts',(-12.48901908294,-8.414754924063,2.298524207664)); #486195=CARTESIAN_POINT('Ctrl Pts',(-12.49501677976,-8.304971072323,2.259443930793)); #486196=CARTESIAN_POINT('Ctrl Pts',(-12.53186039289,-8.235780262195,2.22734648576)); #486197=CARTESIAN_POINT('Ctrl Pts',(-12.56348376102,-8.202715866327,2.208842386797)); #486198=CARTESIAN_POINT('Ctrl Pts',(-12.93915424698,-8.682549074397,2.135962358762)); #486199=CARTESIAN_POINT('Ctrl Pts',(-12.90182368862,-8.708992892486,2.153658594365)); #486200=CARTESIAN_POINT('Ctrl Pts',(-12.82674390374,-8.736659024651,2.180423830125)); #486201=CARTESIAN_POINT('Ctrl Pts',(-12.71300582916,-8.730749294813,2.204431102008)); #486202=CARTESIAN_POINT('Ctrl Pts',(-12.61984524343,-8.695333280971,2.213520267426)); #486203=CARTESIAN_POINT('Ctrl Pts',(-12.54131833954,-8.633385055985,2.21008135875)); #486204=CARTESIAN_POINT('Ctrl Pts',(-12.48585483684,-8.551553851837,2.194483264116)); #486205=CARTESIAN_POINT('Ctrl Pts',(-12.45940786005,-8.458623994581,2.16840052973)); #486206=CARTESIAN_POINT('Ctrl Pts',(-12.46571592181,-8.348945501043,2.129022732281)); #486207=CARTESIAN_POINT('Ctrl Pts',(-12.50275232288,-8.279957076863,2.096679527224)); #486208=CARTESIAN_POINT('Ctrl Pts',(-12.53446591782,-8.247048721211,2.078033963481)); #486209=CARTESIAN_POINT('Ctrl Pts',(-12.91627227536,-8.717404208549,2.032836654463)); #486210=CARTESIAN_POINT('Ctrl Pts',(-12.87885334271,-8.744129668979,2.050171778526)); #486211=CARTESIAN_POINT('Ctrl Pts',(-12.80356165945,-8.772201342398,2.076427672719)); #486212=CARTESIAN_POINT('Ctrl Pts',(-12.68950945281,-8.766584883141,2.100046439181)); #486213=CARTESIAN_POINT('Ctrl Pts',(-12.59613252527,-8.731205785285,2.109054486369)); #486214=CARTESIAN_POINT('Ctrl Pts',(-12.51750102178,-8.669088602807,2.105792650693)); #486215=CARTESIAN_POINT('Ctrl Pts',(-12.46208520114,-8.58692389592,2.090612128113)); #486216=CARTESIAN_POINT('Ctrl Pts',(-12.43585375632,-8.493562382294,2.065148561372)); #486217=CARTESIAN_POINT('Ctrl Pts',(-12.44259342492,-8.383360541778,2.02666191985)); #486218=CARTESIAN_POINT('Ctrl Pts',(-12.48005536722,-8.314067222146,1.995033966918)); #486219=CARTESIAN_POINT('Ctrl Pts',(-12.51202976598,-8.281041441105,1.976803307805)); #486220=CARTESIAN_POINT('Ctrl Pts',(-12.90123508763,-8.740657729251,1.957675264613)); #486221=CARTESIAN_POINT('Ctrl Pts',(-12.86372644251,-8.767819924415,1.974422048133)); #486222=CARTESIAN_POINT('Ctrl Pts',(-12.78818175055,-8.796558233363,1.999828354709)); #486223=CARTESIAN_POINT('Ctrl Pts',(-12.6736991626,-8.791528125291,2.022759516868)); #486224=CARTESIAN_POINT('Ctrl Pts',(-12.5799855192,-8.756360146712,2.031578859766)); #486225=CARTESIAN_POINT('Ctrl Pts',(-12.50113040629,-8.694154566413,2.02854711511)); #486226=CARTESIAN_POINT('Ctrl Pts',(-12.44566476747,-8.611640322941,2.013992028777)); #486227=CARTESIAN_POINT('Ctrl Pts',(-12.41958835038,-8.517744145477,1.989488416188)); #486228=CARTESIAN_POINT('Ctrl Pts',(-12.42674223053,-8.40682101051,1.952403615645)); #486229=CARTESIAN_POINT('Ctrl Pts',(-12.46466524367,-8.337040774031,1.92190889521)); #486230=CARTESIAN_POINT('Ctrl Pts',(-12.49693483428,-8.303791084349,1.904334328933)); #486231=CARTESIAN_POINT('Origin',(-12.7267595698045,-8.49232541618426,1.96543555046716)); #486232=CARTESIAN_POINT('Ctrl Pts',(-12.91913216554,-8.712706288562,2.046542654281)); #486233=CARTESIAN_POINT('Ctrl Pts',(-12.88180981836,-8.739238410563,2.063802959965)); #486234=CARTESIAN_POINT('Ctrl Pts',(-12.80669793887,-8.767158642364,2.089980627282)); #486235=CARTESIAN_POINT('Ctrl Pts',(-12.69285531836,-8.761557364091,2.113557863455)); #486236=CARTESIAN_POINT('Ctrl Pts',(-12.59948576641,-8.726196035332,2.122558409732)); #486237=CARTESIAN_POINT('Ctrl Pts',(-12.52085032445,-8.664106497104,2.119284274092)); #486238=CARTESIAN_POINT('Ctrl Pts',(-12.46541758242,-8.581974669452,2.104087803597)); #486239=CARTESIAN_POINT('Ctrl Pts',(-12.43915653665,-8.488645603853,2.078605720358)); #486240=CARTESIAN_POINT('Ctrl Pts',(-12.44583805898,-8.37866575943,2.040162547051)); #486241=CARTESIAN_POINT('Ctrl Pts',(-12.48309023286,-8.309528079823,2.008604115562)); #486242=CARTESIAN_POINT('Ctrl Pts',(-12.5148353991,-8.276567005165,1.990431169442)); #486243=CARTESIAN_POINT('Ctrl Pts',(-12.90340022038,-8.737014797439,1.972061941314)); #486244=CARTESIAN_POINT('Ctrl Pts',(-12.86599349183,-8.763925401764,1.988831408234)); #486245=CARTESIAN_POINT('Ctrl Pts',(-12.79065246475,-8.792418443154,2.014304149318)); #486246=CARTESIAN_POINT('Ctrl Pts',(-12.67642984946,-8.787307671874,2.037319857708)); #486247=CARTESIAN_POINT('Ctrl Pts',(-12.58276867625,-8.752108140806,2.046176797617)); #486248=CARTESIAN_POINT('Ctrl Pts',(-12.50394767999,-8.689917109524,2.043110763489)); #486249=CARTESIAN_POINT('Ctrl Pts',(-12.4484883022,-8.60745736861,2.028453065381)); #486250=CARTESIAN_POINT('Ctrl Pts',(-12.42238556897,-8.513643612861,2.003788760724)); #486251=CARTESIAN_POINT('Ctrl Pts',(-12.42945963183,-8.403023182899,1.966532260448)); #486252=CARTESIAN_POINT('Ctrl Pts',(-12.46713699594,-8.333462742941,1.935930028395)); #486253=CARTESIAN_POINT('Ctrl Pts',(-12.4991518403,-8.300311313131,1.918310427364)); #486254=CARTESIAN_POINT('Ctrl Pts',(-12.87617404918,-8.778528511219,1.837526084139)); #486255=CARTESIAN_POINT('Ctrl Pts',(-12.83863783617,-8.805965315765,1.853542049586)); #486256=CARTESIAN_POINT('Ctrl Pts',(-12.76295599594,-8.835249273361,1.877923295818)); #486257=CARTESIAN_POINT('Ctrl Pts',(-12.64818312386,-8.830797193821,1.900050875838)); #486258=CARTESIAN_POINT('Ctrl Pts',(-12.55410999296,-8.795793100329,1.90866005601)); #486259=CARTESIAN_POINT('Ctrl Pts',(-12.47504129817,-8.733425936945,1.905884941751)); #486260=CARTESIAN_POINT('Ctrl Pts',(-12.41957383517,-8.650475778176,1.892027063083)); #486261=CARTESIAN_POINT('Ctrl Pts',(-12.39373755922,-8.555961281307,1.868593399496)); #486262=CARTESIAN_POINT('Ctrl Pts',(-12.40142635091,-8.444436043515,1.833132693967)); #486263=CARTESIAN_POINT('Ctrl Pts',(-12.43974981898,-8.374298737789,1.803981436405)); #486264=CARTESIAN_POINT('Ctrl Pts',(-12.47216943544,-8.340898416807,1.787200892612)); #486265=CARTESIAN_POINT('Ctrl Pts',(-12.83736286451,-8.835949404305,1.644455980574)); #486266=CARTESIAN_POINT('Ctrl Pts',(-12.79971322974,-8.863544544197,1.660176939032)); #486267=CARTESIAN_POINT('Ctrl Pts',(-12.72380652457,-8.893016402681,1.684139132893)); #486268=CARTESIAN_POINT('Ctrl Pts',(-12.60876841613,-8.888582044363,1.705941659134)); #486269=CARTESIAN_POINT('Ctrl Pts',(-12.5145621981,-8.853422256023,1.714476997794)); #486270=CARTESIAN_POINT('Ctrl Pts',(-12.43550410558,-8.790757976233,1.711839162954)); #486271=CARTESIAN_POINT('Ctrl Pts',(-12.38021820336,-8.707424106453,1.698315664054)); #486272=CARTESIAN_POINT('Ctrl Pts',(-12.3547345432,-8.612511021593,1.675381850224)); #486273=CARTESIAN_POINT('Ctrl Pts',(-12.36299892097,-8.500594697125,1.64064173663)); #486274=CARTESIAN_POINT('Ctrl Pts',(-12.40182153094,-8.430316842061,1.612069222468)); #486275=CARTESIAN_POINT('Ctrl Pts',(-12.43452913435,-8.39691143526,1.595623884931)); #486276=CARTESIAN_POINT('Ctrl Pts',(-12.80140748961,-8.888492387367,1.466168539248)); #486277=CARTESIAN_POINT('Ctrl Pts',(-12.76365732869,-8.916148006561,1.481721582538)); #486278=CARTESIAN_POINT('Ctrl Pts',(-12.68757482115,-8.945656027695,1.505449027853)); #486279=CARTESIAN_POINT('Ctrl Pts',(-12.57236779728,-8.941101566393,1.527076885254)); #486280=CARTESIAN_POINT('Ctrl Pts',(-12.47811034944,-8.905732103253,1.535580803824)); #486281=CARTESIAN_POINT('Ctrl Pts',(-12.39912408409,-8.842783534573,1.533031870584)); #486282=CARTESIAN_POINT('Ctrl Pts',(-12.34404470741,-8.759137289663,1.519708547564)); #486283=CARTESIAN_POINT('Ctrl Pts',(-12.31889332869,-8.663938423933,1.497067694814)); #486284=CARTESIAN_POINT('Ctrl Pts',(-12.32765597294,-8.551783948913,1.4627460424)); #486285=CARTESIAN_POINT('Ctrl Pts',(-12.36688301903,-8.481467879304,1.4345080647)); #486286=CARTESIAN_POINT('Ctrl Pts',(-12.3998163498,-8.448103288006,1.418256729354)); #486287=CARTESIAN_POINT('Ctrl Pts',(-12.76681883739,-8.93911439296,1.285968082394)); #486288=CARTESIAN_POINT('Ctrl Pts',(-12.72892697887,-8.96712171672,1.300887516249)); #486289=CARTESIAN_POINT('Ctrl Pts',(-12.65253273738,-8.997132424694,1.323687722559)); #486290=CARTESIAN_POINT('Ctrl Pts',(-12.53689691045,-8.992924303613,1.344542535234)); #486291=CARTESIAN_POINT('Ctrl Pts',(-12.44236441083,-8.957573343143,1.352810778844)); #486292=CARTESIAN_POINT('Ctrl Pts',(-12.36327290563,-8.894378521203,1.350478040484)); #486293=CARTESIAN_POINT('Ctrl Pts',(-12.30830768694,-8.810278604613,1.337800294794)); #486294=CARTESIAN_POINT('Ctrl Pts',(-12.28350384511,-8.714508723903,1.316169983994)); #486295=CARTESIAN_POINT('Ctrl Pts',(-12.29291245239,-8.601679218884,1.283333204116)); #486296=CARTESIAN_POINT('Ctrl Pts',(-12.33274827417,-8.530986221201,1.256299010598)); #486297=CARTESIAN_POINT('Ctrl Pts',(-12.36604639032,-8.497485609442,1.240743169443)); #486298=CARTESIAN_POINT('Ctrl Pts',(-12.73369280437,-8.987108153195,1.10490747315)); #486299=CARTESIAN_POINT('Ctrl Pts',(-12.69566652096,-9.015414917333,1.119222953972)); #486300=CARTESIAN_POINT('Ctrl Pts',(-12.61898551525,-9.045848698303,1.1411327285)); #486301=CARTESIAN_POINT('Ctrl Pts',(-12.50296801008,-9.041916783343,1.161232450554)); #486302=CARTESIAN_POINT('Ctrl Pts',(-12.40819975052,-9.006558837293,1.169257201764)); #486303=CARTESIAN_POINT('Ctrl Pts',(-12.32903165266,-8.943127952743,1.167111165304)); #486304=CARTESIAN_POINT('Ctrl Pts',(-12.27419110438,-8.858615796093,1.155030705964)); #486305=CARTESIAN_POINT('Ctrl Pts',(-12.2497228092,-8.762338749213,1.134347720124)); #486306=CARTESIAN_POINT('Ctrl Pts',(-12.25973670819,-8.64892088912,1.102910192612)); #486307=CARTESIAN_POINT('Ctrl Pts',(-12.30013237456,-8.577910306402,1.077013215851)); #486308=CARTESIAN_POINT('Ctrl Pts',(-12.33376296543,-8.544301502719,1.062113973141)); #486309=CARTESIAN_POINT('Ctrl Pts',(-12.70057886593,-9.034118290727,0.9248665155569)); #486310=CARTESIAN_POINT('Ctrl Pts',(-12.66246781061,-9.062383861095,0.9391431998942)); #486311=CARTESIAN_POINT('Ctrl Pts',(-12.58566319142,-9.092697289301,0.9610039311112)); #486312=CARTESIAN_POINT('Ctrl Pts',(-12.46957377613,-9.088505278963,0.9810774630637)); #486313=CARTESIAN_POINT('Ctrl Pts',(-12.37483450117,-9.052885170743,0.9891093826037)); #486314=CARTESIAN_POINT('Ctrl Pts',(-12.29579604642,-8.989187734663,0.9869983710337)); #486315=CARTESIAN_POINT('Ctrl Pts',(-12.24118230221,-8.904442723923,0.9749772089937)); #486316=CARTESIAN_POINT('Ctrl Pts',(-12.21702041314,-8.808003776423,0.9543728576837)); #486317=CARTESIAN_POINT('Ctrl Pts',(-12.22744816836,-8.694516173552,0.9230424881803)); #486318=CARTESIAN_POINT('Ctrl Pts',(-12.2681481206,-8.623582046238,0.8972290893215)); #486319=CARTESIAN_POINT('Ctrl Pts',(-12.30193883315,-8.590067123809,0.8823786389892)); #486320=CARTESIAN_POINT('Ctrl Pts',(-12.65618824217,-9.096724097849,0.6848410887934)); #486321=CARTESIAN_POINT('Ctrl Pts',(-12.61797272808,-9.124958973033,0.6990750269223)); #486322=CARTESIAN_POINT('Ctrl Pts',(-12.5410048739,-9.155151858344,0.7208875526723)); #486323=CARTESIAN_POINT('Ctrl Pts',(-12.42480010527,-9.150654643863,0.7409481861437)); #486324=CARTESIAN_POINT('Ctrl Pts',(-12.33007341715,-9.114707106393,0.7490043206237)); #486325=CARTESIAN_POINT('Ctrl Pts',(-12.2511790263,-9.050661748483,0.7469483835637)); #486326=CARTESIAN_POINT('Ctrl Pts',(-12.19684238258,-8.965600852953,0.7350075460837)); #486327=CARTESIAN_POINT('Ctrl Pts',(-12.17307208616,-8.868931693273,0.7145025838737)); #486328=CARTESIAN_POINT('Ctrl Pts',(-12.18404484597,-8.75533024698,0.683302682994)); #486329=CARTESIAN_POINT('Ctrl Pts',(-12.2251573822,-8.684485451766,0.6575889374405)); #486330=CARTESIAN_POINT('Ctrl Pts',(-12.25916865654,-8.651091783441,0.6427969994983)); #486331=CARTESIAN_POINT('Ctrl Pts',(-12.61858208646,-9.149600526328,0.4728354638145)); #486332=CARTESIAN_POINT('Ctrl Pts',(-12.58022328936,-9.178108722599,0.4864763221968)); #486333=CARTESIAN_POINT('Ctrl Pts',(-12.50295996994,-9.20867726524,0.507412274508)); #486334=CARTESIAN_POINT('Ctrl Pts',(-12.38637872559,-9.204393958603,0.5267253031237)); #486335=CARTESIAN_POINT('Ctrl Pts',(-12.29143283087,-9.168394724323,0.5345358983937)); #486336=CARTESIAN_POINT('Ctrl Pts',(-12.21248893659,-9.104082865143,0.5326565120737)); #486337=CARTESIAN_POINT('Ctrl Pts',(-12.15831160778,-9.018597550183,0.5212956301737)); #486338=CARTESIAN_POINT('Ctrl Pts',(-12.13491491651,-8.921429404363,0.5017148608237)); #486339=CARTESIAN_POINT('Ctrl Pts',(-12.14653561427,-8.807272655396,0.4718825470502)); #486340=CARTESIAN_POINT('Ctrl Pts',(-12.18823183276,-8.736152181708,0.4472812510539)); #486341=CARTESIAN_POINT('Ctrl Pts',(-12.2225850604,-8.702679371435,0.4331314635155)); #486342=CARTESIAN_POINT('Ctrl Pts',(-12.58851405426,-9.19166656945,0.2893466896382)); #486343=CARTESIAN_POINT('Ctrl Pts',(-12.55001810123,-9.220504931306,0.3022313639869)); #486344=CARTESIAN_POINT('Ctrl Pts',(-12.47245567716,-9.251553473656,0.3220359646972)); #486345=CARTESIAN_POINT('Ctrl Pts',(-12.35546397074,-9.247621018303,0.3403581784937)); #486346=CARTESIAN_POINT('Ctrl Pts',(-12.26025355662,-9.211668065573,0.3478171519737)); #486347=CARTESIAN_POINT('Ctrl Pts',(-12.18120445165,-9.147156918643,0.3461237578237)); #486348=CARTESIAN_POINT('Ctrl Pts',(-12.12712689299,-9.061276628553,0.3354665359937)); #486349=CARTESIAN_POINT('Ctrl Pts',(-12.1040470822,-8.963598658753,0.3170334186237)); #486350=CARTESIAN_POINT('Ctrl Pts',(-12.11625993663,-8.848829572546,0.2889146171702)); #486351=CARTESIAN_POINT('Ctrl Pts',(-12.15851398322,-8.777359830145,0.2657131054321)); #486352=CARTESIAN_POINT('Ctrl Pts',(-12.19320030154,-8.743757416592,0.2523704216136)); #486353=CARTESIAN_POINT('Ctrl Pts',(-12.5675318252,-9.221042539287,0.1552699399509)); #486354=CARTESIAN_POINT('Ctrl Pts',(-12.52893139144,-9.250185576725,0.1674650676874)); #486355=CARTESIAN_POINT('Ctrl Pts',(-12.4511255468,-9.281689062349,0.1862343556505)); #486356=CARTESIAN_POINT('Ctrl Pts',(-12.33377660679,-9.278122187265,0.2036430335579)); #486357=CARTESIAN_POINT('Ctrl Pts',(-12.23831906013,-9.242261013583,0.2107710884676)); #486358=CARTESIAN_POINT('Ctrl Pts',(-12.15914597156,-9.177621540604,0.2092368724158)); #486359=CARTESIAN_POINT('Ctrl Pts',(-12.10511050538,-9.091430869578,0.1992117731482)); #486360=CARTESIAN_POINT('Ctrl Pts',(-12.08225404085,-8.993326725317,0.181817009807)); #486361=CARTESIAN_POINT('Ctrl Pts',(-12.0949187429,-8.878023956322,0.1552527109298)); #486362=CARTESIAN_POINT('Ctrl Pts',(-12.1376169041,-8.806230561072,0.1333227007822)); #486363=CARTESIAN_POINT('Ctrl Pts',(-12.17257288811,-8.772497692977,0.1207129086308)); #486364=CARTESIAN_POINT('Ctrl Pts',(-12.55183159835,-9.242607173591,0.05232484712181)); #486365=CARTESIAN_POINT('Ctrl Pts',(-12.51318324975,-9.271792484788,0.06436649397652)); #486366=CARTESIAN_POINT('Ctrl Pts',(-12.43528924251,-9.303339188161,0.08290673465485)); #486367=CARTESIAN_POINT('Ctrl Pts',(-12.31784667018,-9.299751201952,0.1001158500076)); #486368=CARTESIAN_POINT('Ctrl Pts',(-12.22235055494,-9.263817482882,0.1071740574847)); #486369=CARTESIAN_POINT('Ctrl Pts',(-12.14319678961,-9.199063514414,0.1056790398523)); #486370=CARTESIAN_POINT('Ctrl Pts',(-12.0892459083,-9.112736994545,0.09579795662516)); #486371=CARTESIAN_POINT('Ctrl Pts',(-12.06653630397,-9.014501257066,0.07863700120099)); #486372=CARTESIAN_POINT('Ctrl Pts',(-12.07942848503,-8.899081195391,0.05242116837656)); #486373=CARTESIAN_POINT('Ctrl Pts',(-12.12231515997,-8.827260099649,0.03077557970864)); #486374=CARTESIAN_POINT('Ctrl Pts',(-12.15737684759,-8.793539536349,0.01832981955447)); #486375=CARTESIAN_POINT('Ctrl Pts',(-12.54051520135,-9.257966721846,-0.01954258480073)); #486376=CARTESIAN_POINT('Ctrl Pts',(-12.50184405912,-9.287102068076,-0.007435809097831)); #486377=CARTESIAN_POINT('Ctrl Pts',(-12.42392634277,-9.318550543327,0.01120589847478)); #486378=CARTESIAN_POINT('Ctrl Pts',(-12.30649290523,-9.314818134657,0.02851133576333)); #486379=CARTESIAN_POINT('Ctrl Pts',(-12.21103483967,-9.278769422422,0.03561102251014)); #486380=CARTESIAN_POINT('Ctrl Pts',(-12.13194660309,-9.213923325698,0.03411123587061)); #486381=CARTESIAN_POINT('Ctrl Pts',(-12.07808268597,-9.127538920835,0.0241796972941)); #486382=CARTESIAN_POINT('Ctrl Pts',(-12.05547262652,-9.029287432329,0.006928431222755)); #486383=CARTESIAN_POINT('Ctrl Pts',(-12.06848279563,-8.913902000915,-0.01942679523902)); #486384=CARTESIAN_POINT('Ctrl Pts',(-12.1114436107,-8.842150937453,-0.04118801816776)); #486385=CARTESIAN_POINT('Ctrl Pts',(-12.14654002685,-8.808484806891,-0.0537001842001)); #486386=CARTESIAN_POINT('Origin',(0.,0.,0.)); #486387=CARTESIAN_POINT('Origin',(-20.,-20.,27.908560524)); #486388=CARTESIAN_POINT('',(-17.75,-20.,27.908560524)); #486389=CARTESIAN_POINT('Origin',(-20.,20.,27.908560524)); #486390=CARTESIAN_POINT('',(-17.75,20.,27.908560524)); #486391=CARTESIAN_POINT('Origin',(20.,20.,27.908560524)); #486392=CARTESIAN_POINT('',(22.25,20.,27.908560524)); #486393=CARTESIAN_POINT('Origin',(20.,-20.,27.908560524)); #486394=CARTESIAN_POINT('',(22.25,-20.,27.908560524)); #486395=CARTESIAN_POINT('Origin',(22.65165042945,-17.34834957055,28.48424550476)); #486396=CARTESIAN_POINT('',(22.12132034356,-17.87867965644,4.004245504764)); #486397=CARTESIAN_POINT('Origin',(20.89628473095,-16.65364404383,16.00424550476)); #486398=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,23.00424550476)); #486399=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,22.00424550476)); #486400=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,23.00424550476)); #486401=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,22.00424550476)); #486402=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,22.00424550476)); #486403=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,16.50424550476)); #486404=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,22.00424550476)); #486405=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,16.50424550476)); #486406=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,16.50424550476)); #486407=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,15.50424550476)); #486408=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,16.50424550476)); #486409=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,15.50424550476)); #486410=CARTESIAN_POINT('',(20.38330271131,-16.14066202419,15.50424550476)); #486411=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,7.004245504761)); #486412=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,15.50424550476)); #486413=CARTESIAN_POINT('Ctrl Pts',(19.6712491183442,-15.4286084312233, 7.0042455047628)); #486414=CARTESIAN_POINT('Ctrl Pts',(19.7503897830888,-15.507749095969,6.67090637769148)); #486415=CARTESIAN_POINT('Ctrl Pts',(19.8295223480117,-15.5868815810823, 6.33756905197693)); #486416=CARTESIAN_POINT('Ctrl Pts',(19.9086470327243,-15.6660062284572, 6.00423365994074)); #486417=CARTESIAN_POINT('Ctrl Pts',(19.9877717174369,-15.7451308758321, 5.67089826790445)); #486418=CARTESIAN_POINT('Ctrl Pts',(20.0668885220848,-15.8242476850661, 5.33756480950189)); #486419=CARTESIAN_POINT('Ctrl Pts',(20.1459977090581,-15.9033569107624, 5.00423341047609)); #486420=CARTESIAN_POINT('Ctrl Pts',(20.2251068960313,-15.9824661364587, 4.6709020114504)); #486421=CARTESIAN_POINT('Ctrl Pts',(20.3042084654284,-16.0615677783088, 4.33757267176334)); #486422=CARTESIAN_POINT('Ctrl Pts',(20.3833027113093,-16.1406620241895, 4.00424550476372)); #486423=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,23.00424550476)); #486424=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,28.00424550476)); #486425=CARTESIAN_POINT('',(19.67124911834,-15.42860843122,23.00424550476)); #486426=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486427=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,22.00424550476)); #486428=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,23.00424550476)); #486429=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,22.00424550476)); #486430=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486431=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486432=CARTESIAN_POINT('Origin',(16.65364404383,-20.89628473095,16.00424550476)); #486433=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,16.50424550476)); #486434=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,22.00424550476)); #486435=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,16.50424550476)); #486436=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,22.00424550476)); #486437=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,23.00424550476)); #486438=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,23.00424550476)); #486439=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,23.00424550476)); #486440=CARTESIAN_POINT('',(17.87867965644,-22.12132034356,28.00424550476)); #486441=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,7.004245504761)); #486442=CARTESIAN_POINT('Ctrl Pts',(16.1406620241895,-20.3833027113093, 4.00424550476372)); #486443=CARTESIAN_POINT('Ctrl Pts',(16.0615677783084,-20.304208465428,4.33757267176514)); #486444=CARTESIAN_POINT('Ctrl Pts',(15.9824661364581,-20.2251068960306, 4.6709020114531)); #486445=CARTESIAN_POINT('Ctrl Pts',(15.9033569107618,-20.1459977090574, 5.00423341047894)); #486446=CARTESIAN_POINT('Ctrl Pts',(15.8242476850654,-20.0668885220841, 5.33756480950489)); #486447=CARTESIAN_POINT('Ctrl Pts',(15.7451308758315,-19.9877717174363, 5.67089826790685)); #486448=CARTESIAN_POINT('Ctrl Pts',(15.6660062284567,-19.9086470327237, 6.00423365994284)); #486449=CARTESIAN_POINT('Ctrl Pts',(15.5868815810819,-19.8295223480112, 6.33756905197873)); #486450=CARTESIAN_POINT('Ctrl Pts',(15.5077490959687,-19.7503897830883, 6.67090637769328)); #486451=CARTESIAN_POINT('Ctrl Pts',(15.4286084312299,-19.6712491183399, 7.00424550476095)); #486452=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,15.50424550476)); #486453=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,7.004245504761)); #486454=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,15.50424550476)); #486455=CARTESIAN_POINT('',(15.42860843123,-19.67124911834,15.50424550476)); #486456=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,16.50424550476)); #486457=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,15.50424550476)); #486458=CARTESIAN_POINT('',(16.14066202419,-20.38330271131,16.50424550476)); #486459=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486460=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486461=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486462=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,22.00424550476)); #486463=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,16.50424550476)); #486464=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486465=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486466=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486467=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,15.50424550476)); #486468=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486469=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486470=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486471=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #486472=CARTESIAN_POINT('Ctrl Pts',(19.6551091023616,-17.0198908965063, 4.00424550737523)); #486473=CARTESIAN_POINT('Ctrl Pts',(19.5282896141431,-17.034567838058,4.26303599969183)); #486474=CARTESIAN_POINT('Ctrl Pts',(19.3966025919612,-17.05779649648,4.51462032057768)); #486475=CARTESIAN_POINT('Ctrl Pts',(19.0247247971414,-17.1528088887567, 5.15975954140712)); #486476=CARTESIAN_POINT('Ctrl Pts',(18.7207180780395,-17.260329511637,5.62194827132514)); #486477=CARTESIAN_POINT('Ctrl Pts',(18.3227666890047,-17.5109173102506, 5.97049649740332)); #486478=CARTESIAN_POINT('Ctrl Pts',(18.2332955648218,-17.5726458529351, 6.03621949773692)); #486479=CARTESIAN_POINT('Ctrl Pts',(18.0522082088496,-17.7153993738746, 6.12710273666098)); #486480=CARTESIAN_POINT('Ctrl Pts',(17.9605105735708,-17.7968487393099, 6.15143176237601)); #486481=CARTESIAN_POINT('Ctrl Pts',(17.7968487393099,-17.9605105735708, 6.15143176237601)); #486482=CARTESIAN_POINT('Ctrl Pts',(17.7153993738746,-18.0522082088496, 6.12710273666099)); #486483=CARTESIAN_POINT('Ctrl Pts',(17.5726458529351,-18.2332955648218, 6.03621949773693)); #486484=CARTESIAN_POINT('Ctrl Pts',(17.5109173102506,-18.3227666890047, 5.97049649740332)); #486485=CARTESIAN_POINT('Ctrl Pts',(17.260329511637,-18.7207180780395,5.62194827132514)); #486486=CARTESIAN_POINT('Ctrl Pts',(17.1528088887567,-19.0247247971414, 5.15975954140712)); #486487=CARTESIAN_POINT('Ctrl Pts',(17.0577964963603,-19.3966025924296, 4.51462031976516)); #486488=CARTESIAN_POINT('Ctrl Pts',(17.0345678379001,-19.5282896150303, 4.26303599800014)); #486489=CARTESIAN_POINT('Ctrl Pts',(17.0198908963582,-19.6551091036414, 4.00424550476377)); #486490=CARTESIAN_POINT('Origin',(20.,-20.,6.246431090179)); #486491=CARTESIAN_POINT('Origin',(17.34834957055,-22.65165042945,28.48424550476)); #486492=CARTESIAN_POINT('',(16.81801948466,-23.18198051534,4.004245504764)); #486493=CARTESIAN_POINT('Origin',(15.5124861158,-21.87644714648,16.00424550476)); #486494=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,23.00424550476)); #486495=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,22.00424550476)); #486496=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,23.00424550476)); #486497=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,22.00424550476)); #486498=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,22.00424550476)); #486499=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,16.50424550476)); #486500=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,22.00424550476)); #486501=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,16.50424550476)); #486502=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,16.50424550476)); #486503=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,15.50424550476)); #486504=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,16.50424550476)); #486505=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,15.50424550476)); #486506=CARTESIAN_POINT('',(14.92533844689,-21.28929947757,15.50424550476)); #486507=CARTESIAN_POINT('',(14.2069527464359,-20.5709137781196,7.00424550476006)); #486508=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,15.50424550476)); #486509=CARTESIAN_POINT('Origin',(-5497.4483303276,5550.62868627241,-2648.58926805294)); #486510=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,23.00424550476)); #486511=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,28.00424550476)); #486512=CARTESIAN_POINT('',(14.20695274694,-20.57091377762,23.00424550476)); #486513=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486514=CARTESIAN_POINT('',(10.,-24.,23.00424550476)); #486515=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486516=CARTESIAN_POINT('',(10.,-24.,22.00424550476)); #486517=CARTESIAN_POINT('',(10.,-24.,22.00424550476)); #486518=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486519=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,22.00424550476)); #486520=CARTESIAN_POINT('',(10.,-22.91287847478,22.00424550476)); #486521=CARTESIAN_POINT('',(10.,-24.,22.00424550476)); #486522=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486523=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486524=CARTESIAN_POINT('',(10.,-22.91287847478,16.50424550476)); #486525=CARTESIAN_POINT('',(10.,-22.91287847478,16.50424550476)); #486526=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486527=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,16.50424550476)); #486528=CARTESIAN_POINT('',(10.,-24.,16.50424550476)); #486529=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486530=CARTESIAN_POINT('',(10.,-22.91287847478,16.50424550476)); #486531=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486532=CARTESIAN_POINT('',(10.,-24.,15.50424550476)); #486533=CARTESIAN_POINT('',(10.,-24.,15.50424550476)); #486534=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486535=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,15.50424550476)); #486536=CARTESIAN_POINT('',(10.,-22.91287847478,15.50424550476)); #486537=CARTESIAN_POINT('',(10.,-24.,15.50424550476)); #486538=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486539=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486540=CARTESIAN_POINT('',(9.999999999999,-22.91287847478,7.004245504761)); #486541=CARTESIAN_POINT('',(10.,-22.91287847478,7.004245504761)); #486542=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486543=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #486544=CARTESIAN_POINT('Ctrl Pts',(10.,-23.9999999999996,4.00424550476385)); #486545=CARTESIAN_POINT('Ctrl Pts',(10.,-23.4457811832207,5.53900530507399)); #486546=CARTESIAN_POINT('Ctrl Pts',(10.,-22.9128784747794,7.00424550476078)); #486547=CARTESIAN_POINT('Origin',(10.,-23.90643923739,16.00424550476)); #486548=CARTESIAN_POINT('',(10.,-24.9,28.00424550476)); #486549=CARTESIAN_POINT('',(10.,-22.91287847478,23.00424550476)); #486550=CARTESIAN_POINT('',(10.,-22.91287847478,23.00424550476)); #486551=CARTESIAN_POINT('',(10.,-22.91287847478,23.00424550476)); #486552=CARTESIAN_POINT('Origin',(0.,-24.9,16.00424550476)); #486553=CARTESIAN_POINT('',(-10.,-24.9,28.00424550476)); #486554=CARTESIAN_POINT('Origin',(-10.,-23.90643923739,16.00424550476)); #486555=CARTESIAN_POINT('',(-10.,-22.91287847478,23.00424550476)); #486556=CARTESIAN_POINT('',(-10.,-22.91287847478,28.00424550476)); #486557=CARTESIAN_POINT('',(-10.,-24.,23.00424550476)); #486558=CARTESIAN_POINT('',(-10.,-22.91287847478,23.00424550476)); #486559=CARTESIAN_POINT('',(-10.,-24.,22.00424550476)); #486560=CARTESIAN_POINT('',(-10.,-24.,23.00424550476)); #486561=CARTESIAN_POINT('',(-10.,-22.91287847478,22.00424550476)); #486562=CARTESIAN_POINT('',(-10.,-24.,22.00424550476)); #486563=CARTESIAN_POINT('',(-10.,-22.91287847478,16.50424550476)); #486564=CARTESIAN_POINT('',(-10.,-22.91287847478,22.00424550476)); #486565=CARTESIAN_POINT('',(-10.,-24.,16.50424550476)); #486566=CARTESIAN_POINT('',(-10.,-24.,16.50424550476)); #486567=CARTESIAN_POINT('',(-10.,-24.,15.50424550476)); #486568=CARTESIAN_POINT('',(-10.,-24.,16.50424550476)); #486569=CARTESIAN_POINT('',(-10.,-22.91287847478,15.50424550476)); #486570=CARTESIAN_POINT('',(-10.,-22.91287847478,15.50424550476)); #486571=CARTESIAN_POINT('',(-10.,-22.91287847478,7.004245504761)); #486572=CARTESIAN_POINT('',(-10.,-22.91287847478,15.50424550476)); #486573=CARTESIAN_POINT('Ctrl Pts',(-10.,-22.9128784747794,7.00424550476078)); #486574=CARTESIAN_POINT('Ctrl Pts',(-10.,-23.4457811832207,5.53900530507398)); #486575=CARTESIAN_POINT('Ctrl Pts',(-10.,-23.9999999999996,4.00424550476386)); #486576=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #486577=CARTESIAN_POINT('',(-14.2069527463756,-20.570913778178,7.00424550475877)); #486578=CARTESIAN_POINT('Origin',(5497.44833032251,5550.6286861456,-2648.58926806195)); #486579=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486580=CARTESIAN_POINT('Origin',(-15.5124861158,-21.87644714648,16.00424550476)); #486581=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,16.50424550476)); #486582=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,22.00424550476)); #486583=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,16.50424550476)); #486584=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,22.00424550476)); #486585=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,22.00424550476)); #486586=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,23.00424550476)); #486587=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,22.00424550476)); #486588=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,23.00424550476)); #486589=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,23.00424550476)); #486590=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,23.00424550476)); #486591=CARTESIAN_POINT('',(-16.81801948465,-23.18198051534,28.00424550476)); #486592=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,15.50424550476)); #486593=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,7.00424550476)); #486594=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,15.50424550476)); #486595=CARTESIAN_POINT('',(-14.20695274694,-20.57091377762,15.50424550476)); #486596=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,16.50424550476)); #486597=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,15.50424550476)); #486598=CARTESIAN_POINT('',(-14.92533844689,-21.28929947757,16.50424550476)); #486599=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486600=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486601=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486602=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,22.00424550476)); #486603=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486604=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486605=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486606=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,23.00424550476)); #486607=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486608=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #486609=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,16.50424550476)); #486610=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486611=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486612=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486613=CARTESIAN_POINT('Origin',(-12.46266922345,-22.28545688881,15.50424550476)); #486614=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486615=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486616=CARTESIAN_POINT('Origin',(-17.34834957055,-22.65165042945,28.48424550476)); #486617=CARTESIAN_POINT('',(-17.87867965644,-22.12132034356,4.004245504764)); #486618=CARTESIAN_POINT('Origin',(-16.65364404383,-20.89628473095,16.00424550476)); #486619=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,23.00424550476)); #486620=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,22.00424550476)); #486621=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,23.00424550476)); #486622=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,22.00424550476)); #486623=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,22.00424550476)); #486624=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,16.50424550476)); #486625=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,22.00424550476)); #486626=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,16.50424550476)); #486627=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,16.50424550476)); #486628=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,15.50424550476)); #486629=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,16.50424550476)); #486630=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,15.50424550476)); #486631=CARTESIAN_POINT('',(-16.14066202419,-20.38330271131,15.50424550476)); #486632=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,7.00424550476)); #486633=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,15.50424550476)); #486634=CARTESIAN_POINT('Ctrl Pts',(-15.4286084312235,-19.6712491183445, 7.0042455047619)); #486635=CARTESIAN_POINT('Ctrl Pts',(-15.5077490959683,-19.7503897830878, 6.67090637769508)); #486636=CARTESIAN_POINT('Ctrl Pts',(-15.586881581081,-19.82952234801,6.33756905198323)); #486637=CARTESIAN_POINT('Ctrl Pts',(-15.6660062284556,-19.9086470327223, 6.00423365994839)); #486638=CARTESIAN_POINT('Ctrl Pts',(-15.7451308758302,-19.9877717174346, 5.67089826791345)); #486639=CARTESIAN_POINT('Ctrl Pts',(-15.8242476850642,-20.0668885220825, 5.33756480951089)); #486640=CARTESIAN_POINT('Ctrl Pts',(-15.9033569107608,-20.145997709056, 5.00423341048397)); #486641=CARTESIAN_POINT('Ctrl Pts',(-15.9824661364573,-20.2251068960296, 4.67090201145715)); #486642=CARTESIAN_POINT('Ctrl Pts',(-16.0615677783078,-20.3042084654273, 4.33757267176784)); #486643=CARTESIAN_POINT('Ctrl Pts',(-16.1406620241895,-20.3833027113093, 4.00424550476372)); #486644=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,23.00424550476)); #486645=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,28.00424550476)); #486646=CARTESIAN_POINT('',(-15.42860843122,-19.67124911834,23.00424550476)); #486647=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486648=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,22.00424550476)); #486649=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,23.00424550476)); #486650=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,22.00424550476)); #486651=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486652=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486653=CARTESIAN_POINT('Origin',(-20.89628473095,-16.65364404383,16.00424550476)); #486654=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,16.50424550476)); #486655=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,22.00424550476)); #486656=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,16.50424550476)); #486657=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,22.00424550476)); #486658=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,23.00424550476)); #486659=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,23.00424550476)); #486660=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,23.00424550476)); #486661=CARTESIAN_POINT('',(-22.12132034356,-17.87867965644,28.00424550476)); #486662=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,7.004245504762)); #486663=CARTESIAN_POINT('Ctrl Pts',(-20.3833027113093,-16.1406620241895, 4.00424550476372)); #486664=CARTESIAN_POINT('Ctrl Pts',(-20.3042084654284,-16.0615677783088, 4.33757267176334)); #486665=CARTESIAN_POINT('Ctrl Pts',(-20.2251068960315,-15.9824661364588, 4.67090201144994)); #486666=CARTESIAN_POINT('Ctrl Pts',(-20.1459977090583,-15.9033569107626, 5.00423341047527)); #486667=CARTESIAN_POINT('Ctrl Pts',(-20.0668885220852,-15.8242476850663, 5.33756480950069)); #486668=CARTESIAN_POINT('Ctrl Pts',(-19.9877717174373,-15.7451308758324, 5.67089826790295)); #486669=CARTESIAN_POINT('Ctrl Pts',(-19.9086470327247,-15.6660062284575, 6.00423365993909)); #486670=CARTESIAN_POINT('Ctrl Pts',(-19.8295223480121,-15.5868815810827, 6.33756905197513)); #486671=CARTESIAN_POINT('Ctrl Pts',(-19.7503897830892,-15.5077490959694, 6.67090637768968)); #486672=CARTESIAN_POINT('Ctrl Pts',(-19.671249118344,-15.4286084312232, 7.0042455047637)); #486673=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,15.50424550476)); #486674=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,7.004245504762)); #486675=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,15.50424550476)); #486676=CARTESIAN_POINT('',(-19.67124911834,-15.42860843122,15.50424550476)); #486677=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,16.50424550476)); #486678=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,15.50424550476)); #486679=CARTESIAN_POINT('',(-20.38330271131,-16.14066202419,16.50424550476)); #486680=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486681=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486682=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486683=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,22.00424550476)); #486684=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,16.50424550476)); #486685=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486686=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486687=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486688=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,15.50424550476)); #486689=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486690=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486691=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486692=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #486693=CARTESIAN_POINT('Ctrl Pts',(-17.0198908965063,-19.6551091023616, 4.00424550737523)); #486694=CARTESIAN_POINT('Ctrl Pts',(-17.034567838058,-19.5282896141431, 4.26303599969183)); #486695=CARTESIAN_POINT('Ctrl Pts',(-17.05779649648,-19.3966025919613,4.51462032057768)); #486696=CARTESIAN_POINT('Ctrl Pts',(-17.1528088887567,-19.0247247971414, 5.15975954140712)); #486697=CARTESIAN_POINT('Ctrl Pts',(-17.260329511637,-18.7207180780395, 5.62194827132515)); #486698=CARTESIAN_POINT('Ctrl Pts',(-17.5109173102506,-18.3227666890047, 5.97049649740333)); #486699=CARTESIAN_POINT('Ctrl Pts',(-17.5726458529351,-18.2332955648218, 6.03621949773693)); #486700=CARTESIAN_POINT('Ctrl Pts',(-17.7153993738746,-18.0522082088496, 6.12710273666099)); #486701=CARTESIAN_POINT('Ctrl Pts',(-17.7968487393099,-17.9605105735708, 6.15143176237601)); #486702=CARTESIAN_POINT('Ctrl Pts',(-17.9605105735708,-17.7968487393099, 6.15143176237601)); #486703=CARTESIAN_POINT('Ctrl Pts',(-18.0522082088496,-17.7153993738746, 6.12710273666098)); #486704=CARTESIAN_POINT('Ctrl Pts',(-18.2332955648218,-17.5726458529351, 6.03621949773692)); #486705=CARTESIAN_POINT('Ctrl Pts',(-18.3227666890047,-17.5109173102506, 5.97049649740332)); #486706=CARTESIAN_POINT('Ctrl Pts',(-18.7207180780395,-17.260329511637, 5.62194827132514)); #486707=CARTESIAN_POINT('Ctrl Pts',(-19.0247247971414,-17.1528088887567, 5.15975954140712)); #486708=CARTESIAN_POINT('Ctrl Pts',(-19.3966025924296,-17.0577964963603, 4.51462031976516)); #486709=CARTESIAN_POINT('Ctrl Pts',(-19.5282896150303,-17.0345678379001, 4.26303599800014)); #486710=CARTESIAN_POINT('Ctrl Pts',(-19.6551091036414,-17.0198908963582, 4.00424550476377)); #486711=CARTESIAN_POINT('Origin',(-20.,-20.,6.246431090179)); #486712=CARTESIAN_POINT('Origin',(-22.65165042945,-17.34834957055,28.48424550476)); #486713=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #486714=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486715=CARTESIAN_POINT('Origin',(-17.90595557127,-17.90595557127,23.00424550476)); #486716=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #486717=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486718=CARTESIAN_POINT('Origin',(12.46266922345,-22.28545688881,23.00424550476)); #486719=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #486720=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486721=CARTESIAN_POINT('Origin',(17.90595557127,-17.90595557127,23.00424550476)); #486722=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #486723=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #486724=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,23.00424550476)); #486725=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,23.00424550476)); #486726=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486727=CARTESIAN_POINT('Origin',(-21.87644714648,15.5124861158,16.00424550476)); #486728=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,16.50424550476)); #486729=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,22.00424550476)); #486730=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,16.50424550476)); #486731=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,22.00424550476)); #486732=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,22.00424550476)); #486733=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,23.00424550476)); #486734=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,22.00424550476)); #486735=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,23.00424550476)); #486736=CARTESIAN_POINT('',(-23.18198051534,16.81801948466,28.00424550476)); #486737=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,7.004245504761)); #486738=CARTESIAN_POINT('Origin',(5550.62869649265,-5497.44832257489,-2648.58926867154)); #486739=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,15.50424550476)); #486740=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,7.004245504761)); #486741=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,15.50424550476)); #486742=CARTESIAN_POINT('',(-20.57091377762,14.20695274694,15.50424550476)); #486743=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,16.50424550476)); #486744=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,15.50424550476)); #486745=CARTESIAN_POINT('',(-21.28929947757,14.92533844689,16.50424550476)); #486746=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486747=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486748=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486749=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,22.00424550476)); #486750=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486751=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486752=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486753=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,23.00424550476)); #486754=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,16.50424550476)); #486755=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486756=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486757=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486758=CARTESIAN_POINT('Origin',(-22.28545688881,12.46266922345,15.50424550476)); #486759=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486760=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486761=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486762=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #486763=CARTESIAN_POINT('Origin',(-22.65165042945,17.34834957055,28.48424550476)); #486764=CARTESIAN_POINT('',(-22.12132034356,17.87867965644,4.004245504764)); #486765=CARTESIAN_POINT('Origin',(-20.89628473095,16.65364404383,16.00424550476)); #486766=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,23.00424550476)); #486767=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,22.00424550476)); #486768=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,23.00424550476)); #486769=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,22.00424550476)); #486770=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,22.00424550476)); #486771=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,16.50424550476)); #486772=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,22.00424550476)); #486773=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,16.50424550476)); #486774=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,16.50424550476)); #486775=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,15.50424550476)); #486776=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,16.50424550476)); #486777=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,15.50424550476)); #486778=CARTESIAN_POINT('',(-20.38330271131,16.14066202419,15.50424550476)); #486779=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,7.00424550476)); #486780=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,15.50424550476)); #486781=CARTESIAN_POINT('Ctrl Pts',(-19.6712491183445,15.4286084312235, 7.0042455047619)); #486782=CARTESIAN_POINT('Ctrl Pts',(-19.7503897830873,15.5077490959679, 6.67090637769688)); #486783=CARTESIAN_POINT('Ctrl Pts',(-19.829522348007,15.5868815810825,6.33756905198756)); #486784=CARTESIAN_POINT('Ctrl Pts',(-19.9086470327187,15.666006228457,6.00423365995429)); #486785=CARTESIAN_POINT('Ctrl Pts',(-19.9877717174304,15.7451308758315, 5.67089826792093)); #486786=CARTESIAN_POINT('Ctrl Pts',(-20.0668885220798,15.8242476850633, 5.33756480951898)); #486787=CARTESIAN_POINT('Ctrl Pts',(-20.1459977090542,15.9033569107594, 5.00423341049064)); #486788=CARTESIAN_POINT('Ctrl Pts',(-20.2251068960287,15.9824661364556, 4.6709020114624)); #486789=CARTESIAN_POINT('Ctrl Pts',(-20.3042084654268,16.0615677783075, 4.33757267176964)); #486790=CARTESIAN_POINT('Ctrl Pts',(-20.3833027113093,16.1406620241895, 4.00424550476372)); #486791=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,23.00424550476)); #486792=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,28.00424550476)); #486793=CARTESIAN_POINT('',(-19.67124911834,15.42860843122,23.00424550476)); #486794=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486795=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,22.00424550476)); #486796=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,23.00424550476)); #486797=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,22.00424550476)); #486798=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486799=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486800=CARTESIAN_POINT('Origin',(-16.65364404383,20.89628473095,16.00424550476)); #486801=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,16.50424550476)); #486802=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,22.00424550476)); #486803=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,16.50424550476)); #486804=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,22.00424550476)); #486805=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,23.00424550476)); #486806=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,23.00424550476)); #486807=CARTESIAN_POINT('',(-15.42860843122,19.67124911834,23.00424550476)); #486808=CARTESIAN_POINT('',(-17.87867965643,22.12132034355,28.00424550476)); #486809=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,7.004245504761)); #486810=CARTESIAN_POINT('Ctrl Pts',(-16.1406620241895,20.3833027113093, 4.00424550476372)); #486811=CARTESIAN_POINT('Ctrl Pts',(-16.061567778308,20.3042084654275,4.33757267176694)); #486812=CARTESIAN_POINT('Ctrl Pts',(-15.9824661364576,20.2251068960299, 4.6709020114558)); #486813=CARTESIAN_POINT('Ctrl Pts',(-15.9033569107619,20.1459977090558, 5.00423341048234)); #486814=CARTESIAN_POINT('Ctrl Pts',(-15.8242476850662,20.0668885220817, 5.33756480950898)); #486815=CARTESIAN_POINT('Ctrl Pts',(-15.7451308758339,19.9877717174325, 5.67089826791142)); #486816=CARTESIAN_POINT('Ctrl Pts',(-15.6660062284572,19.9086470327216, 6.00423365994708)); #486817=CARTESIAN_POINT('Ctrl Pts',(-15.5868815810805,19.8295223480107, 6.33756905198264)); #486818=CARTESIAN_POINT('Ctrl Pts',(-15.5077490959619,19.7503897830924, 6.67090637769603)); #486819=CARTESIAN_POINT('Ctrl Pts',(-15.428608431219,19.6712491183487,7.00424550476044)); #486820=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,15.50424550476)); #486821=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,7.004245504761)); #486822=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,15.50424550476)); #486823=CARTESIAN_POINT('',(-15.42860843122,19.67124911835,15.50424550476)); #486824=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,16.50424550476)); #486825=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,15.50424550476)); #486826=CARTESIAN_POINT('',(-16.14066202419,20.38330271131,16.50424550476)); #486827=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486828=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486829=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486830=CARTESIAN_POINT('Origin',(-17.90595557126,17.90595557127,22.00424550476)); #486831=CARTESIAN_POINT('Origin',(-17.90595557127,17.90595557127,16.50424550476)); #486832=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486833=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486834=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486835=CARTESIAN_POINT('Origin',(-17.90595557126,17.90595557127,15.50424550476)); #486836=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486837=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486838=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486839=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #486840=CARTESIAN_POINT('Ctrl Pts',(-19.6551091023616,17.0198908965063, 4.00424550737524)); #486841=CARTESIAN_POINT('Ctrl Pts',(-19.5282896141431,17.034567838058,4.26303599969183)); #486842=CARTESIAN_POINT('Ctrl Pts',(-19.3966025919612,17.05779649648,4.51462032057767)); #486843=CARTESIAN_POINT('Ctrl Pts',(-19.0247247971414,17.1528088887567, 5.15975954140712)); #486844=CARTESIAN_POINT('Ctrl Pts',(-18.7207180780395,17.260329511637,5.62194827132514)); #486845=CARTESIAN_POINT('Ctrl Pts',(-18.3227666890047,17.5109173102506, 5.97049649740332)); #486846=CARTESIAN_POINT('Ctrl Pts',(-18.2332955648218,17.5726458529351, 6.03621949773692)); #486847=CARTESIAN_POINT('Ctrl Pts',(-18.0522082088496,17.7153993738746, 6.12710273666098)); #486848=CARTESIAN_POINT('Ctrl Pts',(-17.9605105735708,17.7968487393099, 6.15143176237601)); #486849=CARTESIAN_POINT('Ctrl Pts',(-17.7968487393099,17.9605105735708, 6.15143176237601)); #486850=CARTESIAN_POINT('Ctrl Pts',(-17.7153993738746,18.0522082088496, 6.12710273666098)); #486851=CARTESIAN_POINT('Ctrl Pts',(-17.5726458529351,18.2332955648218, 6.03621949773692)); #486852=CARTESIAN_POINT('Ctrl Pts',(-17.5109173102506,18.3227666890047, 5.97049649740332)); #486853=CARTESIAN_POINT('Ctrl Pts',(-17.260329511637,18.7207180780395,5.62194827132514)); #486854=CARTESIAN_POINT('Ctrl Pts',(-17.1528088887567,19.0247247971414, 5.15975954140713)); #486855=CARTESIAN_POINT('Ctrl Pts',(-17.0577964963603,19.3966025924296, 4.51462031976517)); #486856=CARTESIAN_POINT('Ctrl Pts',(-17.0345678379001,19.5282896150303, 4.26303599800015)); #486857=CARTESIAN_POINT('Ctrl Pts',(-17.0198908963582,19.6551091036414, 4.00424550476377)); #486858=CARTESIAN_POINT('Origin',(-20.,20.,6.246431090179)); #486859=CARTESIAN_POINT('Origin',(-17.34834957055,22.65165042945,28.48424550476)); #486860=CARTESIAN_POINT('',(-16.81801948466,23.18198051534,4.004245504764)); #486861=CARTESIAN_POINT('Origin',(-15.5124861158,21.87644714648,16.00424550476)); #486862=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,23.00424550476)); #486863=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,22.00424550476)); #486864=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,23.00424550476)); #486865=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,22.00424550476)); #486866=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,22.00424550476)); #486867=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,16.50424550476)); #486868=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,22.00424550476)); #486869=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,16.50424550476)); #486870=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,16.50424550476)); #486871=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,15.50424550476)); #486872=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,16.50424550476)); #486873=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,15.50424550476)); #486874=CARTESIAN_POINT('',(-14.92533844689,21.28929947757,15.50424550476)); #486875=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,7.004245504761)); #486876=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,15.50424550476)); #486877=CARTESIAN_POINT('Origin',(5497.44832858969,-5550.62868842106,-2648.58926815119)); #486878=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,23.00424550476)); #486879=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,28.00424550476)); #486880=CARTESIAN_POINT('',(-14.20695274694,20.57091377762,23.00424550476)); #486881=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486882=CARTESIAN_POINT('',(-10.,24.,23.00424550476)); #486883=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486884=CARTESIAN_POINT('',(-10.,24.,22.00424550476)); #486885=CARTESIAN_POINT('',(-10.,24.,22.00424550476)); #486886=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486887=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,22.00424550476)); #486888=CARTESIAN_POINT('',(-10.,22.91287847478,22.00424550476)); #486889=CARTESIAN_POINT('',(-10.,24.,22.00424550476)); #486890=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486891=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486892=CARTESIAN_POINT('',(-10.,22.91287847478,16.50424550476)); #486893=CARTESIAN_POINT('',(-10.,22.91287847478,16.50424550476)); #486894=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486895=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,16.50424550476)); #486896=CARTESIAN_POINT('',(-10.,24.,16.50424550476)); #486897=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486898=CARTESIAN_POINT('',(-10.,22.91287847478,16.50424550476)); #486899=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486900=CARTESIAN_POINT('',(-10.,24.,15.50424550476)); #486901=CARTESIAN_POINT('',(-10.,24.,15.50424550476)); #486902=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486903=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,15.50424550476)); #486904=CARTESIAN_POINT('',(-10.,22.91287847478,15.50424550476)); #486905=CARTESIAN_POINT('',(-10.,24.,15.50424550476)); #486906=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486907=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486908=CARTESIAN_POINT('',(-10.,22.91287847478,7.004245504761)); #486909=CARTESIAN_POINT('',(-10.,22.91287847478,7.004245504761)); #486910=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486911=CARTESIAN_POINT('Origin',(0.,0.,5.504245504763)); #486912=CARTESIAN_POINT('Ctrl Pts',(-10.,23.9999999999999,4.00424550476396)); #486913=CARTESIAN_POINT('Ctrl Pts',(-10.,23.445781183221,5.5390053050741)); #486914=CARTESIAN_POINT('Ctrl Pts',(-10.,22.9128784747797,7.0042455047609)); #486915=CARTESIAN_POINT('Origin',(-10.,23.90643923739,16.00424550476)); #486916=CARTESIAN_POINT('',(-10.,24.9,28.00424550476)); #486917=CARTESIAN_POINT('',(-10.,22.91287847478,23.00424550476)); #486918=CARTESIAN_POINT('',(-10.,22.91287847478,23.00424550476)); #486919=CARTESIAN_POINT('',(-10.,22.91287847478,23.00424550476)); #486920=CARTESIAN_POINT('Origin',(0.,24.9,16.00424550476)); #486921=CARTESIAN_POINT('',(10.,24.9,28.00424550476)); #486922=CARTESIAN_POINT('Origin',(10.,23.90643923739,16.00424550476)); #486923=CARTESIAN_POINT('',(10.,22.91287847478,23.00424550476)); #486924=CARTESIAN_POINT('',(10.,22.91287847478,28.00424550476)); #486925=CARTESIAN_POINT('',(10.,24.,23.00424550476)); #486926=CARTESIAN_POINT('',(10.,22.91287847478,23.00424550476)); #486927=CARTESIAN_POINT('',(10.,24.,22.00424550476)); #486928=CARTESIAN_POINT('',(10.,24.,23.00424550476)); #486929=CARTESIAN_POINT('',(10.,22.91287847478,22.00424550476)); #486930=CARTESIAN_POINT('',(10.,24.,22.00424550476)); #486931=CARTESIAN_POINT('',(10.,22.91287847478,16.50424550476)); #486932=CARTESIAN_POINT('',(10.,22.91287847478,22.00424550476)); #486933=CARTESIAN_POINT('',(10.,24.,16.50424550476)); #486934=CARTESIAN_POINT('',(10.,24.,16.50424550476)); #486935=CARTESIAN_POINT('',(10.,24.,15.50424550476)); #486936=CARTESIAN_POINT('',(10.,24.,16.50424550476)); #486937=CARTESIAN_POINT('',(10.,22.91287847478,15.50424550476)); #486938=CARTESIAN_POINT('',(10.,22.91287847478,15.50424550476)); #486939=CARTESIAN_POINT('',(10.,22.91287847478,7.00424550476)); #486940=CARTESIAN_POINT('',(10.,22.91287847478,15.50424550476)); #486941=CARTESIAN_POINT('Ctrl Pts',(10.,22.9128784747797,7.0042455047599)); #486942=CARTESIAN_POINT('Ctrl Pts',(10.,23.4457811832208,5.53900530507352)); #486943=CARTESIAN_POINT('Ctrl Pts',(10.,23.9999999999996,4.00424550476385)); #486944=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #486945=CARTESIAN_POINT('',(14.2069527472093,20.5709137773515,7.00424550476119)); #486946=CARTESIAN_POINT('Origin',(-5497.44831470005,-5550.62870756585,-2648.58926941229)); #486947=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #486948=CARTESIAN_POINT('Origin',(15.5124861158,21.87644714648,16.00424550476)); #486949=CARTESIAN_POINT('',(14.20695274694,20.57091377762,16.50424550476)); #486950=CARTESIAN_POINT('',(14.20695274694,20.57091377762,22.00424550476)); #486951=CARTESIAN_POINT('',(14.20695274694,20.57091377762,16.50424550476)); #486952=CARTESIAN_POINT('',(14.92533844689,21.28929947757,22.00424550476)); #486953=CARTESIAN_POINT('',(14.20695274694,20.57091377762,22.00424550476)); #486954=CARTESIAN_POINT('',(14.92533844689,21.28929947757,23.00424550476)); #486955=CARTESIAN_POINT('',(14.92533844689,21.28929947757,22.00424550476)); #486956=CARTESIAN_POINT('',(14.20695274694,20.57091377762,23.00424550476)); #486957=CARTESIAN_POINT('',(14.92533844689,21.28929947757,23.00424550476)); #486958=CARTESIAN_POINT('',(14.20695274694,20.57091377762,23.00424550476)); #486959=CARTESIAN_POINT('',(16.81801948466,23.18198051534,28.00424550476)); #486960=CARTESIAN_POINT('',(14.20695274694,20.57091377762,15.50424550476)); #486961=CARTESIAN_POINT('',(14.20695274694,20.57091377762,7.004245504761)); #486962=CARTESIAN_POINT('',(14.92533844689,21.28929947757,15.50424550476)); #486963=CARTESIAN_POINT('',(14.20695274694,20.57091377762,15.50424550476)); #486964=CARTESIAN_POINT('',(14.92533844689,21.28929947757,16.50424550476)); #486965=CARTESIAN_POINT('',(14.92533844689,21.28929947757,15.50424550476)); #486966=CARTESIAN_POINT('',(14.92533844689,21.28929947757,16.50424550476)); #486967=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #486968=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486969=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486970=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,22.00424550476)); #486971=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #486972=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #486973=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486974=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,23.00424550476)); #486975=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #486976=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #486977=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,16.50424550476)); #486978=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #486979=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #486980=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486981=CARTESIAN_POINT('Origin',(12.46266922345,22.28545688881,15.50424550476)); #486982=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #486983=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #486984=CARTESIAN_POINT('Origin',(17.34834957055,22.65165042945,28.48424550476)); #486985=CARTESIAN_POINT('',(17.87867965644,22.12132034356,4.004245504764)); #486986=CARTESIAN_POINT('Origin',(16.65364404384,20.89628473095,16.00424550476)); #486987=CARTESIAN_POINT('',(16.14066202419,20.38330271131,23.00424550476)); #486988=CARTESIAN_POINT('',(16.14066202419,20.38330271131,22.00424550476)); #486989=CARTESIAN_POINT('',(16.14066202419,20.38330271131,23.00424550476)); #486990=CARTESIAN_POINT('',(15.42860843123,19.67124911834,22.00424550476)); #486991=CARTESIAN_POINT('',(16.14066202419,20.38330271131,22.00424550476)); #486992=CARTESIAN_POINT('',(15.42860843123,19.67124911834,16.50424550476)); #486993=CARTESIAN_POINT('',(15.42860843123,19.67124911834,22.00424550476)); #486994=CARTESIAN_POINT('',(16.14066202419,20.38330271131,16.50424550476)); #486995=CARTESIAN_POINT('',(15.42860843123,19.67124911834,16.50424550476)); #486996=CARTESIAN_POINT('',(16.14066202419,20.38330271131,15.50424550476)); #486997=CARTESIAN_POINT('',(16.14066202419,20.38330271131,16.50424550476)); #486998=CARTESIAN_POINT('',(15.42860843123,19.67124911834,15.50424550476)); #486999=CARTESIAN_POINT('',(16.14066202419,20.38330271131,15.50424550476)); #487000=CARTESIAN_POINT('',(15.42860843123,19.67124911834,7.004245504761)); #487001=CARTESIAN_POINT('',(15.42860843123,19.67124911834,15.50424550476)); #487002=CARTESIAN_POINT('Ctrl Pts',(15.4286084312299,19.6712491183399,7.00424550476095)); #487003=CARTESIAN_POINT('Ctrl Pts',(15.5077490959706,19.7503897830808,6.67090637770734)); #487004=CARTESIAN_POINT('Ctrl Pts',(15.586881581079,19.8295223480037,6.33756905200182)); #487005=CARTESIAN_POINT('Ctrl Pts',(15.6660062284531,19.9086470327148,6.00423365997052)); #487006=CARTESIAN_POINT('Ctrl Pts',(15.7451308758273,19.9877717174259,5.67089826793913)); #487007=CARTESIAN_POINT('Ctrl Pts',(15.8242476850648,20.0668885220708,5.33756480953734)); #487008=CARTESIAN_POINT('Ctrl Pts',(15.9033569107627,20.1459977090448,5.00423341050683)); #487009=CARTESIAN_POINT('Ctrl Pts',(15.9824661364606,20.2251068960188,4.67090201147643)); #487010=CARTESIAN_POINT('Ctrl Pts',(16.0615677783104,20.3042084654204,4.33757267177919)); #487011=CARTESIAN_POINT('Ctrl Pts',(16.1406620241895,20.3833027113093,4.00424550476372)); #487012=CARTESIAN_POINT('',(15.42860843123,19.67124911834,23.00424550476)); #487013=CARTESIAN_POINT('',(15.42860843123,19.67124911834,28.00424550476)); #487014=CARTESIAN_POINT('',(15.42860843123,19.67124911834,23.00424550476)); #487015=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #487016=CARTESIAN_POINT('',(20.38330271131,16.14066202419,22.00424550476)); #487017=CARTESIAN_POINT('',(20.38330271131,16.14066202419,23.00424550476)); #487018=CARTESIAN_POINT('',(20.38330271131,16.14066202419,22.00424550476)); #487019=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #487020=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #487021=CARTESIAN_POINT('Origin',(20.89628473095,16.65364404383,16.00424550476)); #487022=CARTESIAN_POINT('',(19.67124911834,15.42860843122,16.50424550476)); #487023=CARTESIAN_POINT('',(19.67124911834,15.42860843122,22.00424550476)); #487024=CARTESIAN_POINT('',(19.67124911834,15.42860843122,16.50424550476)); #487025=CARTESIAN_POINT('',(19.67124911834,15.42860843122,22.00424550476)); #487026=CARTESIAN_POINT('',(19.67124911834,15.42860843122,23.00424550476)); #487027=CARTESIAN_POINT('',(20.38330271131,16.14066202419,23.00424550476)); #487028=CARTESIAN_POINT('',(19.67124911834,15.42860843122,23.00424550476)); #487029=CARTESIAN_POINT('',(22.12132034358,17.87867965645,28.00424550476)); #487030=CARTESIAN_POINT('',(19.67124911835,15.42860843122,7.004245504761)); #487031=CARTESIAN_POINT('Ctrl Pts',(20.3833027113093,16.1406620241895,4.00424550476372)); #487032=CARTESIAN_POINT('Ctrl Pts',(20.3042084654259,16.0615677783067,4.33757267177324)); #487033=CARTESIAN_POINT('Ctrl Pts',(20.2251068960269,15.9824661364542,4.67090201146915)); #487034=CARTESIAN_POINT('Ctrl Pts',(20.1459977090529,15.9033569107567,5.00423341049883)); #487035=CARTESIAN_POINT('Ctrl Pts',(20.0668885220789,15.8242476850593,5.3375648095286)); #487036=CARTESIAN_POINT('Ctrl Pts',(19.9877717174312,15.7451308758254,5.67089826793027)); #487037=CARTESIAN_POINT('Ctrl Pts',(19.9086470327205,15.6660062284501,6.00423365996282)); #487038=CARTESIAN_POINT('Ctrl Pts',(19.8295223480098,15.5868815810748,6.33756905199527)); #487039=CARTESIAN_POINT('Ctrl Pts',(19.7503897830905,15.5077490959604,6.67090637770323)); #487040=CARTESIAN_POINT('Ctrl Pts',(19.6712491183487,15.428608431219,7.00424550476044)); #487041=CARTESIAN_POINT('',(19.67124911835,15.42860843122,15.50424550476)); #487042=CARTESIAN_POINT('',(19.67124911835,15.42860843122,7.004245504761)); #487043=CARTESIAN_POINT('',(20.38330271131,16.14066202419,15.50424550476)); #487044=CARTESIAN_POINT('',(19.67124911835,15.42860843122,15.50424550476)); #487045=CARTESIAN_POINT('',(20.38330271131,16.14066202419,16.50424550476)); #487046=CARTESIAN_POINT('',(20.38330271131,16.14066202419,15.50424550476)); #487047=CARTESIAN_POINT('',(20.38330271131,16.14066202419,16.50424550476)); #487048=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #487049=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #487050=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #487051=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,22.00424550476)); #487052=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,16.50424550476)); #487053=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #487054=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #487055=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #487056=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,15.50424550476)); #487057=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #487058=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #487059=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #487060=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #487061=CARTESIAN_POINT('Ctrl Pts',(17.0198908965063,19.6551091023616,4.00424550737523)); #487062=CARTESIAN_POINT('Ctrl Pts',(17.034567838058,19.5282896141431,4.26303599969183)); #487063=CARTESIAN_POINT('Ctrl Pts',(17.05779649648,19.3966025919612,4.51462032057768)); #487064=CARTESIAN_POINT('Ctrl Pts',(17.1528088887567,19.0247247971414,5.15975954140712)); #487065=CARTESIAN_POINT('Ctrl Pts',(17.260329511637,18.7207180780395,5.62194827132514)); #487066=CARTESIAN_POINT('Ctrl Pts',(17.5109173102506,18.3227666890047,5.97049649740332)); #487067=CARTESIAN_POINT('Ctrl Pts',(17.5726458529351,18.2332955648218,6.03621949773693)); #487068=CARTESIAN_POINT('Ctrl Pts',(17.7153993738746,18.0522082088496,6.12710273666099)); #487069=CARTESIAN_POINT('Ctrl Pts',(17.7968487393099,17.9605105735708,6.15143176237601)); #487070=CARTESIAN_POINT('Ctrl Pts',(17.9605105735708,17.7968487393099,6.15143176237601)); #487071=CARTESIAN_POINT('Ctrl Pts',(18.0522082088496,17.7153993738746,6.12710273666098)); #487072=CARTESIAN_POINT('Ctrl Pts',(18.2332955648218,17.5726458529351,6.03621949773692)); #487073=CARTESIAN_POINT('Ctrl Pts',(18.3227666890047,17.5109173102506,5.97049649740332)); #487074=CARTESIAN_POINT('Ctrl Pts',(18.7207180780395,17.260329511637,5.62194827132514)); #487075=CARTESIAN_POINT('Ctrl Pts',(19.0247247971414,17.1528088887567,5.15975954140712)); #487076=CARTESIAN_POINT('Ctrl Pts',(19.3966025924296,17.0577964963603,4.51462031976516)); #487077=CARTESIAN_POINT('Ctrl Pts',(19.5282896150303,17.0345678379001,4.26303599800014)); #487078=CARTESIAN_POINT('Ctrl Pts',(19.6551091036414,17.0198908963582,4.00424550476378)); #487079=CARTESIAN_POINT('Origin',(20.,20.,6.246431090179)); #487080=CARTESIAN_POINT('Origin',(22.65165042945,17.34834957055,28.48424550476)); #487081=CARTESIAN_POINT('',(23.18198051534,16.81801948466,4.004245504764)); #487082=CARTESIAN_POINT('Origin',(21.87644714648,15.5124861158,16.00424550476)); #487083=CARTESIAN_POINT('',(21.28929947757,14.92533844689,23.00424550476)); #487084=CARTESIAN_POINT('',(21.28929947757,14.92533844689,22.00424550476)); #487085=CARTESIAN_POINT('',(21.28929947757,14.92533844689,23.00424550476)); #487086=CARTESIAN_POINT('',(20.57091377762,14.20695274694,22.00424550476)); #487087=CARTESIAN_POINT('',(21.28929947757,14.92533844689,22.00424550476)); #487088=CARTESIAN_POINT('',(20.57091377762,14.20695274694,16.50424550476)); #487089=CARTESIAN_POINT('',(20.57091377762,14.20695274694,22.00424550476)); #487090=CARTESIAN_POINT('',(21.28929947757,14.92533844689,16.50424550476)); #487091=CARTESIAN_POINT('',(20.57091377762,14.20695274694,16.50424550476)); #487092=CARTESIAN_POINT('',(21.28929947757,14.92533844689,15.50424550476)); #487093=CARTESIAN_POINT('',(21.28929947757,14.92533844689,16.50424550476)); #487094=CARTESIAN_POINT('',(20.57091377762,14.20695274694,15.50424550476)); #487095=CARTESIAN_POINT('',(21.28929947757,14.92533844689,15.50424550476)); #487096=CARTESIAN_POINT('',(20.5709137779078,14.2069527466522,7.004245504761)); #487097=CARTESIAN_POINT('',(20.57091377762,14.20695274694,15.50424550476)); #487098=CARTESIAN_POINT('Origin',(-5550.62839150057,-5497.44854754093,-2648.58924946347)); #487099=CARTESIAN_POINT('',(20.57091377762,14.20695274694,23.00424550476)); #487100=CARTESIAN_POINT('',(20.57091377762,14.20695274694,28.00424550476)); #487101=CARTESIAN_POINT('',(20.57091377762,14.20695274694,23.00424550476)); #487102=CARTESIAN_POINT('Origin',(0.,0.,23.09993048552)); #487103=CARTESIAN_POINT('',(24.,10.,23.00424550476)); #487104=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #487105=CARTESIAN_POINT('',(24.,10.,22.00424550476)); #487106=CARTESIAN_POINT('',(24.,10.,22.00424550476)); #487107=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #487108=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,22.00424550476)); #487109=CARTESIAN_POINT('',(22.91287847478,10.,22.00424550476)); #487110=CARTESIAN_POINT('',(24.,10.,22.00424550476)); #487111=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #487112=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #487113=CARTESIAN_POINT('',(22.91287847478,10.,16.50424550476)); #487114=CARTESIAN_POINT('',(22.91287847478,10.,16.50424550476)); #487115=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #487116=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,16.50424550476)); #487117=CARTESIAN_POINT('',(24.,10.,16.50424550476)); #487118=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #487119=CARTESIAN_POINT('',(22.91287847478,10.,16.50424550476)); #487120=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #487121=CARTESIAN_POINT('',(24.,10.,15.50424550476)); #487122=CARTESIAN_POINT('',(24.,10.,15.50424550476)); #487123=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #487124=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,15.50424550476)); #487125=CARTESIAN_POINT('',(22.91287847478,10.,15.50424550476)); #487126=CARTESIAN_POINT('',(24.,10.,15.50424550476)); #487127=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #487128=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #487129=CARTESIAN_POINT('',(22.91287847478,9.999999999999,7.004245504761)); #487130=CARTESIAN_POINT('',(22.91287847478,10.,7.004245504761)); #487131=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #487132=CARTESIAN_POINT('Origin',(0.,0.,5.504245504762)); #487133=CARTESIAN_POINT('Ctrl Pts',(23.9999999999996,10.,4.00424550476385)); #487134=CARTESIAN_POINT('Ctrl Pts',(23.4457811832207,10.,5.53900530507398)); #487135=CARTESIAN_POINT('Ctrl Pts',(22.9128784747794,10.,7.00424550476078)); #487136=CARTESIAN_POINT('Origin',(23.90643923739,10.,16.00424550476)); #487137=CARTESIAN_POINT('',(24.9,10.,28.00424550476)); #487138=CARTESIAN_POINT('',(22.91287847478,10.,23.00424550476)); #487139=CARTESIAN_POINT('',(22.91287847478,10.,23.00424550476)); #487140=CARTESIAN_POINT('',(22.91287847478,10.,23.00424550476)); #487141=CARTESIAN_POINT('Origin',(24.9,-1.497468815614E-12,16.00424550476)); #487142=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #487143=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #487144=CARTESIAN_POINT('Origin',(22.28545688881,12.46266922345,23.00424550476)); #487145=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #487146=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #487147=CARTESIAN_POINT('Origin',(17.90595557127,17.90595557127,23.00424550476)); #487148=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #487149=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #487150=CARTESIAN_POINT('Origin',(-12.46266922345,22.28545688881,23.00424550476)); #487151=CARTESIAN_POINT('Origin',(0.,0.,28.10424550476)); #487152=CARTESIAN_POINT('Origin',(0.,0.,23.00424550476)); #487153=CARTESIAN_POINT('Origin',(-17.90595557127,17.90595557127,23.00424550476)); #487154=CARTESIAN_POINT('Origin',(22.29719694523,-15.22612913336,4.099930485524)); #487155=CARTESIAN_POINT('',(21.88428589069,-14.94416377903,0.004245504763669)); #487156=CARTESIAN_POINT('Origin',(0.,0.,4.099930485524)); #487157=CARTESIAN_POINT('Origin',(24.4,-10.4,4.099930485524)); #487158=CARTESIAN_POINT('Origin',(20.,-20.,-0.09143947599633)); #487159=CARTESIAN_POINT('',(22.25,-20.,-0.09143947599633)); #487160=CARTESIAN_POINT('Origin',(20.,20.,-0.09143947599633)); #487161=CARTESIAN_POINT('',(22.25,20.,-0.09143947599633)); #487162=CARTESIAN_POINT('Origin',(-20.,20.,-0.09143947599633)); #487163=CARTESIAN_POINT('',(-17.75,20.,-0.09143947599633)); #487164=CARTESIAN_POINT('Origin',(-20.,-20.,-0.09143947599633)); #487165=CARTESIAN_POINT('',(-17.75,-20.,-0.09143947599633)); #487166=CARTESIAN_POINT('Origin',(0.,0.,5.504245504763)); #487167=CARTESIAN_POINT('Origin',(0.,0.,7.004245504761)); #487168=CARTESIAN_POINT('Origin',(0.,0.,15.67424550476)); #487169=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #487170=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,15.50424550476)); #487171=CARTESIAN_POINT('Origin',(0.,0.,15.50424550476)); #487172=CARTESIAN_POINT('Origin',(0.,0.,16.59993048552)); #487173=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #487174=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,16.50424550476)); #487175=CARTESIAN_POINT('Origin',(0.,0.,16.50424550476)); #487176=CARTESIAN_POINT('Origin',(0.,0.,22.11424550476)); #487177=CARTESIAN_POINT('Origin',(0.,0.,22.00424550476)); #487178=CARTESIAN_POINT('Origin',(-22.28545688881,-12.46266922345,22.00424550476)); #487179=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #487180=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,14.52066050143,22.90705281011)); #487181=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,3.00611558895709E-12, 22.9070528102172)); #487182=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,-14.520660501424,22.9070528103245)); #487183=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501424,22.9070528103245)); #487184=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,-14.520660501424,22.9070528103245)); #487185=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,3.00611558895709E-12, 22.9070528102172)); #487186=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,14.52066050143,22.90705281011)); #487187=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #487188=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #487189=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,14.51292846221,22.93791465603)); #487190=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #487191=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,-14.5129284622035, 22.9379146562444)); #487192=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284622035,22.9379146562444)); #487193=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,-14.5129284622035, 22.9379146562444)); #487194=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #487195=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,14.51292846221,22.93791465603)); #487196=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #487197=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #487198=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,14.49137592825,23.02395934962)); #487199=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,3.86947916795011E-12, 23.023959349727)); #487200=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,-14.4913759282423, 23.023959349834)); #487201=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282423,23.023959349834)); #487202=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,-14.4913759282423, 23.023959349834)); #487203=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,3.86947916795011E-12, 23.023959349727)); #487204=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,14.49137592825,23.02395934962)); #487205=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #487206=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #487207=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.165457968)); #487208=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #487209=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682135)); #487210=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682135)); #487211=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682135)); #487212=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #487213=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.165457968)); #487214=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #487215=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #487216=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #487217=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #487218=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #487219=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #487220=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #487221=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #487222=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #487223=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #487224=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #487225=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #487226=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #487227=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #487228=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #487229=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #487230=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #487231=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #487232=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #487233=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #487234=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #487235=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #487236=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #487237=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #487238=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #487239=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #487240=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #487241=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #487242=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #487243=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #487244=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #487245=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #487246=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #487247=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #487248=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #487249=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #487250=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #487251=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #487252=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #487253=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #487254=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #487255=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #487256=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #487257=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #487258=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #487259=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #487260=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #487261=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,14.2203292670947, 24.1552846689298)); #487262=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #487263=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,-14.2203292670703, 24.1552846691398)); #487264=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670703,24.1552846691398)); #487265=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,-14.2203292670703, 24.1552846691398)); #487266=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #487267=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,14.2203292670947,24.1552846689298)); #487268=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #487269=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #487270=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,14.1997785199556, 24.2583198562566)); #487271=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #487272=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,-14.1997785199296, 24.2583198564664)); #487273=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199296,24.2583198564664)); #487274=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,-14.1997785199296, 24.2583198564664)); #487275=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #487276=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,14.1997785199556,24.2583198562566)); #487277=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #487278=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #487279=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,14.1888433619,24.31536690022)); #487280=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #487281=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,-14.1888433618732, 24.3153669004296)); #487282=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618732,24.3153669004296)); #487283=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,-14.1888433618732, 24.3153669004296)); #487284=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #487285=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,14.1888433619,24.31536690022)); #487286=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #487287=CARTESIAN_POINT('',(14.3125845846017,2.3077795719395,22.9999797930248)); #487288=CARTESIAN_POINT('',(8.73177903274843,-11.5729354155086,22.9999753924692)); #487289=CARTESIAN_POINT('Origin',(5.74873482150906E-11,-4.02997080151124E-11, 23.0000000001592)); #487290=CARTESIAN_POINT('',(13.76842607788,3.503882233883,24.22133926098)); #487291=CARTESIAN_POINT('Ctrl Pts',(14.3127307618533,2.30777509048184,22.999171636876)); #487292=CARTESIAN_POINT('Ctrl Pts',(14.2209647660769,2.51025767793772,23.2323088643719)); #487293=CARTESIAN_POINT('Ctrl Pts',(14.1327913127446,2.70174377642255,23.4412960738781)); #487294=CARTESIAN_POINT('Ctrl Pts',(13.9492796163943,3.10023309038219,23.8472935842523)); #487295=CARTESIAN_POINT('Ctrl Pts',(13.8564178390108,3.30341166011516,24.0426515668647)); #487296=CARTESIAN_POINT('Ctrl Pts',(13.7684260778795,3.50388223387619,24.2213392609874)); #487297=CARTESIAN_POINT('',(14.2072779719309,-1.73988974929219E-15,24.2213392609541)); #487298=CARTESIAN_POINT('Origin',(0.,1.271221978753E-11,24.22133926101)); #487299=CARTESIAN_POINT('',(9.37282434396,-10.6769336042,24.22133926098)); #487300=CARTESIAN_POINT('Origin',(0.,1.271221978753E-11,24.22133926101)); #487301=CARTESIAN_POINT('Ctrl Pts',(9.37282434395816,-10.6769336041996, 24.2213392609806)); #487302=CARTESIAN_POINT('Ctrl Pts',(9.34967792292922,-10.7095943647614, 24.1750882831495)); #487303=CARTESIAN_POINT('Ctrl Pts',(9.32900831908644,-10.7387501992504, 24.1343018778639)); #487304=CARTESIAN_POINT('Ctrl Pts',(9.27389150245234,-10.8177494260726, 24.0236583041057)); #487305=CARTESIAN_POINT('Ctrl Pts',(9.24991706568452,-10.8524485249867, 23.9751506838579)); #487306=CARTESIAN_POINT('Ctrl Pts',(9.17471560176658,-10.9615764998771, 23.8234818646908)); #487307=CARTESIAN_POINT('Ctrl Pts',(9.12837678753622,-11.0291562310901, 23.7307355639664)); #487308=CARTESIAN_POINT('Ctrl Pts',(8.96346748993847,-11.2647324546531, 23.4109374691328)); #487309=CARTESIAN_POINT('Ctrl Pts',(8.83937586096916,-11.4336505244222, 23.1849425154018)); #487310=CARTESIAN_POINT('Ctrl Pts',(8.73110869436709,-11.5735579942189, 22.9992520991772)); #487311=CARTESIAN_POINT('Origin',(0.,0.,11.)); #487312=CARTESIAN_POINT('',(8.725438461838,-11.58087749908,22.98954145574)); #487313=CARTESIAN_POINT('',(14.3165178331,2.299416694363,22.98954145569)); #487314=CARTESIAN_POINT('Origin',(0.,3.615300434321E-12,22.9895414557)); #487315=CARTESIAN_POINT('Ctrl Pts',(14.3150788826268,2.30835798440597,22.9991703594235)); #487316=CARTESIAN_POINT('Ctrl Pts',(14.3153001924947,2.30698555073789,22.9976931798338)); #487317=CARTESIAN_POINT('Ctrl Pts',(14.3155212730631,2.30561328325477,22.9962158100669)); #487318=CARTESIAN_POINT('Ctrl Pts',(14.3160010084471,2.30263279315014,22.9930062462944)); #487319=CARTESIAN_POINT('Ctrl Pts',(14.3162595781438,2.30102462901977,22.9912739779647)); #487320=CARTESIAN_POINT('Ctrl Pts',(14.3165178331079,2.29941669436064,22.9895414556933)); #487321=CARTESIAN_POINT('Ctrl Pts',(8.72543846185453,-11.5808774990667, 22.9895414557123)); #487322=CARTESIAN_POINT('Ctrl Pts',(8.72673240969286,-11.579902593475,22.9912843847211)); #487323=CARTESIAN_POINT('Ctrl Pts',(8.72802545290973,-11.5789280296702, 22.9930268274887)); #487324=CARTESIAN_POINT('Ctrl Pts',(8.73044206047031,-11.5771060031574, 22.9962846883695)); #487325=CARTESIAN_POINT('Ctrl Pts',(8.731565219738,-11.5762589290316,22.9977993822748)); #487326=CARTESIAN_POINT('Ctrl Pts',(8.73268810649015,-11.5754118041123, 22.999314251257)); #487327=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #487328=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,14.99978874879,18.80162297012)); #487329=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #487330=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-14.9997887488446, 18.8016229703415)); #487331=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488446,18.8016229703415)); #487332=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-14.9997887488446, 18.8016229703415)); #487333=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #487334=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,14.99978874879,18.80162297012)); #487335=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #487336=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #487337=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,15.0001147019408, 18.8754296570212)); #487338=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #487339=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-15.0001147019943, 18.8754296572428)); #487340=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0001147019943,18.8754296572428)); #487341=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-15.0001147019943, 18.8754296572428)); #487342=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #487343=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,15.0001147019408,18.8754296570212)); #487344=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #487345=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #487346=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,15.0000152035358, 19.0212441001061)); #487347=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #487348=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-15.0000152035871, 19.0212441003276)); #487349=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000152035871,19.0212441003276)); #487350=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-15.0000152035871, 19.0212441003276)); #487351=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #487352=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,15.0000152035358,19.0212441001061)); #487353=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #487354=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #487355=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #487356=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #487357=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #487358=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #487359=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #487360=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #487361=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #487362=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #487363=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #487364=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #487365=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #487366=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #487367=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #487368=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #487369=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #487370=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #487371=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #487372=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #487373=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #487374=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #487375=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #487376=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #487377=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #487378=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #487379=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #487380=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #487381=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #487382=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #487383=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #487384=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #487385=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #487386=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #487387=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #487388=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #487389=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #487390=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #487391=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #487392=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #487393=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #487394=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #487395=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #487396=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #487397=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #487398=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #487399=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #487400=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #487401=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #487402=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #487403=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #487404=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #487405=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #487406=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #487407=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #487408=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #487409=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #487410=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #487411=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #487412=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #487413=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #487414=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #487415=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #487416=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #487417=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #487418=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #487419=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #487420=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #487421=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #487422=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #487423=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #487424=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #487425=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #487426=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #487427=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #487428=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #487429=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #487430=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #487431=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #487432=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #487433=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #487434=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #487435=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #487436=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #487437=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #487438=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #487439=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #487440=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #487441=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #487442=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #487443=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #487444=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #487445=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #487446=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #487447=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #487448=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #487449=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #487450=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #487451=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #487452=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #487453=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #487454=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #487455=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #487456=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #487457=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #487458=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #487459=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #487460=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #487461=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #487462=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #487463=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #487464=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #487465=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #487466=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #487467=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #487468=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #487469=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #487470=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #487471=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #487472=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #487473=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #487474=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #487475=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #487476=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #487477=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #487478=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #487479=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #487480=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #487481=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #487482=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #487483=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #487484=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #487485=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #487486=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #487487=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #487488=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #487489=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #487490=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #487491=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #487492=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #487493=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #487494=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #487495=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #487496=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #487497=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #487498=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #487499=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #487500=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #487501=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #487502=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #487503=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #487504=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #487505=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #487506=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #487507=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #487508=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #487509=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #487510=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #487511=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #487512=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #487513=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #487514=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #487515=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #487516=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #487517=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,14.5323740325615, 22.8607563264774)); #487518=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #487519=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,-14.5323740325561, 22.860756326692)); #487520=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.860756326692)); #487521=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,-14.5323740325561, 22.860756326692)); #487522=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #487523=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,14.5323740325615,22.8607563264774)); #487524=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #487525=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #487526=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,14.4979219623173, 22.997664731754)); #487527=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #487528=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,-14.4979219623099, 22.9976647319682)); #487529=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623099,22.9976647319682)); #487530=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,-14.4979219623099, 22.9976647319682)); #487531=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #487532=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,14.4979219623173,22.997664731754)); #487533=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #487534=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #487535=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,14.47675660715,23.08249750563)); #487536=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #487537=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,-14.4767566071414, 23.0824975058438)); #487538=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071414,23.0824975058438)); #487539=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,-14.4767566071414, 23.0824975058438)); #487540=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #487541=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,14.47675660715,23.08249750563)); #487542=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #487543=CARTESIAN_POINT('',(5.86001700617325,-13.8079761949523,18.8974409923495)); #487544=CARTESIAN_POINT('Ctrl Pts',(8.72543846186374,-11.5808774990703, 22.9895414557176)); #487545=CARTESIAN_POINT('Ctrl Pts',(8.62814042512452,-11.7063412399566, 22.8231616149679)); #487546=CARTESIAN_POINT('Ctrl Pts',(8.54302696129265,-11.8097164717451, 22.6904882889124)); #487547=CARTESIAN_POINT('Ctrl Pts',(8.3385965097011,-12.0403536784195,22.3911011930898)); #487548=CARTESIAN_POINT('Ctrl Pts',(8.23449557360417,-12.1501109978877, 22.2455589651724)); #487549=CARTESIAN_POINT('Ctrl Pts',(7.91880262170277,-12.465867493708,21.8021557530908)); #487550=CARTESIAN_POINT('Ctrl Pts',(7.69227817668905,-12.6702242419235, 21.4920056785845)); #487551=CARTESIAN_POINT('Ctrl Pts',(6.8133625322287,-13.3677472954947,20.2697968801269)); #487552=CARTESIAN_POINT('Ctrl Pts',(6.22078677694265,-13.6548680078001, 19.4142970571674)); #487553=CARTESIAN_POINT('Ctrl Pts',(5.86001708593014,-13.8079763643203, 18.8974409868505)); #487554=CARTESIAN_POINT('',(14.9862944733457,-0.64108161814289,18.8974407657436)); #487555=CARTESIAN_POINT('Origin',(0.,-2.660516932494E-11,18.8974409457)); #487556=CARTESIAN_POINT('',(14.5278834452681,1.80996580306637,22.4094355863462)); #487557=CARTESIAN_POINT('Ctrl Pts',(14.9862946061945,-0.641081508598211, 18.8974406969891)); #487558=CARTESIAN_POINT('Ctrl Pts',(15.0026945103206,-0.257707973845439, 19.5399475824079)); #487559=CARTESIAN_POINT('Ctrl Pts',(14.9773280913959,0.154464217038055, 20.1792697568554)); #487560=CARTESIAN_POINT('Ctrl Pts',(14.7982616668161,1.09594403927388,21.5237718132349)); #487561=CARTESIAN_POINT('Ctrl Pts',(14.644845053662,1.51548121741724,22.0543634236741)); #487562=CARTESIAN_POINT('Ctrl Pts',(14.5278834452197,1.80996580012464,22.40943558877)); #487563=CARTESIAN_POINT('Ctrl Pts',(14.5278834452197,1.80996580012547,22.4094355887693)); #487564=CARTESIAN_POINT('Ctrl Pts',(14.4954323244423,1.89167086025603,22.5079507305969)); #487565=CARTESIAN_POINT('Ctrl Pts',(14.461956571241,1.9712795261782,22.6035649307451)); #487566=CARTESIAN_POINT('Ctrl Pts',(14.3912707316512,2.13372048077802,22.7966312816681)); #487567=CARTESIAN_POINT('Ctrl Pts',(14.3541285806306,2.21638421787908,22.8938119863634)); #487568=CARTESIAN_POINT('Ctrl Pts',(14.3165178331002,2.299416694359,22.9895414556936)); #487569=CARTESIAN_POINT('Ctrl Pts',(12.3357805767,5.603318718959,25.20377703144)); #487570=CARTESIAN_POINT('Ctrl Pts',(14.18592045445,8.657922067541,26.85480023637)); #487571=CARTESIAN_POINT('Ctrl Pts',(16.0360603322,11.71252541612,28.5058234413)); #487572=CARTESIAN_POINT('Ctrl Pts',(17.88620020996,14.7671287647,30.15684664622)); #487573=CARTESIAN_POINT('Ctrl Pts',(12.33550866115,5.597848850414,25.20174279673)); #487574=CARTESIAN_POINT('Ctrl Pts',(14.20172376846,8.634810662719,26.85792511991)); #487575=CARTESIAN_POINT('Ctrl Pts',(16.06793887578,11.67177247502,28.51410744309)); #487576=CARTESIAN_POINT('Ctrl Pts',(17.9341539831,14.70873428733,30.17028976627)); #487577=CARTESIAN_POINT('Ctrl Pts',(12.33579515196,5.570961630546,25.19251241379)); #487578=CARTESIAN_POINT('Ctrl Pts',(14.27280770578,8.529762982475,26.87211690827)); #487579=CARTESIAN_POINT('Ctrl Pts',(16.20982025959,11.4885643344,28.55172140274)); #487580=CARTESIAN_POINT('Ctrl Pts',(18.14683281341,14.44736568633,30.23132589722)); #487581=CARTESIAN_POINT('Ctrl Pts',(12.34764929833,5.511349006687,25.17964329926)); #487582=CARTESIAN_POINT('Ctrl Pts',(14.38393171515,8.357248926468,26.8921654961)); #487583=CARTESIAN_POINT('Ctrl Pts',(16.42021413198,11.20314884625,28.60468769294)); #487584=CARTESIAN_POINT('Ctrl Pts',(18.4564965488,14.04904876603,30.31720988978)); #487585=CARTESIAN_POINT('Ctrl Pts',(12.37606735221,5.418146346397,25.18547555144)); #487586=CARTESIAN_POINT('Ctrl Pts',(14.50932058334,8.151255424344,26.88525916312)); #487587=CARTESIAN_POINT('Ctrl Pts',(16.64257381447,10.88436450229,28.58504277479)); #487588=CARTESIAN_POINT('Ctrl Pts',(18.7758270456,13.61747358024,30.28482638647)); #487589=CARTESIAN_POINT('Ctrl Pts',(12.40064269719,5.332666376201,25.20581366418)); #487590=CARTESIAN_POINT('Ctrl Pts',(14.62977217837,7.94686619106,26.84961144045)); #487591=CARTESIAN_POINT('Ctrl Pts',(16.85890165955,10.56106600592,28.49340921672)); #487592=CARTESIAN_POINT('Ctrl Pts',(19.08803114072,13.17526582078,30.13720699299)); #487593=CARTESIAN_POINT('Ctrl Pts',(12.42171602574,5.255855116204,25.21992383026)); #487594=CARTESIAN_POINT('Ctrl Pts',(14.74312389985,7.747745832031,26.80419777163)); #487595=CARTESIAN_POINT('Ctrl Pts',(17.06453177396,10.23963654786,28.388471713)); #487596=CARTESIAN_POINT('Ctrl Pts',(19.38593964807,12.73152726369,29.97274565437)); #487597=CARTESIAN_POINT('Ctrl Pts',(12.43995423457,5.186956638628,25.22661826054)); #487598=CARTESIAN_POINT('Ctrl Pts',(14.84947842204,7.554356503697,26.74897225862)); #487599=CARTESIAN_POINT('Ctrl Pts',(17.25900260952,9.921756368767,28.2713262567)); #487600=CARTESIAN_POINT('Ctrl Pts',(19.66852679699,12.28915623384,29.79368025478)); #487601=CARTESIAN_POINT('Ctrl Pts',(12.46608840893,5.094495465534,25.22640379474)); #487602=CARTESIAN_POINT('Ctrl Pts',(14.98352785397,7.300909476185,26.65329618362)); #487603=CARTESIAN_POINT('Ctrl Pts',(17.500967299,9.507323486837,28.0801885725)); #487604=CARTESIAN_POINT('Ctrl Pts',(20.01840674404,11.71373749749,29.50708096138)); #487605=CARTESIAN_POINT('Ctrl Pts',(12.50072472425,4.979928777285,25.19622708431)); #487606=CARTESIAN_POINT('Ctrl Pts',(15.13681707494,6.993739429678,26.50481033744)); #487607=CARTESIAN_POINT('Ctrl Pts',(17.77290942563,9.007550082072,27.81339359057)); #487608=CARTESIAN_POINT('Ctrl Pts',(20.40900177632,11.02136073447,29.1219768437)); #487609=CARTESIAN_POINT('Ctrl Pts',(12.55247042851,4.825497494673,25.1297128582)); #487610=CARTESIAN_POINT('Ctrl Pts',(15.30107783564,6.638260342234,26.28934103721)); #487611=CARTESIAN_POINT('Ctrl Pts',(18.04968524277,8.451023189795,27.44896921621)); #487612=CARTESIAN_POINT('Ctrl Pts',(20.7982926499,10.26378603736,28.60859739522)); #487613=CARTESIAN_POINT('Ctrl Pts',(12.64218562764,4.570313053214,25.00899596114)); #487614=CARTESIAN_POINT('Ctrl Pts',(15.50269607994,6.167300215491,25.98012940946)); #487615=CARTESIAN_POINT('Ctrl Pts',(18.36320653224,7.764287377767,26.95126285779)); #487616=CARTESIAN_POINT('Ctrl Pts',(21.22371698455,9.361274540044,27.92239630612)); #487617=CARTESIAN_POINT('Ctrl Pts',(12.78032626966,4.169498086029,24.80516140702)); #487618=CARTESIAN_POINT('Ctrl Pts',(15.7258017217,5.583877292741,25.58647619742)); #487619=CARTESIAN_POINT('Ctrl Pts',(18.67127717374,6.998256499453,26.36779098781)); #487620=CARTESIAN_POINT('Ctrl Pts',(21.61675262578,8.412635706165,27.14910577821)); #487621=CARTESIAN_POINT('Ctrl Pts',(12.96143402564,3.576207187218,24.40237354777)); #487622=CARTESIAN_POINT('Ctrl Pts',(15.95307740241,4.894170607047,25.04686011857)); #487623=CARTESIAN_POINT('Ctrl Pts',(18.94472077917,6.212134026876,25.69134668937)); #487624=CARTESIAN_POINT('Ctrl Pts',(21.93636415594,7.530097446705,26.33583326016)); #487625=CARTESIAN_POINT('Ctrl Pts',(13.13700261875,2.89291130697,23.82345482838)); #487626=CARTESIAN_POINT('Ctrl Pts',(16.14565578057,4.205031256881,24.43046003802)); #487627=CARTESIAN_POINT('Ctrl Pts',(19.1543089424,5.517151206792,25.03746524765)); #487628=CARTESIAN_POINT('Ctrl Pts',(22.16296210422,6.829271156703,25.64447045729)); #487629=CARTESIAN_POINT('Ctrl Pts',(13.2963612062,2.117527514157,23.07888342476)); #487630=CARTESIAN_POINT('Ctrl Pts',(16.30700096111,3.500444353641,23.73158102323)); #487631=CARTESIAN_POINT('Ctrl Pts',(19.31764071602,4.883361193126,24.38427862169)); #487632=CARTESIAN_POINT('Ctrl Pts',(22.32828047094,6.26627803261,25.03697622016)); #487633=CARTESIAN_POINT('Ctrl Pts',(13.38487187241,1.52935351979,22.42994039434)); #487634=CARTESIAN_POINT('Ctrl Pts',(16.39569417647,2.998552837526,23.1752535309)); #487635=CARTESIAN_POINT('Ctrl Pts',(19.40651648052,4.467752155262,23.92056666746)); #487636=CARTESIAN_POINT('Ctrl Pts',(22.41733878458,5.936951472997,24.66587980402)); #487637=CARTESIAN_POINT('Ctrl Pts',(13.42290332603,1.203976115929,22.0623035499)); #487638=CARTESIAN_POINT('Ctrl Pts',(16.43492065554,2.728523289149,22.86932127159)); #487639=CARTESIAN_POINT('Ctrl Pts',(19.44693798504,4.25307046237,23.67633899329)); #487640=CARTESIAN_POINT('Ctrl Pts',(22.45895531455,5.77761763559,24.48335671498)); #487641=CARTESIAN_POINT('Ctrl Pts',(13.4256025377,1.180419045319,22.03565008262)); #487642=CARTESIAN_POINT('Ctrl Pts',(16.43771228335,2.709010113031,22.84718433376)); #487643=CARTESIAN_POINT('Ctrl Pts',(19.449822029,4.237601180744,23.65871858489)); #487644=CARTESIAN_POINT('Ctrl Pts',(22.46193177465,5.766192248457,24.47025283603)); #487645=CARTESIAN_POINT('',(22.2791412506135,5.71313093713899,24.4636599142772)); #487646=CARTESIAN_POINT('',(22.27913819485,5.713129401273,24.4636591059)); #487647=CARTESIAN_POINT('',(17.9148694877061,14.4241967285133,30.0999434961683)); #487648=CARTESIAN_POINT('Ctrl Pts',(22.2791412513788,5.71313093691838,24.4636599146418)); #487649=CARTESIAN_POINT('Ctrl Pts',(22.2101592478661,5.9821357640659,24.7712625654683)); #487650=CARTESIAN_POINT('Ctrl Pts',(22.1333132403068,6.26089605113442,25.0814454663547)); #487651=CARTESIAN_POINT('Ctrl Pts',(21.9691074636768,6.81490230213772,25.6680554558696)); #487652=CARTESIAN_POINT('Ctrl Pts',(21.8496991193585,7.19893963785434,26.054064696353)); #487653=CARTESIAN_POINT('Ctrl Pts',(21.5705439247299,7.98984288804316,26.7914586460055)); #487654=CARTESIAN_POINT('Ctrl Pts',(21.4471654220913,8.3163595961398,27.0795455011647)); #487655=CARTESIAN_POINT('Ctrl Pts',(21.2099305023794,8.89956462113268,27.5643386802881)); #487656=CARTESIAN_POINT('Ctrl Pts',(21.1092907890673,9.13590999052014,27.7538726155492)); #487657=CARTESIAN_POINT('Ctrl Pts',(20.7321943212812,9.98100223342729,28.4146745257563)); #487658=CARTESIAN_POINT('Ctrl Pts',(20.5011885924088,10.4350579163283,28.7359111382962)); #487659=CARTESIAN_POINT('Ctrl Pts',(20.1479423982901,11.0977608961732,29.1522332007933)); #487660=CARTESIAN_POINT('Ctrl Pts',(19.9914347895032,11.3772971322619,29.3091803467002)); #487661=CARTESIAN_POINT('Ctrl Pts',(19.6598427594845,11.9417215344407,29.6044209981386)); #487662=CARTESIAN_POINT('Ctrl Pts',(19.5325358030778,12.1456709734275,29.6984897051276)); #487663=CARTESIAN_POINT('Ctrl Pts',(19.3250830773969,12.4731319458349,29.8359855733662)); #487664=CARTESIAN_POINT('Ctrl Pts',(19.2068484365464,12.6544046496751,29.9054139754976)); #487665=CARTESIAN_POINT('Ctrl Pts',(19.0219131787937,12.9300547152457,30.0067891186874)); #487666=CARTESIAN_POINT('Ctrl Pts',(18.9223464457372,13.0753821837163,30.0589985974861)); #487667=CARTESIAN_POINT('Ctrl Pts',(18.7002880077713,13.3924679754404,30.160354595188)); #487668=CARTESIAN_POINT('Ctrl Pts',(18.5748902483162,13.5658442159385,30.1990639509384)); #487669=CARTESIAN_POINT('Ctrl Pts',(18.3505372885947,13.8663409324607,30.2008540820564)); #487670=CARTESIAN_POINT('Ctrl Pts',(18.2739068472831,13.9671407412565,30.189859140332)); #487671=CARTESIAN_POINT('Ctrl Pts',(18.1045466470792,14.1861621281948,30.1537863583205)); #487672=CARTESIAN_POINT('Ctrl Pts',(18.0070844581079,14.3096656248772,30.1261624589461)); #487673=CARTESIAN_POINT('Ctrl Pts',(17.9148694874308,14.4241967281049,30.0999434953524)); #487674=CARTESIAN_POINT('',(12.4453189983789,5.7592306356496,25.2939687836247)); #487675=CARTESIAN_POINT('Ctrl Pts',(12.4453189988176,5.75923063542849,25.2939687835241)); #487676=CARTESIAN_POINT('Ctrl Pts',(12.957578679428,6.57075614071729,25.7440768030162)); #487677=CARTESIAN_POINT('Ctrl Pts',(13.8854530956687,8.04070464374777,26.559379320175)); #487678=CARTESIAN_POINT('Ctrl Pts',(16.0916943298508,11.5358750766406,28.4979561155852)); #487679=CARTESIAN_POINT('Ctrl Pts',(17.0032826061102,12.9800353131527,29.2989500749758)); #487680=CARTESIAN_POINT('Ctrl Pts',(17.91486948846,14.4241967281708,30.0999434959277)); #487681=CARTESIAN_POINT('Ctrl Pts',(13.7684260778906,3.50388223388119,24.2213392609899)); #487682=CARTESIAN_POINT('Ctrl Pts',(13.7306280473469,3.558127019311,24.2718299939992)); #487683=CARTESIAN_POINT('Ctrl Pts',(13.6536518857188,3.66833154985891,24.3745254758536)); #487684=CARTESIAN_POINT('Ctrl Pts',(13.5483144937274,3.82355439749546,24.5031129637456)); #487685=CARTESIAN_POINT('Ctrl Pts',(13.4526356144371,3.96454467474968,24.6199101095611)); #487686=CARTESIAN_POINT('Ctrl Pts',(13.3334334669344,4.14305209180953,24.7579049546648)); #487687=CARTESIAN_POINT('Ctrl Pts',(13.1989495963825,4.35410003383335,24.8916602053346)); #487688=CARTESIAN_POINT('Ctrl Pts',(13.1114846822661,4.4913602870355,24.9786512434751)); #487689=CARTESIAN_POINT('Ctrl Pts',(13.0178589290081,4.6430386429402,25.0625917537455)); #487690=CARTESIAN_POINT('Ctrl Pts',(12.9189260645978,4.80284790835567,25.1430295476791)); #487691=CARTESIAN_POINT('Ctrl Pts',(12.8818562308842,4.86272793841598,25.1731693365468)); #487692=CARTESIAN_POINT('Ctrl Pts',(12.8427945980718,4.92552479001142,25.2039550143098)); #487693=CARTESIAN_POINT('Ctrl Pts',(12.8056990010199,4.98552325808931,25.231751551505)); #487694=CARTESIAN_POINT('Ctrl Pts',(12.7680524436295,5.04641285015263,25.2599609352577)); #487695=CARTESIAN_POINT('Ctrl Pts',(12.7380391400062,5.09566323677018,25.28080601423)); #487696=CARTESIAN_POINT('Ctrl Pts',(12.7131265891078,5.13877494165547,25.2958774444383)); #487697=CARTESIAN_POINT('Ctrl Pts',(12.6825338360553,5.19171635847838,25.3143852457627)); #487698=CARTESIAN_POINT('Ctrl Pts',(12.6595091474118,5.23520411249179,25.3245883620841)); #487699=CARTESIAN_POINT('Ctrl Pts',(12.6388794722802,5.27944127965944,25.3288985643849)); #487700=CARTESIAN_POINT('Ctrl Pts',(12.6279171918035,5.30294820504225,25.3311889371722)); #487701=CARTESIAN_POINT('Ctrl Pts',(12.617441048464,5.32718265466055,25.3317336689717)); #487702=CARTESIAN_POINT('Ctrl Pts',(12.6074791016226,5.35138020410986,25.3311161064095)); #487703=CARTESIAN_POINT('Ctrl Pts',(12.597030125958,5.37676074562089,25.3304683518806)); #487704=CARTESIAN_POINT('Ctrl Pts',(12.5872462674656,5.40190174246858,25.32851111996)); #487705=CARTESIAN_POINT('Ctrl Pts',(12.5782791077566,5.42576789932725,25.3258638032831)); #487706=CARTESIAN_POINT('Ctrl Pts',(12.5669473225351,5.45592752315041,25.32251839348)); #487707=CARTESIAN_POINT('Ctrl Pts',(12.5568691025666,5.48404446295471,25.3181770251345)); #487708=CARTESIAN_POINT('Ctrl Pts',(12.5483675775781,5.50804831894615,25.3141310909625)); #487709=CARTESIAN_POINT('Ctrl Pts',(12.5357032756308,5.54380567784203,25.3081040626256)); #487710=CARTESIAN_POINT('Ctrl Pts',(12.526351793806,5.5706042492222,25.3030353534519)); #487711=CARTESIAN_POINT('Ctrl Pts',(12.5156192197387,5.59899419731796,25.2991345929959)); #487712=CARTESIAN_POINT('Ctrl Pts',(12.5043129485818,5.62890169660836,25.2950253219901)); #487713=CARTESIAN_POINT('Ctrl Pts',(12.4925703093649,5.65813401506983,25.2921561345488)); #487714=CARTESIAN_POINT('Ctrl Pts',(12.4785313246376,5.68973046457244,25.2914294941374)); #487715=CARTESIAN_POINT('Ctrl Pts',(12.4688594772072,5.71149813829421,25.2909288913281)); #487716=CARTESIAN_POINT('Ctrl Pts',(12.457999291349,5.73435575524519,25.2916648489828)); #487717=CARTESIAN_POINT('Ctrl Pts',(12.4453189980838,5.75923063553314,25.2939687832582)); #487718=CARTESIAN_POINT('Origin',(0.,0.,26.86981969837)); #487719=CARTESIAN_POINT('',(11.76788809538,0.,29.51830013575)); #487720=CARTESIAN_POINT('',(12.98758303364,-1.59052019908077E-15,26.86981969837)); #487721=CARTESIAN_POINT('Origin',(0.,0.,29.51830013575)); #487722=CARTESIAN_POINT('',(13.05072928582,5.614731737043,24.22133926103)); #487723=CARTESIAN_POINT('Ctrl Pts',(12.4453189983261,5.75923063478823,25.2939687834601)); #487724=CARTESIAN_POINT('Ctrl Pts',(12.5258147558183,5.78995567551707,25.1073203243251)); #487725=CARTESIAN_POINT('Ctrl Pts',(12.615908437681,5.82151485893057,24.9012308018134)); #487726=CARTESIAN_POINT('Ctrl Pts',(12.8089393686308,5.78749650895929,24.5506046627699)); #487727=CARTESIAN_POINT('Ctrl Pts',(12.9025691196874,5.72761816981556,24.4199952095715)); #487728=CARTESIAN_POINT('Ctrl Pts',(13.0507292858198,5.61473173704568,24.2213392610283)); #487729=CARTESIAN_POINT('',(0.9222876131098,14.17731049703,24.221339261)); #487730=CARTESIAN_POINT('Origin',(0.,1.271221978822E-11,24.2213392611)); #487731=CARTESIAN_POINT('',(-1.63153875389656,13.6159018716754,25.29396878374)); #487732=CARTESIAN_POINT('Ctrl Pts',(0.922287613115451,14.1773104970455, 24.221339261013)); #487733=CARTESIAN_POINT('Ctrl Pts',(0.859017523037146,14.15812499446,24.2718299937283)); #487734=CARTESIAN_POINT('Ctrl Pts',(0.730419843943707,14.1189713871089, 24.3745254756835)); #487735=CARTESIAN_POINT('Ctrl Pts',(0.55024309858855,14.0667560717737,24.50311296384)); #487736=CARTESIAN_POINT('Ctrl Pts',(0.386586976993529,14.0193284418718, 24.6199101096418)); #487737=CARTESIAN_POINT('Ctrl Pts',(0.179980845426971,13.9611222882176, 24.7579049547678)); #487738=CARTESIAN_POINT('Ctrl Pts',(-0.0622954765536424,13.8984379275054, 24.8916602054404)); #487739=CARTESIAN_POINT('Ctrl Pts',(-0.219865879677985,13.8576696018751, 24.9786512435913)); #487740=CARTESIAN_POINT('Ctrl Pts',(-0.393052517318447,13.8154974087987, 25.0625917538623)); #487741=CARTESIAN_POINT('Ctrl Pts',(-0.575612096933688,13.7707904423035, 25.1430295477869)); #487742=CARTESIAN_POINT('Ctrl Pts',(-0.64401659831626,13.7540388823038, 25.1731693366565)); #487743=CARTESIAN_POINT('Ctrl Pts',(-0.71581066157146,13.7362943562219, 25.2039550144116)); #487744=CARTESIAN_POINT('Ctrl Pts',(-0.784335765530314,13.719554893187, 25.2317515516164)); #487745=CARTESIAN_POINT('Ctrl Pts',(-0.853878634834819,13.7025668081962, 25.2599609353645)); #487746=CARTESIAN_POINT('Ctrl Pts',(-0.909993156834336,13.6892416666536, 25.2808060143385)); #487747=CARTESIAN_POINT('Ctrl Pts',(-0.958693226307215,13.6788706722477, 25.2958774445508)); #487748=CARTESIAN_POINT('Ctrl Pts',(-1.01849718632859,13.6661350326163, 25.3143852458668)); #487749=CARTESIAN_POINT('Ctrl Pts',(-1.06697151825075,13.6576757074806, 25.3245883621944)); #487750=CARTESIAN_POINT('Ctrl Pts',(-1.1154184845674,13.6517257569526,25.3288985644992)); #487751=CARTESIAN_POINT('Ctrl Pts',(-1.14116243009459,13.6485640481,25.3311889372878)); #487752=CARTESIAN_POINT('Ctrl Pts',(-1.16744806765149,13.6460895004918, 25.3317336690894)); #487753=CARTESIAN_POINT('Ctrl Pts',(-1.19353971560325,13.6440925800355, 25.3311161065275)); #487754=CARTESIAN_POINT('Ctrl Pts',(-1.22090695604812,13.6419980322945, 25.3304683519987)); #487755=CARTESIAN_POINT('Ctrl Pts',(-1.24784084346919,13.6404620252033, 25.3285111200764)); #487756=CARTESIAN_POINT('Ctrl Pts',(-1.27330991221321,13.6393087975898, 25.3258638033977)); #487757=CARTESIAN_POINT('Ctrl Pts',(-1.30549513317046,13.6378514657208, 25.3225183935947)); #487758=CARTESIAN_POINT('Ctrl Pts',(-1.33535027322566,13.636955121177,25.3181770252501)); #487759=CARTESIAN_POINT('Ctrl Pts',(-1.36080641260339,13.6362872898693, 25.3141310910755)); #487760=CARTESIAN_POINT('Ctrl Pts',(-1.39872716620487,13.6352924545532, 25.3081040627565)); #487761=CARTESIAN_POINT('Ctrl Pts',(-1.42710388897502,13.6346798808077, 25.3030353535798)); #487762=CARTESIAN_POINT('Ctrl Pts',(-1.45742088196976,13.633245572731,25.2991345931149)); #487763=CARTESIAN_POINT('Ctrl Pts',(-1.48935843393805,13.631734595414,25.2950253221168)); #487764=CARTESIAN_POINT('Ctrl Pts',(-1.52078869600435,13.6295999650575, 25.2921561346697)); #487765=CARTESIAN_POINT('Ctrl Pts',(-1.55517699007761,13.6260119370077, 25.2914294942563)); #487766=CARTESIAN_POINT('Ctrl Pts',(-1.57886804325237,13.6235400445917, 25.2909288914454)); #487767=CARTESIAN_POINT('Ctrl Pts',(-1.60396291080085,13.6202747861515, 25.2916648490988)); #487768=CARTESIAN_POINT('Ctrl Pts',(-1.63153875387698,13.6159018713588, 25.2939687833735)); #487769=CARTESIAN_POINT('',(-1.307030067312,14.1470286553,24.22133926099)); #487770=CARTESIAN_POINT('Ctrl Pts',(-1.63153875309366,13.615901871359,25.2939687835754)); #487771=CARTESIAN_POINT('Ctrl Pts',(-1.63588544625268,13.7019524458293, 25.1073203243643)); #487772=CARTESIAN_POINT('Ctrl Pts',(-1.63805953451739,13.7973889530301, 24.9012308018824)); #487773=CARTESIAN_POINT('Ctrl Pts',(-1.54605632295217,13.9704600295209, 24.5506046627929)); #487774=CARTESIAN_POINT('Ctrl Pts',(-1.46017545403316,14.0410037900035, 24.4199952095766)); #487775=CARTESIAN_POINT('Ctrl Pts',(-1.30703006730071,14.147028655312,24.2213392610051)); #487776=CARTESIAN_POINT('',(-13.19842098548,5.258177522737,24.22133926103)); #487777=CARTESIAN_POINT('Origin',(0.,1.27122197882E-11,24.2213392611)); #487778=CARTESIAN_POINT('',(-13.4536654021277,2.65585950860796,25.2939687839529)); #487779=CARTESIAN_POINT('Ctrl Pts',(-13.1984209854839,5.25817752273853, 24.2213392610319)); #487780=CARTESIAN_POINT('Ctrl Pts',(-13.1997260213109,5.19207544444275, 24.2718299941292)); #487781=CARTESIAN_POINT('Ctrl Pts',(-13.2022275961844,5.05767265366244, 24.3745254760898)); #487782=CARTESIAN_POINT('Ctrl Pts',(-13.2082455565843,4.87017896633188, 24.5031129640865)); #487783=CARTESIAN_POINT('Ctrl Pts',(-13.213711722923,4.69987680175739,24.6199101099255)); #487784=CARTESIAN_POINT('Ctrl Pts',(-13.2221991870008,4.4853960033969,24.7579049550214)); #487785=CARTESIAN_POINT('Ctrl Pts',(-13.2374503181015,4.23560699592166, 24.8916602056758)); #487786=CARTESIAN_POINT('Ctrl Pts',(-13.2473692687282,4.07315053181608, 24.9786512438183)); #487787=CARTESIAN_POINT('Ctrl Pts',(-13.2607787439234,3.89540832721505, 25.0625917540883)); #487788=CARTESIAN_POINT('Ctrl Pts',(-13.2746739047053,3.70796863697419, 25.1430295480172)); #487789=CARTESIAN_POINT('Ctrl Pts',(-13.279880377829,3.63773557347377,25.1731693368825)); #487790=CARTESIAN_POINT('Ctrl Pts',(-13.285189916313,3.56397200166898,25.2039550146333)); #487791=CARTESIAN_POINT('Ctrl Pts',(-13.2904451625803,3.49362797645961, 25.2317515518381)); #487792=CARTESIAN_POINT('Ctrl Pts',(-13.295778462104,3.42223917048288,25.2599609355837)); #487793=CARTESIAN_POINT('Ctrl Pts',(-13.3004458403382,3.36475339348952, 25.2808060145571)); #487794=CARTESIAN_POINT('Ctrl Pts',(-13.3056315876221,3.3152320615546,25.2958774447693)); #487795=CARTESIAN_POINT('Ctrl Pts',(-13.3119997145384,3.25441958659926, 25.3143852460846)); #487796=CARTESIAN_POINT('Ctrl Pts',(-13.3189338105988,3.2057036821254,25.3245883624131)); #487797=CARTESIAN_POINT('Ctrl Pts',(-13.3282460072956,3.15778924328393, 25.3288985647184)); #487798=CARTESIAN_POINT('Ctrl Pts',(-13.3331943601585,3.13232827437035, 25.331188937507)); #487799=CARTESIAN_POINT('Ctrl Pts',(-13.3389636342438,3.10656447022279, 25.3317336693087)); #487800=CARTESIAN_POINT('Ctrl Pts',(-13.3451272126597,3.08113275606002, 25.3311161067467)); #487801=CARTESIAN_POINT('Ctrl Pts',(-13.3515921217683,3.05445771285581, 25.3304683522179)); #487802=CARTESIAN_POINT('Ctrl Pts',(-13.3584543211526,3.0283674114215,25.3285111202955)); #487803=CARTESIAN_POINT('Ctrl Pts',(-13.3652279115887,3.00378852069814, 25.3258638036166)); #487804=CARTESIAN_POINT('Ctrl Pts',(-13.3737876868611,2.97272821626747, 25.3225183938137)); #487805=CARTESIAN_POINT('Ctrl Pts',(-13.3821609581881,2.94405730507771, 25.3181770254692)); #487806=CARTESIAN_POINT('Ctrl Pts',(-13.3893921925507,2.91964070661746, 25.3141310912941)); #487807=CARTESIAN_POINT('Ctrl Pts',(-13.4001642052614,2.88326850572091, 25.3081040629647)); #487808=CARTESIAN_POINT('Ctrl Pts',(-13.4083505025943,2.8560913429,25.3030353537871)); #487809=CARTESIAN_POINT('Ctrl Pts',(-13.4163548605825,2.82681494367273, 25.2991345933323)); #487810=CARTESIAN_POINT('Ctrl Pts',(-13.4247870820928,2.79597360903096, 25.2950253223249)); #487811=CARTESIAN_POINT('Ctrl Pts',(-13.4324694130894,2.76542201645974, 25.2921561348823)); #487812=CARTESIAN_POINT('Ctrl Pts',(-13.4396835629023,2.73160804368181, 25.2914294944692)); #487813=CARTESIAN_POINT('Ctrl Pts',(-13.4446535915551,2.70831265643215, 25.2909288916584)); #487814=CARTESIAN_POINT('Ctrl Pts',(-13.4493028867798,2.68343699878736, 25.2916648493122)); #487815=CARTESIAN_POINT('Ctrl Pts',(-13.4536654018205,2.65585950852876, 25.2939687835864)); #487816=CARTESIAN_POINT('',(-13.85851829175,3.12861281211,24.221339261)); #487817=CARTESIAN_POINT('Ctrl Pts',(-13.4536654015787,2.6558595092738,25.2939687837883)); #487818=CARTESIAN_POINT('Ctrl Pts',(-13.5368475632487,2.67831664831303, 25.1073203245033)); #487819=CARTESIAN_POINT('Ctrl Pts',(-13.6282849055681,2.70574047012651, 24.9012308019457)); #487820=CARTESIAN_POINT('Ctrl Pts',(-13.7644547247327,2.8467226279803,24.5506046628172)); #487821=CARTESIAN_POINT('Ctrl Pts',(-13.8050071798266,2.95019940887191, 24.419995209599)); #487822=CARTESIAN_POINT('Ctrl Pts',(-13.8585182917595,3.12861281210711, 24.221339261)); #487823=CARTESIAN_POINT('',(-9.079360380349,-10.92757806903,24.22133926102)); #487824=CARTESIAN_POINT('Origin',(0.,1.271221978753E-11,24.22133926101)); #487825=CARTESIAN_POINT('',(-6.68328373787111,-11.9744904259329,25.2939687839701)); #487826=CARTESIAN_POINT('Ctrl Pts',(-9.07936038033733,-10.9275780690457, 24.2213392609988)); #487827=CARTESIAN_POINT('Ctrl Pts',(-9.01689684626991,-10.9492458974346, 24.271829994106)); #487828=CARTESIAN_POINT('Ctrl Pts',(-8.88984522539803,-10.9931577829765, 24.3745254760999)); #487829=CARTESIAN_POINT('Ctrl Pts',(-8.71338778427358,-11.0568199391755, 24.5031129641368)); #487830=CARTESIAN_POINT('Ctrl Pts',(-8.55310993920047,-11.1146448353276, 24.6199101099788)); #487831=CARTESIAN_POINT('Ctrl Pts',(-8.35174934893834,-11.1889951050072, 24.7579049550714)); #487832=CARTESIAN_POINT('Ctrl Pts',(-8.11889874437794,-11.2806888409394, 24.8916602057186)); #487833=CARTESIAN_POINT('Ctrl Pts',(-7.96745858988511,-11.340324131824, 24.9786512438587)); #487834=CARTESIAN_POINT('Ctrl Pts',(-7.80255946369981,-11.4080026624299, 25.0625917541234)); #487835=CARTESIAN_POINT('Ctrl Pts',(-7.62858756570659,-11.4791397953424, 25.1430295480473)); #487836=CARTESIAN_POINT('Ctrl Pts',(-7.56340084168128,-11.5057946557228, 25.1731693369109)); #487837=CARTESIAN_POINT('Ctrl Pts',(-7.49488825368522,-11.5336385241463, 25.2039550146559)); #487838=CARTESIAN_POINT('Ctrl Pts',(-7.42961107052058,-11.5603740596015, 25.2317515518654)); #487839=CARTESIAN_POINT('Ctrl Pts',(-7.36336436158457,-11.5875066831261, 25.2599609356145)); #487840=CARTESIAN_POINT('Ctrl Pts',(-7.31013443797528,-11.6097097056352, 25.2808060145868)); #487841=CARTESIAN_POINT('Ctrl Pts',(-7.26463933659031,-11.6299445775293, 25.2958774447968)); #487842=CARTESIAN_POINT('Ctrl Pts',(-7.20877109543907,-11.6547931143657, 25.3143852461169)); #487843=CARTESIAN_POINT('Ctrl Pts',(-7.16458227057281,-11.6764418739838, 25.3245883624428)); #487844=CARTESIAN_POINT('Ctrl Pts',(-7.12189055832907,-11.7001046752091, 25.3288985647441)); #487845=CARTESIAN_POINT('Ctrl Pts',(-7.09920486306259,-11.712678710532, 25.3311889375309)); #487846=CARTESIAN_POINT('Ctrl Pts',(-7.07648483298213,-11.7261270695672, 25.3317336693298)); #487847=CARTESIAN_POINT('Ctrl Pts',(-7.05420248598194,-11.7398478128576, 25.3311161067662)); #487848=CARTESIAN_POINT('Ctrl Pts',(-7.03083077910201,-11.7542393484689, 25.3304683522359)); #487849=CARTESIAN_POINT('Ctrl Pts',(-7.00813796413731,-11.7688280344421, 25.3285111203134)); #487850=CARTESIAN_POINT('Ctrl Pts',(-6.98685520451123,-11.7828653967001, 25.3258638036349)); #487851=CARTESIAN_POINT('Ctrl Pts',(-6.95996021559787,-11.8006043886792, 25.3225183938305)); #487852=CARTESIAN_POINT('Ctrl Pts',(-6.93528004181673,-11.8174276417426, 25.3181770254841)); #487853=CARTESIAN_POINT('Ctrl Pts',(-6.9142930510724,-11.8318500981609, 25.3141310913113)); #487854=CARTESIAN_POINT('Ctrl Pts',(-6.8830297673113,-11.8533345192972, 25.3081040629648)); #487855=CARTESIAN_POINT('Ctrl Pts',(-6.85971245453543,-11.8695183558749, 25.3030353537905)); #487856=CARTESIAN_POINT('Ctrl Pts',(-6.83434242697966,-11.8861778575572, 25.2991345933441)); #487857=CARTESIAN_POINT('Ctrl Pts',(-6.8076162744169,-11.9037278733229, 25.2950253223324)); #487858=CARTESIAN_POINT('Ctrl Pts',(-6.78093395407614,-11.9204751655702, 25.292156134897)); #487859=CARTESIAN_POINT('Ctrl Pts',(-6.75100424982087,-11.9377853219908, 25.2914294944863)); #487860=CARTESIAN_POINT('Ctrl Pts',(-6.73038484330799,-11.9497107706686, 25.2909288916776)); #487861=CARTESIAN_POINT('Ctrl Pts',(-6.70816339825086,-11.9618195141428, 25.2916648493316)); #487862=CARTESIAN_POINT('Ctrl Pts',(-6.68328373770087,-11.9744904256653, 25.2939687836036)); #487863=CARTESIAN_POINT('',(-7.25800527095,-12.21343959981,24.22133926096)); #487864=CARTESIAN_POINT('Ctrl Pts',(-6.68328373833471,-11.9744904252051, 25.2939687838055)); #487865=CARTESIAN_POINT('Ctrl Pts',(-6.73034644842406,-12.046661724613, 25.1073203242038)); #487866=CARTESIAN_POINT('Ctrl Pts',(-6.7846837456115,-12.1251493779183, 24.9012308014955)); #487867=CARTESIAN_POINT('Ctrl Pts',(-6.96084453390055,-12.2110886889184, 24.5506046625168)); #487868=CARTESIAN_POINT('Ctrl Pts',(-7.07178819843599,-12.2176802817442, 24.4199952093419)); #487869=CARTESIAN_POINT('Ctrl Pts',(-7.25800527095305,-12.2134395998187, 24.2213392609627)); #487870=CARTESIAN_POINT('',(7.587067674513,-12.01179218409,24.22133926095)); #487871=CARTESIAN_POINT('Origin',(0.,1.271221978645E-11,24.22133926086)); #487872=CARTESIAN_POINT('',(9.32316889576737,-10.0565015897807,25.2939687837632)); #487873=CARTESIAN_POINT('Ctrl Pts',(7.5870676745142,-12.0117921840994,24.2213392609589)); #487874=CARTESIAN_POINT('Ctrl Pts',(7.62697729733548,-11.9590815603531, 24.2718299939139)); #487875=CARTESIAN_POINT('Ctrl Pts',(7.70800109220517,-11.8518178073434, 24.3745254758741)); #487876=CARTESIAN_POINT('Ctrl Pts',(7.82307574880913,-11.703669496255,24.5031129639287)); #487877=CARTESIAN_POINT('Ctrl Pts',(7.92759907103133,-11.5691050829119, 24.6199101097498)); #487878=CARTESIAN_POINT('Ctrl Pts',(8.06053422389521,-11.4005752782754, 24.7579049548512)); #487879=CARTESIAN_POINT('Ctrl Pts',(8.21969494292983,-11.2074561161502, 24.8916602055077)); #487880=CARTESIAN_POINT('Ctrl Pts',(8.32320905629924,-11.0818562887349, 24.9786512436494)); #487881=CARTESIAN_POINT('Ctrl Pts',(8.43853179619821,-10.9459417163514, 25.0625917539165)); #487882=CARTESIAN_POINT('Ctrl Pts',(8.55994750302601,-10.8024671921154, 25.1430295478406)); #487883=CARTESIAN_POINT('Ctrl Pts',(8.6054415872147,-10.7487077382929,25.1731693367061)); #487884=CARTESIAN_POINT('Ctrl Pts',(8.65309423373939,-10.6921526235594, 25.2039550144536)); #487885=CARTESIAN_POINT('Ctrl Pts',(8.69869299789107,-10.6383320679573, 25.2317515516619)); #487886=CARTESIAN_POINT('Ctrl Pts',(8.74496901517225,-10.5837121455215, 25.2599609354059)); #487887=CARTESIAN_POINT('Ctrl Pts',(8.78253429541709,-10.5399485910907, 25.2808060143797)); #487888=CARTESIAN_POINT('Ctrl Pts',(8.815837561689,-10.5029330977417,25.2958774445921)); #487889=CARTESIAN_POINT('Ctrl Pts',(8.85673416051132,-10.4574778631306, 25.3143852459003)); #487890=CARTESIAN_POINT('Ctrl Pts',(8.8909784522692,-10.4221416279151,25.3245883622284)); #487891=CARTESIAN_POINT('Ctrl Pts',(8.92667557818399,-10.3888516044933, 25.3288985645331)); #487892=CARTESIAN_POINT('Ctrl Pts',(8.94564446177997,-10.3711618167859, 25.3311889373208)); #487893=CARTESIAN_POINT('Ctrl Pts',(8.96545548668207,-10.3537095556135, 25.3317336691225)); #487894=CARTESIAN_POINT('Ctrl Pts',(8.98539031289272,-10.3367577271533, 25.3311161065602)); #487895=CARTESIAN_POINT('Ctrl Pts',(9.00629973122899,-10.3189771421061, 25.3304683520312)); #487896=CARTESIAN_POINT('Ctrl Pts',(9.02718686156102,-10.3019031444534, 25.3285111201085)); #487897=CARTESIAN_POINT('Ctrl Pts',(9.0471139208213,-10.2859998207188,25.3258638034299)); #487898=CARTESIAN_POINT('Ctrl Pts',(9.07229571336432,-10.2659028162486, 25.3225183936267)); #487899=CARTESIAN_POINT('Ctrl Pts',(9.09592217093534,-10.2476292472494, 25.3181770252822)); #487900=CARTESIAN_POINT('Ctrl Pts',(9.1161240789097,-10.2321262170656,25.3141310911069)); #487901=CARTESIAN_POINT('Ctrl Pts',(9.14621786348775,-10.209032118664,25.3081040627864)); #487902=CARTESIAN_POINT('Ctrl Pts',(9.16881505263772,-10.1918571169438, 25.303035353608)); #487903=CARTESIAN_POINT('Ctrl Pts',(9.19249895005715,-10.1728768559571, 25.2991345931404)); #487904=CARTESIAN_POINT('Ctrl Pts',(9.21744884217616,-10.1528820275882, 25.2950253221397)); #487905=CARTESIAN_POINT('Ctrl Pts',(9.2416217540859,-10.1326808308336,25.2921561346898)); #487906=CARTESIAN_POINT('Ctrl Pts',(9.26733347842258,-10.1095651230607, 25.2914294942758)); #487907=CARTESIAN_POINT('Ctrl Pts',(9.28504700115052,-10.0936400684184, 25.2909288914644)); #487908=CARTESIAN_POINT('Ctrl Pts',(9.30342990471238,-10.0762480257956, 25.2916648491187)); #487909=CARTESIAN_POINT('Ctrl Pts',(9.32316889556543,-10.0565015895361, 25.2939687833967)); #487910=CARTESIAN_POINT('Ctrl Pts',(9.32316889493184,-10.0565015899967, 25.2939687835986)); #487911=CARTESIAN_POINT('Ctrl Pts',(9.37726470232237,-10.1235630451514, 25.107320323928)); #487912=CARTESIAN_POINT('Ctrl Pts',(9.43511974813404,-10.1994949043835, 24.9012308013872)); #487913=CARTESIAN_POINT('Ctrl Pts',(9.46241621259029,-10.3935904775165, 24.550604662451)); #487914=CARTESIAN_POINT('Ctrl Pts',(9.4344017121669,-10.5011410867759,24.4199952093059)); #487915=CARTESIAN_POINT('Ctrl Pts',(9.37282434396326,-10.6769336042031, 24.2213392609817)); #487916=CARTESIAN_POINT('Ctrl Pts',(-13.27340423216,2.717609830012,25.20377703177)); #487917=CARTESIAN_POINT('Ctrl Pts',(-16.56564963512,1.333868744739,26.85480023681)); #487918=CARTESIAN_POINT('Ctrl Pts',(-19.85789503807,-0.04987234053327,28.50582344186)); #487919=CARTESIAN_POINT('Ctrl Pts',(-23.15014044103,-1.433613425806,30.1568466469)); #487920=CARTESIAN_POINT('Ctrl Pts',(-13.2699691398,2.721875218671,25.20174279705)); #487921=CARTESIAN_POINT('Ctrl Pts',(-16.56485024181,1.361855218921,26.85792512035)); #487922=CARTESIAN_POINT('Ctrl Pts',(-19.85973134382,0.001835219171517,28.51410744365)); #487923=CARTESIAN_POINT('Ctrl Pts',(-23.15461244583,-1.358184780578,30.17028976694)); #487924=CARTESIAN_POINT('Ctrl Pts',(-13.25439700442,2.743795831546,25.19251241411)); #487925=CARTESIAN_POINT('Ctrl Pts',(-16.56061287788,1.488622667486,26.87211690871)); #487926=CARTESIAN_POINT('Ctrl Pts',(-19.86682875135,0.2334495034261,28.55172140331)); #487927=CARTESIAN_POINT('Ctrl Pts',(-23.17304462481,-1.021723660634,30.2313258979)); #487928=CARTESIAN_POINT('Ctrl Pts',(-13.22894778913,2.79899114974,25.17964329958)); #487929=CARTESIAN_POINT('Ctrl Pts',(-16.54911287202,1.693506524455,26.89216549654)); #487930=CARTESIAN_POINT('Ctrl Pts',(-19.86927795491,0.5880218991691,28.6046876935)); #487931=CARTESIAN_POINT('Ctrl Pts',(-23.18944303779,-0.5174627261165,30.31720989046)); #487932=CARTESIAN_POINT('Ctrl Pts',(-13.19715532847,2.891097398801,25.18547555177)); #487933=CARTESIAN_POINT('Ctrl Pts',(-16.52947465467,1.933860495922,26.88525916356)); #487934=CARTESIAN_POINT('Ctrl Pts',(-19.86179398086,0.9766235930433,28.58504277535)); #487935=CARTESIAN_POINT('Ctrl Pts',(-23.19411330706,0.0193866901644,30.28482638714)); #487936=CARTESIAN_POINT('Ctrl Pts',(-13.16679333436,2.974697172719,25.2058136645)); #487937=CARTESIAN_POINT('Ctrl Pts',(-16.506785065,2.170014530293,26.84961144089)); #487938=CARTESIAN_POINT('Ctrl Pts',(-19.84677679563,1.365331887867,28.49340921728)); #487939=CARTESIAN_POINT('Ctrl Pts',(-23.18676852627,0.5606492454414,30.13720699367)); #487940=CARTESIAN_POINT('Ctrl Pts',(-13.13869348944,3.049225379144,25.21992383058)); #487941=CARTESIAN_POINT('Ctrl Pts',(-16.48144852355,2.397732754873,26.80419777207)); #487942=CARTESIAN_POINT('Ctrl Pts',(-19.82420355765,1.746240130603,28.38847171355)); #487943=CARTESIAN_POINT('Ctrl Pts',(-23.16695859175,1.094747506332,29.97274565504)); #487944=CARTESIAN_POINT('Ctrl Pts',(-13.11295100131,3.115685568565,25.22661826087)); #487945=CARTESIAN_POINT('Ctrl Pts',(-16.45381974429,2.616701627684,26.74897225906)); #487946=CARTESIAN_POINT('Ctrl Pts',(-19.79468848727,2.117717686803,28.27132625725)); #487947=CARTESIAN_POINT('Ctrl Pts',(-23.13555723024,1.618733745921,29.79368025544)); #487948=CARTESIAN_POINT('Ctrl Pts',(-13.07974667855,3.205849511185,25.22640379506)); #487949=CARTESIAN_POINT('Ctrl Pts',(-16.41329558779,2.900536859275,26.65329618405)); #487950=CARTESIAN_POINT('Ctrl Pts',(-19.74684449704,2.595224207366,28.08018857305)); #487951=CARTESIAN_POINT('Ctrl Pts',(-23.08039340628,2.289911555456,29.50708096204)); #487952=CARTESIAN_POINT('Ctrl Pts',(-13.04042743651,3.318894624298,25.19622708463)); #487953=CARTESIAN_POINT('Ctrl Pts',(-16.35675914934,3.239143790482,26.50481033787)); #487954=CARTESIAN_POINT('Ctrl Pts',(-19.67309086217,3.159392956667,27.81339359111)); #487955=CARTESIAN_POINT('Ctrl Pts',(-22.989422575,3.079642122852,29.12197684435)); #487956=CARTESIAN_POINT('Ctrl Pts',(-12.99151816023,3.474247518219,25.12971285852)); #487957=CARTESIAN_POINT('Ctrl Pts',(-16.28070353116,3.623282466034,26.28934103764)); #487958=CARTESIAN_POINT('Ctrl Pts',(-19.56988890209,3.772317413848,27.44896921675)); #487959=CARTESIAN_POINT('Ctrl Pts',(-22.85907427302,3.921352361662,28.60859739587)); #487960=CARTESIAN_POINT('Ctrl Pts',(-12.91410562968,3.733429339009,25.00899596147)); #487961=CARTESIAN_POINT('Ctrl Pts',(-16.16699270027,4.12280544283,25.9801294099)); #487962=CARTESIAN_POINT('Ctrl Pts',(-19.41987977086,4.512181546651,26.95126285833)); #487963=CARTESIAN_POINT('Ctrl Pts',(-22.67276684145,4.901557650471,27.92239630675)); #487964=CARTESIAN_POINT('Ctrl Pts',(-12.79027063009,4.138892491177,24.80516140735)); #487965=CARTESIAN_POINT('Ctrl Pts',(-16.00456156616,4.725942708166,25.58647619785)); #487966=CARTESIAN_POINT('Ctrl Pts',(-19.21885250224,5.312992925154,26.36779098834)); #487967=CARTESIAN_POINT('Ctrl Pts',(-22.43314343832,5.900043142142,27.14910577883)); #487968=CARTESIAN_POINT('Ctrl Pts',(-12.58806224186,4.725327378961,24.4023735481)); #487969=CARTESIAN_POINT('Ctrl Pts',(-15.78303203602,5.417516431345,25.04686011899)); #487970=CARTESIAN_POINT('Ctrl Pts',(-18.97800183018,6.10970548373,25.69134668988)); #487971=CARTESIAN_POINT('Ctrl Pts',(-22.17297162434,6.801894536115,26.33583326077)); #487972=CARTESIAN_POINT('Ctrl Pts',(-12.32846897603,5.381321988063,23.8234548287)); #487973=CARTESIAN_POINT('Ctrl Pts',(-15.53376526993,6.088236607717,24.43046003843)); #487974=CARTESIAN_POINT('Ctrl Pts',(-18.73906156383,6.795151227371,25.03746524816)); #487975=CARTESIAN_POINT('Ctrl Pts',(-21.94435785773,7.502065847025,25.64447045789)); #487976=CARTESIAN_POINT('Ctrl Pts',(-12.00163362321,6.102289281133,23.07888342506)); #487977=CARTESIAN_POINT('Ctrl Pts',(-15.25015047228,6.753095704095,23.73158102363)); #487978=CARTESIAN_POINT('Ctrl Pts',(-18.49866732135,7.403902127058,24.38427862219)); #487979=CARTESIAN_POINT('Ctrl Pts',(-21.74718417042,8.05470855002,25.03697622075)); #487980=CARTESIAN_POINT('Ctrl Pts',(-11.7275202567,6.630157302493,22.42994039463)); #487981=CARTESIAN_POINT('Ctrl Pts',(-15.02690035937,7.211267033927,23.17525353129)); #487982=CARTESIAN_POINT('Ctrl Pts',(-18.32628046205,7.792376765362,23.92056666795)); #487983=CARTESIAN_POINT('Ctrl Pts',(-21.62566056473,8.373486496797,24.66587980461)); #487984=CARTESIAN_POINT('Ctrl Pts',(-11.56703630959,6.91574747936,22.06230355018)); #487985=CARTESIAN_POINT('Ctrl Pts',(-14.89991586136,7.452782273444,22.86932127197)); #487986=CARTESIAN_POINT('Ctrl Pts',(-18.23279541314,7.989817067528,23.67633899377)); #487987=CARTESIAN_POINT('Ctrl Pts',(-21.56567496492,8.526851861611,24.48335671556)); #487988=CARTESIAN_POINT('Ctrl Pts',(-11.55537351901,6.936392106637,22.03565008289)); #487989=CARTESIAN_POINT('Ctrl Pts',(-14.89070477856,7.470209642198,22.84718433413)); #487990=CARTESIAN_POINT('Ctrl Pts',(-18.22603603811,8.004027177759,23.65871858537)); #487991=CARTESIAN_POINT('Ctrl Pts',(-21.56136729766,8.53784471332,24.47025283662)); #487992=CARTESIAN_POINT('',(-12.9356029601396,6.54569324833277,22.9999797930711)); #487993=CARTESIAN_POINT('',(-12.93386944889,6.554770863957,22.98954145561)); #487994=CARTESIAN_POINT('Ctrl Pts',(-12.9379608719639,6.54669141441154, 22.9991703594347)); #487995=CARTESIAN_POINT('Ctrl Pts',(-12.9373332191335,6.54793181925848, 22.9976931798339)); #487996=CARTESIAN_POINT('Ctrl Pts',(-12.9367054784773,6.54917195487943, 22.9962158100566)); #487997=CARTESIAN_POINT('Ctrl Pts',(-12.935341704414,6.55186520343622,22.9930062462518)); #487998=CARTESIAN_POINT('Ctrl Pts',(-12.9346056365234,6.55331821902199, 22.9912739778979)); #487999=CARTESIAN_POINT('Ctrl Pts',(-12.9338694488923,6.55477086395925, 22.9895414556109)); #488000=CARTESIAN_POINT('',(-12.8171758056337,7.07498254221942,22.4094355866583)); #488001=CARTESIAN_POINT('Ctrl Pts',(-12.8171758038658,7.07498254457145, 22.4094355890826)); #488002=CARTESIAN_POINT('Ctrl Pts',(-12.8389473250355,6.98980747224151, 22.5079507308489)); #488003=CARTESIAN_POINT('Ctrl Pts',(-12.8586576715685,6.90572615461312, 22.6035649309514)); #488004=CARTESIAN_POINT('Ctrl Pts',(-12.8969515373215,6.73276276390565, 22.7966288304528)); #488005=CARTESIAN_POINT('Ctrl Pts',(-12.9154923762431,6.64405036344604, 22.893814374956)); #488006=CARTESIAN_POINT('Ctrl Pts',(-12.9338694488954,6.5547708639598,22.9895414556116)); #488007=CARTESIAN_POINT('',(-21.3822980010341,8.47333064163036,24.4636599148476)); #488008=CARTESIAN_POINT('',(-21.38229462611,8.473330088039,24.46365910647)); #488009=CARTESIAN_POINT('',(-22.9717639805279,-1.13932420191455,30.0999434968377)); #488010=CARTESIAN_POINT('Ctrl Pts',(-21.3822980015234,8.47333064225923, 24.4636599152126)); #488011=CARTESIAN_POINT('Ctrl Pts',(-21.4846074585508,8.21515456121287, 24.7712625660266)); #488012=CARTESIAN_POINT('Ctrl Pts',(-21.586288918152,7.94446380164668,25.0814454669446)); #488013=CARTESIAN_POINT('Ctrl Pts',(-21.77908035827,7.39974559579654,25.668055456425)); #488014=CARTESIAN_POINT('Ctrl Pts',(-21.9082084606895,7.01886640096941, 26.0540646968869)); #488015=CARTESIAN_POINT('Ctrl Pts',(-22.1472484305873,6.21492892420367, 26.7914586465183)); #488016=CARTESIAN_POINT('Ctrl Pts',(-22.2393548308463,5.87825129412773, 27.0795455016685)); #488017=CARTESIAN_POINT('Ctrl Pts',(-22.3902270618751,5.26698533060781, 27.5643386807787)); #488018=CARTESIAN_POINT('Ctrl Pts',(-22.4477281460628,5.01662337096966, 27.7538726160341)); #488019=CARTESIAN_POINT('Ctrl Pts',(-22.6393834522931,4.11127764223286, 28.4146745262411)); #488020=CARTESIAN_POINT('Ctrl Pts',(-22.7193831259751,3.60815711770537, 28.7359111388362)); #488021=CARTESIAN_POINT('Ctrl Pts',(-22.8231279899453,2.86438624134173, 29.1522332014098)); #488022=CARTESIAN_POINT('Ctrl Pts',(-22.8608179517385,2.54624381144055, 29.3091803473408)); #488023=CARTESIAN_POINT('Ctrl Pts',(-22.9243147038782,1.89470997305909, 29.6044209987668)); #488024=CARTESIAN_POINT('Ctrl Pts',(-22.9411996850928,1.65488225940265, 29.6984897057708)); #488025=CARTESIAN_POINT('Ctrl Pts',(-22.9658436347655,1.26802311504971, 29.8359855740111)); #488026=CARTESIAN_POINT('Ctrl Pts',(-22.9767392229529,1.05187383881867, 29.9054139761502)); #488027=CARTESIAN_POINT('Ctrl Pts',(-22.9891464999062,0.720166034112231, 30.006789119352)); #488028=CARTESIAN_POINT('Ctrl Pts',(-22.9940166635072,0.54406978508404, 30.0589985981434)); #488029=CARTESIAN_POINT('Ctrl Pts',(-23.0007459655337,0.157019315875334, 30.1603545958547)); #488030=CARTESIAN_POINT('Ctrl Pts',(-23.0012050443389,-0.056951962794274, 30.1990639516019)); #488031=CARTESIAN_POINT('Ctrl Pts',(-22.9963272255204,-0.431930274226026, 30.2008540827272)); #488032=CARTESIAN_POINT('Ctrl Pts',(-22.9935805372573,-0.558521275853056, 30.1898591410051)); #488033=CARTESIAN_POINT('Ctrl Pts',(-22.9853027983014,-0.835260728030354, 30.1537863589946)); #488034=CARTESIAN_POINT('Ctrl Pts',(-22.9790477650712,-0.992463993060633, 30.1261624596163)); #488035=CARTESIAN_POINT('Ctrl Pts',(-22.9717639800652,-1.13932420174595, 30.0999434960219)); #488036=CARTESIAN_POINT('Ctrl Pts',(-13.4536654023526,2.65585950904472, 25.2939687838522)); #488037=CARTESIAN_POINT('Ctrl Pts',(-14.3450949226496,2.30042026579975, 25.744076808067)); #488038=CARTESIAN_POINT('Ctrl Pts',(-15.9597751676869,1.65659783508386, 26.5593793363684)); #488039=CARTESIAN_POINT('Ctrl Pts',(-19.799071423273,0.125741629540585, 28.4979561161439)); #488040=CARTESIAN_POINT('Ctrl Pts',(-21.3854179195984,-0.506790399451872, 29.2989500755905)); #488041=CARTESIAN_POINT('Ctrl Pts',(-22.9717639809366,-1.13932420119427, 30.0999434965972)); #488042=CARTESIAN_POINT('Ctrl Pts',(-12.9357185858869,6.54578279473493, 22.9991716368874)); #488043=CARTESIAN_POINT('Ctrl Pts',(-12.9804946145318,6.32803224154667, 23.2323088642855)); #488044=CARTESIAN_POINT('Ctrl Pts',(-13.0217134970267,6.12128967824645, 23.441296073776)); #488045=CARTESIAN_POINT('Ctrl Pts',(-13.1074755580508,5.69103958197442, 23.8472935846304)); #488046=CARTESIAN_POINT('Ctrl Pts',(-13.1517741688668,5.47208188312102, 24.0426515670365)); #488047=CARTESIAN_POINT('Ctrl Pts',(-13.1984209854818,5.25817752273841, 24.2213392610312)); #488048=CARTESIAN_POINT('Origin',(0.,0.,11.)); #488049=CARTESIAN_POINT('',(-0.261674287363641,14.4951023043362,22.9999786053051)); #488050=CARTESIAN_POINT('Origin',(0.,3.692537570485E-12,23.00000000009)); #488051=CARTESIAN_POINT('',(-0.2519589963879,14.49781075419,22.98954145557)); #488052=CARTESIAN_POINT('Ctrl Pts',(-0.251958996377548,14.4978107541844, 22.9895414555795)); #488053=CARTESIAN_POINT('Ctrl Pts',(-0.253570534549087,14.4977827470914, 22.9912754295232)); #488054=CARTESIAN_POINT('Ctrl Pts',(-0.255181149272124,14.4977544874069, 22.993008921928)); #488055=CARTESIAN_POINT('Ctrl Pts',(-0.258209035160516,14.4977008557368, 22.9962687636364)); #488056=CARTESIAN_POINT('Ctrl Pts',(-0.259625744206086,14.4976755543825, 22.9977943930838)); #488057=CARTESIAN_POINT('Ctrl Pts',(-0.261042259918987,14.4976500488373, 22.9993202001858)); #488058=CARTESIAN_POINT('Origin',(0.,3.615300434116E-12,22.98954145568)); #488059=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #488060=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,14.52066050139,22.90705281024)); #488061=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,3.00611558991718E-12, 22.9070528103472)); #488062=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,-14.520660501384,22.9070528104545)); #488063=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501384,22.9070528104545)); #488064=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,-14.520660501384,22.9070528104545)); #488065=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,3.00611558991718E-12, 22.9070528103472)); #488066=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,14.52066050139,22.90705281024)); #488067=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #488068=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #488069=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,14.51292846218,22.93791465613)); #488070=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #488071=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,-14.5129284621735, 22.9379146563444)); #488072=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284621735,22.9379146563444)); #488073=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,-14.5129284621735, 22.9379146563444)); #488074=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #488075=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,14.51292846218,22.93791465613)); #488076=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #488077=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #488078=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,14.49137592823,23.02395934967)); #488079=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,3.86947916831936E-12, 23.023959349777)); #488080=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,-14.4913759282223, 23.023959349884)); #488081=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282223,23.023959349884)); #488082=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,-14.4913759282223, 23.023959349884)); #488083=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,3.86947916831936E-12, 23.023959349777)); #488084=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,14.49137592823,23.02395934967)); #488085=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #488086=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #488087=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.16545796801)); #488088=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #488089=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682235)); #488090=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682235)); #488091=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682235)); #488092=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #488093=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.16545796801)); #488094=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #488095=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #488096=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #488097=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #488098=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #488099=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #488100=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #488101=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #488102=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #488103=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #488104=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #488105=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #488106=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #488107=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #488108=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #488109=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #488110=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #488111=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #488112=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #488113=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #488114=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #488115=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #488116=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #488117=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #488118=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #488119=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #488120=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #488121=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #488122=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #488123=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #488124=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #488125=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #488126=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #488127=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #488128=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #488129=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #488130=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #488131=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #488132=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #488133=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #488134=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #488135=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #488136=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #488137=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #488138=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #488139=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #488140=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #488141=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,14.2203292670849, 24.1552846689671)); #488142=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #488143=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,-14.2203292670605, 24.1552846691772)); #488144=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670605,24.1552846691772)); #488145=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,-14.2203292670605, 24.1552846691772)); #488146=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #488147=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,14.2203292670849,24.1552846689671)); #488148=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #488149=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #488150=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,14.1997785199493, 24.2583198563073)); #488151=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #488152=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,-14.1997785199233, 24.2583198565171)); #488153=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199234,24.2583198565171)); #488154=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,-14.1997785199233, 24.2583198565171)); #488155=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #488156=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,14.1997785199493,24.2583198563073)); #488157=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #488158=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #488159=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,14.18884336187,24.31536690031)); #488160=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #488161=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,-14.1888433618432, 24.3153669005196)); #488162=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618432,24.3153669005196)); #488163=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,-14.1888433618432, 24.3153669005196)); #488164=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #488165=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,14.18884336187,24.31536690031)); #488166=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #488167=CARTESIAN_POINT('Ctrl Pts',(-1.30703006729603,14.1470286552985, 24.2213392610027)); #488168=CARTESIAN_POINT('Ctrl Pts',(-1.26907100235697,14.1598587084243, 24.1750447394143)); #488169=CARTESIAN_POINT('Ctrl Pts',(-1.23517280916976,14.1713100304417, 24.1342118367092)); #488170=CARTESIAN_POINT('Ctrl Pts',(-1.14400296089093,14.2028762512547, 24.0233913610717)); #488171=CARTESIAN_POINT('Ctrl Pts',(-1.10415778280999,14.2168761797733, 23.9748180712495)); #488172=CARTESIAN_POINT('Ctrl Pts',(-0.979047999219972,14.2610058060698, 23.8229990761574)); #488173=CARTESIAN_POINT('Ctrl Pts',(-0.901701300250491,14.2884888355096, 23.7300956985432)); #488174=CARTESIAN_POINT('Ctrl Pts',(-0.62994196570667,14.3820862227475, 23.4104755933532)); #488175=CARTESIAN_POINT('Ctrl Pts',(-0.430465926588034,14.4457329800492, 23.1847150860139)); #488176=CARTESIAN_POINT('Ctrl Pts',(-0.260854274714548,14.4952203759921, 22.9992582953101)); #488177=CARTESIAN_POINT('Ctrl Pts',(-1.544507016796,13.4552232425,25.36593208658)); #488178=CARTESIAN_POINT('Ctrl Pts',(-3.840293959265,16.17276767935,27.03285880593)); #488179=CARTESIAN_POINT('Ctrl Pts',(-6.136080901733,18.89031211619,28.69978552528)); #488180=CARTESIAN_POINT('Ctrl Pts',(-8.431867844202,21.60785655304,30.36671224463)); #488181=CARTESIAN_POINT('Ctrl Pts',(-1.529087323584,13.45638160803,25.30838012225)); #488182=CARTESIAN_POINT('Ctrl Pts',(-3.816757242571,16.17869309271,26.97560546632)); #488183=CARTESIAN_POINT('Ctrl Pts',(-6.104427161557,18.90100457738,28.64283081039)); #488184=CARTESIAN_POINT('Ctrl Pts',(-8.392097080544,21.62331606206,30.31005615446)); #488185=CARTESIAN_POINT('Ctrl Pts',(-1.496752754809,13.45861979964,25.18351176581)); #488186=CARTESIAN_POINT('Ctrl Pts',(-3.765996477293,16.1914558907,26.85001910117)); #488187=CARTESIAN_POINT('Ctrl Pts',(-6.035240199777,18.92429198175,28.51652643653)); #488188=CARTESIAN_POINT('Ctrl Pts',(-8.304483922262,21.65712807281,30.1830337719)); #488189=CARTESIAN_POINT('Ctrl Pts',(-1.432833960948,13.46244624858,24.93450672281)); #488190=CARTESIAN_POINT('Ctrl Pts',(-3.661902432308,16.2173157735,26.5949945891)); #488191=CARTESIAN_POINT('Ctrl Pts',(-5.890970903668,18.97218529843,28.2554824554)); #488192=CARTESIAN_POINT('Ctrl Pts',(-8.120039375028,21.72705482335,29.91597032169)); #488193=CARTESIAN_POINT('Ctrl Pts',(-1.351391047971,13.46707206191,24.65857472526)); #488194=CARTESIAN_POINT('Ctrl Pts',(-3.530220226083,16.24888141345,26.30797212268)); #488195=CARTESIAN_POINT('Ctrl Pts',(-5.709049404195,19.03069076499,27.9573695201)); #488196=CARTESIAN_POINT('Ctrl Pts',(-7.887878582307,21.81250011654,29.60676691751)); #488197=CARTESIAN_POINT('Ctrl Pts',(-1.242712111429,13.4726618931,24.39404954648)); #488198=CARTESIAN_POINT('Ctrl Pts',(-3.354909427253,16.28887239621,26.03413741006)); #488199=CARTESIAN_POINT('Ctrl Pts',(-5.467106743078,19.10508289933,27.67422527363)); #488200=CARTESIAN_POINT('Ctrl Pts',(-7.579304058902,21.92129340244,29.31431313721)); #488201=CARTESIAN_POINT('Ctrl Pts',(-1.111667899795,13.48089328527,24.1735382187)); #488202=CARTESIAN_POINT('Ctrl Pts',(-3.165364306081,16.32884777375,25.81388332817)); #488203=CARTESIAN_POINT('Ctrl Pts',(-5.219060712367,19.17680226223,27.45422843764)); #488204=CARTESIAN_POINT('Ctrl Pts',(-7.272757118653,22.02475675071,29.09457354711)); #488205=CARTESIAN_POINT('Ctrl Pts',(-0.945436309831,13.49228597524,23.9545399027)); #488206=CARTESIAN_POINT('Ctrl Pts',(-2.950720856433,16.36987988424,25.5971996268)); #488207=CARTESIAN_POINT('Ctrl Pts',(-4.956005403036,19.24747379324,27.23985935089)); #488208=CARTESIAN_POINT('Ctrl Pts',(-6.961289949638,22.12506770224,28.88251907499)); #488209=CARTESIAN_POINT('Ctrl Pts',(-0.763960442655,13.50349379066,23.73465620732)); #488210=CARTESIAN_POINT('Ctrl Pts',(-2.727212362876,16.4088158675,25.37636667816)); #488211=CARTESIAN_POINT('Ctrl Pts',(-4.690464283097,19.31413794435,27.01807714901)); #488212=CARTESIAN_POINT('Ctrl Pts',(-6.653716203317,22.2194600212,28.65978761986)); #488213=CARTESIAN_POINT('Ctrl Pts',(-0.5715746455991,13.51332003989,23.49793256109)); #488214=CARTESIAN_POINT('Ctrl Pts',(-2.495793579016,16.44549486034,25.14578039659)); #488215=CARTESIAN_POINT('Ctrl Pts',(-4.420012512433,19.37766968079,26.79362823208)); #488216=CARTESIAN_POINT('Ctrl Pts',(-6.344231445849,22.30984450125,28.44147606758)); #488217=CARTESIAN_POINT('Ctrl Pts',(-0.3684812405755,13.52088064945,23.24924051436)); #488218=CARTESIAN_POINT('Ctrl Pts',(-2.252750499289,16.480353644,24.90884477053)); #488219=CARTESIAN_POINT('Ctrl Pts',(-4.137019758002,19.43982663855,26.5684490267)); #488220=CARTESIAN_POINT('Ctrl Pts',(-6.021289016715,22.3992996331,28.22805328287)); #488221=CARTESIAN_POINT('Ctrl Pts',(-0.155784153055,13.52505508971,22.99259380239)); #488222=CARTESIAN_POINT('Ctrl Pts',(-1.993303969211,16.5138317573,24.66146644822)); #488223=CARTESIAN_POINT('Ctrl Pts',(-3.830823785366,19.50260842489,26.33033909404)); #488224=CARTESIAN_POINT('Ctrl Pts',(-5.668343601522,22.49138509248,27.99921173987)); #488225=CARTESIAN_POINT('Ctrl Pts',(0.0648350390736,13.5248955936,22.73110857449)); #488226=CARTESIAN_POINT('Ctrl Pts',(-1.714723532974,16.54573808863,24.39746174518)); #488227=CARTESIAN_POINT('Ctrl Pts',(-3.494282105021,19.56658058366,26.06381491587)); #488228=CARTESIAN_POINT('Ctrl Pts',(-5.273840677068,22.58742307869,27.73016808656)); #488229=CARTESIAN_POINT('Ctrl Pts',(0.2882437736087,13.51901525673,22.46346017093)); #488230=CARTESIAN_POINT('Ctrl Pts',(-1.411799031977,16.57622100078,24.1160959766)); #488231=CARTESIAN_POINT('Ctrl Pts',(-3.111841837563,19.63342674483,25.76873178226)); #488232=CARTESIAN_POINT('Ctrl Pts',(-4.811884643149,22.69063248889,27.42136758792)); #488233=CARTESIAN_POINT('Ctrl Pts',(0.5910381555383,13.50241140633,22.10551756888)); #488234=CARTESIAN_POINT('Ctrl Pts',(-0.9632766287026,16.61466141813,23.71926674266)); #488235=CARTESIAN_POINT('Ctrl Pts',(-2.517591412943,19.72691142992,25.33301591643)); #488236=CARTESIAN_POINT('Ctrl Pts',(-4.071906197184,22.83916144172,26.94676509021)); #488237=CARTESIAN_POINT('Ctrl Pts',(0.9903584731766,13.46740195931,21.66958447715)); #488238=CARTESIAN_POINT('Ctrl Pts',(-0.3241283264518,16.65019973064,23.16911399596)); #488239=CARTESIAN_POINT('Ctrl Pts',(-1.63861512608,19.83299750197,24.66864351478)); #488240=CARTESIAN_POINT('Ctrl Pts',(-2.953101925709,23.0157952733,26.16817303359)); #488241=CARTESIAN_POINT('Ctrl Pts',(1.453133803995,13.41900334387,21.15804581839)); #488242=CARTESIAN_POINT('Ctrl Pts',(0.318004461562,16.65118502179,22.56098997025)); #488243=CARTESIAN_POINT('Ctrl Pts',(-0.8171248808713,19.88336669971,23.96393412211)); #488244=CARTESIAN_POINT('Ctrl Pts',(-1.952254223305,23.11554837763,25.36687827398)); #488245=CARTESIAN_POINT('Ctrl Pts',(1.852208158495,13.3650532774,20.70453717577)); #488246=CARTESIAN_POINT('Ctrl Pts',(0.8550938929651,16.63211026319,22.03483246096)); #488247=CARTESIAN_POINT('Ctrl Pts',(-0.1420203725653,19.89916724897,23.36512774616)); #488248=CARTESIAN_POINT('Ctrl Pts',(-1.139134638096,23.16622423476,24.69542303135)); #488249=CARTESIAN_POINT('Ctrl Pts',(2.166334840019,13.31701359309,20.33534973994)); #488250=CARTESIAN_POINT('Ctrl Pts',(1.257497384802,16.60704215441,21.6109093011)); #488251=CARTESIAN_POINT('Ctrl Pts',(0.3486599295859,19.89707071573,22.88646886227)); #488252=CARTESIAN_POINT('Ctrl Pts',(-0.5601775256304,23.18709927705,24.16202842344)); #488253=CARTESIAN_POINT('Ctrl Pts',(2.497333540263,13.25943856473,19.93750829332)); #488254=CARTESIAN_POINT('Ctrl Pts',(1.665781731286,16.57089567405,21.16308274616)); #488255=CARTESIAN_POINT('Ctrl Pts',(0.8342299223095,19.88235278337,22.38865719899)); #488256=CARTESIAN_POINT('Ctrl Pts',(0.002678113332597,23.19380989268,23.61423165182)); #488257=CARTESIAN_POINT('Ctrl Pts',(2.94931285745,13.1697694255,19.35291967769)); #488258=CARTESIAN_POINT('Ctrl Pts',(2.214464436526,16.50814264188,20.52608575783)); #488259=CARTESIAN_POINT('Ctrl Pts',(1.479616015603,19.84651585825,21.69925183797)); #488260=CARTESIAN_POINT('Ctrl Pts',(0.7447675946796,23.18488907462,22.87241791812)); #488261=CARTESIAN_POINT('Ctrl Pts',(3.547414402898,13.02816881039,18.5556570344)); #488262=CARTESIAN_POINT('Ctrl Pts',(2.932161975856,16.39864211222,19.6429974775)); #488263=CARTESIAN_POINT('Ctrl Pts',(2.316909548814,19.76911541405,20.73033792061)); #488264=CARTESIAN_POINT('Ctrl Pts',(1.701657121772,23.13958871588,21.81767836372)); #488265=CARTESIAN_POINT('Ctrl Pts',(4.195899178867,12.83745244973,17.64012713666)); #488266=CARTESIAN_POINT('Ctrl Pts',(3.683666802264,16.23928161216,18.61373679947)); #488267=CARTESIAN_POINT('Ctrl Pts',(3.171434425662,19.64111077458,19.58734646228)); #488268=CARTESIAN_POINT('Ctrl Pts',(2.65920204906,23.04293993701,20.56095612509)); #488269=CARTESIAN_POINT('Ctrl Pts',(4.749706091022,12.65415893156,16.73325689756)); #488270=CARTESIAN_POINT('Ctrl Pts',(4.238840797136,16.09271875109,17.74608954464)); #488271=CARTESIAN_POINT('Ctrl Pts',(3.727975503249,19.53127857061,18.75892219172)); #488272=CARTESIAN_POINT('Ctrl Pts',(3.217110209363,22.96983839013,19.7717548388)); #488273=CARTESIAN_POINT('Ctrl Pts',(5.184435374482,12.49558314695,16.01072526395)); #488274=CARTESIAN_POINT('Ctrl Pts',(4.666777574239,15.9666905396,17.04993423849)); #488275=CARTESIAN_POINT('Ctrl Pts',(4.149119773996,19.43779793224,18.08914321302)); #488276=CARTESIAN_POINT('Ctrl Pts',(3.631461973753,22.90890532488,19.12835218756)); #488277=CARTESIAN_POINT('Ctrl Pts',(5.616852032022,12.32229147417,15.19191701379)); #488278=CARTESIAN_POINT('Ctrl Pts',(5.090132680133,15.8287445748,16.28323980996)); #488279=CARTESIAN_POINT('Ctrl Pts',(4.563413328244,19.33519767542,17.37456260614)); #488280=CARTESIAN_POINT('Ctrl Pts',(4.036693976354,22.84165077605,18.46588540232)); #488281=CARTESIAN_POINT('Ctrl Pts',(5.991186547014,12.15856568852,14.46522179657)); #488282=CARTESIAN_POINT('Ctrl Pts',(5.447342288777,15.69987650406,15.61505467884)); #488283=CARTESIAN_POINT('Ctrl Pts',(4.90349803054,19.2411873196,16.7648875611)); #488284=CARTESIAN_POINT('Ctrl Pts',(4.359653772304,22.78249813515,17.91472044336)); #488285=CARTESIAN_POINT('Ctrl Pts',(6.260458198345,12.03270907039,13.8550408758)); #488286=CARTESIAN_POINT('Ctrl Pts',(5.707323358364,15.60021762848,15.05864625583)); #488287=CARTESIAN_POINT('Ctrl Pts',(5.154188518383,19.16772618656,16.26225163585)); #488288=CARTESIAN_POINT('Ctrl Pts',(4.601053678402,22.73523474465,17.46585701587)); #488289=CARTESIAN_POINT('Ctrl Pts',(6.470055625864,11.93154064308,13.39029831512)); #488290=CARTESIAN_POINT('Ctrl Pts',(5.90473304863,15.5210483533,14.63510689476)); #488291=CARTESIAN_POINT('Ctrl Pts',(5.339410471396,19.11055606352,15.8799154744)); #488292=CARTESIAN_POINT('Ctrl Pts',(4.774087894162,22.70006377375,17.12472405404)); #488293=CARTESIAN_POINT('Ctrl Pts',(6.672716490486,11.8296857511,12.90862107203)); #488294=CARTESIAN_POINT('Ctrl Pts',(6.095465965628,15.44141351245,14.19915576338)); #488295=CARTESIAN_POINT('Ctrl Pts',(5.518215440771,19.05314127381,15.48969045473)); #488296=CARTESIAN_POINT('Ctrl Pts',(4.940964915913,22.66486903517,16.78022514608)); #488297=CARTESIAN_POINT('Ctrl Pts',(6.923627236189,11.69858689639,12.23400372058)); #488298=CARTESIAN_POINT('Ctrl Pts',(6.334738829904,15.33827745977,13.59519398576)); #488299=CARTESIAN_POINT('Ctrl Pts',(5.745850423619,18.97796802315,14.95638425094)); #488300=CARTESIAN_POINT('Ctrl Pts',(5.156962017334,22.61765858653,16.31757451613)); #488301=CARTESIAN_POINT('Ctrl Pts',(7.206789401495,11.54348161538,11.4721274918)); #488302=CARTESIAN_POINT('Ctrl Pts',(6.598695936584,15.21763925647,12.919036894)); #488303=CARTESIAN_POINT('Ctrl Pts',(5.990602471672,18.89179689756,14.3659462962)); #488304=CARTESIAN_POINT('Ctrl Pts',(5.382509006761,22.56595453865,15.8128556984)); #488305=CARTESIAN_POINT('Ctrl Pts',(7.440823212294,11.40723971414,10.72214736031)); #488306=CARTESIAN_POINT('Ctrl Pts',(6.820415970775,15.11098566652,12.26272530842)); #488307=CARTESIAN_POINT('Ctrl Pts',(6.200008729256,18.81473161889,13.80330325653)); #488308=CARTESIAN_POINT('Ctrl Pts',(5.579601487738,22.51847757127,15.34388120464)); #488309=CARTESIAN_POINT('Ctrl Pts',(7.562057455477,11.33408387804,10.27581352849)); #488310=CARTESIAN_POINT('Ctrl Pts',(6.93841656216,15.05298438026,11.87562963252)); #488311=CARTESIAN_POINT('Ctrl Pts',(6.314775668842,18.77188488247,13.47544573655)); #488312=CARTESIAN_POINT('Ctrl Pts',(5.691134775525,22.49078538468,15.07526184059)); #488313=CARTESIAN_POINT('Ctrl Pts',(7.613537247522,11.30266230855,10.08696151965)); #488314=CARTESIAN_POINT('Ctrl Pts',(6.988266109673,15.0281334867,11.71233877911)); #488315=CARTESIAN_POINT('Ctrl Pts',(6.362994971824,18.75360466484,13.33771603858)); #488316=CARTESIAN_POINT('Ctrl Pts',(5.737723833975,22.47907584299,14.96309329805)); #488317=CARTESIAN_POINT('Ctrl Pts',(7.629373986717,11.29297317661,10.02946490721)); #488318=CARTESIAN_POINT('Ctrl Pts',(7.00354044797,15.02048460959,11.66266256332)); #488319=CARTESIAN_POINT('Ctrl Pts',(6.377706909222,18.74799604256,13.29586021943)); #488320=CARTESIAN_POINT('Ctrl Pts',(5.751873370475,22.47550747553,14.92905787554)); #488321=CARTESIAN_POINT('',(-8.18222716714218,21.4953752841174,30.0999434964738)); #488322=CARTESIAN_POINT('Ctrl Pts',(-1.63153875355071,13.6159018720243, 25.2939687836394)); #488323=CARTESIAN_POINT('Ctrl Pts',(-2.24504843920241,14.3538649669059, 25.7440768123609)); #488324=CARTESIAN_POINT('Ctrl Pts',(-3.35632366439377,15.6905651485584, 26.5593793926743)); #488325=CARTESIAN_POINT('Ctrl Pts',(-5.99866214648943,18.8688921942486, 28.4979561158264)); #488326=CARTESIAN_POINT('Ctrl Pts',(-7.09044388075232,20.1821342202434, 29.2989500752487)); #488327=CARTESIAN_POINT('Ctrl Pts',(-8.18222716658344,21.4953752847286, 30.0999434962332)); #488328=CARTESIAN_POINT('',(5.7455690982454,22.2707977443865,14.9380251357526)); #488329=CARTESIAN_POINT('Ctrl Pts',(-8.18222716664087,21.4953752838048, 30.0999434967346)); #488330=CARTESIAN_POINT('Ctrl Pts',(-8.10642215556079,21.5242305055848, 29.9888140815602)); #488331=CARTESIAN_POINT('Ctrl Pts',(-8.02954988522865,21.5530352612889, 29.8762335605141)); #488332=CARTESIAN_POINT('Ctrl Pts',(-7.85785725471301,21.6162887883136, 29.6426074205403)); #488333=CARTESIAN_POINT('Ctrl Pts',(-7.77845951018005,21.6449340810628, 29.5456300059468)); #488334=CARTESIAN_POINT('Ctrl Pts',(-7.5059489449756,21.7417001875814,29.2533699491865)); #488335=CARTESIAN_POINT('Ctrl Pts',(-7.31755133702264,21.8062681262621, 29.1158476773463)); #488336=CARTESIAN_POINT('Ctrl Pts',(-6.99505853403624,21.91068324824,28.8902150522189)); #488337=CARTESIAN_POINT('Ctrl Pts',(-6.88014859570673,21.9481195089428, 28.8115699882875)); #488338=CARTESIAN_POINT('Ctrl Pts',(-6.29959000982295,22.1235470263298, 28.3916884325054)); #488339=CARTESIAN_POINT('Ctrl Pts',(-5.56592444049143,22.339778084505,27.8850788669251)); #488340=CARTESIAN_POINT('Ctrl Pts',(-4.26648249894037,22.6028584997391, 27.0354436324832)); #488341=CARTESIAN_POINT('Ctrl Pts',(-3.97041677446219,22.6574947377362, 26.8411639257267)); #488342=CARTESIAN_POINT('Ctrl Pts',(-3.2083368395733,22.7789357533673,26.3091658832358)); #488343=CARTESIAN_POINT('Ctrl Pts',(-2.82550927052808,22.8296073884253, 26.0269134996393)); #488344=CARTESIAN_POINT('Ctrl Pts',(-2.1808826481871,22.8975299391393,25.5207170091784)); #488345=CARTESIAN_POINT('Ctrl Pts',(-1.95407449004014,22.9179545698715, 25.3373496135937)); #488346=CARTESIAN_POINT('Ctrl Pts',(-1.32959353698847,22.9650262642381, 24.8259258397924)); #488347=CARTESIAN_POINT('Ctrl Pts',(-1.00816064330938,22.980515987327,24.5521504323678)); #488348=CARTESIAN_POINT('Ctrl Pts',(-0.333486223302727,22.9999185130535, 23.9219399122193)); #488349=CARTESIAN_POINT('Ctrl Pts',(0.0426575570264118,23.001841779813, 23.5521059067813)); #488350=CARTESIAN_POINT('Ctrl Pts',(0.484307288246464,22.9953735827503, 23.1063645215342)); #488351=CARTESIAN_POINT('Ctrl Pts',(0.627242652074963,22.9919004043363, 22.9591637090426)); #488352=CARTESIAN_POINT('Ctrl Pts',(1.05721132830612,22.97746420433,22.5038332975276)); #488353=CARTESIAN_POINT('Ctrl Pts',(1.33318995565422,22.9628974632352,22.195551008294)); #488354=CARTESIAN_POINT('Ctrl Pts',(1.85221754171579,22.926681459877,21.5804897241814)); #488355=CARTESIAN_POINT('Ctrl Pts',(2.09577640054855,22.9054420626313,21.2742673441229)); #488356=CARTESIAN_POINT('Ctrl Pts',(2.5164190815866,22.8627634215066,20.7261061093031)); #488357=CARTESIAN_POINT('Ctrl Pts',(2.70867170679472,22.8406393069126,20.4672949678868)); #488358=CARTESIAN_POINT('Ctrl Pts',(3.02802170063823,22.8002581494535,20.0235763018619)); #488359=CARTESIAN_POINT('Ctrl Pts',(3.16378076751609,22.7818061641209,19.8289853631341)); #488360=CARTESIAN_POINT('Ctrl Pts',(3.54171861878406,22.7269381785448,19.2601200539042)); #488361=CARTESIAN_POINT('Ctrl Pts',(3.78806126464626,22.686997539208,18.8629544866452)); #488362=CARTESIAN_POINT('Ctrl Pts',(4.36956598386956,22.5841258652583,17.8949316583677)); #488363=CARTESIAN_POINT('Ctrl Pts',(4.6370024445569,22.5299944974761,17.4119680622032)); #488364=CARTESIAN_POINT('Ctrl Pts',(5.08665220999145,22.4309088726515,16.4676153980801)); #488365=CARTESIAN_POINT('Ctrl Pts',(5.23280647866927,22.3972149057102,16.1464448243567)); #488366=CARTESIAN_POINT('Ctrl Pts',(5.51704386179291,22.3290803363354,15.4963554803053)); #488367=CARTESIAN_POINT('Ctrl Pts',(5.63379308074857,22.2996345475337,15.2101585006816)); #488368=CARTESIAN_POINT('Ctrl Pts',(5.74556909687784,22.2707978247005,14.9380251266804)); #488369=CARTESIAN_POINT('',(7.28168245342257,13.1140039766053,10.9767683580582)); #488370=CARTESIAN_POINT('',(7.281682450681,13.11400396905,10.97676835698)); #488371=CARTESIAN_POINT('',(7.27501592640728,13.1177034296131,10.9999999025722)); #488372=CARTESIAN_POINT('',(7.27501519569815,13.1177038347376,10.9999994345881)); #488373=CARTESIAN_POINT('',(3.37527142434618,14.6153189725581,18.8974410030499)); #488374=CARTESIAN_POINT('Ctrl Pts',(7.27501665556657,13.1177030253482,11.0000001761174)); #488375=CARTESIAN_POINT('Ctrl Pts',(7.21707895614156,13.1498350020737,11.2019252641098)); #488376=CARTESIAN_POINT('Ctrl Pts',(7.14757391632703,13.1881534104167,11.4467133911471)); #488377=CARTESIAN_POINT('Ctrl Pts',(6.95516435998609,13.2903963550098,12.0334860589081)); #488378=CARTESIAN_POINT('Ctrl Pts',(6.8702853623952,13.3345331794292,12.2724300411736)); #488379=CARTESIAN_POINT('Ctrl Pts',(6.7193804991742,13.4109772762288,12.6799339038302)); #488380=CARTESIAN_POINT('Ctrl Pts',(6.6595972763912,13.440771750503,12.8373439441833)); #488381=CARTESIAN_POINT('Ctrl Pts',(6.53773732419064,13.5004672623519,13.1566183447221)); #488382=CARTESIAN_POINT('Ctrl Pts',(6.44666195971194,13.5443751436949,13.3964980916849)); #488383=CARTESIAN_POINT('Ctrl Pts',(6.24073046732647,13.6407511806847,13.895012061845)); #488384=CARTESIAN_POINT('Ctrl Pts',(6.12478802031475,13.6930968386295,14.1487049636739)); #488385=CARTESIAN_POINT('Ctrl Pts',(5.93981538152187,13.7740928370965,14.5544531083694)); #488386=CARTESIAN_POINT('Ctrl Pts',(5.88109759371392,13.7991716171465,14.684168809659)); #488387=CARTESIAN_POINT('Ctrl Pts',(5.73952928794428,13.8587646856064,14.9850228680142)); #488388=CARTESIAN_POINT('Ctrl Pts',(5.6598255783009,13.8914721555885,15.1445741438701)); #488389=CARTESIAN_POINT('Ctrl Pts',(5.43542889944151,13.9814819154283,15.5817935868246)); #488390=CARTESIAN_POINT('Ctrl Pts',(5.1988271929652,14.0715316912178,16.0263152604199)); #488391=CARTESIAN_POINT('Ctrl Pts',(4.8755508419288,14.1863550008799,16.6043802220039)); #488392=CARTESIAN_POINT('Ctrl Pts',(4.73793111170806,14.2328119648628,16.8351269171986)); #488393=CARTESIAN_POINT('Ctrl Pts',(4.50951644382664,14.3064092759677,17.2091282058358)); #488394=CARTESIAN_POINT('Ctrl Pts',(4.39282189479506,14.3427517585777,17.3982337690637)); #488395=CARTESIAN_POINT('Ctrl Pts',(3.99684327892241,14.4609520585478,18.0271357333062)); #488396=CARTESIAN_POINT('Ctrl Pts',(3.7410496486886,14.5308457064873,18.4023567093079)); #488397=CARTESIAN_POINT('Ctrl Pts',(3.37527140946562,14.6153187756013,18.8974410256613)); #488398=CARTESIAN_POINT('Ctrl Pts',(3.37527145544478,14.6153191592093,18.8974409941926)); #488399=CARTESIAN_POINT('Ctrl Pts',(2.89631232862138,14.7259302946779,19.545716720838)); #488400=CARTESIAN_POINT('Ctrl Pts',(2.24964015397915,14.8182474953304,20.3772671550501)); #488401=CARTESIAN_POINT('Ctrl Pts',(1.20292813186361,14.7698102608136,21.5138792231787)); #488402=CARTESIAN_POINT('Ctrl Pts',(0.903565019662465,14.7374072944078, 21.8197984617095)); #488403=CARTESIAN_POINT('Ctrl Pts',(0.467586703831893,14.6675347947874, 22.257665563422)); #488404=CARTESIAN_POINT('Ctrl Pts',(0.32151274786012,14.6402175683178,22.4005106756799)); #488405=CARTESIAN_POINT('Ctrl Pts',(0.0249728508607665,14.5744042222048, 22.6957229620508)); #488406=CARTESIAN_POINT('Ctrl Pts',(-0.102860291729197,14.5411453264505, 22.8268314045932)); #488407=CARTESIAN_POINT('Ctrl Pts',(-0.251958996376536,14.4978107541829, 22.9895414555785)); #488408=CARTESIAN_POINT('Ctrl Pts',(3.3752239801396,14.6151129175432,18.8016229703528)); #488409=CARTESIAN_POINT('Ctrl Pts',(1.70953283088271,14.9997887488,18.80162297035)); #488410=CARTESIAN_POINT('Ctrl Pts',(0.,14.9997887488,18.80162297035)); #488411=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,14.9997887488,18.80162297035)); #488412=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #488413=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-14.9997887488546, 18.8016229705715)); #488414=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488546,18.8016229705715)); #488415=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-14.9997887488546, 18.8016229705715)); #488416=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #488417=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,11.93052813279,18.8016229703727)); #488418=CARTESIAN_POINT('Ctrl Pts',(3.3752239801396,14.6151129175432,18.8016229703528)); #488419=CARTESIAN_POINT('Ctrl Pts',(3.3752557196155,14.6152503531705,18.8335620836328)); #488420=CARTESIAN_POINT('Ctrl Pts',(1.7095489067569,14.99992980179,18.83356208363)); #488421=CARTESIAN_POINT('Ctrl Pts',(0.,14.99992980179,18.83356208363)); #488422=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,14.99992980179,18.83356208363)); #488423=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #488424=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-14.9999298018442, 18.8335620838516)); #488425=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9999298018442,18.8335620838516)); #488426=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-14.9999298018442, 18.8335620838516)); #488427=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #488428=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,11.9306403234811,18.8335620836527)); #488429=CARTESIAN_POINT('Ctrl Pts',(3.3752557196155,14.6152503531705,18.8335620836328)); #488430=CARTESIAN_POINT('Ctrl Pts',(3.37529242132326,14.61540927584,18.9073694978428)); #488431=CARTESIAN_POINT('Ctrl Pts',(1.7095674959749,15.00009290737,18.90736949784)); #488432=CARTESIAN_POINT('Ctrl Pts',(0.,15.00009290737,18.90736949784)); #488433=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,15.00009290737,18.90736949784)); #488434=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #488435=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-15.0000929074231, 18.9073694980616)); #488436=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000929074231,18.9073694980616)); #488437=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-15.0000929074231, 18.9073694980616)); #488438=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #488439=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,11.9307700543556,18.9073694978627)); #488440=CARTESIAN_POINT('Ctrl Pts',(3.37529242132326,14.61540927584,18.9073694978428)); #488441=CARTESIAN_POINT('Ctrl Pts',(3.37519737481798,14.614997713404,19.0531821213428)); #488442=CARTESIAN_POINT('Ctrl Pts',(1.7095193554301,14.99967051244,19.05318212134)); #488443=CARTESIAN_POINT('Ctrl Pts',(0.,14.99967051244,19.05318212134)); #488444=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,14.99967051244,19.05318212134)); #488445=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #488446=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-14.9996705124909, 19.0531821215615)); #488447=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9996705124909,19.0531821215615)); #488448=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-14.9996705124909, 19.0531821215615)); #488449=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #488450=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,11.9304340899846,19.0531821213627)); #488451=CARTESIAN_POINT('Ctrl Pts',(3.37519737481798,14.614997713404,19.0531821213428)); #488452=CARTESIAN_POINT('Ctrl Pts',(3.37475176819144,14.6130681848164,19.2366720088128)); #488453=CARTESIAN_POINT('Ctrl Pts',(1.70929365806536,14.99769019786,19.23667200881)); #488454=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #488455=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #488456=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #488457=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #488458=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #488459=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #488460=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #488461=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,11.9288589878815,19.2366720088327)); #488462=CARTESIAN_POINT('Ctrl Pts',(3.37475176819144,14.6130681848164,19.2366720088128)); #488463=CARTESIAN_POINT('Ctrl Pts',(3.37377219712365,14.6088265280121,19.4421404013028)); #488464=CARTESIAN_POINT('Ctrl Pts',(1.70879751057695,14.99333689889,19.4421404013)); #488465=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #488466=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #488467=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #488468=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #488469=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #488470=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #488471=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #488472=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,11.9253964620622,19.4421404013227)); #488473=CARTESIAN_POINT('Ctrl Pts',(3.37377219712365,14.6088265280121,19.4421404013028)); #488474=CARTESIAN_POINT('Ctrl Pts',(3.3724075011967,14.6029172357153,19.6341622531528)); #488475=CARTESIAN_POINT('Ctrl Pts',(1.70810629941436,14.98727207157,19.63416225315)); #488476=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #488477=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #488478=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #488479=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #488480=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #488481=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #488482=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #488483=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,11.9205726212622,19.6341622531727)); #488484=CARTESIAN_POINT('Ctrl Pts',(3.3724075011967,14.6029172357153,19.6341622531528)); #488485=CARTESIAN_POINT('Ctrl Pts',(3.37074530547662,14.5957197346669,19.8131390309428)); #488486=CARTESIAN_POINT('Ctrl Pts',(1.70726440620326,14.97988512931,19.81313903094)); #488487=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #488488=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #488489=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #488490=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #488491=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #488492=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #488493=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #488494=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,11.9146971970199,19.8131390309626)); #488495=CARTESIAN_POINT('Ctrl Pts',(3.37074530547662,14.5957197346669,19.8131390309428)); #488496=CARTESIAN_POINT('Ctrl Pts',(3.3687738735053,14.5871832046365,19.9871456963028)); #488497=CARTESIAN_POINT('Ctrl Pts',(1.7062658864906,14.97112391427,19.9871456963)); #488498=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #488499=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #488500=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #488501=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #488502=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #488503=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #488504=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #488505=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,11.9077287040458,19.9871456963226)); #488506=CARTESIAN_POINT('Ctrl Pts',(3.3687738735053,14.5871832046365,19.9871456963028)); #488507=CARTESIAN_POINT('Ctrl Pts',(3.36636286979419,14.5767432777797,20.1667800233328)); #488508=CARTESIAN_POINT('Ctrl Pts',(1.70504472605094,14.96040920421,20.16678002333)); #488509=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #488510=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #488511=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #488512=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #488513=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #488514=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #488515=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #488516=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,11.8992064407032,20.1667800233526)); #488517=CARTESIAN_POINT('Ctrl Pts',(3.36636286979419,14.5767432777797,20.1667800233328)); #488518=CARTESIAN_POINT('Ctrl Pts',(3.36329998558413,14.5634806324435,20.3606114498828)); #488519=CARTESIAN_POINT('Ctrl Pts',(1.70349339163726,14.94679748055,20.36061144988)); #488520=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #488521=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #488522=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #488523=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #488524=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #488525=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #488526=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #488527=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,11.8883799514254,20.3606114499026)); #488528=CARTESIAN_POINT('Ctrl Pts',(3.36329998558413,14.5634806324435,20.3606114498828)); #488529=CARTESIAN_POINT('Ctrl Pts',(3.35933587601541,14.5463155763465,20.5728831201328)); #488530=CARTESIAN_POINT('Ctrl Pts',(1.70148559141635,14.92918063306,20.57288312013)); #488531=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #488532=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #488533=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #488534=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #488535=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #488536=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #488537=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #488538=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,11.8743678677818,20.5728831201526)); #488539=CARTESIAN_POINT('Ctrl Pts',(3.35933587601541,14.5463155763465,20.5728831201328)); #488540=CARTESIAN_POINT('Ctrl Pts',(3.35427361586012,14.5243954003175,20.8025137640628)); #488541=CARTESIAN_POINT('Ctrl Pts',(1.69892158381719,14.90668350891,20.80251376406)); #488542=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #488543=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #488544=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #488545=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #488546=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #488547=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #488548=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #488549=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,11.8564741109384,20.8025137640825)); #488550=CARTESIAN_POINT('Ctrl Pts',(3.35427361586012,14.5243954003175,20.8025137640628)); #488551=CARTESIAN_POINT('Ctrl Pts',(3.34814751292608,14.4978686611599,21.0406605595728)); #488552=CARTESIAN_POINT('Ctrl Pts',(1.69581874556031,14.87945857498,21.04066055957)); #488553=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #488554=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #488555=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #488556=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #488557=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #488558=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #488559=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #488560=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,11.8348199499632,21.0406605595925)); #488561=CARTESIAN_POINT('Ctrl Pts',(3.34814751292608,14.4978686611599,21.0406605595728)); #488562=CARTESIAN_POINT('Ctrl Pts',(3.34122323029342,14.46788571095,21.2748403696428)); #488563=CARTESIAN_POINT('Ctrl Pts',(1.69231163356997,14.84868646109,21.27484036964)); #488564=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #488565=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #488566=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #488567=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #488568=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #488569=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #488570=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #488571=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,11.8103444339001,21.2748403696624)); #488572=CARTESIAN_POINT('Ctrl Pts',(3.34122323029342,14.46788571095,21.2748403696428)); #488573=CARTESIAN_POINT('Ctrl Pts',(3.33364578003356,14.4350744688454,21.5005322890028)); #488574=CARTESIAN_POINT('Ctrl Pts',(1.68847369568204,14.81501161349,21.500532289)); #488575=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #488576=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #488577=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #488578=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #488579=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #488580=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #488581=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #488582=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,11.7835601422422,21.5005322890224)); #488583=CARTESIAN_POINT('Ctrl Pts',(3.33364578003356,14.4350744688454,21.5005322890028)); #488584=CARTESIAN_POINT('Ctrl Pts',(3.32529095930578,14.3988971220811,21.7224942565228)); #488585=CARTESIAN_POINT('Ctrl Pts',(1.68424202382432,14.77788206396,21.72249425652)); #488586=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #488587=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #488588=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #488589=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #488590=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #488591=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #488592=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #488593=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,11.7540280506482,21.7224942565423)); #488594=CARTESIAN_POINT('Ctrl Pts',(3.32529095930578,14.3988971220811,21.7224942565228)); #488595=CARTESIAN_POINT('Ctrl Pts',(3.31616588775256,14.3593844995379,21.9428759669328)); #488596=CARTESIAN_POINT('Ctrl Pts',(1.6796202240575,14.73732945281,21.94287596693)); #488597=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #488598=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #488599=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #488600=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #488601=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #488602=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #488603=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #488604=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,11.7217733251796,21.9428759669523)); #488605=CARTESIAN_POINT('Ctrl Pts',(3.31616588775256,14.3593844995379,21.9428759669328)); #488606=CARTESIAN_POINT('Ctrl Pts',(3.30676398487009,14.3186731650989,22.1535431951428)); #488607=CARTESIAN_POINT('Ctrl Pts',(1.67485821070818,14.69554657917,22.15354319514)); #488608=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #488609=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #488610=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #488611=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #488612=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #488613=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #488614=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #488615=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,11.6885400738469,22.1535431951622)); #488616=CARTESIAN_POINT('Ctrl Pts',(3.30676398487009,14.3186731650989,22.1535431951428)); #488617=CARTESIAN_POINT('Ctrl Pts',(3.29766479281523,14.2792726037974,22.3440973138328)); #488618=CARTESIAN_POINT('Ctrl Pts',(1.67024951876838,14.65510897876,22.34409731383)); #488619=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #488620=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #488621=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #488622=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #488623=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #488624=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #488625=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #488626=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,11.6563768255913,22.3440973138521)); #488627=CARTESIAN_POINT('Ctrl Pts',(3.29766479281523,14.2792726037974,22.3440973138328)); #488628=CARTESIAN_POINT('Ctrl Pts',(3.2890105255998,14.2417986188671,22.5139574732228)); #488629=CARTESIAN_POINT('Ctrl Pts',(1.66586617887181,14.61664866301,22.51395747322)); #488630=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #488631=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #488632=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #488633=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #488634=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #488635=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #488636=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #488637=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,11.625786269502,22.5139574732421)); #488638=CARTESIAN_POINT('Ctrl Pts',(3.2890105255998,14.2417986188671,22.5139574732228)); #488639=CARTESIAN_POINT('Ctrl Pts',(3.2804883179886,14.2048964674029,22.6726520070428)); #488640=CARTESIAN_POINT('Ctrl Pts',(1.661549726456,14.57877523162,22.67265200704)); #488641=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #488642=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #488643=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #488644=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #488645=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #488646=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #488647=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #488648=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,11.5956625093446,22.672652007062)); #488649=CARTESIAN_POINT('Ctrl Pts',(3.2804883179886,14.2048964674029,22.6726520070428)); #488650=CARTESIAN_POINT('Ctrl Pts',(3.27004720829052,14.1596852464246,22.8607563264685)); #488651=CARTESIAN_POINT('Ctrl Pts',(1.65626136043208,14.5323740325614,22.8607563264657)); #488652=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325614,22.8607563264657)); #488653=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,14.5323740325614, 22.8607563264657)); #488654=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,2.66421257838593E-12, 22.860756326573)); #488655=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,-14.5323740325561, 22.8607563266804)); #488656=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.8607563266804)); #488657=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,-14.5323740325561, 22.8607563266804)); #488658=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,2.66421257838593E-12, 22.860756326573)); #488659=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,11.5587559355234,22.8607563264877)); #488660=CARTESIAN_POINT('Ctrl Pts',(3.27004720829052,14.1596852464246,22.8607563264685)); #488661=CARTESIAN_POINT('Ctrl Pts',(3.26229486886729,14.1261167138741,22.9976647317354)); #488662=CARTESIAN_POINT('Ctrl Pts',(1.65233484212155,14.4979219623171,22.9976647317326)); #488663=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623171,22.9976647317326)); #488664=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,14.4979219623171, 22.9976647317326)); #488665=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #488666=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,-14.4979219623097, 22.9976647319468)); #488667=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623097,22.9976647319468)); #488668=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,-14.4979219623097, 22.9976647319468)); #488669=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #488670=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,11.5313534567176,22.9976647317545)); #488671=CARTESIAN_POINT('Ctrl Pts',(3.26229486886729,14.1261167138741,22.9976647317354)); #488672=CARTESIAN_POINT('Ctrl Pts',(3.25753228084205,14.1054941530674,23.0824975056027)); #488673=CARTESIAN_POINT('Ctrl Pts',(1.6499226168479,14.47675660716,23.0824975056)); #488674=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660716,23.0824975056)); #488675=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,14.47675660716,23.0824975056)); #488676=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #488677=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,-14.4767566071514, 23.0824975058138)); #488678=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071514,23.0824975058138)); #488679=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,-14.4767566071514, 23.0824975058138)); #488680=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #488681=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,11.5145189619545,23.0824975056219)); #488682=CARTESIAN_POINT('Ctrl Pts',(3.25753228084205,14.1054941530674,23.0824975056027)); #488683=CARTESIAN_POINT('',(-11.7473485875067,9.32736880615839,18.8974407605214)); #488684=CARTESIAN_POINT('Origin',(0.,-2.660516932324E-11,18.89744094593)); #488685=CARTESIAN_POINT('Ctrl Pts',(-11.7473487604347,9.32736879427886, 18.8974406907444)); #488686=CARTESIAN_POINT('Ctrl Pts',(-11.9859578499762,9.02685273871818, 19.5399475181712)); #488687=CARTESIAN_POINT('Ctrl Pts',(-12.2077047240659,8.67848842639199, 20.1792696972797)); #488688=CARTESIAN_POINT('Ctrl Pts',(-12.6162249061014,7.81156265714721, 21.5237717595078)); #488689=CARTESIAN_POINT('Ctrl Pts',(-12.7387060329573,7.3819739050139,22.0543634009442)); #488690=CARTESIAN_POINT('Ctrl Pts',(-12.8171758038658,7.07498254457145, 22.4094355890826)); #488691=CARTESIAN_POINT('Ctrl Pts',(-12.68728193052,6.971114404666,22.46455378315)); #488692=CARTESIAN_POINT('Ctrl Pts',(-15.64837782946,7.466709970086,23.16176909504)); #488693=CARTESIAN_POINT('Ctrl Pts',(-18.6094737284,7.962305535506,23.85898440693)); #488694=CARTESIAN_POINT('Ctrl Pts',(-21.57056962734,8.457901100926,24.55619971882)); #488695=CARTESIAN_POINT('Ctrl Pts',(-12.67349522393,6.996535628994,22.43258744163)); #488696=CARTESIAN_POINT('Ctrl Pts',(-15.63729671669,7.488517207657,23.13471337955)); #488697=CARTESIAN_POINT('Ctrl Pts',(-18.60109820945,7.980498786319,23.83683931748)); #488698=CARTESIAN_POINT('Ctrl Pts',(-21.56489970221,8.472480364982,24.5389652554)); #488699=CARTESIAN_POINT('Ctrl Pts',(-12.4841272233,7.343631019397,21.99263853122)); #488700=CARTESIAN_POINT('Ctrl Pts',(-15.48525228066,7.786072128747,22.76300063543)); #488701=CARTESIAN_POINT('Ctrl Pts',(-18.48637733801,8.228513238096,23.53336273965)); #488702=CARTESIAN_POINT('Ctrl Pts',(-21.48750239537,8.670954347446,24.30372484387)); #488703=CARTESIAN_POINT('Ctrl Pts',(-12.11345999462,7.968582836069,21.10890388637)); #488704=CARTESIAN_POINT('Ctrl Pts',(-15.19174813477,8.317022042725,22.03274794293)); #488705=CARTESIAN_POINT('Ctrl Pts',(-18.27003627492,8.66546124938,22.95659199948)); #488706=CARTESIAN_POINT('Ctrl Pts',(-21.34832441507,9.013900456035,23.88043605604)); #488707=CARTESIAN_POINT('Ctrl Pts',(-11.38509116845,9.025238768103,19.314837112)); #488708=CARTESIAN_POINT('Ctrl Pts',(-14.62319687925,9.214736729269,20.57240768198)); #488709=CARTESIAN_POINT('Ctrl Pts',(-17.86130259005,9.404234690435,21.82997825195)); #488710=CARTESIAN_POINT('Ctrl Pts',(-21.09940830085,9.593732651601,23.08754882193)); #488711=CARTESIAN_POINT('Ctrl Pts',(-10.67108118666,9.870455938375,17.3993922027)); #488712=CARTESIAN_POINT('Ctrl Pts',(-14.06428295795,9.957925004896,19.02828483703)); #488713=CARTESIAN_POINT('Ctrl Pts',(-17.45748472925,10.04539407142,20.65717747136)); #488714=CARTESIAN_POINT('Ctrl Pts',(-20.85068650054,10.13286313794,22.28607010569)); #488715=CARTESIAN_POINT('Ctrl Pts',(-10.12843926343,10.4298123769,15.65273895787)); #488716=CARTESIAN_POINT('Ctrl Pts',(-13.60803900631,10.51828334544,17.53949964274)); #488717=CARTESIAN_POINT('Ctrl Pts',(-17.08763874919,10.60675431397,19.42626032762)); #488718=CARTESIAN_POINT('Ctrl Pts',(-20.56723849207,10.69522528251,21.31302101249)); #488719=CARTESIAN_POINT('Ctrl Pts',(-9.830906627256,10.71220153969,14.65068788925)); #488720=CARTESIAN_POINT('Ctrl Pts',(-13.34397684769,10.83025276603,16.63610323128)); #488721=CARTESIAN_POINT('Ctrl Pts',(-16.85704706813,10.94830399237,18.62151857332)); #488722=CARTESIAN_POINT('Ctrl Pts',(-20.37011728857,11.06635521871,20.60693391535)); #488723=CARTESIAN_POINT('Ctrl Pts',(-9.635510936871,10.89109586959,13.89066048327)); #488724=CARTESIAN_POINT('Ctrl Pts',(-13.15621101299,11.05372521634,15.91825500251)); #488725=CARTESIAN_POINT('Ctrl Pts',(-16.67691108911,11.21635456309,17.94584952174)); #488726=CARTESIAN_POINT('Ctrl Pts',(-20.19761116523,11.37898390984,19.97344404097)); #488727=CARTESIAN_POINT('Ctrl Pts',(-9.454442480421,11.04753853871,13.1322318323)); #488728=CARTESIAN_POINT('Ctrl Pts',(-12.96928074577,11.27341533583,15.15303760287)); #488729=CARTESIAN_POINT('Ctrl Pts',(-16.48411901112,11.49929213296,17.17384337343)); #488730=CARTESIAN_POINT('Ctrl Pts',(-19.99895727646,11.72516893008,19.194649144)); #488731=CARTESIAN_POINT('Ctrl Pts',(-9.287919391793,11.18382559799,12.37992242527)); #488732=CARTESIAN_POINT('Ctrl Pts',(-12.77944221373,11.49561433395,14.32820730435)); #488733=CARTESIAN_POINT('Ctrl Pts',(-16.27096503567,11.8074030699,16.27649218344)); #488734=CARTESIAN_POINT('Ctrl Pts',(-19.76248785761,12.11919180585,18.22477706253)); #488735=CARTESIAN_POINT('Ctrl Pts',(-9.169744406462,11.2778735298,11.76065097934)); #488736=CARTESIAN_POINT('Ctrl Pts',(-12.62730730977,11.67496610868,13.56987465104)); #488737=CARTESIAN_POINT('Ctrl Pts',(-16.08487021308,12.07205868756,15.37909832273)); #488738=CARTESIAN_POINT('Ctrl Pts',(-19.54243311639,12.46915126645,17.18832199443)); #488739=CARTESIAN_POINT('Ctrl Pts',(-9.079269270106,11.34566997962,11.27595002857)); #488740=CARTESIAN_POINT('Ctrl Pts',(-12.49837604287,11.82495474716,12.90976146058)); #488741=CARTESIAN_POINT('Ctrl Pts',(-15.91748281562,12.30423951471,14.5435728926)); #488742=CARTESIAN_POINT('Ctrl Pts',(-19.33658958838,12.78352428225,16.17738432462)); #488743=CARTESIAN_POINT('Ctrl Pts',(-9.031568099839,11.38119768368,11.02572161661)); #488744=CARTESIAN_POINT('Ctrl Pts',(-12.43178731422,11.9004125771,12.5372634811)); #488745=CARTESIAN_POINT('Ctrl Pts',(-15.83200652861,12.41962747052,14.04880534559)); #488746=CARTESIAN_POINT('Ctrl Pts',(-19.23222574299,12.93884236394,15.56034721009)); #488747=CARTESIAN_POINT('Ctrl Pts',(-9.00674546471,11.39957814108,10.89590176635)); #488748=CARTESIAN_POINT('Ctrl Pts',(-12.39564725261,11.94141457419,12.33364435103)); #488749=CARTESIAN_POINT('Ctrl Pts',(-15.7845490405,12.48325100731,13.77138693572)); #488750=CARTESIAN_POINT('Ctrl Pts',(-19.1734508284,13.02508744043,15.20912952041)); #488751=CARTESIAN_POINT('Ctrl Pts',(-9.004311664531,11.40137920815,10.88317586099)); #488752=CARTESIAN_POINT('Ctrl Pts',(-12.39208730978,11.94545456354,12.31358188798)); #488753=CARTESIAN_POINT('Ctrl Pts',(-15.77986295503,12.48952991894,13.74398791498)); #488754=CARTESIAN_POINT('Ctrl Pts',(-19.16763860027,13.03360527433,15.17439394197)); #488755=CARTESIAN_POINT('',(-9.63662849342041,11.4950159130908,11.1890452638299)); #488756=CARTESIAN_POINT('Ctrl Pts',(-11.7473483877878,9.32736864586896, 18.8974407597201)); #488757=CARTESIAN_POINT('Ctrl Pts',(-11.3499942961506,9.82781599870754, 17.827478522245)); #488758=CARTESIAN_POINT('Ctrl Pts',(-11.0438805052448,10.1558667000995, 16.8899741212444)); #488759=CARTESIAN_POINT('Ctrl Pts',(-10.7272908106727,10.485290817705,15.8561642941486)); #488760=CARTESIAN_POINT('Ctrl Pts',(-10.6211872796254,10.5927264765897, 15.5037604586798)); #488761=CARTESIAN_POINT('Ctrl Pts',(-10.4351772265644,10.7761684206295, 14.8499281063562)); #488762=CARTESIAN_POINT('Ctrl Pts',(-10.3491723517192,10.858436644601,14.5182569786075)); #488763=CARTESIAN_POINT('Ctrl Pts',(-10.1563031279857,11.0397019976289, 13.7400860542222)); #488764=CARTESIAN_POINT('Ctrl Pts',(-10.0327774855578,11.1517855245219, 13.2078376797722)); #488765=CARTESIAN_POINT('Ctrl Pts',(-9.87722029169689,11.2891720493512, 12.458126402227)); #488766=CARTESIAN_POINT('Ctrl Pts',(-9.827826954163,11.3321600935349,12.2041846352661)); #488767=CARTESIAN_POINT('Ctrl Pts',(-9.73189032133929,11.4146519989469, 11.699424817148)); #488768=CARTESIAN_POINT('Ctrl Pts',(-9.68453672264202,11.4548529758071, 11.4444664355383)); #488769=CARTESIAN_POINT('Ctrl Pts',(-9.63662868912185,11.495015768062,11.1890452043187)); #488770=CARTESIAN_POINT('',(-18.9892744475771,12.9771898007452,15.1940291648429)); #488771=CARTESIAN_POINT('',(-18.98926496194,12.97718827153,15.19402517537)); #488772=CARTESIAN_POINT('Ctrl Pts',(-18.9892744675418,12.9771898035733, 15.1940291621891)); #488773=CARTESIAN_POINT('Ctrl Pts',(-19.0184459634811,12.9345037096336, 15.3679996092242)); #488774=CARTESIAN_POINT('Ctrl Pts',(-19.0499902539051,12.8880158847682, 15.5554055815284)); #488775=CARTESIAN_POINT('Ctrl Pts',(-19.1094028506112,12.7997387718001, 15.8959321531872)); #488776=CARTESIAN_POINT('Ctrl Pts',(-19.1383560397897,12.7564444954128, 16.056373159133)); #488777=CARTESIAN_POINT('Ctrl Pts',(-19.2273602307064,12.6221602069057, 16.5205722801619)); #488778=CARTESIAN_POINT('Ctrl Pts',(-19.303759408926,12.5048659791708,16.888715832215)); #488779=CARTESIAN_POINT('Ctrl Pts',(-19.4404778371227,12.2921462021213, 17.5447100751826)); #488780=CARTESIAN_POINT('Ctrl Pts',(-19.5421427369511,12.1306774589198, 18.0171032659343)); #488781=CARTESIAN_POINT('Ctrl Pts',(-19.7157380997924,11.8449398522292, 18.7479869504149)); #488782=CARTESIAN_POINT('Ctrl Pts',(-19.7800480482241,11.7372039923537, 19.0081416869175)); #488783=CARTESIAN_POINT('Ctrl Pts',(-19.9023203002598,11.5288667456945, 19.4952611770303)); #488784=CARTESIAN_POINT('Ctrl Pts',(-19.9706860292627,11.4102282931108, 19.761256302517)); #488785=CARTESIAN_POINT('Ctrl Pts',(-20.1761071865745,11.0452957847256, 20.5301735126373)); #488786=CARTESIAN_POINT('Ctrl Pts',(-20.3253047497084,10.7697686219623, 21.0621223921028)); #488787=CARTESIAN_POINT('Ctrl Pts',(-20.516404251721,10.3964406899117,21.7158511807519)); #488788=CARTESIAN_POINT('Ctrl Pts',(-20.5599252492115,10.3101142370486, 21.8627721792173)); #488789=CARTESIAN_POINT('Ctrl Pts',(-20.6693463655349,10.089649571311,22.2284447364045)); #488790=CARTESIAN_POINT('Ctrl Pts',(-20.7359451824883,9.95219753380081, 22.4469154180121)); #488791=CARTESIAN_POINT('Ctrl Pts',(-20.8990231071408,9.60626022659339, 22.9720284587268)); #488792=CARTESIAN_POINT('Ctrl Pts',(-20.9976713739615,9.38863134110704, 23.2835122730135)); #488793=CARTESIAN_POINT('Ctrl Pts',(-21.1339525832482,9.07582655251509, 23.7096584457389)); #488794=CARTESIAN_POINT('Ctrl Pts',(-21.1806055768392,8.96650062625181, 23.8536831141769)); #488795=CARTESIAN_POINT('Ctrl Pts',(-21.280744766836,8.72625950164005,24.1584052563778)); #488796=CARTESIAN_POINT('Ctrl Pts',(-21.3318106008123,8.60073469303335, 24.3118649738452)); #488797=CARTESIAN_POINT('Ctrl Pts',(-21.3822980015235,8.47333064225898, 24.4636599152124)); #488798=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #488799=CARTESIAN_POINT('',(-10.1630463473958,11.0323384837592,10.9999997720329)); #488800=CARTESIAN_POINT('Origin',(0.,0.,11.)); #488801=CARTESIAN_POINT('Ctrl Pts',(-10.1630461902856,11.0323384707922, 10.9999994001732)); #488802=CARTESIAN_POINT('Ctrl Pts',(-10.0771500874064,11.1114663967018, 11.0335311225373)); #488803=CARTESIAN_POINT('Ctrl Pts',(-9.99014201938892,11.1897643165647, 11.0661123432498)); #488804=CARTESIAN_POINT('Ctrl Pts',(-9.81462955603426,11.3440108618515, 11.129117859967)); #488805=CARTESIAN_POINT('Ctrl Pts',(-9.72616186146669,11.4199573323174, 11.1595511107879)); #488806=CARTESIAN_POINT('Ctrl Pts',(-9.63662846062232,11.4950159596202, 11.1890450458542)); #488807=CARTESIAN_POINT('Ctrl Pts',(-10.02997273021,10.87471277616,10.8364893407)); #488808=CARTESIAN_POINT('Ctrl Pts',(-9.815222745061,11.09323054297,10.93727704931)); #488809=CARTESIAN_POINT('Ctrl Pts',(-9.600472759908,11.31174830978,11.03806475792)); #488810=CARTESIAN_POINT('Ctrl Pts',(-9.385722774755,11.53026607658,11.13885246653)); #488811=CARTESIAN_POINT('Ctrl Pts',(-10.09160695123,10.88382602914,10.86309962633)); #488812=CARTESIAN_POINT('Ctrl Pts',(-9.877130473562,11.10262921376,10.96392405662)); #488813=CARTESIAN_POINT('Ctrl Pts',(-9.662653995898,11.32143239837,11.06474848691)); #488814=CARTESIAN_POINT('Ctrl Pts',(-9.448177518233,11.54023558298,11.1655729172)); #488815=CARTESIAN_POINT('Ctrl Pts',(-13.2349934814,11.34860803168,12.22024200676)); #488816=CARTESIAN_POINT('Ctrl Pts',(-13.03446606872,11.58196771543,12.32293926721)); #488817=CARTESIAN_POINT('Ctrl Pts',(-12.83393865603,11.81532739919,12.42563652767)); #488818=CARTESIAN_POINT('Ctrl Pts',(-12.63341124335,12.04868708294,12.52833378812)); #488819=CARTESIAN_POINT('Ctrl Pts',(-16.44001506501,11.82250341028,13.60399503222)); #488820=CARTESIAN_POINT('Ctrl Pts',(-16.25371022848,12.07070501483,13.708601845)); #488821=CARTESIAN_POINT('Ctrl Pts',(-16.06740539196,12.31890661939,13.81320865779)); #488822=CARTESIAN_POINT('Ctrl Pts',(-15.88110055543,12.56710822394,13.91781547058)); #488823=CARTESIAN_POINT('Ctrl Pts',(-19.5834024276,12.28728553589,14.96113777204)); #488824=CARTESIAN_POINT('Ctrl Pts',(-19.41104665975,12.55004364344,15.06761741548)); #488825=CARTESIAN_POINT('Ctrl Pts',(-19.23869089189,12.81280175099,15.17409705893)); #488826=CARTESIAN_POINT('Ctrl Pts',(-19.06633512404,13.07555985854,15.28057670237)); #488827=CARTESIAN_POINT('Ctrl Pts',(-19.64503748103,12.29639891196,14.98774841706)); #488828=CARTESIAN_POINT('Ctrl Pts',(-19.47295522436,12.55944244117,15.09426478268)); #488829=CARTESIAN_POINT('Ctrl Pts',(-19.30087296769,12.82248597038,15.2007811483)); #488830=CARTESIAN_POINT('Ctrl Pts',(-19.12879071102,13.08552949959,15.30729751392)); #488831=CARTESIAN_POINT('',(-10.2219953110722,10.9777416400829,10.9767683582909)); #488832=CARTESIAN_POINT('Ctrl Pts',(-10.2219953047207,10.9777416351316, 10.9767683572079)); #488833=CARTESIAN_POINT('Ctrl Pts',(-10.2154587251803,10.9838282135706, 10.9793687370392)); #488834=CARTESIAN_POINT('Ctrl Pts',(-10.2089177861671,10.9899080163349, 10.9819627323692)); #488835=CARTESIAN_POINT('Ctrl Pts',(-10.202372058578,10.9959812850344,10.9845504024093)); #488836=CARTESIAN_POINT('Ctrl Pts',(-10.1958263309889,11.0020545537339, 10.9871380724495)); #488837=CARTESIAN_POINT('Ctrl Pts',(-10.1892758148372,11.0081212883435, 10.9897194172743)); #488838=CARTESIAN_POINT('Ctrl Pts',(-10.1827217863908,11.0141807220128, 10.9922942619235)); #488839=CARTESIAN_POINT('Ctrl Pts',(-10.1761677579444,11.0202401556822, 10.9948691065726)); #488840=CARTESIAN_POINT('Ctrl Pts',(-10.1696102171719,11.0262922884633, 10.9974374508858)); #488841=CARTESIAN_POINT('Ctrl Pts',(-10.1630467065781,11.0323386260016, 10.9999996823205)); #488842=CARTESIAN_POINT('',(-19.4053088243339,12.3464159120721,14.9380251360047)); #488843=CARTESIAN_POINT('',(-10.22199530472,10.97774163513,10.97676835721)); #488844=CARTESIAN_POINT('Ctrl Pts',(-19.4053088421481,12.3464160282585, 14.9380248825749)); #488845=CARTESIAN_POINT('Ctrl Pts',(-19.3379146733899,12.4523418820356, 14.9818498913071)); #488846=CARTESIAN_POINT('Ctrl Pts',(-19.2695465745009,12.55788346784,15.0250941774067)); #488847=CARTESIAN_POINT('Ctrl Pts',(-19.1308638749576,12.7681599918144, 15.1104288588964)); #488848=CARTESIAN_POINT('Ctrl Pts',(-19.0605533495335,12.8728887745549, 15.1525167614109)); #488849=CARTESIAN_POINT('Ctrl Pts',(-18.9892744516097,12.9771898268864, 15.1940291060865)); #488850=CARTESIAN_POINT('Origin',(2.550185749491E-10,2.674868293879E-10, 35.)); #488851=CARTESIAN_POINT('Ctrl Pts',(-22.9717639800757,-1.13932420153437, 30.0999434970985)); #488852=CARTESIAN_POINT('Ctrl Pts',(-22.9757818900965,-1.05831259790286, 29.9888140819661)); #488853=CARTESIAN_POINT('Ctrl Pts',(-22.9794220027815,-0.97630156526686, 29.876233560945)); #488854=CARTESIAN_POINT('Ctrl Pts',(-22.9865237412002,-0.793465755483995, 29.6426074210624)); #488855=CARTESIAN_POINT('Ctrl Pts',(-22.9892317811566,-0.70910213090961, 29.545630006469)); #488856=CARTESIAN_POINT('Ctrl Pts',(-22.9970514215301,-0.420026810695003, 29.2533699496393)); #488857=CARTESIAN_POINT('Ctrl Pts',(-23.0002411177992,-0.220897447644778, 29.1158476777923)); #488858=CARTESIAN_POINT('Ctrl Pts',(-22.999890043279,0.118077481008529, 28.8902150528347)); #488859=CARTESIAN_POINT('Ctrl Pts',(-22.9999849192085,0.238931767068265, 28.8115699891506)); #488860=CARTESIAN_POINT('Ctrl Pts',(-22.9874239335519,0.845285875310162, 28.3916884348229)); #488861=CARTESIAN_POINT('Ctrl Pts',(-22.9663567614841,1.60986236795143, 27.885078868834)); #488862=CARTESIAN_POINT('Ctrl Pts',(-22.8150114616683,2.92700141319492, 27.0354436344023)); #488863=CARTESIAN_POINT('Ctrl Pts',(-22.7754842716029,3.22546017557812, 26.841163927849)); #488864=CARTESIAN_POINT('Ctrl Pts',(-22.6554858899381,3.98776860159913, 26.3091658852304)); #488865=CARTESIAN_POINT('Ctrl Pts',(-22.5853772539284,4.36751765219452, 26.0269135016675)); #488866=CARTESIAN_POINT('Ctrl Pts',(-22.4507748573193,5.00158322352346, 25.5207170120336)); #488867=CARTESIAN_POINT('Ctrl Pts',(-22.4001122604074,5.22360215730458, 25.3373496173145)); #488868=CARTESIAN_POINT('Ctrl Pts',(-22.2519048745243,5.83206479270595, 24.825925841812)); #488869=CARTESIAN_POINT('Ctrl Pts',(-22.1673082488264,6.1425522325242,24.5521504308873)); #488870=CARTESIAN_POINT('Ctrl Pts',(-21.9772752846647,6.79020144979408, 23.9219399067198)); #488871=CARTESIAN_POINT('Ctrl Pts',(-21.8628695996664,7.14852976477449, 23.5521059017009)); #488872=CARTESIAN_POINT('Ctrl Pts',(-21.7202407060712,7.56656483680181, 23.1063645161516)); #488873=CARTESIAN_POINT('Ctrl Pts',(-21.6727680606052,7.70143117467717, 22.9591637037099)); #488874=CARTESIAN_POINT('Ctrl Pts',(-21.5261707905302,8.10589465455779, 22.5038332922164)); #488875=CARTESIAN_POINT('Ctrl Pts',(-21.4270349105661,8.3638645557213,22.1955510029656)); #488876=CARTESIAN_POINT('Ctrl Pts',(-21.232203100062,8.84629776249911,21.5804897191108)); #488877=CARTESIAN_POINT('Ctrl Pts',(-21.1367394064127,9.07137266746279, 21.2742673390432)); #488878=CARTESIAN_POINT('Ctrl Pts',(-20.9661638698348,9.45823920434105, 20.7261061047194)); #488879=CARTESIAN_POINT('Ctrl Pts',(-20.8857132581631,9.63424558868092, 20.46729496356)); #488880=CARTESIAN_POINT('Ctrl Pts',(-20.7486239201842,9.9254870168703,20.0235762981327)); #488881=CARTESIAN_POINT('Ctrl Pts',(-20.689123180601,10.0488995847609,19.8289853596887)); #488882=CARTESIAN_POINT('Ctrl Pts',(-20.5201514066642,10.3913847006172, 19.2601200507509)); #488883=CARTESIAN_POINT('Ctrl Pts',(-20.4060415374909,10.6133281425756, 18.8629544839596)); #488884=CARTESIAN_POINT('Ctrl Pts',(-20.1285099203511,11.1345829008787, 17.8949316530124)); #488885=CARTESIAN_POINT('Ctrl Pts',(-19.9943855198675,11.37220257531,17.4119680594443)); #488886=CARTESIAN_POINT('Ctrl Pts',(-19.7612000720886,11.7692257720928, 16.4676153968176)); #488887=CARTESIAN_POINT('Ctrl Pts',(-19.6839910525313,11.8978147331743, 16.1464448233972)); #488888=CARTESIAN_POINT('Ctrl Pts',(-19.531357044487,12.1470858087906,15.4963554790961)); #488889=CARTESIAN_POINT('Ctrl Pts',(-19.4672749424911,12.2490216650012, 15.2101584996897)); #488890=CARTESIAN_POINT('Ctrl Pts',(-19.4053089010783,12.3464159356358, 14.9380251269629)); #488891=CARTESIAN_POINT('Ctrl Pts',(-13.27395665903,2.688979182253,25.36593208679)); #488892=CARTESIAN_POINT('Ctrl Pts',(-16.56793218468,1.345323464528,27.03285880619)); #488893=CARTESIAN_POINT('Ctrl Pts',(-19.86190771034,0.00166774680335,28.69978552559)); #488894=CARTESIAN_POINT('Ctrl Pts',(-23.155883236,-1.341987970921,30.36671224499)); #488895=CARTESIAN_POINT('Ctrl Pts',(-13.27029338286,2.704002136595,25.30838012246)); #488896=CARTESIAN_POINT('Ctrl Pts',(-16.56629434222,1.369539265738,26.97560546659)); #488897=CARTESIAN_POINT('Ctrl Pts',(-19.86229530157,0.03507639488144,28.64283081071)); #488898=CARTESIAN_POINT('Ctrl Pts',(-23.15829626093,-1.299386475975,30.31005615483)); #488899=CARTESIAN_POINT('Ctrl Pts',(-13.26243009831,2.735445778174,25.18351176602)); #488900=CARTESIAN_POINT('Ctrl Pts',(-16.56274654529,1.421759543802,26.85001910144)); #488901=CARTESIAN_POINT('Ctrl Pts',(-19.86306299227,0.1080733094298,28.51652643685)); #488902=CARTESIAN_POINT('Ctrl Pts',(-23.16337943924,-1.205612924942,30.18303377227)); #488903=CARTESIAN_POINT('Ctrl Pts',(-13.24631727394,2.797418601338,24.93450672303)); #488904=CARTESIAN_POINT('Ctrl Pts',(-16.55517392643,1.528750006852,26.59499458937)); #488905=CARTESIAN_POINT('Ctrl Pts',(-19.86403057892,0.2600814123651,28.25548245572)); #488906=CARTESIAN_POINT('Ctrl Pts',(-23.1728872314,-1.008587182121,29.91597032206)); #488907=CARTESIAN_POINT('Ctrl Pts',(-13.22554943968,2.876304869365,24.65857472548)); #488908=CARTESIAN_POINT('Ctrl Pts',(-16.54450259442,1.663741546347,26.30797212295)); #488909=CARTESIAN_POINT('Ctrl Pts',(-19.86345574917,0.4511782233297,27.95736952042)); #488910=CARTESIAN_POINT('Ctrl Pts',(-23.18240890391,-0.7613850996879,29.60676691789)); #488911=CARTESIAN_POINT('Ctrl Pts',(-13.19728204675,2.981392032982,24.3940495467)); #488912=CARTESIAN_POINT('Ctrl Pts',(-16.52836226304,1.842829917248,26.03413741033)); #488913=CARTESIAN_POINT('Ctrl Pts',(-19.85944247934,0.7042678015141,27.67422527396)); #488914=CARTESIAN_POINT('Ctrl Pts',(-23.19052269564,-0.4342943142201,29.31431313758)); #488915=CARTESIAN_POINT('Ctrl Pts',(-13.16461567749,3.108566124448,24.17353821892)); #488916=CARTESIAN_POINT('Ctrl Pts',(-16.50780844269,2.035451110886,25.81388332844)); #488917=CARTESIAN_POINT('Ctrl Pts',(-19.85100120789,0.9623360973244,27.45422843797)); #488918=CARTESIAN_POINT('Ctrl Pts',(-23.19419397309,-0.1107789162374,29.09457354749)); #488919=CARTESIAN_POINT('Ctrl Pts',(-13.12408238324,3.270182296111,23.95453990292)); #488920=CARTESIAN_POINT('Ctrl Pts',(-16.48050382509,2.252268781813,25.59719962707)); #488921=CARTESIAN_POINT('Ctrl Pts',(-19.83692526694,1.234355267515,27.23985935122)); #488922=CARTESIAN_POINT('Ctrl Pts',(-23.19334670879,0.2164417532162,28.88251907538)); #488923=CARTESIAN_POINT('Ctrl Pts',(-13.07866252211,3.446239507574,23.73465620754)); #488924=CARTESIAN_POINT('Ctrl Pts',(-16.4484662228,2.47686987158,25.37636667844)); #488925=CARTESIAN_POINT('Ctrl Pts',(-19.81826992349,1.507500235585,27.01807714934)); #488926=CARTESIAN_POINT('Ctrl Pts',(-23.18807362419,0.5381305995905,28.65978762024)); #488927=CARTESIAN_POINT('Ctrl Pts',(-13.0285573597,3.632245751512,23.49793256131)); #488928=CARTESIAN_POINT('Ctrl Pts',(-16.41183768092,2.708296646088,25.14578039686)); #488929=CARTESIAN_POINT('Ctrl Pts',(-19.79511800214,1.784347540664,26.79362823241)); #488930=CARTESIAN_POINT('Ctrl Pts',(-23.17839832335,0.8603984352402,28.44147606796)); #488931=CARTESIAN_POINT('Ctrl Pts',(-12.97298861309,3.827735414619,23.24924051459)); #488932=CARTESIAN_POINT('Ctrl Pts',(-16.36988591227,2.950216307357,24.90884477081)); #488933=CARTESIAN_POINT('Ctrl Pts',(-19.76678321145,2.072697200096,26.56844902704)); #488934=CARTESIAN_POINT('Ctrl Pts',(-23.16368051062,1.195178092834,28.22805328327)); #488935=CARTESIAN_POINT('Ctrl Pts',(-12.91123172701,4.031312338684,22.99259380261)); #488936=CARTESIAN_POINT('Ctrl Pts',(-16.32155210316,3.207309926368,24.6614664485)); #488937=CARTESIAN_POINT('Ctrl Pts',(-19.7318724793,2.383307514051,26.33033909438)); #488938=CARTESIAN_POINT('Ctrl Pts',(-23.14219285544,1.559305101735,27.99921174026)); #488939=CARTESIAN_POINT('Ctrl Pts',(-12.84290495756,4.241084371973,22.73110857471)); #488940=CARTESIAN_POINT('Ctrl Pts',(-16.26581073839,3.482115264176,24.39746174546)); #488941=CARTESIAN_POINT('Ctrl Pts',(-19.68871651922,2.723146156379,26.06381491621)); #488942=CARTESIAN_POINT('Ctrl Pts',(-23.11162230006,1.964177048582,27.73016808695)); #488943=CARTESIAN_POINT('Ctrl Pts',(-12.7682753292,4.451741580726,22.46346017115)); #488944=CARTESIAN_POINT('Ctrl Pts',(-16.20119289181,3.77963332269,24.11609597688)); #488945=CARTESIAN_POINT('Ctrl Pts',(-19.63411045443,3.107525064653,25.7687317826)); #488946=CARTESIAN_POINT('Ctrl Pts',(-23.06702801705,2.435416806617,27.42136758832)); #488947=CARTESIAN_POINT('Ctrl Pts',(-12.65891551926,4.734585278812,22.1055175691)); #488948=CARTESIAN_POINT('Ctrl Pts',(-16.09915085625,4.218082219259,23.71926674294)); #488949=CARTESIAN_POINT('Ctrl Pts',(-19.53938619323,3.701579159706,25.33301591678)); #488950=CARTESIAN_POINT('Ctrl Pts',(-22.97962153022,3.185076100153,26.94676509061)); #488951=CARTESIAN_POINT('Ctrl Pts',(-12.50222279221,5.103542954899,21.66958447738)); #488952=CARTESIAN_POINT('Ctrl Pts',(-15.93544211264,4.836930319515,23.16911399625)); #488953=CARTESIAN_POINT('Ctrl Pts',(-19.36866143307,4.570317684131,24.66864351513)); #488954=CARTESIAN_POINT('Ctrl Pts',(-22.8018807535,4.303705048748,26.168173034)); #488955=CARTESIAN_POINT('Ctrl Pts',(-12.31318753182,5.528712454182,21.15804581861)); #488956=CARTESIAN_POINT('Ctrl Pts',(-15.73794923607,5.447939363596,22.56098997054)); #488957=CARTESIAN_POINT('Ctrl Pts',(-19.16271094033,5.367166273009,23.96393412247)); #488958=CARTESIAN_POINT('Ctrl Pts',(-22.58747264458,5.286393182423,25.36687827439)); #488959=CARTESIAN_POINT('Ctrl Pts',(-12.13855721199,5.891583232128,20.70453717599)); #488960=CARTESIAN_POINT('Ctrl Pts',(-15.55383830081,5.952847342594,22.03483246125)); #488961=CARTESIAN_POINT('Ctrl Pts',(-18.96911938963,6.01411145306,23.36512774651)); #488962=CARTESIAN_POINT('Ctrl Pts',(-22.38440047844,6.075375563525,24.69542303177)); #488963=CARTESIAN_POINT('Ctrl Pts',(-11.99579827422,6.175490380679,20.33534974017)); #488964=CARTESIAN_POINT('Ctrl Pts',(-15.40564759504,6.327809334061,21.6109093014)); #488965=CARTESIAN_POINT('Ctrl Pts',(-18.81549691587,6.480128287442,22.88646886263)); #488966=CARTESIAN_POINT('Ctrl Pts',(-22.22534623669,6.632447240823,24.16202842386)); #488967=CARTESIAN_POINT('Ctrl Pts',(-11.83875694483,6.47249718922,19.93750829355)); #488968=CARTESIAN_POINT('Ctrl Pts',(-15.24510344775,6.704940945569,21.16308274645)); #488969=CARTESIAN_POINT('Ctrl Pts',(-18.65144995067,6.937384701919,22.38865719934)); #488970=CARTESIAN_POINT('Ctrl Pts',(-22.05779645359,7.169828458268,23.61423165224)); #488971=CARTESIAN_POINT('Ctrl Pts',(-11.61380723556,6.874645776169,19.35291967792)); #488972=CARTESIAN_POINT('Ctrl Pts',(-15.01586948716,7.207377454379,20.52608575812)); #488973=CARTESIAN_POINT('Ctrl Pts',(-18.41793173877,7.540109132588,21.69925183833)); #488974=CARTESIAN_POINT('Ctrl Pts',(-21.81999399037,7.872840810798,22.87241791854)); #488975=CARTESIAN_POINT('Ctrl Pts',(-11.29431350595,7.399717151899,18.55565703462)); #488976=CARTESIAN_POINT('Ctrl Pts',(-14.68994755844,7.856110851339,19.64299747779)); #488977=CARTESIAN_POINT('Ctrl Pts',(-18.08558161092,8.312504550778,20.73033792097)); #488978=CARTESIAN_POINT('Ctrl Pts',(-21.48121566341,8.768898250217,21.81767836415)); #488979=CARTESIAN_POINT('Ctrl Pts',(-10.91253865203,7.957528227257,17.64012713689)); #488980=CARTESIAN_POINT('Ctrl Pts',(-14.30615895372,8.521589310777,18.61373679976)); #488981=CARTESIAN_POINT('Ctrl Pts',(-17.6997792554,9.085650394296,19.58734646264)); #488982=CARTESIAN_POINT('Ctrl Pts',(-21.09339955709,9.649711477816,20.56095612551)); #488983=CARTESIAN_POINT('Ctrl Pts',(-10.56708040974,8.427589087767,16.73325689779)); #488984=CARTESIAN_POINT('Ctrl Pts',(-13.99521119041,9.004300741467,17.74608954493)); #488985=CARTESIAN_POINT('Ctrl Pts',(-17.42334197108,9.581012395167,18.75892219207)); #488986=CARTESIAN_POINT('Ctrl Pts',(-20.85147275175,10.15772404887,19.77175483922)); #488987=CARTESIAN_POINT('Ctrl Pts',(-10.28192713993,8.792038593291,16.01072526418)); #488988=CARTESIAN_POINT('Ctrl Pts',(-13.74311150201,9.372347942779,17.04993423878)); #488989=CARTESIAN_POINT('Ctrl Pts',(-17.20429586408,9.952657292267,18.08914321338)); #488990=CARTESIAN_POINT('Ctrl Pts',(-20.66548022616,10.53296664176,19.12835218799)); #488991=CARTESIAN_POINT('Ctrl Pts',(-9.983492869489,9.149741201331,15.19191701401)); #488992=CARTESIAN_POINT('Ctrl Pts',(-13.48109317092,9.732354927524,16.28323981026)); #488993=CARTESIAN_POINT('Ctrl Pts',(-16.97869347235,10.31496865372,17.3745626065)); #488994=CARTESIAN_POINT('Ctrl Pts',(-20.47629377379,10.89758237991,18.46588540275)); #488995=CARTESIAN_POINT('Ctrl Pts',(-9.712104667456,9.45516043091,14.46522179679)); #488996=CARTESIAN_POINT('Ctrl Pts',(-13.24814851289,10.03225902963,15.61505467912)); #488997=CARTESIAN_POINT('Ctrl Pts',(-16.78419235832,10.60935762834,16.76488756146)); #488998=CARTESIAN_POINT('Ctrl Pts',(-20.32023620375,11.18645622705,17.91472044379)); #488999=CARTESIAN_POINT('Ctrl Pts',(-9.509198394306,9.672361155708,13.85504087602)); #489000=CARTESIAN_POINT('Ctrl Pts',(-13.07302872114,10.24871943377,15.05864625611)); #489001=CARTESIAN_POINT('Ctrl Pts',(-16.63685904798,10.82507771184,16.2622516362)); #489002=CARTESIAN_POINT('Ctrl Pts',(-20.20068937482,11.4014359899,17.46585701629)); #489003=CARTESIAN_POINT('Ctrl Pts',(-9.348212335199,9.840437391621,13.39029831534)); #489004=CARTESIAN_POINT('Ctrl Pts',(-12.93673131696,10.41200255463,14.63510689505)); #489005=CARTESIAN_POINT('Ctrl Pts',(-16.52525029873,10.98356771763,15.87991547476)); #489006=CARTESIAN_POINT('Ctrl Pts',(-20.11376928049,11.55513288063,17.12472405447)); #489007=CARTESIAN_POINT('Ctrl Pts',(-9.188716925212,10.00170443494,12.90862107225)); #489008=CARTESIAN_POINT('Ctrl Pts',(-12.80205436992,10.56879181905,14.19915576367)); #489009=CARTESIAN_POINT('Ctrl Pts',(-16.41539181463,11.13587920315,15.48969045509)); #489010=CARTESIAN_POINT('Ctrl Pts',(-20.02872925934,11.70296658726,16.78022514651)); #489011=CARTESIAN_POINT('Ctrl Pts',(-8.986498820677,10.19982296061,12.2340037208)); #489012=CARTESIAN_POINT('Ctrl Pts',(-12.63002677361,10.76448304278,13.59519398605)); #489013=CARTESIAN_POINT('Ctrl Pts',(-16.27355472653,11.32914312495,14.9563842513)); #489014=CARTESIAN_POINT('Ctrl Pts',(-19.91708267946,11.89380320713,16.31757451655)); #489015=CARTESIAN_POINT('Ctrl Pts',(-8.751483011227,10.42119601535,11.472127492)); #489016=CARTESIAN_POINT('Ctrl Pts',(-12.4337257925,10.97824191412,12.91903689428)); #489017=CARTESIAN_POINT('Ctrl Pts',(-16.11596857378,11.5352878129,14.36594629655)); #489018=CARTESIAN_POINT('Ctrl Pts',(-19.79821135505,12.09233371168,15.81285569882)); #489019=CARTESIAN_POINT('Ctrl Pts',(-8.549588838479,10.60167433332,10.72214736051)); #489020=CARTESIAN_POINT('Ctrl Pts',(-12.26377694224,11.15615242564,12.2627253087)); #489021=CARTESIAN_POINT('Ctrl Pts',(-15.97796504601,11.71063051795,13.80330325688)); #489022=CARTESIAN_POINT('Ctrl Pts',(-19.69215314977,12.26510861027,15.34388120507)); #489023=CARTESIAN_POINT('Ctrl Pts',(-8.442550062423,10.69436855371,10.27581352869)); #489024=CARTESIAN_POINT('Ctrl Pts',(-12.17215025292,11.25045427385,11.87562963279)); #489025=CARTESIAN_POINT('Ctrl Pts',(-15.90175044341,11.80653999399,13.4754457369)); #489026=CARTESIAN_POINT('Ctrl Pts',(-19.63135063391,12.36262571414,15.07526184101)); #489027=CARTESIAN_POINT('Ctrl Pts',(-8.396758243396,10.73361894642,10.08696151984)); #489028=CARTESIAN_POINT('Ctrl Pts',(-12.13311129132,11.29018466241,11.71233877939)); #489029=CARTESIAN_POINT('Ctrl Pts',(-15.86946433924,11.8467503784,13.33771603893)); #489030=CARTESIAN_POINT('Ctrl Pts',(-19.60581738717,12.40331609439,14.96309329847)); #489031=CARTESIAN_POINT('Ctrl Pts',(-8.382649509787,10.745686474,10.0294649074)); #489032=CARTESIAN_POINT('Ctrl Pts',(-12.12111674679,11.30234778837,11.66266256359)); #489033=CARTESIAN_POINT('Ctrl Pts',(-15.8595839838,11.85900910273,13.29586021978)); #489034=CARTESIAN_POINT('Ctrl Pts',(-19.5980512208,12.4156704171,14.92905787596)); #489035=CARTESIAN_POINT('',(-13.8660970015,4.240442659065,22.98954145567)); #489036=CARTESIAN_POINT('',(-13.8665235459705,4.23036515034511,22.9999786144571)); #489037=CARTESIAN_POINT('Ctrl Pts',(-13.8660970015027,4.24044265907686, 22.9895414556719)); #489038=CARTESIAN_POINT('Ctrl Pts',(-13.8665683446708,4.23890138364632, 22.9912753811097)); #489039=CARTESIAN_POINT('Ctrl Pts',(-13.867039162278,4.23736090836894,22.9930088250342)); #489040=CARTESIAN_POINT('Ctrl Pts',(-13.8679238580104,4.23446453251805, 22.9962687929262)); #489041=CARTESIAN_POINT('Ctrl Pts',(-13.8683376295253,4.23310918842724, 22.9977945970788)); #489042=CARTESIAN_POINT('Ctrl Pts',(-13.8687511470417,4.23175396513587, 22.9993205789263)); #489043=CARTESIAN_POINT('Ctrl Pts',(-13.85851829175,3.12861281210764,24.221339260998)); #489044=CARTESIAN_POINT('Ctrl Pts',(-13.859009538869,3.17030286329202,24.173168123294)); #489045=CARTESIAN_POINT('Ctrl Pts',(-13.8594473294129,3.20805901885198, 24.1300821353766)); #489046=CARTESIAN_POINT('Ctrl Pts',(-13.8614787863654,3.31128195852506, 24.0114770099478)); #489047=CARTESIAN_POINT('Ctrl Pts',(-13.8625700484822,3.35604913453938, 23.9599865477508)); #489048=CARTESIAN_POINT('Ctrl Pts',(-13.8660550711259,3.49452060374256, 23.8016668510611)); #489049=CARTESIAN_POINT('Ctrl Pts',(-13.8684209735742,3.58328963030882, 23.701419840996)); #489050=CARTESIAN_POINT('Ctrl Pts',(-13.8727175382301,3.86266362194028, 23.3919113374392)); #489051=CARTESIAN_POINT('Ctrl Pts',(-13.8714681996543,4.06321766668042, 23.1756439165478)); #489052=CARTESIAN_POINT('Ctrl Pts',(-13.8663822083198,4.23118192234592, 22.9992586665417)); #489053=CARTESIAN_POINT('',(-10.2275737523929,10.9725446063829,10.9999999047727)); #489054=CARTESIAN_POINT('',(-10.2275743472195,10.9725440519278,10.9999994484891)); #489055=CARTESIAN_POINT('',(-12.8569781685247,7.72645574106947,18.8974411008832)); #489056=CARTESIAN_POINT('Ctrl Pts',(-10.2275731588324,10.9725451596578, 11.0000001710036)); #489057=CARTESIAN_POINT('Ctrl Pts',(-10.2760345303547,10.9273740334141, 11.2019182255457)); #489058=CARTESIAN_POINT('Ctrl Pts',(-10.3339523538381,10.8731149932445, 11.4466919523406)); #489059=CARTESIAN_POINT('Ctrl Pts',(-10.4906377706469,10.7217286164866, 12.0334268816788)); #489060=CARTESIAN_POINT('Ctrl Pts',(-10.5588385477805,10.6546479759736, 12.2723563357009)); #489061=CARTESIAN_POINT('Ctrl Pts',(-10.6781669977596,10.5347584132258, 12.6798406395652)); #489062=CARTESIAN_POINT('Ctrl Pts',(-10.72497449255,10.4871112711639,12.8372418000068)); #489063=CARTESIAN_POINT('Ctrl Pts',(-10.819369851682,10.3896994732367,13.1563962322118)); #489064=CARTESIAN_POINT('Ctrl Pts',(-10.8893044982777,10.3166173258516, 13.3963848368872)); #489065=CARTESIAN_POINT('Ctrl Pts',(-11.0445770296529,10.1505725329127, 13.8948376938567)); #489066=CARTESIAN_POINT('Ctrl Pts',(-11.1301785057395,10.0564933507928, 14.1485050885615)); #489067=CARTESIAN_POINT('Ctrl Pts',(-11.2643803348213,9.90559318553347, 14.5542805404502)); #489068=CARTESIAN_POINT('Ctrl Pts',(-11.3063743511673,9.85750189630077, 14.6839895101751)); #489069=CARTESIAN_POINT('Ctrl Pts',(-11.4067956454209,9.74128171806666, 14.9848456847198)); #489070=CARTESIAN_POINT('Ctrl Pts',(-11.4625255408663,9.6755945371513,15.1443819546255)); #489071=CARTESIAN_POINT('Ctrl Pts',(-11.6174873838146,9.48998014150475, 15.581650886299)); #489072=CARTESIAN_POINT('Ctrl Pts',(-11.7762457292949,9.29278439837063, 16.0261733157786)); #489073=CARTESIAN_POINT('Ctrl Pts',(-11.9853514966958,9.02081024949318, 16.6042561142727)); #489074=CARTESIAN_POINT('Ctrl Pts',(-12.0720618456518,8.9042828954151,16.8350059759016)); #489075=CARTESIAN_POINT('Ctrl Pts',(-12.2126531457548,8.70977551156797, 17.2090392902996)); #489076=CARTESIAN_POINT('Ctrl Pts',(-12.283282561377,8.61001639301399,17.3981576977201)); #489077=CARTESIAN_POINT('Ctrl Pts',(-12.5180741304303,8.26992872947471, 18.0270931548641)); #489078=CARTESIAN_POINT('Ctrl Pts',(-12.6635969238572,8.04824564514768, 18.4023294310511)); #489079=CARTESIAN_POINT('Ctrl Pts',(-12.8569779756364,7.72645567734657, 18.897441134806)); #489080=CARTESIAN_POINT('Ctrl Pts',(-13.8660970014975,4.24044265907135, 22.9895414556761)); #489081=CARTESIAN_POINT('Ctrl Pts',(-13.8612667617653,4.39467185867983, 22.827841277813)); #489082=CARTESIAN_POINT('Ctrl Pts',(-13.8534562560715,4.52602745294393, 22.6971660602432)); #489083=CARTESIAN_POINT('Ctrl Pts',(-13.8246271952247,4.82716683500619, 22.4031176615443)); #489084=CARTESIAN_POINT('Ctrl Pts',(-13.8056189486318,4.97380926770252, 22.261015277152)); #489085=CARTESIAN_POINT('Ctrl Pts',(-13.7377466650801,5.40885188208847, 21.8245435654833)); #489086=CARTESIAN_POINT('Ctrl Pts',(-13.6764566500215,5.70247430615217, 21.5198333121722)); #489087=CARTESIAN_POINT('Ctrl Pts',(-13.3999220993526,6.71389471841747, 20.383795961363)); #489088=CARTESIAN_POINT('Ctrl Pts',(-13.1115646613235,7.30281903502025, 19.549256143515)); #489089=CARTESIAN_POINT('Ctrl Pts',(-12.8569783262693,7.72645583962776, 18.8974411033276)); #489090=CARTESIAN_POINT('Origin',(0.,0.,11.)); #489091=CARTESIAN_POINT('',(-10.222645363931,-10.2797590343351,22.9999797931274)); #489092=CARTESIAN_POINT('Origin',(-3.14503978415814E-11,-1.41936462583203E-11, 23.0000000001692)); #489093=CARTESIAN_POINT('',(-10.23074300571,-10.2753052291,22.98954145571)); #489094=CARTESIAN_POINT('Origin',(0.,3.615300434296E-12,22.9895414557)); #489095=CARTESIAN_POINT('Ctrl Pts',(-10.2243233112999,-10.2816930914129, 22.999170360328)); #489096=CARTESIAN_POINT('Ctrl Pts',(-10.2253090512279,-10.2807128517157, 22.9976931804179)); #489097=CARTESIAN_POINT('Ctrl Pts',(-10.2262945079674,-10.279732611686, 22.9962158103305)); #489098=CARTESIAN_POINT('Ctrl Pts',(-10.2284345103739,-10.2776033257244, 22.9930062462578)); #489099=CARTESIAN_POINT('Ctrl Pts',(-10.229588952798,-10.2764542770813, 22.9912739779486)); #489100=CARTESIAN_POINT('Ctrl Pts',(-10.2307430057095,-10.2753052290979, 22.9895414557111)); #489101=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #489102=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,14.52066050143,22.90705281011)); #489103=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,3.00611558895709E-12, 22.9070528102172)); #489104=CARTESIAN_POINT('Ctrl Pts',(-14.520660501427,-14.520660501424,22.9070528103245)); #489105=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501424,22.9070528103245)); #489106=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,-14.520660501424,22.9070528103245)); #489107=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,3.00611558895709E-12, 22.9070528102172)); #489108=CARTESIAN_POINT('Ctrl Pts',(14.520660501427,14.52066050143,22.90705281011)); #489109=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050143,22.90705281011)); #489110=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #489111=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,14.51292846221,22.93791465603)); #489112=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #489113=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622068,-14.5129284622035, 22.9379146562444)); #489114=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284622035,22.9379146562444)); #489115=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,-14.5129284622035, 22.9379146562444)); #489116=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,3.23403264012892E-12, 22.9379146561372)); #489117=CARTESIAN_POINT('Ctrl Pts',(14.5129284622068,14.51292846221,22.93791465603)); #489118=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846221,22.93791465603)); #489119=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #489120=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,14.49137592825,23.02395934962)); #489121=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,3.86947916795011E-12, 23.023959349727)); #489122=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282461,-14.4913759282423, 23.023959349834)); #489123=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282423,23.023959349834)); #489124=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,-14.4913759282423, 23.023959349834)); #489125=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,3.86947916795011E-12, 23.023959349727)); #489126=CARTESIAN_POINT('Ctrl Pts',(14.4913759282461,14.49137592825,23.02395934962)); #489127=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592825,23.02395934962)); #489128=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #489129=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.165457968)); #489130=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #489131=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682135)); #489132=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682135)); #489133=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682135)); #489134=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709732138E-12, 23.1654579681068)); #489135=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.165457968)); #489136=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.165457968)); #489137=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #489138=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #489139=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #489140=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #489141=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #489142=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #489143=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #489144=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #489145=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #489146=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #489147=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #489148=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #489149=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #489150=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #489151=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #489152=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #489153=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #489154=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #489155=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #489156=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #489157=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #489158=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #489159=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #489160=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #489161=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #489162=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #489163=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #489164=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #489165=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #489166=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #489167=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #489168=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #489169=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #489170=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #489171=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #489172=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #489173=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #489174=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #489175=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #489176=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #489177=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #489178=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #489179=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #489180=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #489181=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #489182=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #489183=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,14.2203292670947, 24.1552846689298)); #489184=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #489185=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670825,-14.2203292670703, 24.1552846691398)); #489186=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670703,24.1552846691398)); #489187=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,-14.2203292670703, 24.1552846691398)); #489188=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,1.22244016622664E-11, 24.1552846690348)); #489189=CARTESIAN_POINT('Ctrl Pts',(14.2203292670825,14.2203292670947,24.1552846689298)); #489190=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670947,24.1552846689298)); #489191=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #489192=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,14.1997785199556, 24.2583198562566)); #489193=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #489194=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199426,-14.1997785199296, 24.2583198564664)); #489195=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199296,24.2583198564664)); #489196=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,-14.1997785199296, 24.2583198564664)); #489197=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,1.29853242630517E-11, 24.2583198563615)); #489198=CARTESIAN_POINT('Ctrl Pts',(14.1997785199426,14.1997785199556,24.2583198562566)); #489199=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199556,24.2583198562566)); #489200=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #489201=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,14.1888433619,24.31536690022)); #489202=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #489203=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618866,-14.1888433618732, 24.3153669004296)); #489204=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618732,24.3153669004296)); #489205=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,-14.1888433618732, 24.3153669004296)); #489206=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,1.3406620969409E-11, 24.3153669003248)); #489207=CARTESIAN_POINT('Ctrl Pts',(14.1888433618866,14.1888433619,24.31536690022)); #489208=CARTESIAN_POINT('Ctrl Pts',(0.,14.1888433619,24.31536690022)); #489209=CARTESIAN_POINT('Ctrl Pts',(-10.2227662580164,-10.2798413293981, 22.9991716377708)); #489210=CARTESIAN_POINT('Ctrl Pts',(-10.0294941862551,-10.3897233214312, 23.2323276156271)); #489211=CARTESIAN_POINT('Ctrl Pts',(-9.84559498269577,-10.4928187013991, 23.4413280388349)); #489212=CARTESIAN_POINT('Ctrl Pts',(-9.46290152366305,-10.707339776571, 23.8473251472432)); #489213=CARTESIAN_POINT('Ctrl Pts',(-9.26836850046198,-10.8171214488295, 24.042663248144)); #489214=CARTESIAN_POINT('Ctrl Pts',(-9.07936038033677,-10.9275780690442, 24.2213392609983)); #489215=CARTESIAN_POINT('Ctrl Pts',(-6.686308018208,-11.78396996731,25.20377703197)); #489216=CARTESIAN_POINT('Ctrl Pts',(-6.387651819175,-15.34268092265,26.85480023651)); #489217=CARTESIAN_POINT('Ctrl Pts',(-6.088995620142,-18.901391878,28.50582344104)); #489218=CARTESIAN_POINT('Ctrl Pts',(-5.790339421109,-22.46010283335,30.15684664558)); #489219=CARTESIAN_POINT('Ctrl Pts',(-6.68930314203,-11.77938492261,25.20174279719)); #489220=CARTESIAN_POINT('Ctrl Pts',(-6.414021512543,-15.33327235801,26.85792512015)); #489221=CARTESIAN_POINT('Ctrl Pts',(-6.138739883055,-18.88715979341,28.5141074431)); #489222=CARTESIAN_POINT('Ctrl Pts',(-5.863458253568,-22.44104722881,30.17028976605)); #489223=CARTESIAN_POINT('Ctrl Pts',(-6.705338829323,-11.75780109974,25.19251241418)); #489224=CARTESIAN_POINT('Ctrl Pts',(-6.533275103963,-15.29006908919,26.87211690862)); #489225=CARTESIAN_POINT('Ctrl Pts',(-6.361211378602,-18.82233707865,28.55172140306)); #489226=CARTESIAN_POINT('Ctrl Pts',(-6.189147653241,-22.3546050681,30.23132589751)); #489227=CARTESIAN_POINT('Ctrl Pts',(-6.749968456509,-11.71654116622,25.1796432996)); #489228=CARTESIAN_POINT('Ctrl Pts',(-6.724577534681,-15.21581933973,26.89216549653)); #489229=CARTESIAN_POINT('Ctrl Pts',(-6.699186612852,-18.71509751323,28.60468769346)); #489230=CARTESIAN_POINT('Ctrl Pts',(-6.673795691024,-22.21437568673,30.3172098904)); #489231=CARTESIAN_POINT('Ctrl Pts',(-6.827742294233,-11.6578423431,25.18547555178)); #489232=CARTESIAN_POINT('Ctrl Pts',(-6.947099202562,-15.1228688233,26.88525916355)); #489233=CARTESIAN_POINT('Ctrl Pts',(-7.066456110891,-18.5878953035,28.58504277532)); #489234=CARTESIAN_POINT('Ctrl Pts',(-7.185813019221,-22.0529217837,30.28482638709)); #489235=CARTESIAN_POINT('Ctrl Pts',(-6.897868031815,-11.60313261988,25.20581366452)); #489236=CARTESIAN_POINT('Ctrl Pts',(-7.164683566997,-15.02831413128,26.84961144089)); #489237=CARTESIAN_POINT('Ctrl Pts',(-7.43149910218,-18.45349564268,28.49340921725)); #489238=CARTESIAN_POINT('Ctrl Pts',(-7.698314637362,-21.87867715407,30.13720699362)); #489239=CARTESIAN_POINT('Ctrl Pts',(-6.960065238563,-11.55337759692,25.2199238306)); #489240=CARTESIAN_POINT('Ctrl Pts',(-7.373427046477,-14.93384884711,26.80419777207)); #489241=CARTESIAN_POINT('Ctrl Pts',(-7.786788854391,-18.31432009729,28.38847171354)); #489242=CARTESIAN_POINT('Ctrl Pts',(-8.200150662304,-21.69479134748,29.97274565501)); #489243=CARTESIAN_POINT('Ctrl Pts',(-7.015317768477,-11.50835770786,25.22661826089)); #489244=CARTESIAN_POINT('Ctrl Pts',(-7.573141057506,-14.83990721362,26.74897225907)); #489245=CARTESIAN_POINT('Ctrl Pts',(-8.130964346535,-18.17145671938,28.27132625724)); #489246=CARTESIAN_POINT('Ctrl Pts',(-8.688787635564,-21.50300622514,29.79368025542)); #489247=CARTESIAN_POINT('Ctrl Pts',(-7.090808073619,-11.44891632977,25.22640379509)); #489248=CARTESIAN_POINT('Ctrl Pts',(-7.830561751027,-14.71365654035,26.65329618407)); #489249=CARTESIAN_POINT('Ctrl Pts',(-8.570315428435,-17.97839675093,28.08018857304)); #489250=CARTESIAN_POINT('Ctrl Pts',(-9.310069105843,-21.24313696151,29.50708096202)); #489251=CARTESIAN_POINT('Ctrl Pts',(-7.186170051084,-11.37658864734,25.19622708466)); #489252=CARTESIAN_POINT('Ctrl Pts',(-8.135125359131,-14.555251896,26.50481033789)); #489253=CARTESIAN_POINT('Ctrl Pts',(-9.084080667177,-17.73391514465,27.81339359112)); #489254=CARTESIAN_POINT('Ctrl Pts',(-10.03303597522,-20.91257839331,29.12197684435)); #489255=CARTESIAN_POINT('Ctrl Pts',(-7.318805635622,-11.28206647708,25.12971285855)); #489256=CARTESIAN_POINT('Ctrl Pts',(-8.476960471145,-14.36421332579,26.28934103766)); #489257=CARTESIAN_POINT('Ctrl Pts',(-9.635115306668,-17.44636017449,27.44896921677)); #489258=CARTESIAN_POINT('Ctrl Pts',(-10.79327014219,-20.52850702319,28.60859739588)); #489259=CARTESIAN_POINT('Ctrl Pts',(-7.541380407674,-11.12835119819,25.0089959615)); #489260=CARTESIAN_POINT('Ctrl Pts',(-8.916896474082,-14.10170681017,25.98012940993)); #489261=CARTESIAN_POINT('Ctrl Pts',(-10.29241254049,-17.07506242216,26.95126285836)); #489262=CARTESIAN_POINT('Ctrl Pts',(-11.6679286069,-20.04841803414,27.92239630679)); #489263=CARTESIAN_POINT('Ctrl Pts',(-7.888731661291,-10.88528211028,24.8051614074)); #489264=CARTESIAN_POINT('Ctrl Pts',(-9.440320119651,-13.76084595671,25.58647619789)); #489265=CARTESIAN_POINT('Ctrl Pts',(-10.99190857801,-16.63640980314,26.36779098839)); #489266=CARTESIAN_POINT('Ctrl Pts',(-12.54349703637,-19.51197364957,27.14910577888)); #489267=CARTESIAN_POINT('Ctrl Pts',(-8.38397855434,-10.51175215858,24.40237354815)); #489268=CARTESIAN_POINT('Ctrl Pts',(-10.02958942602,-13.33645082018,25.04686011905)); #489269=CARTESIAN_POINT('Ctrl Pts',(-11.6752002977,-16.16114948178,25.69134668994)); #489270=CARTESIAN_POINT('Ctrl Pts',(-13.32081116937,-18.98584814338,26.33583326084)); #489271=CARTESIAN_POINT('Ctrl Pts',(-8.927647771222,-10.06215080909,23.82345482876)); #489272=CARTESIAN_POINT('Ctrl Pts',(-10.59045455352,-12.89212010502,24.4304600385)); #489273=CARTESIAN_POINT('Ctrl Pts',(-12.25326133582,-15.72208940095,25.03746524823)); #489274=CARTESIAN_POINT('Ctrl Pts',(-13.91606811812,-18.55205869688,25.64447045797)); #489275=CARTESIAN_POINT('Ctrl Pts',(-9.512330644364,-9.528520853764,23.07888354052)); #489276=CARTESIAN_POINT('Ctrl Pts',(-11.13513125283,-12.41693371757,23.731581132)); #489277=CARTESIAN_POINT('Ctrl Pts',(-12.7579318613,-15.30534658139,24.38427872349)); #489278=CARTESIAN_POINT('Ctrl Pts',(-14.38073246977,-18.1937594452,25.03697631498)); #489279=CARTESIAN_POINT('Ctrl Pts',(-9.929657216094,-9.104703432684,22.4299406316)); #489280=CARTESIAN_POINT('Ctrl Pts',(-11.50188995404,-12.06302757081,23.17525373409)); #489281=CARTESIAN_POINT('Ctrl Pts',(-13.07412269199,-15.02135170895,23.92056683658)); #489282=CARTESIAN_POINT('Ctrl Pts',(-14.64635542994,-17.97967584708,24.66587993907)); #489283=CARTESIAN_POINT('Ctrl Pts',(-10.15167728414,-8.863821990666,22.06230390756)); #489284=CARTESIAN_POINT('Ctrl Pts',(-11.69234417698,-11.8676258841,22.86932156913)); #489285=CARTESIAN_POINT('Ctrl Pts',(-13.23301106982,-14.87142977754,23.6763392307)); #489286=CARTESIAN_POINT('Ctrl Pts',(-14.77367796266,-17.87523367098,24.48335689228)); #489287=CARTESIAN_POINT('Ctrl Pts',(-10.16770752685,-8.84635043848,22.0356503814)); #489288=CARTESIAN_POINT('Ctrl Pts',(-11.70607223939,-11.85348023926,22.84718458207)); #489289=CARTESIAN_POINT('Ctrl Pts',(-13.24443695193,-14.86061004005,23.65871878275)); #489290=CARTESIAN_POINT('Ctrl Pts',(-14.78280166448,-17.86773984083,24.47025298343)); #489291=CARTESIAN_POINT('',(-10.6894331154499,-10.0035689062358,22.4094359543084)); #489292=CARTESIAN_POINT('Ctrl Pts',(-10.6894331174697,-10.003568903289, 22.4094359572379)); #489293=CARTESIAN_POINT('Ctrl Pts',(-10.6151498072748,-10.0505984118828, 22.5079574230887)); #489294=CARTESIAN_POINT('Ctrl Pts',(-10.5412697702429,-10.0953293748032, 22.6035776514233)); #489295=CARTESIAN_POINT('Ctrl Pts',(-10.3886032327498,-10.1851985137079, 22.7966435503693)); #489296=CARTESIAN_POINT('Ctrl Pts',(-10.3099710264394,-10.2302404189432, 22.8938180012094)); #489297=CARTESIAN_POINT('Ctrl Pts',(-10.2307430057142,-10.2753052291042, 22.9895414557116)); #489298=CARTESIAN_POINT('',(-14.6661097475078,-17.7173707090267,24.4636599652989)); #489299=CARTESIAN_POINT('',(-14.6661081781,-17.71736767035,24.46365915692)); #489300=CARTESIAN_POINT('',(-6.0151037542231,-22.1995163650301,30.0999434967628)); #489301=CARTESIAN_POINT('Ctrl Pts',(-14.6661097482568,-17.7173707092979, 24.4636599656638)); #489302=CARTESIAN_POINT('Ctrl Pts',(-14.4521850690669,-17.8944535775306, 24.771262608887)); #489303=CARTESIAN_POINT('Ctrl Pts',(-14.226164156695,-18.0748064371299, 25.0814455092853)); #489304=CARTESIAN_POINT('Ctrl Pts',(-13.7676821928045,-18.4264891709936, 25.6680554897151)); #489305=CARTESIAN_POINT('Ctrl Pts',(-13.4453473297604,-18.6669954381454, 26.054064729119)); #489306=CARTESIAN_POINT('Ctrl Pts',(-12.7546247748369,-19.1427662950859, 26.7914586671383)); #489307=CARTESIAN_POINT('Ctrl Pts',(-12.4628877674642,-19.3344037937544, 27.0795455175517)); #489308=CARTESIAN_POINT('Ctrl Pts',(-11.9281613815413,-19.6667833772683, 27.564338687975)); #489309=CARTESIAN_POINT('Ctrl Pts',(-11.7078218246055,-19.7988362558545, 27.7538726196302)); #489310=CARTESIAN_POINT('Ctrl Pts',(-10.9060116205678,-20.2608784973243, 28.414674526424)); #489311=CARTESIAN_POINT('Ctrl Pts',(-10.4522368260012,-20.4924355005359, 28.7359111389372)); #489312=CARTESIAN_POINT('Ctrl Pts',(-9.77692761355609,-20.8209405701108, 29.1522332014199)); #489313=CARTESIAN_POINT('Ctrl Pts',(-9.48600302125084,-20.9550972713281, 29.3091803473181)); #489314=CARTESIAN_POINT('Ctrl Pts',(-8.88597909411064,-21.2168212997088, 29.604420998761)); #489315=CARTESIAN_POINT('Ctrl Pts',(-8.66310713042437,-21.3069907103504, 29.6984897057533)); #489316=CARTESIAN_POINT('Ctrl Pts',(-8.30279761952124,-21.4499745493218, 29.8359855739978)); #489317=CARTESIAN_POINT('Ctrl Pts',(-8.10059436379813,-21.5271306691375, 29.9054139761242)); #489318=CARTESIAN_POINT('Ctrl Pts',(-7.78895555406586,-21.6414340395516, 30.0067891193131)); #489319=CARTESIAN_POINT('Ctrl Pts',(-7.62298303223088,-21.7004825739821, 30.0589985981069)); #489320=CARTESIAN_POINT('Ctrl Pts',(-7.25695563006306,-21.8264876931831, 30.1603545958073)); #489321=CARTESIAN_POINT('Ctrl Pts',(-7.05359871434195,-21.893045064488, 30.1990639515536)); #489322=CARTESIAN_POINT('Ctrl Pts',(-6.69546581886727,-22.004280653872, 30.2008540826694)); #489323=CARTESIAN_POINT('Ctrl Pts',(-6.57422184851407,-22.0407871689386, 30.1898591409439)); #489324=CARTESIAN_POINT('Ctrl Pts',(-6.30846902719789,-22.1184317650995, 30.1537863589271)); #489325=CARTESIAN_POINT('Ctrl Pts',(-6.15702692604072,-22.1610613554513, 30.1261624595449)); #489326=CARTESIAN_POINT('Ctrl Pts',(-6.01510375424046,-22.199516364538, 30.099943495947)); #489327=CARTESIAN_POINT('Ctrl Pts',(-6.68328373835601,-11.9744904260119, 25.2939687838695)); #489328=CARTESIAN_POINT('Ctrl Pts',(-6.62070780391269,-12.9321270017462, 25.744076786926)); #489329=CARTESIAN_POINT('Ctrl Pts',(-6.50735991282564,-14.6667313837995, 26.5593793813982)); #489330=CARTESIAN_POINT('Ctrl Pts',(-6.2378369386796,-18.7911795933146, 28.4979561160967)); #489331=CARTESIAN_POINT('Ctrl Pts',(-6.12647125705987,-20.4953479121997, 29.2989500755285)); #489332=CARTESIAN_POINT('Ctrl Pts',(-6.01510375503441,-22.1995163651962, 30.0999434965223)); #489333=CARTESIAN_POINT('Ctrl Pts',(-6.659249363652,-11.79334271276,25.3659320868)); #489334=CARTESIAN_POINT('Ctrl Pts',(-6.399251254108,-15.34131205233,27.03285880617)); #489335=CARTESIAN_POINT('Ctrl Pts',(-6.139253144564,-18.88928139191,28.69978552554)); #489336=CARTESIAN_POINT('Ctrl Pts',(-5.87925503502,-22.43725073148,30.36671224491)); #489337=CARTESIAN_POINT('Ctrl Pts',(-6.672405027682,-11.78521638189,25.30838012248)); #489338=CARTESIAN_POINT('Ctrl Pts',(-6.421775728491,-15.33227127748,26.97560546657)); #489339=CARTESIAN_POINT('Ctrl Pts',(-6.171146429299,-18.87932617307,28.64283081066)); #489340=CARTESIAN_POINT('Ctrl Pts',(-5.920517130108,-22.42638106865,30.31005615475)); #489341=CARTESIAN_POINT('Ctrl Pts',(-6.699879819349,-11.76802133427,25.18351176603)); #489342=CARTESIAN_POINT('Ctrl Pts',(-6.470343834686,-15.31276016872,26.85001910142)); #489343=CARTESIAN_POINT('Ctrl Pts',(-6.240807850023,-18.85749900317,28.5165264368)); #489344=CARTESIAN_POINT('Ctrl Pts',(-6.01127186536,-22.40223783762,30.18303377219)); #489345=CARTESIAN_POINT('Ctrl Pts',(-6.753840340101,-11.73354647211,24.93450672304)); #489346=CARTESIAN_POINT('Ctrl Pts',(-6.569757743836,-15.27249630889,26.59499458935)); #489347=CARTESIAN_POINT('Ctrl Pts',(-6.385675147572,-18.81144614566,28.25548245567)); #489348=CARTESIAN_POINT('Ctrl Pts',(-6.201592551307,-22.35039598244,29.91597032199)); #489349=CARTESIAN_POINT('Ctrl Pts',(-6.822448025634,-11.68941789056,24.6585747255)); #489350=CARTESIAN_POINT('Ctrl Pts',(-6.69484470418,-15.22063258924,26.30797212294)); #489351=CARTESIAN_POINT('Ctrl Pts',(-6.567241382726,-18.75184728792,27.95736952038)); #489352=CARTESIAN_POINT('Ctrl Pts',(-6.439638061271,-22.2830619866,29.60676691782)); #489353=CARTESIAN_POINT('Ctrl Pts',(-6.913656752571,-11.63006028286,24.39404954672)); #489354=CARTESIAN_POINT('Ctrl Pts',(-6.860180229631,-15.1499408718,26.03413741032)); #489355=CARTESIAN_POINT('Ctrl Pts',(-6.80670370669,-18.66982146074,27.67422527392)); #489356=CARTESIAN_POINT('Ctrl Pts',(-6.75322718375,-22.18970204968,29.31431313752)); #489357=CARTESIAN_POINT('Ctrl Pts',(-7.024512037725,-11.55969376402,24.17353821894)); #489358=CARTESIAN_POINT('Ctrl Pts',(-7.037022391234,-15.07086980471,25.81388332844)); #489359=CARTESIAN_POINT('Ctrl Pts',(-7.049532744742,-18.58204584541,27.45422843793)); #489360=CARTESIAN_POINT('Ctrl Pts',(-7.06204309825,-22.09322188611,29.09457354743)); #489361=CARTESIAN_POINT('Ctrl Pts',(-7.165692674164,-11.47120216677,23.95453990294)); #489362=CARTESIAN_POINT('Ctrl Pts',(-7.234790659155,-14.97790122522,25.59719962707)); #489363=CARTESIAN_POINT('Ctrl Pts',(-7.303888644146,-18.48460028366,27.2398593512)); #489364=CARTESIAN_POINT('Ctrl Pts',(-7.372986629138,-21.9912993421,28.88251907532)); #489365=CARTESIAN_POINT('Ctrl Pts',(-7.319097523396,-11.37360064154,23.73465620756)); #489366=CARTESIAN_POINT('Ctrl Pts',(-7.43849882558,-14.87802610109,25.37636667844)); #489367=CARTESIAN_POINT('Ctrl Pts',(-7.557900127764,-18.38245156064,27.01807714932)); #489368=CARTESIAN_POINT('Ctrl Pts',(-7.677301429948,-21.88687702019,28.6597876202)); #489369=CARTESIAN_POINT('Ctrl Pts',(-7.480516627081,-11.2684687099,23.49793256134)); #489370=CARTESIAN_POINT('Ctrl Pts',(-7.647279925606,-14.77167548138,25.14578039687)); #489371=CARTESIAN_POINT('Ctrl Pts',(-7.814043224132,-18.27488225286,26.7936282324)); #489372=CARTESIAN_POINT('Ctrl Pts',(-7.980806522658,-21.77808902434,28.44147606792)); #489373=CARTESIAN_POINT('Ctrl Pts',(-7.649266657992,-11.15521006321,23.24924051462)); #489374=CARTESIAN_POINT('Ctrl Pts',(-7.864395386422,-14.65701969182,24.90884477082)); #489375=CARTESIAN_POINT('Ctrl Pts',(-8.079524114852,-18.15882932044,26.56844902703)); #489376=CARTESIAN_POINT('Ctrl Pts',(-8.294652843282,-21.66063894905,28.22805328323)); #489377=CARTESIAN_POINT('Ctrl Pts',(-7.823795890877,-11.03356704507,22.99259380265)); #489378=CARTESIAN_POINT('Ctrl Pts',(-8.093969979665,-14.53160521029,24.66146644851)); #489379=CARTESIAN_POINT('Ctrl Pts',(-8.364144068452,-18.0296433755,26.33033909437)); #489380=CARTESIAN_POINT('Ctrl Pts',(-8.63431815724,-21.52768154071,27.99921174024)); #489381=CARTESIAN_POINT('Ctrl Pts',(-8.002186817143,-10.90376130251,22.73110857475)); #489382=CARTESIAN_POINT('Ctrl Pts',(-8.338100357902,-14.39367250257,24.39746174548)); #489383=CARTESIAN_POINT('Ctrl Pts',(-8.67401389866,-17.88358370263,26.0638149162)); #489384=CARTESIAN_POINT('Ctrl Pts',(-9.009927439419,-21.37349490269,27.73016808693)); #489385=CARTESIAN_POINT('Ctrl Pts',(-8.17947190479,-10.76768765066,22.4634601712)); #489386=CARTESIAN_POINT('Ctrl Pts',(-8.601088833439,-14.2402791423,24.1160959769)); #489387=CARTESIAN_POINT('Ctrl Pts',(-9.022705762087,-17.71287063394,25.76873178261)); #489388=CARTESIAN_POINT('Ctrl Pts',(-9.444322690735,-21.18546212558,27.42136758831)); #489389=CARTESIAN_POINT('Ctrl Pts',(-8.414678207182,-10.57627678133,22.10551756915)); #489390=CARTESIAN_POINT('Ctrl Pts',(-8.98654579046,-14.00774323923,23.71926674297)); #489391=CARTESIAN_POINT('Ctrl Pts',(-9.558413373739,-17.43920969713,25.33301591679)); #489392=CARTESIAN_POINT('Ctrl Pts',(-10.13028095702,-20.87067615502,26.94676509062)); #489393=CARTESIAN_POINT('Ctrl Pts',(-8.717157093711,-10.3132389501,21.66958447743)); #489394=CARTESIAN_POINT('Ctrl Pts',(-9.52451652491,-13.66081239193,23.16911399629)); #489395=CARTESIAN_POINT('Ctrl Pts',(-10.33187595611,-17.00838583375,24.66864351516)); #489396=CARTESIAN_POINT('Ctrl Pts',(-11.13923538731,-20.35595927558,26.16817303402)); #489397=CARTESIAN_POINT('Ctrl Pts',(-9.063102208549,-10.00207113313,21.15804581868)); #489398=CARTESIAN_POINT('Ctrl Pts',(-10.04459200268,-13.28417332641,22.5609899706)); #489399=CARTESIAN_POINT('Ctrl Pts',(-11.02608179682,-16.56627551969,23.96393412251)); #489400=CARTESIAN_POINT('Ctrl Pts',(-12.00757159095,-19.84837771298,25.36687827443)); #489401=CARTESIAN_POINT('Ctrl Pts',(-9.354249089934,-9.723854592357,20.70453717607)); #489402=CARTESIAN_POINT('Ctrl Pts',(-10.4678946184,-12.95304827559,22.03483246132)); #489403=CARTESIAN_POINT('Ctrl Pts',(-11.58154014686,-16.18224195882,23.36512774657)); #489404=CARTESIAN_POINT('Ctrl Pts',(-12.69518567533,-19.41143564206,24.69542303183)); #489405=CARTESIAN_POINT('Ctrl Pts',(-9.580145895721,-9.5003506406,20.33534974025)); #489406=CARTESIAN_POINT('Ctrl Pts',(-10.77871121726,-12.69624091161,21.61090930147)); #489407=CARTESIAN_POINT('Ctrl Pts',(-11.9772765388,-15.89213118261,22.8864688627)); #489408=CARTESIAN_POINT('Ctrl Pts',(-13.17584186034,-19.08802145362,24.16202842392)); #489409=CARTESIAN_POINT('Ctrl Pts',(-9.814087716776,-9.259215309676,19.93750829364)); #489410=CARTESIAN_POINT('Ctrl Pts',(-11.08777382404,-12.4270142771,21.16308274653)); #489411=CARTESIAN_POINT('Ctrl Pts',(-12.3614599313,-15.59481324453,22.38865719942)); #489412=CARTESIAN_POINT('Ctrl Pts',(-13.63514603856,-18.76261221196,23.61423165232)); #489413=CARTESIAN_POINT('Ctrl Pts',(-10.12704046788,-8.921004675187,19.35291967801)); #489414=CARTESIAN_POINT('Ctrl Pts',(-11.49478215026,-12.0537384053,20.52608575822)); #489415=CARTESIAN_POINT('Ctrl Pts',(-12.86252383265,-15.18647213541,21.69925183842)); #489416=CARTESIAN_POINT('Ctrl Pts',(-14.23026551504,-18.31920586552,22.87241791863)); #489417=CARTESIAN_POINT('Ctrl Pts',(-10.52768402925,-8.454892103373,18.55565703473)); #489418=CARTESIAN_POINT('Ctrl Pts',(-12.01104885998,-11.5432985867,19.6429974779)); #489419=CARTESIAN_POINT('Ctrl Pts',(-13.49441369071,-14.63170507003,20.73033792108)); #489420=CARTESIAN_POINT('Ctrl Pts',(-14.97777852143,-17.72011155336,21.81767836425)); #489421=CARTESIAN_POINT('Ctrl Pts',(-10.94021896946,-7.919429538843,17.640127137)); #489422=CARTESIAN_POINT('Ctrl Pts',(-12.52535928419,-10.97264977993,18.61373679988)); #489423=CARTESIAN_POINT('Ctrl Pts',(-14.11049959893,-14.02587002102,19.58734646276)); #489424=CARTESIAN_POINT('Ctrl Pts',(-15.69563991366,-17.0790902621,20.56095612564)); #489425=CARTESIAN_POINT('Ctrl Pts',(-11.2805209462,-7.445622432113,16.73325689792)); #489426=CARTESIAN_POINT('Ctrl Pts',(-12.88835699263,-10.52775484794,17.74608954506)); #489427=CARTESIAN_POINT('Ctrl Pts',(-14.49619303906,-13.60988726378,18.75892219221)); #489428=CARTESIAN_POINT('Ctrl Pts',(-16.10402908549,-16.69201967961,19.77175483936)); #489429=CARTESIAN_POINT('Ctrl Pts',(-11.53901581693,-7.061804465912,16.0107252643)); #489430=CARTESIAN_POINT('Ctrl Pts',(-13.16048759376,-10.17426095659,17.04993423891)); #489431=CARTESIAN_POINT('Ctrl Pts',(-14.78195937059,-13.28671744727,18.08914321352)); #489432=CARTESIAN_POINT('Ctrl Pts',(-16.40343114742,-16.39917393795,19.12835218813)); #489433=CARTESIAN_POINT('Ctrl Pts',(-11.78698995195,-6.6674404235,15.19191701415)); #489434=CARTESIAN_POINT('Ctrl Pts',(-13.42190646539,-9.813818439034,16.2832398104)); #489435=CARTESIAN_POINT('Ctrl Pts',(-15.05682297882,-12.96019645457,17.37456260665)); #489436=CARTESIAN_POINT('Ctrl Pts',(-16.69173949226,-16.1065744701,18.4658854029)); #489437=CARTESIAN_POINT('Ctrl Pts',(-11.99359733395,-6.314955173157,14.46522179693)); #489438=CARTESIAN_POINT('Ctrl Pts',(-13.63514835788,-9.499599439842,15.61505467927)); #489439=CARTESIAN_POINT('Ctrl Pts',(-15.27669938181,-12.68424370653,16.76488756161)); #489440=CARTESIAN_POINT('Ctrl Pts',(-16.91825040574,-15.86888797321,17.91472044394)); #489441=CARTESIAN_POINT('Ctrl Pts',(-12.13746601195,-6.054861124722,13.85504087618)); #489442=CARTESIAN_POINT('Ctrl Pts',(-13.78689944408,-9.266160677277,15.05864625627)); #489443=CARTESIAN_POINT('Ctrl Pts',(-15.4363328762,-12.47746022983,16.26225163636)); #489444=CARTESIAN_POINT('Ctrl Pts',(-17.08576630832,-15.68875978239,17.46585701645)); #489445=CARTESIAN_POINT('Ctrl Pts',(-12.24756858324,-5.849815870925,13.39029831548)); #489446=CARTESIAN_POINT('Ctrl Pts',(-13.90007270599,-9.086076883633,14.6351068952)); #489447=CARTESIAN_POINT('Ctrl Pts',(-15.55257682873,-12.32233789634,15.87991547492)); #489448=CARTESIAN_POINT('Ctrl Pts',(-17.20508095148,-15.55859890905,17.12472405463)); #489449=CARTESIAN_POINT('Ctrl Pts',(-12.35165586345,-5.648292464915,12.90862107239)); #489450=CARTESIAN_POINT('Ctrl Pts',(-14.00757069222,-8.909540948309,14.19915576382)); #489451=CARTESIAN_POINT('Ctrl Pts',(-15.66348552099,-12.1707894317,15.48969045525)); #489452=CARTESIAN_POINT('Ctrl Pts',(-17.31940034976,-15.4320379151,16.78022514667)); #489453=CARTESIAN_POINT('Ctrl Pts',(-12.47758894742,-5.394749627548,12.23400372095)); #489454=CARTESIAN_POINT('Ctrl Pts',(-14.14052465498,-8.68546106806,13.59519398621)); #489455=CARTESIAN_POINT('Ctrl Pts',(-15.80346036254,-11.97617250857,14.95638425146)); #489456=CARTESIAN_POINT('Ctrl Pts',(-17.4663960701,-15.26688394909,16.31757451671)); #489457=CARTESIAN_POINT('Ctrl Pts',(-12.61550335462,-5.102828274521,11.47212749217)); #489458=CARTESIAN_POINT('Ctrl Pts',(-14.28316108333,-8.432712616879,12.91903689444)); #489459=CARTESIAN_POINT('Ctrl Pts',(-15.95081881205,-11.76259695924,14.36594629672)); #489460=CARTESIAN_POINT('Ctrl Pts',(-17.61847654077,-15.09248130159,15.81285569899)); #489461=CARTESIAN_POINT('Ctrl Pts',(-12.72475970454,-4.855044638551,10.72214736068)); #489462=CARTESIAN_POINT('Ctrl Pts',(-14.39984695173,-8.216104283865,12.26272530886)); #489463=CARTESIAN_POINT('Ctrl Pts',(-16.07493419892,-11.57716392918,13.80330325705)); #489464=CARTESIAN_POINT('Ctrl Pts',(-17.7500214461,-14.93822357449,15.34388120524)); #489465=CARTESIAN_POINT('Ctrl Pts',(-12.77984034601,-4.724600623701,10.27581352886)); #489466=CARTESIAN_POINT('Ctrl Pts',(-14.46121913483,-8.09982125021,11.87562963297)); #489467=CARTESIAN_POINT('Ctrl Pts',(-16.14259792366,-11.47504187672,13.47544573707)); #489468=CARTESIAN_POINT('Ctrl Pts',(-17.82397671248,-14.85026250323,15.07526184118)); #489469=CARTESIAN_POINT('Ctrl Pts',(-12.8030192375,-4.668920977434,10.08696152001)); #489470=CARTESIAN_POINT('Ctrl Pts',(-14.4869412772,-8.050415626131,11.71233877956)); #489471=CARTESIAN_POINT('Ctrl Pts',(-16.1708633169,-11.43191027483,13.3377160391)); #489472=CARTESIAN_POINT('Ctrl Pts',(-17.85478535661,-14.81340492353,14.96309329864)); #489473=CARTESIAN_POINT('Ctrl Pts',(-12.81013629979,-4.651773703293,10.02946490757)); #489474=CARTESIAN_POINT('Ctrl Pts',(-14.4948025793,-8.035249523771,11.66266256376)); #489475=CARTESIAN_POINT('Ctrl Pts',(-16.17946885882,-11.41872534425,13.29586021995)); #489476=CARTESIAN_POINT('Ctrl Pts',(-17.86413513833,-14.80220116473,14.92905787614)); #489477=CARTESIAN_POINT('',(-8.31776024198,-11.87707306355,22.98954145574)); #489478=CARTESIAN_POINT('',(-8.30824699271275,-11.8806494458694,22.9999754037926)); #489479=CARTESIAN_POINT('Ctrl Pts',(-8.31776024198508,-11.8770730635478, 22.9895414557371)); #489480=CARTESIAN_POINT('Ctrl Pts',(-8.31643316783718,-11.8780024410579, 22.9912844267223)); #489481=CARTESIAN_POINT('Ctrl Pts',(-8.3151066983194,-11.8789310638042, 22.9930269114442)); #489482=CARTESIAN_POINT('Ctrl Pts',(-8.31262698611193,-11.88066641942,22.9962848895672)); #489483=CARTESIAN_POINT('Ctrl Pts',(-8.31147423816056,-11.8814728871093, 22.9977996587691)); #489484=CARTESIAN_POINT('Ctrl Pts',(-8.31032152611423,-11.8822790798995, 22.9993146030688)); #489485=CARTESIAN_POINT('Ctrl Pts',(-7.25800527095033,-12.2134395998087, 24.2213392609606)); #489486=CARTESIAN_POINT('Ctrl Pts',(-7.29759606086929,-12.2010895669625, 24.1734230202681)); #489487=CARTESIAN_POINT('Ctrl Pts',(-7.33335783526373,-12.1899265562076, 24.130673548784)); #489488=CARTESIAN_POINT('Ctrl Pts',(-7.43126054760628,-12.1602250249343, 24.0131432899977)); #489489=CARTESIAN_POINT('Ctrl Pts',(-7.47383231717673,-12.147526859071, 23.9620592867886)); #489490=CARTESIAN_POINT('Ctrl Pts',(-7.60583713224848,-12.1082756045527, 23.8046236660058)); #489491=CARTESIAN_POINT('Ctrl Pts',(-7.69005976070042,-12.0833791571812, 23.7054416151052)); #489492=CARTESIAN_POINT('Ctrl Pts',(-7.95819832061944,-12.0008835086132, 23.3945097000128)); #489493=CARTESIAN_POINT('Ctrl Pts',(-8.14972249131033,-11.9373583333124, 23.1769223576328)); #489494=CARTESIAN_POINT('Ctrl Pts',(-8.30904647149769,-11.8802040347538, 22.9992524443306)); #489495=CARTESIAN_POINT('',(-17.7387095140031,-14.6402930715919,14.9380251361756)); #489496=CARTESIAN_POINT('Ctrl Pts',(-6.01510375444494,-22.1995163644826, 30.0999434970236)); #489497=CARTESIAN_POINT('Ctrl Pts',(-6.09339197045938,-22.1783036617237, 29.9888140818848)); #489498=CARTESIAN_POINT('Ctrl Pts',(-6.1725139541862,-22.1564228117882, 29.8762335608016)); #489499=CARTESIAN_POINT('Ctrl Pts',(-6.34859570043424,-22.1066775939608, 29.6426074208308)); #489500=CARTESIAN_POINT('Ctrl Pts',(-6.42966710570794,-22.0831832993015, 29.5456300062383)); #489501=CARTESIAN_POINT('Ctrl Pts',(-6.70701047446154,-22.0012910326306, 29.2533699494732)); #489502=CARTESIAN_POINT('Ctrl Pts',(-6.89737942312918,-21.9427902567925, 29.1158476776372)); #489503=CARTESIAN_POINT('Ctrl Pts',(-7.21965525010121,-21.8377073513867, 28.8902150525428)); #489504=CARTESIAN_POINT('Ctrl Pts',(-7.33462382492578,-21.8004515554279, 28.8115699886557)); #489505=CARTESIAN_POINT('Ctrl Pts',(-7.90741929422523,-21.6011316235721, 28.3916884332451)); #489506=CARTESIAN_POINT('Ctrl Pts',(-8.62806463526553,-21.344828422573, 27.8850788675454)); #489507=CARTESIAN_POINT('Ctrl Pts',(-9.83397003803438,-20.7938721396592, 27.035443632735)); #489508=CARTESIAN_POINT('Ctrl Pts',(-10.1056066155409,-20.6640507181401, 26.8411639259696)); #489509=CARTESIAN_POINT('Ctrl Pts',(-10.7935234715855,-20.3143592169925, 26.3091658837767)); #489510=CARTESIAN_POINT('Ctrl Pts',(-11.1330215203898,-20.1303330317832, 26.0269135004234)); #489511=CARTESIAN_POINT('Ctrl Pts',(-11.6944592857752,-19.8063815082226, 25.5207170106891)); #489512=CARTESIAN_POINT('Ctrl Pts',(-11.8899562365079,-19.6895908913966, 25.3373496155214)); #489513=CARTESIAN_POINT('Ctrl Pts',(-12.422839988014,-19.3606119975849, 24.8259258417539)); #489514=CARTESIAN_POINT('Ctrl Pts',(-12.6919892935796,-19.1842099315319, 24.5521504332073)); #489515=CARTESIAN_POINT('Ctrl Pts',(-13.2492168844568,-18.8033432297403, 23.9219399119346)); #489516=CARTESIAN_POINT('Ctrl Pts',(-13.5546540628589,-18.5838074184479, 23.5521059065735)); #489517=CARTESIAN_POINT('Ctrl Pts',(-13.9081542902198,-18.3189793383402, 23.1063645212021)); #489518=CARTESIAN_POINT('Ctrl Pts',(-14.0217499455951,-18.2321541790935, 22.9591637087114)); #489519=CARTESIAN_POINT('Ctrl Pts',(-14.3611165262428,-17.9677458011191, 22.5038332971777)); #489520=CARTESIAN_POINT('Ctrl Pts',(-14.5758258102588,-17.7937448929172, 22.1955510079248)); #489521=CARTESIAN_POINT('Ctrl Pts',(-14.9744407152242,-17.4593687701387, 21.5804897238224)); #489522=CARTESIAN_POINT('Ctrl Pts',(-15.1589997666864,-17.2990254315252, 21.2742673437435)); #489523=CARTESIAN_POINT('Ctrl Pts',(-15.4742209683241,-17.0172501211955, 20.7261061089779)); #489524=CARTESIAN_POINT('Ctrl Pts',(-15.616752381037,-16.8863480787067, 20.4672949675874)); #489525=CARTESIAN_POINT('Ctrl Pts',(-15.8513765043401,-16.6659698194144, 20.0235763016321)); #489526=CARTESIAN_POINT('Ctrl Pts',(-15.9503620917022,-16.5712446723539, 19.8289853629444)); #489527=CARTESIAN_POINT('Ctrl Pts',(-16.2238696434144,-16.3047092443603, 19.2601200537562)); #489528=CARTESIAN_POINT('Ctrl Pts',(-16.3996885116167,-16.1276000140992, 18.8629544864441)); #489529=CARTESIAN_POINT('Ctrl Pts',(-16.8096692591613,-15.7025751833359, 17.8949316576961)); #489530=CARTESIAN_POINT('Ctrl Pts',(-16.9942122808856,-15.5015867795894, 17.4119680619695)); #489531=CARTESIAN_POINT('Ctrl Pts',(-17.2997455135718,-15.1571273245058, 16.467615398079)); #489532=CARTESIAN_POINT('Ctrl Pts',(-17.3981819838938,-15.0439610089409, 16.1464448243184)); #489533=CARTESIAN_POINT('Ctrl Pts',(-17.5880863626891,-14.8217684419417, 15.4963554787173)); #489534=CARTESIAN_POINT('Ctrl Pts',(-17.6652306645207,-14.7293228292389, 15.2101584988778)); #489535=CARTESIAN_POINT('Ctrl Pts',(-17.738709560129,-14.6402931372992, 14.9380251271336)); #489536=CARTESIAN_POINT('',(-13.5992229874657,-6.32938652700962,10.9767683571962)); #489537=CARTESIAN_POINT('',(-13.59922298205,-6.329386518857,10.97676835736)); #489538=CARTESIAN_POINT('',(-13.5960045159221,-6.33629712093154,10.9999999996048)); #489539=CARTESIAN_POINT('Ctrl Pts',(-13.5960045157459,-6.33629712144373, 10.9999999991667)); #489540=CARTESIAN_POINT('Ctrl Pts',(-13.5965411515017,-6.33514564416621, 10.9961280388233)); #489541=CARTESIAN_POINT('Ctrl Pts',(-13.5970776660253,-6.33399404497278, 10.9922560488011)); #489542=CARTESIAN_POINT('Ctrl Pts',(-13.5981504808081,-6.33169054194495, 10.9845121400395)); #489543=CARTESIAN_POINT('Ctrl Pts',(-13.5986867777143,-6.33053864532116, 10.9806402455254)); #489544=CARTESIAN_POINT('Ctrl Pts',(-13.5992229950895,-6.32938653687957, 10.9767683538044)); #489545=CARTESIAN_POINT('',(-11.321320928744,-9.84010671241222,18.8974410032817)); #489546=CARTESIAN_POINT('Ctrl Pts',(-13.5960045156372,-6.33629712140876, 10.9999999994233)); #489547=CARTESIAN_POINT('Ctrl Pts',(-13.5680213001598,-6.39634164216006, 11.2019058535198)); #489548=CARTESIAN_POINT('Ctrl Pts',(-13.5343212394598,-6.46817922848083, 11.446636186649)); #489549=CARTESIAN_POINT('Ctrl Pts',(-13.4387832832608,-6.66393622034495, 12.0332621321451)); #489550=CARTESIAN_POINT('Ctrl Pts',(-13.3960701112025,-6.74951090878097, 12.2721514962376)); #489551=CARTESIAN_POINT('Ctrl Pts',(-13.3189357104718,-6.9000242978311, 12.6795819898013)); #489552=CARTESIAN_POINT('Ctrl Pts',(-13.2880906260301,-6.95925476939447, 12.8369586797785)); #489553=CARTESIAN_POINT('Ctrl Pts',(-13.2246835916033,-7.07900734920397, 13.1557804104706)); #489554=CARTESIAN_POINT('Ctrl Pts',(-13.1767305787026,-7.16821516104879, 13.396071439125)); #489555=CARTESIAN_POINT('Ctrl Pts',(-13.0668430899672,-7.36711627369086, 13.8943545913481)); #489556=CARTESIAN_POINT('Ctrl Pts',(-13.0038460733616,-7.4775615802247, 14.1479510046671)); #489557=CARTESIAN_POINT('Ctrl Pts',(-12.9017855356126,-7.65186066168431, 14.5537999895112)); #489558=CARTESIAN_POINT('Ctrl Pts',(-12.8690300012011,-7.70665342609927, 14.6834928437371)); #489559=CARTESIAN_POINT('Ctrl Pts',(-12.7895384153022,-7.83806527445833, 14.9843558419345)); #489560=CARTESIAN_POINT('Ctrl Pts',(-12.7443042323461,-7.9113414393608, 15.1438503060821)); #489561=CARTESIAN_POINT('Ctrl Pts',(-12.6156336238183,-8.11612952601577, 15.5812563207034)); #489562=CARTESIAN_POINT('Ctrl Pts',(-12.4771477113581,-8.32805991079742, 16.0257793399919)); #489563=CARTESIAN_POINT('Ctrl Pts',(-12.283100222923,-8.6109887609178,16.6039097592592)); #489564=CARTESIAN_POINT('Ctrl Pts',(-12.1990738566151,-8.72946376272016, 16.8346674729892)); #489565=CARTESIAN_POINT('Ctrl Pts',(-12.0575026373571,-8.92332451015337, 17.2087890793209)); #489566=CARTESIAN_POINT('Ctrl Pts',(-11.9844393701238,-9.02134287187129, 17.3979424200006)); #489567=CARTESIAN_POINT('Ctrl Pts',(-11.733521061332,-9.34978149389674, 18.0269709089995)); #489568=CARTESIAN_POINT('Ctrl Pts',(-11.5676423860827,-9.55670652092188, 18.402250496135)); #489569=CARTESIAN_POINT('Ctrl Pts',(-11.3213207612605,-9.84010651470049, 18.8974410331221)); #489570=CARTESIAN_POINT('Ctrl Pts',(-8.317760241983,-11.8770730635527,22.9895414557418)); #489571=CARTESIAN_POINT('Ctrl Pts',(-8.46130059061012,-11.8254128009276, 22.8296763811462)); #489572=CARTESIAN_POINT('Ctrl Pts',(-8.58298436174066,-11.7777786826997, 22.6997916162818)); #489573=CARTESIAN_POINT('Ctrl Pts',(-8.85846201534891,-11.6583683270533, 22.4078760428806)); #489574=CARTESIAN_POINT('Ctrl Pts',(-8.99088467660152,-11.5956439014215, 22.2671234963833)); #489575=CARTESIAN_POINT('Ctrl Pts',(-9.38195972888504,-11.397948967236, 21.8330419769699)); #489576=CARTESIAN_POINT('Ctrl Pts',(-9.64051937067331,-11.2502616416507, 21.5305780230494)); #489577=CARTESIAN_POINT('Ctrl Pts',(-10.5190740927244,-10.6756909089776, 20.3957208062114)); #489578=CARTESIAN_POINT('Ctrl Pts',(-10.9938911493233,-10.2168241218039, 19.5556868052271)); #489579=CARTESIAN_POINT('Ctrl Pts',(-11.321321023972,-9.84010679804752, 18.8974410016412)); #489580=CARTESIAN_POINT('Origin',(0.,0.,11.)); #489581=CARTESIAN_POINT('',(6.61766066998054,-12.8989337273194,22.9999797930272)); #489582=CARTESIAN_POINT('Origin',(-3.21964677141295E-14,3.70370401014952E-12, 22.9999999999)); #489583=CARTESIAN_POINT('',(6.610922541421,-12.90525874019,22.98954145575)); #489584=CARTESIAN_POINT('Origin',(0.,3.615300433935E-12,22.98954145565)); #489585=CARTESIAN_POINT('Ctrl Pts',(6.61898155339159,-12.9011272064057, 22.999170359433)); #489586=CARTESIAN_POINT('Ctrl Pts',(6.61774467992486,-12.9017617899215, 22.9976931798509)); #489587=CARTESIAN_POINT('Ctrl Pts',(6.61650789365368,-12.9023961040057, 22.9962158100935)); #489588=CARTESIAN_POINT('Ctrl Pts',(6.61382152552039,-12.9037733815544, 22.9930062463401)); #489589=CARTESIAN_POINT('Ctrl Pts',(6.61237197297846,-12.9045162459938, 22.991273978014)); #489590=CARTESIAN_POINT('Ctrl Pts',(6.61092254142217,-12.9052587401926, 22.9895414557501)); #489591=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050144,22.90705281005)); #489592=CARTESIAN_POINT('Ctrl Pts',(-14.520660501437,14.52066050144,22.90705281005)); #489593=CARTESIAN_POINT('Ctrl Pts',(-14.520660501437,3.00611558851402E-12, 22.9070528101572)); #489594=CARTESIAN_POINT('Ctrl Pts',(-14.520660501437,-14.520660501434,22.9070528102645)); #489595=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501434,22.9070528102645)); #489596=CARTESIAN_POINT('Ctrl Pts',(14.520660501437,-14.520660501434,22.9070528102645)); #489597=CARTESIAN_POINT('Ctrl Pts',(14.520660501437,3.00611558851402E-12, 22.9070528101572)); #489598=CARTESIAN_POINT('Ctrl Pts',(14.520660501437,14.52066050144,22.90705281005)); #489599=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050144,22.90705281005)); #489600=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846222,22.93791465596)); #489601=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622168,14.51292846222,22.93791465596)); #489602=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622168,3.23403263961198E-12, 22.9379146560672)); #489603=CARTESIAN_POINT('Ctrl Pts',(-14.5129284622168,-14.5129284622135, 22.9379146561744)); #489604=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284622135,22.9379146561744)); #489605=CARTESIAN_POINT('Ctrl Pts',(14.5129284622168,-14.5129284622135, 22.9379146561744)); #489606=CARTESIAN_POINT('Ctrl Pts',(14.5129284622168,3.23403263961198E-12, 22.9379146560672)); #489607=CARTESIAN_POINT('Ctrl Pts',(14.5129284622168,14.51292846222,22.93791465596)); #489608=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846222,22.93791465596)); #489609=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592826,23.02395934956)); #489610=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282561,14.49137592826,23.02395934956)); #489611=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282561,3.869479167507E-12, 23.023959349667)); #489612=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282561,-14.4913759282523, 23.023959349774)); #489613=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282523,23.023959349774)); #489614=CARTESIAN_POINT('Ctrl Pts',(14.4913759282561,-14.4913759282523, 23.023959349774)); #489615=CARTESIAN_POINT('Ctrl Pts',(14.4913759282561,3.869479167507E-12, 23.023959349667)); #489616=CARTESIAN_POINT('Ctrl Pts',(14.4913759282561,14.49137592826,23.02395934956)); #489617=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592826,23.02395934956)); #489618=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854162,23.16545796796)); #489619=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416151,14.45598854162,23.16545796796)); #489620=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416151,4.91445709702598E-12, 23.1654579680668)); #489621=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416151,-14.4559885416102, 23.1654579681735)); #489622=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416102,23.1654579681735)); #489623=CARTESIAN_POINT('Ctrl Pts',(14.4559885416151,-14.4559885416102, 23.1654579681735)); #489624=CARTESIAN_POINT('Ctrl Pts',(14.4559885416151,4.91445709702598E-12, 23.1654579680668)); #489625=CARTESIAN_POINT('Ctrl Pts',(14.4559885416151,14.45598854162,23.16545796796)); #489626=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854162,23.16545796796)); #489627=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #489628=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #489629=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #489630=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #489631=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #489632=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #489633=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #489634=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #489635=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #489636=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #489637=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #489638=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #489639=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #489640=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #489641=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #489642=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #489643=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #489644=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #489645=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #489646=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #489647=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #489648=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #489649=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #489650=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #489651=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #489652=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #489653=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #489654=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #489655=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #489656=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #489657=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #489658=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #489659=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #489660=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #489661=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #489662=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #489663=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #489664=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #489665=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #489666=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #489667=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #489668=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #489669=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #489670=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #489671=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #489672=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292671043,24.1552846688809)); #489673=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670921,14.2203292671043, 24.1552846688809)); #489674=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670921,1.2224401661905E-11, 24.1552846689859)); #489675=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670921,-14.2203292670798, 24.1552846690909)); #489676=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670798,24.1552846690909)); #489677=CARTESIAN_POINT('Ctrl Pts',(14.2203292670921,-14.2203292670798, 24.1552846690909)); #489678=CARTESIAN_POINT('Ctrl Pts',(14.2203292670921,1.2224401661905E-11, 24.1552846689859)); #489679=CARTESIAN_POINT('Ctrl Pts',(14.2203292670921,14.2203292671043,24.1552846688809)); #489680=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292671043,24.1552846688809)); #489681=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199756,24.2583198561573)); #489682=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199626,14.1997785199756, 24.2583198561573)); #489683=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199626,1.29853242623178E-11, 24.2583198562621)); #489684=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199626,-14.1997785199496, 24.258319856367)); #489685=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199496,24.258319856367)); #489686=CARTESIAN_POINT('Ctrl Pts',(14.1997785199626,-14.1997785199496, 24.258319856367)); #489687=CARTESIAN_POINT('Ctrl Pts',(14.1997785199626,1.29853242623178E-11, 24.2583198562621)); #489688=CARTESIAN_POINT('Ctrl Pts',(14.1997785199626,14.1997785199756,24.2583198561573)); #489689=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199756,24.2583198561573)); #489690=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336193,24.31536690007)); #489691=CARTESIAN_POINT('Ctrl Pts',(-14.1888433619166,14.18884336193,24.31536690007)); #489692=CARTESIAN_POINT('Ctrl Pts',(-14.1888433619166,1.34066209683013E-11, 24.3153669001748)); #489693=CARTESIAN_POINT('Ctrl Pts',(-14.1888433619166,-14.1888433619032, 24.3153669002796)); #489694=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433619032,24.3153669002796)); #489695=CARTESIAN_POINT('Ctrl Pts',(14.1888433619166,-14.1888433619032, 24.3153669002796)); #489696=CARTESIAN_POINT('Ctrl Pts',(14.1888433619166,1.34066209683013E-11, 24.3153669001748)); #489697=CARTESIAN_POINT('Ctrl Pts',(14.1888433619166,14.18884336193,24.31536690007)); #489698=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336193,24.31536690007)); #489699=CARTESIAN_POINT('Ctrl Pts',(6.61770157911125,-12.8990741349855, 22.9991716368852)); #489700=CARTESIAN_POINT('Ctrl Pts',(6.78191671119932,-12.7492289261017, 23.232308864452)); #489701=CARTESIAN_POINT('Ctrl Pts',(6.93678371735264,-12.6061985301785, 23.4412960738809)); #489702=CARTESIAN_POINT('Ctrl Pts',(7.25906313647939,-12.3085269091321, 23.8472958433551)); #489703=CARTESIAN_POINT('Ctrl Pts',(7.42359804115474,-12.1574276839184, 24.0426496676577)); #489704=CARTESIAN_POINT('Ctrl Pts',(7.58706767450669,-12.0117921840911, 24.2213392609567)); #489705=CARTESIAN_POINT('Ctrl Pts',(9.141038617594,-10.00050379194,25.20377703158)); #489706=CARTESIAN_POINT('Ctrl Pts',(12.61786370119,-10.81616703289,26.85480023652)); #489707=CARTESIAN_POINT('Ctrl Pts',(16.09468878479,-11.63183027385,28.50582344145)); #489708=CARTESIAN_POINT('Ctrl Pts',(19.57151386839,-12.4474935148,30.15684664638)); #489709=CARTESIAN_POINT('Ctrl Pts',(9.135752436944,-10.00193546722,25.20174279687)); #489710=CARTESIAN_POINT('Ctrl Pts',(12.60076694163,-10.83833869452,26.85792512005)); #489711=CARTESIAN_POINT('Ctrl Pts',(16.06578144632,-11.67474192182,28.51410744324)); #489712=CARTESIAN_POINT('Ctrl Pts',(19.53079595101,-12.51114514913,30.17028976643)); #489713=CARTESIAN_POINT('Ctrl Pts',(9.110269701813,-10.01051654404,25.19251241392)); #489714=CARTESIAN_POINT('Ctrl Pts',(12.52282680546,-10.93840505473,26.87211690842)); #489715=CARTESIAN_POINT('Ctrl Pts',(15.93538390912,-11.86629356541,28.55172140291)); #489716=CARTESIAN_POINT('Ctrl Pts',(19.34794101277,-12.7941820761,30.2313258974)); #489717=CARTESIAN_POINT('Ctrl Pts',(9.057237860118,-10.04021182104,25.17964329939)); #489718=CARTESIAN_POINT('Ctrl Pts',(12.39309539572,-11.09740004304,26.89216549626)); #489719=CARTESIAN_POINT('Ctrl Pts',(15.72895293132,-12.15458826505,28.60468769312)); #489720=CARTESIAN_POINT('Ctrl Pts',(19.06481046692,-13.21177648706,30.31720988998)); #489721=CARTESIAN_POINT('Ctrl Pts',(8.977378524307,-10.09604020231,25.18547555158)); #489722=CARTESIAN_POINT('Ctrl Pts',(12.23593122438,-11.28030743609,26.88525916328)); #489723=CARTESIAN_POINT('Ctrl Pts',(15.49448392445,-12.46457466988,28.58504277497)); #489724=CARTESIAN_POINT('Ctrl Pts',(18.75303662453,-13.64884190366,30.28482638667)); #489725=CARTESIAN_POINT('Ctrl Pts',(8.903676440883,-10.14582750777,25.20581366432)); #489726=CARTESIAN_POINT('Ctrl Pts',(12.07876710207,-11.458023457,26.84961144061)); #489727=CARTESIAN_POINT('Ctrl Pts',(15.25385776325,-12.77021940623,28.49340921691)); #489728=CARTESIAN_POINT('Ctrl Pts',(18.42894842443,-14.08241535546,30.13720699321)); #489729=CARTESIAN_POINT('Ctrl Pts',(8.837136608187,-10.1896054189,25.2199238304)); #489730=CARTESIAN_POINT('Ctrl Pts',(11.92441999536,-11.62735892521,26.8041977718)); #489731=CARTESIAN_POINT('Ctrl Pts',(15.01170338254,-13.06511243152,28.38847171319)); #489732=CARTESIAN_POINT('Ctrl Pts',(18.09898676972,-14.50286593784,29.97274565459)); #489733=CARTESIAN_POINT('Ctrl Pts',(8.777246178604,-10.22824178671,25.22661826069)); #489734=CARTESIAN_POINT('Ctrl Pts',(11.77336116926,-11.78826867557,26.74897225879)); #489735=CARTESIAN_POINT('Ctrl Pts',(14.76947615991,-13.34829556443,28.2713262569)); #489736=CARTESIAN_POINT('Ctrl Pts',(17.76559115057,-14.90832245329,29.79368025501)); #489737=CARTESIAN_POINT('Ctrl Pts',(8.697386281442,-10.28166893734,25.22640379488)); #489738=CARTESIAN_POINT('Ctrl Pts',(11.57374227476,-11.99407669998,26.65329618379)); #489739=CARTESIAN_POINT('Ctrl Pts',(14.45009826807,-13.70648446262,28.08018857271)); #489740=CARTESIAN_POINT('Ctrl Pts',(17.32645426139,-15.41889222526,29.50708096162)); #489741=CARTESIAN_POINT('Ctrl Pts',(8.59913009609,-10.35001308437,25.19622708446)); #489742=CARTESIAN_POINT('Ctrl Pts',(11.32897517475,-12.234784177,26.50481033762)); #489743=CARTESIAN_POINT('Ctrl Pts',(14.05882025342,-14.11955526962,27.81339359079)); #489744=CARTESIAN_POINT('Ctrl Pts',(16.78866533208,-16.00432636225,29.12197684395)); #489745=CARTESIAN_POINT('Ctrl Pts',(8.468247520446,-10.44694806439,25.12971285835)); #489746=CARTESIAN_POINT('Ctrl Pts',(11.0416538388,-12.500854523,26.2893410374)); #489747=CARTESIAN_POINT('Ctrl Pts',(13.61506015715,-14.55476098161,27.44896921644)); #489748=CARTESIAN_POINT('Ctrl Pts',(16.1884664755,-16.60866744022,28.60859739549)); #489749=CARTESIAN_POINT('Ctrl Pts',(8.253276215726,-10.61112861825,25.0089959613)); #489750=CARTESIAN_POINT('Ctrl Pts',(10.65604760521,-12.83813955089,25.98012940966)); #489751=CARTESIAN_POINT('Ctrl Pts',(13.0588189947,-15.06515048353,26.95126285803)); #489752=CARTESIAN_POINT('Ctrl Pts',(15.46159038418,-17.29216141618,27.9223963064)); #489753=CARTESIAN_POINT('Ctrl Pts',(7.914766335356,-10.86636681247,24.80516140719)); #489754=CARTESIAN_POINT('Ctrl Pts',(10.17012286762,-13.23061322335,25.58647619763)); #489755=CARTESIAN_POINT('Ctrl Pts',(12.42547939988,-15.59485963424,26.36779098806)); #489756=CARTESIAN_POINT('Ctrl Pts',(14.68083593215,-17.95910604512,27.1491057785)); #489757=CARTESIAN_POINT('Ctrl Pts',(7.406478534394,-11.2219474943,24.40237354795)); #489758=CARTESIAN_POINT('Ctrl Pts',(9.584404877601,-13.65989632751,25.04686011879)); #489759=CARTESIAN_POINT('Ctrl Pts',(11.76233122081,-16.09784516072,25.69134668963)); #489760=CARTESIAN_POINT('Ctrl Pts',(13.94025756402,-18.53579399393,26.33583326046)); #489761=CARTESIAN_POINT('Ctrl Pts',(6.810879213877,-11.60007318802,23.82345482857)); #489762=CARTESIAN_POINT('Ctrl Pts',(8.988504399596,-14.05600501966,24.43046003824)); #489763=CARTESIAN_POINT('Ctrl Pts',(11.16612958531,-16.51193685131,25.03746524792)); #489764=CARTESIAN_POINT('Ctrl Pts',(13.34375477103,-18.96786868295,25.6444704576)); #489765=CARTESIAN_POINT('Ctrl Pts',(6.12268991681,-11.9912389802,23.07888342496)); #489766=CARTESIAN_POINT('Ctrl Pts',(8.368260836718,-14.42718273212,23.73158102346)); #489767=CARTESIAN_POINT('Ctrl Pts',(10.61383175662,-16.86312648403,24.38427862197)); #489768=CARTESIAN_POINT('Ctrl Pts',(12.85940267653,-19.29907023595,25.03697622048)); #489769=CARTESIAN_POINT('Ctrl Pts',(5.59065450679,-12.25717338597,22.42994039454)); #489770=CARTESIAN_POINT('Ctrl Pts',(7.918341350668,-14.66662800035,23.17525353114)); #489771=CARTESIAN_POINT('Ctrl Pts',(10.24602819454,-17.07608261472,23.92056666774)); #489772=CARTESIAN_POINT('Ctrl Pts',(12.57371503842,-19.4855372291,24.66587980434)); #489773=CARTESIAN_POINT('Ctrl Pts',(5.292954572079,-12.39389059515,22.0623035501)); #489774=CARTESIAN_POINT('Ctrl Pts',(7.673649637753,-14.78737831832,22.86932127183)); #489775=CARTESIAN_POINT('Ctrl Pts',(10.05434470343,-17.18086604149,23.67633899357)); #489776=CARTESIAN_POINT('Ctrl Pts',(12.4350397691,-19.57435376466,24.4833567153)); #489777=CARTESIAN_POINT('Ctrl Pts',(5.271384568846,-12.40373723315,22.03565008281)); #489778=CARTESIAN_POINT('Ctrl Pts',(7.655954164887,-14.79606321718,22.847184334)); #489779=CARTESIAN_POINT('Ctrl Pts',(10.04052376093,-17.1883892012,23.65871858518)); #489780=CARTESIAN_POINT('Ctrl Pts',(12.42509335697,-19.58071518522,24.47025283636)); #489781=CARTESIAN_POINT('',(6.21074264815165,-13.2575280261931,22.4094355865697)); #489782=CARTESIAN_POINT('Ctrl Pts',(6.21074264533854,-13.2575280270556, 22.4094355889935)); #489783=CARTESIAN_POINT('Ctrl Pts',(6.27842082738233,-13.2014169250719, 22.5079507308178)); #489784=CARTESIAN_POINT('Ctrl Pts',(6.34378859123246,-13.144979161171,22.6035649309794)); #489785=CARTESIAN_POINT('Ctrl Pts',(6.4764343097295,-13.0275574081658,22.7966288305778)); #489786=CARTESIAN_POINT('Ctrl Pts',(6.54357800419991,-12.9666856002574, 22.8938143750843)); #489787=CARTESIAN_POINT('Ctrl Pts',(6.61092254142383,-12.9052587401942, 22.9895414557507)); #489788=CARTESIAN_POINT('',(12.3181436727379,-19.4232679131553,24.4636599145975)); #489789=CARTESIAN_POINT('',(12.31814126776,-19.42326548156,24.46365910622)); #489790=CARTESIAN_POINT('',(19.2542254147025,-12.5807314454076,30.0999434963448)); #489791=CARTESIAN_POINT('Ctrl Pts',(12.318143672764,-19.4232679139517,24.4636599149627)); #489792=CARTESIAN_POINT('Ctrl Pts',(12.5526658551229,-19.2745350668559, 24.7712625657598)); #489793=CARTESIAN_POINT('Ctrl Pts',(12.7940359203629,-19.115308504533,25.0814454666677)); #489794=CARTESIAN_POINT('Ctrl Pts',(13.2701847998671,-18.7879421841159, 25.6680554561411)); #489795=CARTESIAN_POINT('Ctrl Pts',(13.5985268028094,-18.5557040369549, 26.0540646965874)); #489796=CARTESIAN_POINT('Ctrl Pts',(14.2644567934176,-18.0458091248498, 26.7914586461937)); #489797=CARTESIAN_POINT('Ctrl Pts',(14.5368665822621,-17.8275699842046, 27.0795455013375)); #489798=CARTESIAN_POINT('Ctrl Pts',(15.0182178997366,-17.4217259040164, 27.5643386804298)); #489799=CARTESIAN_POINT('Ctrl Pts',(15.2118963216532,-17.2529771132016, 27.7538726156783)); #489800=CARTESIAN_POINT('Ctrl Pts',(15.8990975890745,-16.6331891953719, 28.4146745258845)); #489801=CARTESIAN_POINT('Ctrl Pts',(16.259545509066,-16.2731787691913,28.735911138451)); #489802=CARTESIAN_POINT('Ctrl Pts',(16.7806544192751,-15.7324351913815, 29.1522332009743)); #489803=CARTESIAN_POINT('Ctrl Pts',(16.9981456672865,-15.497206162699,29.3091803468815)); #489804=CARTESIAN_POINT('Ctrl Pts',(17.4324776004671,-15.0074266694767, 29.6044209983183)); #489805=CARTESIAN_POINT('Ctrl Pts',(17.5871050303969,-14.8233267163555, 29.6984897053188)); #489806=CARTESIAN_POINT('Ctrl Pts',(17.8344325042811,-14.5248364442949, 29.8359855735591)); #489807=CARTESIAN_POINT('Ctrl Pts',(17.9702965771739,-14.3563722725272, 29.9054139757027)); #489808=CARTESIAN_POINT('Ctrl Pts',(18.1753072307585,-14.0953078357724, 30.0067891188966)); #489809=CARTESIAN_POINT('Ctrl Pts',(18.2827540540373,-13.9557055880091, 30.0589985976829)); #489810=CARTESIAN_POINT('Ctrl Pts',(18.5157007314412,-13.6465305652177, 30.1603545953897)); #489811=CARTESIAN_POINT('Ctrl Pts',(18.6418412960145,-13.4736940042158, 30.1990639511318)); #489812=CARTESIAN_POINT('Ctrl Pts',(18.8583017790833,-13.1674630677818, 30.2008540822498)); #489813=CARTESIAN_POINT('Ctrl Pts',(18.9304879854307,-13.0634343332747, 30.1898591405243)); #489814=CARTESIAN_POINT('Ctrl Pts',(19.0864545226572,-12.8346818805681, 30.1537863585074)); #489815=CARTESIAN_POINT('Ctrl Pts',(19.1737958552669,-12.7038251513065, 30.1261624591268)); #489816=CARTESIAN_POINT('Ctrl Pts',(19.254225414229,-12.5807314452721,30.099943495529)); #489817=CARTESIAN_POINT('Ctrl Pts',(9.32316889569264,-10.0565015902663, 25.2939687836626)); #489818=CARTESIAN_POINT('Ctrl Pts',(10.2532725271628,-10.2929143414759, 25.7440768344584)); #489819=CARTESIAN_POINT('Ctrl Pts',(11.9380056925859,-10.7211363083876, 26.5593793998835)); #489820=CARTESIAN_POINT('Ctrl Pts',(15.9438761790208,-11.7393293068579, 28.4979561157504)); #489821=CARTESIAN_POINT('Ctrl Pts',(17.5990504517731,-12.1600312214768, 29.298950075147)); #489822=CARTESIAN_POINT('Ctrl Pts',(19.2542254146097,-12.5807314462306, 30.0999434961043)); #489823=CARTESIAN_POINT('Ctrl Pts',(9.158314212833,-9.977665819705,25.3659320866)); #489824=CARTESIAN_POINT('Ctrl Pts',(12.61297740721,-10.82677574486,27.03285880589)); #489825=CARTESIAN_POINT('Ctrl Pts',(16.06764060159,-11.67588567001,28.69978552519)); #489826=CARTESIAN_POINT('Ctrl Pts',(19.52230379598,-12.52499559516,30.36671224449)); #489827=CARTESIAN_POINT('Ctrl Pts',(9.146520289147,-9.987666425366,25.30838012227)); #489828=CARTESIAN_POINT('Ctrl Pts',(12.597418674,-10.84540403992,26.97560546629)); #489829=CARTESIAN_POINT('Ctrl Pts',(16.04831705885,-11.70314165448,28.64283081031)); #489830=CARTESIAN_POINT('Ctrl Pts',(19.4992154437,-12.56087926903,30.31005615433)); #489831=CARTESIAN_POINT('Ctrl Pts',(9.121676649519,-10.00848294308,25.18351176583)); #489832=CARTESIAN_POINT('Ctrl Pts',(12.56385413667,-10.88556578962,26.85001910114)); #489833=CARTESIAN_POINT('Ctrl Pts',(16.00603162383,-11.76264863615,28.51652643646)); #489834=CARTESIAN_POINT('Ctrl Pts',(19.44820911098,-12.63973148269,30.18303377177)); #489835=CARTESIAN_POINT('Ctrl Pts',(9.072214389272,-10.04914912968,24.93450672284)); #489836=CARTESIAN_POINT('Ctrl Pts',(12.494840343,-10.96767181878,26.59499458908)); #489837=CARTESIAN_POINT('Ctrl Pts',(15.91746629673,-11.88619450788,28.25548245532)); #489838=CARTESIAN_POINT('Ctrl Pts',(19.34009225045,-12.80471719697,29.91597032157)); #489839=CARTESIAN_POINT('Ctrl Pts',(9.009044673455,-10.10076243443,24.6585747253)); #489840=CARTESIAN_POINT('Ctrl Pts',(12.40686101795,-11.07060981675,26.30797212267)); #489841=CARTESIAN_POINT('Ctrl Pts',(15.80467736244,-12.04045719907,27.95736952003)); #489842=CARTESIAN_POINT('Ctrl Pts',(19.20249370693,-13.01030458138,29.6067669174)); #489843=CARTESIAN_POINT('Ctrl Pts',(8.924407187194,-10.16916457901,24.39404954652)); #489844=CARTESIAN_POINT('Ctrl Pts',(12.28853771228,-11.20600830356,26.03413741005)); #489845=CARTESIAN_POINT('Ctrl Pts',(15.65266823737,-12.2428520281,27.67422527358)); #489846=CARTESIAN_POINT('Ctrl Pts',(19.01679876246,-13.27969575265,29.3143131371)); #489847=CARTESIAN_POINT('Ctrl Pts',(8.823228483885,-10.25284977015,24.17353821874)); #489848=CARTESIAN_POINT('Ctrl Pts',(12.15868942542,-11.3497608902,25.81388332817)); #489849=CARTESIAN_POINT('Ctrl Pts',(15.49415036695,-12.44667201026,27.45422843759)); #489850=CARTESIAN_POINT('Ctrl Pts',(18.82961130848,-13.54358313031,29.09457354702)); #489851=CARTESIAN_POINT('Ctrl Pts',(8.695440757747,-10.35977512701,23.95453990275)); #489852=CARTESIAN_POINT('Ctrl Pts',(12.00915729634,-11.50912081912,25.5971996268)); #489853=CARTESIAN_POINT('Ctrl Pts',(15.32287383492,-12.65846651123,27.23985935085)); #489854=CARTESIAN_POINT('Ctrl Pts',(18.63659037351,-13.80781220334,28.88251907491)); #489855=CARTESIAN_POINT('Ctrl Pts',(8.555211485742,-10.47551127853,23.73465620737)); #489856=CARTESIAN_POINT('Ctrl Pts',(11.8512211234,-11.67199568755,25.37636667817)); #489857=CARTESIAN_POINT('Ctrl Pts',(15.14723076106,-12.86848009658,27.01807714898)); #489858=CARTESIAN_POINT('Ctrl Pts',(18.44324039872,-14.0649645056,28.65978761978)); #489859=CARTESIAN_POINT('Ctrl Pts',(8.405343830826,-10.59654241541,23.49793256115)); #489860=CARTESIAN_POINT('Ctrl Pts',(11.6855587655,-11.83769416436,25.1457803966)); #489861=CARTESIAN_POINT('Ctrl Pts',(14.96577370017,-13.0788459133,26.79362823206)); #489862=CARTESIAN_POINT('Ctrl Pts',(18.24598863484,-14.31999766225,28.44147606751)); #489863=CARTESIAN_POINT('Ctrl Pts',(8.245481829506,-10.72203438534,23.24924051443)); #489864=CARTESIAN_POINT('Ctrl Pts',(11.50942226257,-12.00875265067,24.90884477056)); #489865=CARTESIAN_POINT('Ctrl Pts',(14.77336269564,-13.295470916,26.56844902669)); #489866=CARTESIAN_POINT('Ctrl Pts',(18.0373031287,-14.58218918133,28.22805328282)); #489867=CARTESIAN_POINT('Ctrl Pts',(8.075859945463,-10.85043178971,22.99259380246)); #489868=CARTESIAN_POINT('Ctrl Pts',(11.31920355187,-12.18833585742,24.66146644825)); #489869=CARTESIAN_POINT('Ctrl Pts',(14.56254715828,-13.52623992512,26.33033909404)); #489870=CARTESIAN_POINT('Ctrl Pts',(17.80589076469,-14.86414399283,27.99921173983)); #489871=CARTESIAN_POINT('Ctrl Pts',(7.897281520283,-10.97997946217,22.73110857456)); #489872=CARTESIAN_POINT('Ctrl Pts',(11.11258131566,-12.3778940937,24.39746174522)); #489873=CARTESIAN_POINT('Ctrl Pts',(14.32788111104,-13.77580872524,26.06381491587)); #489874=CARTESIAN_POINT('Ctrl Pts',(17.54318090642,-15.17372335677,27.73016808652)); #489875=CARTESIAN_POINT('Ctrl Pts',(7.713083682057,-11.1065385291,22.46346017101)); #489876=CARTESIAN_POINT('Ctrl Pts',(10.88542765255,-12.58060984192,24.11609597664)); #489877=CARTESIAN_POINT('Ctrl Pts',(14.05777162304,-14.05468115473,25.76873178228)); #489878=CARTESIAN_POINT('Ctrl Pts',(17.23011559354,-15.52875246755,27.42136758791)); #489879=CARTESIAN_POINT('Ctrl Pts',(7.458358382868,-11.27108380414,22.10551756897)); #489880=CARTESIAN_POINT('Ctrl Pts',(10.54516011634,-12.87534364679,23.71926674272)); #489881=CARTESIAN_POINT('Ctrl Pts',(13.63196184981,-14.47960348945,25.33301591647)); #489882=CARTESIAN_POINT('Ctrl Pts',(16.71876358328,-16.08386333211,26.94676509021)); #489883=CARTESIAN_POINT('Ctrl Pts',(7.114723423042,-11.4774751602,21.66958447725)); #489884=CARTESIAN_POINT('Ctrl Pts',(10.04896717387,-13.27977669168,23.16911399604)); #489885=CARTESIAN_POINT('Ctrl Pts',(12.9832109247,-15.08207822315,24.66864351484)); #489886=CARTESIAN_POINT('Ctrl Pts',(15.91745467552,-16.88437975463,26.16817303363)); #489887=CARTESIAN_POINT('Ctrl Pts',(6.711882323439,-11.7103323724,21.1580458185)); #489888=CARTESIAN_POINT('Ctrl Pts',(9.530049975319,-13.65800999178,22.56098997035)); #489889=CARTESIAN_POINT('Ctrl Pts',(12.3482176272,-15.60568761117,23.9639341222)); #489890=CARTESIAN_POINT('Ctrl Pts',(15.16638527908,-17.55336523056,25.36687827404)); #489891=CARTESIAN_POINT('Ctrl Pts',(6.357313335184,-11.90125587192,20.70453717589)); #489892=CARTESIAN_POINT('Ctrl Pts',(9.084323636001,-13.95827143486,22.03483246108)); #489893=CARTESIAN_POINT('Ctrl Pts',(11.81133393682,-16.01528699779,23.36512774626)); #489894=CARTESIAN_POINT('Ctrl Pts',(14.53834423764,-18.07230256073,24.69542303144)); #489895=CARTESIAN_POINT('Ctrl Pts',(6.074942493476,-12.04702998168,20.33534974007)); #489896=CARTESIAN_POINT('Ctrl Pts',(8.744037707862,-14.17451774683,21.61090930123)); #489897=CARTESIAN_POINT('Ctrl Pts',(11.41313292225,-16.30200551198,22.88646886239)); #489898=CARTESIAN_POINT('Ctrl Pts',(14.08222813663,-18.42949327713,24.16202842354)); #489899=CARTESIAN_POINT('Ctrl Pts',(5.773317167284,-12.19500695981,19.93750829347)); #489900=CARTESIAN_POINT('Ctrl Pts',(8.392482364931,-14.38525814754,21.16308274629)); #489901=CARTESIAN_POINT('Ctrl Pts',(11.01164756258,-16.57550933526,22.38865719912)); #489902=CARTESIAN_POINT('Ctrl Pts',(13.63081276022,-18.76576052298,23.61423165194)); #489903=CARTESIAN_POINT('Ctrl Pts',(5.354952020936,-12.38812987931,19.35291967785)); #489904=CARTESIAN_POINT('Ctrl Pts',(7.911703425007,-14.6569974804,20.52608575798)); #489905=CARTESIAN_POINT('Ctrl Pts',(10.46845482908,-16.9258650815,21.69925183812)); #489906=CARTESIAN_POINT('Ctrl Pts',(13.02520623315,-19.1947326826,22.87241791826)); #489907=CARTESIAN_POINT('Ctrl Pts',(4.787846953018,-12.62512784308,18.55565703457)); #489908=CARTESIAN_POINT('Ctrl Pts',(7.266711122406,-14.99026172027,19.64299747768)); #489909=CARTESIAN_POINT('Ctrl Pts',(9.745575291795,-17.35539559746,20.73033792079)); #489910=CARTESIAN_POINT('Ctrl Pts',(12.22443946118,-19.72052947464,21.8176783639)); #489911=CARTESIAN_POINT('Ctrl Pts',(4.151111484475,-12.85200485386,17.64012713686)); #489912=CARTESIAN_POINT('Ctrl Pts',(6.565061194728,-15.30305982149,18.61373679967)); #489913=CARTESIAN_POINT('Ctrl Pts',(8.979010904981,-17.75411478912,19.58734646248)); #489914=CARTESIAN_POINT('Ctrl Pts',(11.39296061523,-20.20516975675,20.56095612529)); #489915=CARTESIAN_POINT('Ctrl Pts',(3.595335054105,-13.02923681832,16.73325689777)); #489916=CARTESIAN_POINT('Ctrl Pts',(6.029768509756,-15.51081106281,17.74608954485)); #489917=CARTESIAN_POINT('Ctrl Pts',(8.464201965407,-17.9923853073,18.75892219193)); #489918=CARTESIAN_POINT('Ctrl Pts',(10.89863542106,-20.47395955178,19.77175483902)); #489919=CARTESIAN_POINT('Ctrl Pts',(3.150423168253,-13.15647377524,16.01072526417)); #489920=CARTESIAN_POINT('Ctrl Pts',(5.60948286046,-15.66038702445,17.04993423871)); #489921=CARTESIAN_POINT('Ctrl Pts',(8.068542552667,-18.16430027365,18.08914321325)); #489922=CARTESIAN_POINT('Ctrl Pts',(10.52760224487,-20.66821352286,19.12835218779)); #489923=CARTESIAN_POINT('Ctrl Pts',(2.698732454027,-13.27044600114,15.19191701402)); #489924=CARTESIAN_POINT('Ctrl Pts',(5.185898781396,-15.79762828236,16.2832398102)); #489925=CARTESIAN_POINT('Ctrl Pts',(7.673065108764,-18.32481056358,17.37456260638)); #489926=CARTESIAN_POINT('Ctrl Pts',(10.16023143613,-20.8519928448,18.46588540256)); #489927=CARTESIAN_POINT('Ctrl Pts',(2.299653867576,-13.35801736549,14.46522179681)); #489928=CARTESIAN_POINT('Ctrl Pts',(4.821163385965,-15.90333436306,15.61505467908)); #489929=CARTESIAN_POINT('Ctrl Pts',(7.342672904354,-18.44865136063,16.76488756134)); #489930=CARTESIAN_POINT('Ctrl Pts',(9.864182422743,-20.99396835821,17.91472044361)); #489931=CARTESIAN_POINT('Ctrl Pts',(2.007831861491,-13.41447112808,13.85504087606)); #489932=CARTESIAN_POINT('Ctrl Pts',(4.552256265112,-15.97552167765,15.05864625608)); #489933=CARTESIAN_POINT('Ctrl Pts',(7.096680668733,-18.53657222723,16.2622516361)); #489934=CARTESIAN_POINT('Ctrl Pts',(9.641105072354,-21.0976227768,17.46585701612)); #489935=CARTESIAN_POINT('Ctrl Pts',(1.778798671073,-13.45582242792,13.39029831538)); #489936=CARTESIAN_POINT('Ctrl Pts',(4.346013938443,-16.02750689322,14.63510689502)); #489937=CARTESIAN_POINT('Ctrl Pts',(6.913229205812,-18.59919135851,15.87991547466)); #489938=CARTESIAN_POINT('Ctrl Pts',(9.480444473182,-21.17087582381,17.1247240543)); #489939=CARTESIAN_POINT('Ctrl Pts',(1.554973784111,-13.49254115681,12.90862107229)); #489940=CARTESIAN_POINT('Ctrl Pts',(4.144899582178,-16.07519094938,14.19915576364)); #489941=CARTESIAN_POINT('Ctrl Pts',(6.734825380245,-18.65784074195,15.489690455)); #489942=CARTESIAN_POINT('Ctrl Pts',(9.324751178312,-21.24049053452,16.78022514635)); #489943=CARTESIAN_POINT('Ctrl Pts',(1.274924753361,-13.53396159138,12.23400372085)); #489944=CARTESIAN_POINT('Ctrl Pts',(3.890701917926,-16.13239319093,13.59519398603)); #489945=CARTESIAN_POINT('Ctrl Pts',(6.506479082491,-18.73082479048,14.95638425121)); #489946=CARTESIAN_POINT('Ctrl Pts',(9.122256247055,-21.32925639003,16.3175745164)); #489947=CARTESIAN_POINT('Ctrl Pts',(0.954673152717,-13.57491732792,11.47212749207)); #489948=CARTESIAN_POINT('Ctrl Pts',(3.606246776058,-16.18994492885,12.91903689428)); #489949=CARTESIAN_POINT('Ctrl Pts',(6.2578203994,-18.80497252978,14.36594629648)); #489950=CARTESIAN_POINT('Ctrl Pts',(8.909394022741,-21.42000013071,15.81285569868)); #489951=CARTESIAN_POINT('Ctrl Pts',(0.6852548422158,-13.602256937,10.72214736059)); #489952=CARTESIAN_POINT('Ctrl Pts',(3.364182093115,-16.23398412831,12.2627253087)); #489953=CARTESIAN_POINT('Ctrl Pts',(6.043109344014,-18.86571131962,13.80330325682)); #489954=CARTESIAN_POINT('Ctrl Pts',(8.722036594913,-21.49743851093,15.34388120493)); #489955=CARTESIAN_POINT('Ctrl Pts',(0.5441743575976,-13.6143323226,10.27581352878)); #489956=CARTESIAN_POINT('Ctrl Pts',(3.234625308654,-16.25641910942,11.87562963281)); #489957=CARTESIAN_POINT('Ctrl Pts',(5.92507625971,-18.89850589625,13.47544573684)); #489958=CARTESIAN_POINT('Ctrl Pts',(8.615527210766,-21.54059268307,15.07526184087)); #489959=CARTESIAN_POINT('Ctrl Pts',(0.4840571958088,-13.61917080145,10.08696151993)); #489960=CARTESIAN_POINT('Ctrl Pts',(3.179689188808,-16.26561514307,11.7123387794)); #489961=CARTESIAN_POINT('Ctrl Pts',(5.875321181807,-18.9120594847,13.33771603886)); #489962=CARTESIAN_POINT('Ctrl Pts',(8.570953174806,-21.55850382633,14.96309329833)); #489963=CARTESIAN_POINT('Ctrl Pts',(0.4655498758037,-13.6206407308,10.02946490749)); #489964=CARTESIAN_POINT('Ctrl Pts',(3.162836092385,-16.26840510229,11.6626625636)); #489965=CARTESIAN_POINT('Ctrl Pts',(5.860122308966,-18.91616947379,13.29586021971)); #489966=CARTESIAN_POINT('Ctrl Pts',(8.557408525547,-21.56393384529,14.92905787582)); #489967=CARTESIAN_POINT('',(8.44218342793731,-21.3946146356274,14.9380251360875)); #489968=CARTESIAN_POINT('Ctrl Pts',(19.2542254141131,-12.5807314454494, 30.0999434966056)); #489969=CARTESIAN_POINT('Ctrl Pts',(19.209858545704,-12.6486328778082,29.9888140814397)); #489970=CARTESIAN_POINT('Ctrl Pts',(19.1645985832234,-12.7171208014786, 29.8762335604397)); #489971=CARTESIAN_POINT('Ctrl Pts',(19.0628758176945,-12.869212375899,29.6426074205345)); #489972=CARTESIAN_POINT('Ctrl Pts',(19.0154789736811,-12.9390557278388, 29.5456300059411)); #489973=CARTESIAN_POINT('Ctrl Pts',(18.8518909856093,-13.1775188438246, 29.2533699491486)); #489974=CARTESIAN_POINT('Ctrl Pts',(18.737426201207,-13.3404927390233,29.1158476773229)); #489975=CARTESIAN_POINT('Ctrl Pts',(18.5378977118579,-13.6145228607219, 28.8902150522222)); #489976=CARTESIAN_POINT('Ctrl Pts',(18.4669381009802,-13.7123517987784, 28.811569988426)); #489977=CARTESIAN_POINT('Ctrl Pts',(18.1003700471339,-14.1955194154598, 28.39168843357)); #489978=CARTESIAN_POINT('Ctrl Pts',(17.6339195603458,-14.8016918182581, 27.8850788677956)); #489979=CARTESIAN_POINT('Ctrl Pts',(16.7372837347354,-15.7783211545374, 27.0354436334168)); #489980=CARTESIAN_POINT('Ctrl Pts',(16.5298759072138,-15.9965458660825, 26.8411639267888)); #489981=CARTESIAN_POINT('Ctrl Pts',(15.9847215267471,-16.542733058485,26.3091658842905)); #489982=CARTESIAN_POINT('Ctrl Pts',(15.7047915572732,-16.8087476716633, 26.026913500749)); #489983=CARTESIAN_POINT('Ctrl Pts',(15.2232015389401,-17.2426001908915, 25.5207170109381)); #489984=CARTESIAN_POINT('Ctrl Pts',(15.051715181842,-17.3924385542519,25.3373496159962)); #489985=CARTESIAN_POINT('Ctrl Pts',(14.5741675249468,-17.7975810504295, 24.825925841201)); #489986=CARTESIAN_POINT('Ctrl Pts',(14.3232274799853,-17.9990460159613, 24.5521504314255)); #489987=CARTESIAN_POINT('Ctrl Pts',(13.7888089249478,-18.4113066646278, 23.921939908647)); #489988=CARTESIAN_POINT('Ctrl Pts',(13.4856326823906,-18.6339543867134, 23.5521059035059)); #489989=CARTESIAN_POINT('Ctrl Pts',(13.1245286333602,-18.8883167040275, 23.1063645181103)); #489990=CARTESIAN_POINT('Ctrl Pts',(13.0068500119417,-18.9695221424842, 22.9591637056643)); #489991=CARTESIAN_POINT('Ctrl Pts',(12.6505126604952,-19.2105722580183, 22.5038332942115)); #489992=CARTESIAN_POINT('Ctrl Pts',(12.4186791453778,-19.3610036839286, 22.195551005002)); #489993=CARTESIAN_POINT('Ctrl Pts',(11.9774897753176,-19.6367810821138, 21.5804897211108)); #489994=CARTESIAN_POINT('Ctrl Pts',(11.7679623149852,-19.7627583540135, 21.2742673410816)); #489995=CARTESIAN_POINT('Ctrl Pts',(11.4025693619149,-19.9754781722309, 20.7261061066153)); #489996=CARTESIAN_POINT('Ctrl Pts',(11.234029492763,-20.0705826452579,20.4672949653802)); #489997=CARTESIAN_POINT('Ctrl Pts',(10.9519344720537,-20.2256228191398, 20.0235762997444)); #489998=CARTESIAN_POINT('Ctrl Pts',(10.8312572751019,-20.2904920267141, 19.8289853612011)); #489999=CARTESIAN_POINT('Ctrl Pts',(10.4932485380697,-20.4682491890662, 19.2601200522115)); #490000=CARTESIAN_POINT('Ctrl Pts',(10.2704766325385,-20.5807331072264, 18.8629544852677)); #490001=CARTESIAN_POINT('Ctrl Pts',(9.73956297903307,-20.8393080730952, 17.8949316560235)); #490002=CARTESIAN_POINT('Ctrl Pts',(9.49138471852051,-20.9527100837707, 17.4119680611234)); #490003=CARTESIAN_POINT('Ctrl Pts',(9.06936934815453,-21.1368456300498, 16.4676153978223)); #490004=CARTESIAN_POINT('Ctrl Pts',(8.93132324421762,-21.1954939618426, 16.1464448243084)); #490005=CARTESIAN_POINT('Ctrl Pts',(8.66132187535646,-21.3074424794484, 15.4963554792539)); #490006=CARTESIAN_POINT('Ctrl Pts',(8.54956197249108,-21.3522438051063, 15.2101584989297)); #490007=CARTESIAN_POINT('Ctrl Pts',(8.44218347627903,-21.3946146997987, 14.9380251270042)); #490008=CARTESIAN_POINT('',(1.81721328866088,-14.8895176481587,10.9767683670588)); #490009=CARTESIAN_POINT('',(1.817213280994,-14.88951763186,10.97676835723)); #490010=CARTESIAN_POINT('',(1.82478104171929,-14.8885920808444,10.9999999086084)); #490011=CARTESIAN_POINT('',(1.82478181598314,-14.8885919859487,10.9999994708237)); #490012=CARTESIAN_POINT('Ctrl Pts',(1.82478026910415,-14.8885921755379, 11.0000001639992)); #490013=CARTESIAN_POINT('Ctrl Pts',(1.8905398983254,-14.88053252339,11.201926307407)); #490014=CARTESIAN_POINT('Ctrl Pts',(1.96929477593157,-14.8706785966531, 11.4467180008354)); #490015=CARTESIAN_POINT('Ctrl Pts',(2.1850579829206,-14.840298798525,12.0334996491092)); #490016=CARTESIAN_POINT('Ctrl Pts',(2.27967108865529,-14.8261153392395, 12.2724469408472)); #490017=CARTESIAN_POINT('Ctrl Pts',(2.44669050379623,-14.799259829383,12.6799552462101)); #490018=CARTESIAN_POINT('Ctrl Pts',(2.51256982153873,-14.7882241708654, 12.837367307438)); #490019=CARTESIAN_POINT('Ctrl Pts',(2.64625621199578,-14.7648892703606, 13.1566691101339)); #490020=CARTESIAN_POINT('Ctrl Pts',(2.74573584595877,-14.746880476668,13.3965239686434)); #490021=CARTESIAN_POINT('Ctrl Pts',(2.96899400720519,-14.7038051288887, 13.8950518425221)); #490022=CARTESIAN_POINT('Ctrl Pts',(3.09356506280507,-14.6780034031323, 14.1487505389457)); #490023=CARTESIAN_POINT('Ctrl Pts',(3.29081605241752,-14.6348067924847, 14.5544923831957)); #490024=CARTESIAN_POINT('Ctrl Pts',(3.35306148370773,-14.6205822484805, 14.6842096815976)); #490025=CARTESIAN_POINT('Ctrl Pts',(3.5026215080564,-14.5855818300419,14.9850632726877)); #490026=CARTESIAN_POINT('Ctrl Pts',(3.5863304579486,-14.5651933977357,15.1446179558122)); #490027=CARTESIAN_POINT('Ctrl Pts',(3.82077278533836,-14.5061165160737, 15.5818260912298)); #490028=CARTESIAN_POINT('Ctrl Pts',(4.06511692358133,-14.4398972769076, 16.0263474300154)); #490029=CARTESIAN_POINT('Ctrl Pts',(4.3941431900892,-14.3427741027323,16.6044081621882)); #490030=CARTESIAN_POINT('Ctrl Pts',(4.53278659615382,-14.299467561002,16.8351540468206)); #490031=CARTESIAN_POINT('Ctrl Pts',(4.76083309712209,-14.2247514154555, 17.209147993053)); #490032=CARTESIAN_POINT('Ctrl Pts',(4.87660071461106,-14.1855622919653, 17.3982505738393)); #490033=CARTESIAN_POINT('Ctrl Pts',(5.26642777370987,-14.0484386251036, 18.0271474770738)); #490034=CARTESIAN_POINT('Ctrl Pts',(5.51444534424097,-13.9546343885801, 18.4023585392539)); #490035=CARTESIAN_POINT('Ctrl Pts',(5.86001689762994,-13.8079760269017, 18.897441018332)); #490036=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #490037=CARTESIAN_POINT('',(14.5527970485322,-3.63539511361383,11.1890452634473)); #490038=CARTESIAN_POINT('Ctrl Pts',(14.9862942174652,-0.641081607573125, 18.8974407659681)); #490039=CARTESIAN_POINT('Ctrl Pts',(14.9589835444361,-1.27951168645351, 17.8274772042419)); #490040=CARTESIAN_POINT('Ctrl Pts',(14.9041555151814,-1.72484047780194, 16.8899705705366)); #490041=CARTESIAN_POINT('Ctrl Pts',(14.8416593646375,-2.17743861567529, 15.8561560974053)); #490042=CARTESIAN_POINT('Ctrl Pts',(14.8189687715442,-2.3267226022693,15.503750622605)); #490043=CARTESIAN_POINT('Ctrl Pts',(14.7763079740609,-2.58446357464942, 14.8499186601887)); #490044=CARTESIAN_POINT('Ctrl Pts',(14.755084609978,-2.70157226902769,14.5182472038761)); #490045=CARTESIAN_POINT('Ctrl Pts',(14.7055955170705,-2.96158295269167, 13.7400807184315)); #490046=CARTESIAN_POINT('Ctrl Pts',(14.6715423341494,-3.12486638122847, 13.2078341367742)); #490047=CARTESIAN_POINT('Ctrl Pts',(14.6264478223171,-3.32744801790815, 12.4581248099224)); #490048=CARTESIAN_POINT('Ctrl Pts',(14.6117555654922,-3.39125851153341, 12.20418398026)); #490049=CARTESIAN_POINT('Ctrl Pts',(14.5826287708361,-3.51438580965395, 11.6994249642816)); #490050=CARTESIAN_POINT('Ctrl Pts',(14.5679484403179,-3.57474285385792, 11.4444664814114)); #490051=CARTESIAN_POINT('Ctrl Pts',(14.5527971212769,-3.63539488184645, 11.1890452040969)); #490052=CARTESIAN_POINT('',(14.7067230693094,-2.95166055869528,10.9999997721144)); #490053=CARTESIAN_POINT('Origin',(0.,0.,11.)); #490054=CARTESIAN_POINT('Ctrl Pts',(14.7067229341748,-2.95166064062545, 10.9999993997235)); #490055=CARTESIAN_POINT('Ctrl Pts',(14.6837417470334,-3.06616498009282, 11.033531133859)); #490056=CARTESIAN_POINT('Ctrl Pts',(14.6593730937725,-3.18065143250658, 11.0661123671552)); #490057=CARTESIAN_POINT('Ctrl Pts',(14.6080443710832,-3.40860314476706, 11.1291178820354)); #490058=CARTESIAN_POINT('Ctrl Pts',(14.5811127274335,-3.52204509443707, 11.1595511213208)); #490059=CARTESIAN_POINT('Ctrl Pts',(14.5527970491768,-3.63539517046942, 11.1890450455606)); #490060=CARTESIAN_POINT('Ctrl Pts',(14.3617449334,1.817647187365,22.46455378284)); #490061=CARTESIAN_POINT('Ctrl Pts',(17.04862560206,3.157190452626,23.16176909464)); #490062=CARTESIAN_POINT('Ctrl Pts',(19.73550627072,4.496733717888,23.85898440644)); #490063=CARTESIAN_POINT('Ctrl Pts',(22.42238693937,5.83627698315,24.55619971824)); #490064=CARTESIAN_POINT('Ctrl Pts',(14.36553347423,1.788977362055,22.43258744132)); #490065=CARTESIAN_POINT('Ctrl Pts',(17.05247876615,3.133034712166,23.13471337915)); #490066=CARTESIAN_POINT('Ctrl Pts',(19.73942405807,4.477092062276,23.83683931699)); #490067=CARTESIAN_POINT('Ctrl Pts',(22.42636934999,5.821149412387,24.53896525482)); #490068=CARTESIAN_POINT('Ctrl Pts',(14.41634909513,1.396863574516,21.99263853091)); #490069=CARTESIAN_POINT('Ctrl Pts',(17.10437062785,2.802938247046,22.76300063504)); #490070=CARTESIAN_POINT('Ctrl Pts',(19.79239216057,4.209012919576,23.53336273916)); #490071=CARTESIAN_POINT('Ctrl Pts',(22.48041369329,5.615087592106,24.30372484329)); #490072=CARTESIAN_POINT('Ctrl Pts',(14.48381046908,0.6733942036209,21.10890388608)); #490073=CARTESIAN_POINT('Ctrl Pts',(17.17900531503,2.200873335022,22.03274794255)); #490074=CARTESIAN_POINT('Ctrl Pts',(19.87420016098,3.728352466422,22.95659199901)); #490075=CARTESIAN_POINT('Ctrl Pts',(22.56939500694,5.255831597823,23.88043605547)); #490076=CARTESIAN_POINT('Ctrl Pts',(14.51563448411,-0.6095828568825,19.31483711175)); #490077=CARTESIAN_POINT('Ctrl Pts',(17.24670114064,1.140420854318,20.57240768163)); #490078=CARTESIAN_POINT('Ctrl Pts',(19.97776779717,2.890424565518,21.8299782515)); #490079=CARTESIAN_POINT('Ctrl Pts',(22.7088344537,4.640428276718,23.08754882137)); #490080=CARTESIAN_POINT('Ctrl Pts',(14.43479446231,-1.7130624489,17.39939220249)); #490081=CARTESIAN_POINT('Ctrl Pts',(17.23136538797,0.21064754904,19.0282848367)); #490082=CARTESIAN_POINT('Ctrl Pts',(20.02793631363,2.13435754698,20.65717747092)); #490083=CARTESIAN_POINT('Ctrl Pts',(22.82450723929,4.05806754492,22.28607010514)); #490084=CARTESIAN_POINT('Ctrl Pts',(14.32456938987,-2.484548233364,15.65273895769)); #490085=CARTESIAN_POINT('Ctrl Pts',(17.19162664605,-0.5108653376527,17.53949964244)); #490086=CARTESIAN_POINT('Ctrl Pts',(20.05868390223,1.462817558058,19.42626032719)); #490087=CARTESIAN_POINT('Ctrl Pts',(22.92574115842,3.436500453769,21.31302101194)); #490088=CARTESIAN_POINT('Ctrl Pts',(14.24984461609,-2.887891160722,14.65068788907)); #490089=CARTESIAN_POINT('Ctrl Pts',(17.16136689673,-0.9184657431763,16.63610323099)); #490090=CARTESIAN_POINT('Ctrl Pts',(20.07288917737,1.050959674369,18.6215185729)); #490091=CARTESIAN_POINT('Ctrl Pts',(22.98441145801,3.020385091915,20.60693391482)); #490092=CARTESIAN_POINT('Ctrl Pts',(14.19691763077,-3.147470418998,13.89066048311)); #490093=CARTESIAN_POINT('Ctrl Pts',(17.14081495607,-1.209624741791,15.91825500222)); #490094=CARTESIAN_POINT('Ctrl Pts',(20.08471228137,0.7282209354167,17.94584952133)); #490095=CARTESIAN_POINT('Ctrl Pts',(23.02860960667,2.666066612624,19.97344404044)); #490096=CARTESIAN_POINT('Ctrl Pts',(14.14238486608,-3.380464565341,13.13223183215)); #490097=CARTESIAN_POINT('Ctrl Pts',(17.11871580542,-1.497232636257,15.15303760259)); #490098=CARTESIAN_POINT('Ctrl Pts',(20.09504674476,0.3859992928272,17.17384337304)); #490099=CARTESIAN_POINT('Ctrl Pts',(23.07137768411,2.269231221911,19.19464914348)); #490100=CARTESIAN_POINT('Ctrl Pts',(14.08777238093,-3.588602928094,12.37992242511)); #490101=CARTESIAN_POINT('Ctrl Pts',(17.09573850096,-1.788579691331,14.32820730408)); #490102=CARTESIAN_POINT('Ctrl Pts',(20.10370462099,0.01144354543115,16.27649218305)); #490103=CARTESIAN_POINT('Ctrl Pts',(23.11167074102,1.811466782193,18.22477706201)); #490104=CARTESIAN_POINT('Ctrl Pts',(14.0474467968,-3.734150816791,11.76065097919)); #490105=CARTESIAN_POINT('Ctrl Pts',(17.07807910637,-2.023100977985,13.56987465076)); #490106=CARTESIAN_POINT('Ctrl Pts',(20.10871141594,-0.3120511391794,15.37909832234)); #490107=CARTESIAN_POINT('Ctrl Pts',(23.13934372551,1.398998699626,17.18832199392)); #490108=CARTESIAN_POINT('Ctrl Pts',(14.01410062728,-3.842179247719,11.27595002841)); #490109=CARTESIAN_POINT('Ctrl Pts',(17.06193263004,-2.220228232745,12.90976146031)); #490110=CARTESIAN_POINT('Ctrl Pts',(20.1097646328,-0.5982772177703,14.54357289221)); #490111=CARTESIAN_POINT('Ctrl Pts',(23.15759663556,1.023673797204,16.17738432412)); #490112=CARTESIAN_POINT('Ctrl Pts',(13.99639223036,-3.898959808479,11.02572161646)); #490113=CARTESIAN_POINT('Ctrl Pts',(17.05241421653,-2.320414772194,12.53726348084)); #490114=CARTESIAN_POINT('Ctrl Pts',(20.10843620269,-0.7418697359085,14.04880534522)); #490115=CARTESIAN_POINT('Ctrl Pts',(23.16445818885,0.8366753003767,15.5603472096)); #490116=CARTESIAN_POINT('Ctrl Pts',(13.98711405849,-3.928420289729,10.89590176619)); #490117=CARTESIAN_POINT('Ctrl Pts',(17.0472766617,-2.374828679884,12.33364435077)); #490118=CARTESIAN_POINT('Ctrl Pts',(20.10743926492,-0.8212370700394,13.77138693535)); #490119=CARTESIAN_POINT('Ctrl Pts',(23.16760186814,0.7323545398056,15.20912951992)); #490120=CARTESIAN_POINT('Ctrl Pts',(13.98620371344,-3.931307935453,10.88317586084)); #490121=CARTESIAN_POINT('Ctrl Pts',(17.04677125362,-2.380189581819,12.31358188772)); #490122=CARTESIAN_POINT('Ctrl Pts',(20.10733879379,-0.8290712281838,13.7439879146)); #490123=CARTESIAN_POINT('Ctrl Pts',(23.16790633396,0.722047125451,15.17439394148)); #490124=CARTESIAN_POINT('',(22.990446519883,0.662848383825623,15.1940291643179)); #490125=CARTESIAN_POINT('',(22.99043794698,0.6628440454381,15.19402517478)); #490126=CARTESIAN_POINT('Ctrl Pts',(22.9904465376835,0.662848393269083, 15.1940291616651)); #490127=CARTESIAN_POINT('Ctrl Pts',(22.9889565171579,0.71452874378209,15.367999608659)); #490128=CARTESIAN_POINT('Ctrl Pts',(22.9871515263235,0.770679452830906, 15.5554055809363)); #490129=CARTESIAN_POINT('Ctrl Pts',(22.9833293416288,0.877018985572143, 15.8959321525498)); #490130=CARTESIAN_POINT('Ctrl Pts',(22.9813052265497,0.929063048540985, 16.0563731585096)); #490131=CARTESIAN_POINT('Ctrl Pts',(22.9743808051824,1.09001667084731,16.5205722795549)); #490132=CARTESIAN_POINT('Ctrl Pts',(22.9672452214834,1.22981600469828,16.8887158316538)); #490133=CARTESIAN_POINT('Ctrl Pts',(22.9528192055331,1.48227099517561,17.5447100745697)); #490134=CARTESIAN_POINT('Ctrl Pts',(22.9401588912751,1.67265908129995,18.0171032653525)); #490135=CARTESIAN_POINT('Ctrl Pts',(22.9126481387349,2.00586245521342,18.7479869498526)); #490136=CARTESIAN_POINT('Ctrl Pts',(22.9013504303508,2.13082303602772,19.008141686358)); #490137=CARTESIAN_POINT('Ctrl Pts',(22.877813199108,2.37124123566649,19.495261176487)); #490138=CARTESIAN_POINT('Ctrl Pts',(22.8633883029254,2.50740612727127,19.7612563019781)); #490139=CARTESIAN_POINT('Ctrl Pts',(22.8150755636946,2.92338625519309,20.5301735121891)); #490140=CARTESIAN_POINT('Ctrl Pts',(22.7738281249185,3.23398853959358,21.0621223916469)); #490141=CARTESIAN_POINT('Ctrl Pts',(22.7089942169569,3.64834265006139,21.7158511802017)); #490142=CARTESIAN_POINT('Ctrl Pts',(22.6934620276662,3.74376321798502,21.8627721786656)); #490143=CARTESIAN_POINT('Ctrl Pts',(22.65239969115,3.98643899770193,22.228444735854)); #490144=CARTESIAN_POINT('Ctrl Pts',(22.6254869853288,4.13678583439,22.4469154174601)); #490145=CARTESIAN_POINT('Ctrl Pts',(22.5540829503971,4.51250979400217,22.9720284581649)); #490146=CARTESIAN_POINT('Ctrl Pts',(22.5059720253633,4.74655925724506,23.2835122724637)); #490147=CARTESIAN_POINT('Ctrl Pts',(22.4323637981195,5.07972773212426,23.7096584451777)); #490148=CARTESIAN_POINT('Ctrl Pts',(22.4058466956272,5.19559620599979,23.8536831136022)); #490149=CARTESIAN_POINT('Ctrl Pts',(22.3456508121008,5.44881569763021,24.1584052558051)); #490150=CARTESIAN_POINT('Ctrl Pts',(22.3131823083271,5.5803831451181,24.311864973268)); #490151=CARTESIAN_POINT('Ctrl Pts',(22.279141251379,5.71313093691784,24.4636599146423)); #490152=CARTESIAN_POINT('Origin',(2.05929134501E-10,-9.881025527621E-11, 35.)); #490153=CARTESIAN_POINT('',(22.9562658116006,1.41769405046126,14.9380251355495)); #490154=CARTESIAN_POINT('Ctrl Pts',(22.9562658943176,1.41769396692011,14.9380248820735)); #490155=CARTESIAN_POINT('Ctrl Pts',(22.9640045211571,1.29238485259551,14.9818498908086)); #490156=CARTESIAN_POINT('Ctrl Pts',(22.9707293549224,1.1668141558245,15.0250941769091)); #490157=CARTESIAN_POINT('Ctrl Pts',(22.9821301338627,0.915181228886592, 15.1104288584046)); #490158=CARTESIAN_POINT('Ctrl Pts',(22.9868057578975,0.789126373925984, 15.1525167609242)); #490159=CARTESIAN_POINT('Ctrl Pts',(22.9904465384968,0.662848365061784, 15.194029105607)); #490160=CARTESIAN_POINT('Ctrl Pts',(17.9148694875637,14.4241967279399,30.0999434964291)); #490161=CARTESIAN_POINT('Ctrl Pts',(17.9657374709731,14.3610186320589,29.9888140812083)); #490162=CARTESIAN_POINT('Ctrl Pts',(18.0168872594853,14.2968099175026,29.876233560224)); #490163=CARTESIAN_POINT('Ctrl Pts',(18.1301008791772,14.1530669372531,29.6426074202538)); #490164=CARTESIAN_POINT('Ctrl Pts',(18.1818794238824,14.0864070772032,29.5456300056603)); #490165=CARTESIAN_POINT('Ctrl Pts',(18.3581198558459,13.8571364997842,29.2533699488837)); #490166=CARTESIAN_POINT('Ctrl Pts',(18.4777456772337,13.69791231742,29.1158476770391)); #490167=CARTESIAN_POINT('Ctrl Pts',(18.6767061160203,13.4234694829161,28.8902150519819)); #490168=CARTESIAN_POINT('Ctrl Pts',(18.7478192394596,13.3257520780647,28.8115699880741)); #490169=CARTESIAN_POINT('Ctrl Pts',(19.0940631922662,12.8278181360294,28.3916884323058)); #490170=CARTESIAN_POINT('Ctrl Pts',(19.5264262782974,12.1968797871982,27.885078866717)); #490171=CARTESIAN_POINT('Ctrl Pts',(20.1781802646553,11.0423333803278,27.035443632307)); #490172=CARTESIAN_POINT('Ctrl Pts',(20.3216317551417,10.7776416698662,26.841163925566)); #490173=CARTESIAN_POINT('Ctrl Pts',(20.6726246752906,10.0903879196188,26.3091658831914)); #490174=CARTESIAN_POINT('Ctrl Pts',(20.839116488694,9.74195566194378,26.0269134997061)); #490175=CARTESIAN_POINT('Ctrl Pts',(21.1029152542455,9.14986853523099,25.5207170097722)); #490176=CARTESIAN_POINT('Ctrl Pts',(21.1924278075826,8.9404727168591,25.337349614542)); #490177=CARTESIAN_POINT('Ctrl Pts',(21.4301708766747,8.36110199009484,24.8259258402709)); #490178=CARTESIAN_POINT('Ctrl Pts',(21.5442307058922,8.06018772854899,24.5521504318593)); #490179=CARTESIAN_POINT('Ctrl Pts',(21.77116946618,7.42452993418032,23.921939910602)); #490180=CARTESIAN_POINT('Ctrl Pts',(21.8892334219408,7.06739026310019,23.5521059053387)); #490181=CARTESIAN_POINT('Ctrl Pts',(22.0195590734943,6.64535762535082,23.1063645199126)); #490182=CARTESIAN_POINT('Ctrl Pts',(22.0604253410344,6.50834474504038,22.9591637074265)); #490183=CARTESIAN_POINT('Ctrl Pts',(22.1795633269087,6.09495920261943,22.5038332959126)); #490184=CARTESIAN_POINT('Ctrl Pts',(22.2509916187788,5.82798656019656,22.1955510066733)); #490185=CARTESIAN_POINT('Ctrl Pts',(22.3769364973733,5.32317063193618,21.5804897226137)); #490186=CARTESIAN_POINT('Ctrl Pts',(22.4320004567132,5.08496905745688,21.2742673425448)); #490187=CARTESIAN_POINT('Ctrl Pts',(22.5213963939155,4.67172566933794,20.7261061078432)); #490188=CARTESIAN_POINT('Ctrl Pts',(22.5597644389458,4.48204583001559,20.467294966486)); #490189=CARTESIAN_POINT('Ctrl Pts',(22.6200442512215,4.16584747361032,20.0235763006073)); #490190=CARTESIAN_POINT('Ctrl Pts',(22.6444472291203,4.03103095142886,19.828985361951)); #490191=CARTESIAN_POINT('Ctrl Pts',(22.7090538927324,3.65463555531814,19.2601200527926)); #490192=CARTESIAN_POINT('Ctrl Pts',(22.7471921514215,3.40800744045482,18.8629544856086)); #490193=CARTESIAN_POINT('Ctrl Pts',(22.8290502161936,2.82317449181616,17.894931656209)); #490194=CARTESIAN_POINT('Ctrl Pts',(22.8602106372983,2.55209979120419,17.4119680608757)); #490195=CARTESIAN_POINT('Ctrl Pts',(22.9049240271287,2.09383831011772,16.4676153974349)); #490196=CARTESIAN_POINT('Ctrl Pts',(22.9180433131343,1.94442533204446,16.1464448235532)); #490197=CARTESIAN_POINT('Ctrl Pts',(22.9410776687618,1.65304477680557,15.4963554795084)); #490198=CARTESIAN_POINT('Ctrl Pts',(22.9491505521682,1.53291042234071,15.210158500219)); #490199=CARTESIAN_POINT('Ctrl Pts',(22.9562658875483,1.41769407653242,14.9380251264972)); #490200=CARTESIAN_POINT('Ctrl Pts',(12.3193988269,5.626806107918,25.36593208646)); #490201=CARTESIAN_POINT('Ctrl Pts',(14.19449999119,8.649996653549,27.03285880575)); #490202=CARTESIAN_POINT('Ctrl Pts',(16.06960115548,11.67318719918,28.69978552503)); #490203=CARTESIAN_POINT('Ctrl Pts',(17.94470231977,14.69637774481,30.36671224431)); #490204=CARTESIAN_POINT('Ctrl Pts',(12.32526544523,5.612499062841,25.30838012214)); #490205=CARTESIAN_POINT('Ctrl Pts',(14.20740863963,8.62944295919,26.97560546614)); #490206=CARTESIAN_POINT('Ctrl Pts',(16.08955183402,11.64638685554,28.64283081015)); #490207=CARTESIAN_POINT('Ctrl Pts',(17.97169502841,14.66333075189,30.31005615415)); #490208=CARTESIAN_POINT('Ctrl Pts',(12.3373860232,5.582438699746,25.18351176569)); #490209=CARTESIAN_POINT('Ctrl Pts',(14.23523272094,8.585110524072,26.85001910099)); #490210=CARTESIAN_POINT('Ctrl Pts',(16.13307941867,11.5877823484,28.51652643629)); #490211=CARTESIAN_POINT('Ctrl Pts',(18.03092611641,14.59045417272,30.18303377159)); #490212=CARTESIAN_POINT('Ctrl Pts',(12.36077718596,5.522830752076,24.9345067227)); #490213=CARTESIAN_POINT('Ctrl Pts',(14.2919937599,8.494102347535,26.59499458893)); #490214=CARTESIAN_POINT('Ctrl Pts',(16.22321033384,11.46537394299,28.25548245515)); #490215=CARTESIAN_POINT('Ctrl Pts',(18.15442690779,14.43664553845,29.91597032138)); #490216=CARTESIAN_POINT('Ctrl Pts',(12.39034384006,5.44680339391,24.65857472515)); #490217=CARTESIAN_POINT('Ctrl Pts',(14.36270650705,8.378619446403,26.3079721225)); #490218=CARTESIAN_POINT('Ctrl Pts',(16.33506917405,11.3104354989,27.95736951986)); #490219=CARTESIAN_POINT('Ctrl Pts',(18.30743184104,14.24225155139,29.60676691721)); #490220=CARTESIAN_POINT('Ctrl Pts',(12.42924372375,5.345170935957,24.39404954637)); #490221=CARTESIAN_POINT('Ctrl Pts',(14.45491420793,8.224246862091,26.03413740988)); #490222=CARTESIAN_POINT('Ctrl Pts',(16.48058469211,11.10332278822,27.6742252734)); #490223=CARTESIAN_POINT('Ctrl Pts',(18.50625517629,13.98239871436,29.31431313691)); #490224=CARTESIAN_POINT('Ctrl Pts',(12.47756713133,5.223084124622,24.17353821859)); #490225=CARTESIAN_POINT('Ctrl Pts',(14.55150571487,8.056331810478,25.813883328)); #490226=CARTESIAN_POINT('Ctrl Pts',(16.62544429842,10.88957949633,27.45422843741)); #490227=CARTESIAN_POINT('Ctrl Pts',(18.69938288197,13.72282718219,29.09457354681)); #490228=CARTESIAN_POINT('Ctrl Pts',(12.53977060966,5.068509022581,23.95453990259)); #490229=CARTESIAN_POINT('Ctrl Pts',(14.65685804461,7.864873378464,25.59719962663)); #490230=CARTESIAN_POINT('Ctrl Pts',(16.77394547955,10.66123773435,27.23985935067)); #490231=CARTESIAN_POINT('Ctrl Pts',(18.8910329145,13.45760209023,28.8825190747)); #490232=CARTESIAN_POINT('Ctrl Pts',(12.60650900257,4.899378621983,23.73465620722)); #490233=CARTESIAN_POINT('Ctrl Pts',(14.7629562881,7.664336049734,25.376366678)); #490234=CARTESIAN_POINT('Ctrl Pts',(16.91940357363,10.42929347749,27.01807714879)); #490235=CARTESIAN_POINT('Ctrl Pts',(19.07585085915,13.19425090524,28.65978761957)); #490236=CARTESIAN_POINT('Ctrl Pts',(12.6753048017,4.719445334049,23.49793256099)); #490237=CARTESIAN_POINT('Ctrl Pts',(14.86935242028,7.455578139469,25.14578039643)); #490238=CARTESIAN_POINT('Ctrl Pts',(17.06340003886,10.19171094489,26.79362823186)); #490239=CARTESIAN_POINT('Ctrl Pts',(19.25744765744,12.92784375031,28.44147606729)); #490240=CARTESIAN_POINT('Ctrl Pts',(12.74525468228,4.52862838462,23.24924051427)); #490241=CARTESIAN_POINT('Ctrl Pts',(14.97760953563,7.235202391302,24.90884477038)); #490242=CARTESIAN_POINT('Ctrl Pts',(17.20996438897,9.941776397984,26.56844902649)); #490243=CARTESIAN_POINT('Ctrl Pts',(19.44231924231,12.64835040467,28.2280532826)); #490244=CARTESIAN_POINT('Ctrl Pts',(12.81495182559,4.327631406514,22.9925938023)); #490245=CARTESIAN_POINT('Ctrl Pts',(15.08962250036,6.998799384187,24.66146644807)); #490246=CARTESIAN_POINT('Ctrl Pts',(17.36429317512,9.66996736186,26.33033909383)); #490247=CARTESIAN_POINT('Ctrl Pts',(19.63896384989,12.34113533953,27.9992117396)); #490248=CARTESIAN_POINT('Ctrl Pts',(12.88297521543,4.117760799208,22.7311085744)); #490249=CARTESIAN_POINT('Ctrl Pts',(15.20605331378,6.743713243596,24.39746174503)); #490250=CARTESIAN_POINT('Ctrl Pts',(17.52913141212,9.369665687984,26.06381491566)); #490251=CARTESIAN_POINT('Ctrl Pts',(19.85220951047,11.99561813237,27.73016808629)); #490252=CARTESIAN_POINT('Ctrl Pts',(12.9464197784,3.903469342401,22.46346017085)); #490253=CARTESIAN_POINT('Ctrl Pts',(15.32865310484,6.465034660868,24.11609597645)); #490254=CARTESIAN_POINT('Ctrl Pts',(17.71088643128,9.026599979334,25.76873178206)); #490255=CARTESIAN_POINT('Ctrl Pts',(20.09311975772,11.5881652978,27.42136758766)); #490256=CARTESIAN_POINT('Ctrl Pts',(13.0241971881,3.610363900414,22.1055175688)); #490257=CARTESIAN_POINT('Ctrl Pts',(15.50381315921,6.050343248747,23.71926674252)); #490258=CARTESIAN_POINT('Ctrl Pts',(17.98342913033,8.490322597079,25.33301591624)); #490259=CARTESIAN_POINT('Ctrl Pts',(20.46304510144,10.93030194541,26.94676508996)); #490260=CARTESIAN_POINT('Ctrl Pts',(13.11429798973,3.219769196133,21.66958447708)); #490261=CARTESIAN_POINT('Ctrl Pts',(15.73511979023,5.453459033522,23.16911399584)); #490262=CARTESIAN_POINT('Ctrl Pts',(18.35594159073,7.687148870911,24.6686435146)); #490263=CARTESIAN_POINT('Ctrl Pts',(20.97676339124,9.9208387083,26.16817303336)); #490264=CARTESIAN_POINT('Ctrl Pts',(13.21127361294,2.764687707494,21.15804581832)); #490265=CARTESIAN_POINT('Ctrl Pts',(15.93448680194,4.843058932853,22.56098997013)); #490266=CARTESIAN_POINT('Ctrl Pts',(18.65769999094,6.921430158213,23.96393412195)); #490267=CARTESIAN_POINT('Ctrl Pts',(21.38091317994,8.999801383573,25.36687827376)); #490268=CARTESIAN_POINT('Ctrl Pts',(13.28328480823,2.36847395476,20.70453717571)); #490269=CARTESIAN_POINT('Ctrl Pts',(16.08231539028,4.326362104699,22.03483246085)); #490270=CARTESIAN_POINT('Ctrl Pts',(18.88134597233,6.284250254639,23.365127746)); #490271=CARTESIAN_POINT('Ctrl Pts',(21.68037655438,8.242138404578,24.69542303115)); #490272=CARTESIAN_POINT('Ctrl Pts',(13.3346668364,2.054876648493,20.33534973988)); #490273=CARTESIAN_POINT('Ctrl Pts',(16.18282371964,3.935907169972,21.610909301)); #490274=CARTESIAN_POINT('Ctrl Pts',(19.03098060289,5.816937691451,22.88646886212)); #490275=CARTESIAN_POINT('Ctrl Pts',(21.87913748614,7.69796821293,24.16202842324)); #490276=CARTESIAN_POINT('Ctrl Pts',(13.38219395399,1.722286515517,19.93750829327)); #490277=CARTESIAN_POINT('Ctrl Pts',(16.27461317555,3.536435805019,21.16308274606)); #490278=CARTESIAN_POINT('Ctrl Pts',(19.16703239712,5.350585094521,22.38865719885)); #490279=CARTESIAN_POINT('Ctrl Pts',(22.05945161868,7.164734384023,23.61423165164)); #490280=CARTESIAN_POINT('Ctrl Pts',(13.43658282493,1.264719352771,19.35291967764)); #490281=CARTESIAN_POINT('Ctrl Pts',(16.38448377582,2.995215789419,20.52608575774)); #490282=CARTESIAN_POINT('Ctrl Pts',(19.33238472672,4.725712226067,21.69925183784)); #490283=CARTESIAN_POINT('Ctrl Pts',(22.28028567761,6.456208662714,22.87241791794)); #490284=CARTESIAN_POINT('Ctrl Pts',(13.48673617913,0.6521339840704,18.55565703436)); #490285=CARTESIAN_POINT('Ctrl Pts',(16.50212332004,2.278807343339,19.64299747743)); #490286=CARTESIAN_POINT('Ctrl Pts',(19.51751046094,3.905480702608,20.7303379205)); #490287=CARTESIAN_POINT('Ctrl Pts',(22.53289760184,5.532154061876,21.81767836357)); #490288=CARTESIAN_POINT('Ctrl Pts',(13.50574695792,-0.02354628440248,17.64012713664)); #490289=CARTESIAN_POINT('Ctrl Pts',(16.58279024072,1.514838678383,18.61373679941)); #490290=CARTESIAN_POINT('Ctrl Pts',(19.65983352352,3.053223641169,19.58734646218)); #490291=CARTESIAN_POINT('Ctrl Pts',(22.73687680632,4.591608603955,20.56095612495)); #490292=CARTESIAN_POINT('Ctrl Pts',(13.50256021053,-0.6068887690636,16.73325689756)); #490293=CARTESIAN_POINT('Ctrl Pts',(16.6149588759,0.9415464180545,17.74608954459)); #490294=CARTESIAN_POINT('Ctrl Pts',(19.72735754127,2.489981605173,18.75892219163)); #490295=CARTESIAN_POINT('Ctrl Pts',(22.83975620664,4.038416792291,19.77175483867)); #490296=CARTESIAN_POINT('Ctrl Pts',(13.48608441379,-1.069343499276,16.01072526394)); #490297=CARTESIAN_POINT('Ctrl Pts',(16.62733866077,0.4956094984945,17.04993423844)); #490298=CARTESIAN_POINT('Ctrl Pts',(19.76859290774,2.060562496266,18.08914321294)); #490299=CARTESIAN_POINT('Ctrl Pts',(22.90984715472,3.625515494037,19.12835218744)); #490300=CARTESIAN_POINT('Ctrl Pts',(13.454898335,-1.534146251074,15.1919170138)); #490301=CARTESIAN_POINT('Ctrl Pts',(16.62696817442,0.05034721888426,16.28323980994)); #490302=CARTESIAN_POINT('Ctrl Pts',(19.79903801385,1.634840688843,17.37456260607)); #490303=CARTESIAN_POINT('Ctrl Pts',(22.97110785328,3.219334158801,18.46588540221)); #490304=CARTESIAN_POINT('Ctrl Pts',(13.41486158639,-1.940753581029,14.46522179658)); #490305=CARTESIAN_POINT('Ctrl Pts',(16.61479119563,-0.3292017310022,15.61505467881)); #490306=CARTESIAN_POINT('Ctrl Pts',(19.81472080488,1.282350119024,16.76488756104)); #490307=CARTESIAN_POINT('Ctrl Pts',(23.01465041413,2.893901969051,17.91472044326)); #490308=CARTESIAN_POINT('Ctrl Pts',(13.37837434595,-2.235737973549,13.85504087583)); #490309=CARTESIAN_POINT('Ctrl Pts',(16.60034854131,-0.6072547075526,15.05864625581)); #490310=CARTESIAN_POINT('Ctrl Pts',(19.82232273668,1.021228558444,16.26225163579)); #490311=CARTESIAN_POINT('Ctrl Pts',(23.04429693204,2.649711824441,17.46585701577)); #490312=CARTESIAN_POINT('Ctrl Pts',(13.346926621,-2.46633973613,13.39029831514)); #490313=CARTESIAN_POINT('Ctrl Pts',(16.58605703542,-0.8194671313285,14.63510689474)); #490314=CARTESIAN_POINT('Ctrl Pts',(19.82518744984,0.8274054734731,15.87991547434)); #490315=CARTESIAN_POINT('Ctrl Pts',(23.06431786426,2.474278078275,17.12472405394)); #490316=CARTESIAN_POINT('Ctrl Pts',(13.31268251353,-2.690556564617,12.90862107206)); #490317=CARTESIAN_POINT('Ctrl Pts',(16.56925951385,-1.025473434085,14.19915576337)); #490318=CARTESIAN_POINT('Ctrl Pts',(19.82583651416,0.6396096964467,15.48969045468)); #490319=CARTESIAN_POINT('Ctrl Pts',(23.08241351448,2.304692826978,16.78022514599)); #490320=CARTESIAN_POINT('Ctrl Pts',(13.26553577796,-2.969698638387,12.23400372063)); #490321=CARTESIAN_POINT('Ctrl Pts',(16.54511068022,-1.28490624385,13.59519398576)); #490322=CARTESIAN_POINT('Ctrl Pts',(19.82468558248,0.3998861506865,14.95638425089)); #490323=CARTESIAN_POINT('Ctrl Pts',(23.10426048474,2.084678545223,16.31757451602)); #490324=CARTESIAN_POINT('Ctrl Pts',(13.20552381099,-3.286932028633,11.47212749184)); #490325=CARTESIAN_POINT('Ctrl Pts',(16.51194416261,-1.573223625183,12.919036894)); #490326=CARTESIAN_POINT('Ctrl Pts',(19.81836451423,0.140484778266,14.36594629615)); #490327=CARTESIAN_POINT('Ctrl Pts',(23.12478486586,1.854193181715,15.81285569831)); #490328=CARTESIAN_POINT('Ctrl Pts',(13.14827048782,-3.551612472268,10.72214736036)); #490329=CARTESIAN_POINT('Ctrl Pts',(16.47902582946,-1.817049680311,12.26272530842)); #490330=CARTESIAN_POINT('Ctrl Pts',(19.8097811711,-0.08248688835472,13.80330325649)); #490331=CARTESIAN_POINT('Ctrl Pts',(23.14053651274,1.652075903602,15.34388120456)); #490332=CARTESIAN_POINT('Ctrl Pts',(13.11615859465,-3.689519485843,10.27581352854)); #490333=CARTESIAN_POINT('Ctrl Pts',(16.4603275163,-1.94719829483,11.87562963253)); #490334=CARTESIAN_POINT('Ctrl Pts',(19.80449643795,-0.2048771038177,13.47544573651)); #490335=CARTESIAN_POINT('Ctrl Pts',(23.1486653596,1.537444087195,15.0752618405)); #490336=CARTESIAN_POINT('Ctrl Pts',(13.10218303683,-3.748189476492,10.0869615197)); #490337=CARTESIAN_POINT('Ctrl Pts',(16.45209726938,-2.002287380266,11.71233877912)); #490338=CARTESIAN_POINT('Ctrl Pts',(19.80201150193,-0.2563852840404,13.33771603854)); #490339=CARTESIAN_POINT('Ctrl Pts',(23.15192573448,1.489516812186,14.96309329796)); #490340=CARTESIAN_POINT('Ctrl Pts',(13.09786194632,-3.766245216931,10.02946490726)); #490341=CARTESIAN_POINT('Ctrl Pts',(16.44954278508,-2.019177772252,11.66266256332)); #490342=CARTESIAN_POINT('Ctrl Pts',(19.80122362384,-0.2721103275724,13.29586021939)); #490343=CARTESIAN_POINT('Ctrl Pts',(23.1529044626,1.474957117107,14.92905787545)); #490344=CARTESIAN_POINT('',(13.71037777797,4.719697149746,22.98954145564)); #490345=CARTESIAN_POINT('',(13.704799448632,4.72810074218081,22.9999786145155)); #490346=CARTESIAN_POINT('Ctrl Pts',(13.7103777779649,4.71969714976361,22.989541455615)); #490347=CARTESIAN_POINT('Ctrl Pts',(13.7098531114722,4.72122126785827,22.9912755534427)); #490348=CARTESIAN_POINT('Ctrl Pts',(13.7093284901175,4.72274442951274,22.9930091696664)); #490349=CARTESIAN_POINT('Ctrl Pts',(13.7083417769306,4.7256076577656,22.9962691376268)); #490350=CARTESIAN_POINT('Ctrl Pts',(13.707879926001,4.72694721163025,22.9977947694774)); #490351=CARTESIAN_POINT('Ctrl Pts',(13.7074179406155,4.72828651852946,22.9993205789873)); #490352=CARTESIAN_POINT('Ctrl Pts',(13.0507292858171,5.61473173704381,24.2213392610279)); #490353=CARTESIAN_POINT('Ctrl Pts',(13.0756315103544,5.58129252493348,24.173168123295)); #490354=CARTESIAN_POINT('Ctrl Pts',(13.0981782017351,5.55100448030541,24.1300821354349)); #490355=CARTESIAN_POINT('Ctrl Pts',(13.1604946064561,5.46868942849267,24.0114770102318)); #490356=CARTESIAN_POINT('Ctrl Pts',(13.1876909418639,5.43311345013595,23.9599865481072)); #490357=CARTESIAN_POINT('Ctrl Pts',(13.2719018718357,5.32313612327782,23.8016668514787)); #490358=CARTESIAN_POINT('Ctrl Pts',(13.3259930516557,5.25271111497387,23.7014198416784)); #490359=CARTESIAN_POINT('Ctrl Pts',(13.4936809578237,5.02921826508156,23.3919113377781)); #490360=CARTESIAN_POINT('Ctrl Pts',(13.6105529315805,4.86623229165197,23.1756439166899)); #490361=CARTESIAN_POINT('Ctrl Pts',(13.7051651905955,4.727356883615,22.9992586666019)); #490362=CARTESIAN_POINT('',(14.7223225623915,-2.87284145405658,10.9767683580708)); #490363=CARTESIAN_POINT('',(14.72232255436,-2.872841453785,10.97676835699)); #490364=CARTESIAN_POINT('',(14.7237807286307,-2.86535885054147,11.0000000149257)); #490365=CARTESIAN_POINT('',(14.7237809909839,-2.86535745125139,10.9999995209054)); #490366=CARTESIAN_POINT('',(14.9430105735067,1.30630811387905,18.8974410681785)); #490367=CARTESIAN_POINT('Ctrl Pts',(14.7237807203111,-2.86535884318106, 11.0000001496436)); #490368=CARTESIAN_POINT('Ctrl Pts',(14.7366119345748,-2.79942504726439, 11.2047273594396)); #490369=CARTESIAN_POINT('Ctrl Pts',(14.7519312865083,-2.71968461734786, 11.4552257593371)); #490370=CARTESIAN_POINT('Ctrl Pts',(14.7907101652287,-2.49886299357886, 12.0569943353547)); #490371=CARTESIAN_POINT('Ctrl Pts',(14.8068507016138,-2.40170976443629, 12.3017493559322)); #490372=CARTESIAN_POINT('Ctrl Pts',(14.8333383126946,-2.23084678209465, 12.7170223620467)); #490373=CARTESIAN_POINT('Ctrl Pts',(14.8433727403819,-2.16310896476624, 12.8780603041764)); #490374=CARTESIAN_POINT('Ctrl Pts',(14.8642097738952,-2.0153678778191,13.2290852815833)); #490375=CARTESIAN_POINT('Ctrl Pts',(14.876249617399,-1.92556216447375,13.4432378849201)); #490376=CARTESIAN_POINT('Ctrl Pts',(14.904217696588,-1.69719286653938,13.9420365963133)); #490377=CARTESIAN_POINT('Ctrl Pts',(14.9173505777024,-1.57849331300705, 14.1787969248717)); #490378=CARTESIAN_POINT('Ctrl Pts',(14.9375979162546,-1.3687530239079,14.6030787296557)); #490379=CARTESIAN_POINT('Ctrl Pts',(14.9438518915172,-1.29892591308018, 14.7452081188719)); #490380=CARTESIAN_POINT('Ctrl Pts',(14.9570204526746,-1.13785335208747, 15.0568093175437)); #490381=CARTESIAN_POINT('Ctrl Pts',(14.9634577514311,-1.05023697675044, 15.21795465067)); #490382=CARTESIAN_POINT('Ctrl Pts',(14.9752547048579,-0.866405282956357, 15.5479994954766)); #490383=CARTESIAN_POINT('Ctrl Pts',(14.9814597541223,-0.750609276505666, 15.7514316355546)); #490384=CARTESIAN_POINT('Ctrl Pts',(14.9920699661271,-0.511083391796138, 16.1699886395627)); #490385=CARTESIAN_POINT('Ctrl Pts',(14.9972588052935,-0.344963348484342, 16.4548037624257)); #490386=CARTESIAN_POINT('Ctrl Pts',(15.0012372147347,0.0445099373353752, 17.0770331224251)); #490387=CARTESIAN_POINT('Ctrl Pts',(14.9978527702604,0.34903046324379,17.5495475321361)); #490388=CARTESIAN_POINT('Ctrl Pts',(14.9793565133147,0.820150123497766, 18.2417635231464)); #490389=CARTESIAN_POINT('Ctrl Pts',(14.9647650093352,1.05745432987615,18.5680016047763)); #490390=CARTESIAN_POINT('Ctrl Pts',(14.9430103809198,1.30630806310122,18.8974410938177)); #490391=CARTESIAN_POINT('Ctrl Pts',(13.7103777779669,4.71969714976932,22.98954145562)); #490392=CARTESIAN_POINT('Ctrl Pts',(13.7971236809647,4.59208396254048,22.8278412777062)); #490393=CARTESIAN_POINT('Ctrl Pts',(13.8680137303164,4.48122415431384,22.6971660600078)); #490394=CARTESIAN_POINT('Ctrl Pts',(14.021695817923,4.22065197955659,22.4031176611064)); #490395=CARTESIAN_POINT('Ctrl Pts',(14.0925120827468,4.09084299228047,22.2610152766009)); #490396=CARTESIAN_POINT('Ctrl Pts',(14.2933138848425,3.69899179643647,21.8245435646998)); #490397=CARTESIAN_POINT('Ctrl Pts',(14.4163161517415,3.42542089827861,21.5198333111969)); #490398=CARTESIAN_POINT('Ctrl Pts',(14.7870930073398,2.4446216535294,20.3837959462456)); #490399=CARTESIAN_POINT('Ctrl Pts',(14.8999679672624,1.7986796110646,19.5492561114436)); #490400=CARTESIAN_POINT('Ctrl Pts',(14.9430107599849,1.30630813791197,18.8974410623385)); #490401=CARTESIAN_POINT('Origin',(0.,0.,11.)); #490402=CARTESIAN_POINT('',(2.2280030701085,14.3252199411781,22.9999797930061)); #490403=CARTESIAN_POINT('Origin',(0.,3.69253757043E-12,23.00000000008)); #490404=CARTESIAN_POINT('',(2.237172080039,14.32637641151,22.98954145558)); #490405=CARTESIAN_POINT('Origin',(0.,3.615300434109E-12,22.98954145567)); #490406=CARTESIAN_POINT('Ctrl Pts',(2.22822374764316,14.3277708988677,22.9991703593977)); #490407=CARTESIAN_POINT('Ctrl Pts',(2.2295973981423,14.3275572717316,22.9976931798019)); #490408=CARTESIAN_POINT('Ctrl Pts',(2.23097081973278,14.3273434778728,22.9962158100286)); #490409=CARTESIAN_POINT('Ctrl Pts',(2.23395368068699,14.326878711237,22.9930062462215)); #490410=CARTESIAN_POINT('Ctrl Pts',(2.23556303811888,14.3266276755818,22.9912739778638)); #490411=CARTESIAN_POINT('Ctrl Pts',(2.23717208003878,14.3263764115109,22.9895414555796)); #490412=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #490413=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,14.52066050139,22.90705281024)); #490414=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,3.00611558991718E-12, 22.9070528103472)); #490415=CARTESIAN_POINT('Ctrl Pts',(-14.520660501387,-14.520660501384,22.9070528104545)); #490416=CARTESIAN_POINT('Ctrl Pts',(0.,-14.520660501384,22.9070528104545)); #490417=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,-14.520660501384,22.9070528104545)); #490418=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,3.00611558991718E-12, 22.9070528103472)); #490419=CARTESIAN_POINT('Ctrl Pts',(14.520660501387,14.52066050139,22.90705281024)); #490420=CARTESIAN_POINT('Ctrl Pts',(0.,14.52066050139,22.90705281024)); #490421=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #490422=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,14.51292846218,22.93791465613)); #490423=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #490424=CARTESIAN_POINT('Ctrl Pts',(-14.5129284621768,-14.5129284621735, 22.9379146563444)); #490425=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5129284621735,22.9379146563444)); #490426=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,-14.5129284621735, 22.9379146563444)); #490427=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,3.23403264086746E-12, 22.9379146562372)); #490428=CARTESIAN_POINT('Ctrl Pts',(14.5129284621768,14.51292846218,22.93791465613)); #490429=CARTESIAN_POINT('Ctrl Pts',(0.,14.51292846218,22.93791465613)); #490430=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #490431=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,14.49137592823,23.02395934967)); #490432=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,3.86947916831936E-12, 23.023959349777)); #490433=CARTESIAN_POINT('Ctrl Pts',(-14.4913759282261,-14.4913759282223, 23.023959349884)); #490434=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4913759282223,23.023959349884)); #490435=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,-14.4913759282223, 23.023959349884)); #490436=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,3.86947916831936E-12, 23.023959349777)); #490437=CARTESIAN_POINT('Ctrl Pts',(14.4913759282261,14.49137592823,23.02395934967)); #490438=CARTESIAN_POINT('Ctrl Pts',(0.,14.49137592823,23.02395934967)); #490439=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #490440=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,14.45598854161,23.16545796801)); #490441=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #490442=CARTESIAN_POINT('Ctrl Pts',(-14.4559885416051,-14.4559885416002, 23.1654579682235)); #490443=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4559885416002,23.1654579682235)); #490444=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,-14.4559885416002, 23.1654579682235)); #490445=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,4.91445709739523E-12, 23.1654579681168)); #490446=CARTESIAN_POINT('Ctrl Pts',(14.4559885416051,14.45598854161,23.16545796801)); #490447=CARTESIAN_POINT('Ctrl Pts',(0.,14.45598854161,23.16545796801)); #490448=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #490449=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,14.41356770364,23.33578736769)); #490450=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #490451=CARTESIAN_POINT('Ctrl Pts',(-14.4135677036338,-14.4135677036277, 23.3357873679029)); #490452=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4135677036277,23.3357873679029)); #490453=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,-14.4135677036277, 23.3357873679029)); #490454=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,6.17235251309931E-12, 23.3357873677964)); #490455=CARTESIAN_POINT('Ctrl Pts',(14.4135677036338,14.41356770364,23.33578736769)); #490456=CARTESIAN_POINT('Ctrl Pts',(0.,14.41356770364,23.33578736769)); #490457=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #490458=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,14.37011479721,23.51131634965)); #490459=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #490460=CARTESIAN_POINT('Ctrl Pts',(-14.3701147972025,-14.3701147971951, 23.5113163498622)); #490461=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3701147971951,23.5113163498622)); #490462=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,-14.3701147971951, 23.5113163498622)); #490463=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,7.46864723465352E-12, 23.5113163497561)); #490464=CARTESIAN_POINT('Ctrl Pts',(14.3701147972025,14.37011479721,23.51131634965)); #490465=CARTESIAN_POINT('Ctrl Pts',(0.,14.37011479721,23.51131634965)); #490466=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #490467=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,14.32719551245,23.68632580916)); #490468=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #490469=CARTESIAN_POINT('Ctrl Pts',(-14.3271955124412,-14.3271955124325, 23.6863258093716)); #490470=CARTESIAN_POINT('Ctrl Pts',(0.,-14.3271955124325,23.6863258093716)); #490471=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,-14.3271955124325, 23.6863258093716)); #490472=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,8.76110524387607E-12, 23.6863258092658)); #490473=CARTESIAN_POINT('Ctrl Pts',(14.3271955124412,14.32719551245,23.68632580916)); #490474=CARTESIAN_POINT('Ctrl Pts',(0.,14.32719551245,23.68632580916)); #490475=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #490476=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,14.28769677143,23.85162145032)); #490477=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,9.98182597465814E-12, 23.8516214504255)); #490478=CARTESIAN_POINT('Ctrl Pts',(-14.28769677142,-14.28769677141,23.851621450531)); #490479=CARTESIAN_POINT('Ctrl Pts',(0.,-14.28769677141,23.851621450531)); #490480=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,-14.28769677141,23.851621450531)); #490481=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,9.98182597465814E-12, 23.8516214504255)); #490482=CARTESIAN_POINT('Ctrl Pts',(14.28769677142,14.28769677143,23.85162145032)); #490483=CARTESIAN_POINT('Ctrl Pts',(0.,14.28769677143,23.85162145032)); #490484=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #490485=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,14.25324025792,24.00247976249)); #490486=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #490487=CARTESIAN_POINT('Ctrl Pts',(-14.2532402579089,-14.2532402578978, 24.0024797627005)); #490488=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2532402578978,24.0024797627005)); #490489=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,-14.2532402578978, 24.0024797627005)); #490490=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,1.10959259459845E-11, 24.0024797625953)); #490491=CARTESIAN_POINT('Ctrl Pts',(14.2532402579089,14.25324025792,24.00247976249)); #490492=CARTESIAN_POINT('Ctrl Pts',(0.,14.25324025792,24.00247976249)); #490493=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #490494=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,14.2203292670849, 24.1552846689671)); #490495=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #490496=CARTESIAN_POINT('Ctrl Pts',(-14.2203292670727,-14.2203292670605, 24.1552846691772)); #490497=CARTESIAN_POINT('Ctrl Pts',(0.,-14.2203292670605,24.1552846691772)); #490498=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,-14.2203292670605, 24.1552846691772)); #490499=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,1.22244016625421E-11, 24.1552846690721)); #490500=CARTESIAN_POINT('Ctrl Pts',(14.2203292670727,14.2203292670849,24.1552846689671)); #490501=CARTESIAN_POINT('Ctrl Pts',(0.,14.2203292670849,24.1552846689671)); #490502=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #490503=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,14.1997785199493, 24.2583198563073)); #490504=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #490505=CARTESIAN_POINT('Ctrl Pts',(-14.1997785199363,-14.1997785199233, 24.2583198565171)); #490506=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1997785199234,24.2583198565171)); #490507=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,-14.1997785199233, 24.2583198565171)); #490508=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,1.2985324263426E-11, 24.2583198564122)); #490509=CARTESIAN_POINT('Ctrl Pts',(14.1997785199363,14.1997785199493,24.2583198563073)); #490510=CARTESIAN_POINT('Ctrl Pts',(0.,14.1997785199493,24.2583198563073)); #490511=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #490512=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,14.18884336187,24.31536690031)); #490513=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #490514=CARTESIAN_POINT('Ctrl Pts',(-14.1888433618566,-14.1888433618432, 24.3153669005196)); #490515=CARTESIAN_POINT('Ctrl Pts',(0.,-14.1888433618432,24.3153669005196)); #490516=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,-14.1888433618432, 24.3153669005196)); #490517=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,1.34066209700737E-11, 24.3153669004148)); #490518=CARTESIAN_POINT('Ctrl Pts',(14.1888433618566,14.18884336187,24.31536690031)); #490519=CARTESIAN_POINT('Ctrl Pts',(0.,14.18884336187,24.31536690031)); #490520=CARTESIAN_POINT('Ctrl Pts',(2.22805250348315,14.3253575791581,22.9991716368499)); #490521=CARTESIAN_POINT('Ctrl Pts',(2.0071228680471,14.3006534916035,23.232308863301)); #490522=CARTESIAN_POINT('Ctrl Pts',(1.79776167159293,14.2759680129763,23.441296072059)); #490523=CARTESIAN_POINT('Ctrl Pts',(1.36206758010583,14.2245779884417,23.8472935826106)); #490524=CARTESIAN_POINT('Ctrl Pts',(1.14013740910606,14.1990468207684,24.042651566113)); #490525=CARTESIAN_POINT('Ctrl Pts',(0.922287613117569,14.1773104970336, 24.2213392610099)); #490526=CARTESIAN_POINT('Ctrl Pts',(-1.5171069434,13.46354521007,25.20377703156)); #490527=CARTESIAN_POINT('Ctrl Pts',(-3.850482698535,16.16705714265,26.85480023655)); #490528=CARTESIAN_POINT('Ctrl Pts',(-6.18385845367,18.87056907524,28.50582344155)); #490529=CARTESIAN_POINT('Ctrl Pts',(-8.517234208805,21.57408100782,30.15684664654)); #490530=CARTESIAN_POINT('Ctrl Pts',(-1.511988815803,13.46159632067,25.20174279684)); #490531=CARTESIAN_POINT('Ctrl Pts',(-3.82361895378,16.17494517057,26.85792512009)); #490532=CARTESIAN_POINT('Ctrl Pts',(-6.135249091757,18.88829402047,28.51410744334)); #490533=CARTESIAN_POINT('Ctrl Pts',(-8.446879229733,21.60164287036,30.17028976659)); #490534=CARTESIAN_POINT('Ctrl Pts',(-1.486329019615,13.45356018176,25.1925124139)); #490535=CARTESIAN_POINT('Ctrl Pts',(-3.701746528314,16.21008849393,26.87211690845)); #490536=CARTESIAN_POINT('Ctrl Pts',(-5.917164037013,18.96661680611,28.55172140299)); #490537=CARTESIAN_POINT('Ctrl Pts',(-8.132581545712,21.72314511829,30.23132589754)); #490538=CARTESIAN_POINT('Ctrl Pts',(-1.425970912559,13.44641283106,25.17964329938)); #490539=CARTESIAN_POINT('Ctrl Pts',(-3.503336703821,16.26246393209,26.89216549628)); #490540=CARTESIAN_POINT('Ctrl Pts',(-5.580702495082,19.07851503313,28.60468769318)); #490541=CARTESIAN_POINT('Ctrl Pts',(-7.658068286344,21.89456613417,30.31720989008)); #490542=CARTESIAN_POINT('Ctrl Pts',(-1.328548253562,13.44463880043,25.18547555156)); #490543=CARTESIAN_POINT('Ctrl Pts',(-3.268677950144,16.31806033936,26.88525916329)); #490544=CARTESIAN_POINT('Ctrl Pts',(-5.208807646726,19.19148187829,28.58504277503)); #490545=CARTESIAN_POINT('Ctrl Pts',(-7.148937343308,22.06490341723,30.28482638676)); #490546=CARTESIAN_POINT('Ctrl Pts',(-1.23965777165,13.44159657895,25.20581366429)); #490547=CARTESIAN_POINT('Ctrl Pts',(-3.037070648101,16.36945686717,26.84961144062)); #490548=CARTESIAN_POINT('Ctrl Pts',(-4.834483524552,19.29731715538,28.49340921695)); #490549=CARTESIAN_POINT('Ctrl Pts',(-6.631896401002,22.2251774436,30.13720699328)); #490550=CARTESIAN_POINT('Ctrl Pts',(-1.16009390566,13.43790252068,25.21992383037)); #490551=CARTESIAN_POINT('Ctrl Pts',(-2.812668324846,16.41572918564,26.8041977718)); #490552=CARTESIAN_POINT('Ctrl Pts',(-4.465242744032,19.3935558506,28.38847171322)); #490553=CARTESIAN_POINT('Ctrl Pts',(-6.117817163218,22.37138251557,29.97274565465)); #490554=CARTESIAN_POINT('Ctrl Pts',(-1.088931643123,13.43395728758,25.22661826066)); #490555=CARTESIAN_POINT('Ctrl Pts',(-2.595878789167,16.45711775803,26.74897225879)); #490556=CARTESIAN_POINT('Ctrl Pts',(-4.102825935211,19.48027822848,28.27132625692)); #490557=CARTESIAN_POINT('Ctrl Pts',(-5.609773081255,22.50343869893,29.79368025505)); #490558=CARTESIAN_POINT('Ctrl Pts',(-0.992919937937,13.4302402906,25.22640379485)); #490559=CARTESIAN_POINT('Ctrl Pts',(-2.313412789567,16.50628690509,26.65329618378)); #490560=CARTESIAN_POINT('Ctrl Pts',(-3.633905641197,19.58233351959,28.08018857271)); #490561=CARTESIAN_POINT('Ctrl Pts',(-4.954398492827,22.65838013408,29.50708096164)); #490562=CARTESIAN_POINT('Ctrl Pts',(-0.8732573324746,13.42777833032,25.19622708442)); #490563=CARTESIAN_POINT('Ctrl Pts',(-1.973907740893,16.55715285304,26.50481033759)); #490564=CARTESIAN_POINT('Ctrl Pts',(-3.074558149311,19.68652737576,27.81339359077)); #490565=CARTESIAN_POINT('Ctrl Pts',(-4.175208557729,22.81590189849,29.12197684395)); #490566=CARTESIAN_POINT('Ctrl Pts',(-0.7103941528276,13.42926952876,25.12971285831)); #490567=CARTESIAN_POINT('Ctrl Pts',(-1.585067671812,16.60352504071,26.28934103736)); #490568=CARTESIAN_POINT('Ctrl Pts',(-2.459741190796,19.77778055267,27.44896921641)); #490569=CARTESIAN_POINT('Ctrl Pts',(-3.33441470978,22.95203606463,28.60859739546)); #490570=CARTESIAN_POINT('Ctrl Pts',(-0.4399758057393,13.43573742439,25.00899596124)); #490571=CARTESIAN_POINT('Ctrl Pts',(-1.074854510499,16.64974070293,25.98012940961)); #490572=CARTESIAN_POINT('Ctrl Pts',(-1.709733215258,19.86374398147,26.95126285797)); #490573=CARTESIAN_POINT('Ctrl Pts',(-2.344611920018,23.07774726,27.92239630634)); #490574=CARTESIAN_POINT('Ctrl Pts',(-0.01609031337574,13.4432583457,24.80516140713)); #490575=CARTESIAN_POINT('Ctrl Pts',(-0.4510429032249,16.68163917931,25.58647619755)); #490576=CARTESIAN_POINT('Ctrl Pts',(-0.8859954930741,19.92002001293,26.36779098798)); #490577=CARTESIAN_POINT('Ctrl Pts',(-1.320948082923,23.15840084655,27.14910577841)); #490578=CARTESIAN_POINT('Ctrl Pts',(0.6041282364017,13.43216508684,24.40237354786)); #490579=CARTESIAN_POINT('Ctrl Pts',(0.2751391822741,16.68466010944,25.04686011869)); #490580=CARTESIAN_POINT('Ctrl Pts',(-0.05384987185353,19.93715513203,25.69134668952)); #490581=CARTESIAN_POINT('Ctrl Pts',(-0.3828389259811,23.18965015463,26.33583326035)); #490582=CARTESIAN_POINT('Ctrl Pts',(1.308234914811,13.3879907022,23.82345482846)); #490583=CARTESIAN_POINT('Ctrl Pts',(0.9900596434781,16.6548572602,24.43046003813)); #490584=CARTESIAN_POINT('Ctrl Pts',(0.6718843721457,19.9217238182,25.0374652478)); #490585=CARTESIAN_POINT('Ctrl Pts',(0.3537091008132,23.18859037621,25.64447045746)); #490586=CARTESIAN_POINT('Ctrl Pts',(2.0949132353,13.29994295607,23.07888342483)); #490587=CARTESIAN_POINT('Ctrl Pts',(1.710020011833,16.59057631841,23.73158102333)); #490588=CARTESIAN_POINT('Ctrl Pts',(1.325126788366,19.88120968075,24.38427862183)); #490589=CARTESIAN_POINT('Ctrl Pts',(0.9402335648986,23.17184304309,25.03697622033)); #490590=CARTESIAN_POINT('Ctrl Pts',(2.681651245389,13.20236584203,22.4299403944)); #490591=CARTESIAN_POINT('Ctrl Pts',(2.214754919528,16.51983557102,23.175253531)); #490592=CARTESIAN_POINT('Ctrl Pts',(1.747858593667,19.8373053,23.92056666759)); #490593=CARTESIAN_POINT('Ctrl Pts',(1.280962267806,23.15477502899,24.66587980419)); #490594=CARTESIAN_POINT('Ctrl Pts',(3.002855911067,13.13798875644,22.06230354995)); #490595=CARTESIAN_POINT('Ctrl Pts',(2.48368992976,16.47369845012,22.86932127168)); #490596=CARTESIAN_POINT('Ctrl Pts',(1.964523948453,19.8094081438,23.67633899341)); #490597=CARTESIAN_POINT('Ctrl Pts',(1.445357967146,23.14511783747,24.48335671514)); #490598=CARTESIAN_POINT('Ctrl Pts',(3.026094118855,13.13327632414,22.03565008267)); #490599=CARTESIAN_POINT('Ctrl Pts',(2.503110723498,16.47032354291,22.84718433385)); #490600=CARTESIAN_POINT('Ctrl Pts',(1.980127328141,19.80737076168,23.65871858502)); #490601=CARTESIAN_POINT('Ctrl Pts',(1.457143932784,23.14441798045,24.4702528362)); #490602=CARTESIAN_POINT('',(2.76798310560865,14.3761484109474,22.4094355864061)); #490603=CARTESIAN_POINT('Ctrl Pts',(2.76798310839071,14.3761484099934,22.4094355888293)); #490604=CARTESIAN_POINT('Ctrl Pts',(2.68024903073297,14.3705338122222,22.5079507306614)); #490605=CARTESIAN_POINT('Ctrl Pts',(2.59419211360647,14.3632969096699,22.603564930824)); #490606=CARTESIAN_POINT('Ctrl Pts',(2.41786069842467,14.3462679130869,22.7966288304015)); #490607=CARTESIAN_POINT('Ctrl Pts',(2.3277607575635,14.3364876594052,22.8938143749153)); #490608=CARTESIAN_POINT('Ctrl Pts',(2.23717208003868,14.3263764115094,22.9895414555796)); #490609=CARTESIAN_POINT('',(1.45112286034083,22.9541770145057,24.4636599144297)); #490610=CARTESIAN_POINT('',(1.451123376752,22.95417363369,24.46365910605)); #490611=CARTESIAN_POINT('Ctrl Pts',(1.45112286078848,22.9541770151653,24.4636599147955)); #490612=CARTESIAN_POINT('Ctrl Pts',(1.17396745563832,22.9716982943718,24.7712625656219)); #490613=CARTESIAN_POINT('Ctrl Pts',(0.885103945830872,22.9847550641911, 25.0814454665322)); #490614=CARTESIAN_POINT('Ctrl Pts',(0.307470315229085,22.9997834368584, 25.6680554560249)); #490615=CARTESIAN_POINT('Ctrl Pts',(-0.0946701030646134,23.0048934161034, 26.0540646965239)); #490616=CARTESIAN_POINT('Ctrl Pts',(-0.933127492146944,22.9838035944016, 26.7914586462191)); #490617=CARTESIAN_POINT('Ctrl Pts',(-1.28178938912104,22.9673628772425, 27.0795455013882)); #490618=CARTESIAN_POINT('Ctrl Pts',(-1.90975995032574,22.9219593248802, 27.5643386805265)); #490619=CARTESIAN_POINT('Ctrl Pts',(-2.16563713570139,22.8992800054169, 27.7538726157953)); #490620=CARTESIAN_POINT('Ctrl Pts',(-3.08589683721618,22.8017878173254, 28.414674526002)); #490621=CARTESIAN_POINT('Ctrl Pts',(-3.58911414918022,22.7223992359943, 28.7359111385311)); #490622=CARTESIAN_POINT('Ctrl Pts',(-4.32854121372723,22.5912286242092, 29.1522332010488)); #490623=CARTESIAN_POINT('Ctrl Pts',(-4.64275948348578,22.5287624905086, 29.3091803469681)); #490624=CARTESIAN_POINT('Ctrl Pts',(-5.282026561574,22.3878164619253,29.6044209984008)); #490625=CARTESIAN_POINT('Ctrl Pts',(-5.51533401754728,22.3297641941045, 29.6984897053942)); #490626=CARTESIAN_POINT('Ctrl Pts',(-5.89087432694846,22.2336559329903, 29.8359855736395)); #490627=CARTESIAN_POINT('Ctrl Pts',(-6.09981142651081,22.1772244534592, 29.9054139757766)); #490628=CARTESIAN_POINT('Ctrl Pts',(-6.4191183550951,22.0865211262409,30.0067891189729)); #490629=CARTESIAN_POINT('Ctrl Pts',(-6.58810080355769,22.0367361934711, 30.0589985977698)); #490630=CARTESIAN_POINT('Ctrl Pts',(-6.9582871430997,21.9235309673548,30.1603545954795)); #490631=CARTESIAN_POINT('Ctrl Pts',(-7.16192778512203,21.8578468158306, 30.1990639512271)); #490632=CARTESIAN_POINT('Ctrl Pts',(-7.51704602277379,21.7373330637014, 30.2008540823512)); #490633=CARTESIAN_POINT('Ctrl Pts',(-7.63659244642407,21.6956020370925, 30.1898591406297)); #490634=CARTESIAN_POINT('Ctrl Pts',(-7.8972293437156,21.6022122457828,30.153786358624)); #490635=CARTESIAN_POINT('Ctrl Pts',(-8.04480562173587,21.5476848752038, 30.1261624592492)); #490636=CARTESIAN_POINT('Ctrl Pts',(-8.18222716683885,21.4953752837294, 30.0999434956579)); #490637=CARTESIAN_POINT('Origin',(3.635384560344E-10,6.650716269761E-11, 35.)); #490638=CARTESIAN_POINT('',(6.47403240804459,22.0700453906313,15.1940291643614)); #490639=CARTESIAN_POINT('Ctrl Pts',(6.474032404566,22.070045410469,15.1940291617099)); #490640=CARTESIAN_POINT('Ctrl Pts',(6.4244210287904,22.0845984233195,15.3679996086827)); #490641=CARTESIAN_POINT('Ctrl Pts',(6.37046075822201,22.1002332983635,15.5554055809377)); #490642=CARTESIAN_POINT('Ctrl Pts',(6.26814473265799,22.1294589074886,15.895932152524)); #490643=CARTESIAN_POINT('Ctrl Pts',(6.21802240147882,22.1436163595662,16.0563731584982)); #490644=CARTESIAN_POINT('Ctrl Pts',(6.06280664628936,22.1867682480994,16.520572279563)); #490645=CARTESIAN_POINT('Ctrl Pts',(5.92764456223103,22.2231822746854,16.8887158316767)); #490646=CARTESIAN_POINT('Ctrl Pts',(5.68308771439345,22.2874752005774,17.5447100745851)); #490647=CARTESIAN_POINT('Ctrl Pts',(5.49810563220603,22.33426768034,18.0171032653813)); #490648=CARTESIAN_POINT('Ctrl Pts',(5.17270910213849,22.4110689049864,18.7479869499)); #490649=CARTESIAN_POINT('Ctrl Pts',(5.05037334358682,22.4389390889062,19.0081416864167)); #490650=CARTESIAN_POINT('Ctrl Pts',(4.81444864374553,22.4908471611972,19.4952611765365)); #490651=CARTESIAN_POINT('Ctrl Pts',(4.68049059823076,22.5192055352304,19.7612563020441)); #490652=CARTESIAN_POINT('Ctrl Pts',(4.26994052947022,22.6018023186085,20.5301735122574)); #490653=CARTESIAN_POINT('Ctrl Pts',(3.96179404336546,22.6585550575459,21.0621223917284)); #490654=CARTESIAN_POINT('Ctrl Pts',(3.54768508718108,22.7249368087223,21.7158511803063)); #490655=CARTESIAN_POINT('Ctrl Pts',(3.45213502381955,22.7396513959854,21.8627721787734)); #490656=CARTESIAN_POINT('Ctrl Pts',(3.20864768236686,22.7755897333202,22.2284447359666)); #490657=CARTESIAN_POINT('Ctrl Pts',(3.05734286016994,22.7964539566634,22.4469154175771)); #490658=CARTESIAN_POINT('Ctrl Pts',(2.67794307979573,22.8446497726625,22.9720284582965)); #490659=CARTESIAN_POINT('Ctrl Pts',(2.44048171920179,22.8712188255676,23.2835122725936)); #490660=CARTESIAN_POINT('Ctrl Pts',(2.10087347700135,22.9041679621193,23.7096584453199)); #490661=CARTESIAN_POINT('Ctrl Pts',(1.98248177457475,22.9147540265398,23.853683113753)); #490662=CARTESIAN_POINT('Ctrl Pts',(1.72305417601405,22.935753465476,24.1584052559581)); #490663=CARTESIAN_POINT('Ctrl Pts',(1.58789277830112,22.9455306605669,24.3118649734253)); #490664=CARTESIAN_POINT('Ctrl Pts',(1.45112286078822,22.9541770151653,24.4636599147952)); #490665=CARTESIAN_POINT('Ctrl Pts',(5.74556920329641,22.2707977972459,14.9380248821768)); #490666=CARTESIAN_POINT('Ctrl Pts',(5.86713662021529,22.2394350228565,14.9818498909032)); #490667=CARTESIAN_POINT('Ctrl Pts',(5.98863953753665,22.2070272405364,15.0250941769961)); #490668=CARTESIAN_POINT('Ctrl Pts',(6.23147970684404,22.1401111748737,15.110428858483)); #490669=CARTESIAN_POINT('Ctrl Pts',(6.35280984535374,22.1056048651725,15.1525167610012)); #490670=CARTESIAN_POINT('Ctrl Pts',(6.47403243162982,22.0700454025301,15.1940291056812)); #490671=CARTESIAN_POINT('Ctrl Pts',(7.243034421787,12.89954198013,10.83648934055)); #490672=CARTESIAN_POINT('Ctrl Pts',(7.517218562788,12.76282831089,10.93727704916)); #490673=CARTESIAN_POINT('Ctrl Pts',(7.791402703789,12.62611464166,11.03806475777)); #490674=CARTESIAN_POINT('Ctrl Pts',(8.06558684479,12.48940097242,11.13885246638)); #490675=CARTESIAN_POINT('Ctrl Pts',(7.232655618689,12.96097575771,10.86309962618)); #490676=CARTESIAN_POINT('Ctrl Pts',(7.507026689692,12.8246104085,10.96392405647)); #490677=CARTESIAN_POINT('Ctrl Pts',(7.781397760695,12.6882450593,11.06474848676)); #490678=CARTESIAN_POINT('Ctrl Pts',(8.055768831698,12.55187971009,11.16557291705)); #490679=CARTESIAN_POINT('Ctrl Pts',(6.703329713132,16.09413953804,12.22024200659)); #490680=CARTESIAN_POINT('Ctrl Pts',(6.987234339363,15.97553874359,12.32293926704)); #490681=CARTESIAN_POINT('Ctrl Pts',(7.271138965594,15.85693794914,12.4256365275)); #490682=CARTESIAN_POINT('Ctrl Pts',(7.555043591825,15.7383371547,12.52833378795)); #490683=CARTESIAN_POINT('Ctrl Pts',(6.163624864311,19.28873792562,13.60399503201)); #490684=CARTESIAN_POINT('Ctrl Pts',(6.457249978296,19.18825001066,13.7086018448)); #490685=CARTESIAN_POINT('Ctrl Pts',(6.750875092281,19.08776209571,13.81320865759)); #490686=CARTESIAN_POINT('Ctrl Pts',(7.044500206266,18.98727418076,13.91781547038)); #490687=CARTESIAN_POINT('Ctrl Pts',(5.634298818588,22.42190253562,14.9611377718)); #490688=CARTESIAN_POINT('Ctrl Pts',(5.937457490325,22.33917918013,15.06761741525)); #490689=CARTESIAN_POINT('Ctrl Pts',(6.240616162062,22.25645582463,15.1740970587)); #490690=CARTESIAN_POINT('Ctrl Pts',(6.5437748338,22.17373246914,15.28057670215)); #490691=CARTESIAN_POINT('Ctrl Pts',(5.623919875323,22.48333714286,14.98774841681)); #490692=CARTESIAN_POINT('Ctrl Pts',(5.927265479587,22.40096211211,15.09426478243)); #490693=CARTESIAN_POINT('Ctrl Pts',(6.230611083851,22.31858708135,15.20078114806)); #490694=CARTESIAN_POINT('Ctrl Pts',(6.533956688115,22.2362120506,15.30729751368)); #490695=CARTESIAN_POINT('',(7.35182337106933,13.0748115319761,10.9999997722667)); #490696=CARTESIAN_POINT('',(7.95452781603208,12.7171335916104,11.1890452637017)); #490697=CARTESIAN_POINT('Ctrl Pts',(7.35182340726333,13.0748113786936,10.9999994007256)); #490698=CARTESIAN_POINT('Ctrl Pts',(7.45362189486756,13.0175712027311,11.0335311239059)); #490699=CARTESIAN_POINT('Ctrl Pts',(7.55497461757654,12.9590169980706,11.0661123459164)); #490700=CARTESIAN_POINT('Ctrl Pts',(7.7559081321511,12.8397595304821,11.1291178620966)); #490701=CARTESIAN_POINT('Ctrl Pts',(7.85547553509915,12.7790905053464,11.1595511117415)); #490702=CARTESIAN_POINT('Ctrl Pts',(7.9545278704156,12.7171335747794,11.189045045704)); #490703=CARTESIAN_POINT('Ctrl Pts',(7.28168245068319,13.1140039690519,10.9767683569765)); #490704=CARTESIAN_POINT('Ctrl Pts',(7.2894910452787,13.1096681684606,10.9793687369189)); #490705=CARTESIAN_POINT('Ctrl Pts',(7.29729454298806,13.1053261279231,10.9819627323448)); #490706=CARTESIAN_POINT('Ctrl Pts',(7.30509330617146,13.1009775140873,10.9845504024972)); #490707=CARTESIAN_POINT('Ctrl Pts',(7.31289206935486,13.0966289002515,10.9871380726497)); #490708=CARTESIAN_POINT('Ctrl Pts',(7.32068609798433,13.0922737131224,10.9897194176033)); #490709=CARTESIAN_POINT('Ctrl Pts',(7.32847426841124,13.0879129294077,10.9922942623669)); #490710=CARTESIAN_POINT('Ctrl Pts',(7.33626243883816,13.0835521456931,10.9948691071305)); #490711=CARTESIAN_POINT('Ctrl Pts',(7.34404475112167,13.0791857653792,10.9974374515439)); #490712=CARTESIAN_POINT('Ctrl Pts',(7.35182339531272,13.0748119173867,10.9999996827027)); #490713=CARTESIAN_POINT('',(6.474033884938,22.07003589667,15.19402517478)); #490714=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #490715=CARTESIAN_POINT('',(5.2407245271204,14.0547078985404,18.8974407639248)); #490716=CARTESIAN_POINT('Ctrl Pts',(5.24072443743436,14.0547076586772,18.8974407637873)); #490717=CARTESIAN_POINT('Ctrl Pts',(5.83946738330838,13.8314481740698,17.8274784146628)); #490718=CARTESIAN_POINT('Ctrl Pts',(6.24605658254137,13.6416898629266,16.8899738266555)); #490719=CARTESIAN_POINT('Ctrl Pts',(6.65718925605676,13.442392751057,15.8561636121887)); #490720=CARTESIAN_POINT('Ctrl Pts',(6.79215447960997,13.3746817146708,15.5037596404879)); #490721=CARTESIAN_POINT('Ctrl Pts',(7.02409835315171,13.2544623384982,14.8499273205759)); #490722=CARTESIAN_POINT('Ctrl Pts',(7.12891704396845,13.1980891229557,14.5182561654268)); #490723=CARTESIAN_POINT('Ctrl Pts',(7.36091037568146,13.0706737331326,13.7400856101735)); #490724=CARTESIAN_POINT('Ctrl Pts',(7.50567962259922,12.9878296052323,13.2078373847163)); #490725=CARTESIAN_POINT('Ctrl Pts',(7.68441174300934,12.8823407194174,12.4581262691197)); #490726=CARTESIAN_POINT('Ctrl Pts',(7.74055916568846,12.8486489105495,12.2041845799913)); #490727=CARTESIAN_POINT('Ctrl Pts',(7.84865966573263,12.7828991600276,11.699424828443)); #490728=CARTESIAN_POINT('Ctrl Pts',(7.90152613531781,12.7502859953227,11.4444664379097)); #490729=CARTESIAN_POINT('Ctrl Pts',(7.9545276176354,12.7171337328926,11.1890452041955)); #490730=CARTESIAN_POINT('Origin',(0.,-2.660516932358E-11,18.89744094588)); #490731=CARTESIAN_POINT('Origin',(0.,0.,11.)); #490732=CARTESIAN_POINT('Ctrl Pts',(2.70933805143,14.22051497491,22.4645537829)); #490733=CARTESIAN_POINT('Ctrl Pts',(2.265648488627,17.18983197702,23.16176909474)); #490734=CARTESIAN_POINT('Ctrl Pts',(1.821958925825,20.15914897914,23.85898440657)); #490735=CARTESIAN_POINT('Ctrl Pts',(1.378269363022,23.12846598125,24.5561997184)); #490736=CARTESIAN_POINT('Ctrl Pts',(2.737775399114,14.21525862811,22.43258744138)); #490737=CARTESIAN_POINT('Ctrl Pts',(2.289812656186,17.18603201953,23.13471337925)); #490738=CARTESIAN_POINT('Ctrl Pts',(1.841849913258,20.15680541094,23.83683931711)); #490739=CARTESIAN_POINT('Ctrl Pts',(1.39388717033,23.12757880236,24.53896525498)); #490740=CARTESIAN_POINT('Ctrl Pts',(3.126400662317,14.14241733143,21.99263853096)); #490741=CARTESIAN_POINT('Ctrl Pts',(2.619788517476,17.13337869525,22.76300063512)); #490742=CARTESIAN_POINT('Ctrl Pts',(2.113176372636,20.12434005906,23.53336273929)); #490743=CARTESIAN_POINT('Ctrl Pts',(1.606564227796,23.11530142288,24.30372484345)); #490744=CARTESIAN_POINT('Ctrl Pts',(3.835307632851,13.98301258021,21.10890388612)); #490745=CARTESIAN_POINT('Ctrl Pts',(3.215449661989,17.0183122113,22.03274794262)); #490746=CARTESIAN_POINT('Ctrl Pts',(2.595591691128,20.05361184239,22.95659199912)); #490747=CARTESIAN_POINT('Ctrl Pts',(1.975733720267,23.08891147347,23.88043605562)); #490748=CARTESIAN_POINT('Ctrl Pts',(5.065325487943,13.616817302,19.31483711176)); #490749=CARTESIAN_POINT('Ctrl Pts',(4.244919064522,16.75499692911,20.57240768168)); #490750=CARTESIAN_POINT('Ctrl Pts',(3.4245126411,19.89317655623,21.82997825159)); #490751=CARTESIAN_POINT('Ctrl Pts',(2.604106217679,23.03135618335,23.08754882151)); #490752=CARTESIAN_POINT('Ctrl Pts',(6.089816003938,13.19893992563,17.39939220247)); #490753=CARTESIAN_POINT('Ctrl Pts',(5.124447016961,16.45309600937,19.02828483673)); #490754=CARTESIAN_POINT('Ctrl Pts',(4.159078029985,19.70725209312,20.657177471)); #490755=CARTESIAN_POINT('Ctrl Pts',(3.193709043008,22.96140817687,22.28607010527)); #490756=CARTESIAN_POINT('Ctrl Pts',(6.789481165862,12.85570743391,15.65273895766)); #490757=CARTESIAN_POINT('Ctrl Pts',(5.79836660283,16.19234247628,17.53949964246)); #490758=CARTESIAN_POINT('Ctrl Pts',(4.807252039797,19.52897751865,19.42626032726)); #490759=CARTESIAN_POINT('Ctrl Pts',(3.816137476764,22.86561256102,21.31302101206)); #490760=CARTESIAN_POINT('Ctrl Pts',(7.149991860214,12.66000013178,14.65068788903)); #490761=CARTESIAN_POINT('Ctrl Pts',(6.176666847747,16.0376082923,16.636103231)); #490762=CARTESIAN_POINT('Ctrl Pts',(5.203341835279,19.41521645281,18.62151857297)); #490763=CARTESIAN_POINT('Ctrl Pts',(4.230016822812,22.79282461332,20.60693391493)); #490764=CARTESIAN_POINT('Ctrl Pts',(7.380511067355,12.52944917531,13.89066048306)); #490765=CARTESIAN_POINT('Ctrl Pts',(6.447224611713,15.92808915658,15.91825500222)); #490766=CARTESIAN_POINT('Ctrl Pts',(5.513938156071,19.32672913784,17.94584952139)); #490767=CARTESIAN_POINT('Ctrl Pts',(4.58065170043,22.7253691191,19.97344404055)); #490768=CARTESIAN_POINT('Ctrl Pts',(7.585250117439,12.4055862833,13.13223183209)); #490769=CARTESIAN_POINT('Ctrl Pts',(6.713926960759,15.81819588825,15.15303760259)); #490770=CARTESIAN_POINT('Ctrl Pts',(5.842603804078,19.2308054932,17.17384337308)); #490771=CARTESIAN_POINT('Ctrl Pts',(4.971280647397,22.64341509815,19.19464914358)); #490772=CARTESIAN_POINT('Ctrl Pts',(7.766325277598,12.28932843216,12.37992242505)); #490773=CARTESIAN_POINT('Ctrl Pts',(6.983914098414,15.70631198184,14.32820730407)); #490774=CARTESIAN_POINT('Ctrl Pts',(6.201502919231,19.12329553152,16.27649218309)); #490775=CARTESIAN_POINT('Ctrl Pts',(5.419091740047,22.5402790812,18.2247770621)); #490776=CARTESIAN_POINT('Ctrl Pts',(7.892288254761,12.20599975151,11.76065097913)); #490777=CARTESIAN_POINT('Ctrl Pts',(7.201500043243,15.61704583643,13.56987465075)); #490778=CARTESIAN_POINT('Ctrl Pts',(6.510711831725,19.02809192135,15.37909832238)); #490779=CARTESIAN_POINT('Ctrl Pts',(5.819923620208,22.43913800627,17.18832199401)); #490780=CARTESIAN_POINT('Ctrl Pts',(7.984724864849,12.14090303866,11.27595002836)); #490781=CARTESIAN_POINT('Ctrl Pts',(7.383989667823,15.54077395313,12.9097614603)); #490782=CARTESIAN_POINT('Ctrl Pts',(6.783254470797,18.9406448676,14.54357289225)); #490783=CARTESIAN_POINT('Ctrl Pts',(6.182519273771,22.34051578207,16.1773843242)); #490784=CARTESIAN_POINT('Ctrl Pts',(8.033254191566,12.10651519416,11.0257216164)); #490785=CARTESIAN_POINT('Ctrl Pts',(7.476331377471,15.50076206064,12.53726348082)); #490786=CARTESIAN_POINT('Ctrl Pts',(6.919408563376,18.89500892712,14.04880534524)); #490787=CARTESIAN_POINT('Ctrl Pts',(6.362485749282,22.28925579361,15.56034720967)); #490788=CARTESIAN_POINT('Ctrl Pts',(8.058405661442,12.08858733896,10.89590176613)); #490789=CARTESIAN_POINT('Ctrl Pts',(7.526494487204,15.47906113344,12.33364435075)); #490790=CARTESIAN_POINT('Ctrl Pts',(6.994583312967,18.86953492792,13.77138693537)); #490791=CARTESIAN_POINT('Ctrl Pts',(6.462672138729,22.26000872239,15.20912951999)); #490792=CARTESIAN_POINT('Ctrl Pts',(8.060870663636,12.08682921777,10.88317586078)); #490793=CARTESIAN_POINT('Ctrl Pts',(7.531436828234,15.47692385198,12.3135818877)); #490794=CARTESIAN_POINT('Ctrl Pts',(7.002002992831,18.86701848619,13.74398791462)); #490795=CARTESIAN_POINT('Ctrl Pts',(6.472569157429,22.25711312039,15.17439394155)); #490796=CARTESIAN_POINT('Ctrl Pts',(5.24072446342577,14.0547080589378,18.8974406948131)); #490797=CARTESIAN_POINT('Ctrl Pts',(4.88118243605315,14.1887742239698,19.5399475412069)); #490798=CARTESIAN_POINT('Ctrl Pts',(4.48134472786476,14.2920175410768,20.1792697282507)); #490799=CARTESIAN_POINT('Ctrl Pts',(3.53060964561632,14.4126485214008,21.5237717848351)); #490800=CARTESIAN_POINT('Ctrl Pts',(3.08419772522359,14.396384769915,22.0543634115973)); #490801=CARTESIAN_POINT('Ctrl Pts',(2.76798310839292,14.3761484099926,22.4094355888312)); #490802=CARTESIAN_POINT('Ctrl Pts',(0.,14.9997887488,18.80162297035)); #490803=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,14.9997887488,18.80162297035)); #490804=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #490805=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488273,-14.9997887488546, 18.8016229705715)); #490806=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488546,18.8016229705715)); #490807=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-14.9997887488546, 18.8016229705715)); #490808=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,-2.73127922721394E-11, 18.8016229704608)); #490809=CARTESIAN_POINT('Ctrl Pts',(14.9997887488273,14.9997887488,18.80162297035)); #490810=CARTESIAN_POINT('Ctrl Pts',(0.,14.9997887488,18.80162297035)); #490811=CARTESIAN_POINT('Ctrl Pts',(0.,14.99992980179,18.83356208363)); #490812=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,14.99992980179,18.83356208363)); #490813=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #490814=CARTESIAN_POINT('Ctrl Pts',(-14.9999298018171,-14.9999298018442, 18.8335620838516)); #490815=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9999298018442,18.8335620838516)); #490816=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-14.9999298018442, 18.8335620838516)); #490817=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,-2.70769195205644E-11, 18.8335620837408)); #490818=CARTESIAN_POINT('Ctrl Pts',(14.9999298018171,14.99992980179,18.83356208363)); #490819=CARTESIAN_POINT('Ctrl Pts',(0.,14.99992980179,18.83356208363)); #490820=CARTESIAN_POINT('Ctrl Pts',(0.,15.00009290737,18.90736949784)); #490821=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,15.00009290737,18.90736949784)); #490822=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #490823=CARTESIAN_POINT('Ctrl Pts',(-15.0000929073965,-15.0000929074231, 18.9073694980616)); #490824=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000929074231,18.9073694980616)); #490825=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-15.0000929074231, 18.9073694980616)); #490826=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,-2.65318462205098E-11, 18.9073694979508)); #490827=CARTESIAN_POINT('Ctrl Pts',(15.0000929073965,15.00009290737,18.90736949784)); #490828=CARTESIAN_POINT('Ctrl Pts',(0.,15.00009290737,18.90736949784)); #490829=CARTESIAN_POINT('Ctrl Pts',(0.,14.99967051244,19.05318212134)); #490830=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,14.99967051244,19.05318212134)); #490831=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #490832=CARTESIAN_POINT('Ctrl Pts',(-14.9996705124655,-14.9996705124909, 19.0531821215615)); #490833=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9996705124909,19.0531821215615)); #490834=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-14.9996705124909, 19.0531821215615)); #490835=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,-2.54550090391579E-11, 19.0531821214508)); #490836=CARTESIAN_POINT('Ctrl Pts',(14.9996705124655,14.99967051244,19.05318212134)); #490837=CARTESIAN_POINT('Ctrl Pts',(0.,14.99967051244,19.05318212134)); #490838=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #490839=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #490840=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #490841=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #490842=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #490843=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #490844=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #490845=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #490846=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #490847=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #490848=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #490849=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #490850=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #490851=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #490852=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #490853=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #490854=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #490855=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #490856=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #490857=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #490858=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #490859=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #490860=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #490861=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #490862=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #490863=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #490864=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #490865=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #490866=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #490867=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #490868=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #490869=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #490870=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #490871=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #490872=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #490873=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #490874=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #490875=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #490876=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #490877=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #490878=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #490879=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #490880=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #490881=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #490882=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #490883=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #490884=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #490885=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #490886=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #490887=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #490888=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #490889=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #490890=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #490891=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #490892=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #490893=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #490894=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #490895=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #490896=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #490897=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #490898=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #490899=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #490900=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #490901=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #490902=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #490903=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #490904=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #490905=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #490906=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #490907=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #490908=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #490909=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #490910=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #490911=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #490912=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #490913=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #490914=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #490915=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #490916=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #490917=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #490918=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #490919=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #490920=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #490921=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #490922=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #490923=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #490924=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #490925=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #490926=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #490927=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #490928=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #490929=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #490930=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #490931=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #490932=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #490933=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #490934=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #490935=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #490936=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #490937=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #490938=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #490939=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #490940=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #490941=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #490942=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #490943=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #490944=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #490945=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #490946=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #490947=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #490948=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #490949=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #490950=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #490951=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #490952=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #490953=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #490954=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #490955=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #490956=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #490957=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #490958=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #490959=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #490960=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #490961=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #490962=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #490963=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #490964=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #490965=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #490966=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #490967=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #490968=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #490969=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #490970=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #490971=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #490972=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #490973=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #490974=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #490975=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #490976=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #490977=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #490978=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #490979=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #490980=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #490981=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #490982=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #490983=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #490984=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #490985=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #490986=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #490987=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #490988=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #490989=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #490990=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #490991=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #490992=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #490993=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #490994=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #490995=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #490996=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #490997=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #490998=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #490999=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #491000=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325614,22.8607563264657)); #491001=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,14.5323740325614, 22.8607563264657)); #491002=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,2.66421257838593E-12, 22.860756326573)); #491003=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325587,-14.5323740325561, 22.8607563266804)); #491004=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.8607563266804)); #491005=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,-14.5323740325561, 22.8607563266804)); #491006=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,2.66421257838593E-12, 22.860756326573)); #491007=CARTESIAN_POINT('Ctrl Pts',(14.5323740325587,14.5323740325614,22.8607563264657)); #491008=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325614,22.8607563264657)); #491009=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623171,22.9976647317326)); #491010=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,14.4979219623171, 22.9976647317326)); #491011=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #491012=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623134,-14.4979219623097, 22.9976647319468)); #491013=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623097,22.9976647319468)); #491014=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,-14.4979219623097, 22.9976647319468)); #491015=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,3.67529143899465E-12, 22.9976647318397)); #491016=CARTESIAN_POINT('Ctrl Pts',(14.4979219623134,14.4979219623171,22.9976647317326)); #491017=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623171,22.9976647317326)); #491018=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660716,23.0824975056)); #491019=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,14.47675660716,23.0824975056)); #491020=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #491021=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071557,-14.4767566071514, 23.0824975058138)); #491022=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071514,23.0824975058138)); #491023=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,-14.4767566071514, 23.0824975058138)); #491024=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,4.30178784859684E-12, 23.0824975057069)); #491025=CARTESIAN_POINT('Ctrl Pts',(14.4767566071557,14.47675660716,23.0824975056)); #491026=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660716,23.0824975056)); #491027=CARTESIAN_POINT('Origin',(0.,0.,11.)); #491028=CARTESIAN_POINT('',(1.73692447566468,-14.8990970654512,11.000071494631)); #491029=CARTESIAN_POINT('Origin',(0.,0.,11.)); #491030=CARTESIAN_POINT('',(-13.6329314394563,-6.25645137930156,10.9999997717398)); #491031=CARTESIAN_POINT('Origin',(-2.791229251406E-11,-2.082751489758E-10, 10.99999999985)); #491032=CARTESIAN_POINT('Origin',(0.,0.,11.)); #491033=CARTESIAN_POINT('Origin',(-2.346181198966E-10,-2.460893836376E-10, 11.00000000003)); #491034=CARTESIAN_POINT('Origin',(-3.344584751062E-10,-6.118349333281E-11, 11.00000000028)); #491035=CARTESIAN_POINT('Origin',(-1.894556770891E-10,9.090664415717E-11, 11.00000000027)); #491036=CARTESIAN_POINT('Origin',(0.,0.,11.)); #491037=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #491038=CARTESIAN_POINT('',(1.03959569428848,-14.9639313196543,11.189044721874)); #491039=CARTESIAN_POINT('Ctrl Pts',(1.73693446757941,-14.899095900602,11.000142989262)); #491040=CARTESIAN_POINT('Ctrl Pts',(1.62096905493627,-14.9126151318097, 11.0336611883521)); #491041=CARTESIAN_POINT('Ctrl Pts',(1.50458473144311,-14.9248108553021, 11.0662315691247)); #491042=CARTESIAN_POINT('Ctrl Pts',(1.27209600510634,-14.9464135124157, 11.1291869437806)); #491043=CARTESIAN_POINT('Ctrl Pts',(1.15601564280984,-14.9558432340738, 11.1595845344408)); #491044=CARTESIAN_POINT('Ctrl Pts',(1.03959575013451,-14.963931324231,11.1890449413065)); #491045=CARTESIAN_POINT('',(4.02131500515911,-14.4509180008961,18.8974410486052)); #491046=CARTESIAN_POINT('Ctrl Pts',(4.02131492811242,-14.4509178341357, 18.8974410662353)); #491047=CARTESIAN_POINT('Ctrl Pts',(3.40568795767416,-14.6222308230258, 17.8274698507151)); #491048=CARTESIAN_POINT('Ctrl Pts',(2.96520685969333,-14.7077014175999, 16.8899510885565)); #491049=CARTESIAN_POINT('Ctrl Pts',(2.51543924572159,-14.7881255338751, 15.8561123992345)); #491050=CARTESIAN_POINT('Ctrl Pts',(2.3664463707845,-14.8126771913385,15.5036976628387)); #491051=CARTESIAN_POINT('Ctrl Pts',(2.10813981957845,-14.8517498817592, 14.8498658632981)); #491052=CARTESIAN_POINT('Ctrl Pts',(1.99020427840931,-14.8677537206067, 14.518190608296)); #491053=CARTESIAN_POINT('Ctrl Pts',(1.72763609376172,-14.9010330090093, 13.7400481245363)); #491054=CARTESIAN_POINT('Ctrl Pts',(1.56182366061408,-14.9191035586436, 13.2078083655766)); #491055=CARTESIAN_POINT('Ctrl Pts',(1.35522423750283,-14.9388169976503, 12.4581033988267)); #491056=CARTESIAN_POINT('Ctrl Pts',(1.28999757889825,-14.9445622579652, 12.2041654154746)); #491057=CARTESIAN_POINT('Ctrl Pts',(1.16389832119488,-14.954909228658,11.6994156366306)); #491058=CARTESIAN_POINT('Ctrl Pts',(1.10195995584196,-14.9595986694093, 11.4444615656902)); #491059=CARTESIAN_POINT('Ctrl Pts',(1.03959552656293,-14.9639313397633, 11.1890447631682)); #491060=CARTESIAN_POINT('Origin',(0.,-2.660516932546E-11,18.89744094563)); #491061=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #491062=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,14.99978874879,18.80162297012)); #491063=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #491064=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-14.9997887488446, 18.8016229703415)); #491065=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488446,18.8016229703415)); #491066=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-14.9997887488446, 18.8016229703415)); #491067=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #491068=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,14.99978874879,18.80162297012)); #491069=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #491070=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #491071=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,15.0001147019408, 18.8754296570212)); #491072=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #491073=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-15.0001147019943, 18.8754296572428)); #491074=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0001147019943,18.8754296572428)); #491075=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-15.0001147019943, 18.8754296572428)); #491076=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #491077=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,15.0001147019408,18.8754296570212)); #491078=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #491079=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #491080=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,15.0000152035358, 19.0212441001061)); #491081=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #491082=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-15.0000152035871, 19.0212441003276)); #491083=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000152035871,19.0212441003276)); #491084=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-15.0000152035871, 19.0212441003276)); #491085=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #491086=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,15.0000152035358,19.0212441001061)); #491087=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #491088=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #491089=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #491090=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #491091=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #491092=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #491093=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #491094=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #491095=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #491096=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #491097=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #491098=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #491099=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #491100=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #491101=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #491102=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #491103=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #491104=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #491105=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #491106=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #491107=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #491108=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #491109=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #491110=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #491111=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #491112=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #491113=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #491114=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #491115=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #491116=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #491117=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #491118=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #491119=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #491120=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #491121=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #491122=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #491123=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #491124=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #491125=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #491126=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #491127=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #491128=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #491129=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #491130=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #491131=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #491132=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #491133=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #491134=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #491135=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #491136=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #491137=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #491138=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #491139=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #491140=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #491141=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #491142=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #491143=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #491144=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #491145=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #491146=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #491147=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #491148=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #491149=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #491150=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #491151=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #491152=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #491153=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #491154=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #491155=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #491156=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #491157=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #491158=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #491159=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #491160=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #491161=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #491162=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #491163=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #491164=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #491165=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #491166=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #491167=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #491168=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #491169=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #491170=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #491171=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #491172=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #491173=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #491174=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #491175=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #491176=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #491177=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #491178=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #491179=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #491180=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #491181=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #491182=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #491183=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #491184=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #491185=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #491186=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #491187=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #491188=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #491189=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #491190=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #491191=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #491192=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #491193=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #491194=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #491195=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #491196=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #491197=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #491198=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #491199=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #491200=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #491201=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #491202=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #491203=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #491204=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #491205=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #491206=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #491207=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #491208=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #491209=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #491210=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #491211=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #491212=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #491213=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #491214=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #491215=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #491216=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #491217=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #491218=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #491219=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #491220=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #491221=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #491222=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #491223=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #491224=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #491225=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #491226=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #491227=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #491228=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #491229=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #491230=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #491231=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #491232=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #491233=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #491234=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #491235=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #491236=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #491237=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #491238=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #491239=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #491240=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #491241=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #491242=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #491243=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #491244=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #491245=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #491246=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #491247=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #491248=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #491249=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #491250=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #491251=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,14.5323740325615, 22.8607563264774)); #491252=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #491253=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,-14.5323740325561, 22.860756326692)); #491254=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.860756326692)); #491255=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,-14.5323740325561, 22.860756326692)); #491256=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #491257=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,14.5323740325615,22.8607563264774)); #491258=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #491259=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #491260=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,14.4979219623173, 22.997664731754)); #491261=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #491262=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,-14.4979219623099, 22.9976647319682)); #491263=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623099,22.9976647319682)); #491264=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,-14.4979219623099, 22.9976647319682)); #491265=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #491266=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,14.4979219623173,22.997664731754)); #491267=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #491268=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #491269=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,14.47675660715,23.08249750563)); #491270=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #491271=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,-14.4767566071414, 23.0824975058438)); #491272=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071414,23.0824975058438)); #491273=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,-14.4767566071414, 23.0824975058438)); #491274=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #491275=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,14.47675660715,23.08249750563)); #491276=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #491277=CARTESIAN_POINT('Ctrl Pts',(4.02131514238077,-14.4509181732759, 18.8974409972519)); #491278=CARTESIAN_POINT('Ctrl Pts',(4.39099253535116,-14.3480465561865, 19.5399472580872)); #491279=CARTESIAN_POINT('Ctrl Pts',(4.77515244634728,-14.1965536615046, 20.1792686471283)); #491280=CARTESIAN_POINT('Ctrl Pts',(5.61521839068646,-13.7353183582683, 21.523770794101)); #491281=CARTESIAN_POINT('Ctrl Pts',(5.96681399037908,-13.4597661148996, 22.0543629896567)); #491282=CARTESIAN_POINT('Ctrl Pts',(6.21074264533904,-13.2575280270555, 22.4094355889931)); #491283=CARTESIAN_POINT('Ctrl Pts',(6.166708455147,-13.09714723358,22.46455378306)); #491284=CARTESIAN_POINT('Ctrl Pts',(8.270981594916,-15.23858096835,23.16176909489)); #491285=CARTESIAN_POINT('Ctrl Pts',(10.37525473468,-17.38001470311,23.85898440673)); #491286=CARTESIAN_POINT('Ctrl Pts',(12.47952787445,-19.52144843787,24.55619971856)); #491287=CARTESIAN_POINT('Ctrl Pts',(6.140612554462,-13.10960981327,22.43258744153)); #491288=CARTESIAN_POINT('Ctrl Pts',(8.249198813728,-15.24971007948,23.1347133794)); #491289=CARTESIAN_POINT('Ctrl Pts',(10.35778507299,-17.38981034568,23.83683931727)); #491290=CARTESIAN_POINT('Ctrl Pts',(12.46637133226,-19.52991061189,24.53896525514)); #491291=CARTESIAN_POINT('Ctrl Pts',(5.783393072132,-13.27910816475,21.99263853113)); #491292=CARTESIAN_POINT('Ctrl Pts',(7.951293886705,-15.4010675902,22.76300063529)); #491293=CARTESIAN_POINT('Ctrl Pts',(10.11919470128,-17.52302701566,23.53336273945)); #491294=CARTESIAN_POINT('Ctrl Pts',(12.28709551585,-19.64498644112,24.30372484362)); #491295=CARTESIAN_POINT('Ctrl Pts',(5.116179523615,-13.56683207458,21.10890388631)); #491296=CARTESIAN_POINT('Ctrl Pts',(7.4017595156,-15.65809768534,22.0327479428)); #491297=CARTESIAN_POINT('Ctrl Pts',(9.687339507585,-17.7493632961,22.9565919993)); #491298=CARTESIAN_POINT('Ctrl Pts',(11.97291949957,-19.84062890686,23.8804360558)); #491299=CARTESIAN_POINT('Ctrl Pts',(3.905829991432,-13.99356022656,19.31483711198)); #491300=CARTESIAN_POINT('Ctrl Pts',(6.414128434162,-16.0501780797,20.57240768189)); #491301=CARTESIAN_POINT('Ctrl Pts',(8.922426876892,-18.10679593283,21.8299782518)); #491302=CARTESIAN_POINT('Ctrl Pts',(11.43072531962,-20.16341378597,23.08754882171)); #491303=CARTESIAN_POINT('Ctrl Pts',(2.831377594277,-14.25767074397,17.39939220272)); #491304=CARTESIAN_POINT('Ctrl Pts',(5.525122465296,-16.32290866447,19.02828483698)); #491305=CARTESIAN_POINT('Ctrl Pts',(8.218867336314,-18.38814658496,20.65717747123)); #491306=CARTESIAN_POINT('Ctrl Pts',(10.91261220733,-20.45338450546,22.28607010548)); #491307=CARTESIAN_POINT('Ctrl Pts',(2.063589591137,-14.39124268891,15.65273895793)); #491308=CARTESIAN_POINT('Ctrl Pts',(4.826642986223,-16.50807461869,17.53949964272)); #491309=CARTESIAN_POINT('Ctrl Pts',(7.58969638131,-18.62490654846,19.42626032751)); #491310=CARTESIAN_POINT('Ctrl Pts',(10.3527497764,-20.74173847824,21.3130210123)); #491311=CARTESIAN_POINT('Ctrl Pts',(1.656896446773,-14.44481502502,14.65068788931)); #491312=CARTESIAN_POINT('Ctrl Pts',(4.429641187721,-16.60525133915,16.63610323127)); #491313=CARTESIAN_POINT('Ctrl Pts',(7.202385928668,-18.76568765329,18.62151857322)); #491314=CARTESIAN_POINT('Ctrl Pts',(9.975130669616,-20.92612396743,20.60693391517)); #491315=CARTESIAN_POINT('Ctrl Pts',(1.393666563765,-14.47469287294,13.89066048335)); #491316=CARTESIAN_POINT('Ctrl Pts',(4.146381625875,-16.67567836071,15.9182550025)); #491317=CARTESIAN_POINT('Ctrl Pts',(6.899096687985,-18.87666384848,17.94584952165)); #491318=CARTESIAN_POINT('Ctrl Pts',(9.651811750094,-21.07764933625,19.9734440408)); #491319=CARTESIAN_POINT('Ctrl Pts',(1.155224411588,-14.49482828256,13.13223183239)); #491320=CARTESIAN_POINT('Ctrl Pts',(3.866021250594,-16.74353654661,15.15303760287)); #491321=CARTESIAN_POINT('Ctrl Pts',(6.5768180896,-18.99224481066,17.17384337336)); #491322=CARTESIAN_POINT('Ctrl Pts',(9.287614928606,-21.2409530747,19.19464914384)); #491323=CARTESIAN_POINT('Ctrl Pts',(0.9403968793847,-14.50720701398,12.37992242535)); #491324=CARTESIAN_POINT('Ctrl Pts',(3.581833357798,-16.81171502277,14.32820730436)); #491325=CARTESIAN_POINT('Ctrl Pts',(6.22326983621,-19.11622303156,16.27649218337)); #491326=CARTESIAN_POINT('Ctrl Pts',(8.864706314623,-21.42073104036,18.22477706238)); #491327=CARTESIAN_POINT('Ctrl Pts',(0.7895113206002,-14.51383187553,11.76065097944)); #491328=CARTESIAN_POINT('Ctrl Pts',(3.353333306874,-16.8673910036,13.56987465105)); #491329=CARTESIAN_POINT('Ctrl Pts',(5.917155293148,-19.22095013167,15.37909832267)); #491330=CARTESIAN_POINT('Ctrl Pts',(8.480977279422,-21.57450925974,17.18832199429)); #491331=CARTESIAN_POINT('Ctrl Pts',(0.6764656443399,-14.51550040475,11.27595002865)); #491332=CARTESIAN_POINT('Ctrl Pts',(3.160864611108,-16.91295046385,12.9097614606)); #491333=CARTESIAN_POINT('Ctrl Pts',(5.645263577876,-19.31040052296,14.54357289254)); #491334=CARTESIAN_POINT('Ctrl Pts',(8.129662544645,-21.70785058206,16.17738432449)); #491335=CARTESIAN_POINT('Ctrl Pts',(0.6169919264419,-14.5162048767,11.0257216167)); #491336=CARTESIAN_POINT('Ctrl Pts',(3.062640198386,-16.93485725796,12.53726348112)); #491337=CARTESIAN_POINT('Ctrl Pts',(5.50828847033,-19.35350963922,14.04880534555)); #491338=CARTESIAN_POINT('Ctrl Pts',(7.953936742273,-21.77216202049,15.56034720997)); #491339=CARTESIAN_POINT('Ctrl Pts',(0.5861062309872,-14.51648460025,10.89590176643)); #491340=CARTESIAN_POINT('Ctrl Pts',(3.009301905149,-16.94678597519,12.33364435106)); #491341=CARTESIAN_POINT('Ctrl Pts',(5.43249757931,-19.37708735012,13.77138693568)); #491342=CARTESIAN_POINT('Ctrl Pts',(7.855693253471,-21.80738872506,15.2091295203)); #491343=CARTESIAN_POINT('Ctrl Pts',(0.583078604616,-14.51651114227,10.88317586108)); #491344=CARTESIAN_POINT('Ctrl Pts',(3.004047204743,-16.94796191333,12.313581888)); #491345=CARTESIAN_POINT('Ctrl Pts',(5.425015804869,-19.3794126844,13.74398791493)); #491346=CARTESIAN_POINT('Ctrl Pts',(7.845984404995,-21.81086345546,15.17439394186)); #491347=CARTESIAN_POINT('',(7.73484495764246,-21.6603825601316,15.1940291646191)); #491348=CARTESIAN_POINT('',(7.734838182373,-21.66037574745,15.19402517497)); #491349=CARTESIAN_POINT('Ctrl Pts',(7.73484497210528,-21.6603825741259, 15.19402916197)); #491350=CARTESIAN_POINT('Ctrl Pts',(7.78353546454954,-21.642995373813,15.3679996089099)); #491351=CARTESIAN_POINT('Ctrl Pts',(7.83638018943235,-21.6239272021763, 15.5554055811417)); #491352=CARTESIAN_POINT('Ctrl Pts',(7.93633397494113,-21.5874313657261, 15.895932152692)); #491353=CARTESIAN_POINT('Ctrl Pts',(7.98520533421161,-21.5694238179713, 16.0563731586825)); #491354=CARTESIAN_POINT('Ctrl Pts',(8.13614156165911,-21.513100897298,16.5205722797723)); #491355=CARTESIAN_POINT('Ctrl Pts',(8.26689361247478,-21.4631141839511, 16.8887158319041)); #491356=CARTESIAN_POINT('Ctrl Pts',(8.50253469214223,-21.3713813450973, 17.5447100748068)); #491357=CARTESIAN_POINT('Ctrl Pts',(8.67969226982795,-21.3005075165727, 18.0171032656329)); #491358=CARTESIAN_POINT('Ctrl Pts',(8.98808621980307,-21.1713777309557, 18.7479869501843)); #491359=CARTESIAN_POINT('Ctrl Pts',(9.10343961059572,-21.1220180286674, 19.0081416867227)); #491360=CARTESIAN_POINT('Ctrl Pts',(9.32481750153251,-21.0253394820694, 19.4952611768233)); #491361=CARTESIAN_POINT('Ctrl Pts',(9.44986047093121,-20.9695433250088, 19.7612563023345)); #491362=CARTESIAN_POINT('Ctrl Pts',(9.83055162475921,-20.7950502506863, 20.5301735125054)); #491363=CARTESIAN_POINT('Ctrl Pts',(10.1132057917577,-20.6598402208761, 21.0621223919729)); #491364=CARTESIAN_POINT('Ctrl Pts',(10.4872451892222,-20.4701370483862, 21.7158511805604)); #491365=CARTESIAN_POINT('Ctrl Pts',(10.5731958316833,-20.4258784814455, 21.8627721790214)); #491366=CARTESIAN_POINT('Ctrl Pts',(10.7913052535172,-20.3118349386659, 22.2284447362033)); #491367=CARTESIAN_POINT('Ctrl Pts',(10.9259771087908,-20.2397797068325, 22.4469154178061)); #491368=CARTESIAN_POINT('Ctrl Pts',(11.2612467686514,-20.0557653453959, 22.9720284585075)); #491369=CARTESIAN_POINT('Ctrl Pts',(11.4689739423519,-19.9376838749668, 23.283512272797)); #491370=CARTESIAN_POINT('Ctrl Pts',(11.7630897982689,-19.7647235700568, 23.7096584455096)); #491371=CARTESIAN_POINT('Ctrl Pts',(11.8650930300759,-19.7036989793922, 23.8536831139423)); #491372=CARTESIAN_POINT('Ctrl Pts',(12.0873175266371,-19.5682001658879, 24.1584052561368)); #491373=CARTESIAN_POINT('Ctrl Pts',(12.202412285456,-19.4966642066159,24.3118649735998)); #491374=CARTESIAN_POINT('Ctrl Pts',(12.3181436727643,-19.4232679139514, 24.4636599149623)); #491375=CARTESIAN_POINT('Ctrl Pts',(1.722419319164,-14.69329428324,10.83648737941)); #491376=CARTESIAN_POINT('Ctrl Pts',(1.420241823537,-14.7438518974,10.93727494639)); #491377=CARTESIAN_POINT('Ctrl Pts',(1.11806432791,-14.79440951155,11.03806251338)); #491378=CARTESIAN_POINT('Ctrl Pts',(0.8158868322825,-14.84496712571,11.13885008036)); #491379=CARTESIAN_POINT('Ctrl Pts',(1.76692642164,-14.73689533953,10.86309802733)); #491380=CARTESIAN_POINT('Ctrl Pts',(1.464802434496,-14.78784463,10.96392231644)); #491381=CARTESIAN_POINT('Ctrl Pts',(1.162678447353,-14.83879392047,11.06474660555)); #491382=CARTESIAN_POINT('Ctrl Pts',(0.8605544602096,-14.88974321094,11.16557089467)); #491383=CARTESIAN_POINT('Ctrl Pts',(4.036788631338,-16.96054919413,12.22024106149)); #491384=CARTESIAN_POINT('Ctrl Pts',(3.737393576851,-17.03147397656,12.32293817909)); #491385=CARTESIAN_POINT('Ctrl Pts',(3.437998522365,-17.10239875898,12.42563529668)); #491386=CARTESIAN_POINT('Ctrl Pts',(3.138603467879,-17.1733235414,12.52833241428)); #491387=CARTESIAN_POINT('Ctrl Pts',(6.351157960069,-19.22780412125,13.60399475347)); #491388=CARTESIAN_POINT('Ctrl Pts',(6.054545346743,-19.31909607208,13.70860142169)); #491389=CARTESIAN_POINT('Ctrl Pts',(5.757932733418,-19.41038802291,13.81320808991)); #491390=CARTESIAN_POINT('Ctrl Pts',(5.461320120093,-19.50167997375,13.91781475813)); #491391=CARTESIAN_POINT('Ctrl Pts',(8.621020186324,-21.45145799207,14.96113779753)); #491392=CARTESIAN_POINT('Ctrl Pts',(8.327136505676,-21.562725435,15.06761729425)); #491393=CARTESIAN_POINT('Ctrl Pts',(8.033252825028,-21.67399287793,15.17409679097)); #491394=CARTESIAN_POINT('Ctrl Pts',(7.739369144379,-21.78526032085,15.28057628769)); #491395=CARTESIAN_POINT('Ctrl Pts',(8.665527305357,-21.49505906458,14.98774845535)); #491396=CARTESIAN_POINT('Ctrl Pts',(8.371697133213,-21.60671818397,15.09426467421)); #491397=CARTESIAN_POINT('Ctrl Pts',(8.077866961068,-21.71837730336,15.20078089307)); #491398=CARTESIAN_POINT('Ctrl Pts',(7.784036788923,-21.83003642275,15.30729711193)); #491399=CARTESIAN_POINT('Ctrl Pts',(1.81721328736464,-14.8895176506234, 10.9767683711431)); #491400=CARTESIAN_POINT('Ctrl Pts',(1.8038243125527,-14.8911517279322,10.9806954166873)); #491401=CARTESIAN_POINT('Ctrl Pts',(1.79042949689205,-14.8927681896056, 10.9846098309744)); #491402=CARTESIAN_POINT('Ctrl Pts',(1.76383455602773,-14.895941199781,10.9923533299056)); #491403=CARTESIAN_POINT('Ctrl Pts',(1.75063463382028,-14.8974982844409, 10.9961827929742)); #491404=CARTESIAN_POINT('Ctrl Pts',(1.73742915575745,-14.8990382216009, 11.)); #491405=CARTESIAN_POINT('Ctrl Pts',(8.44218337400914,-21.3946147401537, 14.9380248824692)); #491406=CARTESIAN_POINT('Ctrl Pts',(8.3253986915115,-21.4406972575019,14.9818498911956)); #491407=CARTESIAN_POINT('Ctrl Pts',(8.20805195003498,-21.4858964337625, 15.0250941772879)); #491408=CARTESIAN_POINT('Ctrl Pts',(7.97225784962144,-21.5744980696225, 15.1104288587781)); #491409=CARTESIAN_POINT('Ctrl Pts',(7.85381740568445,-21.6178979447349, 15.1525167613002)); #491410=CARTESIAN_POINT('Ctrl Pts',(7.73484494556547,-21.6603825836032, 15.1940291059857)); #491411=CARTESIAN_POINT('Origin',(0.,0.,35.)); #491412=CARTESIAN_POINT('Origin',(0.,0.,30.1)); #491413=CARTESIAN_POINT('Origin',(1.252047354625E-10,-6.007638830662E-11, 30.1)); #491414=CARTESIAN_POINT('Ctrl Pts',(14.7223225543588,-2.87284145378428, 10.9767683569917)); #491415=CARTESIAN_POINT('Ctrl Pts',(14.7206119513872,-2.88160770459829, 10.9793687369239)); #491416=CARTESIAN_POINT('Ctrl Pts',(14.7188938390385,-2.89037103600104, 10.9819627323716)); #491417=CARTESIAN_POINT('Ctrl Pts',(14.7171680116979,-2.89913189632895, 10.9845504024906)); #491418=CARTESIAN_POINT('Ctrl Pts',(14.7154421843574,-2.90789275665685, 10.9871380726096)); #491419=CARTESIAN_POINT('Ctrl Pts',(14.7137086420212,-2.91665114588166, 10.9897194174747)); #491420=CARTESIAN_POINT('Ctrl Pts',(14.7119679679989,-2.92540569143128, 10.9922942623354)); #491421=CARTESIAN_POINT('Ctrl Pts',(14.7102272939767,-2.9341602369809,10.9948691071961)); #491422=CARTESIAN_POINT('Ctrl Pts',(14.7084794882739,-2.94291093891598, 10.9974374518917)); #491423=CARTESIAN_POINT('Ctrl Pts',(14.7067234448752,-2.95166046260776, 10.9999996830786)); #491424=CARTESIAN_POINT('Ctrl Pts',(14.50641418086,-2.902357395227,10.83648933877)); #491425=CARTESIAN_POINT('Ctrl Pts',(14.4611193141,-3.205368855648,10.93727704716)); #491426=CARTESIAN_POINT('Ctrl Pts',(14.41582444735,-3.508380316068,11.03806475554)); #491427=CARTESIAN_POINT('Ctrl Pts',(14.37052958059,-3.811391776489,11.13885246393)); #491428=CARTESIAN_POINT('Ctrl Pts',(14.56163395003,-2.873502484969,10.863099625)); #491429=CARTESIAN_POINT('Ctrl Pts',(14.51672811987,-3.176584089578,10.96392405506)); #491430=CARTESIAN_POINT('Ctrl Pts',(14.4718222897,-3.479665694187,11.06474848512)); #491431=CARTESIAN_POINT('Ctrl Pts',(14.42691645953,-3.782747298797,11.16557291519)); #491432=CARTESIAN_POINT('Ctrl Pts',(17.37787908066,-1.401882778367,12.2202420059)); #491433=CARTESIAN_POINT('Ctrl Pts',(17.3528143766,-1.708541783474,12.32293926612)); #491434=CARTESIAN_POINT('Ctrl Pts',(17.32774967253,-2.015200788582,12.42563652635)); #491435=CARTESIAN_POINT('Ctrl Pts',(17.30268496847,-2.32185979369,12.52833378657)); #491436=CARTESIAN_POINT('Ctrl Pts',(20.249344725,0.09859222755749,13.60399503183)); #491437=CARTESIAN_POINT('Ctrl Pts',(20.24451018889,-0.211714323183,13.70860184439)); #491438=CARTESIAN_POINT('Ctrl Pts',(20.23967565277,-0.5220208739235,13.81320865694)); #491439=CARTESIAN_POINT('Ctrl Pts',(20.23484111665,-0.832327424664,13.9178154695)); #491440=CARTESIAN_POINT('Ctrl Pts',(23.06559060018,1.570212323223,14.96113777153)); #491441=CARTESIAN_POINT('Ctrl Pts',(23.08059719541,1.256328371039,15.06761741474)); #491442=CARTESIAN_POINT('Ctrl Pts',(23.09560379064,0.9424444188541,15.17409705795)); #491443=CARTESIAN_POINT('Ctrl Pts',(23.11061038588,0.6285604666695,15.28057670117)); #491444=CARTESIAN_POINT('Ctrl Pts',(23.12081111391,1.599067622546,14.98774841656)); #491445=CARTESIAN_POINT('Ctrl Pts',(23.13620675097,1.285113525226,15.09426478194)); #491446=CARTESIAN_POINT('Ctrl Pts',(23.15160238804,0.9711594279073,15.20078114733)); #491447=CARTESIAN_POINT('Ctrl Pts',(23.16699802511,0.6572053305882,15.30729751271)); #491448=CARTESIAN_POINT('Origin',(2.210307492553E-10,4.043698708715E-11, 30.1)); #491449=CARTESIAN_POINT('Origin',(1.550510830875E-10,1.626316858911E-10, 30.1)); #491450=CARTESIAN_POINT('Origin',(0.,0.,9.6)); #491451=CARTESIAN_POINT('',(-12.500985225164,-8.29007696486913,18.8974407786153)); #491452=CARTESIAN_POINT('',(-13.9102917620779,-5.61282305648012,11.1890452639039)); #491453=CARTESIAN_POINT('Ctrl Pts',(-12.5009850141807,-8.29007681962178, 18.8974407812697)); #491454=CARTESIAN_POINT('Ctrl Pts',(-12.8541503048444,-7.75752276708685, 17.8274763003388)); #491455=CARTESIAN_POINT('Ctrl Pts',(-13.0715515841549,-7.36501639512373, 16.8899679710502)); #491456=CARTESIAN_POINT('Ctrl Pts',(-13.2870222166542,-6.96212124213475, 15.8561497797189)); #491457=CARTESIAN_POINT('Ctrl Pts',(-13.3564122458871,-6.82801036128494, 15.5037431554963)); #491458=CARTESIAN_POINT('Ctrl Pts',(-13.4733950181017,-6.59441853469986, 14.8499114413794)); #491459=CARTESIAN_POINT('Ctrl Pts',(-13.5250596916973,-6.48720084419157, 14.5182396008245)); #491460=CARTESIAN_POINT('Ctrl Pts',(-13.6378520126417,-6.24776002457658, 13.7400764530772)); #491461=CARTESIAN_POINT('Ctrl Pts',(-13.7062778319292,-6.09564535590701, 13.2078310246143)); #491462=CARTESIAN_POINT('Ctrl Pts',(-13.7888699392539,-5.90524783401698, 12.4581227448929)); #491463=CARTESIAN_POINT('Ctrl Pts',(-13.8144904584617,-5.84498831040361, 12.2041824693558)); #491464=CARTESIAN_POINT('Ctrl Pts',(-13.863298700423,-5.72825615881126, 11.6994243991734)); #491465=CARTESIAN_POINT('Ctrl Pts',(-13.8868990187401,-5.67079746290016, 11.4444661861835)); #491466=CARTESIAN_POINT('Ctrl Pts',(-13.9102916846416,-5.61282328674178, 11.1890452045483)); #491467=CARTESIAN_POINT('Origin',(0.,-2.660516932451E-11,18.89744094576)); #491468=CARTESIAN_POINT('Ctrl Pts',(-13.6329313782335,-6.2564512334372, 10.9999993989482)); #491469=CARTESIAN_POINT('Ctrl Pts',(-13.6816431754535,-6.15030726075468, 11.0335311372955)); #491470=CARTESIAN_POINT('Ctrl Pts',(-13.7292219734125,-6.0433622302279, 11.0661123734952)); #491471=CARTESIAN_POINT('Ctrl Pts',(-13.8216828196466,-5.82877515232049, 11.1291178886437)); #491472=CARTESIAN_POINT('Ctrl Pts',(-13.8665741624339,-5.72116867538803, 11.1595511246454)); #491473=CARTESIAN_POINT('Ctrl Pts',(-13.910291795988,-5.61282301079145, 11.1890450458035)); #491474=CARTESIAN_POINT('Ctrl Pts',(-10.55050928546,-9.912129423627,22.46455403953)); #491475=CARTESIAN_POINT('Ctrl Pts',(-11.93687767161,-12.57515152114,23.16176931663)); #491476=CARTESIAN_POINT('Ctrl Pts',(-13.32324605775,-15.23817361866,23.85898459373)); #491477=CARTESIAN_POINT('Ctrl Pts',(-14.7096144439,-17.90119571617,24.55619987083)); #491478=CARTESIAN_POINT('Ctrl Pts',(-10.57042603901,-9.891161834483,22.4325876048)); #491479=CARTESIAN_POINT('Ctrl Pts',(-11.95419338628,-12.55787389873,23.13471352201)); #491480=CARTESIAN_POINT('Ctrl Pts',(-13.33796073355,-15.22458596297,23.83683943922)); #491481=CARTESIAN_POINT('Ctrl Pts',(-14.72172808081,-17.89129802722,24.53896535643)); #491482=CARTESIAN_POINT('Ctrl Pts',(-10.84201550551,-9.603803725162,21.99263860204)); #491483=CARTESIAN_POINT('Ctrl Pts',(-12.19020067401,-12.32132146661,22.7630006985)); #491484=CARTESIAN_POINT('Ctrl Pts',(-13.53838584251,-15.03883920806,23.53336279495)); #491485=CARTESIAN_POINT('Ctrl Pts',(-14.88657101101,-17.75635694951,24.3037248914)); #491486=CARTESIAN_POINT('Ctrl Pts',(-11.32183758569,-9.058157445868,21.1089038539)); #491487=CARTESIAN_POINT('Ctrl Pts',(-12.60446632796,-11.87810983803,22.03274792153)); #491488=CARTESIAN_POINT('Ctrl Pts',(-13.88709507023,-14.6980622302,22.95659198916)); #491489=CARTESIAN_POINT('Ctrl Pts',(-15.1697238125,-17.51801462237,23.88043605679)); #491490=CARTESIAN_POINT('Ctrl Pts',(-12.10169875349,-8.038912880166,19.31483706778)); #491491=CARTESIAN_POINT('Ctrl Pts',(-13.28255173264,-11.0599763627,20.57240765283)); #491492=CARTESIAN_POINT('Ctrl Pts',(-14.46340471178,-14.08103984523,21.82997823788)); #491493=CARTESIAN_POINT('Ctrl Pts',(-15.64425769092,-17.10210332776,23.08754882292)); #491494=CARTESIAN_POINT('Ctrl Pts',(-12.68490683419,-7.098662558392,17.3993921454)); #491495=CARTESIAN_POINT('Ctrl Pts',(-13.8166518861,-10.29875982442,19.02828479925)); #491496=CARTESIAN_POINT('Ctrl Pts',(-14.948396938,-13.49885709045,20.6571774531)); #491497=CARTESIAN_POINT('Ctrl Pts',(-16.0801419899,-16.69895435648,22.28607010695)); #491498=CARTESIAN_POINT('Ctrl Pts',(-13.0492008429,-6.409728772974,15.6527388915)); #491499=CARTESIAN_POINT('Ctrl Pts',(-14.20859720207,-9.691685789106,17.53949959898)); #491500=CARTESIAN_POINT('Ctrl Pts',(-15.36799356124,-12.97364280524,19.42626030646)); #491501=CARTESIAN_POINT('Ctrl Pts',(-16.5273899204,-16.25559982137,21.31302101393)); #491502=CARTESIAN_POINT('Ctrl Pts',(-13.22582625401,-6.039495369397,14.6506878194)); #491503=CARTESIAN_POINT('Ctrl Pts',(-14.42369805695,-9.344143899227,16.63610318522)); #491504=CARTESIAN_POINT('Ctrl Pts',(-15.62156985989,-12.64879242906,18.62151855105)); #491505=CARTESIAN_POINT('Ctrl Pts',(-16.81944166283,-15.95344095889,20.60693391688)); #491506=CARTESIAN_POINT('Ctrl Pts',(-13.33558428167,-5.798381636888,13.89066041194)); #491507=CARTESIAN_POINT('Ctrl Pts',(-14.57821015211,-9.09651119382,15.91825495547)); #491508=CARTESIAN_POINT('Ctrl Pts',(-15.82083602255,-12.39464075075,17.945849499)); #491509=CARTESIAN_POINT('Ctrl Pts',(-17.063461893,-15.69277030768,19.97344404253)); #491510=CARTESIAN_POINT('Ctrl Pts',(-13.42841686933,-5.57783185896,13.13223176121)); #491511=CARTESIAN_POINT('Ctrl Pts',(-14.72938324114,-8.850841965245,15.153037556)); #491512=CARTESIAN_POINT('Ctrl Pts',(-16.03034961296,-12.12385207153,17.17384335078)); #491513=CARTESIAN_POINT('Ctrl Pts',(-17.33131598477,-15.39686217781,19.19464914556)); #491514=CARTESIAN_POINT('Ctrl Pts',(-13.50657509819,-5.377343974687,12.37992235673)); #491515=CARTESIAN_POINT('Ctrl Pts',(-14.88204371191,-8.601631526883,14.32820725917)); #491516=CARTESIAN_POINT('Ctrl Pts',(-16.25751232562,-11.82591907908,16.27649216161)); #491517=CARTESIAN_POINT('Ctrl Pts',(-17.63298093934,-15.05020663127,18.22477706405)); #491518=CARTESIAN_POINT('Ctrl Pts',(-13.55950191532,-5.235890477677,11.76065091572)); #491519=CARTESIAN_POINT('Ctrl Pts',(-15.00560511358,-8.401519890113,13.5698746091)); #491520=CARTESIAN_POINT('Ctrl Pts',(-16.45170831185,-11.56714930255,15.37909830248)); #491521=CARTESIAN_POINT('Ctrl Pts',(-17.89781151011,-14.73277871499,17.18832199586)); #491522=CARTESIAN_POINT('Ctrl Pts',(-13.59602181367,-5.12889325671,11.27594997113)); #491523=CARTESIAN_POINT('Ctrl Pts',(-15.10841083147,-8.23254993175,12.90976142273)); #491524=CARTESIAN_POINT('Ctrl Pts',(-16.62079984927,-11.33620660679,14.54357287432)); #491525=CARTESIAN_POINT('Ctrl Pts',(-18.13318886707,-14.43986328183,16.17738432592)); #491526=CARTESIAN_POINT('Ctrl Pts',(-13.61507019476,-5.072548084552,11.02572156312)); #491527=CARTESIAN_POINT('Ctrl Pts',(-15.15959844288,-8.145902536232,12.53726344555)); #491528=CARTESIAN_POINT('Ctrl Pts',(-16.70412669101,-11.21925698791,14.04880532798)); #491529=CARTESIAN_POINT('Ctrl Pts',(-18.24865493913,-14.29261143959,15.56034721041)); #491530=CARTESIAN_POINT('Ctrl Pts',(-13.62488043183,-5.043260482506,10.8959017151)); #491531=CARTESIAN_POINT('Ctrl Pts',(-15.18742576585,-8.098860981503,12.33364431661)); #491532=CARTESIAN_POINT('Ctrl Pts',(-16.74997109986,-11.1544614805,13.77138691812)); #491533=CARTESIAN_POINT('Ctrl Pts',(-18.31251643388,-14.2100619795,15.20912951963)); #491534=CARTESIAN_POINT('Ctrl Pts',(-13.62584126276,-5.040389240633,10.88317580965)); #491535=CARTESIAN_POINT('Ctrl Pts',(-15.19016794125,-8.094226849221,12.31358185316)); #491536=CARTESIAN_POINT('Ctrl Pts',(-16.75449461974,-11.14806445781,13.74398789667)); #491537=CARTESIAN_POINT('Ctrl Pts',(-18.31882129823,-14.2019020664,15.17439394018)); #491538=CARTESIAN_POINT('',(-18.2100494384702,-14.0497010153686,15.1940291650347)); #491539=CARTESIAN_POINT('',(-18.21004505288,-14.04969246654,15.19402517556)); #491540=CARTESIAN_POINT('Ctrl Pts',(-18.2100494473262,-14.0497010334847, 15.1940291623797)); #491541=CARTESIAN_POINT('Ctrl Pts',(-18.1784670473709,-14.0906355034376, 15.3679996102523)); #491542=CARTESIAN_POINT('Ctrl Pts',(-18.1440022202198,-14.135001434567, 15.5554055836231)); #491543=CARTESIAN_POINT('Ctrl Pts',(-18.0784051983137,-14.218785300487, 15.8959321573728)); #491544=CARTESIAN_POINT('Ctrl Pts',(-18.0461769220312,-14.25970008701,16.0563731636357)); #491545=CARTESIAN_POINT('Ctrl Pts',(-17.9459687814992,-14.3858442305569, 16.5205722862617)); #491546=CARTESIAN_POINT('Ctrl Pts',(-17.8580239858357,-14.4947500771188, 16.8887158400641)); #491547=CARTESIAN_POINT('Ctrl Pts',(-17.6979637724209,-14.6905110565351, 17.5447100867895)); #491548=CARTESIAN_POINT('Ctrl Pts',(-17.5758140536318,-14.8370967078463, 18.0171032773328)); #491549=CARTESIAN_POINT('Ctrl Pts',(-17.3577053570143,-15.0904934863948, 18.747986963856)); #491550=CARTESIAN_POINT('Ctrl Pts',(-17.2751153318603,-15.1849480940928, 19.0081417016489)); #491551=CARTESIAN_POINT('Ctrl Pts',(-17.1147590391269,-15.3656156664104, 19.4952611924818)); #491552=CARTESIAN_POINT('Ctrl Pts',(-17.0230533376771,-15.4672966365821, 19.7612563177317)); #491553=CARTESIAN_POINT('Ctrl Pts',(-16.7394605247585,-15.7754341150303, 20.5301735298511)); #491554=CARTESIAN_POINT('Ctrl Pts',(-16.5235232029014,-16.0024720060806, 21.0621224105706)); #491555=CARTESIAN_POINT('Ctrl Pts',(-16.227520230698,-16.2995831112787, 21.7158512046457)); #491556=CARTESIAN_POINT('Ctrl Pts',(-16.1588676223605,-16.3676503810866, 21.8627722040206)); #491557=CARTESIAN_POINT('Ctrl Pts',(-15.9830062483663,-16.5398433763881, 22.2284447630605)); #491558=CARTESIAN_POINT('Ctrl Pts',(-15.8728617577254,-16.6456576314531, 22.4469154455955)); #491559=CARTESIAN_POINT('Ctrl Pts',(-15.5942496767845,-16.9076544615611, 22.9720284855764)); #491560=CARTESIAN_POINT('Ctrl Pts',(-15.4177562941554,-17.0687255658939, 23.2835123060191)); #491561=CARTESIAN_POINT('Ctrl Pts',(-15.1623744653658,-17.2949986983197, 23.7096584861608)); #491562=CARTESIAN_POINT('Ctrl Pts',(-15.0728158975009,-17.3731519017842, 23.8536831552436)); #491563=CARTESIAN_POINT('Ctrl Pts',(-14.8752777178599,-17.5426285242029, 24.158405301517)); #491564=CARTESIAN_POINT('Ctrl Pts',(-14.7716767386208,-17.629984319307, 24.3118650217081)); #491565=CARTESIAN_POINT('Ctrl Pts',(-14.6661097482577,-17.7173707092972, 24.4636599656647)); #491566=CARTESIAN_POINT('Ctrl Pts',(-12.5009852705023,-8.29007712819441, 18.8974407122883)); #491567=CARTESIAN_POINT('Ctrl Pts',(-12.2977041231522,-8.59661405048439, 19.5133098849695)); #491568=CARTESIAN_POINT('Ctrl Pts',(-12.0484429413328,-8.90104607878544, 20.1243898051948)); #491569=CARTESIAN_POINT('Ctrl Pts',(-11.3577247914441,-9.56415584352075, 21.4800437147436)); #491570=CARTESIAN_POINT('Ctrl Pts',(-10.9695130655595,-9.82624748302245, 22.0379677531417)); #491571=CARTESIAN_POINT('Ctrl Pts',(-10.6894331174698,-10.0035689032888, 22.4094359572381)); #491572=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #491573=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,14.99978874879,18.80162297012)); #491574=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #491575=CARTESIAN_POINT('Ctrl Pts',(-14.9997887488173,-14.9997887488446, 18.8016229703415)); #491576=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9997887488446,18.8016229703415)); #491577=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-14.9997887488446, 18.8016229703415)); #491578=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,-2.7312792273838E-11, 18.8016229702308)); #491579=CARTESIAN_POINT('Ctrl Pts',(14.9997887488173,14.99978874879,18.80162297012)); #491580=CARTESIAN_POINT('Ctrl Pts',(0.,14.99978874879,18.80162297012)); #491581=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #491582=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,15.0001147019408, 18.8754296570212)); #491583=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #491584=CARTESIAN_POINT('Ctrl Pts',(-15.0001147019676,-15.0001147019943, 18.8754296572428)); #491585=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0001147019943,18.8754296572428)); #491586=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-15.0001147019943, 18.8754296572428)); #491587=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,-2.67677243450133E-11, 18.875429657132)); #491588=CARTESIAN_POINT('Ctrl Pts',(15.0001147019676,15.0001147019408,18.8754296570212)); #491589=CARTESIAN_POINT('Ctrl Pts',(0.,15.0001147019408,18.8754296570212)); #491590=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #491591=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,15.0000152035358, 19.0212441001061)); #491592=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #491593=CARTESIAN_POINT('Ctrl Pts',(-15.0000152035615,-15.0000152035871, 19.0212441003276)); #491594=CARTESIAN_POINT('Ctrl Pts',(0.,-15.0000152035871,19.0212441003276)); #491595=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-15.0000152035871, 19.0212441003276)); #491596=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,-2.56908737258907E-11, 19.0212441002168)); #491597=CARTESIAN_POINT('Ctrl Pts',(15.0000152035615,15.0000152035358,19.0212441001061)); #491598=CARTESIAN_POINT('Ctrl Pts',(0.,15.0000152035358,19.0212441001061)); #491599=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #491600=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,14.99769019786,19.23667200881)); #491601=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #491602=CARTESIAN_POINT('Ctrl Pts',(-14.9976901978841,-14.9976901979082, 19.2366720090315)); #491603=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9976901979082,19.2366720090315)); #491604=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-14.9976901979082, 19.2366720090315)); #491605=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,-2.40999224322034E-11, 19.2366720089208)); #491606=CARTESIAN_POINT('Ctrl Pts',(14.9976901978841,14.99769019786,19.23667200881)); #491607=CARTESIAN_POINT('Ctrl Pts',(0.,14.99769019786,19.23667200881)); #491608=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #491609=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,14.99333689889,19.4421404013)); #491610=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #491611=CARTESIAN_POINT('Ctrl Pts',(-14.9933368989126,-14.9933368989352, 19.4421404015215)); #491612=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9933368989352,19.4421404015215)); #491613=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-14.9933368989352, 19.4421404015215)); #491614=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,-2.25825229141445E-11, 19.4421404014107)); #491615=CARTESIAN_POINT('Ctrl Pts',(14.9933368989126,14.99333689889,19.4421404013)); #491616=CARTESIAN_POINT('Ctrl Pts',(0.,14.99333689889,19.4421404013)); #491617=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #491618=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,14.98727207157,19.63416225315)); #491619=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #491620=CARTESIAN_POINT('Ctrl Pts',(-14.9872720715912,-14.9872720716123, 19.6341622533714)); #491621=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9872720716123,19.6341622533714)); #491622=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-14.9872720716123, 19.6341622533714)); #491623=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,-2.11644271091262E-11, 19.6341622532607)); #491624=CARTESIAN_POINT('Ctrl Pts',(14.9872720715912,14.98727207157,19.63416225315)); #491625=CARTESIAN_POINT('Ctrl Pts',(0.,14.98727207157,19.63416225315)); #491626=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #491627=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,14.97988512931,19.81313903094)); #491628=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #491629=CARTESIAN_POINT('Ctrl Pts',(-14.9798851293298,-14.9798851293497, 19.8131390311613)); #491630=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9798851293497,19.8131390311613)); #491631=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-14.9798851293497, 19.8131390311613)); #491632=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,-1.98426701562875E-11, 19.8131390310506)); #491633=CARTESIAN_POINT('Ctrl Pts',(14.9798851293298,14.97988512931,19.81313903094)); #491634=CARTESIAN_POINT('Ctrl Pts',(0.,14.97988512931,19.81313903094)); #491635=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #491636=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,14.97112391427,19.9871456963)); #491637=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #491638=CARTESIAN_POINT('Ctrl Pts',(-14.9711239142886,-14.9711239143071, 19.9871456965211)); #491639=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9711239143071,19.9871456965211)); #491640=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-14.9711239143071, 19.9871456965211)); #491641=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,-1.85576178572139E-11, 19.9871456964106)); #491642=CARTESIAN_POINT('Ctrl Pts',(14.9711239142886,14.97112391427,19.9871456963)); #491643=CARTESIAN_POINT('Ctrl Pts',(0.,14.97112391427,19.9871456963)); #491644=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #491645=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,14.96040920421,20.16678002333)); #491646=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #491647=CARTESIAN_POINT('Ctrl Pts',(-14.9604092042272,-14.9604092042445, 20.166780023551)); #491648=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9604092042445,20.166780023551)); #491649=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-14.9604092042445, 20.166780023551)); #491650=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,-1.72310048538278E-11, 20.1667800234405)); #491651=CARTESIAN_POINT('Ctrl Pts',(14.9604092042272,14.96040920421,20.16678002333)); #491652=CARTESIAN_POINT('Ctrl Pts',(0.,14.96040920421,20.16678002333)); #491653=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #491654=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,14.94679748055,20.36061144988)); #491655=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #491656=CARTESIAN_POINT('Ctrl Pts',(-14.9467974805658,-14.9467974805816, 20.3606114501008)); #491657=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9467974805816,20.3606114501008)); #491658=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-14.9467974805816, 20.3606114501008)); #491659=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,-1.57995452036734E-11, 20.3606114499904)); #491660=CARTESIAN_POINT('Ctrl Pts',(14.9467974805658,14.94679748055,20.36061144988)); #491661=CARTESIAN_POINT('Ctrl Pts',(0.,14.94679748055,20.36061144988)); #491662=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #491663=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,14.92918063306,20.57288312013)); #491664=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #491665=CARTESIAN_POINT('Ctrl Pts',(-14.9291806330742,-14.9291806330885, 20.5728831203505)); #491666=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9291806330885,20.5728831203505)); #491667=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-14.9291806330885, 20.5728831203505)); #491668=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,-1.42319029681386E-11, 20.5728831202403)); #491669=CARTESIAN_POINT('Ctrl Pts',(14.9291806330742,14.92918063306,20.57288312013)); #491670=CARTESIAN_POINT('Ctrl Pts',(0.,14.92918063306,20.57288312013)); #491671=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #491672=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,14.90668350891,20.80251376406)); #491673=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #491674=CARTESIAN_POINT('Ctrl Pts',(-14.9066835089225,-14.9066835089351, 20.8025137642802)); #491675=CARTESIAN_POINT('Ctrl Pts',(0.,-14.9066835089351,20.8025137642802)); #491676=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-14.9066835089351, 20.8025137642802)); #491677=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,-1.25360634075712E-11, 20.8025137641701)); #491678=CARTESIAN_POINT('Ctrl Pts',(14.9066835089225,14.90668350891,20.80251376406)); #491679=CARTESIAN_POINT('Ctrl Pts',(0.,14.90668350891,20.80251376406)); #491680=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #491681=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,14.87945857498,21.04066055957)); #491682=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #491683=CARTESIAN_POINT('Ctrl Pts',(-14.8794585749908,-14.8794585750016, 21.0406605597898)); #491684=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8794585750016,21.0406605597898)); #491685=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-14.8794585750016, 21.0406605597898)); #491686=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,-1.07773314276562E-11, 21.0406605596799)); #491687=CARTESIAN_POINT('Ctrl Pts',(14.8794585749908,14.87945857498,21.04066055957)); #491688=CARTESIAN_POINT('Ctrl Pts',(0.,14.87945857498,21.04066055957)); #491689=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #491690=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,14.84868646109,21.27484036964)); #491691=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #491692=CARTESIAN_POINT('Ctrl Pts',(-14.848686461099,-14.8486864611081, 21.2748403698593)); #491693=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8486864611081,21.2748403698593)); #491694=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-14.8486864611081,21.2748403698593)); #491695=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,-9.04789593330716E-12, 21.2748403697497)); #491696=CARTESIAN_POINT('Ctrl Pts',(14.848686461099,14.84868646109,21.27484036964)); #491697=CARTESIAN_POINT('Ctrl Pts',(0.,14.84868646109,21.27484036964)); #491698=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #491699=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,14.81501161349,21.500532289)); #491700=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #491701=CARTESIAN_POINT('Ctrl Pts',(-14.8150116134974,-14.8150116135048, 21.5005322892188)); #491702=CARTESIAN_POINT('Ctrl Pts',(0.,-14.8150116135048,21.5005322892188)); #491703=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-14.8150116135048, 21.5005322892188)); #491704=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,-7.38114414965758E-12, 21.5005322891094)); #491705=CARTESIAN_POINT('Ctrl Pts',(14.8150116134974,14.81501161349,21.500532289)); #491706=CARTESIAN_POINT('Ctrl Pts',(0.,14.81501161349,21.500532289)); #491707=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #491708=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,14.77788206396,21.72249425652)); #491709=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #491710=CARTESIAN_POINT('Ctrl Pts',(-14.7778820639657,-14.7778820639715, 21.7224942567383)); #491711=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7778820639715,21.7224942567383)); #491712=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-14.7778820639715, 21.7224942567383)); #491713=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,-5.74193834062651E-12, 21.7224942566291)); #491714=CARTESIAN_POINT('Ctrl Pts',(14.7778820639657,14.77788206396,21.72249425652)); #491715=CARTESIAN_POINT('Ctrl Pts',(0.,14.77788206396,21.72249425652)); #491716=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #491717=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,14.73732945281,21.94287596693)); #491718=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #491719=CARTESIAN_POINT('Ctrl Pts',(-14.7373294528141,-14.7373294528182, 21.9428759671477)); #491720=CARTESIAN_POINT('Ctrl Pts',(0.,-14.7373294528182,21.9428759671477)); #491721=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-14.7373294528182, 21.9428759671477)); #491722=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,-4.11440284909832E-12, 21.9428759670388)); #491723=CARTESIAN_POINT('Ctrl Pts',(14.7373294528141,14.73732945281,21.94287596693)); #491724=CARTESIAN_POINT('Ctrl Pts',(0.,14.73732945281,21.94287596693)); #491725=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #491726=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,14.69554657917,22.15354319514)); #491727=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #491728=CARTESIAN_POINT('Ctrl Pts',(-14.6955465791726,-14.6955465791751, 22.1535431953571)); #491729=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6955465791751,22.1535431953571)); #491730=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-14.6955465791751, 22.1535431953571)); #491731=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,-2.55860953859294E-12, 22.1535431952485)); #491732=CARTESIAN_POINT('Ctrl Pts',(14.6955465791726,14.69554657917,22.15354319514)); #491733=CARTESIAN_POINT('Ctrl Pts',(0.,14.69554657917,22.15354319514)); #491734=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #491735=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,14.65510897876,22.34409731383)); #491736=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #491737=CARTESIAN_POINT('Ctrl Pts',(-14.6551089787612,-14.6551089787623, 22.3440973140465)); #491738=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6551089787623,22.3440973140465)); #491739=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-14.6551089787623, 22.3440973140465)); #491740=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,-1.15135305325297E-12, 22.3440973139382)); #491741=CARTESIAN_POINT('Ctrl Pts',(14.6551089787612,14.65510897876,22.34409731383)); #491742=CARTESIAN_POINT('Ctrl Pts',(0.,14.65510897876,22.34409731383)); #491743=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #491744=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,14.61664866301,22.51395747322)); #491745=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #491746=CARTESIAN_POINT('Ctrl Pts',(-14.6166486630099,-14.6166486630098, 22.5139574734359)); #491747=CARTESIAN_POINT('Ctrl Pts',(0.,-14.6166486630098,22.5139574734359)); #491748=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,-14.6166486630098, 22.5139574734359)); #491749=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,1.03076987649491E-13, 22.5139574733279)); #491750=CARTESIAN_POINT('Ctrl Pts',(14.6166486630099,14.61664866301,22.51395747322)); #491751=CARTESIAN_POINT('Ctrl Pts',(0.,14.61664866301,22.51395747322)); #491752=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #491753=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,14.57877523162,22.67265200704)); #491754=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #491755=CARTESIAN_POINT('Ctrl Pts',(-14.5787752316187,-14.5787752316174, 22.6726520072553)); #491756=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5787752316175,22.6726520072553)); #491757=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,-14.5787752316174, 22.6726520072553)); #491758=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,1.27504804469849E-12, 22.6726520071477)); #491759=CARTESIAN_POINT('Ctrl Pts',(14.5787752316187,14.57877523162,22.67265200704)); #491760=CARTESIAN_POINT('Ctrl Pts',(0.,14.57877523162,22.67265200704)); #491761=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #491762=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,14.5323740325615, 22.8607563264774)); #491763=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #491764=CARTESIAN_POINT('Ctrl Pts',(-14.5323740325588,-14.5323740325561, 22.860756326692)); #491765=CARTESIAN_POINT('Ctrl Pts',(0.,-14.5323740325561,22.860756326692)); #491766=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,-14.5323740325561, 22.860756326692)); #491767=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,2.66421257847225E-12, 22.8607563265847)); #491768=CARTESIAN_POINT('Ctrl Pts',(14.5323740325588,14.5323740325615,22.8607563264774)); #491769=CARTESIAN_POINT('Ctrl Pts',(0.,14.5323740325615,22.8607563264774)); #491770=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #491771=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,14.4979219623173, 22.997664731754)); #491772=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #491773=CARTESIAN_POINT('Ctrl Pts',(-14.4979219623136,-14.4979219623099, 22.9976647319682)); #491774=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4979219623099,22.9976647319682)); #491775=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,-14.4979219623099, 22.9976647319682)); #491776=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,3.67529143915257E-12, 22.9976647318611)); #491777=CARTESIAN_POINT('Ctrl Pts',(14.4979219623136,14.4979219623173,22.997664731754)); #491778=CARTESIAN_POINT('Ctrl Pts',(0.,14.4979219623173,22.997664731754)); #491779=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #491780=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,14.47675660715,23.08249750563)); #491781=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #491782=CARTESIAN_POINT('Ctrl Pts',(-14.4767566071457,-14.4767566071414, 23.0824975058438)); #491783=CARTESIAN_POINT('Ctrl Pts',(0.,-14.4767566071414,23.0824975058438)); #491784=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,-14.4767566071414, 23.0824975058438)); #491785=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,4.30178784881837E-12, 23.0824975057369)); #491786=CARTESIAN_POINT('Ctrl Pts',(14.4767566071457,14.47675660715,23.08249750563)); #491787=CARTESIAN_POINT('Ctrl Pts',(0.,14.47675660715,23.08249750563)); #491788=CARTESIAN_POINT('Origin',(3.033857483946E-11,2.263838985759E-10, 35.)); #491789=CARTESIAN_POINT('Ctrl Pts',(-17.7387096300086,-14.6402930526305, 14.9380248827441)); #491790=CARTESIAN_POINT('Ctrl Pts',(-17.8186251600189,-14.543464500312, 14.9818498914818)); #491791=CARTESIAN_POINT('Ctrl Pts',(-17.8978742685085,-14.4458284307294, 15.0250941775852)); #491792=CARTESIAN_POINT('Ctrl Pts',(-18.0550038158726,-14.2489543262053, 15.1104288590818)); #491793=CARTESIAN_POINT('Ctrl Pts',(-18.1328796599009,-14.1497220691705, 15.1525167615994)); #491794=CARTESIAN_POINT('Ctrl Pts',(-18.210049464577,-14.0497010111255, 15.1940291062797)); #491795=CARTESIAN_POINT('Ctrl Pts',(-13.44189847419,-6.178599863693,10.83648933955)); #491796=CARTESIAN_POINT('Ctrl Pts',(-13.58335982503,-5.906834787849,10.937277048)); #491797=CARTESIAN_POINT('Ctrl Pts',(-13.72482117588,-5.635069712005,11.03806475646)); #491798=CARTESIAN_POINT('Ctrl Pts',(-13.86628252672,-5.363304636161,11.13885246491)); #491799=CARTESIAN_POINT('Ctrl Pts',(-13.46961171498,-6.234401342039,10.86309962559)); #491800=CARTESIAN_POINT('Ctrl Pts',(-13.61142903275,-5.962808188324,10.96392405573)); #491801=CARTESIAN_POINT('Ctrl Pts',(-13.75324635053,-5.691215034609,11.06474848586)); #491802=CARTESIAN_POINT('Ctrl Pts',(-13.89506366831,-5.419621880894,11.165572916)); #491803=CARTESIAN_POINT('Ctrl Pts',(-14.88300552529,-9.080314048267,12.22024200646)); #491804=CARTESIAN_POINT('Ctrl Pts',(-15.04297739454,-8.817489038073,12.32293926676)); #491805=CARTESIAN_POINT('Ctrl Pts',(-15.20294926379,-8.554664027878,12.42563652705)); #491806=CARTESIAN_POINT('Ctrl Pts',(-15.36292113304,-8.291839017684,12.52833378735)); #491807=CARTESIAN_POINT('Ctrl Pts',(-16.32411295026,-11.98202898563,13.60399503237)); #491808=CARTESIAN_POINT('Ctrl Pts',(-16.50259534272,-11.7281440434,13.708601845)); #491809=CARTESIAN_POINT('Ctrl Pts',(-16.68107773518,-11.47425910118,13.81320865763)); #491810=CARTESIAN_POINT('Ctrl Pts',(-16.85956012764,-11.22037415895,13.91781547026)); #491811=CARTESIAN_POINT('Ctrl Pts',(-17.73750713444,-14.82794244464,14.96113777222)); #491812=CARTESIAN_POINT('Ctrl Pts',(-17.93414408318,-14.58282564826,15.06761741551)); #491813=CARTESIAN_POINT('Ctrl Pts',(-18.13078103191,-14.33770885187,15.17409705879)); #491814=CARTESIAN_POINT('Ctrl Pts',(-18.32741798065,-14.09259205549,15.28057670208)); #491815=CARTESIAN_POINT('Ctrl Pts',(-17.7652207491,-14.88374467578,14.98774841726)); #491816=CARTESIAN_POINT('Ctrl Pts',(-17.96221366957,-14.63879980384,15.09426478271)); #491817=CARTESIAN_POINT('Ctrl Pts',(-18.15920659003,-14.3938549319,15.20078114817)); #491818=CARTESIAN_POINT('Ctrl Pts',(-18.3561995105,-14.14891005996,15.30729751363)); #491819=CARTESIAN_POINT('Ctrl Pts',(-13.5992229820525,-6.32938651885719, 10.976768357357)); #491820=CARTESIAN_POINT('Ctrl Pts',(-13.6029917478606,-6.32128900636005, 10.9793687371093)); #491821=CARTESIAN_POINT('Ctrl Pts',(-13.6067527224865,-6.31318944184778, 10.9819627323942)); #491822=CARTESIAN_POINT('Ctrl Pts',(-13.6105060030738,-6.30508734173268, 10.9845504023365)); #491823=CARTESIAN_POINT('Ctrl Pts',(-13.614259283661,-6.29698524161759, 10.9871380722788)); #491824=CARTESIAN_POINT('Ctrl Pts',(-13.6180048701898,-6.28888060592025, 10.9897194169532)); #491825=CARTESIAN_POINT('Ctrl Pts',(-13.6217424278059,-6.28077488776709, 10.9922942616118)); #491826=CARTESIAN_POINT('Ctrl Pts',(-13.6254799854219,-6.27266916961393, 10.9948691062703)); #491827=CARTESIAN_POINT('Ctrl Pts',(-13.6292095141652,-6.26456236895903, 10.9974374507521)); #491828=CARTESIAN_POINT('Ctrl Pts',(-13.6329316868359,-6.25645167779768, 10.999999682374)); #491829=CARTESIAN_POINT('Origin',(1.844568942616E-11,1.376410097028E-10, 30.1)); #491830=CARTESIAN_POINT('Origin',(0.,0.,29.1)); #491831=CARTESIAN_POINT('',(10.85957319485,0.,30.09999999998)); #491832=CARTESIAN_POINT('Origin',(10.85957922917,-1.32991489430901E-15, 29.1)); #491833=CARTESIAN_POINT('Origin',(0.,0.,30.09999999998)); #491834=CARTESIAN_POINT('Origin',(0.,0.,30.1)); #491835=CARTESIAN_POINT('',(0.,0.,0.)); #491836=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #491837=CARTESIAN_POINT('',(-7.02692378864641,-7.80977309068274,70.7)); #491838=CARTESIAN_POINT('',(-0.750880325350662,-4.18629770770294,70.7)); #491839=CARTESIAN_POINT('',(-1.61184950478847,-4.68337849521533,70.7)); #491840=CARTESIAN_POINT('',(-10.2769237886465,-2.18060796608438,70.7)); #491841=CARTESIAN_POINT('',(-7.68548720668035,-6.66910779064185,70.7)); #491842=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,70.7)); #491843=CARTESIAN_POINT('',(-1.72382777314053,2.7575243208733,70.7)); #491844=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.7)); #491845=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #491846=CARTESIAN_POINT('',(-3.24999999999999,4.62361162513374,70.7)); #491847=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,70.7)); #491848=CARTESIAN_POINT('',(-3.24999999999998,-0.140603399565337,70.7)); #491849=CARTESIAN_POINT('',(-1.98025340991878,2.42434601837573,70.7)); #491850=CARTESIAN_POINT('',(2.75081042541505,-5.77009691827414,70.7)); #491851=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.7)); #491852=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #491853=CARTESIAN_POINT('',(12.2230762113497,-10.8097730906763,70.7)); #491854=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,70.7)); #491855=CARTESIAN_POINT('',(8.51199917339017,-8.66718176383123,70.7)); #491856=CARTESIAN_POINT('',(15.4730762113528,-5.18060796608492,70.7)); #491857=CARTESIAN_POINT('',(14.3156641151334,-7.1853045220271,70.7)); #491858=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,70.7)); #491859=CARTESIAN_POINT('',(14.900020905041,-4.84975433072394,70.7)); #491860=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.7)); #491861=CARTESIAN_POINT('Origin',(5.73609794300138,-4.90481842426441,70.7)); #491862=CARTESIAN_POINT('',(0.750880325350676,-4.18629770770294,70.7)); #491863=CARTESIAN_POINT('',(2.37916512459885,-5.12638837486626,70.7)); #491864=CARTESIAN_POINT('',(2.7759012303916,-5.35544407234294,70.7)); #491865=CARTESIAN_POINT('',(1.10941853451766,-2.9271227681083,70.7)); #491866=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.7)); #491867=CARTESIAN_POINT('',(2.75081042541505,-5.77009691827414,70.7)); #491868=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #491869=CARTESIAN_POINT('',(-18.4991196746495,-14.4332484736625,70.5)); #491870=CARTESIAN_POINT('',(-0.750880325350662,-4.18629770770294,70.5)); #491871=CARTESIAN_POINT('',(-1.99783689432325,-4.90622841846703,70.5)); #491872=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #491873=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #491874=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,70.5)); #491875=CARTESIAN_POINT('',(-21.7491196746495,-8.80408334906373,70.5)); #491876=CARTESIAN_POINT('',(-2.10981516267531,2.53467439762159,70.5)); #491877=CARTESIAN_POINT('',(-22.0000000000001,-6.49519052838334,70.5)); #491878=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #491879=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #491880=CARTESIAN_POINT('Origin',(-10.2769237886465,-2.18060796608438,71.5)); #491881=CARTESIAN_POINT('',(-7.02692378864641,-7.80977309068274,71.5)); #491882=CARTESIAN_POINT('',(-7.02692378864641,-7.80977309068274,71.5)); #491883=CARTESIAN_POINT('',(-10.2769237886465,-2.18060796608438,71.5)); #491884=CARTESIAN_POINT('',(-10.1675487886465,-2.37005102316221,71.5)); #491885=CARTESIAN_POINT('',(-10.2769237886465,-2.18060796608438,71.5)); #491886=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #491887=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,70.5)); #491888=CARTESIAN_POINT('',(4.00088032535065,1.44286741689587,70.5)); #491889=CARTESIAN_POINT('',(10.9839348373247,-2.58880098535821,70.5)); #491890=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #491891=CARTESIAN_POINT('Origin',(2.37916512459885,-5.12638837486626,71.5)); #491892=CARTESIAN_POINT('',(-1.98025340991878,2.42434601837574,70.5)); #491893=CARTESIAN_POINT('',(-1.98025340991878,2.42434601837574,70.5)); #491894=CARTESIAN_POINT('',(-3.24999999999999,4.62361162513374,71.5)); #491895=CARTESIAN_POINT('',(-3.24999999999999,4.62361162513374,71.5)); #491896=CARTESIAN_POINT('',(2.37916512459885,-5.12638837486626,71.5)); #491897=CARTESIAN_POINT('',(0.268748843449141,-1.47104015079439,71.5)); #491898=CARTESIAN_POINT('',(2.37916512459885,-5.12638837486626,71.5)); #491899=CARTESIAN_POINT('',(1.10941853451766,-2.92712276810829,70.5)); #491900=CARTESIAN_POINT('',(1.10941853451766,-2.92712276810829,70.5)); #491901=CARTESIAN_POINT('',(-0.752665056095784,0.298100618774473,70.5)); #491902=CARTESIAN_POINT('Origin',(-2.99999999999999,-20.2451905283833,-0.999999999999998)); #491903=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,-0.999999999999998)); #491904=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,6.00000000000001)); #491905=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,-0.5)); #491906=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-0.999999999999998)); #491907=CARTESIAN_POINT('',(-2.99999999999999,-8.49879763209584,-1.)); #491908=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,6.00000000000001)); #491909=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-0.999999999999998)); #491910=CARTESIAN_POINT('',(-2.99999999999999,-20.2451905283833,6.00000000000001)); #491911=CARTESIAN_POINT('Origin',(3.00000000000001,-20.2451905283833,6.00000000000001)); #491912=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,6.00000000000001)); #491913=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,-0.999999999999998)); #491914=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,3.)); #491915=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,6.00000000000001)); #491916=CARTESIAN_POINT('',(3.00000000000001,-20.2451905283833,6.00000000000001)); #491917=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-0.999999999999998)); #491918=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,6.00000000000001)); #491919=CARTESIAN_POINT('',(3.00000000000001,-8.49879763209584,-1.)); #491920=CARTESIAN_POINT('Origin',(9.43689570931383E-15,-18.2451905283833, 2.5)); #491921=CARTESIAN_POINT('',(5.,-18.2451905283833,6.00000000000001)); #491922=CARTESIAN_POINT('',(-4.84721400875051E-16,-18.2451905283833,-1.)); #491923=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #491924=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #491925=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #491926=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,12.)); #491927=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,0.)); #491928=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,12.)); #491929=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,12.)); #491930=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,6.00000000000001)); #491931=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.25)); #491932=CARTESIAN_POINT('',(10.625,-20.2451905283833,6.00000000000001)); #491933=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #491934=CARTESIAN_POINT('',(-10.,-20.2451905283833,6.00000000000001)); #491935=CARTESIAN_POINT('',(10.625,-20.2451905283833,6.00000000000001)); #491936=CARTESIAN_POINT('',(-10.,-20.2451905283834,12.)); #491937=CARTESIAN_POINT('',(-10.,-20.2451905283833,3.)); #491938=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,12.)); #491939=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,12.)); #491940=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #491941=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,0.)); #491942=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #491943=CARTESIAN_POINT('Origin',(9.99999999999999,-20.2451905283833,6.00000000000001)); #491944=CARTESIAN_POINT('',(9.99999999999998,-17.7451905283833,6.00000000000001)); #491945=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,6.00000000000001)); #491946=CARTESIAN_POINT('',(-10.,-17.7451905283833,6.00000000000001)); #491947=CARTESIAN_POINT('',(9.99999999999999,-17.7451905283833,6.00000000000001)); #491948=CARTESIAN_POINT('',(-10.,-20.2451905283833,6.00000000000001)); #491949=CARTESIAN_POINT('Origin',(2.60902410786912E-14,26.5455756647104, 15.25)); #491950=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,12.)); #491951=CARTESIAN_POINT('',(3.25000000000003,25.3192090627046,18.5)); #491952=CARTESIAN_POINT('Origin',(0.,12.9903810567667,15.25)); #491953=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,12.)); #491954=CARTESIAN_POINT('',(-3.24999999999997,26.5455756647104,12.)); #491955=CARTESIAN_POINT('',(3.25000000000003,26.3507702726541,18.5)); #491956=CARTESIAN_POINT('Origin',(0.,12.9903810567667,15.25)); #491957=CARTESIAN_POINT('',(3.25000000000003,26.5455756647104,18.5)); #491958=CARTESIAN_POINT('Origin',(0.,12.9903810567667,15.25)); #491959=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,18.5)); #491960=CARTESIAN_POINT('Origin',(0.,12.9903810567667,18.5)); #491961=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,12.)); #491962=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,12.)); #491963=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,12.)); #491964=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, 12.)); #491965=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,0.)); #491966=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,18.5)); #491967=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,0.)); #491968=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #491969=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,18.5)); #491970=CARTESIAN_POINT('Origin',(0.,26.7403810567667,18.5)); #491971=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,18.5)); #491972=CARTESIAN_POINT('Origin',(0.,12.9903810567667,18.5)); #491973=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,18.5)); #491974=CARTESIAN_POINT('',(8.93088697652703,25.0216310567667,18.5)); #491975=CARTESIAN_POINT('',(15.0418238982518,12.4371778264912,18.5)); #491976=CARTESIAN_POINT('',(12.9308869765271,11.2184278264911,18.5)); #491977=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,18.5)); #491978=CARTESIAN_POINT('Origin',(-15.576924207266,-8.99334138421146,55.2499999999999)); #491979=CARTESIAN_POINT('',(-23.5520782520314,-9.84502196905291,58.4999999999999)); #491980=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,52.)); #491981=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,55.2499999999999)); #491982=CARTESIAN_POINT('',(-24.4454364654062,-10.3608025740276,58.4999999999999)); #491983=CARTESIAN_POINT('',(-17.201924207266,-6.17875882191203,58.4999999999999)); #491984=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986265,52.0000000000001)); #491985=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,55.2499999999999)); #491986=CARTESIAN_POINT('',(-13.951924207266,-11.8079239465109,51.9999999999999)); #491987=CARTESIAN_POINT('Origin',(-24.4454364654062,-10.3608025740276,71.5)); #491988=CARTESIAN_POINT('',(-23.5520782520314,-9.84502196905291,70.5)); #491989=CARTESIAN_POINT('',(-23.5520782520314,-9.84502196905291,51.9999999999999)); #491990=CARTESIAN_POINT('',(-13.5537693966714,-4.07249565996979,70.5)); #491991=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,70.5)); #491992=CARTESIAN_POINT('',(-24.4454364654062,-10.3608025740276,71.5)); #491993=CARTESIAN_POINT('',(-12.3320932327031,-3.36716059784015,71.5)); #491994=CARTESIAN_POINT('',(-24.4454364654062,-10.3608025740276,0.)); #491995=CARTESIAN_POINT('Origin',(-4.59971867645503,2.6556488160481,15.2499999999998)); #491996=CARTESIAN_POINT('',(-15.0418238982517,12.4371778264911,18.4999999999997)); #491997=CARTESIAN_POINT('',(-18.2918238982516,6.80801270189226,12.)); #491998=CARTESIAN_POINT('',(-10.6668238982515,20.0149001096051,27.2499999999998)); #491999=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,18.4999999999997)); #492000=CARTESIAN_POINT('',(-2.97471867645508,5.47023137834756,18.4999999999998)); #492001=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189226,11.9999999999997)); #492002=CARTESIAN_POINT('',(-20.845349302036,4.38517696411986,8.62499999999986)); #492003=CARTESIAN_POINT('',(-6.22471867645498,-0.158933746251352,11.9999999999998)); #492004=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,12.)); #492005=CARTESIAN_POINT('',(-23.1578493020362,0.379809471616697,12.)); #492006=CARTESIAN_POINT('',(-24.512055276599,-1.96574408023952,11.9999999999998)); #492007=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,12.)); #492008=CARTESIAN_POINT('',(-10.,-19.2451905283833,12.)); #492009=CARTESIAN_POINT('',(-10.,-19.7451905283833,12.)); #492010=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,12.)); #492011=CARTESIAN_POINT('',(0.,-19.2451905283833,12.)); #492012=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383343,12.)); #492013=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,12.)); #492014=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383343,12.)); #492015=CARTESIAN_POINT('Origin',(-13.9063679236888,8.02884526419171,55.25)); #492016=CARTESIAN_POINT('',(-18.2918238982517,6.80801270189232,52.)); #492017=CARTESIAN_POINT('',(-15.0418238982516,12.4371778264911,58.5)); #492018=CARTESIAN_POINT('',(-15.6668238982516,11.3546460717606,57.25)); #492019=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189226,52.)); #492020=CARTESIAN_POINT('',(-15.5313679236888,5.21426270189228,52.)); #492021=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,58.5)); #492022=CARTESIAN_POINT('',(-25.8453493020361,-4.27507707372463,38.625)); #492023=CARTESIAN_POINT('',(-12.2813679236888,10.8434278264911,58.5)); #492024=CARTESIAN_POINT('Origin',(0.,25.7403810567667,58.5)); #492025=CARTESIAN_POINT('',(-11.9078493020361,19.8653810567667,58.5)); #492026=CARTESIAN_POINT('',(-14.7723933274732,14.9038452641917,58.5)); #492027=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,58.5)); #492028=CARTESIAN_POINT('Origin',(0.,12.9903810567667,58.5)); #492029=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,58.5)); #492030=CARTESIAN_POINT('',(-3.24999999999997,26.0455756647104,58.5)); #492031=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,58.5)); #492032=CARTESIAN_POINT('Origin',(9.99200722162641E-15,12.9903810567667, 58.5)); #492033=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,58.5)); #492034=CARTESIAN_POINT('Origin',(-2.55351295663786E-14,26.5455756647104, 55.25)); #492035=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,58.5)); #492036=CARTESIAN_POINT('',(-3.25000000000003,25.3192090627046,52.)); #492037=CARTESIAN_POINT('Origin',(0.,12.9903810567667,55.2500000000001)); #492038=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,58.5)); #492039=CARTESIAN_POINT('',(3.24999999999997,26.5455756647104,58.5)); #492040=CARTESIAN_POINT('',(-3.25000000000003,26.3507702726541,52.)); #492041=CARTESIAN_POINT('Origin',(0.,12.9903810567667,55.2500000000001)); #492042=CARTESIAN_POINT('',(-3.25000000000003,26.5455756647104,52.)); #492043=CARTESIAN_POINT('Origin',(3.24999999999997,26.3507702726541,71.5)); #492044=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,70.5)); #492045=CARTESIAN_POINT('',(3.24999999999998,25.3192090627047,52.)); #492046=CARTESIAN_POINT('',(3.24999999999998,23.2373318227263,70.5)); #492047=CARTESIAN_POINT('',(3.24999999999998,15.8629884758621,70.5)); #492048=CARTESIAN_POINT('',(3.24999999999998,2.74343029080707,70.5)); #492049=CARTESIAN_POINT('',(3.24999999999998,14.7991827684229,70.5)); #492050=CARTESIAN_POINT('',(3.24999999999997,1.87638837486627,70.5)); #492051=CARTESIAN_POINT('',(3.24999999999998,15.8629884758621,70.5)); #492052=CARTESIAN_POINT('',(3.24999999999997,1.87638837486627,71.5)); #492053=CARTESIAN_POINT('',(3.24999999999997,1.87638837486627,71.5)); #492054=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,71.5)); #492055=CARTESIAN_POINT('',(3.24999999999998,14.7991827684229,71.5)); #492056=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,0.)); #492057=CARTESIAN_POINT('Origin',(13.9063679236889,8.02884526419169,15.25)); #492058=CARTESIAN_POINT('',(18.2918238982517,6.80801270189227,18.5)); #492059=CARTESIAN_POINT('',(15.0418238982516,12.4371778264911,12.)); #492060=CARTESIAN_POINT('',(20.1668238982516,3.56041743770056,22.25)); #492061=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,18.5)); #492062=CARTESIAN_POINT('',(15.5313679236889,5.21426270189228,18.5)); #492063=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,12.)); #492064=CARTESIAN_POINT('',(14.2203493020361,15.8600135642636,8.62500000000002)); #492065=CARTESIAN_POINT('',(12.2813679236888,10.8434278264911,12.)); #492066=CARTESIAN_POINT('Origin',(0.,25.7403810567666,12.)); #492067=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,12.)); #492068=CARTESIAN_POINT('',(9.14739332747316,24.6466310567667,12.)); #492069=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,12.)); #492070=CARTESIAN_POINT('Origin',(0.,12.9903810567667,12.)); #492071=CARTESIAN_POINT('',(3.24999999999997,26.0455756647104,12.)); #492072=CARTESIAN_POINT('',(11.0418238982516,19.3653810567666,12.)); #492073=CARTESIAN_POINT('Origin',(15.576924207266,-8.99334138421146,15.2499999999999)); #492074=CARTESIAN_POINT('',(23.5520782520314,-9.84502196905293,11.9999999999999)); #492075=CARTESIAN_POINT('',(20.3020782520313,-15.4741870936518,18.5)); #492076=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,15.2499999999999)); #492077=CARTESIAN_POINT('',(24.4454364654062,-10.3608025740276,11.9999999999999)); #492078=CARTESIAN_POINT('',(17.201924207266,-6.17875882191204,11.9999999999999)); #492079=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986265,18.5)); #492080=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,15.2499999999999)); #492081=CARTESIAN_POINT('',(13.951924207266,-11.8079239465109,18.4999999999999)); #492082=CARTESIAN_POINT('Origin',(24.4454364654062,-10.3608025740276,-1.)); #492083=CARTESIAN_POINT('',(23.5520782520314,-9.84502196905293,0.)); #492084=CARTESIAN_POINT('',(23.5520782520314,-9.84502196905293,18.4999999999999)); #492085=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,0.)); #492086=CARTESIAN_POINT('',(13.5537693966721,-4.07249565997021,0.)); #492087=CARTESIAN_POINT('',(10.2769237886465,-2.18060796608394,0.)); #492088=CARTESIAN_POINT('',(12.3320932327031,-3.36716059784016,0.)); #492089=CARTESIAN_POINT('',(10.2769237886465,-2.18060796608394,-1.)); #492090=CARTESIAN_POINT('',(10.2769237886465,-2.18060796608394,-1.)); #492091=CARTESIAN_POINT('',(24.4454364654062,-10.3608025740276,-1.)); #492092=CARTESIAN_POINT('',(12.3320932327031,-3.36716059784016,-1.)); #492093=CARTESIAN_POINT('',(24.4454364654062,-10.3608025740276,0.)); #492094=CARTESIAN_POINT('Origin',(4.59971867645515,2.65564881604797,35.2499999999999)); #492095=CARTESIAN_POINT('',(15.0418238982518,12.4371778264912,31.9999999999998)); #492096=CARTESIAN_POINT('',(18.2918238982516,6.80801270189223,38.5)); #492097=CARTESIAN_POINT('',(17.6668238982516,7.89054445662274,37.2499999999999)); #492098=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,31.9999999999998)); #492099=CARTESIAN_POINT('',(2.97471867645515,5.4702313783474,31.9999999999999)); #492100=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,38.4999999999999)); #492101=CARTESIAN_POINT('',(11.7203493020361,20.1901405831857,23.6249999999998)); #492102=CARTESIAN_POINT('',(6.22471867645515,-0.158933746251453,38.4999999999999)); #492103=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,38.5)); #492104=CARTESIAN_POINT('',(23.1578493020362,0.379809471616667,38.5)); #492105=CARTESIAN_POINT('',(18.8870552765989,7.77704171233548,38.4999999999999)); #492106=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,38.5)); #492107=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,38.5)); #492108=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,38.5)); #492109=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,38.5)); #492110=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,38.5)); #492111=CARTESIAN_POINT('',(9.99999999999999,-19.7451905283834,38.5)); #492112=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,38.5)); #492113=CARTESIAN_POINT('',(0.,-19.2451905283833,38.5)); #492114=CARTESIAN_POINT('',(22.2918238982517,-0.12019052838337,38.5)); #492115=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,38.5)); #492116=CARTESIAN_POINT('',(22.2918238982517,-0.12019052838337,38.5)); #492117=CARTESIAN_POINT('Origin',(4.48618012702831,5.37520667907011,0.)); #492118=CARTESIAN_POINT('',(3.24999999999998,23.2373318227263,0.)); #492119=CARTESIAN_POINT('',(3.24999999999998,4.99940915210191,0.)); #492120=CARTESIAN_POINT('',(-3.24999999999997,23.2373318227263,0.)); #492121=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492122=CARTESIAN_POINT('',(-3.24999999999998,15.8629884758621,0.)); #492123=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492124=CARTESIAN_POINT('',(3.24999999999997,26.3507702726541,-1.)); #492125=CARTESIAN_POINT('',(3.24999999999998,26.3507702726541,0.)); #492126=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,-1.)); #492127=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,0.)); #492128=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #492129=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492130=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,-1.)); #492131=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,0.)); #492132=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #492133=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #492134=CARTESIAN_POINT('',(-11.9078493020361,19.8653810567667,52.)); #492135=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,0.)); #492136=CARTESIAN_POINT('Origin',(0.,12.9903810567667,52.)); #492137=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,71.5)); #492138=CARTESIAN_POINT('Origin',(0.,12.9903810567667,71.5)); #492139=CARTESIAN_POINT('',(11.9078493020361,19.8653810567667,0.)); #492140=CARTESIAN_POINT('Origin',(15.9078493020361,12.9371778264911,12.)); #492141=CARTESIAN_POINT('',(15.0418238982518,12.4371778264912,12.)); #492142=CARTESIAN_POINT('',(15.9078493020361,12.9371778264911,6.00000000000001)); #492143=CARTESIAN_POINT('Origin',(18.2918238982517,6.80801270189227,12.)); #492144=CARTESIAN_POINT('',(18.2918238982517,6.80801270189227,31.9999999999999)); #492145=CARTESIAN_POINT('',(18.2918238982517,6.80801270189227,12.)); #492146=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,31.9999999999999)); #492147=CARTESIAN_POINT('',(5.57519962361686,-0.533933746251675,31.9999999999999)); #492148=CARTESIAN_POINT('',(19.1578493020361,7.30801270189224,6.00000000000001)); #492149=CARTESIAN_POINT('Origin',(11.9078493020361,19.8653810567667,0.)); #492150=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,71.5)); #492151=CARTESIAN_POINT('',(19.6422243020361,6.46905059197603,71.5)); #492152=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,0.)); #492153=CARTESIAN_POINT('Origin',(11.9078493020361,19.8653810567667,0.)); #492154=CARTESIAN_POINT('',(23.1578493020362,0.379809471616671,32.)); #492155=CARTESIAN_POINT('',(19.1035616275451,7.40204171233544,31.9999999999999)); #492156=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #492157=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,0.)); #492158=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #492159=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,32.)); #492160=CARTESIAN_POINT('',(22.2918238982517,-0.120190528383368,32.)); #492161=CARTESIAN_POINT('',(22.2918238982517,-0.120190528383368,32.)); #492162=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,32.)); #492163=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,32.)); #492164=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,32.)); #492165=CARTESIAN_POINT('',(0.,-19.2451905283833,32.)); #492166=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.)); #492167=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,32.)); #492168=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,32.)); #492169=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,32.)); #492170=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,32.)); #492171=CARTESIAN_POINT('Origin',(22.2918238982517,-0.120190528383368,32.)); #492172=CARTESIAN_POINT('',(22.2918238982517,-0.12019052838337,38.5)); #492173=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,35.25)); #492174=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,38.5)); #492175=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,32.)); #492176=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,48.25)); #492177=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #492178=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283834,18.5)); #492179=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,0.)); #492180=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,18.5)); #492181=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #492182=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #492183=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283834,18.5)); #492184=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.25)); #492185=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,18.5)); #492186=CARTESIAN_POINT('Origin',(-4.48618012702642,5.37520667907012,70.5)); #492187=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,70.5)); #492188=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #492189=CARTESIAN_POINT('',(-3.24999999999997,23.2373318227263,70.5)); #492190=CARTESIAN_POINT('',(-3.24999999999997,4.99940915210193,70.5)); #492191=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #492192=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492193=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,71.5)); #492194=CARTESIAN_POINT('',(-3.24999999999997,26.3507702726541,0.)); #492195=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,71.5)); #492196=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,0.)); #492197=CARTESIAN_POINT('Origin',(0.,12.9903810567667,71.5)); #492198=CARTESIAN_POINT('Origin',(-18.2918238982517,6.80801270189232,58.5)); #492199=CARTESIAN_POINT('',(-18.2918238982516,6.80801270189224,18.5)); #492200=CARTESIAN_POINT('',(-18.2918238982517,6.80801270189232,58.5)); #492201=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189225,18.4999999999999)); #492202=CARTESIAN_POINT('',(-5.5751996236168,-0.533933746251467,18.4999999999999)); #492203=CARTESIAN_POINT('',(-19.1578493020361,7.30801270189226,29.25)); #492204=CARTESIAN_POINT('Origin',(-15.9078493020361,12.9371778264911,58.5)); #492205=CARTESIAN_POINT('',(-15.0418238982516,12.4371778264911,52.)); #492206=CARTESIAN_POINT('',(-15.0418238982517,12.4371778264911,58.5)); #492207=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,52.)); #492208=CARTESIAN_POINT('',(-12.9308869765269,11.2184278264911,52.)); #492209=CARTESIAN_POINT('',(-15.9078493020361,12.9371778264911,29.25)); #492210=CARTESIAN_POINT('Origin',(-11.0418238982516,19.3653810567667,52.)); #492211=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383345,18.5)); #492212=CARTESIAN_POINT('',(-22.2918238982516,-0.120190528383344,18.5)); #492213=CARTESIAN_POINT('',(-22.2918238982517,-0.120190528383345,18.5)); #492214=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,52.)); #492215=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,52.)); #492216=CARTESIAN_POINT('',(-11.0418238982516,19.3653810567667,52.)); #492217=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616677,0.)); #492218=CARTESIAN_POINT('',(-23.1578493020362,0.379809471616693,18.5)); #492219=CARTESIAN_POINT('',(-24.7285616275451,-2.34074408023956,18.4999999999999)); #492220=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,71.5)); #492221=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,0.)); #492222=CARTESIAN_POINT('',(-14.0172243020361,16.2118363845511,71.5)); #492223=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,18.5)); #492224=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,18.5)); #492225=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,18.5)); #492226=CARTESIAN_POINT('',(-10.,-19.2451905283833,18.5)); #492227=CARTESIAN_POINT('',(0.,-19.2451905283833,18.5)); #492228=CARTESIAN_POINT('',(-10.,-20.2451905283834,18.5)); #492229=CARTESIAN_POINT('',(-10.,-20.2451905283833,18.5)); #492230=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,18.5)); #492231=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,18.5)); #492232=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,18.5)); #492233=CARTESIAN_POINT('Origin',(0.,12.9903810567667,55.25)); #492234=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, 52.)); #492235=CARTESIAN_POINT('',(-3.24999999999998,25.3192090627047,52.)); #492236=CARTESIAN_POINT('Origin',(0.,26.7403810567667,52.)); #492237=CARTESIAN_POINT('',(-14.5558869765271,15.2788452641917,52.)); #492238=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,15.25)); #492239=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,18.5)); #492240=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,18.5)); #492241=CARTESIAN_POINT('',(-10.,-19.2451905283833,12.25)); #492242=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616677,0.)); #492243=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,-1.)); #492244=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #492245=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616672,0.)); #492246=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,0.)); #492247=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,52.)); #492248=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,0.)); #492249=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,52.)); #492250=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,71.5)); #492251=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #492252=CARTESIAN_POINT('',(-10.,-20.2451905283834,52.)); #492253=CARTESIAN_POINT('',(-10.,-20.2451905283833,3.)); #492254=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,52.)); #492255=CARTESIAN_POINT('Origin',(-4.48618012702642,5.37520667907012,70.5)); #492256=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,70.5)); #492257=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,70.5)); #492258=CARTESIAN_POINT('',(-3.21951305829153,-5.61156348059667,70.5)); #492259=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,70.5)); #492260=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,0.)); #492261=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986264,71.5)); #492262=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986264,58.4999999999999)); #492263=CARTESIAN_POINT('',(-21.1954364654062,-15.9899676986264,0.)); #492264=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283834,58.5)); #492265=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,58.5)); #492266=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,71.5)); #492267=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,0.)); #492268=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,71.5)); #492269=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,58.5)); #492270=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,58.5)); #492271=CARTESIAN_POINT('',(-14.1684305582121,-11.9329239465108,58.4999999999999)); #492272=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,58.5)); #492273=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,58.5)); #492274=CARTESIAN_POINT('',(-10.,-19.2451905283833,58.5)); #492275=CARTESIAN_POINT('',(0.,-19.2451905283833,58.5)); #492276=CARTESIAN_POINT('',(-10.,-20.2451905283834,58.5)); #492277=CARTESIAN_POINT('',(-10.,-20.2451905283831,58.5)); #492278=CARTESIAN_POINT('',(5.62500000000004,-20.2451905283833,58.5)); #492279=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,55.25)); #492280=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,52.)); #492281=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838337,52.)); #492282=CARTESIAN_POINT('',(-11.2500000000001,-19.2451905283833,58.5)); #492283=CARTESIAN_POINT('',(-20.3020782520314,-15.4741870936517,52.)); #492284=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,52.)); #492285=CARTESIAN_POINT('',(-10.,-19.2451905283833,52.)); #492286=CARTESIAN_POINT('',(-10.,-19.7451905283831,52.)); #492287=CARTESIAN_POINT('',(0.,-19.2451905283833,52.)); #492288=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,58.5)); #492289=CARTESIAN_POINT('',(-10.,-19.2451905283833,32.25)); #492290=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,0.)); #492291=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #492292=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,0.)); #492293=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,71.5)); #492294=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,0.)); #492295=CARTESIAN_POINT('',(-5.62500000000006,-20.2451905283833,71.5)); #492296=CARTESIAN_POINT('',(-10.,-20.2451905283833,64.5)); #492297=CARTESIAN_POINT('',(-10.,-20.2451905283833,3.)); #492298=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,64.5)); #492299=CARTESIAN_POINT('',(0.625000000000028,-20.2451905283833,64.5)); #492300=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,32.25)); #492301=CARTESIAN_POINT('Origin',(4.48618012702831,5.37520667907011,0.)); #492302=CARTESIAN_POINT('',(20.3020782520314,-15.4741870936517,0.)); #492303=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #492304=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,0.)); #492305=CARTESIAN_POINT('',(3.21951305829228,-5.61156348059711,0.)); #492306=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #492307=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #492308=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986265,-1.)); #492309=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986265,11.9999999999999)); #492310=CARTESIAN_POINT('',(21.1954364654062,-15.9899676986264,0.)); #492311=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,12.)); #492312=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #492313=CARTESIAN_POINT('Origin',(0.,-20.2451905283834,12.)); #492314=CARTESIAN_POINT('',(20.3020782520314,-15.4741870936517,12.)); #492315=CARTESIAN_POINT('',(14.1684305582122,-11.9329239465109,11.9999999999999)); #492316=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,12.)); #492317=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838333,12.)); #492318=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,12.)); #492319=CARTESIAN_POINT('',(0.,-19.2451905283833,12.)); #492320=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283836,12.)); #492321=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,15.25)); #492322=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,18.5)); #492323=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838333,18.5)); #492324=CARTESIAN_POINT('',(11.2500000000001,-19.2451905283833,18.5)); #492325=CARTESIAN_POINT('',(20.3020782520314,-15.4741870936517,18.5)); #492326=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,18.5)); #492327=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,18.5)); #492328=CARTESIAN_POINT('',(9.99999999999999,-19.7451905283834,18.5)); #492329=CARTESIAN_POINT('',(0.,-19.2451905283833,18.5)); #492330=CARTESIAN_POINT('Origin',(0.,-19.2451905283833,12.)); #492331=CARTESIAN_POINT('',(9.99999999999999,-19.2451905283833,38.25)); #492332=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,0.)); #492333=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,71.5)); #492334=CARTESIAN_POINT('Origin',(-10.,-20.2451905283833,6.00000000000001)); #492335=CARTESIAN_POINT('',(-10.,-17.7451905283833,64.5)); #492336=CARTESIAN_POINT('',(-10.,-17.7451905283833,6.00000000000001)); #492337=CARTESIAN_POINT('',(-10.,-20.2451905283833,64.5)); #492338=CARTESIAN_POINT('Origin',(9.99999999999999,-20.2451905283833,64.5)); #492339=CARTESIAN_POINT('',(9.99999999999998,-17.7451905283833,64.5)); #492340=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,64.5)); #492341=CARTESIAN_POINT('',(9.99999999999999,-17.7451905283833,64.5)); #492342=CARTESIAN_POINT('Origin',(-10.,-20.2451905283833,64.5)); #492343=CARTESIAN_POINT('',(-10.,-17.7451905283833,64.5)); #492344=CARTESIAN_POINT('Origin',(-1.55431223447522E-14,-17.7451905283833, 35.25)); #492345=CARTESIAN_POINT('Origin',(-4.48618012702642,5.37520667907012,70.5)); #492346=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #492347=CARTESIAN_POINT('',(-0.408583931301554,3.98867279245605,70.5)); #492348=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #492349=CARTESIAN_POINT('Origin',(-3.24999999999997,4.62361162513374,71.5)); #492350=CARTESIAN_POINT('',(-3.24999999999997,3.9356034446627,71.5)); #492351=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,70.5)); #492352=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,70.5)); #492353=CARTESIAN_POINT('',(-3.24999999999997,3.9356034446627,70.5)); #492354=CARTESIAN_POINT('Origin',(12.2230762113478,-10.8097730906795,71.5)); #492355=CARTESIAN_POINT('',(0.750880325350676,-4.18629770770294,70.5)); #492356=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,70.5)); #492357=CARTESIAN_POINT('',(-1.14018483732466,-3.09449072697721,70.5)); #492358=CARTESIAN_POINT('',(0.750880325350676,-4.18629770770294,70.5)); #492359=CARTESIAN_POINT('',(12.2230762113497,-10.8097730906763,71.5)); #492360=CARTESIAN_POINT('',(4.5959131056739,-6.4062284184655,71.5)); #492361=CARTESIAN_POINT('',(12.2230762113497,-10.8097730906763,71.5)); #492362=CARTESIAN_POINT('',(18.4991196746495,-14.4332484736626,70.5)); #492363=CARTESIAN_POINT('Origin',(15.4730762113528,-5.18060796608492,71.5)); #492364=CARTESIAN_POINT('',(15.4730762113528,-5.18060796608492,71.5)); #492365=CARTESIAN_POINT('',(15.3637012113486,-5.37005102316972,71.5)); #492366=CARTESIAN_POINT('',(15.4730762113528,-5.18060796608492,71.5)); #492367=CARTESIAN_POINT('Origin',(3.24999999999997,1.87638837486627,71.5)); #492368=CARTESIAN_POINT('',(21.7491196746495,-8.80408334906375,70.5)); #492369=CARTESIAN_POINT('',(1.73437499999997,2.7514348766068,71.5)); #492370=CARTESIAN_POINT('Origin',(-7.0269237886465,-7.80977309068258,71.5)); #492371=CARTESIAN_POINT('',(-1.99783689432325,-4.90622841846704,71.5)); #492372=CARTESIAN_POINT('',(-0.750880325350662,-4.18629770770294,70.5)); #492373=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #492374=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #492375=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #492376=CARTESIAN_POINT('',(-10.75,12.9903810567667,70.5)); #492377=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #492378=CARTESIAN_POINT('Origin',(0.,12.9903810567667,70.5)); #492379=CARTESIAN_POINT('Origin',(4.48618012702831,5.37520667907011,0.)); #492380=CARTESIAN_POINT('',(-1.10941853451765,-2.92712276810828,0.)); #492381=CARTESIAN_POINT('',(-4.00088032535064,1.44286741689587,0.)); #492382=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #492383=CARTESIAN_POINT('',(-3.24999999999998,1.87638837486627,0.)); #492384=CARTESIAN_POINT('',(0.408583931302262,3.98867279245645,0.)); #492385=CARTESIAN_POINT('',(-3.24999999999997,2.74343029080707,0.)); #492386=CARTESIAN_POINT('',(-3.24999999999998,15.8629884758621,0.)); #492387=CARTESIAN_POINT('',(1.98025340991878,2.42434601837574,0.)); #492388=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492389=CARTESIAN_POINT('',(0.752665056096011,0.298100618774864,0.)); #492390=CARTESIAN_POINT('Origin',(-15.4730762113496,-5.18060796607752,-1.)); #492391=CARTESIAN_POINT('',(-12.223076211354,-10.8097730906818,0.)); #492392=CARTESIAN_POINT('',(-15.4730762113496,-5.18060796607752,0.)); #492393=CARTESIAN_POINT('',(-15.3637012113533,-5.3700510231494,1.38777878078145E-16)); #492394=CARTESIAN_POINT('',(-12.223076211354,-10.8097730906818,-1.)); #492395=CARTESIAN_POINT('',(-12.223076211354,-10.8097730906818,-1.)); #492396=CARTESIAN_POINT('',(-15.4730762113496,-5.18060796607752,-1.)); #492397=CARTESIAN_POINT('',(-15.3637012113533,-5.3700510231494,-1.)); #492398=CARTESIAN_POINT('',(-15.4730762113496,-5.18060796607752,-1.)); #492399=CARTESIAN_POINT('Origin',(-3.24999999999998,1.87638837486627,-1.)); #492400=CARTESIAN_POINT('',(-1.73437499999998,2.75143487660679,0.)); #492401=CARTESIAN_POINT('',(-3.24999999999998,1.87638837486627,-1.)); #492402=CARTESIAN_POINT('',(-1.73437499999998,2.75143487660679,-1.)); #492403=CARTESIAN_POINT('',(-3.24999999999998,1.87638837486627,-1.)); #492404=CARTESIAN_POINT('Origin',(-3.24999999999997,26.3507702726541,-1.)); #492405=CARTESIAN_POINT('',(-3.24999999999998,14.7991827684229,0.)); #492406=CARTESIAN_POINT('',(-3.24999999999998,14.7991827684229,-1.)); #492407=CARTESIAN_POINT('Origin',(3.24999999999998,4.6236116251337,-1.)); #492408=CARTESIAN_POINT('',(3.24999999999998,4.6236116251337,-1.)); #492409=CARTESIAN_POINT('',(3.24999999999998,3.93560344466268,-1.)); #492410=CARTESIAN_POINT('',(3.24999999999998,4.6236116251337,0.)); #492411=CARTESIAN_POINT('',(3.24999999999998,4.6236116251337,-1.)); #492412=CARTESIAN_POINT('',(3.24999999999998,3.93560344466268,0.)); #492413=CARTESIAN_POINT('Origin',(-2.37916512459887,-5.12638837486629,-1.)); #492414=CARTESIAN_POINT('',(-0.268748843449151,-1.47104015079441,0.)); #492415=CARTESIAN_POINT('',(-2.37916512459887,-5.12638837486629,-1.)); #492416=CARTESIAN_POINT('',(-0.268748843449151,-1.47104015079441,-1.)); #492417=CARTESIAN_POINT('',(-2.37916512459887,-5.12638837486629,0.)); #492418=CARTESIAN_POINT('',(-2.37916512459887,-5.12638837486629,-1.)); #492419=CARTESIAN_POINT('',(-0.268748843449151,-1.47104015079441,0.)); #492420=CARTESIAN_POINT('Origin',(-12.2230762113534,-10.8097730906827,-1.)); #492421=CARTESIAN_POINT('',(-4.59591310567672,-6.40622841846712,0.)); #492422=CARTESIAN_POINT('',(-4.59591310567672,-6.40622841846712,-1.)); #492423=CARTESIAN_POINT('Origin',(10.2769237886465,-2.18060796608394,-1.)); #492424=CARTESIAN_POINT('',(7.02692378864667,-7.80977309068248,0.)); #492425=CARTESIAN_POINT('',(10.1675487886465,-2.37005102316172,-6.93889390390723E-17)); #492426=CARTESIAN_POINT('',(7.02692378864667,-7.80977309068248,-1.)); #492427=CARTESIAN_POINT('',(7.02692378864667,-7.80977309068248,-1.)); #492428=CARTESIAN_POINT('',(10.1675487886465,-2.37005102316172,-1.)); #492429=CARTESIAN_POINT('Origin',(7.02692378864658,-7.80977309068263,-1.)); #492430=CARTESIAN_POINT('',(1.99783689432329,-4.90622841846706,-1.)); #492431=CARTESIAN_POINT('',(1.99783689432329,-4.90622841846706,0.)); #492432=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, -1.)); #492433=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 71.5)); #492434=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419166, 70.5)); #492435=CARTESIAN_POINT('',(0.500000000000087,-6.49519052838335,70.5)); #492436=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #492437=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,70.5)); #492438=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #492439=CARTESIAN_POINT('',(0.500000000000087,-6.49519052838335,0.)); #492440=CARTESIAN_POINT('',(0.500000000000087,-6.49519052838335,0.)); #492441=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #492442=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,0.)); #492443=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #492444=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #492445=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492446=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #492447=CARTESIAN_POINT('',(-10.75,12.9903810567667,0.)); #492448=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492449=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492450=CARTESIAN_POINT('Origin',(0.,12.9903810567667,0.)); #492451=CARTESIAN_POINT('',(-10.75,12.9903810567667,0.)); #492452=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #492453=CARTESIAN_POINT('',(-22.0000000000001,-6.49519052838334,0.)); #492454=CARTESIAN_POINT('',(-22.0000000000001,-6.49519052838334,0.)); #492455=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #492456=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838333,0.)); #492457=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,3.24759526419167, 0.)); #492458=CARTESIAN_POINT('Origin',(9.49999999999999,-20.7451905283834,20.625)); #492459=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-3.)); #492460=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,64.5)); #492461=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,2.5)); #492462=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,-3.)); #492463=CARTESIAN_POINT('',(12.9981964500064,-17.2469940783769,-3.)); #492464=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,63.5)); #492465=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,20.625)); #492466=CARTESIAN_POINT('',(8.99999999999999,-21.2451905283833,63.5)); #492467=CARTESIAN_POINT('Origin',(4.99999999999998,-20.7451905283833,64.)); #492468=CARTESIAN_POINT('',(-10.,-20.2451905283833,64.5)); #492469=CARTESIAN_POINT('',(10.625,-20.2451905283833,64.5)); #492470=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905283833,63.5)); #492471=CARTESIAN_POINT('',(4.99999999999998,-21.2451905283833,63.5)); #492472=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905283833,63.5)); #492473=CARTESIAN_POINT('Origin',(-9.50000000000001,-20.7451905283833,49.875)); #492474=CARTESIAN_POINT('',(-9.,-21.2451905283833,-3.)); #492475=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905283833,49.875)); #492476=CARTESIAN_POINT('',(-10.,-20.2451905283833,-3.)); #492477=CARTESIAN_POINT('',(-12.9981964500064,-17.2469940783769,-3.)); #492478=CARTESIAN_POINT('',(-10.,-20.2451905283833,31.75)); #492479=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283833,-1.)); #492480=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-3.)); #492481=CARTESIAN_POINT('',(-5.62500000000005,-20.2451905283833,-3.)); #492482=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,71.5)); #492483=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #492484=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,71.5)); #492485=CARTESIAN_POINT('',(5.62500000000003,-20.2451905283833,71.5)); #492486=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-3.)); #492487=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #492488=CARTESIAN_POINT('',(-5.62500000000005,-20.2451905283833,-3.)); #492489=CARTESIAN_POINT('Origin',(0.,2.74759527164225,-3.)); #492490=CARTESIAN_POINT('',(11.2500000000001,-21.2451905432845,-3.)); #492491=CARTESIAN_POINT('',(11.,-21.2451905432845,-3.)); #492492=CARTESIAN_POINT('',(5.62500000000003,-21.2451905432845,-3.)); #492493=CARTESIAN_POINT('',(9.99999999999998,-22.2451905283833,-3.)); #492494=CARTESIAN_POINT('',(13.9981964537317,-18.2469940895529,-3.)); #492495=CARTESIAN_POINT('',(-10.,-22.2451905283833,-3.)); #492496=CARTESIAN_POINT('',(4.99999999999999,-22.2451905283833,-3.)); #492497=CARTESIAN_POINT('',(-11.,-21.2451905432845,-3.)); #492498=CARTESIAN_POINT('',(-13.9981964537317,-18.2469940895528,-3.)); #492499=CARTESIAN_POINT('',(-11.2500000000001,-21.2451905432845,-3.)); #492500=CARTESIAN_POINT('',(-5.00000000000001,-21.2451905432845,-3.)); #492501=CARTESIAN_POINT('',(-24.0238747187253,0.879809479067255,-3.)); #492502=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-3.)); #492503=CARTESIAN_POINT('',(-12.7738747187253,20.3653810642173,-3.)); #492504=CARTESIAN_POINT('',(-20.6165028925853,6.7815506022206,-3.)); #492505=CARTESIAN_POINT('',(12.7738747187253,20.3653810642172,-3.)); #492506=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-3.)); #492507=CARTESIAN_POINT('',(24.0238747187253,0.879809479067224,-3.)); #492508=CARTESIAN_POINT('',(14.9915028925852,16.5243363947956,-3.)); #492509=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-3.)); #492510=CARTESIAN_POINT('',(4.99999999999999,-21.2451905283833,-3.)); #492511=CARTESIAN_POINT('',(23.1578493020361,0.379809471616643,-3.)); #492512=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-3.)); #492513=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-3.)); #492514=CARTESIAN_POINT('',(19.7504774758961,6.28155059477,-3.)); #492515=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-3.)); #492516=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-3.)); #492517=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616674,-3.)); #492518=CARTESIAN_POINT('',(-14.125477475896,16.024336387345,-3.)); #492519=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-3.)); #492520=CARTESIAN_POINT('Origin',(-1.4432899320127E-14,-21.2451905283833, 35.25)); #492521=CARTESIAN_POINT('Origin',(-10.5,-21.7451905432845,-1.)); #492522=CARTESIAN_POINT('',(-10.,-22.2451905283833,72.5)); #492523=CARTESIAN_POINT('',(-11.,-21.2451905432845,72.5)); #492524=CARTESIAN_POINT('',(-13.9981964537317,-18.2469940895528,72.5)); #492525=CARTESIAN_POINT('',(-11.,-21.2451905432845,-1.)); #492526=CARTESIAN_POINT('',(-10.,-22.2451905283833,-1.)); #492527=CARTESIAN_POINT('Origin',(-11.2500000000001,-21.2451905432845,-1.)); #492528=CARTESIAN_POINT('',(-11.2500000000001,-21.2451905432845,72.5)); #492529=CARTESIAN_POINT('',(-5.62500000000005,-21.2451905432845,72.5)); #492530=CARTESIAN_POINT('',(-11.2500000000001,-21.2451905432845,-1.)); #492531=CARTESIAN_POINT('Origin',(10.5,-21.7451905432845,-1.)); #492532=CARTESIAN_POINT('',(11.,-21.2451905432845,72.5)); #492533=CARTESIAN_POINT('',(11.,-21.2451905432845,-1.)); #492534=CARTESIAN_POINT('',(9.99999999999998,-22.2451905283833,72.5)); #492535=CARTESIAN_POINT('',(13.9981964537317,-18.2469940895529,72.5)); #492536=CARTESIAN_POINT('',(9.99999999999998,-22.2451905283833,-1.)); #492537=CARTESIAN_POINT('Origin',(9.99999999999998,-21.2451905432845,-1.)); #492538=CARTESIAN_POINT('',(11.2500000000001,-21.2451905432845,72.5)); #492539=CARTESIAN_POINT('',(11.2500000000001,-21.2451905432845,-1.)); #492540=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,72.5)); #492541=CARTESIAN_POINT('Origin',(0.,2.74759527164225,71.5)); #492542=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,71.5)); #492543=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,71.5)); #492544=CARTESIAN_POINT('Origin',(0.,12.9903810567667,71.5)); #492545=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,71.5)); #492546=CARTESIAN_POINT('',(14.125477475896,16.024336387345,71.5)); #492547=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,71.5)); #492548=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,71.5)); #492549=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,71.5)); #492550=CARTESIAN_POINT('',(-19.7504774758961,6.28155059477002,71.5)); #492551=CARTESIAN_POINT('Origin',(0.,2.74759527164225,72.5)); #492552=CARTESIAN_POINT('',(-5.,-22.2451905283833,72.5)); #492553=CARTESIAN_POINT('',(24.0238747187253,0.879809479067224,72.5)); #492554=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,72.5)); #492555=CARTESIAN_POINT('',(12.7738747187253,20.3653810642172,72.5)); #492556=CARTESIAN_POINT('',(20.6165028925853,6.78155060222058,72.5)); #492557=CARTESIAN_POINT('',(-12.7738747187253,20.3653810642173,72.5)); #492558=CARTESIAN_POINT('Origin',(0.,12.9903810567667,72.5)); #492559=CARTESIAN_POINT('',(-24.0238747187253,0.879809479067255,72.5)); #492560=CARTESIAN_POINT('',(-14.9915028925852,16.5243363947956,72.5)); #492561=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,72.5)); #492562=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #492563=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #492564=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-1.)); #492565=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616674,-1.)); #492566=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,-1.)); #492567=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #492568=CARTESIAN_POINT('Origin',(-12.7738747187253,20.3653810642173,-1.)); #492569=CARTESIAN_POINT('',(-12.7738747187253,20.3653810642173,-1.)); #492570=CARTESIAN_POINT('',(-24.0238747187253,0.879809479067255,-1.)); #492571=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #492572=CARTESIAN_POINT('',(12.7738747187253,20.3653810642172,-1.)); #492573=CARTESIAN_POINT('Origin',(24.0238747187253,0.879809479067228,-1.)); #492574=CARTESIAN_POINT('',(24.0238747187253,0.879809479067226,-1.)); #492575=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #492576=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #492577=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #492578=CARTESIAN_POINT('Origin',(11.907849302036,19.8653810567667,-1.)); #492579=CARTESIAN_POINT('Origin',(-10.,-22.2451905283833,-1.)); #492580=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #492581=CARTESIAN_POINT('Origin',(9.49999999999998,-20.7451905432845,-1.)); #492582=CARTESIAN_POINT('',(8.99999999999998,-21.2451905432845,-2.)); #492583=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-2.)); #492584=CARTESIAN_POINT('',(12.9981964500064,-17.2469940932781,-2.)); #492585=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-1.)); #492586=CARTESIAN_POINT('',(9.99999999999999,-20.2451905283833,-1.)); #492587=CARTESIAN_POINT('',(8.99999999999998,-21.2451905432845,-1.)); #492588=CARTESIAN_POINT('',(12.9981964500064,-17.2469940932781,-1.)); #492589=CARTESIAN_POINT('',(8.99999999999998,-21.2451905432845,-1.)); #492590=CARTESIAN_POINT('Origin',(9.99999999999998,-21.2451905432845,-1.)); #492591=CARTESIAN_POINT('',(3.00000000000001,-21.2451905432845,-1.)); #492592=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-1.)); #492593=CARTESIAN_POINT('',(3.00000000000001,-21.2451905432845,-2.)); #492594=CARTESIAN_POINT('',(3.00000000000001,-21.2451905432845,-1.5)); #492595=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-2.)); #492596=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,2.74759525674108, -1.)); #492597=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #492598=CARTESIAN_POINT('',(5.62500000000003,-20.2451905283833,-1.)); #492599=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #492600=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #492601=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-1.)); #492602=CARTESIAN_POINT('',(14.1254774791222,16.0243363817571,-1.)); #492603=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #492604=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #492605=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,-1.)); #492606=CARTESIAN_POINT('',(-19.7504774791222,6.28155058918208,-1.)); #492607=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #492608=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #492609=CARTESIAN_POINT('',(-10.,-20.2451905283833,-1.)); #492610=CARTESIAN_POINT('',(-5.00000000000001,-20.2451905283833,-1.)); #492611=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905432845,-1.)); #492612=CARTESIAN_POINT('',(-12.9981964500064,-17.2469940932781,-1.)); #492613=CARTESIAN_POINT('',(-2.99999999999999,-21.2451905432845,-1.)); #492614=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-1.)); #492615=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-1.)); #492616=CARTESIAN_POINT('',(-2.99999999999999,-7.74879763582113,-1.)); #492617=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-1.)); #492618=CARTESIAN_POINT('',(1.5,-18.2451905283833,-1.)); #492619=CARTESIAN_POINT('',(3.00000000000002,-8.74879763582113,-1.)); #492620=CARTESIAN_POINT('Origin',(-9.50000000000001,-20.7451905432845,-1.)); #492621=CARTESIAN_POINT('',(-10.,-20.2451905283833,-2.)); #492622=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905432845,-2.)); #492623=CARTESIAN_POINT('',(-12.9981964500064,-17.2469940932781,-2.)); #492624=CARTESIAN_POINT('',(-9.00000000000001,-21.2451905432845,-1.)); #492625=CARTESIAN_POINT('',(-10.,-20.2451905283833,-1.)); #492626=CARTESIAN_POINT('Origin',(9.99999999999998,-21.2451905432845,-1.)); #492627=CARTESIAN_POINT('',(-2.99999999999999,-21.2451905432845,-2.)); #492628=CARTESIAN_POINT('',(4.99999999999999,-21.2451905432845,-2.)); #492629=CARTESIAN_POINT('',(-2.99999999999999,-21.2451905432845,-1.)); #492630=CARTESIAN_POINT('Origin',(3.00000000000001,-18.2451905283833,-1.)); #492631=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-2.)); #492632=CARTESIAN_POINT('',(-2.99999999999999,-18.2451905283833,-1.)); #492633=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-2.)); #492634=CARTESIAN_POINT('',(1.5,-18.2451905283833,-2.)); #492635=CARTESIAN_POINT('',(3.00000000000001,-18.2451905283833,-1.)); #492636=CARTESIAN_POINT('Origin',(3.00000000000001,-20.2451905283833,-1.)); #492637=CARTESIAN_POINT('',(3.00000000000002,-8.74879763582113,-2.)); #492638=CARTESIAN_POINT('Origin',(-2.99999999999999,-18.2451905283833,-1.)); #492639=CARTESIAN_POINT('',(-2.99999999999999,-7.74879763582113,-2.)); #492640=CARTESIAN_POINT('Origin',(11.2500000000001,-20.2451905283834,-1.)); #492641=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-2.)); #492642=CARTESIAN_POINT('',(5.62500000000003,-20.2451905283833,-2.)); #492643=CARTESIAN_POINT('',(11.2500000000001,-20.2451905283833,-1.)); #492644=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-1.)); #492645=CARTESIAN_POINT('',(23.1578493020361,0.379809471616643,-2.)); #492646=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838334,-2.)); #492647=CARTESIAN_POINT('',(23.1578493020361,0.379809471616645,-1.)); #492648=CARTESIAN_POINT('Origin',(11.907849302036,19.8653810567667,-1.)); #492649=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-2.)); #492650=CARTESIAN_POINT('',(14.1254774791222,16.0243363817571,-2.)); #492651=CARTESIAN_POINT('',(11.907849302036,19.8653810567667,-1.)); #492652=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-1.)); #492653=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-2.)); #492654=CARTESIAN_POINT('Origin',(0.,12.9903810567667,-2.)); #492655=CARTESIAN_POINT('',(-11.907849302036,19.8653810567667,-1.)); #492656=CARTESIAN_POINT('Origin',(-23.1578493020361,0.379809471616674,-1.)); #492657=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616674,-2.)); #492658=CARTESIAN_POINT('',(-19.7504774791222,6.28155058918208,-2.)); #492659=CARTESIAN_POINT('',(-23.1578493020361,0.379809471616673,-1.)); #492660=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-1.)); #492661=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-2.)); #492662=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-2.)); #492663=CARTESIAN_POINT('',(-11.2500000000001,-20.2451905283833,-1.)); #492664=CARTESIAN_POINT('Origin',(-10.,-20.2451905283833,-1.)); #492665=CARTESIAN_POINT('',(-5.00000000000001,-20.2451905283833,-2.)); #492666=CARTESIAN_POINT('Origin',(-4.44089209850063E-15,2.74759525674108, -2.)); #492667=CARTESIAN_POINT('Origin',(14.7380413357265,2.95566213311044,-32.6249999999963)); #492668=CARTESIAN_POINT('',(24.9230195342123,6.66956500060405,-31.9999999999963)); #492669=CARTESIAN_POINT('',(25.2,6.78907615168049,-31.9999999999963)); #492670=CARTESIAN_POINT('',(14.9856496028718,2.38180226690502,-31.9999999999963)); #492671=CARTESIAN_POINT('',(28.4856496028718,8.2067637310425,-31.9999999999963)); #492672=CARTESIAN_POINT('',(28.7456154255859,8.31893342728709,-31.9999999999963)); #492673=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,-33.2499999999963)); #492674=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,-33.2499999999963)); #492675=CARTESIAN_POINT('',(25.8324238887751,8.42334862555513,-33.2499999999963)); #492676=CARTESIAN_POINT('',(14.4904330685812,3.52952199931585,-33.2499999999963)); #492677=CARTESIAN_POINT('Ctrl Pts',(25.8324238887751,8.42334862555513,-33.2499999999963)); #492678=CARTESIAN_POINT('Ctrl Pts',(25.3821549726729,7.5285657217855,-32.6068164937968)); #492679=CARTESIAN_POINT('Ctrl Pts',(24.9230195342123,6.66956500060404,-31.9999999999963)); #492680=CARTESIAN_POINT('Origin',(0.,0.,-139.899999999996)); #492681=CARTESIAN_POINT('',(-33.2842712474619,4.07614762451565E-15,-140.399999999996)); #492682=CARTESIAN_POINT('Origin',(0.,0.,-140.399999999996)); #492683=CARTESIAN_POINT('',(-29.2842712474619,2.44267357085353E-15,-136.399999999996)); #492684=CARTESIAN_POINT('',(-32.7842712474619,4.01491528455828E-15,-139.899999999996)); #492685=CARTESIAN_POINT('',(-29.2842712474619,-7.84669683169138,-137.4330372357)); #492686=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474619,-7.84669683169111, -137.4330372357)); #492687=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474619,-3.855347449755,-136.399999999996)); #492688=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474619,1.29905823685034E-15, -136.399999999996)); #492689=CARTESIAN_POINT('',(-21.4375744157705,-21.4375744157706,-137.4330372357)); #492690=CARTESIAN_POINT('Ctrl Pts',(-21.4375744157707,-21.4375744157703, -137.4330372357)); #492691=CARTESIAN_POINT('Ctrl Pts',(-25.3609228316162,-14.642135623731, -135.402162654512)); #492692=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474618,-7.84669683169161, -137.4330372357)); #492693=CARTESIAN_POINT('',(-7.84669683169135,-29.2842712474619,-137.4330372357)); #492694=CARTESIAN_POINT('Ctrl Pts',(-7.84669683169158,-29.2842712474618, -137.4330372357)); #492695=CARTESIAN_POINT('Ctrl Pts',(-14.6421356237309,-25.3609228316163, -135.402162654512)); #492696=CARTESIAN_POINT('Ctrl Pts',(-21.4375744157703,-21.4375744157707, -137.4330372357)); #492697=CARTESIAN_POINT('',(7.84669683169139,-29.2842712474619,-137.4330372357)); #492698=CARTESIAN_POINT('Ctrl Pts',(7.84669683169113,-29.2842712474619, -137.4330372357)); #492699=CARTESIAN_POINT('Ctrl Pts',(2.1094237467878E-14,-29.2842712474619, -135.402162654512)); #492700=CARTESIAN_POINT('Ctrl Pts',(-7.84669683169109,-29.2842712474619, -137.4330372357)); #492701=CARTESIAN_POINT('',(21.4375744157706,-21.4375744157705,-137.4330372357)); #492702=CARTESIAN_POINT('Ctrl Pts',(21.4375744157703,-21.4375744157706, -137.4330372357)); #492703=CARTESIAN_POINT('Ctrl Pts',(14.642135623731,-25.3609228316162,-135.402162654512)); #492704=CARTESIAN_POINT('Ctrl Pts',(7.84669683169163,-29.2842712474618, -137.4330372357)); #492705=CARTESIAN_POINT('',(29.2842712474619,-7.84669683169135,-137.4330372357)); #492706=CARTESIAN_POINT('Ctrl Pts',(29.2842712474618,-7.84669683169158, -137.4330372357)); #492707=CARTESIAN_POINT('Ctrl Pts',(25.3609228316163,-14.6421356237309, -135.402162654512)); #492708=CARTESIAN_POINT('Ctrl Pts',(21.4375744157707,-21.4375744157703, -137.4330372357)); #492709=CARTESIAN_POINT('',(29.2842712474619,7.84669683169139,-137.4330372357)); #492710=CARTESIAN_POINT('Ctrl Pts',(29.2842712474619,7.84669683169113,-137.4330372357)); #492711=CARTESIAN_POINT('Ctrl Pts',(29.2842712474619,1.99840144432528E-14, -135.402162654512)); #492712=CARTESIAN_POINT('Ctrl Pts',(29.2842712474619,-7.84669683169109, -137.4330372357)); #492713=CARTESIAN_POINT('',(21.4375744157705,21.4375744157706,-137.4330372357)); #492714=CARTESIAN_POINT('Ctrl Pts',(21.4375744157707,21.4375744157703,-137.4330372357)); #492715=CARTESIAN_POINT('Ctrl Pts',(25.3609228316162,14.642135623731,-135.402162654512)); #492716=CARTESIAN_POINT('Ctrl Pts',(29.2842712474618,7.84669683169163,-137.4330372357)); #492717=CARTESIAN_POINT('',(7.84669683169135,29.2842712474619,-137.4330372357)); #492718=CARTESIAN_POINT('Ctrl Pts',(7.84669683169158,29.2842712474618,-137.4330372357)); #492719=CARTESIAN_POINT('Ctrl Pts',(14.6421356237309,25.3609228316162,-135.402162654512)); #492720=CARTESIAN_POINT('Ctrl Pts',(21.4375744157703,21.4375744157707,-137.4330372357)); #492721=CARTESIAN_POINT('',(-7.84669683169139,29.2842712474619,-137.4330372357)); #492722=CARTESIAN_POINT('Ctrl Pts',(-7.84669683169112,29.2842712474619, -137.4330372357)); #492723=CARTESIAN_POINT('Ctrl Pts',(-2.44249065417534E-14,29.2842712474619, -135.402162654512)); #492724=CARTESIAN_POINT('Ctrl Pts',(7.84669683169109,29.2842712474619,-137.4330372357)); #492725=CARTESIAN_POINT('',(-21.4375744157706,21.4375744157705,-137.4330372357)); #492726=CARTESIAN_POINT('Ctrl Pts',(-21.4375744157703,21.4375744157707, -137.4330372357)); #492727=CARTESIAN_POINT('Ctrl Pts',(-14.642135623731,25.3609228316162,-135.402162654512)); #492728=CARTESIAN_POINT('Ctrl Pts',(-7.84669683169162,29.2842712474618, -137.4330372357)); #492729=CARTESIAN_POINT('',(-29.2842712474619,7.84669683169135,-137.4330372357)); #492730=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474618,7.84669683169158, -137.4330372357)); #492731=CARTESIAN_POINT('Ctrl Pts',(-25.3609228316162,14.6421356237309, -135.402162654512)); #492732=CARTESIAN_POINT('Ctrl Pts',(-21.4375744157707,21.4375744157703, -137.4330372357)); #492733=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474619,1.29905823685034E-15, -136.399999999996)); #492734=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474619,3.85534744975499, -136.399999999996)); #492735=CARTESIAN_POINT('Ctrl Pts',(-29.2842712474619,7.84669683169108, -137.4330372357)); #492736=CARTESIAN_POINT('Origin',(-21.4375744157706,21.4375744157705,-139.399999999996)); #492737=CARTESIAN_POINT('',(-21.4375744157706,21.4375744157705,-109.899999999996)); #492738=CARTESIAN_POINT('',(-21.4375744157706,21.4375744157705,-139.399999999996)); #492739=CARTESIAN_POINT('',(-25.3609228316162,14.6421356237309,-109.899999999996)); #492740=CARTESIAN_POINT('',(-23.3992486236934,18.0398550197507,-109.899999999996)); #492741=CARTESIAN_POINT('',(-29.2842712474619,7.84669683169135,-109.899999999996)); #492742=CARTESIAN_POINT('',(-23.3992486236934,18.0398550197507,-109.899999999996)); #492743=CARTESIAN_POINT('',(-29.2842712474619,7.84669683169135,-139.399999999996)); #492744=CARTESIAN_POINT('Origin',(-7.84669683169139,29.2842712474619,-139.399999999996)); #492745=CARTESIAN_POINT('',(-7.84669683169139,29.2842712474619,-109.899999999996)); #492746=CARTESIAN_POINT('',(-7.84669683169139,29.2842712474619,-139.399999999996)); #492747=CARTESIAN_POINT('',(-14.642135623731,25.3609228316162,-109.899999999996)); #492748=CARTESIAN_POINT('',(-11.2444162277112,27.3225970395391,-109.899999999996)); #492749=CARTESIAN_POINT('',(-11.2444162277112,27.3225970395391,-109.899999999996)); #492750=CARTESIAN_POINT('Origin',(7.84669683169135,29.2842712474619,-139.399999999996)); #492751=CARTESIAN_POINT('',(7.84669683169135,29.2842712474619,-109.899999999996)); #492752=CARTESIAN_POINT('',(7.84669683169135,29.2842712474619,-139.399999999996)); #492753=CARTESIAN_POINT('',(-1.66533453693773E-14,29.2842712474619,-109.899999999996)); #492754=CARTESIAN_POINT('',(3.92334841584567,29.2842712474619,-109.899999999996)); #492755=CARTESIAN_POINT('',(3.92334841584567,29.2842712474619,-109.899999999996)); #492756=CARTESIAN_POINT('Origin',(21.4375744157705,21.4375744157706,-139.399999999996)); #492757=CARTESIAN_POINT('',(21.4375744157705,21.4375744157706,-109.899999999996)); #492758=CARTESIAN_POINT('',(21.4375744157705,21.4375744157706,-139.399999999996)); #492759=CARTESIAN_POINT('',(14.6421356237309,25.3609228316162,-109.899999999996)); #492760=CARTESIAN_POINT('',(18.0398550197507,23.3992486236934,-109.899999999996)); #492761=CARTESIAN_POINT('',(18.0398550197507,23.3992486236934,-109.899999999996)); #492762=CARTESIAN_POINT('Origin',(29.2842712474619,7.8466968316914,-139.399999999996)); #492763=CARTESIAN_POINT('',(29.2842712474619,7.8466968316914,-109.899999999996)); #492764=CARTESIAN_POINT('',(29.2842712474619,7.8466968316914,-139.399999999996)); #492765=CARTESIAN_POINT('',(25.3609228316162,14.642135623731,-109.899999999996)); #492766=CARTESIAN_POINT('',(27.3225970395391,11.2444162277112,-109.899999999996)); #492767=CARTESIAN_POINT('',(27.3225970395391,11.2444162277112,-109.899999999996)); #492768=CARTESIAN_POINT('Origin',(29.2842712474619,-7.84669683169135,-139.399999999996)); #492769=CARTESIAN_POINT('',(29.2842712474619,-7.84669683169135,-109.899999999996)); #492770=CARTESIAN_POINT('',(29.2842712474619,-7.84669683169135,-139.399999999996)); #492771=CARTESIAN_POINT('',(29.2842712474619,1.66533453693773E-14,-109.899999999996)); #492772=CARTESIAN_POINT('',(29.2842712474619,-3.92334841584566,-109.899999999996)); #492773=CARTESIAN_POINT('',(29.2842712474619,-3.92334841584566,-109.899999999996)); #492774=CARTESIAN_POINT('Origin',(21.4375744157706,-21.4375744157705,-139.399999999996)); #492775=CARTESIAN_POINT('',(21.4375744157706,-21.4375744157705,-109.899999999996)); #492776=CARTESIAN_POINT('',(21.4375744157706,-21.4375744157705,-139.399999999996)); #492777=CARTESIAN_POINT('',(25.3609228316162,-14.6421356237309,-109.899999999996)); #492778=CARTESIAN_POINT('',(23.3992486236934,-18.0398550197507,-109.899999999996)); #492779=CARTESIAN_POINT('',(23.3992486236934,-18.0398550197507,-109.899999999996)); #492780=CARTESIAN_POINT('Origin',(7.8466968316914,-29.2842712474619,-139.399999999996)); #492781=CARTESIAN_POINT('',(7.8466968316914,-29.2842712474619,-109.899999999996)); #492782=CARTESIAN_POINT('',(7.8466968316914,-29.2842712474619,-139.399999999996)); #492783=CARTESIAN_POINT('',(14.642135623731,-25.3609228316162,-109.899999999996)); #492784=CARTESIAN_POINT('',(11.2444162277112,-27.3225970395391,-109.899999999996)); #492785=CARTESIAN_POINT('',(11.2444162277112,-27.3225970395391,-109.899999999996)); #492786=CARTESIAN_POINT('Origin',(-7.84669683169135,-29.2842712474619,-139.399999999996)); #492787=CARTESIAN_POINT('',(-7.84669683169135,-29.2842712474619,-109.899999999996)); #492788=CARTESIAN_POINT('',(-7.84669683169135,-29.2842712474619,-139.399999999996)); #492789=CARTESIAN_POINT('',(1.66533453693773E-14,-29.2842712474619,-109.899999999996)); #492790=CARTESIAN_POINT('',(-3.92334841584567,-29.2842712474619,-109.899999999996)); #492791=CARTESIAN_POINT('',(-3.92334841584567,-29.2842712474619,-109.899999999996)); #492792=CARTESIAN_POINT('Origin',(-21.4375744157705,-21.4375744157706,-139.399999999996)); #492793=CARTESIAN_POINT('',(-21.4375744157705,-21.4375744157706,-109.899999999996)); #492794=CARTESIAN_POINT('',(-21.4375744157705,-21.4375744157706,-139.399999999996)); #492795=CARTESIAN_POINT('',(-14.6421356237309,-25.3609228316162,-109.899999999996)); #492796=CARTESIAN_POINT('',(-18.0398550197507,-23.3992486236934,-109.899999999996)); #492797=CARTESIAN_POINT('',(-18.0398550197507,-23.3992486236934,-109.899999999996)); #492798=CARTESIAN_POINT('Origin',(-29.2842712474619,-7.84669683169138,-139.399999999996)); #492799=CARTESIAN_POINT('',(-29.2842712474619,-7.84669683169138,-109.899999999996)); #492800=CARTESIAN_POINT('',(-29.2842712474619,-7.84669683169138,-139.399999999996)); #492801=CARTESIAN_POINT('',(-25.3609228316162,-14.642135623731,-109.899999999996)); #492802=CARTESIAN_POINT('',(-27.3225970395391,-11.2444162277112,-109.899999999996)); #492803=CARTESIAN_POINT('',(-27.3225970395391,-11.2444162277112,-109.899999999996)); #492804=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #492805=CARTESIAN_POINT('',(-33.2842712474619,4.07614762451565E-15,-169.399999999996)); #492806=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #492807=CARTESIAN_POINT('',(-33.2842712474619,-4.07614762451565E-15,-169.399999999996)); #492808=CARTESIAN_POINT('Origin',(3.92334841584567,29.0342712474619,-109.649999999996)); #492809=CARTESIAN_POINT('',(-7.57932712079134,28.2864339019778,-108.902162654512)); #492810=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492811=CARTESIAN_POINT('',(-7.71272223547583,28.7842712474619,-109.399999999996)); #492812=CARTESIAN_POINT('Origin',(-11.1194162277112,27.1060906885929,-109.649999999996)); #492813=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492814=CARTESIAN_POINT('Origin',(-11.1194162277112,27.1060906885929,-109.649999999996)); #492815=CARTESIAN_POINT('',(-20.7071067811866,20.7071067811865,-108.902162654512)); #492816=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492817=CARTESIAN_POINT('',(-21.0715490119861,21.0715490119861,-109.399999999996)); #492818=CARTESIAN_POINT('Origin',(-23.1827422727473,17.9148550197507,-109.649999999996)); #492819=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #492820=CARTESIAN_POINT('Origin',(-23.1827422727473,17.9148550197507,-109.649999999996)); #492821=CARTESIAN_POINT('',(-28.2864339019779,7.57932712079123,-108.902162654512)); #492822=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #492823=CARTESIAN_POINT('',(-28.7842712474619,7.71272223547578,-109.399999999996)); #492824=CARTESIAN_POINT('Origin',(-29.0342712474619,3.92334841584567,-109.649999999996)); #492825=CARTESIAN_POINT('',(-29.2842712474619,-8.32667268468867E-15,-109.899999999996)); #492826=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492827=CARTESIAN_POINT('',(-29.2842712474619,3.92334841584567,-109.899999999996)); #492828=CARTESIAN_POINT('Origin',(-29.2842712474619,7.84669683169135,-139.399999999996)); #492829=CARTESIAN_POINT('',(-29.2842712474619,3.92334841584567,-109.899999999996)); #492830=CARTESIAN_POINT('Origin',(-29.0342712474619,3.92334841584567,-109.649999999996)); #492831=CARTESIAN_POINT('',(-28.2864339019778,-7.57932712079131,-108.902162654512)); #492832=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525337)); #492833=CARTESIAN_POINT('',(-28.7842712474619,-7.71272223547583,-109.399999999996)); #492834=CARTESIAN_POINT('Origin',(-27.106090688593,-11.1194162277112,-109.649999999996)); #492835=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525336)); #492836=CARTESIAN_POINT('Origin',(-27.106090688593,-11.1194162277112,-109.649999999996)); #492837=CARTESIAN_POINT('',(-20.7071067811865,-20.7071067811866,-108.902162654512)); #492838=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492839=CARTESIAN_POINT('',(-21.0715490119861,-21.0715490119861,-109.399999999996)); #492840=CARTESIAN_POINT('Origin',(-17.9148550197507,-23.1827422727473,-109.649999999996)); #492841=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492842=CARTESIAN_POINT('Origin',(-17.9148550197507,-23.1827422727473,-109.649999999996)); #492843=CARTESIAN_POINT('',(-7.57932712079132,-28.2864339019778,-108.902162654512)); #492844=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492845=CARTESIAN_POINT('',(-7.71272223547579,-28.7842712474619,-109.399999999996)); #492846=CARTESIAN_POINT('Origin',(-3.92334841584567,-29.0342712474619,-109.649999999996)); #492847=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492848=CARTESIAN_POINT('Origin',(-3.92334841584567,-29.0342712474619,-109.649999999996)); #492849=CARTESIAN_POINT('',(7.57932712079136,-28.2864339019778,-108.902162654512)); #492850=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492851=CARTESIAN_POINT('',(7.71272223547584,-28.7842712474619,-109.399999999996)); #492852=CARTESIAN_POINT('Origin',(11.1194162277112,-27.1060906885929,-109.649999999996)); #492853=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525336)); #492854=CARTESIAN_POINT('Origin',(11.1194162277112,-27.1060906885929,-109.649999999996)); #492855=CARTESIAN_POINT('',(20.7071067811866,-20.7071067811865,-108.902162654512)); #492856=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525336)); #492857=CARTESIAN_POINT('',(21.0715490119861,-21.0715490119861,-109.399999999996)); #492858=CARTESIAN_POINT('Origin',(23.1827422727473,-17.9148550197507,-109.649999999996)); #492859=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #492860=CARTESIAN_POINT('Origin',(23.1827422727473,-17.9148550197507,-109.649999999996)); #492861=CARTESIAN_POINT('',(28.2864339019778,-7.57932712079128,-108.902162654512)); #492862=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #492863=CARTESIAN_POINT('',(28.7842712474619,-7.71272223547576,-109.399999999996)); #492864=CARTESIAN_POINT('Origin',(29.0342712474619,-3.92334841584566,-109.649999999996)); #492865=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492866=CARTESIAN_POINT('Origin',(29.0342712474619,-3.92334841584566,-109.649999999996)); #492867=CARTESIAN_POINT('',(28.2864339019778,7.57932712079131,-108.902162654512)); #492868=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492869=CARTESIAN_POINT('',(28.7842712474619,7.71272223547583,-109.399999999996)); #492870=CARTESIAN_POINT('Origin',(27.1060906885929,11.1194162277112,-109.649999999996)); #492871=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492872=CARTESIAN_POINT('Origin',(27.1060906885929,11.1194162277112,-109.649999999996)); #492873=CARTESIAN_POINT('',(20.7071067811866,20.7071067811865,-108.902162654512)); #492874=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492875=CARTESIAN_POINT('',(21.0715490119861,21.0715490119861,-109.399999999996)); #492876=CARTESIAN_POINT('Origin',(17.9148550197507,23.1827422727473,-109.649999999996)); #492877=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492878=CARTESIAN_POINT('Origin',(17.9148550197507,23.1827422727473,-109.649999999996)); #492879=CARTESIAN_POINT('',(7.57932712079126,28.2864339019778,-108.902162654512)); #492880=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525345)); #492881=CARTESIAN_POINT('',(7.71272223547576,28.7842712474619,-109.399999999996)); #492882=CARTESIAN_POINT('Origin',(3.92334841584567,29.0342712474619,-109.649999999996)); #492883=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #492884=CARTESIAN_POINT('Origin',(0.,0.,-109.399999999996)); #492885=CARTESIAN_POINT('',(-29.2842712474619,3.58628890485671E-15,-76.9999999999963)); #492886=CARTESIAN_POINT('',(-29.2842712474619,-3.58628890485671E-15,-109.399999999996)); #492887=CARTESIAN_POINT('Origin',(0.,0.,-76.9999999999963)); #492888=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-22.5)); #492889=CARTESIAN_POINT('',(-32.9810118744127,12.5392445228695,-22.)); #492890=CARTESIAN_POINT('',(-33.6910108993484,10.4831093690971,-23.)); #492891=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744127,12.5392445228695, -22.)); #492892=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693196,12.2184785589618, -22.)); #492893=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945862,11.9523010218211, -22.0867627737283)); #492894=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591103,11.4590505104054, -22.3098286400492)); #492895=CARTESIAN_POINT('Ctrl Pts',(-33.449191463604,11.2332490897395,-22.4494704591759)); #492896=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733403,10.8321390421008, -22.7271769304122)); #492897=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512137,10.6571227598406, -22.8604340890752)); #492898=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993483,10.4831093690975, -22.9999999999998)); #492899=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-23.)); #492900=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-23.)); #492901=CARTESIAN_POINT('',(-34.8504574210193,11.2500000000014,-22.)); #492902=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-23.)); #492903=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-22.)); #492904=CARTESIAN_POINT('Origin',(-36.2636399080668,10.7500000000014,-22.5)); #492905=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-23.)); #492906=CARTESIAN_POINT('',(-36.2636399080668,10.2500000000014,-23.)); #492907=CARTESIAN_POINT('',(-39.7263199528033,11.2500000000015,-22.)); #492908=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-23.)); #492909=CARTESIAN_POINT('',(-36.2636399080668,11.2500000000014,-22.)); #492910=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-22.5)); #492911=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-23.)); #492912=CARTESIAN_POINT('',(-44.7263199528034,6.2500000000016,-22.)); #492913=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-23.)); #492914=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-22.)); #492915=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-23.)); #492916=CARTESIAN_POINT('Origin',(-44.2263199528034,5.62500000000162,-22.5)); #492917=CARTESIAN_POINT('',(-43.7263199528037,-6.2499999999984,-23.)); #492918=CARTESIAN_POINT('',(-43.7263199528034,5.62500000000161,-23.)); #492919=CARTESIAN_POINT('',(-44.7263199528037,-6.24999999999838,-22.)); #492920=CARTESIAN_POINT('',(-43.7263199528037,-6.2499999999984,-23.)); #492921=CARTESIAN_POINT('',(-44.7263199528034,5.62500000000163,-22.)); #492922=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-22.5)); #492923=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-23.)); #492924=CARTESIAN_POINT('',(-39.7263199528037,-11.2499999999985,-22.)); #492925=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-23.)); #492926=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-22.)); #492927=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-23.)); #492928=CARTESIAN_POINT('Origin',(-41.9054266045582,-10.7499999999985,-22.5)); #492929=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-23.)); #492930=CARTESIAN_POINT('',(-41.9054266045582,-10.2499999999985,-23.)); #492931=CARTESIAN_POINT('',(-34.8504574210204,-11.2499999999985,-22.)); #492932=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-23.)); #492933=CARTESIAN_POINT('',(-41.9054266045582,-11.2499999999985,-22.)); #492934=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-22.5)); #492935=CARTESIAN_POINT('',(-33.6910108993493,-10.4831093690944,-23.)); #492936=CARTESIAN_POINT('',(-32.9810118744138,-12.5392445228668,-22.)); #492937=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993492,-10.4831093690947, -22.9999999999997)); #492938=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512146,-10.6571227598379, -22.8604340890752)); #492939=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733411,-10.832139042098, -22.7271769304122)); #492940=CARTESIAN_POINT('Ctrl Pts',(-33.449191463605,-11.2332490897368, -22.4494704591759)); #492941=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591113,-11.4590505104026, -22.3098286400492)); #492942=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945871,-11.9523010218183, -22.0867627737283)); #492943=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693206,-12.2184785589591, -22.)); #492944=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744138,-12.5392445228668, -22.)); #492945=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-22.)); #492946=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-23.)); #492947=CARTESIAN_POINT('Origin',(-39.0845332563125,1.54098955817972E-12, -23.)); #492948=CARTESIAN_POINT('',(-34.7398341124716,6.17444113280048,-23.)); #492949=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #492950=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-23.)); #492951=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-23.)); #492952=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-23.)); #492953=CARTESIAN_POINT('',(-39.4054266045579,7.25000000000149,-23.)); #492954=CARTESIAN_POINT('',(-40.7263199528035,4.25000000000152,-23.)); #492955=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-23.)); #492956=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-23.)); #492957=CARTESIAN_POINT('',(-40.7263199528036,-3.12499999999845,-23.)); #492958=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-23.)); #492959=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-23.)); #492960=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-23.)); #492961=CARTESIAN_POINT('',(-36.9054266045581,-7.2499999999985,-23.)); #492962=CARTESIAN_POINT('',(-34.7398341124721,-6.17444113279773,-23.)); #492963=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-23.)); #492964=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #492965=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-22.5)); #492966=CARTESIAN_POINT('',(-35.0542884377767,-4.02202182808839,-22.)); #492967=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377767,-4.02202182808838, -22.)); #492968=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507312,-4.3629520173336, -22.)); #492969=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036601,-4.64360612792642, -22.0867627737283)); #492970=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460228,-5.16139675841686, -22.3098286400492)); #492971=CARTESIAN_POINT('Ctrl Pts',(-34.869840580044,-5.39708371283011, -22.4494704591759)); #492972=CARTESIAN_POINT('Ctrl Pts',(-34.8025038896554,-5.81387537856831, -22.7271769304122)); #492973=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762006,-5.99501059134448, -22.8604340890752)); #492974=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124722,-6.17444113279736, -22.9999999999997)); #492975=CARTESIAN_POINT('',(-37.0412524417618,-6.2499999999985,-22.)); #492976=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-23.)); #492977=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-22.)); #492978=CARTESIAN_POINT('Origin',(-36.9054266045581,-6.7499999999985,-22.5)); #492979=CARTESIAN_POINT('',(-37.7263199528036,-6.2499999999985,-22.)); #492980=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-23.)); #492981=CARTESIAN_POINT('',(-36.9054266045581,-6.2499999999985,-22.)); #492982=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-22.5)); #492983=CARTESIAN_POINT('',(-39.7263199528036,-4.24999999999845,-22.)); #492984=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-23.)); #492985=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-22.)); #492986=CARTESIAN_POINT('Origin',(-40.2263199528036,-3.12499999999846,-22.5)); #492987=CARTESIAN_POINT('',(-39.7263199528034,4.2500000000015,-22.)); #492988=CARTESIAN_POINT('',(-40.7263199528035,4.25000000000152,-23.)); #492989=CARTESIAN_POINT('',(-39.7263199528036,-3.12499999999847,-22.)); #492990=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-22.5)); #492991=CARTESIAN_POINT('',(-37.7263199528034,6.25000000000145,-22.)); #492992=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-23.)); #492993=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-22.)); #492994=CARTESIAN_POINT('Origin',(-39.4054266045579,6.75000000000149,-22.5)); #492995=CARTESIAN_POINT('',(-37.0412524417615,6.25000000000143,-22.)); #492996=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-23.)); #492997=CARTESIAN_POINT('',(-39.4054266045579,6.25000000000149,-22.)); #492998=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-22.5)); #492999=CARTESIAN_POINT('',(-35.0542884377764,4.02202182809116,-22.)); #493000=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124717,6.1744411328001,-22.9999999999997)); #493001=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762001,5.99501059134723, -22.8604340890752)); #493002=CARTESIAN_POINT('Ctrl Pts',(-34.802503889655,5.81387537857107,-22.7271769304122)); #493003=CARTESIAN_POINT('Ctrl Pts',(-34.8698405800435,5.39708371283288, -22.4494704591759)); #493004=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460224,5.16139675841963, -22.3098286400492)); #493005=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036598,4.64360612792919, -22.0867627737283)); #493006=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507308,4.36295201733638, -22.)); #493007=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377764,4.02202182809116, -22.)); #493008=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-22.)); #493009=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-22.5)); #493010=CARTESIAN_POINT('',(35.0542884377761,4.02202182809407,-22.)); #493011=CARTESIAN_POINT('',(34.7398341124711,6.17444113280336,-23.)); #493012=CARTESIAN_POINT('Ctrl Pts',(35.0542884377761,4.02202182809407,-22.)); #493013=CARTESIAN_POINT('Ctrl Pts',(35.0151711507305,4.36295201733928,-22.)); #493014=CARTESIAN_POINT('Ctrl Pts',(34.9783514036594,4.64360612793209,-22.0867627737283)); #493015=CARTESIAN_POINT('Ctrl Pts',(34.905703346022,5.16139675842252,-22.3098286400492)); #493016=CARTESIAN_POINT('Ctrl Pts',(34.8698405800431,5.39708371283576,-22.4494704591759)); #493017=CARTESIAN_POINT('Ctrl Pts',(34.8025038896545,5.81387537857395,-22.7271769304122)); #493018=CARTESIAN_POINT('Ctrl Pts',(34.7717249761996,5.99501059135012,-22.8604340890752)); #493019=CARTESIAN_POINT('Ctrl Pts',(34.7398341124712,6.17444113280298,-22.9999999999997)); #493020=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-23.)); #493021=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-23.)); #493022=CARTESIAN_POINT('',(37.0412524417634,6.2500000000045,-22.)); #493023=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-23.)); #493024=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-22.)); #493025=CARTESIAN_POINT('Origin',(36.905426604562,6.75000000000465,-22.5)); #493026=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-23.)); #493027=CARTESIAN_POINT('',(36.9054266045626,7.25000000000465,-23.)); #493028=CARTESIAN_POINT('',(37.7263199528057,6.25000000000373,-22.)); #493029=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-23.)); #493030=CARTESIAN_POINT('',(36.9054266045615,6.25000000000465,-22.)); #493031=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-22.5)); #493032=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-23.)); #493033=CARTESIAN_POINT('',(39.7263199528034,4.25000000000378,-22.)); #493034=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-23.)); #493035=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-22.)); #493036=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-23.)); #493037=CARTESIAN_POINT('Origin',(40.2263199528035,3.12500000000154,-22.5)); #493038=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-23.)); #493039=CARTESIAN_POINT('',(40.7263199528035,3.12500000000155,-23.)); #493040=CARTESIAN_POINT('',(39.7263199528036,-4.24999999999846,-22.)); #493041=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-23.)); #493042=CARTESIAN_POINT('',(39.7263199528035,3.12500000000153,-22.)); #493043=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-22.5)); #493044=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-23.)); #493045=CARTESIAN_POINT('',(37.7263199528037,-6.24999999999851,-22.)); #493046=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-23.)); #493047=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-22.)); #493048=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-23.)); #493049=CARTESIAN_POINT('Origin',(39.4054266045581,-6.7499999999985,-22.5)); #493050=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999851,-23.)); #493051=CARTESIAN_POINT('',(39.4054266045581,-7.2499999999985,-23.)); #493052=CARTESIAN_POINT('',(37.0412524417618,-6.24999999999852,-22.)); #493053=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999851,-23.)); #493054=CARTESIAN_POINT('',(39.4054266045581,-6.2499999999985,-22.)); #493055=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-22.5)); #493056=CARTESIAN_POINT('',(34.7398341124721,-6.17444113279775,-23.)); #493057=CARTESIAN_POINT('',(35.0542884377767,-4.02202182808841,-22.)); #493058=CARTESIAN_POINT('Ctrl Pts',(34.7398341124722,-6.17444113279737, -22.9999999999997)); #493059=CARTESIAN_POINT('Ctrl Pts',(34.7717249762006,-5.9950105913445,-22.8604340890752)); #493060=CARTESIAN_POINT('Ctrl Pts',(34.8025038896554,-5.81387537856833, -22.7271769304122)); #493061=CARTESIAN_POINT('Ctrl Pts',(34.8698405800439,-5.39708371283014, -22.4494704591759)); #493062=CARTESIAN_POINT('Ctrl Pts',(34.9057033460228,-5.16139675841689, -22.3098286400492)); #493063=CARTESIAN_POINT('Ctrl Pts',(34.9783514036601,-4.64360612792644, -22.0867627737283)); #493064=CARTESIAN_POINT('Ctrl Pts',(35.0151711507312,-4.36295201733363, -22.)); #493065=CARTESIAN_POINT('Ctrl Pts',(35.0542884377767,-4.02202182808841, -22.)); #493066=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-22.)); #493067=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-23.)); #493068=CARTESIAN_POINT('Origin',(39.0845332563125,1.54098955817972E-12, -23.)); #493069=CARTESIAN_POINT('',(33.6910108993484,10.4831093690971,-23.)); #493070=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #493071=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-23.)); #493072=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-23.)); #493073=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-23.)); #493074=CARTESIAN_POINT('',(41.9054266045578,10.2500000000015,-23.)); #493075=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-23.)); #493076=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-23.)); #493077=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-23.)); #493078=CARTESIAN_POINT('',(43.7263199528037,-5.62499999999844,-23.)); #493079=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-23.)); #493080=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-23.)); #493081=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-23.)); #493082=CARTESIAN_POINT('',(36.2636399080674,-10.2499999999985,-23.)); #493083=CARTESIAN_POINT('',(33.6910108993493,-10.4831093690944,-23.)); #493084=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-23.)); #493085=CARTESIAN_POINT('Origin',(0.,0.,-23.)); #493086=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-22.5)); #493087=CARTESIAN_POINT('',(32.9810118744138,-12.5392445228668,-22.)); #493088=CARTESIAN_POINT('Ctrl Pts',(32.9810118744138,-12.5392445228668, -22.)); #493089=CARTESIAN_POINT('Ctrl Pts',(33.1029657693206,-12.2184785589591, -22.)); #493090=CARTESIAN_POINT('Ctrl Pts',(33.1992566945871,-11.9523010218183, -22.0867627737283)); #493091=CARTESIAN_POINT('Ctrl Pts',(33.3727179591113,-11.4590505104026, -22.3098286400492)); #493092=CARTESIAN_POINT('Ctrl Pts',(33.449191463605,-11.2332490897368,-22.4494704591759)); #493093=CARTESIAN_POINT('Ctrl Pts',(33.5809497733411,-10.832139042098,-22.7271769304122)); #493094=CARTESIAN_POINT('Ctrl Pts',(33.6368658512146,-10.6571227598379, -22.8604340890752)); #493095=CARTESIAN_POINT('Ctrl Pts',(33.6910108993492,-10.4831093690947, -22.9999999999997)); #493096=CARTESIAN_POINT('',(34.8504574210204,-11.2499999999985,-22.)); #493097=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-23.)); #493098=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-22.)); #493099=CARTESIAN_POINT('Origin',(36.2636399080674,-10.7499999999985,-22.5)); #493100=CARTESIAN_POINT('',(39.7263199528037,-11.2499999999985,-22.)); #493101=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-23.)); #493102=CARTESIAN_POINT('',(36.2636399080674,-11.2499999999985,-22.)); #493103=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-22.5)); #493104=CARTESIAN_POINT('',(44.7263199528037,-6.24999999999838,-22.)); #493105=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-23.)); #493106=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-22.)); #493107=CARTESIAN_POINT('Origin',(44.2263199528037,-5.62499999999843,-22.5)); #493108=CARTESIAN_POINT('',(44.7263199528034,6.2500000000016,-22.)); #493109=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-23.)); #493110=CARTESIAN_POINT('',(44.7263199528037,-5.62499999999841,-22.)); #493111=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-22.5)); #493112=CARTESIAN_POINT('',(39.7263199528033,11.2500000000015,-22.)); #493113=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-23.)); #493114=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-22.)); #493115=CARTESIAN_POINT('Origin',(41.9054266045578,10.7500000000015,-22.5)); #493116=CARTESIAN_POINT('',(34.8504574210193,11.2500000000014,-22.)); #493117=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-23.)); #493118=CARTESIAN_POINT('',(41.9054266045578,11.2500000000015,-22.)); #493119=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-22.5)); #493120=CARTESIAN_POINT('',(32.9810118744127,12.5392445228695,-22.)); #493121=CARTESIAN_POINT('Ctrl Pts',(33.6910108993483,10.4831093690975,-22.9999999999997)); #493122=CARTESIAN_POINT('Ctrl Pts',(33.6368658512137,10.6571227598406,-22.8604340890752)); #493123=CARTESIAN_POINT('Ctrl Pts',(33.5809497733403,10.8321390421008,-22.7271769304122)); #493124=CARTESIAN_POINT('Ctrl Pts',(33.449191463604,11.2332490897396,-22.4494704591759)); #493125=CARTESIAN_POINT('Ctrl Pts',(33.3727179591103,11.4590505104054,-22.3098286400492)); #493126=CARTESIAN_POINT('Ctrl Pts',(33.1992566945862,11.9523010218211,-22.0867627737283)); #493127=CARTESIAN_POINT('Ctrl Pts',(33.1029657693196,12.2184785589618,-22.)); #493128=CARTESIAN_POINT('Ctrl Pts',(32.9810118744127,12.5392445228695,-22.)); #493129=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-22.)); #493130=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-17.5)); #493131=CARTESIAN_POINT('',(35.0542884377767,-4.02202182808841,-18.)); #493132=CARTESIAN_POINT('',(34.7398341124721,-6.17444113279775,-17.)); #493133=CARTESIAN_POINT('Ctrl Pts',(35.0542884377767,-4.02202182808838, -18.)); #493134=CARTESIAN_POINT('Ctrl Pts',(35.0151711507312,-4.3629520173336,-18.)); #493135=CARTESIAN_POINT('Ctrl Pts',(34.9783514036601,-4.64360612792642, -17.9132372262717)); #493136=CARTESIAN_POINT('Ctrl Pts',(34.9057033460228,-5.16139675841686, -17.6901713599508)); #493137=CARTESIAN_POINT('Ctrl Pts',(34.869840580044,-5.39708371283011,-17.5505295408241)); #493138=CARTESIAN_POINT('Ctrl Pts',(34.8025038896554,-5.81387537856832, -17.2728230695878)); #493139=CARTESIAN_POINT('Ctrl Pts',(34.7717249762006,-5.99501059134449, -17.1395659109248)); #493140=CARTESIAN_POINT('Ctrl Pts',(34.7398341124722,-6.17444113279737, -17.0000000000003)); #493141=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999852,-17.)); #493142=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-17.)); #493143=CARTESIAN_POINT('',(37.0412524417618,-6.24999999999852,-18.)); #493144=CARTESIAN_POINT('',(37.0412524417619,-7.24999999999852,-17.)); #493145=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,-18.)); #493146=CARTESIAN_POINT('Origin',(39.4054266045581,-6.7499999999985,-17.5)); #493147=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-17.)); #493148=CARTESIAN_POINT('',(39.4054266045581,-7.2499999999985,-17.)); #493149=CARTESIAN_POINT('',(37.7263199528037,-6.24999999999851,-18.)); #493150=CARTESIAN_POINT('',(37.7263199528037,-7.24999999999851,-17.)); #493151=CARTESIAN_POINT('',(39.4054266045581,-6.2499999999985,-18.)); #493152=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-17.5)); #493153=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-17.)); #493154=CARTESIAN_POINT('',(39.7263199528036,-4.24999999999846,-18.)); #493155=CARTESIAN_POINT('',(40.7263199528036,-4.24999999999844,-17.)); #493156=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-18.)); #493157=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-17.)); #493158=CARTESIAN_POINT('Origin',(40.2263199528035,3.12500000000154,-17.5)); #493159=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-17.)); #493160=CARTESIAN_POINT('',(40.7263199528035,3.12500000000155,-17.)); #493161=CARTESIAN_POINT('',(39.7263199528034,4.25000000000378,-18.)); #493162=CARTESIAN_POINT('',(40.7263199528034,4.2500000000038,-17.)); #493163=CARTESIAN_POINT('',(39.7263199528035,3.12500000000153,-18.)); #493164=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-17.5)); #493165=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-17.)); #493166=CARTESIAN_POINT('',(37.7263199528057,6.25000000000373,-18.)); #493167=CARTESIAN_POINT('',(37.7263199528068,7.25000000000373,-17.)); #493168=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-18.)); #493169=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-17.)); #493170=CARTESIAN_POINT('Origin',(36.905426604562,6.75000000000465,-17.5)); #493171=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-17.)); #493172=CARTESIAN_POINT('',(36.9054266045626,7.25000000000465,-17.)); #493173=CARTESIAN_POINT('',(37.0412524417634,6.2500000000045,-18.)); #493174=CARTESIAN_POINT('',(37.0412524417645,7.2500000000045,-17.)); #493175=CARTESIAN_POINT('',(36.9054266045615,6.25000000000465,-18.)); #493176=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-17.5)); #493177=CARTESIAN_POINT('',(34.7398341124711,6.17444113280336,-17.)); #493178=CARTESIAN_POINT('',(35.0542884377761,4.02202182809407,-18.)); #493179=CARTESIAN_POINT('Ctrl Pts',(34.7398341124712,6.17444113280298,-17.0000000000003)); #493180=CARTESIAN_POINT('Ctrl Pts',(34.7717249761996,5.99501059135011,-17.1395659109248)); #493181=CARTESIAN_POINT('Ctrl Pts',(34.8025038896545,5.81387537857395,-17.2728230695878)); #493182=CARTESIAN_POINT('Ctrl Pts',(34.8698405800431,5.39708371283576,-17.5505295408241)); #493183=CARTESIAN_POINT('Ctrl Pts',(34.905703346022,5.16139675842252,-17.6901713599508)); #493184=CARTESIAN_POINT('Ctrl Pts',(34.9783514036594,4.64360612793209,-17.9132372262717)); #493185=CARTESIAN_POINT('Ctrl Pts',(35.0151711507305,4.36295201733928,-18.)); #493186=CARTESIAN_POINT('Ctrl Pts',(35.0542884377761,4.02202182809406,-18.)); #493187=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-18.)); #493188=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,-17.)); #493189=CARTESIAN_POINT('Origin',(39.0845332563125,1.54098955817972E-12, -17.)); #493190=CARTESIAN_POINT('',(33.6910108993493,-10.4831093690944,-17.)); #493191=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #493192=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-17.)); #493193=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-17.)); #493194=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-17.)); #493195=CARTESIAN_POINT('',(36.2636399080674,-10.2499999999985,-17.)); #493196=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-17.)); #493197=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-17.)); #493198=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-17.)); #493199=CARTESIAN_POINT('',(43.7263199528037,-5.62499999999844,-17.)); #493200=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-17.)); #493201=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-17.)); #493202=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-17.)); #493203=CARTESIAN_POINT('',(41.9054266045578,10.2500000000015,-17.)); #493204=CARTESIAN_POINT('',(33.6910108993484,10.4831093690971,-17.)); #493205=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-17.)); #493206=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #493207=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-17.5)); #493208=CARTESIAN_POINT('',(32.9810118744127,12.5392445228695,-18.)); #493209=CARTESIAN_POINT('Ctrl Pts',(32.9810118744127,12.5392445228696,-18.)); #493210=CARTESIAN_POINT('Ctrl Pts',(33.1029657693195,12.2184785589618,-18.)); #493211=CARTESIAN_POINT('Ctrl Pts',(33.1992566945862,11.9523010218211,-17.9132372262717)); #493212=CARTESIAN_POINT('Ctrl Pts',(33.3727179591103,11.4590505104054,-17.6901713599508)); #493213=CARTESIAN_POINT('Ctrl Pts',(33.449191463604,11.2332490897396,-17.5505295408241)); #493214=CARTESIAN_POINT('Ctrl Pts',(33.5809497733402,10.8321390421008,-17.2728230695878)); #493215=CARTESIAN_POINT('Ctrl Pts',(33.6368658512137,10.6571227598406,-17.1395659109248)); #493216=CARTESIAN_POINT('Ctrl Pts',(33.6910108993483,10.4831093690975,-17.0000000000003)); #493217=CARTESIAN_POINT('',(34.8504574210193,11.2500000000014,-18.)); #493218=CARTESIAN_POINT('',(34.8504574210194,10.2500000000014,-17.)); #493219=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,-18.)); #493220=CARTESIAN_POINT('Origin',(41.9054266045578,10.7500000000015,-17.5)); #493221=CARTESIAN_POINT('',(39.7263199528033,11.2500000000015,-18.)); #493222=CARTESIAN_POINT('',(39.7263199528033,10.2500000000015,-17.)); #493223=CARTESIAN_POINT('',(41.9054266045578,11.2500000000015,-18.)); #493224=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-17.5)); #493225=CARTESIAN_POINT('',(44.7263199528034,6.2500000000016,-18.)); #493226=CARTESIAN_POINT('',(43.7263199528034,6.25000000000158,-17.)); #493227=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-18.)); #493228=CARTESIAN_POINT('Origin',(44.2263199528037,-5.62499999999843,-17.5)); #493229=CARTESIAN_POINT('',(44.7263199528037,-6.24999999999838,-18.)); #493230=CARTESIAN_POINT('',(43.7263199528037,-6.24999999999841,-17.)); #493231=CARTESIAN_POINT('',(44.7263199528037,-5.62499999999841,-18.)); #493232=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-17.5)); #493233=CARTESIAN_POINT('',(39.7263199528037,-11.2499999999985,-18.)); #493234=CARTESIAN_POINT('',(39.7263199528037,-10.2499999999985,-17.)); #493235=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-18.)); #493236=CARTESIAN_POINT('Origin',(36.2636399080674,-10.7499999999985,-17.5)); #493237=CARTESIAN_POINT('',(34.8504574210204,-11.2499999999985,-18.)); #493238=CARTESIAN_POINT('',(34.8504574210204,-10.2499999999985,-17.)); #493239=CARTESIAN_POINT('',(36.2636399080674,-11.2499999999985,-18.)); #493240=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-17.5)); #493241=CARTESIAN_POINT('',(32.9810118744138,-12.5392445228668,-18.)); #493242=CARTESIAN_POINT('Ctrl Pts',(33.6910108993492,-10.4831093690947, -17.0000000000003)); #493243=CARTESIAN_POINT('Ctrl Pts',(33.6368658512146,-10.6571227598379, -17.1395659109248)); #493244=CARTESIAN_POINT('Ctrl Pts',(33.5809497733411,-10.832139042098,-17.2728230695878)); #493245=CARTESIAN_POINT('Ctrl Pts',(33.449191463605,-11.2332490897368,-17.5505295408241)); #493246=CARTESIAN_POINT('Ctrl Pts',(33.3727179591113,-11.4590505104026, -17.6901713599508)); #493247=CARTESIAN_POINT('Ctrl Pts',(33.1992566945871,-11.9523010218183, -17.9132372262717)); #493248=CARTESIAN_POINT('Ctrl Pts',(33.1029657693206,-12.2184785589591, -18.)); #493249=CARTESIAN_POINT('Ctrl Pts',(32.9810118744138,-12.5392445228668, -18.)); #493250=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,-18.)); #493251=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-17.5)); #493252=CARTESIAN_POINT('',(-32.9810118744138,-12.5392445228668,-18.)); #493253=CARTESIAN_POINT('',(-33.6910108993493,-10.4831093690944,-17.)); #493254=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744138,-12.5392445228668, -18.)); #493255=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693206,-12.2184785589591, -18.)); #493256=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945871,-11.9523010218183, -17.9132372262717)); #493257=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591113,-11.4590505104026, -17.6901713599508)); #493258=CARTESIAN_POINT('Ctrl Pts',(-33.449191463605,-11.2332490897368, -17.5505295408241)); #493259=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733411,-10.832139042098, -17.2728230695878)); #493260=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512146,-10.6571227598379, -17.1395659109248)); #493261=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993492,-10.4831093690947, -17.0000000000003)); #493262=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-17.)); #493263=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-17.)); #493264=CARTESIAN_POINT('',(-34.8504574210204,-11.2499999999985,-18.)); #493265=CARTESIAN_POINT('',(-34.8504574210204,-10.2499999999985,-17.)); #493266=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,-18.)); #493267=CARTESIAN_POINT('Origin',(-41.9054266045582,-10.7499999999985,-17.5)); #493268=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-17.)); #493269=CARTESIAN_POINT('',(-41.9054266045582,-10.2499999999985,-17.)); #493270=CARTESIAN_POINT('',(-39.7263199528037,-11.2499999999985,-18.)); #493271=CARTESIAN_POINT('',(-39.7263199528037,-10.2499999999985,-17.)); #493272=CARTESIAN_POINT('',(-41.9054266045582,-11.2499999999985,-18.)); #493273=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-17.5)); #493274=CARTESIAN_POINT('',(-43.7263199528037,-6.24999999999841,-17.)); #493275=CARTESIAN_POINT('',(-44.7263199528037,-6.24999999999838,-18.)); #493276=CARTESIAN_POINT('',(-43.7263199528037,-6.24999999999841,-17.)); #493277=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-18.)); #493278=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-17.)); #493279=CARTESIAN_POINT('Origin',(-44.2263199528034,5.62500000000162,-17.5)); #493280=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-17.)); #493281=CARTESIAN_POINT('',(-43.7263199528034,5.62500000000161,-17.)); #493282=CARTESIAN_POINT('',(-44.7263199528034,6.2500000000016,-18.)); #493283=CARTESIAN_POINT('',(-43.7263199528034,6.25000000000157,-17.)); #493284=CARTESIAN_POINT('',(-44.7263199528034,5.62500000000163,-18.)); #493285=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-17.5)); #493286=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-17.)); #493287=CARTESIAN_POINT('',(-39.7263199528033,11.2500000000015,-18.)); #493288=CARTESIAN_POINT('',(-39.7263199528033,10.2500000000015,-17.)); #493289=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-18.)); #493290=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-17.)); #493291=CARTESIAN_POINT('Origin',(-36.2636399080668,10.7500000000014,-17.5)); #493292=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-17.)); #493293=CARTESIAN_POINT('',(-36.2636399080668,10.2500000000014,-17.)); #493294=CARTESIAN_POINT('',(-34.8504574210193,11.2500000000014,-18.)); #493295=CARTESIAN_POINT('',(-34.8504574210194,10.2500000000014,-17.)); #493296=CARTESIAN_POINT('',(-36.2636399080668,11.2500000000014,-18.)); #493297=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-17.5)); #493298=CARTESIAN_POINT('',(-33.6910108993484,10.4831093690971,-17.)); #493299=CARTESIAN_POINT('',(-32.9810118744127,12.5392445228695,-18.)); #493300=CARTESIAN_POINT('Ctrl Pts',(-33.6910108993483,10.4831093690975, -17.0000000000003)); #493301=CARTESIAN_POINT('Ctrl Pts',(-33.6368658512138,10.6571227598406, -17.1395659109248)); #493302=CARTESIAN_POINT('Ctrl Pts',(-33.5809497733403,10.8321390421008, -17.2728230695878)); #493303=CARTESIAN_POINT('Ctrl Pts',(-33.449191463604,11.2332490897395,-17.5505295408241)); #493304=CARTESIAN_POINT('Ctrl Pts',(-33.3727179591103,11.4590505104054, -17.6901713599508)); #493305=CARTESIAN_POINT('Ctrl Pts',(-33.1992566945862,11.952301021821,-17.9132372262717)); #493306=CARTESIAN_POINT('Ctrl Pts',(-33.1029657693196,12.2184785589618, -18.)); #493307=CARTESIAN_POINT('Ctrl Pts',(-32.9810118744127,12.5392445228695, -18.)); #493308=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-18.)); #493309=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,-17.)); #493310=CARTESIAN_POINT('Origin',(-39.0845332563125,1.54098955817972E-12, -17.)); #493311=CARTESIAN_POINT('',(-34.7398341124721,-6.17444113279773,-17.)); #493312=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #493313=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-17.)); #493314=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-17.)); #493315=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-17.)); #493316=CARTESIAN_POINT('',(-36.9054266045581,-7.2499999999985,-17.)); #493317=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-17.)); #493318=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-17.)); #493319=CARTESIAN_POINT('',(-40.7263199528034,4.25000000000152,-17.)); #493320=CARTESIAN_POINT('',(-40.7263199528036,-3.12499999999845,-17.)); #493321=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-17.)); #493322=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-17.)); #493323=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-17.)); #493324=CARTESIAN_POINT('',(-39.4054266045579,7.25000000000149,-17.)); #493325=CARTESIAN_POINT('',(-34.7398341124716,6.17444113280048,-17.)); #493326=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-17.)); #493327=CARTESIAN_POINT('Origin',(0.,0.,-17.)); #493328=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-17.5)); #493329=CARTESIAN_POINT('',(-35.0542884377764,4.02202182809116,-18.)); #493330=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377764,4.02202182809115, -18.)); #493331=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507308,4.36295201733637, -18.)); #493332=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036598,4.64360612792919, -17.9132372262717)); #493333=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460224,5.16139675841962, -17.6901713599508)); #493334=CARTESIAN_POINT('Ctrl Pts',(-34.8698405800435,5.39708371283287, -17.5505295408241)); #493335=CARTESIAN_POINT('Ctrl Pts',(-34.802503889655,5.81387537857107,-17.2728230695878)); #493336=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762001,5.99501059134723, -17.1395659109248)); #493337=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124717,6.1744411328001,-17.0000000000003)); #493338=CARTESIAN_POINT('',(-37.0412524417615,6.25000000000143,-18.)); #493339=CARTESIAN_POINT('',(-37.0412524417614,7.25000000000143,-17.)); #493340=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,-18.)); #493341=CARTESIAN_POINT('Origin',(-39.4054266045579,6.75000000000149,-17.5)); #493342=CARTESIAN_POINT('',(-37.7263199528034,6.25000000000145,-18.)); #493343=CARTESIAN_POINT('',(-37.7263199528034,7.25000000000145,-17.)); #493344=CARTESIAN_POINT('',(-39.4054266045579,6.25000000000149,-18.)); #493345=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-17.5)); #493346=CARTESIAN_POINT('',(-39.7263199528034,4.2500000000015,-18.)); #493347=CARTESIAN_POINT('',(-40.7263199528034,4.25000000000152,-17.)); #493348=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-18.)); #493349=CARTESIAN_POINT('Origin',(-40.2263199528036,-3.12499999999846,-17.5)); #493350=CARTESIAN_POINT('',(-39.7263199528036,-4.24999999999845,-18.)); #493351=CARTESIAN_POINT('',(-40.7263199528036,-4.24999999999843,-17.)); #493352=CARTESIAN_POINT('',(-39.7263199528036,-3.12499999999847,-18.)); #493353=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-17.5)); #493354=CARTESIAN_POINT('',(-37.7263199528036,-6.2499999999985,-18.)); #493355=CARTESIAN_POINT('',(-37.7263199528036,-7.2499999999985,-17.)); #493356=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-18.)); #493357=CARTESIAN_POINT('Origin',(-36.9054266045581,-6.7499999999985,-17.5)); #493358=CARTESIAN_POINT('',(-37.0412524417618,-6.2499999999985,-18.)); #493359=CARTESIAN_POINT('',(-37.0412524417618,-7.2499999999985,-17.)); #493360=CARTESIAN_POINT('',(-36.9054266045581,-6.2499999999985,-18.)); #493361=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-17.5)); #493362=CARTESIAN_POINT('',(-35.0542884377767,-4.02202182808839,-18.)); #493363=CARTESIAN_POINT('Ctrl Pts',(-34.7398341124722,-6.17444113279735, -17.0000000000003)); #493364=CARTESIAN_POINT('Ctrl Pts',(-34.7717249762006,-5.99501059134449, -17.1395659109248)); #493365=CARTESIAN_POINT('Ctrl Pts',(-34.8025038896554,-5.81387537856832, -17.2728230695878)); #493366=CARTESIAN_POINT('Ctrl Pts',(-34.869840580044,-5.39708371283012, -17.5505295408241)); #493367=CARTESIAN_POINT('Ctrl Pts',(-34.9057033460228,-5.16139675841687, -17.6901713599508)); #493368=CARTESIAN_POINT('Ctrl Pts',(-34.9783514036601,-4.64360612792643, -17.9132372262717)); #493369=CARTESIAN_POINT('Ctrl Pts',(-35.0151711507312,-4.36295201733361, -18.)); #493370=CARTESIAN_POINT('Ctrl Pts',(-35.0542884377767,-4.02202182808839, -18.)); #493371=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,-18.)); #493372=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-20.)); #493373=CARTESIAN_POINT('',(-39.7263199528037,-11.2499999999985,-20.)); #493374=CARTESIAN_POINT('',(-44.7263199528037,-6.24999999999838,-20.)); #493375=CARTESIAN_POINT('Origin',(-44.7263199528038,-11.2499999999985,-20.)); #493376=CARTESIAN_POINT('',(-34.8504574210204,-11.2499999999985,0.)); #493377=CARTESIAN_POINT('Origin',(-39.7263199528034,6.25000000000148,-20.)); #493378=CARTESIAN_POINT('',(-44.7263199528034,6.2500000000016,-20.)); #493379=CARTESIAN_POINT('',(-39.7263199528033,11.2500000000015,-20.)); #493380=CARTESIAN_POINT('Origin',(-33.4427465598213,11.2500000000013,-20.)); #493381=CARTESIAN_POINT('',(-34.8504574210193,11.2500000000014,0.)); #493382=CARTESIAN_POINT('Origin',(39.7263199528034,6.25000000000148,-20.)); #493383=CARTESIAN_POINT('',(39.7263199528033,11.2500000000015,-20.)); #493384=CARTESIAN_POINT('',(44.7263199528034,6.2500000000016,-20.)); #493385=CARTESIAN_POINT('Origin',(44.7263199528033,11.2500000000016,-20.)); #493386=CARTESIAN_POINT('',(34.8504574210193,11.2500000000014,0.)); #493387=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-20.)); #493388=CARTESIAN_POINT('',(44.7263199528037,-6.24999999999838,-20.)); #493389=CARTESIAN_POINT('',(39.7263199528037,-11.2499999999985,-20.)); #493390=CARTESIAN_POINT('Origin',(33.4427465598222,-11.2499999999985,-20.)); #493391=CARTESIAN_POINT('',(34.8504574210204,-11.2499999999985,0.)); #493392=CARTESIAN_POINT('Origin',(37.7263199528034,4.25000000000373,-20.)); #493393=CARTESIAN_POINT('',(39.7263199528034,4.25000000000378,-20.)); #493394=CARTESIAN_POINT('',(37.7263199528057,6.25000000000373,-20.)); #493395=CARTESIAN_POINT('Origin',(39.7263199528034,6.2500000000015,-20.)); #493396=CARTESIAN_POINT('',(39.7263199528036,-4.24999999999846,-20.)); #493397=CARTESIAN_POINT('Origin',(37.7263199528036,-4.24999999999851,-20.)); #493398=CARTESIAN_POINT('',(37.7263199528037,-6.24999999999851,-20.)); #493399=CARTESIAN_POINT('Origin',(39.7263199528037,-6.2499999999985,-20.)); #493400=CARTESIAN_POINT('',(37.0412524417618,-6.24999999999852,0.)); #493401=CARTESIAN_POINT('Origin',(34.8504574210193,13.2500000000014,0.)); #493402=CARTESIAN_POINT('',(32.9810118744127,12.5392445228695,0.)); #493403=CARTESIAN_POINT('Origin',(37.0412524417618,-4.24999999999851,0.)); #493404=CARTESIAN_POINT('',(35.0542884377767,-4.02202182808841,0.)); #493405=CARTESIAN_POINT('Origin',(37.0412524417612,4.2500000000045,0.)); #493406=CARTESIAN_POINT('',(37.0412524417634,6.2500000000045,0.)); #493407=CARTESIAN_POINT('',(35.0542884377761,4.02202182809407,0.)); #493408=CARTESIAN_POINT('Origin',(34.7263199528034,6.25000000000709,-20.)); #493409=CARTESIAN_POINT('Origin',(34.8504574210204,-13.2499999999985,0.)); #493410=CARTESIAN_POINT('',(32.9810118744138,-12.5392445228668,0.)); #493411=CARTESIAN_POINT('Origin',(-37.7263199528034,4.25000000000145,-20.)); #493412=CARTESIAN_POINT('',(-37.7263199528034,6.25000000000145,-20.)); #493413=CARTESIAN_POINT('',(-39.7263199528034,4.2500000000015,-20.)); #493414=CARTESIAN_POINT('Origin',(-39.7263199528034,6.2500000000015,-20.)); #493415=CARTESIAN_POINT('',(-37.0412524417615,6.25000000000143,0.)); #493416=CARTESIAN_POINT('Origin',(-37.7263199528036,-4.2499999999985,-20.)); #493417=CARTESIAN_POINT('',(-39.7263199528036,-4.24999999999845,-20.)); #493418=CARTESIAN_POINT('',(-37.7263199528036,-6.2499999999985,-20.)); #493419=CARTESIAN_POINT('Origin',(-39.7263199528037,-6.2499999999985,-20.)); #493420=CARTESIAN_POINT('Origin',(-34.8504574210204,-13.2499999999985,0.)); #493421=CARTESIAN_POINT('',(-32.9810118744138,-12.5392445228668,0.)); #493422=CARTESIAN_POINT('Origin',(-37.0412524417615,4.25000000000143,0.)); #493423=CARTESIAN_POINT('',(-35.0542884377764,4.02202182809116,0.)); #493424=CARTESIAN_POINT('Origin',(-37.0412524417618,-4.2499999999985,0.)); #493425=CARTESIAN_POINT('',(-37.0412524417618,-6.2499999999985,0.)); #493426=CARTESIAN_POINT('',(-35.0542884377767,-4.02202182808839,0.)); #493427=CARTESIAN_POINT('Origin',(-34.7263199528037,-6.2499999999985,-20.)); #493428=CARTESIAN_POINT('Origin',(-34.8504574210193,13.2500000000014,0.)); #493429=CARTESIAN_POINT('',(-32.9810118744127,12.5392445228695,0.)); #493430=CARTESIAN_POINT('Origin',(44.7263199528038,-11.2499999999985,-20.)); #493431=CARTESIAN_POINT('Origin',(-44.7263199528033,11.2500000000016,-20.)); #493432=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #493433=CARTESIAN_POINT('',(30.6667473494439,10.8641342319028,-31.9999999999963)); #493434=CARTESIAN_POINT('',(28.9440599472867,14.8566550532514,-31.9999999999963)); #493435=CARTESIAN_POINT('Ctrl Pts',(30.6667473494438,10.8641342319026,-31.9999999999964)); #493436=CARTESIAN_POINT('Ctrl Pts',(30.711303523623,11.072047929729,-31.8885730302428)); #493437=CARTESIAN_POINT('Ctrl Pts',(30.7393085300187,11.2898986917921,-31.7879021176604)); #493438=CARTESIAN_POINT('Ctrl Pts',(30.7573939933233,11.7825005855486,-31.5982372054786)); #493439=CARTESIAN_POINT('Ctrl Pts',(30.7379094058704,12.0601611602564,-31.5162181875876)); #493440=CARTESIAN_POINT('Ctrl Pts',(30.6361203348879,12.6085109754147,-31.4061610659381)); #493441=CARTESIAN_POINT('Ctrl Pts',(30.5537799888597,12.8791964682045,-31.3781374615498)); #493442=CARTESIAN_POINT('Ctrl Pts',(30.3325384056053,13.3919485940892,-31.3781374615498)); #493443=CARTESIAN_POINT('Ctrl Pts',(30.1921042817825,13.6375678634005,-31.4061610659381)); #493444=CARTESIAN_POINT('Ctrl Pts',(29.8630093071728,14.0878400393589,-31.5162181875876)); #493445=CARTESIAN_POINT('Ctrl Pts',(29.674375787888,14.2925164652058,-31.5982372054786)); #493446=CARTESIAN_POINT('Ctrl Pts',(29.3035930246462,14.6173300304987,-31.7879021176604)); #493447=CARTESIAN_POINT('Ctrl Pts',(29.1258896373369,14.7464220172891,-31.8885730302428)); #493448=CARTESIAN_POINT('Ctrl Pts',(28.9440599472865,14.8566550532515,-31.9999999999964)); #493449=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #493450=CARTESIAN_POINT('',(28.4856496028718,8.2067637310425,0.)); #493451=CARTESIAN_POINT('',(28.4856496028718,8.2067637310425,0.)); #493452=CARTESIAN_POINT('',(27.2355659933011,15.3925167698239,0.)); #493453=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #493454=CARTESIAN_POINT('',(27.2355659933011,15.3925167698239,-31.9999999999963)); #493455=CARTESIAN_POINT('',(27.2355659933011,15.3925167698239,0.)); #493456=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #493457=CARTESIAN_POINT('Origin',(0.,0.,-32.6249999999963)); #493458=CARTESIAN_POINT('',(28.0079544673593,13.9377226991751,-33.2499999999963)); #493459=CARTESIAN_POINT('Ctrl Pts',(28.0079544673593,13.9377226991751,-33.2499999999963)); #493460=CARTESIAN_POINT('Ctrl Pts',(28.112157720533,14.0469163227916,-33.108061887339)); #493461=CARTESIAN_POINT('Ctrl Pts',(28.2180198117297,14.1547726277541,-32.9651092256037)); #493462=CARTESIAN_POINT('Ctrl Pts',(28.5271084787882,14.462903947023,-32.5504689845035)); #493463=CARTESIAN_POINT('Ctrl Pts',(28.7337757991074,14.6607510301625,-32.27653779047)); #493464=CARTESIAN_POINT('Ctrl Pts',(28.9440599472867,14.8566550532514,-31.9999999999964)); #493465=CARTESIAN_POINT('',(29.3559558837001,10.8135785769108,-33.2499999999963)); #493466=CARTESIAN_POINT('Origin',(0.,0.,-33.2499999999963)); #493467=CARTESIAN_POINT('Ctrl Pts',(30.6667473494439,10.8641342319028,-31.9999999999964)); #493468=CARTESIAN_POINT('Ctrl Pts',(30.3799499642009,10.845558197457,-32.27653779047)); #493469=CARTESIAN_POINT('Ctrl Pts',(30.0942204591304,10.8309465744514,-32.5504689845035)); #493470=CARTESIAN_POINT('Ctrl Pts',(29.6579870771577,10.8174873593515,-32.9651092256037)); #493471=CARTESIAN_POINT('Ctrl Pts',(29.5068888799697,10.8144707918952,-33.108061887339)); #493472=CARTESIAN_POINT('Ctrl Pts',(29.3559558837001,10.8135785769108,-33.2499999999963)); #493473=CARTESIAN_POINT('Origin',(0.,0.,-36.9999999999963)); #493474=CARTESIAN_POINT('',(29.3561124710534,10.8134760886271,-39.2696315307897)); #493475=CARTESIAN_POINT('',(28.0078151560864,13.9383938986851,-39.9167369297697)); #493476=CARTESIAN_POINT('Ctrl Pts',(29.3561124710533,10.8134760886271,-39.2696315307897)); #493477=CARTESIAN_POINT('Ctrl Pts',(29.3264415442357,10.8940927899344,-39.2301219010209)); #493478=CARTESIAN_POINT('Ctrl Pts',(29.277384079255,11.0257226270779,-39.173365540958)); #493479=CARTESIAN_POINT('Ctrl Pts',(29.0498837667096,11.6230851339083,-38.9741221557828)); #493480=CARTESIAN_POINT('Ctrl Pts',(28.6762679930703,12.5343893460808,-38.9354270250767)); #493481=CARTESIAN_POINT('Ctrl Pts',(28.2305742406481,13.4872761603515,-39.4455255263595)); #493482=CARTESIAN_POINT('Ctrl Pts',(28.0471817493878,13.8593855812488,-39.8245379135585)); #493483=CARTESIAN_POINT('Ctrl Pts',(28.0078151560864,13.9383938986851,-39.9167369297697)); #493484=CARTESIAN_POINT('',(29.9171802452408,9.14701883995802,-41.9999999999963)); #493485=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.9999999999963)); #493486=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.56907585012)); #493487=CARTESIAN_POINT('Ctrl Pts',(29.857282864367,9.34644173770398,-40.6391955192432)); #493488=CARTESIAN_POINT('Ctrl Pts',(29.6576668570779,9.96459727114246,-39.8447083163921)); #493489=CARTESIAN_POINT('Ctrl Pts',(29.4778105690957,10.478244551835,-39.4555200338371)); #493490=CARTESIAN_POINT('Ctrl Pts',(29.4038956453155,10.6833456670791,-39.3348188980357)); #493491=CARTESIAN_POINT('Ctrl Pts',(29.3622401220903,10.7968270969593,-39.2777910744842)); #493492=CARTESIAN_POINT('Ctrl Pts',(29.3561124710533,10.8134760886271,-39.2696315307897)); #493493=CARTESIAN_POINT('',(29.9171802452407,9.1470188399583,-71.9999999999963)); #493494=CARTESIAN_POINT('',(29.9171802452407,9.1470188399583,-36.9999999999963)); #493495=CARTESIAN_POINT('',(28.9037780556959,11.9723361402977,-75.001107807325)); #493496=CARTESIAN_POINT('Ctrl Pts',(28.9030410017093,11.9720310011391,-75.0022051963432)); #493497=CARTESIAN_POINT('Ctrl Pts',(28.98682007922,11.7697649085758,-75.0020871347181)); #493498=CARTESIAN_POINT('Ctrl Pts',(29.1499888678348,11.3636975843852,-74.9520652223585)); #493499=CARTESIAN_POINT('Ctrl Pts',(29.3340721507091,10.8761132494742,-74.7746044891837)); #493500=CARTESIAN_POINT('Ctrl Pts',(29.4711711874077,10.4969442148276,-74.5570595047344)); #493501=CARTESIAN_POINT('Ctrl Pts',(29.6536628994911,9.97756832157592,-74.1760474749366)); #493502=CARTESIAN_POINT('Ctrl Pts',(29.8553721966303,9.35237618740627,-73.3668715804903)); #493503=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-72.4309241498726)); #493504=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-71.9999999999964)); #493505=CARTESIAN_POINT('',(31.2852617711744,8.76709009223949E-7,-75.0010800307322)); #493506=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493507=CARTESIAN_POINT('',(31.1412285455937,2.99719998765822,-71.9999999999963)); #493508=CARTESIAN_POINT('Ctrl Pts',(31.1412596702596,2.99717148783511,-71.9999999999963)); #493509=CARTESIAN_POINT('Ctrl Pts',(31.1412598507157,2.99717084542844,-72.3769367317092)); #493510=CARTESIAN_POINT('Ctrl Pts',(31.1566459092829,2.84680345318026,-73.1812593236912)); #493511=CARTESIAN_POINT('Ctrl Pts',(31.1954180926828,2.38614982718476,-73.8554688322956)); #493512=CARTESIAN_POINT('Ctrl Pts',(31.2351703480931,1.80178643837627,-74.4417923034717)); #493513=CARTESIAN_POINT('Ctrl Pts',(31.2700008087903,1.16751726058599,-74.8404264703864)); #493514=CARTESIAN_POINT('Ctrl Pts',(31.2843263907281,0.38253725989416,-74.9889237011208)); #493515=CARTESIAN_POINT('Ctrl Pts',(31.2854850932271,0.0765347462664348, -75.001163727726)); #493516=CARTESIAN_POINT('Ctrl Pts',(31.2854869204091,8.5302741834048E-7, -75.0012156065626)); #493517=CARTESIAN_POINT('',(31.1402830646123,2.99806573320116,-41.9999999999963)); #493518=CARTESIAN_POINT('',(31.1402830646123,2.99806573320116,-36.9999999999963)); #493519=CARTESIAN_POINT('',(31.1402830646122,-2.99806573320147,-41.9999999999963)); #493520=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.9999999999963)); #493521=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.5690758501201)); #493522=CARTESIAN_POINT('Ctrl Pts',(31.1614045784027,-2.79241362108958, -40.6374228681298)); #493523=CARTESIAN_POINT('Ctrl Pts',(31.2135896766594,-2.1466080814874,-39.8421102962863)); #493524=CARTESIAN_POINT('Ctrl Pts',(31.2440270355764,-1.60230292528809, -39.4526838274717)); #493525=CARTESIAN_POINT('Ctrl Pts',(31.2628266170424,-1.20471819197487, -39.2295738173719)); #493526=CARTESIAN_POINT('Ctrl Pts',(31.2872285438282,-0.434663262720147, -38.9667401875334)); #493527=CARTESIAN_POINT('Ctrl Pts',(31.2873840153208,0.433642654299321, -38.9669447212466)); #493528=CARTESIAN_POINT('Ctrl Pts',(31.2591612938052,1.31511340917225,-39.2693310436615)); #493529=CARTESIAN_POINT('Ctrl Pts',(31.2191870173497,2.07603375893278,-39.7562494035257)); #493530=CARTESIAN_POINT('Ctrl Pts',(31.1615630175515,2.79031753799107,-40.6362730629213)); #493531=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.5690758501199)); #493532=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.9999999999963)); #493533=CARTESIAN_POINT('',(31.1402830646123,-2.99806573320117,-71.9999999999963)); #493534=CARTESIAN_POINT('',(31.1402830646123,-2.99806573320117,-36.9999999999963)); #493535=CARTESIAN_POINT('Ctrl Pts',(31.2845892138102,3.07169602940804E-7, -75.0021133586199)); #493536=CARTESIAN_POINT('Ctrl Pts',(31.2845928455615,-0.152231693579892, -75.0022165383)); #493537=CARTESIAN_POINT('Ctrl Pts',(31.2817433355102,-0.531402258029432, -74.9726086754604)); #493538=CARTESIAN_POINT('Ctrl Pts',(31.2686645323882,-1.03933581060691, -74.8336902863452)); #493539=CARTESIAN_POINT('Ctrl Pts',(31.2502451195108,-1.47127118119646, -74.6220736177409)); #493540=CARTESIAN_POINT('Ctrl Pts',(31.2194401703591,-2.06465683146527, -74.2389495117276)); #493541=CARTESIAN_POINT('Ctrl Pts',(31.1640095766734,-2.7637589695908,-73.4345967634078)); #493542=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -72.4524702530432)); #493543=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -71.9999999999963)); #493544=CARTESIAN_POINT('',(28.9045021003579,-11.9726346402556,-75.0021004017905)); #493545=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493546=CARTESIAN_POINT('',(29.9182492295258,-9.1493091236382,-71.9999999999963)); #493547=CARTESIAN_POINT('Ctrl Pts',(29.9183729695616,-9.14957423491111, -71.9999999999963)); #493548=CARTESIAN_POINT('Ctrl Pts',(29.9183729695616,-9.14957423491111, -72.4306268001972)); #493549=CARTESIAN_POINT('Ctrl Pts',(29.8575664129675,-9.3524132050732,-73.3657891626409)); #493550=CARTESIAN_POINT('Ctrl Pts',(29.6715504029802,-9.92870327609438, -74.1100447036756)); #493551=CARTESIAN_POINT('Ctrl Pts',(29.4972343565455,-10.4295924947252, -74.5108105552635)); #493552=CARTESIAN_POINT('Ctrl Pts',(29.3651652251338,-10.799542679216,-74.7458664813416)); #493553=CARTESIAN_POINT('Ctrl Pts',(29.1525396129987,-11.36295117469,-74.95166650745)); #493554=CARTESIAN_POINT('Ctrl Pts',(28.9889481613476,-11.7700633446244, -75.0020478525753)); #493555=CARTESIAN_POINT('Ctrl Pts',(28.9049634009112,-11.9728258216075, -75.0022311079745)); #493556=CARTESIAN_POINT('',(29.9171802452407,-9.1470188399583,-41.9999999999963)); #493557=CARTESIAN_POINT('',(29.9171802452407,-9.1470188399583,-36.9999999999963)); #493558=CARTESIAN_POINT('',(27.6225600747654,-14.6867219760146,-41.9999999999963)); #493559=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.9999999999963)); #493560=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.5690758501202)); #493561=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,-14.5552273774095, -40.8732218782907)); #493562=CARTESIAN_POINT('Ctrl Pts',(27.9224203402056,-14.1102607281457, -40.1015910866814)); #493563=CARTESIAN_POINT('Ctrl Pts',(28.1924569611177,-13.5687703542075, -39.4891509239687)); #493564=CARTESIAN_POINT('Ctrl Pts',(28.6762679930702,-12.5343893460808, -38.9354270250767)); #493565=CARTESIAN_POINT('Ctrl Pts',(29.0498837667095,-11.6230851339082, -38.9741221557827)); #493566=CARTESIAN_POINT('Ctrl Pts',(29.2840648855021,-11.008180395497,-39.1792165502927)); #493567=CARTESIAN_POINT('Ctrl Pts',(29.3756791159213,-10.761641650503,-39.2887420441058)); #493568=CARTESIAN_POINT('Ctrl Pts',(29.4778105690956,-10.4782445518349, -39.455520033837)); #493569=CARTESIAN_POINT('Ctrl Pts',(29.6576668570778,-9.96459727114242, -39.8447083163921)); #493570=CARTESIAN_POINT('Ctrl Pts',(29.8572828643669,-9.346441737704,-40.6391955192431)); #493571=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.56907585012)); #493572=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.9999999999963)); #493573=CARTESIAN_POINT('',(27.6225600747655,-14.6867219760143,-71.9999999999963)); #493574=CARTESIAN_POINT('',(27.6225600747655,-14.6867219760143,-36.9999999999963)); #493575=CARTESIAN_POINT('Ctrl Pts',(28.9031974962767,-11.9720943041128, -75.0041457855107)); #493576=CARTESIAN_POINT('Ctrl Pts',(28.8192516982853,-12.1747627235432, -75.0043289925687)); #493577=CARTESIAN_POINT('Ctrl Pts',(28.6469839488208,-12.5785131243012, -74.9547321685119)); #493578=CARTESIAN_POINT('Ctrl Pts',(28.4211482540653,-13.0781054907766, -74.7669094458929)); #493579=CARTESIAN_POINT('Ctrl Pts',(28.2512864712818,-13.4390851089358, -74.5437550708679)); #493580=CARTESIAN_POINT('Ctrl Pts',(28.0149671728974,-13.9301024955772, -74.1548444502183)); #493581=CARTESIAN_POINT('Ctrl Pts',(27.723622495125,-14.4990073927157,-73.3677532843522)); #493582=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -72.4309241498726)); #493583=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -71.9999999999963)); #493584=CARTESIAN_POINT('',(22.1235384077325,-22.1235573739113,-75.0037495040648)); #493585=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493586=CARTESIAN_POINT('',(24.1396594956265,-19.9041312887204,-71.9999999999963)); #493587=CARTESIAN_POINT('Ctrl Pts',(24.1396813271424,-19.904627201473,-71.9999999999963)); #493588=CARTESIAN_POINT('Ctrl Pts',(24.1396813271424,-19.904627201473,-72.4303885694683)); #493589=CARTESIAN_POINT('Ctrl Pts',(24.0456893333897,-20.0204877870547, -73.1254872443481)); #493590=CARTESIAN_POINT('Ctrl Pts',(23.7231242286245,-20.4008711085396, -73.8960325365413)); #493591=CARTESIAN_POINT('Ctrl Pts',(23.325668838264,-20.8579370297114,-74.5081390186622)); #493592=CARTESIAN_POINT('Ctrl Pts',(22.7419095705876,-21.4968887144993, -74.9291059266534)); #493593=CARTESIAN_POINT('Ctrl Pts',(22.2801594982754,-21.9682576070517, -75.0045863739707)); #493594=CARTESIAN_POINT('Ctrl Pts',(22.1241322460132,-22.1241502153164, -75.0039772389557)); #493595=CARTESIAN_POINT('',(24.1394579334455,-19.8995527126664,-41.9999999999963)); #493596=CARTESIAN_POINT('',(24.1394579334455,-19.8995527126664,-36.9999999999963)); #493597=CARTESIAN_POINT('',(19.8995527126661,-24.1394579334457,-41.9999999999963)); #493598=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.9999999999963)); #493599=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.5690758501201)); #493600=CARTESIAN_POINT('Ctrl Pts',(20.0608569903626,-24.007818869035,-40.6392058540775)); #493601=CARTESIAN_POINT('Ctrl Pts',(20.5555628120607,-23.5868448059514, -39.844724813377)); #493602=CARTESIAN_POINT('Ctrl Pts',(20.961278998428,-23.2241184369315,-39.4555400145229)); #493603=CARTESIAN_POINT('Ctrl Pts',(21.1840196491451,-23.0213099951992, -39.2887622145911)); #493604=CARTESIAN_POINT('Ctrl Pts',(21.37673222239,-22.842323394092,-39.1792372214232)); #493605=CARTESIAN_POINT('Ctrl Pts',(21.8552123071478,-22.390654925606,-38.9741432605217)); #493606=CARTESIAN_POINT('Ctrl Pts',(22.5541847757175,-21.6967248283235, -38.9354439420206)); #493607=CARTESIAN_POINT('Ctrl Pts',(23.3246876167549,-20.8538961064506, -39.4891623496603)); #493608=CARTESIAN_POINT('Ctrl Pts',(23.7216225570927,-20.3971940293728, -40.1016014790624)); #493609=CARTESIAN_POINT('Ctrl Pts',(24.0452229330893,-20.0156768340313, -40.8732308271003)); #493610=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.5690758501199)); #493611=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.9999999999963)); #493612=CARTESIAN_POINT('',(19.8995527126664,-24.1394579334455,-71.9999999999963)); #493613=CARTESIAN_POINT('',(19.8995527126664,-24.1394579334455,-36.9999999999963)); #493614=CARTESIAN_POINT('Ctrl Pts',(22.1216980949017,-22.1217162342411, -75.0074299984999)); #493615=CARTESIAN_POINT('Ctrl Pts',(21.8894923968976,-22.3537213670108, -75.0065238246468)); #493616=CARTESIAN_POINT('Ctrl Pts',(21.5749923983683,-22.6580455219863, -74.9289665185812)); #493617=CARTESIAN_POINT('Ctrl Pts',(21.1819583271964,-23.0233231193431, -74.7121664053647)); #493618=CARTESIAN_POINT('Ctrl Pts',(20.9992865413556,-23.1895825520771, -74.5733735141514)); #493619=CARTESIAN_POINT('Ctrl Pts',(20.8591644466988,-23.3154518273159, -74.4472784471922)); #493620=CARTESIAN_POINT('Ctrl Pts',(20.5284184201878,-23.6099684872427, -74.1118346786908)); #493621=CARTESIAN_POINT('Ctrl Pts',(20.0602324439737,-24.0083875350661, -73.3605896251515)); #493622=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -72.4309241498725)); #493623=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -71.9999999999963)); #493624=CARTESIAN_POINT('',(11.9723361402976,-28.9037780556957,-75.0011078073248)); #493625=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493626=CARTESIAN_POINT('',(14.6862507903832,-27.6238561032441,-71.9999999999963)); #493627=CARTESIAN_POINT('Ctrl Pts',(14.686205161345,-27.6239816090512,-71.9999999999963)); #493628=CARTESIAN_POINT('Ctrl Pts',(14.686205161345,-27.6239816090512,-72.4307646624638)); #493629=CARTESIAN_POINT('Ctrl Pts',(14.5678991832844,-27.6880324413873, -73.0642497215452)); #493630=CARTESIAN_POINT('Ctrl Pts',(14.1439401381118,-27.9065620456728, -73.8548478483799)); #493631=CARTESIAN_POINT('Ctrl Pts',(13.8120073552189,-28.0727522695124, -74.2461001293332)); #493632=CARTESIAN_POINT('Ctrl Pts',(13.4411204269086,-28.2514052639979, -74.5413116789635)); #493633=CARTESIAN_POINT('Ctrl Pts',(13.1922518132039,-28.3683910331549, -74.693561471762)); #493634=CARTESIAN_POINT('Ctrl Pts',(12.9068373782935,-28.4995984424761, -74.8298088407921)); #493635=CARTESIAN_POINT('Ctrl Pts',(12.5033947926724,-28.6799615191901, -74.9608851779668)); #493636=CARTESIAN_POINT('Ctrl Pts',(12.1751556690863,-28.820016104754,-75.0012972448862)); #493637=CARTESIAN_POINT('Ctrl Pts',(11.9724232716191,-28.9039883276827, -75.0011789181612)); #493638=CARTESIAN_POINT('',(14.6867219760143,-27.6225600747655,-41.9999999999963)); #493639=CARTESIAN_POINT('',(14.6867219760143,-27.6225600747655,-36.9999999999963)); #493640=CARTESIAN_POINT('',(9.147018839958,-29.9171802452408,-41.9999999999963)); #493641=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.9999999999963)); #493642=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.5690758501202)); #493643=CARTESIAN_POINT('Ctrl Pts',(9.34509069029159,-29.857991368968,-40.6374808803018)); #493644=CARTESIAN_POINT('Ctrl Pts',(9.96169922348201,-29.659066720871,-39.8421878501545)); #493645=CARTESIAN_POINT('Ctrl Pts',(10.4762157279659,-29.4788906975085, -39.4527753928037)); #493646=CARTESIAN_POINT('Ctrl Pts',(10.850731364131,-29.3441096966083,-39.2296659419547)); #493647=CARTESIAN_POINT('Ctrl Pts',(11.5715096028268,-29.0719653272965, -38.9668391675895)); #493648=CARTESIAN_POINT('Ctrl Pts',(12.3737997132818,-28.739814969874,-38.9670336489815)); #493649=CARTESIAN_POINT('Ctrl Pts',(13.1773807964208,-28.3764129897822, -39.2694161629863)); #493650=CARTESIAN_POINT('Ctrl Pts',(13.8650793319928,-28.0482928019756, -39.7563187278504)); #493651=CARTESIAN_POINT('Ctrl Pts',(14.5029346986053,-27.7217147443555, -40.6363198392495)); #493652=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.56907585012)); #493653=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.9999999999963)); #493654=CARTESIAN_POINT('',(9.14701883995828,-29.9171802452407,-71.9999999999963)); #493655=CARTESIAN_POINT('',(9.14701883995828,-29.9171802452407,-36.9999999999963)); #493656=CARTESIAN_POINT('Ctrl Pts',(11.972031001139,-28.9030410017092,-75.0022051963429)); #493657=CARTESIAN_POINT('Ctrl Pts',(11.7697649085758,-28.9868200792198, -75.0020871347178)); #493658=CARTESIAN_POINT('Ctrl Pts',(11.3636975843852,-29.1499888678347, -74.9520652223583)); #493659=CARTESIAN_POINT('Ctrl Pts',(10.8761132494742,-29.334072150709,-74.7746044891835)); #493660=CARTESIAN_POINT('Ctrl Pts',(10.4969442148276,-29.4711711874077, -74.5570595047342)); #493661=CARTESIAN_POINT('Ctrl Pts',(9.97756832157587,-29.653662899491,-74.1760474749365)); #493662=CARTESIAN_POINT('Ctrl Pts',(9.35237618740629,-29.8553721966302, -73.3668715804902)); #493663=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-72.4309241498726)); #493664=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-71.9999999999963)); #493665=CARTESIAN_POINT('',(-7.48954029820948E-7,-31.2852598266555,-75.0011503941004)); #493666=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493667=CARTESIAN_POINT('',(2.99715771401115,-31.141274712657,-71.9999999999963)); #493668=CARTESIAN_POINT('Ctrl Pts',(2.99709603563396,-31.1413420716323, -71.9999999999963)); #493669=CARTESIAN_POINT('Ctrl Pts',(2.99709252258874,-31.1413426732172, -72.4523120449209)); #493670=CARTESIAN_POINT('Ctrl Pts',(2.76278211853074,-31.165037485144,-73.4341426880231)); #493671=CARTESIAN_POINT('Ctrl Pts',(2.06389804819687,-31.2204313279972, -74.2382556979072)); #493672=CARTESIAN_POINT('Ctrl Pts',(1.47064709498761,-31.2512098179533, -74.6212946956312)); #493673=CARTESIAN_POINT('Ctrl Pts',(1.03885159113041,-31.2696185025767, -74.832848630941)); #493674=CARTESIAN_POINT('Ctrl Pts',(0.531092766984454,-31.2826804073423, -74.9717347682747)); #493675=CARTESIAN_POINT('Ctrl Pts',(0.152114833151039,-31.285527845053, -75.0013418607685)); #493676=CARTESIAN_POINT('Ctrl Pts',(-7.63221731509001E-7,-31.285524171768, -75.0012528685247)); #493677=CARTESIAN_POINT('',(2.99806573320116,-31.1402830646123,-41.9999999999963)); #493678=CARTESIAN_POINT('',(2.99806573320116,-31.1402830646123,-36.9999999999963)); #493679=CARTESIAN_POINT('',(-2.99806573320146,-31.1402830646122,-41.9999999999963)); #493680=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.9999999999963)); #493681=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.7360589842779)); #493682=CARTESIAN_POINT('Ctrl Pts',(-2.92858776845565,-31.1471705220443, -41.1858727764707)); #493683=CARTESIAN_POINT('Ctrl Pts',(-2.57760565676926,-31.1788969923135, -40.3957724660351)); #493684=CARTESIAN_POINT('Ctrl Pts',(-2.06330010987658,-31.2179117184915, -39.7757648494925)); #493685=CARTESIAN_POINT('Ctrl Pts',(-1.39253535153344,-31.2564145094957, -39.2979614628205)); #493686=CARTESIAN_POINT('Ctrl Pts',(-0.573406631273976,-31.2824397414799, -39.0188632334263)); #493687=CARTESIAN_POINT('Ctrl Pts',(0.222152805901888,-31.2868024633822, -38.9755165413878)); #493688=CARTESIAN_POINT('Ctrl Pts',(1.04548836146981,-31.2701995311934, -39.1458419291159)); #493689=CARTESIAN_POINT('Ctrl Pts',(1.7983103010186,-31.2347082085966,-39.5562171237088)); #493690=CARTESIAN_POINT('Ctrl Pts',(2.36967695701765,-31.1955823570898, -40.1060278321422)); #493691=CARTESIAN_POINT('Ctrl Pts',(2.66184706728322,-31.1709560841209, -40.5924600370047)); #493692=CARTESIAN_POINT('Ctrl Pts',(2.92265065521713,-31.1477521191636, -41.2207322699154)); #493693=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.6229411080467)); #493694=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.9999999999963)); #493695=CARTESIAN_POINT('',(-2.99806573320117,-31.1402830646123,-71.9999999999963)); #493696=CARTESIAN_POINT('',(-2.99806573320117,-31.1402830646123,-36.9999999999963)); #493697=CARTESIAN_POINT('Ctrl Pts',(-9.37449112364819E-8,-31.2845507225195, -75.0022263565286)); #493698=CARTESIAN_POINT('Ctrl Pts',(-0.076631410698121,-31.2845488741935, -75.0021815275536)); #493699=CARTESIAN_POINT('Ctrl Pts',(-0.382807062971479,-31.283387425063, -74.9899551995194)); #493700=CARTESIAN_POINT('Ctrl Pts',(-1.16826922549472,-31.2690320522606, -74.8414946520618)); #493701=CARTESIAN_POINT('Ctrl Pts',(-1.80229847400781,-31.2342166283658, -74.4425644606306)); #493702=CARTESIAN_POINT('Ctrl Pts',(-2.44163805525231,-31.1906466586535, -73.8035267244078)); #493703=CARTESIAN_POINT('Ctrl Pts',(-2.88211630030153,-31.1520163545224, -73.0980535889786)); #493704=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -72.301647113556)); #493705=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -71.9999999999963)); #493706=CARTESIAN_POINT('',(-11.9723373852658,-28.9037775164287,-75.0011077808174)); #493707=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493708=CARTESIAN_POINT('',(-9.14826842130377,-29.9177634842662,-71.9999999999963)); #493709=CARTESIAN_POINT('Ctrl Pts',(-9.14838942889488,-29.9178199642623, -71.9999999999963)); #493710=CARTESIAN_POINT('Ctrl Pts',(-9.14838942889488,-29.9178199642623, -72.4307646660423)); #493711=CARTESIAN_POINT('Ctrl Pts',(-9.27733519751794,-29.8794557651013, -73.0642496900243)); #493712=CARTESIAN_POINT('Ctrl Pts',(-9.73164328042974,-29.7341952142114, -73.8548478221407)); #493713=CARTESIAN_POINT('Ctrl Pts',(-10.0838694480106,-29.6169975215045, -74.2461000984188)); #493714=CARTESIAN_POINT('Ctrl Pts',(-10.4724528581057,-29.4810676018427, -74.5413116489597)); #493715=CARTESIAN_POINT('Ctrl Pts',(-10.7311509766575,-29.3878123467876, -74.6935614420046)); #493716=CARTESIAN_POINT('Ctrl Pts',(-11.0257471107734,-29.2787715122389, -74.8298088117275)); #493717=CARTESIAN_POINT('Ctrl Pts',(-11.438560041088,-29.1210304834033, -74.960885143262)); #493718=CARTESIAN_POINT('Ctrl Pts',(-11.7696936879925,-28.9879639248418, -75.0012972116773)); #493719=CARTESIAN_POINT('Ctrl Pts',(-11.9724244561992,-28.903987805884, -75.0011788894534)); #493720=CARTESIAN_POINT('',(-9.14701883995829,-29.9171802452407,-41.9999999999963)); #493721=CARTESIAN_POINT('',(-9.14701883995829,-29.9171802452407,-36.9999999999963)); #493722=CARTESIAN_POINT('',(-14.6867219760146,-27.6225600747654,-41.9999999999963)); #493723=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.9999999999963)); #493724=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.547529329657)); #493725=CARTESIAN_POINT('Ctrl Pts',(-14.5210155639918,-27.7119620843964, -40.7364445803899)); #493726=CARTESIAN_POINT('Ctrl Pts',(-14.0930223381363,-27.9311203744388, -40.0921298625103)); #493727=CARTESIAN_POINT('Ctrl Pts',(-13.663011530324,-28.1442994916658, -39.6132422836674)); #493728=CARTESIAN_POINT('Ctrl Pts',(-13.2100152480992,-28.3630723573878, -39.2673253265008)); #493729=CARTESIAN_POINT('Ctrl Pts',(-12.3249436035972,-28.7587407405967, -38.9812141872541)); #493730=CARTESIAN_POINT('Ctrl Pts',(-11.6189736515867,-29.0510882715529, -38.9819979906857)); #493731=CARTESIAN_POINT('Ctrl Pts',(-10.8951480100714,-29.3277713043785, -39.2087940035945)); #493732=CARTESIAN_POINT('Ctrl Pts',(-10.3936203574158,-29.5086761601905, -39.4991713473251)); #493733=CARTESIAN_POINT('Ctrl Pts',(-9.83267663915978,-29.7013359550114, -39.9869093965966)); #493734=CARTESIAN_POINT('Ctrl Pts',(-9.51427787891562,-29.8028650899861, -40.4801217080465)); #493735=CARTESIAN_POINT('Ctrl Pts',(-9.21893185227745,-29.8954860529514, -41.2208364107197)); #493736=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.6229411080466)); #493737=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.9999999999963)); #493738=CARTESIAN_POINT('',(-14.6867219760143,-27.6225600747655,-71.9999999999963)); #493739=CARTESIAN_POINT('',(-14.6867219760143,-27.6225600747655,-36.9999999999963)); #493740=CARTESIAN_POINT('Ctrl Pts',(-11.972031981505,-28.9030405893962, -75.0022051445892)); #493741=CARTESIAN_POINT('Ctrl Pts',(-12.1742964816719,-28.8192576093804, -75.0020870874352)); #493742=CARTESIAN_POINT('Ctrl Pts',(-12.576807220522,-28.6475023981757, -74.9520651780042)); #493743=CARTESIAN_POINT('Ctrl Pts',(-13.0517479672711,-28.4328947375761, -74.7746044406032)); #493744=CARTESIAN_POINT('Ctrl Pts',(-13.4168046229605,-28.2617253997355, -74.55705945512)); #493745=CARTESIAN_POINT('Ctrl Pts',(-13.9130999565166,-28.0235123157554, -74.1760474337449)); #493746=CARTESIAN_POINT('Ctrl Pts',(-14.4978075683767,-27.7240647286098, -73.3668715391281)); #493747=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -72.4309241498728)); #493748=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -71.9999999999963)); #493749=CARTESIAN_POINT('',(-22.1213674832528,-22.1213660318834,-74.9993611179952)); #493750=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493751=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-71.9999999999963)); #493752=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -71.9999999999963)); #493753=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -72.4524706703356)); #493754=CARTESIAN_POINT('Ctrl Pts',(-20.0453003326879,-24.0208198135518, -73.2634783330519)); #493755=CARTESIAN_POINT('Ctrl Pts',(-20.4116333442329,-23.7092063860038, -73.9078146505828)); #493756=CARTESIAN_POINT('Ctrl Pts',(-20.77233852811,-23.3942335435734,-74.3865954509755)); #493757=CARTESIAN_POINT('Ctrl Pts',(-21.2788613102497,-22.9425333171766, -74.8482439277093)); #493758=CARTESIAN_POINT('Ctrl Pts',(-21.8521688390028,-22.3905311532645, -74.9982781802373)); #493759=CARTESIAN_POINT('Ctrl Pts',(-22.1214017261939,-22.1213992205292, -74.9988970250553)); #493760=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-41.9999999999963)); #493761=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-36.9999999999963)); #493762=CARTESIAN_POINT('',(-24.1394579334457,-19.8995527126661,-41.9999999999963)); #493763=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.9999999999963)); #493764=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.5690758501201)); #493765=CARTESIAN_POINT('Ctrl Pts',(-24.0089759658229,-20.0598931669429, -40.6375026347906)); #493766=CARTESIAN_POINT('Ctrl Pts',(-23.5892295179883,-20.5534372905346, -39.8422170354144)); #493767=CARTESIAN_POINT('Ctrl Pts',(-23.2258722226968,-20.959836867679, -39.4528098709403)); #493768=CARTESIAN_POINT('Ctrl Pts',(-22.9580295846708,-21.2542660109815, -39.2297006314943)); #493769=CARTESIAN_POINT('Ctrl Pts',(-22.4307702384248,-21.8160336284528, -38.9668764488181)); #493770=CARTESIAN_POINT('Ctrl Pts',(-21.8168744561992,-22.4301507300282, -38.9670671324771)); #493771=CARTESIAN_POINT('Ctrl Pts',(-21.1736151944426,-23.0334974971867, -39.2694482087157)); #493772=CARTESIAN_POINT('Ctrl Pts',(-20.6073022330342,-23.5432813856066, -39.7563448117172)); #493773=CARTESIAN_POINT('Ctrl Pts',(-20.0614889831626,-24.0076056938498, -40.6363373631844)); #493774=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.5690758501199)); #493775=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.9999999999963)); #493776=CARTESIAN_POINT('',(-24.1394579334455,-19.8995527126664,-71.9999999999963)); #493777=CARTESIAN_POINT('',(-24.1394579334455,-19.8995527126664,-36.9999999999963)); #493778=CARTESIAN_POINT('Ctrl Pts',(-22.1214017261939,-22.1213992205292, -74.9988970250553)); #493779=CARTESIAN_POINT('Ctrl Pts',(-22.1219769805769,-22.1208241818498, -74.9988983473053)); #493780=CARTESIAN_POINT('Ctrl Pts',(-22.1899950305459,-22.0528259497305, -74.9990145893555)); #493781=CARTESIAN_POINT('Ctrl Pts',(-22.3232706928683,-21.9182490074298, -74.9897978136556)); #493782=CARTESIAN_POINT('Ctrl Pts',(-22.596468400838,-21.6375163622598, -74.9334175966403)); #493783=CARTESIAN_POINT('Ctrl Pts',(-22.9247865002878,-21.2902413562109, -74.7906299068021)); #493784=CARTESIAN_POINT('Ctrl Pts',(-23.2856906236162,-20.8942938477844, -74.5007241571714)); #493785=CARTESIAN_POINT('Ctrl Pts',(-23.6773604210794,-20.450753109907, -74.0127482192666)); #493786=CARTESIAN_POINT('Ctrl Pts',(-23.8934988281677,-20.194930211954, -73.5198264462212)); #493787=CARTESIAN_POINT('Ctrl Pts',(-24.0919254590119,-19.9576676970725, -72.7791320436606)); #493788=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -72.3770588919459)); #493789=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -71.9999999999963)); #493790=CARTESIAN_POINT('',(-28.9056876427476,-11.9731422064703,-75.0037640361259)); #493791=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493792=CARTESIAN_POINT('',(-27.6267697686364,-14.6851914947813,-71.9999999999963)); #493793=CARTESIAN_POINT('Ctrl Pts',(-27.6272840496319,-14.6850045221804, -71.9999999999963)); #493794=CARTESIAN_POINT('Ctrl Pts',(-27.6272840496319,-14.6850045221804, -72.43039414893)); #493795=CARTESIAN_POINT('Ctrl Pts',(-27.7254535908389,-14.5025648089959, -73.3586637387456)); #493796=CARTESIAN_POINT('Ctrl Pts',(-28.0050304506944,-13.956226226018, -74.1090781327376)); #493797=CARTESIAN_POINT('Ctrl Pts',(-28.197810561201,-13.5576291329744, -74.4440171415241)); #493798=CARTESIAN_POINT('Ctrl Pts',(-28.2787284211146,-13.388531933187, -74.5701713675779)); #493799=CARTESIAN_POINT('Ctrl Pts',(-28.3636669356325,-13.2077698828162, -74.6804213377269)); #493800=CARTESIAN_POINT('Ctrl Pts',(-28.4506072440936,-13.0192130114254, -74.7740719673847)); #493801=CARTESIAN_POINT('Ctrl Pts',(-28.6288256947558,-12.6271661255615, -74.9351513594292)); #493802=CARTESIAN_POINT('Ctrl Pts',(-28.780937442966,-12.2763417431239, -75.0029985726969)); #493803=CARTESIAN_POINT('Ctrl Pts',(-28.9065258225067,-11.9734886749588, -75.0039202641104)); #493804=CARTESIAN_POINT('',(-27.6225600747655,-14.6867219760143,-41.9999999999963)); #493805=CARTESIAN_POINT('',(-27.6225600747655,-14.6867219760143,-36.9999999999963)); #493806=CARTESIAN_POINT('',(-29.9171802452408,-9.14701883995801,-41.9999999999963)); #493807=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.9999999999963)); #493808=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.5690758501202)); #493809=CARTESIAN_POINT('Ctrl Pts',(-29.857282864367,-9.34644173770401, -40.6391955192432)); #493810=CARTESIAN_POINT('Ctrl Pts',(-29.6576668570779,-9.96459727114246, -39.8447083163922)); #493811=CARTESIAN_POINT('Ctrl Pts',(-29.4778105690957,-10.478244551835, -39.4555200338372)); #493812=CARTESIAN_POINT('Ctrl Pts',(-29.3756791159214,-10.761641650503, -39.288742044106)); #493813=CARTESIAN_POINT('Ctrl Pts',(-29.2840648855022,-11.008180395497, -39.1792165502929)); #493814=CARTESIAN_POINT('Ctrl Pts',(-29.0498837667096,-11.6230851339083, -38.9741221557829)); #493815=CARTESIAN_POINT('Ctrl Pts',(-28.6762679930703,-12.5343893460808, -38.9354270250768)); #493816=CARTESIAN_POINT('Ctrl Pts',(-28.1924569611177,-13.5687703542076, -39.4891509239688)); #493817=CARTESIAN_POINT('Ctrl Pts',(-27.9224203402056,-14.1102607281457, -40.1015910866813)); #493818=CARTESIAN_POINT('Ctrl Pts',(-27.6937813604812,-14.5552273774095, -40.8732218782907)); #493819=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.5690758501199)); #493820=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.9999999999963)); #493821=CARTESIAN_POINT('',(-29.9171802452407,-9.14701883995829,-71.9999999999963)); #493822=CARTESIAN_POINT('',(-29.9171802452407,-9.14701883995829,-36.9999999999963)); #493823=CARTESIAN_POINT('Ctrl Pts',(-28.9033785733041,-11.9721852625096, -75.0073369863868)); #493824=CARTESIAN_POINT('Ctrl Pts',(-28.9878157720408,-11.7685673978097, -75.007956476233)); #493825=CARTESIAN_POINT('Ctrl Pts',(-29.2339732751442,-11.156444594592, -74.9325449319486)); #493826=CARTESIAN_POINT('Ctrl Pts',(-29.529439279221,-10.3410163771892, -74.5110482382563)); #493827=CARTESIAN_POINT('Ctrl Pts',(-29.7218382507062,-9.76613821702304, -73.8983383920772)); #493828=CARTESIAN_POINT('Ctrl Pts',(-29.8745293785277,-9.29045891600863, -73.1268622816152)); #493829=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -72.4309241498725)); #493830=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -71.9999999999963)); #493831=CARTESIAN_POINT('',(-31.2873365235938,-1.11528260018638E-5,-75.0038159980451)); #493832=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493833=CARTESIAN_POINT('',(-31.1436216218808,-2.99500872715621,-71.9999999999963)); #493834=CARTESIAN_POINT('Ctrl Pts',(-31.1440280802081,-2.99463654676104, -71.9999999999963)); #493835=CARTESIAN_POINT('Ctrl Pts',(-31.1440280802081,-2.99463654676103, -72.4303887343167)); #493836=CARTESIAN_POINT('Ctrl Pts',(-31.1649139351793,-2.78842638391696, -73.3586964951667)); #493837=CARTESIAN_POINT('Ctrl Pts',(-31.2141355127926,-2.17666451610661, -74.1091258588337)); #493838=CARTESIAN_POINT('Ctrl Pts',(-31.2397051294789,-1.73462514758608, -74.4440714886171)); #493839=CARTESIAN_POINT('Ctrl Pts',(-31.2497525306758,-1.54743671482052, -74.5702239506547)); #493840=CARTESIAN_POINT('Ctrl Pts',(-31.2590509632764,-1.34792819930171, -74.6804758587343)); #493841=CARTESIAN_POINT('Ctrl Pts',(-31.2672155602375,-1.14045675965085, -74.7741253360512)); #493842=CARTESIAN_POINT('Ctrl Pts',(-31.2818366702998,-0.710091021863311, -74.9351909075732)); #493843=CARTESIAN_POINT('Ctrl Pts',(-31.2881144525447,-0.327817341141607, -75.0030339433033)); #493844=CARTESIAN_POINT('Ctrl Pts',(-31.2882468597355,-1.28460230582328E-5, -75.0039635584985)); #493845=CARTESIAN_POINT('',(-31.1402830646123,-2.99806573320117,-41.9999999999963)); #493846=CARTESIAN_POINT('',(-31.1402830646123,-2.99806573320117,-36.9999999999963)); #493847=CARTESIAN_POINT('',(-31.2876903416576,-2.46737517376174E-6,-38.995894832684)); #493848=CARTESIAN_POINT('Ctrl Pts',(-31.2849683578699,-2.79686311065175E-6, -38.9919580530485)); #493849=CARTESIAN_POINT('Ctrl Pts',(-31.2849770661521,-0.217966283689554, -38.9921104637043)); #493850=CARTESIAN_POINT('Ctrl Pts',(-31.2803234348489,-0.654163385970998, -39.04259380285)); #493851=CARTESIAN_POINT('Ctrl Pts',(-31.2591612938052,-1.31511340917225, -39.2693310436615)); #493852=CARTESIAN_POINT('Ctrl Pts',(-31.2191870173496,-2.07603375893279, -39.7562494035257)); #493853=CARTESIAN_POINT('Ctrl Pts',(-31.1615630175515,-2.79031753799107, -40.6362730629213)); #493854=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.5690758501199)); #493855=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.9999999999963)); #493856=CARTESIAN_POINT('',(-31.2842712474619,3.83121826468618E-15,-36.9999999999963)); #493857=CARTESIAN_POINT('',(-31.2842712474619,3.83121826468618E-15,-36.9999999999963)); #493858=CARTESIAN_POINT('',(29.3559558837001,10.8135785769108,-36.9999999999963)); #493859=CARTESIAN_POINT('Origin',(0.,0.,-36.9999999999963)); #493860=CARTESIAN_POINT('',(29.3559558837001,10.8135785769108,0.)); #493861=CARTESIAN_POINT('',(28.0079544673593,13.9377226991751,-36.9999999999963)); #493862=CARTESIAN_POINT('',(28.0079544673593,13.9377226991751,0.)); #493863=CARTESIAN_POINT('Origin',(0.,0.,-36.9999999999963)); #493864=CARTESIAN_POINT('',(-31.1438938691541,2.99475943936709,-41.9999999999963)); #493865=CARTESIAN_POINT('Ctrl Pts',(-31.1442812356487,2.99440474072924, -41.9999999999963)); #493866=CARTESIAN_POINT('Ctrl Pts',(-31.1442812356487,2.99440474072924, -41.5696474586787)); #493867=CARTESIAN_POINT('Ctrl Pts',(-31.1653532321995,2.78905020008778, -40.6392268335185)); #493868=CARTESIAN_POINT('Ctrl Pts',(-31.2174200228816,2.1440977578286,-39.8448661021419)); #493869=CARTESIAN_POINT('Ctrl Pts',(-31.2477900385557,1.60045636831278, -39.4558769257991)); #493870=CARTESIAN_POINT('Ctrl Pts',(-31.2665482509114,1.20334610528623, -39.2330112592962)); #493871=CARTESIAN_POINT('Ctrl Pts',(-31.2839451593641,0.65378907159404, -39.0454169939061)); #493872=CARTESIAN_POINT('Ctrl Pts',(-31.2886331810583,0.218017784145582, -38.9954911240244)); #493873=CARTESIAN_POINT('Ctrl Pts',(-31.2886418843773,-2.87034295173849E-6, -38.995643501703)); #493874=CARTESIAN_POINT('',(-31.1402830646123,2.99806573320117,-71.9999999999963)); #493875=CARTESIAN_POINT('',(-31.1402830646123,2.99806573320117,-36.9999999999963)); #493876=CARTESIAN_POINT('Ctrl Pts',(-31.2848056047613,-1.30308399813039E-5, -75.007415278241)); #493877=CARTESIAN_POINT('Ctrl Pts',(-31.2848947364537,0.220445700026768, -75.0080402773068)); #493878=CARTESIAN_POINT('Ctrl Pts',(-31.2780651292712,0.880178961827826, -74.9326257712055)); #493879=CARTESIAN_POINT('Ctrl Pts',(-31.238986035687,1.74661952710948,-74.5110942848117)); #493880=CARTESIAN_POINT('Ctrl Pts',(-31.1967424677015,2.35135695499332, -73.8983798596783)); #493881=CARTESIAN_POINT('Ctrl Pts',(-31.1557755278929,2.84925304205419, -73.1268979677136)); #493882=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -72.4309241498726)); #493883=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -71.9999999999963)); #493884=CARTESIAN_POINT('',(-28.9056977933527,11.9731177007409,-75.0037640361257)); #493885=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493886=CARTESIAN_POINT('',(-29.919074734665,9.15107775669919,-71.9999999999963)); #493887=CARTESIAN_POINT('Ctrl Pts',(-29.9193061766504,9.15157361787258, -71.9999999999963)); #493888=CARTESIAN_POINT('Ctrl Pts',(-29.9193061766504,9.15157361787258, -72.43039414893)); #493889=CARTESIAN_POINT('Ctrl Pts',(-29.8597181665932,9.34999432451654, -73.3586637387453)); #493890=CARTESIAN_POINT('Ctrl Pts',(-29.6710891432123,9.9340047348307,-74.1090781327372)); #493891=CARTESIAN_POINT('Ctrl Pts',(-29.5255545591771,10.3521715657002, -74.4440171415236)); #493892=CARTESIAN_POINT('Ctrl Pts',(-29.4632023499917,10.5289589098136, -74.5701713675774)); #493893=CARTESIAN_POINT('Ctrl Pts',(-29.3954448779928,10.7168375810115, -74.6804213377264)); #493894=CARTESIAN_POINT('Ctrl Pts',(-29.3235911172643,10.9116435050826, -74.7740719673843)); #493895=CARTESIAN_POINT('Ctrl Pts',(-29.1723915807227,11.3148819916158, -74.9351513594287)); #493896=CARTESIAN_POINT('Ctrl Pts',(-29.0318805295531,11.6705115401006, -75.0029985726965)); #493897=CARTESIAN_POINT('Ctrl Pts',(-28.9065354661618,11.9734653931147, -75.00392026411)); #493898=CARTESIAN_POINT('',(-29.9171802452407,9.14701883995829,-41.9999999999963)); #493899=CARTESIAN_POINT('',(-29.9171802452407,9.14701883995829,-36.9999999999963)); #493900=CARTESIAN_POINT('',(-27.6225600747654,14.6867219760146,-41.9999999999963)); #493901=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.9999999999963)); #493902=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.736058984278)); #493903=CARTESIAN_POINT('Ctrl Pts',(-27.6553908705952,14.6254907300057, -41.1857528567283)); #493904=CARTESIAN_POINT('Ctrl Pts',(-27.8192580957365,14.3127307793358, -40.3958325223255)); #493905=CARTESIAN_POINT('Ctrl Pts',(-28.0515903023692,13.8539146773469, -39.7753531003213)); #493906=CARTESIAN_POINT('Ctrl Pts',(-28.3449328009233,13.2460746588531, -39.2984545127561)); #493907=CARTESIAN_POINT('Ctrl Pts',(-28.6240168962497,12.6295110229967, -39.0640015441456)); #493908=CARTESIAN_POINT('Ctrl Pts',(-28.8377444734766,12.129845843565,-38.9983337056123)); #493909=CARTESIAN_POINT('Ctrl Pts',(-29.0394955626173,11.6418234421397, -39.0029022987301)); #493910=CARTESIAN_POINT('Ctrl Pts',(-29.2907443705692,10.9986876156611, -39.1463668921175)); #493911=CARTESIAN_POINT('Ctrl Pts',(-29.5451769968046,10.2919255435661, -39.5558956300974)); #493912=CARTESIAN_POINT('Ctrl Pts',(-29.7280496180121,9.74807493586226, -40.1061603185466)); #493913=CARTESIAN_POINT('Ctrl Pts',(-29.8169021503561,9.46926603495186, -40.5924161908451)); #493914=CARTESIAN_POINT('Ctrl Pts',(-29.8952586729422,9.21945639747021, -41.220726348546)); #493915=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.6229411080466)); #493916=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.9999999999963)); #493917=CARTESIAN_POINT('',(-27.6225600747655,14.6867219760143,-71.9999999999963)); #493918=CARTESIAN_POINT('',(-27.6225600747655,14.6867219760143,-36.9999999999963)); #493919=CARTESIAN_POINT('Ctrl Pts',(-28.9033883731273,11.972161603643,-75.0073369863862)); #493920=CARTESIAN_POINT('Ctrl Pts',(-28.8191149160384,12.1758472923541, -75.0079564762325)); #493921=CARTESIAN_POINT('Ctrl Pts',(-28.5603383706487,12.7827431171127, -74.9325449319483)); #493922=CARTESIAN_POINT('Ctrl Pts',(-28.1926695636451,13.568263954302,-74.511048238256)); #493923=CARTESIAN_POINT('Ctrl Pts',(-27.9222159356661,14.1108108171419, -73.8983383920771)); #493924=CARTESIAN_POINT('Ctrl Pts',(-27.6938288081583,14.5551358084689, -73.126862281615)); #493925=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -72.4309241498727)); #493926=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -71.9999999999963)); #493927=CARTESIAN_POINT('',(-22.1219899788059,22.1219909248242,-75.0011020613204)); #493928=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493929=CARTESIAN_POINT('',(-24.1395182915611,19.9009237745435,-71.9999999999964)); #493930=CARTESIAN_POINT('Ctrl Pts',(-24.1395241330152,19.9010564658136, -71.9999999999964)); #493931=CARTESIAN_POINT('Ctrl Pts',(-24.1395241330152,19.9010564658136, -72.4307654381779)); #493932=CARTESIAN_POINT('Ctrl Pts',(-24.0547287151379,20.0055102644702, -73.0642428931899)); #493933=CARTESIAN_POINT('Ctrl Pts',(-23.7466690852644,20.3696477881638, -73.8548421628656)); #493934=CARTESIAN_POINT('Ctrl Pts',(-23.5035994032527,20.6502146094374, -74.246093430909)); #493935=CARTESIAN_POINT('Ctrl Pts',(-23.2293114613649,20.9572014463548, -74.5413051775388)); #493936=CARTESIAN_POINT('Ctrl Pts',(-23.0441547959556,21.1605206896804, -74.6935550234563)); #493937=CARTESIAN_POINT('Ctrl Pts',(-22.8306766946037,21.3909643934423, -74.8298025426656)); #493938=CARTESIAN_POINT('Ctrl Pts',(-22.5269682688574,21.7119868434027, -74.9608776561052)); #493939=CARTESIAN_POINT('Ctrl Pts',(-22.2773131685295,21.9669903645935, -75.0012900466692)); #493940=CARTESIAN_POINT('Ctrl Pts',(-22.122149875716,22.1221507780456,-75.0011726953835)); #493941=CARTESIAN_POINT('',(-24.1394579334455,19.8995527126664,-41.9999999999963)); #493942=CARTESIAN_POINT('',(-24.1394579334455,19.8995527126664,-36.9999999999963)); #493943=CARTESIAN_POINT('',(-19.8995527126661,24.1394579334457,-41.9999999999963)); #493944=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.9999999999963)); #493945=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.7360589842779)); #493946=CARTESIAN_POINT('Ctrl Pts',(-19.9535544151766,24.0954326747895, -41.1824473297153)); #493947=CARTESIAN_POINT('Ctrl Pts',(-20.312554912525,23.7954185755906,-40.1397079531586)); #493948=CARTESIAN_POINT('Ctrl Pts',(-20.9184613963389,23.2721553102912, -39.3972456920706)); #493949=CARTESIAN_POINT('Ctrl Pts',(-21.7144275905722,22.5258395244503, -39.01690161209)); #493950=CARTESIAN_POINT('Ctrl Pts',(-22.2821627561317,21.9641336489667, -38.975686235047)); #493951=CARTESIAN_POINT('Ctrl Pts',(-22.8515013850369,21.3713093357276, -39.1458816896224)); #493952=CARTESIAN_POINT('Ctrl Pts',(-23.3591838291483,20.8133525948474, -39.5568160444541)); #493953=CARTESIAN_POINT('Ctrl Pts',(-23.7347863636448,20.3824694752052, -40.1058955504853)); #493954=CARTESIAN_POINT('Ctrl Pts',(-23.9239215154127,20.1584762538094, -40.5925828350955)); #493955=CARTESIAN_POINT('Ctrl Pts',(-24.0916464217086,19.9579334889547, -41.2207668389284)); #493956=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.6229411080466)); #493957=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.9999999999964)); #493958=CARTESIAN_POINT('',(-19.8995527126664,24.1394579334455,-71.9999999999963)); #493959=CARTESIAN_POINT('',(-19.8995527126664,24.1394579334455,-36.9999999999963)); #493960=CARTESIAN_POINT('Ctrl Pts',(-22.1214284637593,22.1214292052094, -75.0021939779744)); #493961=CARTESIAN_POINT('Ctrl Pts',(-21.9666164661726,22.2762383288669, -75.0020768853403)); #493962=CARTESIAN_POINT('Ctrl Pts',(-21.6538973064265,22.5823857687811, -74.952055607397)); #493963=CARTESIAN_POINT('Ctrl Pts',(-21.2738703948977,22.9390501903756, -74.7745939580788)); #493964=CARTESIAN_POINT('Ctrl Pts',(-20.9760291320343,23.2108151357103, -74.5570487486651)); #493965=CARTESIAN_POINT('Ctrl Pts',(-20.5660267380422,23.5781705158004, -74.1760385483983)); #493966=CARTESIAN_POINT('Ctrl Pts',(-20.0656148550759,24.0037766468976, -73.3668626203057)); #493967=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -72.4309241498724)); #493968=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -71.9999999999963)); #493969=CARTESIAN_POINT('',(-11.9723439283461,28.9037927697067,-75.0010577433346)); #493970=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #493971=CARTESIAN_POINT('',(-14.6862930788581,27.6237397858861,-71.9999999999963)); #493972=CARTESIAN_POINT('Ctrl Pts',(-14.6862786277554,27.6237795346376, -71.9999999999963)); #493973=CARTESIAN_POINT('Ctrl Pts',(-14.6862781137943,27.6237799389456, -72.3769391876177)); #493974=CARTESIAN_POINT('Ctrl Pts',(-14.5532312982699,27.6955406867876, -73.1812559695327)); #493975=CARTESIAN_POINT('Ctrl Pts',(-14.1424724169287,27.9076494733227, -73.8554664453259)); #493976=CARTESIAN_POINT('Ctrl Pts',(-13.6177854259269,28.168007816195,-74.441782519502)); #493977=CARTESIAN_POINT('Ctrl Pts',(-13.0450983118238,28.4429208010746, -74.8404032454941)); #493978=CARTESIAN_POINT('Ctrl Pts',(-12.3253676528639,28.7565483209355, -74.9888948444911)); #493979=CARTESIAN_POINT('Ctrl Pts',(-12.0431167921324,28.874714791157,-75.0011365392043)); #493980=CARTESIAN_POINT('Ctrl Pts',(-11.9724284869788,28.9039969779561, -75.0011899308587)); #493981=CARTESIAN_POINT('',(-14.6867219760143,27.6225600747655,-41.9999999999963)); #493982=CARTESIAN_POINT('',(-14.6867219760143,27.6225600747655,-36.9999999999963)); #493983=CARTESIAN_POINT('',(-9.14701883995801,29.9171802452408,-41.9999999999963)); #493984=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.9999999999963)); #493985=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.5690758501201)); #493986=CARTESIAN_POINT('Ctrl Pts',(-9.34644173770398,29.8572828643669, -40.6391955192431)); #493987=CARTESIAN_POINT('Ctrl Pts',(-9.96459727114241,29.6576668570778, -39.844708316392)); #493988=CARTESIAN_POINT('Ctrl Pts',(-10.4782445518349,29.4778105690956, -39.4555200338369)); #493989=CARTESIAN_POINT('Ctrl Pts',(-10.761641650503,29.3756791159212,-39.2887420441058)); #493990=CARTESIAN_POINT('Ctrl Pts',(-11.0081803954969,29.284064885502,-39.1792165502926)); #493991=CARTESIAN_POINT('Ctrl Pts',(-11.6230851339082,29.0498837667094, -38.9741221557827)); #493992=CARTESIAN_POINT('Ctrl Pts',(-12.5343893460808,28.6762679930702, -38.9354270250766)); #493993=CARTESIAN_POINT('Ctrl Pts',(-13.5687703542077,28.1924569611176, -39.4891509239687)); #493994=CARTESIAN_POINT('Ctrl Pts',(-14.1102607281456,27.9224203402056, -40.1015910866811)); #493995=CARTESIAN_POINT('Ctrl Pts',(-14.5552273774095,27.6937813604812, -40.8732218782906)); #493996=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.56907585012)); #493997=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.9999999999963)); #493998=CARTESIAN_POINT('',(-9.14701883995829,29.9171802452407,-71.9999999999963)); #493999=CARTESIAN_POINT('',(-9.14701883995829,29.9171802452407,-36.9999999999963)); #494000=CARTESIAN_POINT('Ctrl Pts',(-11.9720913781917,28.9031844767728, -75.0020696343907)); #494001=CARTESIAN_POINT('Ctrl Pts',(-11.8314266338307,28.9614539616508, -75.0021758688176)); #494002=CARTESIAN_POINT('Ctrl Pts',(-11.4800041898974,29.1039338038533, -74.9725699207521)); #494003=CARTESIAN_POINT('Ctrl Pts',(-11.0057081748602,29.2862368701494, -74.8336465466316)); #494004=CARTESIAN_POINT('Ctrl Pts',(-10.5996023049398,29.4345143561636, -74.6220296574692)); #494005=CARTESIAN_POINT('Ctrl Pts',(-10.0396132659159,29.633128256685,-74.2389167075667)); #494006=CARTESIAN_POINT('Ctrl Pts',(-9.37252013715523,29.8494500374074, -73.4345706895729)); #494007=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -72.4524702530431)); #494008=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -71.9999999999963)); #494009=CARTESIAN_POINT('',(7.48954026340524E-7,31.2852598266555,-75.0011503941004)); #494010=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #494011=CARTESIAN_POINT('',(-2.9971577140112,31.141274712657,-71.9999999999963)); #494012=CARTESIAN_POINT('Ctrl Pts',(-2.997096035634,31.1413420716323,-71.9999999999963)); #494013=CARTESIAN_POINT('Ctrl Pts',(-2.99709252258878,31.1413426732172, -72.4523120449209)); #494014=CARTESIAN_POINT('Ctrl Pts',(-2.76278211853078,31.165037485144,-73.434142688023)); #494015=CARTESIAN_POINT('Ctrl Pts',(-2.06389804819689,31.2204313279971, -74.2382556979071)); #494016=CARTESIAN_POINT('Ctrl Pts',(-1.47064709498763,31.2512098179532, -74.6212946956311)); #494017=CARTESIAN_POINT('Ctrl Pts',(-1.03885159113042,31.2696185025766, -74.8328486309409)); #494018=CARTESIAN_POINT('Ctrl Pts',(-0.531092766984467,31.2826804073422, -74.9717347682746)); #494019=CARTESIAN_POINT('Ctrl Pts',(-0.152114833151045,31.2855278450529, -75.0013418607685)); #494020=CARTESIAN_POINT('Ctrl Pts',(7.63221727942409E-7,31.2855241717679, -75.0012528685247)); #494021=CARTESIAN_POINT('',(-2.99806573320117,31.1402830646123,-41.9999999999963)); #494022=CARTESIAN_POINT('',(-2.99806573320117,31.1402830646123,-36.9999999999963)); #494023=CARTESIAN_POINT('',(2.99806573320146,31.1402830646122,-41.9999999999963)); #494024=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.9999999999963)); #494025=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.5690758501201)); #494026=CARTESIAN_POINT('Ctrl Pts',(2.79241362108958,31.1614045784027,-40.6374228681298)); #494027=CARTESIAN_POINT('Ctrl Pts',(2.14660808148738,31.2135896766594,-39.8421102962863)); #494028=CARTESIAN_POINT('Ctrl Pts',(1.60230292528808,31.2440270355763,-39.4526838274717)); #494029=CARTESIAN_POINT('Ctrl Pts',(1.20471819197486,31.2628266170424,-39.2295738173719)); #494030=CARTESIAN_POINT('Ctrl Pts',(0.43466326272013,31.2872285438282,-38.9667401875334)); #494031=CARTESIAN_POINT('Ctrl Pts',(-0.433642654299332,31.2873840153207, -38.9669447212466)); #494032=CARTESIAN_POINT('Ctrl Pts',(-1.31511340917226,31.2591612938052, -39.2693310436615)); #494033=CARTESIAN_POINT('Ctrl Pts',(-2.07603375893279,31.2191870173496, -39.7562494035257)); #494034=CARTESIAN_POINT('Ctrl Pts',(-2.79031753799108,31.1615630175516, -40.6362730629214)); #494035=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.5690758501199)); #494036=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.9999999999963)); #494037=CARTESIAN_POINT('',(2.99806573320117,31.1402830646123,-71.9999999999963)); #494038=CARTESIAN_POINT('',(2.99806573320117,31.1402830646123,-36.9999999999963)); #494039=CARTESIAN_POINT('Ctrl Pts',(9.37449077045849E-8,31.2845507225195, -75.0022263565285)); #494040=CARTESIAN_POINT('Ctrl Pts',(0.0766314106981184,31.2845488741935, -75.0021815275535)); #494041=CARTESIAN_POINT('Ctrl Pts',(0.382807062971479,31.283387425063,-74.9899551995193)); #494042=CARTESIAN_POINT('Ctrl Pts',(1.16826922549473,31.2690320522605,-74.8414946520617)); #494043=CARTESIAN_POINT('Ctrl Pts',(1.8022984740078,31.2342166283658,-74.4425644606306)); #494044=CARTESIAN_POINT('Ctrl Pts',(2.44163805525229,31.1906466586534,-73.8035267244078)); #494045=CARTESIAN_POINT('Ctrl Pts',(2.88211630030153,31.1520163545224,-73.0980535889785)); #494046=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-72.301647113556)); #494047=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-71.9999999999963)); #494048=CARTESIAN_POINT('',(11.9726346402557,28.904502100358,-75.0021004017906)); #494049=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #494050=CARTESIAN_POINT('',(9.14930912363832,29.9182492295259,-71.9999999999963)); #494051=CARTESIAN_POINT('Ctrl Pts',(9.1495742349112,29.9183729695617,-71.9999999999963)); #494052=CARTESIAN_POINT('Ctrl Pts',(9.1495742349112,29.9183729695617,-72.4306268001972)); #494053=CARTESIAN_POINT('Ctrl Pts',(9.3524132050733,29.8575664129676,-73.365789162641)); #494054=CARTESIAN_POINT('Ctrl Pts',(9.92870327609446,29.6715504029802,-74.1100447036756)); #494055=CARTESIAN_POINT('Ctrl Pts',(10.4295924947253,29.4972343565456,-74.5108105552635)); #494056=CARTESIAN_POINT('Ctrl Pts',(10.7995426792161,29.3651652251339,-74.7458664813417)); #494057=CARTESIAN_POINT('Ctrl Pts',(11.3629511746901,29.1525396129988,-74.9516665074501)); #494058=CARTESIAN_POINT('Ctrl Pts',(11.7700633446245,28.9889481613477,-75.0020478525754)); #494059=CARTESIAN_POINT('Ctrl Pts',(11.9728258216075,28.9049634009113,-75.0022311079746)); #494060=CARTESIAN_POINT('',(9.14701883995831,29.9171802452407,-41.9999999999963)); #494061=CARTESIAN_POINT('',(9.14701883995831,29.9171802452407,-36.9999999999963)); #494062=CARTESIAN_POINT('',(14.6867219760146,27.6225600747654,-41.9999999999963)); #494063=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.9999999999963)); #494064=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.5690758501202)); #494065=CARTESIAN_POINT('Ctrl Pts',(14.5048111716983,27.7207651675152,-40.637480880302)); #494066=CARTESIAN_POINT('Ctrl Pts',(13.9281421289273,28.0161122750571,-39.8421878501546)); #494067=CARTESIAN_POINT('Ctrl Pts',(13.4369203316475,28.2525266964832,-39.4527753928039)); #494068=CARTESIAN_POINT('Ctrl Pts',(13.0767932259431,28.4220446827643,-39.2296659419548)); #494069=CARTESIAN_POINT('Ctrl Pts',(12.3746909166275,28.7392767340756,-38.9668391675897)); #494070=CARTESIAN_POINT('Ctrl Pts',(11.5725203689388,29.0717157415503,-38.9670336489816)); #494071=CARTESIAN_POINT('Ctrl Pts',(10.7473387313985,29.3829693702516,-39.2694161629864)); #494072=CARTESIAN_POINT('Ctrl Pts',(10.0290464236412,29.6372296583244,-39.7563187278505)); #494073=CARTESIAN_POINT('Ctrl Pts',(9.34708900936338,29.8573359543423,-40.6363198392496)); #494074=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.56907585012)); #494075=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.9999999999963)); #494076=CARTESIAN_POINT('',(14.6867219760143,27.6225600747655,-71.9999999999963)); #494077=CARTESIAN_POINT('',(14.6867219760143,27.6225600747655,-36.9999999999963)); #494078=CARTESIAN_POINT('Ctrl Pts',(11.9720943041129,28.9031974962768,-75.0041457855109)); #494079=CARTESIAN_POINT('Ctrl Pts',(12.1747627235432,28.8192516982854,-75.0043289925689)); #494080=CARTESIAN_POINT('Ctrl Pts',(12.5785131243012,28.6469839488209,-74.9547321685122)); #494081=CARTESIAN_POINT('Ctrl Pts',(13.0781054907766,28.4211482540653,-74.7669094458931)); #494082=CARTESIAN_POINT('Ctrl Pts',(13.4390851089359,28.2512864712819,-74.5437550708682)); #494083=CARTESIAN_POINT('Ctrl Pts',(13.9301024955773,28.0149671728975,-74.1548444502185)); #494084=CARTESIAN_POINT('Ctrl Pts',(14.4990073927157,27.723622495125,-73.3677532843524)); #494085=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-72.4309241498726)); #494086=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-71.9999999999963)); #494087=CARTESIAN_POINT('',(22.1212858836443,22.1212907806852,-74.9989082673313)); #494088=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #494089=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-71.9999999999963)); #494090=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-71.9999999999963)); #494091=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-72.2639410157146)); #494092=CARTESIAN_POINT('Ctrl Pts',(19.9535448923076,24.0952050117828,-72.8140972291089)); #494093=CARTESIAN_POINT('Ctrl Pts',(20.2241359770357,23.8694781576057,-73.6041463989727)); #494094=CARTESIAN_POINT('Ctrl Pts',(20.6153865108478,23.5334015755545,-74.2241331788764)); #494095=CARTESIAN_POINT('Ctrl Pts',(21.1168730739243,23.0863615522191,-74.7018852317672)); #494096=CARTESIAN_POINT('Ctrl Pts',(21.6584770994421,22.5781125608402,-74.9548150908726)); #494097=CARTESIAN_POINT('Ctrl Pts',(21.9851223425465,22.2574700125028,-74.9986403899658)); #494098=CARTESIAN_POINT('Ctrl Pts',(22.1212582108925,22.1212670404619,-74.9980315603881)); #494099=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-41.9999999999963)); #494100=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-36.9999999999963)); #494101=CARTESIAN_POINT('',(24.1394579334457,19.8995527126661,-41.9999999999963)); #494102=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.9999999999963)); #494103=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.5690758501203)); #494104=CARTESIAN_POINT('Ctrl Pts',(24.0078188690351,20.0608569903627,-40.6392058540776)); #494105=CARTESIAN_POINT('Ctrl Pts',(23.5868448059515,20.5555628120608,-39.8447248133772)); #494106=CARTESIAN_POINT('Ctrl Pts',(23.2241184369316,20.9612789984281,-39.4555400145231)); #494107=CARTESIAN_POINT('Ctrl Pts',(23.0213099951994,21.1840196491453,-39.2887622145913)); #494108=CARTESIAN_POINT('Ctrl Pts',(22.8423233940921,21.3767322223902,-39.1792372214234)); #494109=CARTESIAN_POINT('Ctrl Pts',(22.3906549256061,21.855212307148,-38.9741432605219)); #494110=CARTESIAN_POINT('Ctrl Pts',(21.6967248283237,22.5541847757175,-38.9354439420207)); #494111=CARTESIAN_POINT('Ctrl Pts',(20.8538961064507,23.3246876167549,-39.4891623496604)); #494112=CARTESIAN_POINT('Ctrl Pts',(20.3971940293729,23.7216225570928,-40.1016014790624)); #494113=CARTESIAN_POINT('Ctrl Pts',(20.0156768340313,24.0452229330893,-40.8732308271004)); #494114=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.5690758501199)); #494115=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.9999999999963)); #494116=CARTESIAN_POINT('',(24.1394579334455,19.8995527126664,-71.9999999999963)); #494117=CARTESIAN_POINT('',(24.1394579334455,19.8995527126664,-36.9999999999963)); #494118=CARTESIAN_POINT('Ctrl Pts',(22.1212582108925,22.1212670404619,-74.9980315603881)); #494119=CARTESIAN_POINT('Ctrl Pts',(22.1744946730549,22.0680043371379,-74.9977934752076)); #494120=CARTESIAN_POINT('Ctrl Pts',(22.4167720610804,21.8238110439752,-74.9835107935257)); #494121=CARTESIAN_POINT('Ctrl Pts',(22.850517352207,21.3722129366055,-74.8540085419)); #494122=CARTESIAN_POINT('Ctrl Pts',(23.357759615821,20.8147800863617,-74.4436547099649)); #494123=CARTESIAN_POINT('Ctrl Pts',(23.7341315515873,20.3830800462697,-73.8938946553678)); #494124=CARTESIAN_POINT('Ctrl Pts',(23.9233404842541,20.1590452843239,-73.4074817764558)); #494125=CARTESIAN_POINT('Ctrl Pts',(24.0913792237823,19.9581932131705,-72.7792401714503)); #494126=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-72.3770588919459)); #494127=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-71.9999999999963)); #494128=CARTESIAN_POINT('Origin',(0.,0.,-74.9999999999963)); #494129=CARTESIAN_POINT('',(27.6238561032442,14.6862507903832,-71.9999999999963)); #494130=CARTESIAN_POINT('Ctrl Pts',(27.6239816090513,14.686205161345,-71.9999999999963)); #494131=CARTESIAN_POINT('Ctrl Pts',(27.6239816090513,14.686205161345,-72.4307646624637)); #494132=CARTESIAN_POINT('Ctrl Pts',(27.6880324413875,14.5678991832844,-73.0642497215456)); #494133=CARTESIAN_POINT('Ctrl Pts',(27.906562045673,14.1439401381119,-73.85484784838)); #494134=CARTESIAN_POINT('Ctrl Pts',(28.0727522695126,13.8120073552189,-74.2461001293335)); #494135=CARTESIAN_POINT('Ctrl Pts',(28.2514052639982,13.4411204269087,-74.5413116789637)); #494136=CARTESIAN_POINT('Ctrl Pts',(28.3683910331552,13.192251813204,-74.6935614717623)); #494137=CARTESIAN_POINT('Ctrl Pts',(28.4995984424764,12.9068373782936,-74.8298088407924)); #494138=CARTESIAN_POINT('Ctrl Pts',(28.6799615191903,12.5033947926725,-74.9608851779671)); #494139=CARTESIAN_POINT('Ctrl Pts',(28.8200161047542,12.1751556690864,-75.0012972448865)); #494140=CARTESIAN_POINT('Ctrl Pts',(28.9039883276829,11.9724232716192,-75.0011789181615)); #494141=CARTESIAN_POINT('',(27.6225600747655,14.6867219760143,-41.9999999999963)); #494142=CARTESIAN_POINT('',(27.6225600747655,14.6867219760143,-36.9999999999963)); #494143=CARTESIAN_POINT('Ctrl Pts',(28.0078151560864,13.9383938986851,-39.9167369297697)); #494144=CARTESIAN_POINT('Ctrl Pts',(27.9800463715313,13.9941255422174,-39.9817731547172)); #494145=CARTESIAN_POINT('Ctrl Pts',(27.8889032214561,14.1754902005696,-40.2147075854705)); #494146=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,14.5552273774096,-40.8732218782907)); #494147=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.56907585012)); #494148=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.9999999999963)); #494149=CARTESIAN_POINT('Origin',(27.,11.649922928275,-32.6249999999963)); #494150=CARTESIAN_POINT('Origin',(27.,11.649922928275,-33.2499999999963)); #494151=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494152=CARTESIAN_POINT('',(25.2,5.53172667437682,-31.9999999999963)); #494153=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494154=CARTESIAN_POINT('',(25.2,-10.,-31.9999999999963)); #494155=CARTESIAN_POINT('Origin',(10.5506885812252,7.95588432935068,-32.6249999999963)); #494156=CARTESIAN_POINT('',(21.9517203284687,13.555883395069,-31.9999999999963)); #494157=CARTESIAN_POINT('',(23.8515577516126,13.0142275551984,-33.2499999999963)); #494158=CARTESIAN_POINT('Ctrl Pts',(21.9517203284686,13.555883395069,-31.9999999999963)); #494159=CARTESIAN_POINT('Ctrl Pts',(22.8916646645456,13.300555694031,-32.6068164937968)); #494160=CARTESIAN_POINT('Ctrl Pts',(23.8515577516126,13.0142275551984,-33.2499999999963)); #494161=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,-33.2499999999963)); #494162=CARTESIAN_POINT('',(10.7982968483705,7.38202446314526,-33.2499999999963)); #494163=CARTESIAN_POINT('',(25.5143503971282,15.0930821255075,-31.9999999999963)); #494164=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,-33.2499999999963)); #494165=CARTESIAN_POINT('',(10.3030803140799,8.52974419555609,-31.9999999999963)); #494166=CARTESIAN_POINT('Origin',(27.,11.649922928275,-32.6249999999963)); #494167=CARTESIAN_POINT('',(27.,15.399922928275,-31.9999999999963)); #494168=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #494169=CARTESIAN_POINT('Origin',(27.,11.649922928275,-31.9999999999963)); #494170=CARTESIAN_POINT('Origin',(27.,11.649922928275,-33.2499999999963)); #494171=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494172=CARTESIAN_POINT('',(25.2,15.399922928275,-31.9999999999963)); #494173=CARTESIAN_POINT('',(26.4375,15.399922928275,-31.9999999999963)); #494174=CARTESIAN_POINT('',(25.2,20.,-31.9999999999963)); #494175=CARTESIAN_POINT('',(25.2,-10.,-31.9999999999963)); #494176=CARTESIAN_POINT('',(20.,25.2,-31.9999999999963)); #494177=CARTESIAN_POINT('Origin',(20.,20.,-31.9999999999963)); #494178=CARTESIAN_POINT('',(5.53172667437684,25.2,-31.9999999999963)); #494179=CARTESIAN_POINT('',(10.,25.2,-31.9999999999963)); #494180=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494181=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #494182=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,-35.3844175739112)); #494183=CARTESIAN_POINT('',(26.0095669314188,13.9453623930967,0.)); #494184=CARTESIAN_POINT('Ctrl Pts',(28.0079544673593,13.9377226991751,-36.9999999999963)); #494185=CARTESIAN_POINT('Ctrl Pts',(27.9459178500617,13.9650546739136,-36.9604662246648)); #494186=CARTESIAN_POINT('Ctrl Pts',(27.8840969478711,13.9892705361046,-36.9199613458775)); #494187=CARTESIAN_POINT('Ctrl Pts',(27.4838858465426,14.128813890508,-36.6512936593195)); #494188=CARTESIAN_POINT('Ctrl Pts',(27.1188183310815,14.1713267870101,-36.3743464098975)); #494189=CARTESIAN_POINT('Ctrl Pts',(26.5013593861719,14.1146718153068,-35.8524486970038)); #494190=CARTESIAN_POINT('Ctrl Pts',(26.2416546678278,14.0455032909398,-35.6140396241332)); #494191=CARTESIAN_POINT('Ctrl Pts',(26.0095669314188,13.9453623930967,-35.3844175739112)); #494192=CARTESIAN_POINT('Origin',(22.5870267653222,12.4686094614689,0.)); #494193=CARTESIAN_POINT('Ctrl Pts',(26.0095669314188,13.9453623930967,-35.3844175739112)); #494194=CARTESIAN_POINT('Ctrl Pts',(24.8859970630967,13.4605660090047,-34.2727844444597)); #494195=CARTESIAN_POINT('Ctrl Pts',(23.8515577516126,13.0142275551984,-33.2499999999963)); #494196=CARTESIAN_POINT('Origin',(27.,11.649922928275,0.)); #494197=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,-35.3844175739112)); #494198=CARTESIAN_POINT('Ctrl Pts',(27.9904330685812,9.35448346345333,-35.3844175739112)); #494199=CARTESIAN_POINT('Ctrl Pts',(28.2225208049903,9.45462436129642,-35.6140396241332)); #494200=CARTESIAN_POINT('Ctrl Pts',(28.4510235599805,9.59610692720166,-35.8524486970038)); #494201=CARTESIAN_POINT('Ctrl Pts',(28.915875405136,10.0064459291539,-36.3743464098975)); #494202=CARTESIAN_POINT('Ctrl Pts',(29.1354171731238,10.3012052365943,-36.6512936593195)); #494203=CARTESIAN_POINT('Ctrl Pts',(29.3084797771846,10.6881039422789,-36.9199613458775)); #494204=CARTESIAN_POINT('Ctrl Pts',(29.3332773367772,10.7496938194306,-36.9604662246647)); #494205=CARTESIAN_POINT('Ctrl Pts',(29.3559558837001,10.8135785769108,-36.9999999999963)); #494206=CARTESIAN_POINT('',(27.9904330685812,9.35448346345333,0.)); #494207=CARTESIAN_POINT('Origin',(27.9904330685812,9.35448346345333,0.)); #494208=CARTESIAN_POINT('Ctrl Pts',(25.8324238887751,8.42334862555513,-33.2499999999963)); #494209=CARTESIAN_POINT('Ctrl Pts',(26.8668632002311,8.86968707934921,-34.2727844444319)); #494210=CARTESIAN_POINT('Ctrl Pts',(27.9904330685812,9.35448346345333,-35.3844175739112)); #494211=CARTESIAN_POINT('Origin',(0.,0.,-34.4999999999963)); #494212=CARTESIAN_POINT('',(-5.53172667437684,25.2,-31.9999999999963)); #494213=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494214=CARTESIAN_POINT('',(-25.2,5.53172667437686,-31.9999999999963)); #494215=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494216=CARTESIAN_POINT('',(-25.2,-5.53172667437686,-31.9999999999963)); #494217=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494218=CARTESIAN_POINT('',(-5.53172667437684,-25.2,-31.9999999999963)); #494219=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494220=CARTESIAN_POINT('',(5.53172667437684,-25.2,-31.9999999999963)); #494221=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494222=CARTESIAN_POINT('',(25.2,-5.53172667437682,-31.9999999999963)); #494223=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494224=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494225=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494226=CARTESIAN_POINT('',(27.2313789824893,15.399922928275,-31.9999999999963)); #494227=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #494228=CARTESIAN_POINT('',(26.4375,15.399922928275,-31.9999999999963)); #494229=CARTESIAN_POINT('Origin',(25.,15.399922928275,0.)); #494230=CARTESIAN_POINT('',(27.2313789824893,15.399922928275,0.)); #494231=CARTESIAN_POINT('',(27.2313789824893,15.399922928275,0.)); #494232=CARTESIAN_POINT('',(25.2,15.399922928275,0.)); #494233=CARTESIAN_POINT('',(12.5,15.399922928275,0.)); #494234=CARTESIAN_POINT('',(25.2,15.399922928275,0.)); #494235=CARTESIAN_POINT('Origin',(28.4856496028718,8.2067637310425,0.)); #494236=CARTESIAN_POINT('',(25.2,6.78907615168049,0.)); #494237=CARTESIAN_POINT('',(25.2,6.78907615168049,0.)); #494238=CARTESIAN_POINT('',(14.9856496028718,2.38180226690501,0.)); #494239=CARTESIAN_POINT('Origin',(0.,0.,0.)); #494240=CARTESIAN_POINT('Origin',(0.,0.,0.)); #494241=CARTESIAN_POINT('Origin',(25.2,-20.,0.)); #494242=CARTESIAN_POINT('',(25.2,20.,0.)); #494243=CARTESIAN_POINT('',(25.2,-10.,0.)); #494244=CARTESIAN_POINT('',(25.2,20.,0.)); #494245=CARTESIAN_POINT('Origin',(25.2,-20.,0.)); #494246=CARTESIAN_POINT('',(25.2,-10.,-31.9999999999963)); #494247=CARTESIAN_POINT('',(25.2,-20.,-31.9999999999963)); #494248=CARTESIAN_POINT('',(25.2,-10.,-31.9999999999963)); #494249=CARTESIAN_POINT('',(25.2,-20.,0.)); #494250=CARTESIAN_POINT('',(25.2,-20.,0.)); #494251=CARTESIAN_POINT('',(25.2,-10.,0.)); #494252=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,-29.5500000000002, 0.)); #494253=CARTESIAN_POINT('',(2.29999999999999,-29.5500000000002,0.)); #494254=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,-29.5500000000002, 0.)); #494255=CARTESIAN_POINT('',(2.29999999999999,-29.5500000000002,-4.4)); #494256=CARTESIAN_POINT('',(2.29999999999999,-29.5500000000002,0.)); #494257=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,-29.5500000000002, -4.4)); #494258=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #494259=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,-4.4)); #494260=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, -4.4)); #494261=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,29.5500000000002, 0.)); #494262=CARTESIAN_POINT('',(2.29999999999999,29.5500000000002,0.)); #494263=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,29.5500000000002, 0.)); #494264=CARTESIAN_POINT('',(2.29999999999999,29.5500000000002,-4.4)); #494265=CARTESIAN_POINT('',(2.29999999999999,29.5500000000002,0.)); #494266=CARTESIAN_POINT('Origin',(-6.56141807553473E-15,29.5500000000002, -4.4)); #494267=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #494268=CARTESIAN_POINT('',(1.7,29.5500000000002,-4.4)); #494269=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, -4.4)); #494270=CARTESIAN_POINT('Origin',(29.5500000000002,0.,0.)); #494271=CARTESIAN_POINT('',(31.8500000000002,-2.81668763803891E-16,0.)); #494272=CARTESIAN_POINT('Origin',(29.5500000000002,0.,0.)); #494273=CARTESIAN_POINT('',(31.8500000000002,-2.81668763803891E-16,-4.4)); #494274=CARTESIAN_POINT('',(31.8500000000002,-2.81668763803891E-16,0.)); #494275=CARTESIAN_POINT('Origin',(29.5500000000002,0.,-4.4)); #494276=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #494277=CARTESIAN_POINT('',(31.2500000000002,2.0818995585505E-16,-4.4)); #494278=CARTESIAN_POINT('Origin',(29.5500000000002,0.,-4.4)); #494279=CARTESIAN_POINT('Origin',(-29.5500000000002,3.61883129148046E-15, 0.)); #494280=CARTESIAN_POINT('',(-27.2500000000002,3.33716252767657E-15,0.)); #494281=CARTESIAN_POINT('Origin',(-29.5500000000002,3.61883129148046E-15, 0.)); #494282=CARTESIAN_POINT('',(-27.2500000000002,3.33716252767657E-15,-4.4)); #494283=CARTESIAN_POINT('',(-27.2500000000002,3.33716252767657E-15,0.)); #494284=CARTESIAN_POINT('Origin',(-29.5500000000002,3.61883129148046E-15, -4.4)); #494285=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-4.4)); #494286=CARTESIAN_POINT('',(-27.8500000000002,3.81641478588681E-15,-4.4)); #494287=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, -4.4)); #494288=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #494289=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,-10.)); #494290=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,2.)); #494291=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, -10.)); #494292=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #494293=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #494294=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,-10.)); #494295=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,2.)); #494296=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, -10.)); #494297=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #494298=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #494299=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,-10.)); #494300=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,2.)); #494301=CARTESIAN_POINT('Origin',(29.5500000000002,0.,-10.)); #494302=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #494303=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #494304=CARTESIAN_POINT('',(1.7,29.5500000000002,-10.)); #494305=CARTESIAN_POINT('',(1.7,29.5500000000002,2.)); #494306=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, -10.)); #494307=CARTESIAN_POINT('Origin',(0.,0.,-10.)); #494308=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #494309=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,-169.399999999996)); #494310=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #494311=CARTESIAN_POINT('Origin',(0.,0.,-75.9999999999963)); #494312=CARTESIAN_POINT('',(-30.2842712474619,-3.70875358477144E-15,-75.9999999999963)); #494313=CARTESIAN_POINT('Origin',(9.4811731899031,30.0731459677287,-36.9999999999963)); #494314=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-41.9999999999963)); #494315=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-41.9999999999963)); #494316=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-71.9999999999963)); #494317=CARTESIAN_POINT('',(9.14701883995831,29.9171802452407,-71.9999999999963)); #494318=CARTESIAN_POINT('',(9.8153275398479,30.2291116902168,-36.9999999999963)); #494319=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.9999999999963)); #494320=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-41.56907585012)); #494321=CARTESIAN_POINT('Ctrl Pts',(9.34708900936338,29.8573359543423,-40.6363198392496)); #494322=CARTESIAN_POINT('Ctrl Pts',(10.0290464236412,29.6372296583244,-39.7563187278505)); #494323=CARTESIAN_POINT('Ctrl Pts',(10.7473387313985,29.3829693702516,-39.2694161629864)); #494324=CARTESIAN_POINT('Ctrl Pts',(11.5725203689388,29.0717157415503,-38.9670336489816)); #494325=CARTESIAN_POINT('Ctrl Pts',(12.3746909166275,28.7392767340756,-38.9668391675897)); #494326=CARTESIAN_POINT('Ctrl Pts',(13.0767932259431,28.4220446827643,-39.2296659419548)); #494327=CARTESIAN_POINT('Ctrl Pts',(13.4369203316475,28.2525266964832,-39.4527753928039)); #494328=CARTESIAN_POINT('Ctrl Pts',(13.9281421289273,28.0161122750571,-39.8421878501546)); #494329=CARTESIAN_POINT('Ctrl Pts',(14.5048111716983,27.7207651675152,-40.637480880302)); #494330=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.5690758501202)); #494331=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-41.9999999999963)); #494332=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-41.9999999999963)); #494333=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-41.5949976584836)); #494334=CARTESIAN_POINT('Ctrl Pts',(9.55639902168268,29.9644398997705,-40.7181864497831)); #494335=CARTESIAN_POINT('Ctrl Pts',(10.1965775233021,29.7552295958019,-39.885932672564)); #494336=CARTESIAN_POINT('Ctrl Pts',(10.8749038563977,29.5132022024096,-39.4233833113315)); #494337=CARTESIAN_POINT('Ctrl Pts',(11.6563749791143,29.2170413214734,-39.1353343062592)); #494338=CARTESIAN_POINT('Ctrl Pts',(12.4181064325557,28.9013695620818,-39.1351703322503)); #494339=CARTESIAN_POINT('Ctrl Pts',(13.083892685731,28.6017824882933,-39.3855448714477)); #494340=CARTESIAN_POINT('Ctrl Pts',(13.4248588262558,28.4422318409602,-39.5975736430141)); #494341=CARTESIAN_POINT('Ctrl Pts',(13.8894396870705,28.2201578589238,-39.9671561673243)); #494342=CARTESIAN_POINT('Ctrl Pts',(14.4324201177631,27.9445720009305,-40.7192853983616)); #494343=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-41.5949976584837)); #494344=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-41.9999999999963)); #494345=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-41.9999999999963)); #494346=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-41.6209194668472)); #494347=CARTESIAN_POINT('Ctrl Pts',(9.76570903400198,30.0715438451987,-40.8000530603167)); #494348=CARTESIAN_POINT('Ctrl Pts',(10.364108622963,29.8732295332793,-40.0155466172774)); #494349=CARTESIAN_POINT('Ctrl Pts',(11.0024689813968,29.6434350345675,-39.5773504596766)); #494350=CARTESIAN_POINT('Ctrl Pts',(11.7402295892898,29.3623669013965,-39.3036349635368)); #494351=CARTESIAN_POINT('Ctrl Pts',(12.4615219484839,29.063462390088,-39.3035014969109)); #494352=CARTESIAN_POINT('Ctrl Pts',(13.090992145519,28.7815202938223,-39.5414238009405)); #494353=CARTESIAN_POINT('Ctrl Pts',(13.4127973208641,28.6319369854371,-39.7423718932244)); #494354=CARTESIAN_POINT('Ctrl Pts',(13.8507372452137,28.4242034427905,-40.0921244844939)); #494355=CARTESIAN_POINT('Ctrl Pts',(14.3600290638278,28.1683788343458,-40.8010899164213)); #494356=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-41.6209194668473)); #494357=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-41.9999999999963)); #494358=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.9999999999963)); #494359=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.6468412752109)); #494360=CARTESIAN_POINT('Ctrl Pts',(9.97501904632127,30.1786477906268,-40.8819196708503)); #494361=CARTESIAN_POINT('Ctrl Pts',(10.5316397226239,29.9912294707568,-40.1451605619909)); #494362=CARTESIAN_POINT('Ctrl Pts',(11.1300341063959,29.7736678667255,-39.7313176080217)); #494363=CARTESIAN_POINT('Ctrl Pts',(11.8240841994653,29.5076924813195,-39.4719356208144)); #494364=CARTESIAN_POINT('Ctrl Pts',(12.5049374644121,29.2255552180942,-39.4718326615716)); #494365=CARTESIAN_POINT('Ctrl Pts',(13.0980916053069,28.9612580993512,-39.6973027304333)); #494366=CARTESIAN_POINT('Ctrl Pts',(13.4007358154724,28.8216421299141,-39.8871701434347)); #494367=CARTESIAN_POINT('Ctrl Pts',(13.8120348033569,28.6282490266572,-40.2170928016635)); #494368=CARTESIAN_POINT('Ctrl Pts',(14.2876380098926,28.3921856677612,-40.8828944344809)); #494369=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.6468412752108)); #494370=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.9999999999963)); #494371=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-41.9999999999963)); #494372=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-41.9999999999963)); #494373=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.9999999999963)); #494374=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-41.6468412752109)); #494375=CARTESIAN_POINT('Ctrl Pts',(9.97501904632127,30.1786477906268,-40.8819196708503)); #494376=CARTESIAN_POINT('Ctrl Pts',(10.5316397226239,29.9912294707568,-40.1451605619909)); #494377=CARTESIAN_POINT('Ctrl Pts',(11.1300341063959,29.7736678667255,-39.7313176080217)); #494378=CARTESIAN_POINT('Ctrl Pts',(11.8240841994653,29.5076924813195,-39.4719356208144)); #494379=CARTESIAN_POINT('Ctrl Pts',(12.5049374644121,29.2255552180942,-39.4718326615716)); #494380=CARTESIAN_POINT('Ctrl Pts',(13.0980916053069,28.9612580993512,-39.6973027304333)); #494381=CARTESIAN_POINT('Ctrl Pts',(13.4007358154724,28.8216421299141,-39.8871701434347)); #494382=CARTESIAN_POINT('Ctrl Pts',(13.8120348033569,28.6282490266572,-40.2170928016635)); #494383=CARTESIAN_POINT('Ctrl Pts',(14.2876380098926,28.3921856677612,-40.8828944344809)); #494384=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.6468412752108)); #494385=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-41.9999999999963)); #494386=CARTESIAN_POINT('Origin',(14.5607235892093,27.9691273015784,-36.9999999999963)); #494387=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-71.9999999999963)); #494388=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-71.9999999999963)); #494389=CARTESIAN_POINT('',(14.4347252024043,28.3156945283913,-36.9999999999963)); #494390=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-71.9999999999963)); #494391=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,27.6225600747654,-72.4309241498726)); #494392=CARTESIAN_POINT('Ctrl Pts',(14.4990073927157,27.723622495125,-73.3677532843524)); #494393=CARTESIAN_POINT('Ctrl Pts',(13.9301024955773,28.0149671728975,-74.1548444502185)); #494394=CARTESIAN_POINT('Ctrl Pts',(13.4390851089359,28.2512864712819,-74.5437550708682)); #494395=CARTESIAN_POINT('Ctrl Pts',(13.0781054907766,28.4211482540653,-74.7669094458931)); #494396=CARTESIAN_POINT('Ctrl Pts',(12.3785292268123,28.737384654396,-75.0299165040362)); #494397=CARTESIAN_POINT('Ctrl Pts',(11.5667145370191,29.0736105082079,-75.0283769792866)); #494398=CARTESIAN_POINT('Ctrl Pts',(10.7981102370752,29.3636604697044,-74.747641779513)); #494399=CARTESIAN_POINT('Ctrl Pts',(10.4279249458522,29.4958026938575,-74.5124512226932)); #494400=CARTESIAN_POINT('Ctrl Pts',(9.92670965591655,29.6702155038692,-74.1114492414012)); #494401=CARTESIAN_POINT('Ctrl Pts',(9.35001425611624,29.8563372175219,-73.3667300958471)); #494402=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-72.4309241498723)); #494403=CARTESIAN_POINT('Ctrl Pts',(9.14701883995803,29.9171802452408,-71.9999999999963)); #494404=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-71.9999999999963)); #494405=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,27.8536048926407,-72.405002341509)); #494406=CARTESIAN_POINT('Ctrl Pts',(14.4269670070813,27.9472363833826,-73.2856634785732)); #494407=CARTESIAN_POINT('Ctrl Pts',(13.8912863145087,28.2190960728824,-74.0300422645437)); #494408=CARTESIAN_POINT('Ctrl Pts',(13.42690610086,28.4410772657392,-74.3991504162554)); #494409=CARTESIAN_POINT('Ctrl Pts',(13.0851351963453,28.6009530416021,-74.6112218339095)); #494410=CARTESIAN_POINT('Ctrl Pts',(12.421741233244,28.8995944341711,-74.8617681633319)); #494411=CARTESIAN_POINT('Ctrl Pts',(11.6509066697055,29.2188510589065,-74.8603292855123)); #494412=CARTESIAN_POINT('Ctrl Pts',(10.9229850688752,29.4948392976577,-74.5928780692014)); #494413=CARTESIAN_POINT('Ctrl Pts',(10.5732954841009,29.6206095759408,-74.3694241059468)); #494414=CARTESIAN_POINT('Ctrl Pts',(10.1005058444491,29.7865869070794,-73.9890070098959)); #494415=CARTESIAN_POINT('Ctrl Pts',(9.55914545866003,29.9634937791349,-73.2847031347004)); #494416=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-72.4050023415088)); #494417=CARTESIAN_POINT('Ctrl Pts',(9.36978840658798,30.0211573935661,-71.9999999999963)); #494418=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-71.9999999999963)); #494419=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,28.084649710516,-72.3790805331454)); #494420=CARTESIAN_POINT('Ctrl Pts',(14.3549266214469,28.1708502716401,-73.2035736727941)); #494421=CARTESIAN_POINT('Ctrl Pts',(13.8524701334401,28.4232249728673,-73.9052400788689)); #494422=CARTESIAN_POINT('Ctrl Pts',(13.4147270927841,28.6308680601966,-74.2545457616426)); #494423=CARTESIAN_POINT('Ctrl Pts',(13.0921649019139,28.7807578291389,-74.4555342219258)); #494424=CARTESIAN_POINT('Ctrl Pts',(12.4649532396757,29.0618042139462,-74.6936198226276)); #494425=CARTESIAN_POINT('Ctrl Pts',(11.7350988023919,29.3640916096051,-74.6922815917381)); #494426=CARTESIAN_POINT('Ctrl Pts',(11.0478599006752,29.6260181256109,-74.4381143588898)); #494427=CARTESIAN_POINT('Ctrl Pts',(10.7186660223497,29.7454164580241,-74.2263969892004)); #494428=CARTESIAN_POINT('Ctrl Pts',(10.2743020329817,29.9029583102895,-73.8665647783907)); #494429=CARTESIAN_POINT('Ctrl Pts',(9.76827666120382,30.0706503407478,-73.2026761735538)); #494430=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-72.3790805331454)); #494431=CARTESIAN_POINT('Ctrl Pts',(9.59255797321794,30.1251345418914,-71.9999999999963)); #494432=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-71.9999999999963)); #494433=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-72.3531587247818)); #494434=CARTESIAN_POINT('Ctrl Pts',(14.2828862358126,28.3944641598977,-73.1214838670149)); #494435=CARTESIAN_POINT('Ctrl Pts',(13.8136539523715,28.6273538728523,-73.780437893194)); #494436=CARTESIAN_POINT('Ctrl Pts',(13.4025480847083,28.820658854654,-74.1099411070298)); #494437=CARTESIAN_POINT('Ctrl Pts',(13.0991946074826,28.9605626166756,-74.2998466099422)); #494438=CARTESIAN_POINT('Ctrl Pts',(12.5081652461074,29.2240139937214,-74.5254714819233)); #494439=CARTESIAN_POINT('Ctrl Pts',(11.8192909350783,29.5093321603037,-74.5242338979639)); #494440=CARTESIAN_POINT('Ctrl Pts',(11.1727347324752,29.7571969535641,-74.2833506485782)); #494441=CARTESIAN_POINT('Ctrl Pts',(10.8640365605984,29.8702233401074,-74.083369872454)); #494442=CARTESIAN_POINT('Ctrl Pts',(10.4480982215143,30.0193297134997,-73.7441225468855)); #494443=CARTESIAN_POINT('Ctrl Pts',(9.97740786374761,30.1778069023607,-73.1206492124071)); #494444=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-72.3531587247818)); #494445=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-71.9999999999963)); #494446=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-71.9999999999963)); #494447=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,28.3156945283913,-72.3531587247818)); #494448=CARTESIAN_POINT('Ctrl Pts',(14.2828862358126,28.3944641598977,-73.1214838670149)); #494449=CARTESIAN_POINT('Ctrl Pts',(13.8136539523715,28.6273538728523,-73.780437893194)); #494450=CARTESIAN_POINT('Ctrl Pts',(13.4025480847083,28.820658854654,-74.1099411070298)); #494451=CARTESIAN_POINT('Ctrl Pts',(13.0991946074826,28.9605626166756,-74.2998466099422)); #494452=CARTESIAN_POINT('Ctrl Pts',(12.5081652461074,29.2240139937214,-74.5254714819233)); #494453=CARTESIAN_POINT('Ctrl Pts',(11.8192909350783,29.5093321603037,-74.5242338979639)); #494454=CARTESIAN_POINT('Ctrl Pts',(11.1727347324752,29.7571969535641,-74.2833506485782)); #494455=CARTESIAN_POINT('Ctrl Pts',(10.8640365605984,29.8702233401074,-74.083369872454)); #494456=CARTESIAN_POINT('Ctrl Pts',(10.4480982215143,30.0193297134997,-73.7441225468855)); #494457=CARTESIAN_POINT('Ctrl Pts',(9.97740786374761,30.1778069023607,-73.1206492124071)); #494458=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-72.3531587247818)); #494459=CARTESIAN_POINT('Ctrl Pts',(9.8153275398479,30.2291116902168,-71.9999999999963)); #494460=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #494461=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-41.9999999999963)); #494462=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912726,-41.9999999999963)); #494463=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-41.9999999999963)); #494464=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.9999999999963)); #494465=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.6815203509833)); #494466=CARTESIAN_POINT('Ctrl Pts',(15.5290663523758,31.1269408956857,-41.3418197456654)); #494467=CARTESIAN_POINT('Ctrl Pts',(15.3290883141165,31.2253952307669,-40.8141514622037)); #494468=CARTESIAN_POINT('Ctrl Pts',(15.106016638978,31.3343165439297,-40.4077138653221)); #494469=CARTESIAN_POINT('Ctrl Pts',(14.6708542144935,31.540675696618,-39.9529518999812)); #494470=CARTESIAN_POINT('Ctrl Pts',(14.1036918428226,31.7990006461645,-39.6184642036617)); #494471=CARTESIAN_POINT('Ctrl Pts',(13.5824021758152,32.024111671655,-39.5024524567576)); #494472=CARTESIAN_POINT('Ctrl Pts',(13.1817733077442,32.1902984552171,-39.4987079402082)); #494473=CARTESIAN_POINT('Ctrl Pts',(12.7677478452526,32.3576904624231,-39.5519114344894)); #494474=CARTESIAN_POINT('Ctrl Pts',(12.2469625783324,32.5589970973293,-39.7422506811817)); #494475=CARTESIAN_POINT('Ctrl Pts',(11.7185625814531,32.7523964058432,-40.1336619565556)); #494476=CARTESIAN_POINT('Ctrl Pts',(11.3091843067269,32.8958387878482,-40.6490586583344)); #494477=CARTESIAN_POINT('Ctrl Pts',(11.0243241127139,32.9924825444766,-41.3121625993772)); #494478=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.7770643544567)); #494479=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.9999999999963)); #494480=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912726,-41.9999999999963)); #494481=CARTESIAN_POINT('Origin',(-2.30969883127822,0.956708580912726,-71.9999999999963)); #494482=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-71.9999999999963)); #494483=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912726,-71.9999999999963)); #494484=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-1.69922627077548E-17)); #494485=CARTESIAN_POINT('Origin',(10.8256785839348,33.319610198737,-8.49613135387741E-18)); #494486=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,-71.9999999999963)); #494487=CARTESIAN_POINT('',(10.9677299535817,33.0112572267645,-71.9999999999963)); #494488=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,-41.9999999999963)); #494489=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,0.)); #494490=CARTESIAN_POINT('',(10.6836272142879,33.6279631707095,-41.9999999999963)); #494491=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-71.9999999999963)); #494492=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-72.3015831815492)); #494493=CARTESIAN_POINT('Ctrl Pts',(10.7949072004689,33.5931577451803,-73.098009781957)); #494494=CARTESIAN_POINT('Ctrl Pts',(11.2150980554448,33.4561283267411,-73.8032945009556)); #494495=CARTESIAN_POINT('Ctrl Pts',(11.8206708463701,33.2470886056416,-74.4423717536881)); #494496=CARTESIAN_POINT('Ctrl Pts',(12.41932768672,33.0325076226153,-74.8412126163973)); #494497=CARTESIAN_POINT('Ctrl Pts',(13.2946337096691,32.6864569634687,-75.019017962315)); #494498=CARTESIAN_POINT('Ctrl Pts',(13.9212266337685,32.4244995686493,-74.9936185114596)); #494499=CARTESIAN_POINT('Ctrl Pts',(14.4584301371644,32.1874472374831,-74.8327912539389)); #494500=CARTESIAN_POINT('Ctrl Pts',(14.8507604612052,32.0073146421786,-74.6215990941754)); #494501=CARTESIAN_POINT('Ctrl Pts',(15.3914344710236,31.7535549421613,-74.2362028448557)); #494502=CARTESIAN_POINT('Ctrl Pts',(16.0151355124275,31.4423477774851,-73.4347663454747)); #494503=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-72.4523745148285)); #494504=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-71.9999999999963)); #494505=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,33.4223945227279,-71.9999999999963)); #494506=CARTESIAN_POINT('Ctrl Pts',(10.778230685876,33.422438569898,-72.2859899586913)); #494507=CARTESIAN_POINT('Ctrl Pts',(10.8843607161562,33.3886666400894,-73.0412882426871)); #494508=CARTESIAN_POINT('Ctrl Pts',(11.2828480771206,33.2569319785793,-73.7079204384632)); #494509=CARTESIAN_POINT('Ctrl Pts',(11.8555661228547,33.0572474371149,-74.3101832864005)); #494510=CARTESIAN_POINT('Ctrl Pts',(12.4199920359993,32.8533978508491,-74.6847363395149)); #494511=CARTESIAN_POINT('Ctrl Pts',(13.2432109488111,32.5271819475699,-74.8512230779568)); #494512=CARTESIAN_POINT('Ctrl Pts',(13.8323541614205,32.2809982568113,-74.8274758545652)); #494513=CARTESIAN_POINT('Ctrl Pts',(14.3382011706434,32.0584471969648,-74.6767149171882)); #494514=CARTESIAN_POINT('Ctrl Pts',(14.7083695221966,31.8893428305768,-74.4785465672652)); #494515=CARTESIAN_POINT('Ctrl Pts',(15.2194993776334,31.6508645658691,-74.116074505875)); #494516=CARTESIAN_POINT('Ctrl Pts',(15.8122308929544,31.357787524869,-73.3602128604098)); #494517=CARTESIAN_POINT('Ctrl Pts',(16.0114371025753,31.2548584181546,-72.4292099899338)); #494518=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,31.2546307192411,-71.9999999999963)); #494519=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,33.2168258747462,-71.9999999999963)); #494520=CARTESIAN_POINT('Ctrl Pts',(10.8731264819935,33.2167818275761,-72.2703769420646)); #494521=CARTESIAN_POINT('Ctrl Pts',(10.973539448147,33.184264993991,-72.9845555428611)); #494522=CARTESIAN_POINT('Ctrl Pts',(11.3509127529409,33.0575800622723,-73.6124081151499)); #494523=CARTESIAN_POINT('Ctrl Pts',(11.8902981735538,32.8674552632501,-74.1780004983588)); #494524=CARTESIAN_POINT('Ctrl Pts',(12.4208222701182,32.6741636884689,-74.5280342305262)); #494525=CARTESIAN_POINT('Ctrl Pts',(13.1917939209567,32.3678514548967,-74.6831911655864)); #494526=CARTESIAN_POINT('Ctrl Pts',(13.7438650198482,32.1372669803328,-74.661044332238)); #494527=CARTESIAN_POINT('Ctrl Pts',(14.2184395327873,31.9292178724366,-74.5205345909323)); #494528=CARTESIAN_POINT('Ctrl Pts',(14.5666218143052,31.7710117863131,-74.3350791404169)); #494529=CARTESIAN_POINT('Ctrl Pts',(15.0476131885116,31.5481585177746,-73.9961017986721)); #494530=CARTESIAN_POINT('Ctrl Pts',(15.6087666599831,31.273561988751,-73.286163876454)); #494531=CARTESIAN_POINT('Ctrl Pts',(15.7997860581711,31.1760076931766,-72.4053398861677)); #494532=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,31.1762353920901,-71.9999999999963)); #494533=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-71.9999999999963)); #494534=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-72.2547837192068)); #494535=CARTESIAN_POINT('Ctrl Pts',(11.0629929638343,32.9797738889,-72.9278340035912)); #494536=CARTESIAN_POINT('Ctrl Pts',(11.4186627746167,32.8583837141105,-73.5170340526575)); #494537=CARTESIAN_POINT('Ctrl Pts',(11.9251934500384,32.6776140947234,-74.0458120310712)); #494538=CARTESIAN_POINT('Ctrl Pts',(12.4214866193975,32.4950539167028,-74.3715579536438)); #494539=CARTESIAN_POINT('Ctrl Pts',(13.1403711600987,32.208576438998,-74.5153962812282)); #494540=CARTESIAN_POINT('Ctrl Pts',(13.6549925475002,31.9937656684948,-74.4949016753435)); #494541=CARTESIAN_POINT('Ctrl Pts',(14.0982105662663,31.8002178319184,-74.3644582541816)); #494542=CARTESIAN_POINT('Ctrl Pts',(14.4242308752967,31.6530399747113,-74.1920266135067)); #494543=CARTESIAN_POINT('Ctrl Pts',(14.8756780951214,31.4454681414823,-73.8759734596914)); #494544=CARTESIAN_POINT('Ctrl Pts',(15.40586204051,31.1890017361349,-73.211610391389)); #494545=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-72.382175361273)); #494546=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-71.9999999999963)); #494547=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-71.9999999999963)); #494548=CARTESIAN_POINT('',(16.2240955446083,31.3330260463922,-71.9999999999963)); #494549=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-71.9999999999963)); #494550=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-71.9999999999963)); #494551=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-72.4523745148285)); #494552=CARTESIAN_POINT('Ctrl Pts',(16.0151355124275,31.4423477774851,-73.4347663454747)); #494553=CARTESIAN_POINT('Ctrl Pts',(15.3914344710236,31.7535549421613,-74.2362028448557)); #494554=CARTESIAN_POINT('Ctrl Pts',(14.8507604612052,32.0073146421786,-74.6215990941754)); #494555=CARTESIAN_POINT('Ctrl Pts',(14.4584301371644,32.1874472374831,-74.8327912539389)); #494556=CARTESIAN_POINT('Ctrl Pts',(13.9212266337685,32.4244995686493,-74.9936185114596)); #494557=CARTESIAN_POINT('Ctrl Pts',(13.2946337096691,32.6864569634687,-75.019017962315)); #494558=CARTESIAN_POINT('Ctrl Pts',(12.41932768672,33.0325076226153,-74.8412126163973)); #494559=CARTESIAN_POINT('Ctrl Pts',(11.8206708463701,33.2470886056416,-74.4423717536881)); #494560=CARTESIAN_POINT('Ctrl Pts',(11.2150980554448,33.4561283267411,-73.8032945009556)); #494561=CARTESIAN_POINT('Ctrl Pts',(10.7949072004689,33.5931577451803,-73.098009781957)); #494562=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-72.3015831815492)); #494563=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-71.9999999999963)); #494564=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-71.9999999999963)); #494565=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-72.2547837192068)); #494566=CARTESIAN_POINT('Ctrl Pts',(11.0629929638343,32.9797738889,-72.9278340035912)); #494567=CARTESIAN_POINT('Ctrl Pts',(11.4186627746167,32.8583837141105,-73.5170340526575)); #494568=CARTESIAN_POINT('Ctrl Pts',(11.9251934500384,32.6776140947234,-74.0458120310712)); #494569=CARTESIAN_POINT('Ctrl Pts',(12.4214866193975,32.4950539167028,-74.3715579536438)); #494570=CARTESIAN_POINT('Ctrl Pts',(13.1403711600987,32.208576438998,-74.5153962812282)); #494571=CARTESIAN_POINT('Ctrl Pts',(13.6549925475002,31.9937656684948,-74.4949016753435)); #494572=CARTESIAN_POINT('Ctrl Pts',(14.0982105662663,31.8002178319184,-74.3644582541816)); #494573=CARTESIAN_POINT('Ctrl Pts',(14.4242308752967,31.6530399747113,-74.1920266135067)); #494574=CARTESIAN_POINT('Ctrl Pts',(14.8756780951214,31.4454681414823,-73.8759734596914)); #494575=CARTESIAN_POINT('Ctrl Pts',(15.40586204051,31.1890017361349,-73.211610391389)); #494576=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-72.382175361273)); #494577=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-71.9999999999963)); #494578=CARTESIAN_POINT('Origin',(15.9056115803731,31.2154330556656,-8.49613135387756E-18)); #494579=CARTESIAN_POINT('',(16.2240955446081,31.3330260463922,-41.9999999999963)); #494580=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-41.9999999999963)); #494581=CARTESIAN_POINT('',(16.2240955446081,31.3330260463922,0.)); #494582=CARTESIAN_POINT('',(15.5871276161382,31.097840064939,-1.69922627077551E-17)); #494583=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.9999999999963)); #494584=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.6230210230553)); #494585=CARTESIAN_POINT('Ctrl Pts',(16.1570399120803,31.3679613967963,-41.220871627014)); #494586=CARTESIAN_POINT('Ctrl Pts',(15.9239254165357,31.4867793815567,-40.5925745239208)); #494587=CARTESIAN_POINT('Ctrl Pts',(15.6628021987162,31.6185464185334,-40.1062803175302)); #494588=CARTESIAN_POINT('Ctrl Pts',(15.1470505944892,31.8697666001534,-39.5559267171497)); #494589=CARTESIAN_POINT('Ctrl Pts',(14.465711119582,32.1859599475472,-39.1463780881033)); #494590=CARTESIAN_POINT('Ctrl Pts',(13.8326866506059,32.4615949170251,-39.0029385105736)); #494591=CARTESIAN_POINT('Ctrl Pts',(13.3449794888508,32.663959386433,-38.9983715736936)); #494592=CARTESIAN_POINT('Ctrl Pts',(12.8408355218212,32.8667905451351,-39.0640280639755)); #494593=CARTESIAN_POINT('Ctrl Pts',(12.2084257705805,33.1077359634076,-39.2984658611308)); #494594=CARTESIAN_POINT('Ctrl Pts',(11.5727015641831,33.3342074017163,-39.775415004536)); #494595=CARTESIAN_POINT('Ctrl Pts',(11.0855303472783,33.4985151714688,-40.3959938575029)); #494596=CARTESIAN_POINT('Ctrl Pts',(10.7498326587614,33.6071549615213,-41.1859097965474)); #494597=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.7361148448863)); #494598=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.9999999999963)); #494599=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,31.2546307192411,-41.9999999999963)); #494600=CARTESIAN_POINT('Ctrl Pts',(16.0117244994001,31.2546678738677,-41.6425471928188)); #494601=CARTESIAN_POINT('Ctrl Pts',(15.9477213574635,31.2876205791077,-41.2611472129928)); #494602=CARTESIAN_POINT('Ctrl Pts',(15.7255787004271,31.399678531666,-40.666486186679)); #494603=CARTESIAN_POINT('Ctrl Pts',(15.4771092741487,31.523854932238,-40.2066686072042)); #494604=CARTESIAN_POINT('Ctrl Pts',(14.988249467372,31.760086052718,-39.6883987330924)); #494605=CARTESIAN_POINT('Ctrl Pts',(14.3449497690939,32.0570032654427,-39.3038141606016)); #494606=CARTESIAN_POINT('Ctrl Pts',(13.749129536227,32.3158048510617,-39.1695760104229)); #494607=CARTESIAN_POINT('Ctrl Pts',(13.2905309120417,32.5060818845257,-39.1652664698927)); #494608=CARTESIAN_POINT('Ctrl Pts',(12.8163660560582,32.6971205771812,-39.2267886712437)); #494609=CARTESIAN_POINT('Ctrl Pts',(12.2211996165737,32.9248446565467,-39.4464945404058)); #494610=CARTESIAN_POINT('Ctrl Pts',(11.6213518857774,33.1402613067815,-39.8948365919925)); #494611=CARTESIAN_POINT('Ctrl Pts',(11.1600882931214,33.2976210640253,-40.4803637456654)); #494612=CARTESIAN_POINT('Ctrl Pts',(10.8414136002889,33.4022427627173,-41.2279300028742)); #494613=CARTESIAN_POINT('Ctrl Pts',(10.7781995573396,33.4224341080179,-41.7499009623342)); #494614=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,33.4223945227279,-41.9999999999963)); #494615=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,31.1762353920901,-41.9999999999963)); #494616=CARTESIAN_POINT('Ctrl Pts',(15.7994986613463,31.1761982374635,-41.6619941812197)); #494617=CARTESIAN_POINT('Ctrl Pts',(15.7383849069926,31.2072817133743,-41.3015441596866)); #494618=CARTESIAN_POINT('Ctrl Pts',(15.5274350302251,31.3124960806576,-40.7402397994455)); #494619=CARTESIAN_POINT('Ctrl Pts',(15.2917095635456,31.4290080302251,-40.3073255756481)); #494620=CARTESIAN_POINT('Ctrl Pts',(14.8296553416107,31.6503562440534,-39.8204798840385)); #494621=CARTESIAN_POINT('Ctrl Pts',(14.2244531933107,31.927957328269,-39.4610281311634)); #494622=CARTESIAN_POINT('Ctrl Pts',(13.6659592901941,32.1699017376184,-39.3358149569083)); #494623=CARTESIAN_POINT('Ctrl Pts',(13.2362218845532,32.3481759571244,-39.3318130440091)); #494624=CARTESIAN_POINT('Ctrl Pts',(12.7922173110156,32.5273604303769,-39.3891508272212)); #494625=CARTESIAN_POINT('Ctrl Pts',(12.2341887323391,32.7418884041902,-39.5942220019067)); #494626=CARTESIAN_POINT('Ctrl Pts',(11.6699122598588,32.946342500778,-40.0142403690991)); #494627=CARTESIAN_POINT('Ctrl Pts',(11.2346263608838,33.0967328952917,-40.564688770172)); #494628=CARTESIAN_POINT('Ctrl Pts',(10.9327431711865,33.1973947432807,-41.2701423930504)); #494629=CARTESIAN_POINT('Ctrl Pts',(10.8731576105299,33.2167862894562,-41.7632782370088)); #494630=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,33.2168258747462,-41.9999999999963)); #494631=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.9999999999963)); #494632=CARTESIAN_POINT('Ctrl Pts',(15.5871276161382,31.097840064939,-41.6815203509833)); #494633=CARTESIAN_POINT('Ctrl Pts',(15.5290663523758,31.1269408956857,-41.3418197456654)); #494634=CARTESIAN_POINT('Ctrl Pts',(15.3290883141165,31.2253952307669,-40.8141514622037)); #494635=CARTESIAN_POINT('Ctrl Pts',(15.106016638978,31.3343165439297,-40.4077138653221)); #494636=CARTESIAN_POINT('Ctrl Pts',(14.6708542144935,31.540675696618,-39.9529518999812)); #494637=CARTESIAN_POINT('Ctrl Pts',(14.1036918428226,31.7990006461645,-39.6184642036617)); #494638=CARTESIAN_POINT('Ctrl Pts',(13.5824021758152,32.024111671655,-39.5024524567576)); #494639=CARTESIAN_POINT('Ctrl Pts',(13.1817733077442,32.1902984552171,-39.4987079402082)); #494640=CARTESIAN_POINT('Ctrl Pts',(12.7677478452526,32.3576904624231,-39.5519114344894)); #494641=CARTESIAN_POINT('Ctrl Pts',(12.2469625783324,32.5589970973293,-39.7422506811817)); #494642=CARTESIAN_POINT('Ctrl Pts',(11.7185625814531,32.7523964058432,-40.1336619565556)); #494643=CARTESIAN_POINT('Ctrl Pts',(11.3091843067269,32.8958387878482,-40.6490586583344)); #494644=CARTESIAN_POINT('Ctrl Pts',(11.0243241127139,32.9924825444766,-41.3121625993772)); #494645=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.7770643544567)); #494646=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,33.0112572267645,-41.9999999999963)); #494647=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.9999999999963)); #494648=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,33.6279631707095,-41.7361148448863)); #494649=CARTESIAN_POINT('Ctrl Pts',(10.7498326587614,33.6071549615213,-41.1859097965474)); #494650=CARTESIAN_POINT('Ctrl Pts',(11.0855303472783,33.4985151714688,-40.3959938575029)); #494651=CARTESIAN_POINT('Ctrl Pts',(11.5727015641831,33.3342074017163,-39.775415004536)); #494652=CARTESIAN_POINT('Ctrl Pts',(12.2084257705805,33.1077359634076,-39.2984658611308)); #494653=CARTESIAN_POINT('Ctrl Pts',(12.8408355218212,32.8667905451351,-39.0640280639755)); #494654=CARTESIAN_POINT('Ctrl Pts',(13.3449794888508,32.663959386433,-38.9983715736936)); #494655=CARTESIAN_POINT('Ctrl Pts',(13.8326866506059,32.4615949170251,-39.0029385105736)); #494656=CARTESIAN_POINT('Ctrl Pts',(14.465711119582,32.1859599475472,-39.1463780881033)); #494657=CARTESIAN_POINT('Ctrl Pts',(15.1470505944892,31.8697666001534,-39.5559267171497)); #494658=CARTESIAN_POINT('Ctrl Pts',(15.6628021987162,31.6185464185334,-40.1062803175302)); #494659=CARTESIAN_POINT('Ctrl Pts',(15.9239254165357,31.4867793815567,-40.5925745239208)); #494660=CARTESIAN_POINT('Ctrl Pts',(16.1570399120803,31.3679613967963,-41.220871627014)); #494661=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.6230210230553)); #494662=CARTESIAN_POINT('Ctrl Pts',(16.2240955446083,31.3330260463922,-41.9999999999963)); #494663=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #494664=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912726,-71.9999999999963)); #494665=CARTESIAN_POINT('Origin',(0.,0.,0.)); #494666=CARTESIAN_POINT('',(-35.2816635640726,1.32391267352434E-5,-75.0041233072197)); #494667=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,0.)); #494668=CARTESIAN_POINT('',(-35.153481399434,2.99507121464009,-71.9999999999963)); #494669=CARTESIAN_POINT('Ctrl Pts',(-35.1530429420905,2.99459715192608, -71.9999999999963)); #494670=CARTESIAN_POINT('Ctrl Pts',(-35.1530429420905,2.99459715192608, -72.4303121828803)); #494671=CARTESIAN_POINT('Ctrl Pts',(-35.1715455912222,2.78810983530982, -73.3584701928202)); #494672=CARTESIAN_POINT('Ctrl Pts',(-35.215170081287,2.17604573882395,-74.1088774098584)); #494673=CARTESIAN_POINT('Ctrl Pts',(-35.2378338821717,1.73391782804942, -74.4437578000179)); #494674=CARTESIAN_POINT('Ctrl Pts',(-35.2467389516713,1.54670447144187, -74.5698670197391)); #494675=CARTESIAN_POINT('Ctrl Pts',(-35.2570409762421,1.29736875849053, -74.7076948584361)); #494676=CARTESIAN_POINT('Ctrl Pts',(-35.2656678719706,1.03430117266964, -74.8175429264087)); #494677=CARTESIAN_POINT('Ctrl Pts',(-35.2767995041675,0.597980965861558, -74.953508125517)); #494678=CARTESIAN_POINT('Ctrl Pts',(-35.2807856000327,0.271458002777974, -75.0022181787534)); #494679=CARTESIAN_POINT('Ctrl Pts',(-35.2808806463976,1.33445440927277E-5, -75.003383874555)); #494680=CARTESIAN_POINT('',(-35.1566340259346,2.99847985335284,-41.9999999999963)); #494681=CARTESIAN_POINT('',(-35.1566340259346,2.99847985335284,0.)); #494682=CARTESIAN_POINT('',(-35.2833503602138,5.69805771086214E-7,-38.9983508259588)); #494683=CARTESIAN_POINT('Ctrl Pts',(-35.2845836072061,-2.67536864870244E-7, -38.9972918921186)); #494684=CARTESIAN_POINT('Ctrl Pts',(-35.2845812248411,0.0765550397076865, -38.9973430201749)); #494685=CARTESIAN_POINT('Ctrl Pts',(-35.2835490733839,0.382479024229106, -39.0095908332409)); #494686=CARTESIAN_POINT('Ctrl Pts',(-35.2708773728056,1.16833283598923, -39.1576963872368)); #494687=CARTESIAN_POINT('Ctrl Pts',(-35.2398411863087,1.8017410739773,-39.5585217332267)); #494688=CARTESIAN_POINT('Ctrl Pts',(-35.2046353668866,2.38697763606976, -40.1442820147055)); #494689=CARTESIAN_POINT('Ctrl Pts',(-35.1702415436453,2.84784849597214, -40.8189051297939)); #494690=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.6230210230552)); #494691=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.9999999999963)); #494692=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #494693=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,0.)); #494694=CARTESIAN_POINT('Origin',(0.,0.,0.)); #494695=CARTESIAN_POINT('',(-35.155423292333,-2.99717080090897,-41.9999999999963)); #494696=CARTESIAN_POINT('Ctrl Pts',(-35.1552415120793,-2.99697425900664, -41.9999999999963)); #494697=CARTESIAN_POINT('Ctrl Pts',(-35.1552421780813,-2.99697168518318, -41.5478358799966)); #494698=CARTESIAN_POINT('Ctrl Pts',(-35.1761766467376,-2.76425273799983, -40.5666047844551)); #494699=CARTESIAN_POINT('Ctrl Pts',(-35.22258181827,-2.10226754170234,-39.80700486515)); #494700=CARTESIAN_POINT('Ctrl Pts',(-35.2457399722855,-1.62056398477266, -39.4751423019638)); #494701=CARTESIAN_POINT('Ctrl Pts',(-35.2547502715834,-1.41554423350192, -39.3517465816152)); #494702=CARTESIAN_POINT('Ctrl Pts',(-35.2688946213876,-1.03954270067922, -39.1677308990288)); #494703=CARTESIAN_POINT('Ctrl Pts',(-35.2805584490106,-0.53060087292195, -39.0282720155069)); #494704=CARTESIAN_POINT('Ctrl Pts',(-35.2830781180385,-0.151980759146765, -38.9987007773218)); #494705=CARTESIAN_POINT('Ctrl Pts',(-35.2830733889589,7.62717596580753E-7, -38.9988022909145)); #494706=CARTESIAN_POINT('',(-35.1566340259346,-2.99847985335284,-71.9999999999963)); #494707=CARTESIAN_POINT('',(-35.1566340259346,-2.99847985335284,0.)); #494708=CARTESIAN_POINT('Ctrl Pts',(-35.284776278651,1.32585680970437E-5, -75.0072872509228)); #494709=CARTESIAN_POINT('Ctrl Pts',(-35.2848534259261,-0.220104087284347, -75.0082325386023)); #494710=CARTESIAN_POINT('Ctrl Pts',(-35.2787549749613,-0.876694157224919, -74.933801583848)); #494711=CARTESIAN_POINT('Ctrl Pts',(-35.2441363651356,-1.74731662625756, -74.5110552715817)); #494712=CARTESIAN_POINT('Ctrl Pts',(-35.2066670205568,-2.3511280108514, -73.8985107948277)); #494713=CARTESIAN_POINT('Ctrl Pts',(-35.1703643873278,-2.84981576006348, -73.1267631035288)); #494714=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335299, -72.4308329325739)); #494715=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -71.9999999999963)); #494716=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,-71.9999999999963)); #494717=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,-41.9999999999963)); #494718=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,0.)); #494719=CARTESIAN_POINT('',(26.9797397609892,22.7392488858749,-71.9999999999963)); #494720=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-71.9999999999963)); #494721=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-72.4308329325739)); #494722=CARTESIAN_POINT('Ctrl Pts',(26.8938077924815,22.8426097480042,-73.0644907349205)); #494723=CARTESIAN_POINT('Ctrl Pts',(26.5823638792832,23.2037887399283,-73.8554281007366)); #494724=CARTESIAN_POINT('Ctrl Pts',(26.3370019561177,23.4824155904558,-74.2468815551915)); #494725=CARTESIAN_POINT('Ctrl Pts',(26.060697517937,23.7877292913832,-74.5422234350488)); #494726=CARTESIAN_POINT('Ctrl Pts',(25.8744160730247,23.990123864496,-74.6945314320523)); #494727=CARTESIAN_POINT('Ctrl Pts',(25.6598822817023,24.2197086961519,-74.8308241980004)); #494728=CARTESIAN_POINT('Ctrl Pts',(25.2029765082624,24.6996381582351,-75.0273524880922)); #494729=CARTESIAN_POINT('Ctrl Pts',(24.6425141359664,25.2600862014277,-75.0269480663949)); #494730=CARTESIAN_POINT('Ctrl Pts',(24.1040258199075,25.7691249738881,-74.7746431240578)); #494731=CARTESIAN_POINT('Ctrl Pts',(23.8076139398895,26.0424173580184,-74.5570819272904)); #494732=CARTESIAN_POINT('Ctrl Pts',(23.3999238353836,26.412294294999,-74.1760223160087)); #494733=CARTESIAN_POINT('Ctrl Pts',(22.9036028423149,26.8423016428165,-73.3666851009859)); #494734=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-72.430832932574)); #494735=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-71.9999999999963)); #494736=CARTESIAN_POINT('',(26.9797397609891,22.739248885875,-41.9999999999963)); #494737=CARTESIAN_POINT('',(26.9797397609891,22.739248885875,0.)); #494738=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.9999999999963)); #494739=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.569167067419)); #494740=CARTESIAN_POINT('Ctrl Pts',(22.8978924197258,26.8475543842325,-40.6376353821041)); #494741=CARTESIAN_POINT('Ctrl Pts',(23.387406847295,26.4234619611826,-39.842166966642)); #494742=CARTESIAN_POINT('Ctrl Pts',(23.7914708718177,26.0575625547526,-39.4526955423314)); #494743=CARTESIAN_POINT('Ctrl Pts',(24.0844440518295,25.7881615576447,-39.2295664982368)); #494744=CARTESIAN_POINT('Ctrl Pts',(24.6442977210736,25.2589029890744,-38.966749019024)); #494745=CARTESIAN_POINT('Ctrl Pts',(25.2582790351611,24.6451158864212,-38.9669562198511)); #494746=CARTESIAN_POINT('Ctrl Pts',(25.8639302251446,24.0040799579824,-39.2693232067301)); #494747=CARTESIAN_POINT('Ctrl Pts',(26.3770485123321,23.44083756278,-39.7562906375487)); #494748=CARTESIAN_POINT('Ctrl Pts',(26.8461727940936,22.8994724752506,-40.6364838327232)); #494749=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.5691670674187)); #494750=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.9999999999963)); #494751=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,-71.9999999999963)); #494752=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,-41.9999999999963)); #494753=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,0.)); #494754=CARTESIAN_POINT('',(35.1566340259346,-2.99847985335299,-71.9999999999963)); #494755=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -71.9999999999963)); #494756=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -72.2638851551065)); #494757=CARTESIAN_POINT('Ctrl Pts',(35.1628828640525,-2.92919175799492, -72.8173586962704)); #494758=CARTESIAN_POINT('Ctrl Pts',(35.199843464431,-2.46314635406515,-73.8600849660023)); #494759=CARTESIAN_POINT('Ctrl Pts',(35.2516536310539,-1.66444319441761, -74.6025954812563)); #494760=CARTESIAN_POINT('Ctrl Pts',(35.2827781269774,-0.573825811525736, -74.9828437484492)); #494761=CARTESIAN_POINT('Ctrl Pts',(35.286557940586,0.224712139355426,-75.0240613804536)); #494762=CARTESIAN_POINT('Ctrl Pts',(35.2718356842161,1.0464691813816,-74.8538817734598)); #494763=CARTESIAN_POINT('Ctrl Pts',(35.2403187608639,1.8001608870469,-74.4429937698031)); #494764=CARTESIAN_POINT('Ctrl Pts',(35.2056647501411,2.37063799188117,-73.8938802377274)); #494765=CARTESIAN_POINT('Ctrl Pts',(35.1838182475565,2.66286853330322,-73.407182720336)); #494766=CARTESIAN_POINT('Ctrl Pts',(35.1632544888337,2.92335578327561,-72.7790509760547)); #494767=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-72.3769789769375)); #494768=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-71.9999999999963)); #494769=CARTESIAN_POINT('',(35.1566340259346,-2.99847985335285,-41.9999999999963)); #494770=CARTESIAN_POINT('',(35.1566340259346,-2.99847985335285,0.)); #494771=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.9999999999963)); #494772=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.569167067419)); #494773=CARTESIAN_POINT('Ctrl Pts',(35.1753500106642,2.79282338902181,-40.6375573238297)); #494774=CARTESIAN_POINT('Ctrl Pts',(35.2216122730958,2.14679492112076,-39.8420631438778)); #494775=CARTESIAN_POINT('Ctrl Pts',(35.2486003345588,1.60234397050899,-39.4525730648602)); #494776=CARTESIAN_POINT('Ctrl Pts',(35.2652684560591,1.20468675548028,-39.2294432776782)); #494777=CARTESIAN_POINT('Ctrl Pts',(35.2869032249589,0.434571334137849, -38.9666166808007)); #494778=CARTESIAN_POINT('Ctrl Pts',(35.287039669137,-0.433563354937821, -38.9668372708243)); #494779=CARTESIAN_POINT('Ctrl Pts',(35.2620185974132,-1.31509212250869, -39.269209335542)); #494780=CARTESIAN_POINT('Ctrl Pts',(35.2265736282218,-2.07619870222808, -39.7561978183411)); #494781=CARTESIAN_POINT('Ctrl Pts',(35.17548943722,-2.79073063611486,-40.6364208143545)); #494782=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.5691670674188)); #494783=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.9999999999963)); #494784=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,-71.9999999999963)); #494785=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,-41.9999999999963)); #494786=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,0.)); #494787=CARTESIAN_POINT('',(22.7392488858749,-26.9797397609892,-71.9999999999963)); #494788=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -71.9999999999963)); #494789=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -72.3769789769375)); #494790=CARTESIAN_POINT('Ctrl Pts',(22.7966158329822,-26.9317592656536, -72.7789562087357)); #494791=CARTESIAN_POINT('Ctrl Pts',(22.9858292475375,-26.7702905942404, -73.3789357337839)); #494792=CARTESIAN_POINT('Ctrl Pts',(23.1792602512451,-26.6039196508837, -73.8190760684792)); #494793=CARTESIAN_POINT('Ctrl Pts',(23.5466585232576,-26.2801710637289, -74.3315033039112)); #494794=CARTESIAN_POINT('Ctrl Pts',(24.0154487647824,-25.8534723356579, -74.740437837801)); #494795=CARTESIAN_POINT('Ctrl Pts',(24.7103093390603,-25.1953783693365, -75.0491828610405)); #494796=CARTESIAN_POINT('Ctrl Pts',(25.5742392730066,-24.3239770818201, -74.997482431141)); #494797=CARTESIAN_POINT('Ctrl Pts',(26.187138649845,-23.6506481588231,-74.4570206147617)); #494798=CARTESIAN_POINT('Ctrl Pts',(26.5814230340033,-23.2052349833381, -73.8555239581573)); #494799=CARTESIAN_POINT('Ctrl Pts',(26.733018431588,-23.0295659998376,-73.5189121794281)); #494800=CARTESIAN_POINT('Ctrl Pts',(26.9316870352729,-22.7967106591516, -72.7791806603286)); #494801=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -72.3769789769375)); #494802=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -71.9999999999963)); #494803=CARTESIAN_POINT('',(22.739248885875,-26.9797397609891,-41.9999999999963)); #494804=CARTESIAN_POINT('',(22.739248885875,-26.9797397609891,0.)); #494805=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.9999999999963)); #494806=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.569167067419)); #494807=CARTESIAN_POINT('Ctrl Pts',(26.8475543842325,-22.8978924197258, -40.6376353821041)); #494808=CARTESIAN_POINT('Ctrl Pts',(26.4234619611826,-23.3874068472951, -39.842166966642)); #494809=CARTESIAN_POINT('Ctrl Pts',(26.0575625547526,-23.7914708718177, -39.4526955423315)); #494810=CARTESIAN_POINT('Ctrl Pts',(25.7881615576447,-24.0844440518296, -39.2295664982368)); #494811=CARTESIAN_POINT('Ctrl Pts',(25.2589029890746,-24.6442977210735, -38.9667490190241)); #494812=CARTESIAN_POINT('Ctrl Pts',(24.6451158864212,-25.2582790351612, -38.9669562198511)); #494813=CARTESIAN_POINT('Ctrl Pts',(24.0040799579824,-25.8639302251446, -39.2693232067302)); #494814=CARTESIAN_POINT('Ctrl Pts',(23.44083756278,-26.3770485123321,-39.7562906375488)); #494815=CARTESIAN_POINT('Ctrl Pts',(22.8994724752506,-26.8461727940936, -40.6364838327233)); #494816=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.5691670674188)); #494817=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.9999999999963)); #494818=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,-71.9999999999963)); #494819=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,-41.9999999999963)); #494820=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,0.)); #494821=CARTESIAN_POINT('',(-2.99847985335299,-35.1566340259346,-71.9999999999963)); #494822=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -71.9999999999963)); #494823=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -72.2638851551065)); #494824=CARTESIAN_POINT('Ctrl Pts',(-2.92919175799491,-35.1628828640525, -72.8173586962704)); #494825=CARTESIAN_POINT('Ctrl Pts',(-2.46314635406514,-35.199843464431, -73.8600849660024)); #494826=CARTESIAN_POINT('Ctrl Pts',(-1.6644431944176,-35.2516536310539, -74.6025954812563)); #494827=CARTESIAN_POINT('Ctrl Pts',(-0.573825811525724,-35.2827781269774, -74.9828437484492)); #494828=CARTESIAN_POINT('Ctrl Pts',(0.224712139355435,-35.286557940586, -75.0240613804536)); #494829=CARTESIAN_POINT('Ctrl Pts',(1.04646918138161,-35.2718356842161, -74.8538817734599)); #494830=CARTESIAN_POINT('Ctrl Pts',(1.80016088704691,-35.2403187608639, -74.4429937698031)); #494831=CARTESIAN_POINT('Ctrl Pts',(2.37063799188117,-35.2056647501411, -73.8938802377274)); #494832=CARTESIAN_POINT('Ctrl Pts',(2.66286853330322,-35.1838182475565, -73.4071827203359)); #494833=CARTESIAN_POINT('Ctrl Pts',(2.92335578327562,-35.1632544888337, -72.7790509760547)); #494834=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -72.3769789769375)); #494835=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -71.9999999999963)); #494836=CARTESIAN_POINT('',(-2.99847985335284,-35.1566340259346,-41.9999999999963)); #494837=CARTESIAN_POINT('',(-2.99847985335284,-35.1566340259346,0.)); #494838=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.9999999999963)); #494839=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.5476254851644)); #494840=CARTESIAN_POINT('Ctrl Pts',(2.76566038187735,-35.1775879542263, -40.5659303507731)); #494841=CARTESIAN_POINT('Ctrl Pts',(2.10336670575776,-35.2240353496866, -39.8059384216226)); #494842=CARTESIAN_POINT('Ctrl Pts',(1.621423206754,-35.2472148465392,-39.4738985205467)); #494843=CARTESIAN_POINT('Ctrl Pts',(1.41629767962994,-35.2562336697441, -39.3504348704489)); #494844=CARTESIAN_POINT('Ctrl Pts',(1.04010294635549,-35.2703913294483, -39.1663172244997)); #494845=CARTESIAN_POINT('Ctrl Pts',(0.452133669497831,-35.2838719006109, -39.0051976387664)); #494846=CARTESIAN_POINT('Ctrl Pts',(-0.225739944213127,-35.2860764527274, -38.9800510792418)); #494847=CARTESIAN_POINT('Ctrl Pts',(-1.16833283598924,-35.2708773728055, -39.1576963872367)); #494848=CARTESIAN_POINT('Ctrl Pts',(-1.8017410739773,-35.2398411863087, -39.5585217332267)); #494849=CARTESIAN_POINT('Ctrl Pts',(-2.38697763606977,-35.2046353668865, -40.1442820147055)); #494850=CARTESIAN_POINT('Ctrl Pts',(-2.84784849597214,-35.1702415436452, -40.8189051297939)); #494851=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.6230210230552)); #494852=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.9999999999963)); #494853=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,-71.9999999999963)); #494854=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,-41.9999999999963)); #494855=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,0.)); #494856=CARTESIAN_POINT('',(-26.9797397609892,-22.7392488858749,-71.9999999999963)); #494857=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -71.9999999999963)); #494858=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -72.3769789769375)); #494859=CARTESIAN_POINT('Ctrl Pts',(-26.9310484991665,-22.7972854238164, -72.7790542569211)); #494860=CARTESIAN_POINT('Ctrl Pts',(-26.7502060333621,-23.0090531198223, -73.4461525561923)); #494861=CARTESIAN_POINT('Ctrl Pts',(-26.5278590984421,-23.2669564057014, -73.9855210708263)); #494862=CARTESIAN_POINT('Ctrl Pts',(-26.0641363217007,-23.7876323419952, -74.5881429501646)); #494863=CARTESIAN_POINT('Ctrl Pts',(-25.2290960693646,-24.6814501409937, -75.0965292767664)); #494864=CARTESIAN_POINT('Ctrl Pts',(-24.5618181941368,-25.3386307145898, -75.0126311179209)); #494865=CARTESIAN_POINT('Ctrl Pts',(-24.0452703064212,-25.8227499279823, -74.7244668370662)); #494866=CARTESIAN_POINT('Ctrl Pts',(-23.8864585267063,-25.9700850268746, -74.618650905515)); #494867=CARTESIAN_POINT('Ctrl Pts',(-23.4445366223767,-26.372836256596, -74.2329940397847)); #494868=CARTESIAN_POINT('Ctrl Pts',(-23.0705582113806,-26.6980586561983, -73.6587690137388)); #494869=CARTESIAN_POINT('Ctrl Pts',(-22.7883669512913,-26.9386215406674, -72.7542011387476)); #494870=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -72.2827341522343)); #494871=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -71.9999999999963)); #494872=CARTESIAN_POINT('',(-26.9797397609891,-22.739248885875,-41.9999999999963)); #494873=CARTESIAN_POINT('',(-26.9797397609891,-22.739248885875,0.)); #494874=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.9999999999963)); #494875=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.569167067419)); #494876=CARTESIAN_POINT('Ctrl Pts',(-22.8978924197258,-26.8475543842325, -40.6376353821042)); #494877=CARTESIAN_POINT('Ctrl Pts',(-23.3874068472951,-26.4234619611826, -39.8421669666421)); #494878=CARTESIAN_POINT('Ctrl Pts',(-23.7914708718178,-26.0575625547526, -39.4526955423315)); #494879=CARTESIAN_POINT('Ctrl Pts',(-24.0844440518296,-25.7881615576448, -39.2295664982369)); #494880=CARTESIAN_POINT('Ctrl Pts',(-24.6442977210735,-25.2589029890746, -38.9667490190242)); #494881=CARTESIAN_POINT('Ctrl Pts',(-25.2582790351612,-24.6451158864212, -38.9669562198511)); #494882=CARTESIAN_POINT('Ctrl Pts',(-25.8639302251446,-24.0040799579824, -39.2693232067302)); #494883=CARTESIAN_POINT('Ctrl Pts',(-26.3770485123321,-23.44083756278,-39.7562906375488)); #494884=CARTESIAN_POINT('Ctrl Pts',(-26.8461727940936,-22.8994724752506, -40.6364838327234)); #494885=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.5691670674188)); #494886=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.9999999999963)); #494887=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,-71.9999999999963)); #494888=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,-41.9999999999963)); #494889=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,0.)); #494890=CARTESIAN_POINT('',(-22.7392488858749,26.9797397609892,-71.9999999999964)); #494891=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -71.9999999999963)); #494892=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -72.4523745148286)); #494893=CARTESIAN_POINT('Ctrl Pts',(-22.920207732289,26.8285272980378,-73.4347630024096)); #494894=CARTESIAN_POINT('Ctrl Pts',(-23.4464026439347,26.3713992192347, -74.236196686671)); #494895=CARTESIAN_POINT('Ctrl Pts',(-23.8877515919299,25.9689924251383, -74.6215917491207)); #494896=CARTESIAN_POINT('Ctrl Pts',(-24.2043106257692,25.6754603137266, -74.8327837928764)); #494897=CARTESIAN_POINT('Ctrl Pts',(-24.628897585533,25.2698646678825,-74.9936107184284)); #494898=CARTESIAN_POINT('Ctrl Pts',(-25.1107020623995,24.7912143910335, -75.0190101344667)); #494899=CARTESIAN_POINT('Ctrl Pts',(-25.7653772813,24.1149640423043,-74.8412052918269)); #494900=CARTESIAN_POINT('Ctrl Pts',(-26.1927234013329,23.6439911683981, -74.4423665210046)); #494901=CARTESIAN_POINT('Ctrl Pts',(-26.6175956648167,23.1645091592951, -73.8032908297479)); #494902=CARTESIAN_POINT('Ctrl Pts',(-26.9049964262286,22.8287405891656, -73.0980075886629)); #494903=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -72.301583181549)); #494904=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -71.9999999999963)); #494905=CARTESIAN_POINT('',(-22.739248885875,26.9797397609891,-41.9999999999963)); #494906=CARTESIAN_POINT('',(-22.739248885875,26.9797397609891,0.)); #494907=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.9999999999963)); #494908=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.7361148448862)); #494909=CARTESIAN_POINT('Ctrl Pts',(-26.9351791199015,22.7924524647956, -41.1859077673439)); #494910=CARTESIAN_POINT('Ctrl Pts',(-26.7063412213143,23.0610241476138, -40.3959881925608)); #494911=CARTESIAN_POINT('Ctrl Pts',(-26.3681077225077,23.4482344277374, -39.7754079077343)); #494912=CARTESIAN_POINT('Ctrl Pts',(-25.9155914694799,23.948903272064,-39.2984547518443)); #494913=CARTESIAN_POINT('Ctrl Pts',(-25.4509734212263,24.4409687401906, -39.0640158204356)); #494914=CARTESIAN_POINT('Ctrl Pts',(-25.0706540255623,24.8291172197619, -38.998359189222)); #494915=CARTESIAN_POINT('Ctrl Pts',(-24.6970559655265,25.2022585593415, -39.0029263971866)); #494916=CARTESIAN_POINT('Ctrl Pts',(-24.2001540640989,25.6816163246212, -39.1463669377702)); #494917=CARTESIAN_POINT('Ctrl Pts',(-23.6472930339469,26.1900889921656, -39.5559170931305)); #494918=CARTESIAN_POINT('Ctrl Pts',(-23.2178272858062,26.5704422134798, -40.1062746676374)); #494919=CARTESIAN_POINT('Ctrl Pts',(-22.9961646025576,26.7612617681203, -40.5925702362495)); #494920=CARTESIAN_POINT('Ctrl Pts',(-22.7971838816837,26.9311598242495, -41.2208696199048)); #494921=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.6230210230554)); #494922=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.9999999999963)); #494923=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,-71.9999999999963)); #494924=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,-41.9999999999963)); #494925=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,0.)); #494926=CARTESIAN_POINT('',(2.99847985335299,35.1566340259346,-71.9999999999963)); #494927=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-71.9999999999963)); #494928=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-72.2638851551065)); #494929=CARTESIAN_POINT('Ctrl Pts',(2.92919175799491,35.1628828640525,-72.8173586962704)); #494930=CARTESIAN_POINT('Ctrl Pts',(2.46314635406514,35.199843464431,-73.8600849660023)); #494931=CARTESIAN_POINT('Ctrl Pts',(1.6644431944176,35.2516536310539,-74.6025954812563)); #494932=CARTESIAN_POINT('Ctrl Pts',(0.573825811525727,35.2827781269774, -74.9828437484492)); #494933=CARTESIAN_POINT('Ctrl Pts',(-0.224712139355435,35.286557940586, -75.0240613804536)); #494934=CARTESIAN_POINT('Ctrl Pts',(-1.04646918138161,35.2718356842161, -74.8538817734599)); #494935=CARTESIAN_POINT('Ctrl Pts',(-1.80016088704691,35.2403187608639, -74.4429937698031)); #494936=CARTESIAN_POINT('Ctrl Pts',(-2.37063799188117,35.2056647501411, -73.8938802377274)); #494937=CARTESIAN_POINT('Ctrl Pts',(-2.66286853330323,35.1838182475566, -73.407182720336)); #494938=CARTESIAN_POINT('Ctrl Pts',(-2.92335578327562,35.1632544888337, -72.7790509760548)); #494939=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -72.3769789769375)); #494940=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -71.9999999999963)); #494941=CARTESIAN_POINT('',(2.99847985335284,35.1566340259346,-41.9999999999963)); #494942=CARTESIAN_POINT('',(2.99847985335284,35.1566340259346,0.)); #494943=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -41.9999999999963)); #494944=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335298,35.1566340259346, -41.569167067419)); #494945=CARTESIAN_POINT('Ctrl Pts',(-2.84980129716683,35.1703504571577, -40.8733892767555)); #494946=CARTESIAN_POINT('Ctrl Pts',(-2.35196776618679,35.2066638705364, -40.1018914663581)); #494947=CARTESIAN_POINT('Ctrl Pts',(-1.7467817630856,35.2441115606819,-39.489011658965)); #494948=CARTESIAN_POINT('Ctrl Pts',(-0.557955263045722,35.2917168241901, -38.910458734209)); #494949=CARTESIAN_POINT('Ctrl Pts',(0.550026409948759,35.2852401279264, -38.983899799241)); #494950=CARTESIAN_POINT('Ctrl Pts',(1.30241444794465,35.2608276970587,-39.2880542261287)); #494951=CARTESIAN_POINT('Ctrl Pts',(1.54918514301963,35.2505386131231,-39.4268548269495)); #494952=CARTESIAN_POINT('Ctrl Pts',(1.73731476770919,35.2416030840896,-39.5529643431438)); #494953=CARTESIAN_POINT('Ctrl Pts',(2.17952125883874,35.2188922143173,-39.8884245043511)); #494954=CARTESIAN_POINT('Ctrl Pts',(2.7924256874342,35.1751653587151,-40.6397522844885)); #494955=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,35.1566340259346,-41.5691670674188)); #494956=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-41.9999999999963)); #494957=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,-71.9999999999963)); #494958=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,-41.9999999999963)); #494959=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,0.)); #494960=CARTESIAN_POINT('',(-10.6836272142877,33.6279631707096,-71.9999999999963)); #494961=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -71.9999999999963)); #494962=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -72.4308329325739)); #494963=CARTESIAN_POINT('Ctrl Pts',(-10.811998193001,33.5881306270971,-73.0644996244261)); #494964=CARTESIAN_POINT('Ctrl Pts',(-11.2648688940847,33.4386110124933, -73.8554371725983)); #494965=CARTESIAN_POINT('Ctrl Pts',(-11.6161805551442,33.3185529962498, -74.2468922904557)); #494966=CARTESIAN_POINT('Ctrl Pts',(-12.0039905180507,33.1801195993772, -74.5422344249468)); #494967=CARTESIAN_POINT('Ctrl Pts',(-12.2622652112906,33.0854711911423, -74.6945425954865)); #494968=CARTESIAN_POINT('Ctrl Pts',(-12.5564723192479,32.9751261916402, -74.8308354101979)); #494969=CARTESIAN_POINT('Ctrl Pts',(-13.1747187923505,32.7366617122297, -75.0273639577054)); #494970=CARTESIAN_POINT('Ctrl Pts',(-13.9069819397115,32.4333374808014, -75.0269594422414)); #494971=CARTESIAN_POINT('Ctrl Pts',(-14.5833423768566,32.1306400729494, -74.7746537902761)); #494972=CARTESIAN_POINT('Ctrl Pts',(-14.9492632020958,31.9613758341701, -74.5570928162879)); #494973=CARTESIAN_POINT('Ctrl Pts',(-15.4470032815815,31.7262640061101, -74.1760313739913)); #494974=CARTESIAN_POINT('Ctrl Pts',(-16.0342115519357,31.4322801805675, -73.3666942128163)); #494975=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -72.430832932574)); #494976=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -71.9999999999963)); #494977=CARTESIAN_POINT('',(-10.6836272142878,33.6279631707095,-41.9999999999963)); #494978=CARTESIAN_POINT('',(-10.6836272142878,33.6279631707095,0.)); #494979=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.9999999999963)); #494980=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.7361148448863)); #494981=CARTESIAN_POINT('Ctrl Pts',(-16.1625677539262,31.3651266692748, -41.1859101621239)); #494982=CARTESIAN_POINT('Ctrl Pts',(-15.8483741669842,31.5256806278609, -40.395994877103)); #494983=CARTESIAN_POINT('Ctrl Pts',(-15.3877090943565,31.7539794951087, -39.7754162818641)); #494984=CARTESIAN_POINT('Ctrl Pts',(-14.7780453863471,32.0433645769632, -39.2984678578805)); #494985=CARTESIAN_POINT('Ctrl Pts',(-14.1604902109202,32.3201715716204, -39.0640302641705)); #494986=CARTESIAN_POINT('Ctrl Pts',(-13.6605833756751,32.5332318704589, -38.9983737991739)); #494987=CARTESIAN_POINT('Ctrl Pts',(-13.172629095624,32.7349996043554,-39.0029406874446)); #494988=CARTESIAN_POINT('Ctrl Pts',(-12.5301099376373,32.98771211376,-39.1463800924169)); #494989=CARTESIAN_POINT('Ctrl Pts',(-11.8247475048156,33.2459095188727, -39.5559284473564)); #494990=CARTESIAN_POINT('Ctrl Pts',(-11.2824162907642,33.4329616316044, -40.106281335014)); #494991=CARTESIAN_POINT('Ctrl Pts',(-11.0046005209023,33.5244304416466, -40.5925752957064)); #494992=CARTESIAN_POINT('Ctrl Pts',(-10.7557462363925,33.6052504817902, -41.2208719885449)); #494993=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.6230210230552)); #494994=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.9999999999963)); #494995=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,-71.9999999999963)); #494996=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,-41.9999999999963)); #494997=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,0.)); #494998=CARTESIAN_POINT('',(-31.3330260463922,16.2240955446082,-71.9999999999963)); #494999=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -71.9999999999963)); #495000=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -72.3769789769369)); #495001=CARTESIAN_POINT('Ctrl Pts',(-31.3676648475845,16.1578142225938, -72.7789560932791)); #495002=CARTESIAN_POINT('Ctrl Pts',(-31.4806838944824,15.9362278556803, -73.3789356397993)); #495003=CARTESIAN_POINT('Ctrl Pts',(-31.5957233780349,15.7084985377294, -73.8190758864423)); #495004=CARTESIAN_POINT('Ctrl Pts',(-31.8112621742524,15.2687960826212, -74.3315033901456)); #495005=CARTESIAN_POINT('Ctrl Pts',(-32.0810774306599,14.6951795386817, -74.7404379834367)); #495006=CARTESIAN_POINT('Ctrl Pts',(-32.4712037967895,13.821267027881,-75.0491835962107)); #495007=CARTESIAN_POINT('Ctrl Pts',(-32.9359009763393,12.68558359583,-74.9974837756988)); #495008=CARTESIAN_POINT('Ctrl Pts',(-33.2444738118732,11.8289633755822, -74.4570214322126)); #495009=CARTESIAN_POINT('Ctrl Pts',(-33.4382927608034,11.2665692400289, -73.8555248117668)); #495010=CARTESIAN_POINT('Ctrl Pts',(-33.5111227333904,11.0462598347182, -73.518912788826)); #495011=CARTESIAN_POINT('Ctrl Pts',(-33.6055583904056,10.7551030182075, -72.779181040878)); #495012=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -72.3769789769376)); #495013=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -71.9999999999963)); #495014=CARTESIAN_POINT('',(-31.3330260463922,16.2240955446081,-41.9999999999963)); #495015=CARTESIAN_POINT('',(-31.3330260463922,16.2240955446081,0.)); #495016=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.9999999999963)); #495017=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.5476254851644)); #495018=CARTESIAN_POINT('Ctrl Pts',(-33.5582368008546,10.906707334516,-40.5659540047594)); #495019=CARTESIAN_POINT('Ctrl Pts',(-33.3477021501001,11.5363544604495, -39.8059702278578)); #495020=CARTESIAN_POINT('Ctrl Pts',(-33.1846885381292,11.9904727032247, -39.473938121295)); #495021=CARTESIAN_POINT('Ctrl Pts',(-33.1145224742837,12.1834359785662, -39.350474189659)); #495022=CARTESIAN_POINT('Ctrl Pts',(-32.9836395509172,12.5364106500698, -39.1663578976766)); #495023=CARTESIAN_POINT('Ctrl Pts',(-32.7710859937126,13.0847866133623, -39.0052384429693)); #495024=CARTESIAN_POINT('Ctrl Pts',(-32.5137095983935,13.7119091084177, -38.9800909529453)); #495025=CARTESIAN_POINT('Ctrl Pts',(-32.1389523669072,14.5769364788815, -39.1577345525144)); #495026=CARTESIAN_POINT('Ctrl Pts',(-31.8678756098675,15.1502782401122, -39.558537278448)); #495027=CARTESIAN_POINT('Ctrl Pts',(-31.6113875505085,15.6775003966805, -40.1442914691821)); #495028=CARTESIAN_POINT('Ctrl Pts',(-31.4032426381726,16.0901318581409, -40.8189112796494)); #495029=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.6230210230554)); #495030=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.9999999999963)); #495031=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,-71.9999999999963)); #495032=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,-41.9999999999963)); #495033=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,0.)); #495034=CARTESIAN_POINT('',(-33.6279631707096,-10.6836272142877,-71.9999999999963)); #495035=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -71.9999999999963)); #495036=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -72.4523746435771)); #495037=CARTESIAN_POINT('Ctrl Pts',(-33.5773897131587,-10.8460633563856, -73.1837991864909)); #495038=CARTESIAN_POINT('Ctrl Pts',(-33.4071082106039,-11.35890332218,-73.9750427246389)); #495039=CARTESIAN_POINT('Ctrl Pts',(-33.2432063509058,-11.831687368641, -74.4390286392698)); #495040=CARTESIAN_POINT('Ctrl Pts',(-33.097618309557,-12.2308445732471, -74.6824141524862)); #495041=CARTESIAN_POINT('Ctrl Pts',(-32.7593496346246,-13.1301270054864, -75.0764484386751)); #495042=CARTESIAN_POINT('Ctrl Pts',(-32.3698859559582,-14.0683298498162, -75.0837501979865)); #495043=CARTESIAN_POINT('Ctrl Pts',(-31.9160320541455,-15.047416418432, -74.5039498940897)); #495044=CARTESIAN_POINT('Ctrl Pts',(-31.6618374608314,-15.5771953758491, -74.0187391553767)); #495045=CARTESIAN_POINT('Ctrl Pts',(-31.4056764113403,-16.0851679200147, -73.1866779794001)); #495046=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -72.3769787838144)); #495047=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -71.9999999999963)); #495048=CARTESIAN_POINT('',(-33.6279631707095,-10.6836272142878,-41.9999999999963)); #495049=CARTESIAN_POINT('',(-33.6279631707095,-10.6836272142878,0.)); #495050=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.9999999999963)); #495051=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.7361148448863)); #495052=CARTESIAN_POINT('Ctrl Pts',(-31.3651266692748,-16.1625677539262, -41.1859101621239)); #495053=CARTESIAN_POINT('Ctrl Pts',(-31.5256806278609,-15.8483741669842, -40.395994877103)); #495054=CARTESIAN_POINT('Ctrl Pts',(-31.7539794951087,-15.3877090943565, -39.7754162818641)); #495055=CARTESIAN_POINT('Ctrl Pts',(-32.0433645769632,-14.7780453863471, -39.2984678578805)); #495056=CARTESIAN_POINT('Ctrl Pts',(-32.3201715716203,-14.1604902109202, -39.0640302641705)); #495057=CARTESIAN_POINT('Ctrl Pts',(-32.5332318704589,-13.6605833756751, -38.9983737991739)); #495058=CARTESIAN_POINT('Ctrl Pts',(-32.7349996043554,-13.172629095624, -39.0029406874445)); #495059=CARTESIAN_POINT('Ctrl Pts',(-32.9877121137599,-12.5301099376373, -39.1463800924169)); #495060=CARTESIAN_POINT('Ctrl Pts',(-33.2459095188726,-11.8247475048156, -39.5559284473563)); #495061=CARTESIAN_POINT('Ctrl Pts',(-33.4329616316044,-11.2824162907642, -40.106281335014)); #495062=CARTESIAN_POINT('Ctrl Pts',(-33.5244304416466,-11.0046005209023, -40.5925752957064)); #495063=CARTESIAN_POINT('Ctrl Pts',(-33.6052504817902,-10.7557462363925, -41.2208719885449)); #495064=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.6230210230553)); #495065=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.9999999999963)); #495066=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,-71.9999999999963)); #495067=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,-41.9999999999963)); #495068=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,0.)); #495069=CARTESIAN_POINT('',(-16.2240955446082,-31.3330260463922,-71.9999999999963)); #495070=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -71.9999999999963)); #495071=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -72.3015831815491)); #495072=CARTESIAN_POINT('Ctrl Pts',(-16.1207975350165,-31.3871017339823, -73.09800976322)); #495073=CARTESIAN_POINT('Ctrl Pts',(-15.7267832795527,-31.5873271130068, -73.8032944695825)); #495074=CARTESIAN_POINT('Ctrl Pts',(-15.1507652262079,-31.8677183426245, -74.4423717089643)); #495075=CARTESIAN_POINT('Ctrl Pts',(-14.5757192135103,-32.1393009967265, -74.841212553798)); #495076=CARTESIAN_POINT('Ctrl Pts',(-13.7120896107089,-32.513541057199, -75.0190178954184)); #495077=CARTESIAN_POINT('Ctrl Pts',(-13.0837896473758,-32.771377315572, -74.9936184448559)); #495078=CARTESIAN_POINT('Ctrl Pts',(-12.5363080931246,-32.983616246459, -74.8327911901646)); #495079=CARTESIAN_POINT('Ctrl Pts',(-12.1315156819413,-33.1336626990523, -74.6215990313922)); #495080=CARTESIAN_POINT('Ctrl Pts',(-11.5697662337893,-33.3365417481535, -74.2362027921927)); #495081=CARTESIAN_POINT('Ctrl Pts',(-10.9086863346202,-33.5575082760422, -73.4347663168225)); #495082=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -72.4523745148283)); #495083=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -71.9999999999963)); #495084=CARTESIAN_POINT('',(-16.2240955446081,-31.3330260463922,-41.9999999999963)); #495085=CARTESIAN_POINT('',(-16.2240955446081,-31.3330260463922,0.)); #495086=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.9999999999963)); #495087=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.7361148448863)); #495088=CARTESIAN_POINT('Ctrl Pts',(-10.7500526443784,-33.6072127056268, -41.1826089843865)); #495089=CARTESIAN_POINT('Ctrl Pts',(-11.1947901515847,-33.4630027664042, -40.1398552319588)); #495090=CARTESIAN_POINT('Ctrl Pts',(-11.9525579804336,-33.2052067575438, -39.3972437527565)); #495091=CARTESIAN_POINT('Ctrl Pts',(-12.9720911597208,-32.8165926495935, -39.0169432719369)); #495092=CARTESIAN_POINT('Ctrl Pts',(-13.7112915122341,-32.5144964067417, -38.9757346634212)); #495093=CARTESIAN_POINT('Ctrl Pts',(-14.4648641647201,-32.1864213711883, -39.1459058424448)); #495094=CARTESIAN_POINT('Ctrl Pts',(-15.1491039336215,-31.8688844651973, -39.5568515742621)); #495095=CARTESIAN_POINT('Ctrl Pts',(-15.662881020543,-31.6185598182721, -40.1060196483842)); #495096=CARTESIAN_POINT('Ctrl Pts',(-15.9244851520477,-31.4865532398271, -40.5927438677314)); #495097=CARTESIAN_POINT('Ctrl Pts',(-16.1572507099332,-31.36787989344,-41.2209135566225)); #495098=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.6230210230553)); #495099=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.9999999999963)); #495100=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,-71.9999999999963)); #495101=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,-41.9999999999963)); #495102=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,0.)); #495103=CARTESIAN_POINT('',(10.6836272142877,-33.6279631707096,-71.9999999999963)); #495104=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -71.9999999999963)); #495105=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -72.4523745148281)); #495106=CARTESIAN_POINT('Ctrl Pts',(10.9069592172154,-33.5581601541444, -73.4342154912846)); #495107=CARTESIAN_POINT('Ctrl Pts',(11.5366537300735,-33.3476097814803, -74.1942530810864)); #495108=CARTESIAN_POINT('Ctrl Pts',(11.9908391725251,-33.1845750735333, -74.5263381739447)); #495109=CARTESIAN_POINT('Ctrl Pts',(12.1837981819429,-33.1144105198619, -74.6498002029363)); #495110=CARTESIAN_POINT('Ctrl Pts',(12.5367853562294,-32.983523574242,-74.8339257431517)); #495111=CARTESIAN_POINT('Ctrl Pts',(13.0851331358693,-32.7709821105026, -74.9950461734071)); #495112=CARTESIAN_POINT('Ctrl Pts',(13.7122209691091,-32.5136196478123, -75.0201872306886)); #495113=CARTESIAN_POINT('Ctrl Pts',(14.5772375310006,-32.1388654816599, -74.8425318872903)); #495114=CARTESIAN_POINT('Ctrl Pts',(15.1503997067324,-31.8678455594431, -74.4415734872627)); #495115=CARTESIAN_POINT('Ctrl Pts',(15.6775733808103,-31.61137048579,-73.8557760233492)); #495116=CARTESIAN_POINT('Ctrl Pts',(16.0901754767627,-31.403235950532,-73.1811333423016)); #495117=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -72.3769789769373)); #495118=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -71.9999999999963)); #495119=CARTESIAN_POINT('',(10.6836272142878,-33.6279631707095,-41.9999999999963)); #495120=CARTESIAN_POINT('',(10.6836272142878,-33.6279631707095,0.)); #495121=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.9999999999963)); #495122=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.5691670674189)); #495123=CARTESIAN_POINT('Ctrl Pts',(16.0408643709427,-31.4289776354642, -40.6397814087302)); #495124=CARTESIAN_POINT('Ctrl Pts',(15.4913641980386,-31.7039164466773, -39.8884688799284)); #495125=CARTESIAN_POINT('Ctrl Pts',(15.0915174834944,-31.8941198394565, -39.5530149310027)); #495126=CARTESIAN_POINT('Ctrl Pts',(14.9211277053548,-31.9743693559883, -39.42690532324)); #495127=CARTESIAN_POINT('Ctrl Pts',(14.6970796501605,-32.0783097897773, -39.2881059063388)); #495128=CARTESIAN_POINT('Ctrl Pts',(14.0113092237049,-32.3887888394625, -38.9839537913088)); #495129=CARTESIAN_POINT('Ctrl Pts',(12.990113794297,-32.818792986549,-38.9105009992539)); #495130=CARTESIAN_POINT('Ctrl Pts',(11.873545293007,-33.2297645232478,-39.4890387423029)); #495131=CARTESIAN_POINT('Ctrl Pts',(11.3000914291598,-33.4267641853123, -40.1019162208715)); #495132=CARTESIAN_POINT('Ctrl Pts',(10.8262529773141,-33.583729470805,-40.8734104372917)); #495133=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.5691670674189)); #495134=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.9999999999963)); #495135=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,-71.9999999999963)); #495136=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,-41.9999999999963)); #495137=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,0.)); #495138=CARTESIAN_POINT('',(31.3330260463922,-16.2240955446083,-71.9999999999963)); #495139=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -71.9999999999963)); #495140=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -72.4523745148285)); #495141=CARTESIAN_POINT('Ctrl Pts',(31.4415874913289,-16.0168176766075, -73.4342155714107)); #495142=CARTESIAN_POINT('Ctrl Pts',(31.7379671649938,-15.4226748006918, -74.1942531844437)); #495143=CARTESIAN_POINT('Ctrl Pts',(31.9438418382755,-14.9862342190299, -74.5263383009801)); #495144=CARTESIAN_POINT('Ctrl Pts',(32.0306706297804,-14.800177765045,-74.6498003291267)); #495145=CARTESIAN_POINT('Ctrl Pts',(32.1877192102519,-14.4580270884206, -74.8339258734965)); #495146=CARTESIAN_POINT('Ctrl Pts',(32.4251701286618,-13.9199971565679, -74.9950463042288)); #495147=CARTESIAN_POINT('Ctrl Pts',(32.6866054390712,-13.2945963693599, -75.0201873585818)); #495148=CARTESIAN_POINT('Ctrl Pts',(33.0332733011106,-12.4179460850323, -74.8425320098711)); #495149=CARTESIAN_POINT('Ctrl Pts',(33.2469200977313,-11.8210192753226, -74.4415735393299)); #495150=CARTESIAN_POINT('Ctrl Pts',(33.4383329019259,-11.266895952365,-73.8557760551396)); #495151=CARTESIAN_POINT('Ctrl Pts',(33.5829132944805,-10.8279688838356, -73.1811333636707)); #495152=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -72.3769789769374)); #495153=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -71.9999999999963)); #495154=CARTESIAN_POINT('',(31.3330260463922,-16.2240955446081,-41.9999999999963)); #495155=CARTESIAN_POINT('',(31.3330260463922,-16.2240955446081,0.)); #495156=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.9999999999963)); #495157=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.7361148448863)); #495158=CARTESIAN_POINT('Ctrl Pts',(33.6071549615213,-10.7498326587614, -41.1859097965474)); #495159=CARTESIAN_POINT('Ctrl Pts',(33.4985151714688,-11.0855303472783, -40.3959938575029)); #495160=CARTESIAN_POINT('Ctrl Pts',(33.3342074017163,-11.5727015641831, -39.775415004536)); #495161=CARTESIAN_POINT('Ctrl Pts',(33.1077359634077,-12.2084257705805, -39.2984658611309)); #495162=CARTESIAN_POINT('Ctrl Pts',(32.8667905451351,-12.8408355218212, -39.0640280639755)); #495163=CARTESIAN_POINT('Ctrl Pts',(32.6639593864331,-13.3449794888508, -38.9983715736936)); #495164=CARTESIAN_POINT('Ctrl Pts',(32.4615949170252,-13.832686650606,-39.0029385105736)); #495165=CARTESIAN_POINT('Ctrl Pts',(32.1859599475472,-14.4657111195821, -39.1463780881033)); #495166=CARTESIAN_POINT('Ctrl Pts',(31.8697666001535,-15.1470505944892, -39.5559267171498)); #495167=CARTESIAN_POINT('Ctrl Pts',(31.6185464185334,-15.6628021987162, -40.1062803175302)); #495168=CARTESIAN_POINT('Ctrl Pts',(31.4867793815567,-15.9239254165357, -40.5925745239209)); #495169=CARTESIAN_POINT('Ctrl Pts',(31.3679613967964,-16.1570399120803, -41.220871627014)); #495170=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.6230210230553)); #495171=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.9999999999963)); #495172=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,-71.9999999999963)); #495173=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,-41.9999999999963)); #495174=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,0.)); #495175=CARTESIAN_POINT('',(33.6279631707096,10.6836272142877,-71.9999999999963)); #495176=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-71.9999999999963)); #495177=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-72.3769789769372)); #495178=CARTESIAN_POINT('Ctrl Pts',(33.6059504483388,10.7538993772025,-72.7778139997892)); #495179=CARTESIAN_POINT('Ctrl Pts',(33.4997696679509,11.0807774042891,-73.5949708259856)); #495180=CARTESIAN_POINT('Ctrl Pts',(33.3280710020753,11.6019254521815,-74.3545314169784)); #495181=CARTESIAN_POINT('Ctrl Pts',(32.8026249197404,13.0435841694575,-75.1487501208689)); #495182=CARTESIAN_POINT('Ctrl Pts',(32.2215407794557,14.3999480692086,-74.965079207436)); #495183=CARTESIAN_POINT('Ctrl Pts',(31.706546126526,15.4885148080291,-74.161569013597)); #495184=CARTESIAN_POINT('Ctrl Pts',(31.5233729198872,15.8520984334766,-73.5954498061284)); #495185=CARTESIAN_POINT('Ctrl Pts',(31.3669627605789,16.159109456028,-72.777535624187)); #495186=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-72.3769789769375)); #495187=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-71.9999999999963)); #495188=CARTESIAN_POINT('',(33.6279631707095,10.6836272142878,-41.9999999999963)); #495189=CARTESIAN_POINT('',(33.6279631707095,10.6836272142878,0.)); #495190=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.9999999999964)); #495191=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.5691670674189)); #495192=CARTESIAN_POINT('Ctrl Pts',(31.4290115354017,16.0412601345136,-40.6376116408206)); #495193=CARTESIAN_POINT('Ctrl Pts',(31.7189725803279,15.4621178864508,-39.8421353181115)); #495194=CARTESIAN_POINT('Ctrl Pts',(31.9522564143751,14.9694411874711,-39.4526581933188)); #495195=CARTESIAN_POINT('Ctrl Pts',(32.1198328982777,14.6084315387621,-39.2295289220448)); #495196=CARTESIAN_POINT('Ctrl Pts',(32.4345315941053,13.9052146650928,-38.9667086552552)); #495197=CARTESIAN_POINT('Ctrl Pts',(32.7668865778708,13.1031969077512,-38.9669199479211)); #495198=CARTESIAN_POINT('Ctrl Pts',(33.0811199759816,12.2791876801019,-39.2692884859515)); #495199=CARTESIAN_POINT('Ctrl Pts',(33.3396359477085,11.5624561457764,-39.7562623464852)); #495200=CARTESIAN_POINT('Ctrl Pts',(33.565879144966,10.8827713648538,-40.6364646778281)); #495201=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.5691670674189)); #495202=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.9999999999963)); #495203=CARTESIAN_POINT('Origin',(2.30969883127822,-0.956708580912726,-41.9999999999963)); #495204=CARTESIAN_POINT('Origin',(20.267956575293,24.1556761386489,-36.9999999999963)); #495205=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-41.9999999999963)); #495206=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-41.9999999999963)); #495207=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-71.9999999999963)); #495208=CARTESIAN_POINT('',(19.8995527126664,24.1394579334455,-71.9999999999963)); #495209=CARTESIAN_POINT('',(20.6363604379196,24.1718943438524,-36.9999999999963)); #495210=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.9999999999963)); #495211=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-41.5690758501199)); #495212=CARTESIAN_POINT('Ctrl Pts',(20.0156768340313,24.0452229330893,-40.8732308271004)); #495213=CARTESIAN_POINT('Ctrl Pts',(20.3971940293729,23.7216225570928,-40.1016014790624)); #495214=CARTESIAN_POINT('Ctrl Pts',(20.8538961064507,23.3246876167549,-39.4891623496604)); #495215=CARTESIAN_POINT('Ctrl Pts',(21.6967248283237,22.5541847757175,-38.9354439420207)); #495216=CARTESIAN_POINT('Ctrl Pts',(22.3906549256061,21.855212307148,-38.9741432605219)); #495217=CARTESIAN_POINT('Ctrl Pts',(22.8423233940921,21.3767322223902,-39.1792372214234)); #495218=CARTESIAN_POINT('Ctrl Pts',(23.0213099951994,21.1840196491453,-39.2887622145913)); #495219=CARTESIAN_POINT('Ctrl Pts',(23.2241184369316,20.9612789984281,-39.4555400145231)); #495220=CARTESIAN_POINT('Ctrl Pts',(23.5868448059515,20.5555628120608,-39.8447248133772)); #495221=CARTESIAN_POINT('Ctrl Pts',(24.0078188690351,20.0608569903627,-40.6392058540776)); #495222=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.5690758501203)); #495223=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-41.9999999999963)); #495224=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,24.1502700702479,-41.9999999999963)); #495225=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,24.1502700702479,-41.5949976584836)); #495226=CARTESIAN_POINT('Ctrl Pts',(20.2531948021918,24.0617941074556,-40.9409039586295)); #495227=CARTESIAN_POINT('Ctrl Pts',(20.6103966926278,23.756522035973,-40.2124979791472)); #495228=CARTESIAN_POINT('Ctrl Pts',(21.039405957111,23.3812032821463,-39.632570931645)); #495229=CARTESIAN_POINT('Ctrl Pts',(21.835591435631,22.650190027448,-39.1052844258158)); #495230=CARTESIAN_POINT('Ctrl Pts',(22.4948900851485,21.9863535978321,-39.1421084726669)); #495231=CARTESIAN_POINT('Ctrl Pts',(22.9235612036561,21.5335143406886,-39.3374586297437)); #495232=CARTESIAN_POINT('Ctrl Pts',(23.0934003980225,21.3513095339234,-39.4416737076647)); #495233=CARTESIAN_POINT('Ctrl Pts',(23.2856885380437,21.1410773728833,-39.6001793443296)); #495234=CARTESIAN_POINT('Ctrl Pts',(23.6293199169752,20.7587695113462,-39.9695441179684)); #495235=CARTESIAN_POINT('Ctrl Pts',(24.026661467768,20.29527575228,-40.7209109615992)); #495236=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,20.1451552877506,-41.5949976584838)); #495237=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,20.1451552877506,-41.9999999999963)); #495238=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,24.1610822070501,-41.9999999999963)); #495239=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,24.1610822070501,-41.6209194668472)); #495240=CARTESIAN_POINT('Ctrl Pts',(20.4907127703523,24.0783652818219,-41.0085770901586)); #495241=CARTESIAN_POINT('Ctrl Pts',(20.8235993558828,23.7914215148533,-40.3233944792319)); #495242=CARTESIAN_POINT('Ctrl Pts',(21.2249158077714,23.4377189475377,-39.7759795136295)); #495243=CARTESIAN_POINT('Ctrl Pts',(21.9744580429384,22.7461952791785,-39.2751249096109)); #495244=CARTESIAN_POINT('Ctrl Pts',(22.5991252446908,22.1174948885162,-39.3100736848119)); #495245=CARTESIAN_POINT('Ctrl Pts',(23.0047990132202,21.690296458987,-39.495680038064)); #495246=CARTESIAN_POINT('Ctrl Pts',(23.1654908008455,21.5185994187015,-39.594585200738)); #495247=CARTESIAN_POINT('Ctrl Pts',(23.3472586391559,21.3208757473386,-39.744818674136)); #495248=CARTESIAN_POINT('Ctrl Pts',(23.6717950279989,20.9619762106316,-40.0943634225595)); #495249=CARTESIAN_POINT('Ctrl Pts',(24.0455040665009,20.5296945141973,-40.8026160691208)); #495250=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,20.3907578628351,-41.6209194668473)); #495251=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,20.3907578628351,-41.9999999999963)); #495252=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.9999999999963)); #495253=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.6468412752109)); #495254=CARTESIAN_POINT('Ctrl Pts',(20.7282307385128,24.0949364561882,-41.0762502216878)); #495255=CARTESIAN_POINT('Ctrl Pts',(21.0368020191377,23.8263209937335,-40.4342909793166)); #495256=CARTESIAN_POINT('Ctrl Pts',(21.4104256584318,23.494234612929,-39.9193880956141)); #495257=CARTESIAN_POINT('Ctrl Pts',(22.1133246502457,22.8422005309089,-39.444965393406)); #495258=CARTESIAN_POINT('Ctrl Pts',(22.7033604042332,22.2486361792003,-39.478038896957)); #495259=CARTESIAN_POINT('Ctrl Pts',(23.0860368227843,21.8470785772854,-39.6539014463842)); #495260=CARTESIAN_POINT('Ctrl Pts',(23.2375812036686,21.6858893034796,-39.7474966938114)); #495261=CARTESIAN_POINT('Ctrl Pts',(23.4088287402681,21.5006741217938,-39.8894580039425)); #495262=CARTESIAN_POINT('Ctrl Pts',(23.7142701390226,21.165182909917,-40.2191827271507)); #495263=CARTESIAN_POINT('Ctrl Pts',(24.0643466652338,20.7641132761146,-40.8843211766424)); #495264=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.6468412752108)); #495265=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.9999999999963)); #495266=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-41.9999999999963)); #495267=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-41.9999999999963)); #495268=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.9999999999963)); #495269=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-41.6468412752109)); #495270=CARTESIAN_POINT('Ctrl Pts',(20.7282307385128,24.0949364561882,-41.0762502216878)); #495271=CARTESIAN_POINT('Ctrl Pts',(21.0368020191377,23.8263209937335,-40.4342909793166)); #495272=CARTESIAN_POINT('Ctrl Pts',(21.4104256584318,23.494234612929,-39.9193880956141)); #495273=CARTESIAN_POINT('Ctrl Pts',(22.1133246502457,22.8422005309089,-39.444965393406)); #495274=CARTESIAN_POINT('Ctrl Pts',(22.7033604042332,22.2486361792003,-39.478038896957)); #495275=CARTESIAN_POINT('Ctrl Pts',(23.0860368227843,21.8470785772854,-39.6539014463842)); #495276=CARTESIAN_POINT('Ctrl Pts',(23.2375812036686,21.6858893034796,-39.7474966938114)); #495277=CARTESIAN_POINT('Ctrl Pts',(23.4088287402681,21.5006741217938,-39.8894580039425)); #495278=CARTESIAN_POINT('Ctrl Pts',(23.7142701390226,21.165182909917,-40.2191827271507)); #495279=CARTESIAN_POINT('Ctrl Pts',(24.0643466652338,20.7641132761146,-40.8843211766424)); #495280=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.6468412752108)); #495281=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-41.9999999999963)); #495282=CARTESIAN_POINT('Origin',(24.1556761386489,20.267956575293,-36.9999999999963)); #495283=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-71.9999999999963)); #495284=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-71.9999999999963)); #495285=CARTESIAN_POINT('',(24.1718943438524,20.6363604379196,-36.9999999999963)); #495286=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-71.9999999999963)); #495287=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,19.8995527126661,-72.3770588919459)); #495288=CARTESIAN_POINT('Ctrl Pts',(24.0913792237823,19.9581932131705,-72.7792401714503)); #495289=CARTESIAN_POINT('Ctrl Pts',(23.9233404842541,20.1590452843239,-73.4074817764558)); #495290=CARTESIAN_POINT('Ctrl Pts',(23.7341315515873,20.3830800462697,-73.8938946553678)); #495291=CARTESIAN_POINT('Ctrl Pts',(23.357759615821,20.8147800863617,-74.4436547099649)); #495292=CARTESIAN_POINT('Ctrl Pts',(22.850517352207,21.3722129366055,-74.8540085419)); #495293=CARTESIAN_POINT('Ctrl Pts',(22.2800802273865,21.9661290694429,-75.0243225339742)); #495294=CARTESIAN_POINT('Ctrl Pts',(21.7144766577225,22.5255617715685,-74.9809669651544)); #495295=CARTESIAN_POINT('Ctrl Pts',(21.1168730739243,23.0863615522191,-74.7018852317672)); #495296=CARTESIAN_POINT('Ctrl Pts',(20.6153865108478,23.5334015755545,-74.2241331788764)); #495297=CARTESIAN_POINT('Ctrl Pts',(20.2241359770357,23.8694781576057,-73.6041463989727)); #495298=CARTESIAN_POINT('Ctrl Pts',(19.9535448923076,24.0952050117828,-72.8140972291089)); #495299=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-72.2639410157146)); #495300=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,24.1394579334457,-71.9999999999963)); #495301=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,20.1451552877506,-71.9999999999963)); #495302=CARTESIAN_POINT('Ctrl Pts',(24.1502029008168,20.1452525955834,-72.354321132343)); #495303=CARTESIAN_POINT('Ctrl Pts',(24.105094743505,20.199775314356,-72.7324413076207)); #495304=CARTESIAN_POINT('Ctrl Pts',(23.9467543981652,20.3875024928791,-73.3240759503648)); #495305=CARTESIAN_POINT('Ctrl Pts',(23.7683174651612,20.5971706519849,-73.7831801043821)); #495306=CARTESIAN_POINT('Ctrl Pts',(23.4123627926067,21.0028114279197,-74.3037851480335)); #495307=CARTESIAN_POINT('Ctrl Pts',(22.9313397426607,21.5290304465661,-74.6940336719971)); #495308=CARTESIAN_POINT('Ctrl Pts',(22.3896102798092,22.0919436281451,-74.8564627539006)); #495309=CARTESIAN_POINT('Ctrl Pts',(21.8531069919419,22.622857114015,-74.8150109385469)); #495310=CARTESIAN_POINT('Ctrl Pts',(21.2875445254164,23.1552574046949,-74.5491928662725)); #495311=CARTESIAN_POINT('Ctrl Pts',(20.8151727720353,23.578661043696,-74.0956680706659)); #495312=CARTESIAN_POINT('Ctrl Pts',(20.448191341371,23.8961157499313,-73.509372261879)); #495313=CARTESIAN_POINT('Ctrl Pts',(20.1955130886452,24.1086386135274,-72.7651290209832)); #495314=CARTESIAN_POINT('Ctrl Pts',(20.1450668340967,24.1503392604657,-72.2479597947624)); #495315=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,24.1502700702479,-71.9999999999963)); #495316=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,20.3907578628351,-71.9999999999963)); #495317=CARTESIAN_POINT('Ctrl Pts',(24.1611493764813,20.3906605550024,-72.3317518575285)); #495318=CARTESIAN_POINT('Ctrl Pts',(24.1188252043907,20.4413351829615,-72.6854807427139)); #495319=CARTESIAN_POINT('Ctrl Pts',(23.9703783084556,20.6157452247118,-73.2408562902638)); #495320=CARTESIAN_POINT('Ctrl Pts',(23.8027668758174,20.8109546135975,-73.6722474015252)); #495321=CARTESIAN_POINT('Ctrl Pts',(23.4672446383149,21.1905986442238,-74.16426748317)); #495322=CARTESIAN_POINT('Ctrl Pts',(23.0124145272998,21.68563805781,-74.5343117385872)); #495323=CARTESIAN_POINT('Ctrl Pts',(22.4996645336882,22.2172614745542,-74.6889025509363)); #495324=CARTESIAN_POINT('Ctrl Pts',(21.9917735487044,22.7202067297682,-74.6495400973705)); #495325=CARTESIAN_POINT('Ctrl Pts',(21.4584109868918,23.224005704186,-74.3968298829248)); #495326=CARTESIAN_POINT('Ctrl Pts',(21.0148502248427,23.6240185555004,-73.9672715864084)); #495327=CARTESIAN_POINT('Ctrl Pts',(20.6721967695645,23.9228050441226,-73.4146356800935)); #495328=CARTESIAN_POINT('Ctrl Pts',(20.4372481595627,24.1222443952785,-72.7160548340667)); #495329=CARTESIAN_POINT('Ctrl Pts',(20.3908463164891,24.1610130168323,-72.2322909185054)); #495330=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,24.1610822070501,-71.9999999999963)); #495331=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-71.9999999999963)); #495332=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-72.3090140979256)); #495333=CARTESIAN_POINT('Ctrl Pts',(24.1325407241135,20.6829172841469,-72.6386818788843)); #495334=CARTESIAN_POINT('Ctrl Pts',(23.9937922223667,20.844202433267,-73.1574504641728)); #495335=CARTESIAN_POINT('Ctrl Pts',(23.8369527893914,21.0250452193128,-73.5615328505396)); #495336=CARTESIAN_POINT('Ctrl Pts',(23.5218478151006,21.3786299857817,-74.0243979212387)); #495337=CARTESIAN_POINT('Ctrl Pts',(23.0932369177536,21.8424555677706,-74.3743368686844)); #495338=CARTESIAN_POINT('Ctrl Pts',(22.6091945861109,22.3430760332563,-74.5210427708626)); #495339=CARTESIAN_POINT('Ctrl Pts',(22.1304038829238,22.8175020722146,-74.4835840707631)); #495340=CARTESIAN_POINT('Ctrl Pts',(21.6290824383839,23.2929015566617,-74.24413751743)); #495341=CARTESIAN_POINT('Ctrl Pts',(21.2146364860302,23.6692780236419,-73.8388064781978)); #495342=CARTESIAN_POINT('Ctrl Pts',(20.8962521338998,23.9494426364482,-73.3198615429998)); #495343=CARTESIAN_POINT('Ctrl Pts',(20.6792163559003,24.135677997023,-72.6670866259409)); #495344=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-72.2163096975533)); #495345=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-71.9999999999963)); #495346=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-71.9999999999963)); #495347=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,20.6363604379196,-72.3090140979256)); #495348=CARTESIAN_POINT('Ctrl Pts',(24.1325407241135,20.6829172841469,-72.6386818788843)); #495349=CARTESIAN_POINT('Ctrl Pts',(23.9937922223667,20.844202433267,-73.1574504641728)); #495350=CARTESIAN_POINT('Ctrl Pts',(23.8369527893914,21.0250452193128,-73.5615328505396)); #495351=CARTESIAN_POINT('Ctrl Pts',(23.5218478151006,21.3786299857817,-74.0243979212387)); #495352=CARTESIAN_POINT('Ctrl Pts',(23.0932369177536,21.8424555677706,-74.3743368686844)); #495353=CARTESIAN_POINT('Ctrl Pts',(22.6091945861109,22.3430760332563,-74.5210427708626)); #495354=CARTESIAN_POINT('Ctrl Pts',(22.1304038829238,22.8175020722146,-74.4835840707631)); #495355=CARTESIAN_POINT('Ctrl Pts',(21.6290824383839,23.2929015566617,-74.24413751743)); #495356=CARTESIAN_POINT('Ctrl Pts',(21.2146364860302,23.6692780236419,-73.8388064781978)); #495357=CARTESIAN_POINT('Ctrl Pts',(20.8962521338998,23.9494426364482,-73.3198615429998)); #495358=CARTESIAN_POINT('Ctrl Pts',(20.6792163559003,24.135677997023,-72.6670866259409)); #495359=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-72.2163096975533)); #495360=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,24.1718943438524,-71.9999999999963)); #495361=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #495362=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-41.9999999999963)); #495363=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-41.9999999999963)); #495364=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-41.9999999999963)); #495365=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.9999999999963)); #495366=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.6360234136521)); #495367=CARTESIAN_POINT('Ctrl Pts',(26.1841046806,22.9017010095301,-40.8484130704158)); #495368=CARTESIAN_POINT('Ctrl Pts',(25.7815592518973,23.3546648287112,-40.1174599858939)); #495369=CARTESIAN_POINT('Ctrl Pts',(25.3510475304803,23.819764685378,-39.7185189220646)); #495370=CARTESIAN_POINT('Ctrl Pts',(24.8487613366452,24.3463272066701,-39.4729651557052)); #495371=CARTESIAN_POINT('Ctrl Pts',(24.3456534394662,24.8493031891743,-39.4727367497149)); #495372=CARTESIAN_POINT('Ctrl Pts',(23.8857941601027,25.2881783950466,-39.6861552720309)); #495373=CARTESIAN_POINT('Ctrl Pts',(23.6441672618982,25.5135481846867,-39.8687383901901)); #495374=CARTESIAN_POINT('Ctrl Pts',(23.3099646557025,25.8213675203816,-40.1887364028165)); #495375=CARTESIAN_POINT('Ctrl Pts',(22.9003568031257,26.1853085341154,-40.8493679194596)); #495376=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.6360234136522)); #495377=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.9999999999963)); #495378=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-41.9999999999963)); #495379=CARTESIAN_POINT('Origin',(-1.76776695296637,1.76776695296637,-71.9999999999963)); #495380=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-71.9999999999963)); #495381=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-71.9999999999963)); #495382=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-1.69922627077552E-17)); #495383=CARTESIAN_POINT('Origin',(22.7524856651626,26.640498055686,-8.49613135387758E-18)); #495384=CARTESIAN_POINT('',(22.7657224444502,26.301256350383,-71.9999999999963)); #495385=CARTESIAN_POINT('',(22.7392488858751,26.9797397609891,-41.9999999999963)); #495386=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-71.9999999999963)); #495387=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-72.430832932574)); #495388=CARTESIAN_POINT('Ctrl Pts',(22.9036028423149,26.8423016428165,-73.3666851009859)); #495389=CARTESIAN_POINT('Ctrl Pts',(23.3999238353836,26.412294294999,-74.1760223160087)); #495390=CARTESIAN_POINT('Ctrl Pts',(23.8076139398895,26.0424173580184,-74.5570819272904)); #495391=CARTESIAN_POINT('Ctrl Pts',(24.1040258199075,25.7691249738881,-74.7746431240578)); #495392=CARTESIAN_POINT('Ctrl Pts',(24.6425141359664,25.2600862014277,-75.0269480663949)); #495393=CARTESIAN_POINT('Ctrl Pts',(25.2029765082624,24.6996381582351,-75.0273524880922)); #495394=CARTESIAN_POINT('Ctrl Pts',(25.6598822817023,24.2197086961519,-74.8308241980004)); #495395=CARTESIAN_POINT('Ctrl Pts',(25.8744160730247,23.990123864496,-74.6945314320523)); #495396=CARTESIAN_POINT('Ctrl Pts',(26.060697517937,23.7877292913832,-74.5422234350488)); #495397=CARTESIAN_POINT('Ctrl Pts',(26.3370019561177,23.4824155904558,-74.2468815551915)); #495398=CARTESIAN_POINT('Ctrl Pts',(26.5823638792832,23.2037887399283,-73.8554281007366)); #495399=CARTESIAN_POINT('Ctrl Pts',(26.8938077924815,22.8426097480042,-73.0644907349205)); #495400=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-72.4308329325739)); #495401=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-71.9999999999963)); #495402=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-71.9999999999963)); #495403=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-72.4085474838295)); #495404=CARTESIAN_POINT('Ctrl Pts',(22.9041308772122,26.6218005449448,-73.2958588708155)); #495405=CARTESIAN_POINT('Ctrl Pts',(23.3734409530792,26.212126436654,-74.0594594368014)); #495406=CARTESIAN_POINT('Ctrl Pts',(23.7575851259893,25.861899273611,-74.4177758011189)); #495407=CARTESIAN_POINT('Ctrl Pts',(24.0366556545419,25.6035550383658,-74.6221348652012)); #495408=CARTESIAN_POINT('Ctrl Pts',(24.5430775744024,25.1234979441314,-74.8586176221002)); #495409=CARTESIAN_POINT('Ctrl Pts',(25.0698460255609,24.5967425553992,-74.8590024807047)); #495410=CARTESIAN_POINT('Ctrl Pts',(25.500550903719,24.1454258379691,-74.6748053469956)); #495411=CARTESIAN_POINT('Ctrl Pts',(25.703088749708,23.9294024083116,-74.5468923796325)); #495412=CARTESIAN_POINT('Ctrl Pts',(25.8792079321989,23.7388382030593,-74.4038216977934)); #495413=CARTESIAN_POINT('Ctrl Pts',(26.140924566556,23.4510942577525,-74.1260212944504)); #495414=CARTESIAN_POINT('Ctrl Pts',(26.3741384824893,23.1879921348458,-73.7570025157637)); #495415=CARTESIAN_POINT('Ctrl Pts',(26.6711462216402,22.8462267670717,-73.0093680107606)); #495416=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-72.4085474838294)); #495417=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-71.9999999999963)); #495418=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-71.9999999999963)); #495419=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-72.386262035085)); #495420=CARTESIAN_POINT('Ctrl Pts',(22.9046589121094,26.4012994470732,-73.2250326406451)); #495421=CARTESIAN_POINT('Ctrl Pts',(23.3469580707748,26.0119585783089,-73.9428965575941)); #495422=CARTESIAN_POINT('Ctrl Pts',(23.7075563120891,25.6813811892035,-74.2784696749474)); #495423=CARTESIAN_POINT('Ctrl Pts',(23.9692854891763,25.4379851028436,-74.4696266063445)); #495424=CARTESIAN_POINT('Ctrl Pts',(24.4436410128385,24.9869096868352,-74.6902871778054)); #495425=CARTESIAN_POINT('Ctrl Pts',(24.9367155428594,24.4938469525632,-74.6906524733171)); #495426=CARTESIAN_POINT('Ctrl Pts',(25.3412195257357,24.0711429797863,-74.5187864959907)); #495427=CARTESIAN_POINT('Ctrl Pts',(25.5317614263914,23.8686809521272,-74.3992533272126)); #495428=CARTESIAN_POINT('Ctrl Pts',(25.6977183464608,23.6899471147355,-74.2654199605381)); #495429=CARTESIAN_POINT('Ctrl Pts',(25.9448471769944,23.4197729250491,-74.0051610337093)); #495430=CARTESIAN_POINT('Ctrl Pts',(26.1659130856954,23.1721955297633,-73.6585769307908)); #495431=CARTESIAN_POINT('Ctrl Pts',(26.4484846507989,22.8498437861392,-72.9542452866007)); #495432=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-72.386262035085)); #495433=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-71.9999999999963)); #495434=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-71.9999999999963)); #495435=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-72.3639765863405)); #495436=CARTESIAN_POINT('Ctrl Pts',(22.9051869470067,26.1807983492015,-73.1542064104746)); #495437=CARTESIAN_POINT('Ctrl Pts',(23.3204751884704,25.8117907199639,-73.8263336783868)); #495438=CARTESIAN_POINT('Ctrl Pts',(23.6575274981889,25.5008631047961,-74.1391635487759)); #495439=CARTESIAN_POINT('Ctrl Pts',(23.9019153238107,25.2724151673213,-74.3171183474879)); #495440=CARTESIAN_POINT('Ctrl Pts',(24.3442044512745,24.850321429539,-74.5219567335106)); #495441=CARTESIAN_POINT('Ctrl Pts',(24.803585060158,24.3909513497273,-74.5223024659295)); #495442=CARTESIAN_POINT('Ctrl Pts',(25.1818881477524,23.9968601216034,-74.3627676449858)); #495443=CARTESIAN_POINT('Ctrl Pts',(25.3604341030747,23.8079594959428,-74.2516142747927)); #495444=CARTESIAN_POINT('Ctrl Pts',(25.5162287607227,23.6410560264116,-74.1270182232828)); #495445=CARTESIAN_POINT('Ctrl Pts',(25.7487697874327,23.3884515923457,-73.8843007729682)); #495446=CARTESIAN_POINT('Ctrl Pts',(25.9576876889016,23.1563989246807,-73.5601513458179)); #495447=CARTESIAN_POINT('Ctrl Pts',(26.2258230799576,22.8534608052067,-72.8991225624408)); #495448=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-72.3639765863405)); #495449=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-71.9999999999963)); #495450=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-71.9999999999963)); #495451=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-71.9999999999963)); #495452=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-71.9999999999963)); #495453=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-72.3639765863405)); #495454=CARTESIAN_POINT('Ctrl Pts',(22.9051869470067,26.1807983492015,-73.1542064104746)); #495455=CARTESIAN_POINT('Ctrl Pts',(23.3204751884704,25.8117907199639,-73.8263336783868)); #495456=CARTESIAN_POINT('Ctrl Pts',(23.6575274981889,25.5008631047961,-74.1391635487759)); #495457=CARTESIAN_POINT('Ctrl Pts',(23.9019153238107,25.2724151673213,-74.3171183474879)); #495458=CARTESIAN_POINT('Ctrl Pts',(24.3442044512745,24.850321429539,-74.5219567335106)); #495459=CARTESIAN_POINT('Ctrl Pts',(24.803585060158,24.3909513497273,-74.5223024659295)); #495460=CARTESIAN_POINT('Ctrl Pts',(25.1818881477524,23.9968601216034,-74.3627676449858)); #495461=CARTESIAN_POINT('Ctrl Pts',(25.3604341030747,23.8079594959428,-74.2516142747927)); #495462=CARTESIAN_POINT('Ctrl Pts',(25.5162287607227,23.6410560264116,-74.1270182232828)); #495463=CARTESIAN_POINT('Ctrl Pts',(25.7487697874327,23.3884515923457,-73.8843007729682)); #495464=CARTESIAN_POINT('Ctrl Pts',(25.9576876889016,23.1563989246807,-73.5601513458179)); #495465=CARTESIAN_POINT('Ctrl Pts',(26.2258230799576,22.8534608052067,-72.8991225624408)); #495466=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-72.3639765863405)); #495467=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-71.9999999999963)); #495468=CARTESIAN_POINT('Origin',(26.6404980556861,22.7524856651626,-8.49613135387748E-18)); #495469=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-41.9999999999963)); #495470=CARTESIAN_POINT('',(26.301256350383,22.7657224444502,-1.6992262707755E-17)); #495471=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.9999999999963)); #495472=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,22.7392488858749,-41.5691670674187)); #495473=CARTESIAN_POINT('Ctrl Pts',(26.8461727940936,22.8994724752506,-40.6364838327232)); #495474=CARTESIAN_POINT('Ctrl Pts',(26.3770485123321,23.44083756278,-39.7562906375487)); #495475=CARTESIAN_POINT('Ctrl Pts',(25.8639302251446,24.0040799579824,-39.2693232067301)); #495476=CARTESIAN_POINT('Ctrl Pts',(25.2582790351611,24.6451158864212,-38.9669562198511)); #495477=CARTESIAN_POINT('Ctrl Pts',(24.6442977210736,25.2589029890744,-38.966749019024)); #495478=CARTESIAN_POINT('Ctrl Pts',(24.0844440518295,25.7881615576447,-39.2295664982368)); #495479=CARTESIAN_POINT('Ctrl Pts',(23.7914708718177,26.0575625547526,-39.4526955423314)); #495480=CARTESIAN_POINT('Ctrl Pts',(23.387406847295,26.4234619611826,-39.842166966642)); #495481=CARTESIAN_POINT('Ctrl Pts',(22.8978924197258,26.8475543842325,-40.6376353821041)); #495482=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.569167067419)); #495483=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,26.9797397609892,-41.9999999999963)); #495484=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-41.9999999999963)); #495485=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,22.7480734054,-41.5914525161632)); #495486=CARTESIAN_POINT('Ctrl Pts',(26.625483422929,22.9002153200104,-40.7071269119541)); #495487=CARTESIAN_POINT('Ctrl Pts',(26.1785520921872,23.4121133180904,-39.8766804203305)); #495488=CARTESIAN_POINT('Ctrl Pts',(25.6929693269231,23.9426415337809,-39.4190551118416)); #495489=CARTESIAN_POINT('Ctrl Pts',(25.1217731356558,24.5455196598375,-39.1356258651358)); #495490=CARTESIAN_POINT('Ctrl Pts',(24.5447496272044,25.1223697224411,-39.135411595921)); #495491=CARTESIAN_POINT('Ctrl Pts',(24.0182274212539,25.6215005034453,-39.3817627561682)); #495492=CARTESIAN_POINT('Ctrl Pts',(23.7423696685112,25.8762244313973,-39.5913764916176)); #495493=CARTESIAN_POINT('Ctrl Pts',(23.3615927834309,26.2227638142489,-39.9576901120335)); #495494=CARTESIAN_POINT('Ctrl Pts',(22.8987138808591,26.6268057675268,-40.7082128945559)); #495495=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-41.5914525161634)); #495496=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,26.7535786241205,-41.9999999999963)); #495497=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-41.9999999999963)); #495498=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,22.7568979249251,-41.6137379649077)); #495499=CARTESIAN_POINT('Ctrl Pts',(26.4047940517645,22.9009581647703,-40.7777699911849)); #495500=CARTESIAN_POINT('Ctrl Pts',(25.9800556720422,23.3833890734008,-39.9970702031122)); #495501=CARTESIAN_POINT('Ctrl Pts',(25.5220084287017,23.8812031095795,-39.5687870169531)); #495502=CARTESIAN_POINT('Ctrl Pts',(24.9852672361505,24.4459234332538,-39.3042955104205)); #495503=CARTESIAN_POINT('Ctrl Pts',(24.4452015333353,24.9858364558077,-39.3040741728179)); #495504=CARTESIAN_POINT('Ctrl Pts',(23.9520107906783,25.454839449246,-39.5339590140995)); #495505=CARTESIAN_POINT('Ctrl Pts',(23.6932684652047,25.694886308042,-39.7300574409039)); #495506=CARTESIAN_POINT('Ctrl Pts',(23.3357787195667,26.0220656673153,-40.073213257425)); #495507=CARTESIAN_POINT('Ctrl Pts',(22.8995353419924,26.4060571508211,-40.7787904070077)); #495508=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-41.6137379649078)); #495509=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,26.5274174872517,-41.9999999999963)); #495510=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.9999999999963)); #495511=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,22.7657224444502,-41.6360234136521)); #495512=CARTESIAN_POINT('Ctrl Pts',(26.1841046806,22.9017010095301,-40.8484130704158)); #495513=CARTESIAN_POINT('Ctrl Pts',(25.7815592518973,23.3546648287112,-40.1174599858939)); #495514=CARTESIAN_POINT('Ctrl Pts',(25.3510475304803,23.819764685378,-39.7185189220646)); #495515=CARTESIAN_POINT('Ctrl Pts',(24.8487613366452,24.3463272066701,-39.4729651557052)); #495516=CARTESIAN_POINT('Ctrl Pts',(24.3456534394662,24.8493031891743,-39.4727367497149)); #495517=CARTESIAN_POINT('Ctrl Pts',(23.8857941601027,25.2881783950466,-39.6861552720309)); #495518=CARTESIAN_POINT('Ctrl Pts',(23.6441672618982,25.5135481846867,-39.8687383901901)); #495519=CARTESIAN_POINT('Ctrl Pts',(23.3099646557025,25.8213675203816,-40.1887364028165)); #495520=CARTESIAN_POINT('Ctrl Pts',(22.9003568031257,26.1853085341154,-40.8493679194596)); #495521=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.6360234136522)); #495522=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,26.3012563503829,-41.9999999999963)); #495523=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #495524=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-71.9999999999963)); #495525=CARTESIAN_POINT('Origin',(1.76776695296637,-1.76776695296637,-41.9999999999963)); #495526=CARTESIAN_POINT('Origin',(27.9691273015784,14.5607235892093,-36.9999999999963)); #495527=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-41.9999999999963)); #495528=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-41.9999999999963)); #495529=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-71.9999999999963)); #495530=CARTESIAN_POINT('',(27.6225600747655,14.6867219760143,-71.9999999999963)); #495531=CARTESIAN_POINT('',(28.3156945283913,14.4347252024043,-36.9999999999963)); #495532=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.9999999999963)); #495533=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-41.56907585012)); #495534=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,14.5552273774096,-40.8732218782907)); #495535=CARTESIAN_POINT('Ctrl Pts',(27.9224203402056,14.1102607281457,-40.1015910866813)); #495536=CARTESIAN_POINT('Ctrl Pts',(28.1924569611177,13.5687703542076,-39.4891509239688)); #495537=CARTESIAN_POINT('Ctrl Pts',(28.6762679930703,12.5343893460808,-38.9354270250767)); #495538=CARTESIAN_POINT('Ctrl Pts',(29.0498837667096,11.6230851339083,-38.9741221557828)); #495539=CARTESIAN_POINT('Ctrl Pts',(29.2840648855021,11.008180395497,-39.1792165502928)); #495540=CARTESIAN_POINT('Ctrl Pts',(29.3756791159213,10.761641650503,-39.2887420441059)); #495541=CARTESIAN_POINT('Ctrl Pts',(29.4778105690957,10.478244551835,-39.4555200338371)); #495542=CARTESIAN_POINT('Ctrl Pts',(29.6576668570779,9.96459727114246,-39.8447083163921)); #495543=CARTESIAN_POINT('Ctrl Pts',(29.857282864367,9.34644173770398,-40.6391955192432)); #495544=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.56907585012)); #495545=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-41.9999999999963)); #495546=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-41.9999999999963)); #495547=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-41.5949976584836)); #495548=CARTESIAN_POINT('Ctrl Pts',(27.9195609017307,14.4796425355049,-40.9408955179111)); #495549=CARTESIAN_POINT('Ctrl Pts',(28.1327494307107,14.0609140016113,-40.2124881782313)); #495550=CARTESIAN_POINT('Ctrl Pts',(28.3854734227052,13.549991822984,-39.6325601551716)); #495551=CARTESIAN_POINT('Ctrl Pts',(28.8413038446153,12.5699436034594,-39.105268463826)); #495552=CARTESIAN_POINT('Ctrl Pts',(29.1963706808845,11.7043527283981,-39.1420885516275)); #495553=CARTESIAN_POINT('Ctrl Pts',(29.4191173259556,11.1219377720288,-39.3374391175289)); #495554=CARTESIAN_POINT('Ctrl Pts',(29.5063015995853,10.888607520865,-39.4416546679238)); #495555=CARTESIAN_POINT('Ctrl Pts',(29.6035003516159,10.6207927127903,-39.6001604835997)); #495556=CARTESIAN_POINT('Ctrl Pts',(29.7746730630902,10.1360795486126,-39.9695285457584)); #495557=CARTESIAN_POINT('Ctrl Pts',(29.9643996960494,9.55580440284501,-40.7209012045378)); #495558=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-41.5949976584836)); #495559=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-41.9999999999963)); #495560=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-41.9999999999963)); #495561=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-41.6209194668472)); #495562=CARTESIAN_POINT('Ctrl Pts',(28.1453404429801,14.4040576936002,-41.0085691575314)); #495563=CARTESIAN_POINT('Ctrl Pts',(28.3430785212158,14.011567275077,-40.3233852697813)); #495564=CARTESIAN_POINT('Ctrl Pts',(28.5784898842928,13.5312132917603,-39.7759693863745)); #495565=CARTESIAN_POINT('Ctrl Pts',(29.0063396961604,12.605497860838,-39.2751099025753)); #495566=CARTESIAN_POINT('Ctrl Pts',(29.3428575950594,11.785620322888,-39.3100549474722)); #495567=CARTESIAN_POINT('Ctrl Pts',(29.5541697664091,11.2356951485607,-39.495661684765)); #495568=CARTESIAN_POINT('Ctrl Pts',(29.6369240832493,11.0155733912269,-39.5945672917416)); #495569=CARTESIAN_POINT('Ctrl Pts',(29.7291901341362,10.7633408737456,-39.7448009333623)); #495570=CARTESIAN_POINT('Ctrl Pts',(29.8916792691025,10.3075618260828,-40.0943487751247)); #495571=CARTESIAN_POINT('Ctrl Pts',(30.0715165277319,9.76516706798604,-40.8026068898323)); #495572=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-41.6209194668472)); #495573=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-41.9999999999963)); #495574=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.9999999999963)); #495575=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.6468412752109)); #495576=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,14.3284728516955,-41.0762427971517)); #495577=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,13.9622205485426,-40.4342823613314)); #495578=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,13.5124347605367,-39.9193786175774)); #495579=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,12.6410521182166,-39.4449513413246)); #495580=CARTESIAN_POINT('Ctrl Pts',(29.4893445092344,11.8668879173779,-39.4780213433169)); #495581=CARTESIAN_POINT('Ctrl Pts',(29.6892222068626,11.3494525250926,-39.653884252001)); #495582=CARTESIAN_POINT('Ctrl Pts',(29.7675465669132,11.1425392615889,-39.7474799155595)); #495583=CARTESIAN_POINT('Ctrl Pts',(29.8548799166565,10.9058890347009,-39.8894413831249)); #495584=CARTESIAN_POINT('Ctrl Pts',(30.0086854751149,10.4790441035529,-40.219169004491)); #495585=CARTESIAN_POINT('Ctrl Pts',(30.1786333594144,9.97452973312708,-40.8843125751269)); #495586=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.6468412752109)); #495587=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.9999999999963)); #495588=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-41.9999999999963)); #495589=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-41.9999999999963)); #495590=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.9999999999963)); #495591=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-41.6468412752109)); #495592=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,14.3284728516955,-41.0762427971517)); #495593=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,13.9622205485426,-40.4342823613314)); #495594=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,13.5124347605367,-39.9193786175774)); #495595=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,12.6410521182166,-39.4449513413246)); #495596=CARTESIAN_POINT('Ctrl Pts',(29.4893445092344,11.8668879173779,-39.4780213433169)); #495597=CARTESIAN_POINT('Ctrl Pts',(29.6892222068626,11.3494525250926,-39.653884252001)); #495598=CARTESIAN_POINT('Ctrl Pts',(29.7675465669132,11.1425392615889,-39.7474799155595)); #495599=CARTESIAN_POINT('Ctrl Pts',(29.8548799166565,10.9058890347009,-39.8894413831249)); #495600=CARTESIAN_POINT('Ctrl Pts',(30.0086854751149,10.4790441035529,-40.219169004491)); #495601=CARTESIAN_POINT('Ctrl Pts',(30.1786333594144,9.97452973312708,-40.8843125751269)); #495602=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.6468412752109)); #495603=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-41.9999999999963)); #495604=CARTESIAN_POINT('Origin',(30.0731459677287,9.48117318990309,-36.9999999999963)); #495605=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-71.9999999999963)); #495606=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-71.9999999999963)); #495607=CARTESIAN_POINT('',(30.2291116902168,9.81532753984789,-36.9999999999963)); #495608=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-71.9999999999964)); #495609=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,9.14701883995802,-72.4309241498726)); #495610=CARTESIAN_POINT('Ctrl Pts',(29.8553721966303,9.35237618740627,-73.3668715804903)); #495611=CARTESIAN_POINT('Ctrl Pts',(29.6536628994911,9.97756832157592,-74.1760474749366)); #495612=CARTESIAN_POINT('Ctrl Pts',(29.4711711874077,10.4969442148276,-74.5570595047344)); #495613=CARTESIAN_POINT('Ctrl Pts',(29.3340721507091,10.8761132494742,-74.7746044891837)); #495614=CARTESIAN_POINT('Ctrl Pts',(29.0723359017054,11.5693782374727,-75.0269245582382)); #495615=CARTESIAN_POINT('Ctrl Pts',(28.7689778189658,12.3017796315826,-75.0273283925043)); #495616=CARTESIAN_POINT('Ctrl Pts',(28.4985185695393,12.9067397141538,-74.8307852721031)); #495617=CARTESIAN_POINT('Ctrl Pts',(28.3672651486824,13.1922460819121,-74.6944971019793)); #495618=CARTESIAN_POINT('Ctrl Pts',(28.2502371167354,13.4411961265284,-74.5422008481416)); #495619=CARTESIAN_POINT('Ctrl Pts',(28.0715172659984,13.8122070924413,-74.2468966519157)); #495620=CARTESIAN_POINT('Ctrl Pts',(27.9052610628591,14.1442557234347,-73.8555158557817)); #495621=CARTESIAN_POINT('Ctrl Pts',(27.6866400281638,14.5683694483678,-73.0646432126898)); #495622=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-72.4309241498726)); #495623=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,14.6867219760146,-71.9999999999963)); #495624=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-71.9999999999964)); #495625=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,9.36978840658797,-72.405002341509)); #495626=CARTESIAN_POINT('Ctrl Pts',(29.9625879552737,9.56136109414069,-73.2848363119756)); #495627=CARTESIAN_POINT('Ctrl Pts',(29.7708643492504,10.1482425726198,-74.0501009055504)); #495628=CARTESIAN_POINT('Ctrl Pts',(29.597177523175,10.6384667831292,-74.4118077010972)); #495629=CARTESIAN_POINT('Ctrl Pts',(29.4666986018337,10.9968485306316,-74.6185746737993)); #495630=CARTESIAN_POINT('Ctrl Pts',(29.2176563509528,11.6534217584218,-74.8589559155148)); #495631=CARTESIAN_POINT('Ctrl Pts',(28.9296139068242,12.3488443959789,-74.8593340012644)); #495632=CARTESIAN_POINT('Ctrl Pts',(28.674032483309,12.9226132626249,-74.6720839332801)); #495633=CARTESIAN_POINT('Ctrl Pts',(28.5502574096049,13.1931776002796,-74.5424272467213)); #495634=CARTESIAN_POINT('Ctrl Pts',(28.4400984336519,13.4288925155457,-74.3976823987427)); #495635=CARTESIAN_POINT('Ctrl Pts',(28.2722448635239,13.7797434011862,-74.1174362394883)); #495636=CARTESIAN_POINT('Ctrl Pts',(28.1167124082545,14.0929630081227,-73.7469432733405)); #495637=CARTESIAN_POINT('Ctrl Pts',(27.9129517414065,14.4919363214199,-73.0006883080136)); #495638=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-72.405002341509)); #495639=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,14.6027230514778,-71.9999999999963)); #495640=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-71.9999999999964)); #495641=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,9.59255797321793,-72.3790805331454)); #495642=CARTESIAN_POINT('Ctrl Pts',(30.0698037139171,9.7703460008751,-73.202801043461)); #495643=CARTESIAN_POINT('Ctrl Pts',(29.8880657990098,10.3189168236637,-73.9241543361642)); #495644=CARTESIAN_POINT('Ctrl Pts',(29.7231838589422,10.7799893514308,-74.2665558974601)); #495645=CARTESIAN_POINT('Ctrl Pts',(29.5993250529584,11.117583811789,-74.4625448584148)); #495646=CARTESIAN_POINT('Ctrl Pts',(29.3629768002002,11.7374652793709,-74.6909872727913)); #495647=CARTESIAN_POINT('Ctrl Pts',(29.0902499946826,12.3959091603752,-74.6913396100245)); #495648=CARTESIAN_POINT('Ctrl Pts',(28.8495463970788,12.938486811096,-74.5133825944572)); #495649=CARTESIAN_POINT('Ctrl Pts',(28.7332496705275,13.1941091186471,-74.3903573914634)); #495650=CARTESIAN_POINT('Ctrl Pts',(28.6299597505683,13.4165889045631,-74.2531639493438)); #495651=CARTESIAN_POINT('Ctrl Pts',(28.4729724610493,13.7472797099312,-73.9879758270609)); #495652=CARTESIAN_POINT('Ctrl Pts',(28.3281637536499,14.0416702928108,-73.6383706908993)); #495653=CARTESIAN_POINT('Ctrl Pts',(28.1392634546492,14.415503194472,-72.9367334033374)); #495654=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-72.3790805331454)); #495655=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,14.5187241269411,-71.9999999999963)); #495656=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-71.9999999999964)); #495657=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-72.3531587247818)); #495658=CARTESIAN_POINT('Ctrl Pts',(30.1770194725605,9.97933090760953,-73.1207657749464)); #495659=CARTESIAN_POINT('Ctrl Pts',(30.0052672487692,10.4895910747077,-73.798207766778)); #495660=CARTESIAN_POINT('Ctrl Pts',(29.8491901947095,10.9215119197323,-74.121304093823)); #495661=CARTESIAN_POINT('Ctrl Pts',(29.7319515040831,11.2383190929463,-74.3065150430304)); #495662=CARTESIAN_POINT('Ctrl Pts',(29.5082972494476,11.82150880032,-74.5230186300679)); #495663=CARTESIAN_POINT('Ctrl Pts',(29.2508860825411,12.4429739247715,-74.5233452187846)); #495664=CARTESIAN_POINT('Ctrl Pts',(29.0250603108486,12.9543603595671,-74.3546812556342)); #495665=CARTESIAN_POINT('Ctrl Pts',(28.91624193145,13.1950406370146,-74.2382875362054)); #495666=CARTESIAN_POINT('Ctrl Pts',(28.8198210674847,13.4042852935805,-74.1086454999448)); #495667=CARTESIAN_POINT('Ctrl Pts',(28.6737000585748,13.7148160186761,-73.8585154146336)); #495668=CARTESIAN_POINT('Ctrl Pts',(28.5396150990452,13.9903775774988,-73.5297981084581)); #495669=CARTESIAN_POINT('Ctrl Pts',(28.365575167892,14.3390700675241,-72.8727784986611)); #495670=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-72.3531587247818)); #495671=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-71.9999999999963)); #495672=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-71.9999999999964)); #495673=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,9.81532753984789,-72.3531587247818)); #495674=CARTESIAN_POINT('Ctrl Pts',(30.1770194725605,9.97933090760953,-73.1207657749464)); #495675=CARTESIAN_POINT('Ctrl Pts',(30.0052672487692,10.4895910747077,-73.798207766778)); #495676=CARTESIAN_POINT('Ctrl Pts',(29.8491901947095,10.9215119197323,-74.121304093823)); #495677=CARTESIAN_POINT('Ctrl Pts',(29.7319515040831,11.2383190929463,-74.3065150430304)); #495678=CARTESIAN_POINT('Ctrl Pts',(29.5082972494476,11.82150880032,-74.5230186300679)); #495679=CARTESIAN_POINT('Ctrl Pts',(29.2508860825411,12.4429739247715,-74.5233452187846)); #495680=CARTESIAN_POINT('Ctrl Pts',(29.0250603108486,12.9543603595671,-74.3546812556342)); #495681=CARTESIAN_POINT('Ctrl Pts',(28.91624193145,13.1950406370146,-74.2382875362054)); #495682=CARTESIAN_POINT('Ctrl Pts',(28.8198210674847,13.4042852935805,-74.1086454999448)); #495683=CARTESIAN_POINT('Ctrl Pts',(28.6737000585748,13.7148160186761,-73.8585154146336)); #495684=CARTESIAN_POINT('Ctrl Pts',(28.5396150990452,13.9903775774988,-73.5297981084581)); #495685=CARTESIAN_POINT('Ctrl Pts',(28.365575167892,14.3390700675241,-72.8727784986611)); #495686=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-72.3531587247818)); #495687=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,14.4347252024043,-71.9999999999963)); #495688=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #495689=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-41.9999999999963)); #495690=CARTESIAN_POINT('',(-0.956708580912725,2.30969883127822,-41.9999999999963)); #495691=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-41.9999999999963)); #495692=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.9999999999963)); #495693=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.6360234136521)); #495694=CARTESIAN_POINT('Ctrl Pts',(32.9550604235887,11.1381921922381,-40.8483970558619)); #495695=CARTESIAN_POINT('Ctrl Pts',(32.7564983378462,11.7107257749864,-40.1174363250916)); #495696=CARTESIAN_POINT('Ctrl Pts',(32.5367432912909,12.3051729916869,-39.7184898849509)); #495697=CARTESIAN_POINT('Ctrl Pts',(32.2741993770036,12.9838670372044,-39.4729348050029)); #495698=CARTESIAN_POINT('Ctrl Pts',(32.0018718820988,13.641080530686,-39.4727029379622)); #495699=CARTESIAN_POINT('Ctrl Pts',(31.7449677420743,14.2225280423394,-39.6861237954216)); #495700=CARTESIAN_POINT('Ctrl Pts',(31.6079790040188,14.5232088521476,-39.868707101166)); #495701=CARTESIAN_POINT('Ctrl Pts',(31.4170126366272,14.9354916092104,-40.1887098865304)); #495702=CARTESIAN_POINT('Ctrl Pts',(31.1778570547996,15.4284818533501,-40.8493480172937)); #495703=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.6360234136522)); #495704=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.9999999999963)); #495705=CARTESIAN_POINT('',(0.956708580912725,-2.30969883127822,-41.9999999999963)); #495706=CARTESIAN_POINT('Origin',(-0.956708580912726,2.30969883127822,-71.9999999999963)); #495707=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-71.9999999999963)); #495708=CARTESIAN_POINT('',(-0.956708580912726,2.30969883127822,-71.9999999999963)); #495709=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-1.6992262707755E-17)); #495710=CARTESIAN_POINT('Origin',(31.2154330556656,15.9056115803731,-8.49613135387749E-18)); #495711=CARTESIAN_POINT('',(31.097840064939,15.5871276161382,-71.9999999999963)); #495712=CARTESIAN_POINT('',(31.3330260463922,16.2240955446081,-41.9999999999963)); #495713=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-71.9999999999963)); #495714=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-72.3769789769375)); #495715=CARTESIAN_POINT('Ctrl Pts',(31.3669627605789,16.159109456028,-72.777535624187)); #495716=CARTESIAN_POINT('Ctrl Pts',(31.5233729198872,15.8520984334766,-73.5954498061284)); #495717=CARTESIAN_POINT('Ctrl Pts',(31.706546126526,15.4885148080291,-74.161569013597)); #495718=CARTESIAN_POINT('Ctrl Pts',(32.2215407794557,14.3999480692086,-74.965079207436)); #495719=CARTESIAN_POINT('Ctrl Pts',(32.8026249197404,13.0435841694575,-75.1487501208689)); #495720=CARTESIAN_POINT('Ctrl Pts',(33.3280710020753,11.6019254521815,-74.3545314169784)); #495721=CARTESIAN_POINT('Ctrl Pts',(33.4997696679509,11.0807774042891,-73.5949708259856)); #495722=CARTESIAN_POINT('Ctrl Pts',(33.6059504483388,10.7538993772025,-72.7778139997892)); #495723=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-72.3769789769372)); #495724=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-71.9999999999963)); #495725=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,16.0117729017849,-71.9999999999963)); #495726=CARTESIAN_POINT('Ctrl Pts',(31.2545221939981,16.0119779503965,-72.3572451659094)); #495727=CARTESIAN_POINT('Ctrl Pts',(31.286835736587,15.9494182303914,-72.7375636987765)); #495728=CARTESIAN_POINT('Ctrl Pts',(31.4339209482306,15.6576144961335,-73.5111345628648)); #495729=CARTESIAN_POINT('Ctrl Pts',(31.6068008780339,15.311256308228,-74.0462420933448)); #495730=CARTESIAN_POINT('Ctrl Pts',(32.0903395434242,14.2824141832954,-74.800913080212)); #495731=CARTESIAN_POINT('Ctrl Pts',(32.6357614484997,13.0074146071376,-74.9727639391494)); #495732=CARTESIAN_POINT('Ctrl Pts',(33.1338146304759,11.6499986089102,-74.2283239772041)); #495733=CARTESIAN_POINT('Ctrl Pts',(33.2990019472258,11.1551134272518,-73.5108217648122)); #495734=CARTESIAN_POINT('Ctrl Pts',(33.4010148011576,10.845476216394,-72.7377425328411)); #495735=CARTESIAN_POINT('Ctrl Pts',(33.4224649753013,10.7781327119757,-72.3573134139845)); #495736=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,10.7783281273857,-71.9999999999963)); #495737=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,15.7994502589615,-71.9999999999963)); #495738=CARTESIAN_POINT('Ctrl Pts',(31.1763439173331,15.7992452103499,-72.3382134600375)); #495739=CARTESIAN_POINT('Ctrl Pts',(31.2062352912097,15.7405352452176,-72.6968516957857)); #495740=CARTESIAN_POINT('Ctrl Pts',(31.3451515486896,15.4619972212582,-73.4280204869062)); #495741=CARTESIAN_POINT('Ctrl Pts',(31.5066570943519,15.1347255968941,-73.9305918859658)); #495742=CARTESIAN_POINT('Ctrl Pts',(31.9595656872412,14.1642608621364,-74.637297069088)); #495743=CARTESIAN_POINT('Ctrl Pts',(32.4683131328361,12.9724964042534,-74.7964094226356)); #495744=CARTESIAN_POINT('Ctrl Pts',(32.9397916043082,11.6973124553678,-74.1018911559284)); #495745=CARTESIAN_POINT('Ctrl Pts',(33.0979088127404,11.2304526151321,-73.4273752792111)); #495746=CARTESIAN_POINT('Ctrl Pts',(33.1963030140836,10.9362562003406,-72.6971421508532)); #495747=CARTESIAN_POINT('Ctrl Pts',(33.2167554221727,10.8732244558937,-72.338145211962)); #495748=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,10.8730290404837,-71.9999999999963)); #495749=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-71.9999999999963)); #495750=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-72.3184796490094)); #495751=CARTESIAN_POINT('Ctrl Pts',(31.1261082672178,15.530844019581,-72.6568797703752)); #495752=CARTESIAN_POINT('Ctrl Pts',(31.255699577033,15.2675132839151,-73.3437052436426)); #495753=CARTESIAN_POINT('Ctrl Pts',(31.4069118458598,14.9574670970929,-73.8152649657136)); #495754=CARTESIAN_POINT('Ctrl Pts',(31.8283644512097,14.0467269762232,-74.4731309418641)); #495755=CARTESIAN_POINT('Ctrl Pts',(32.3014496615954,12.9363268419336,-74.6204232409161)); #495756=CARTESIAN_POINT('Ctrl Pts',(32.7455352327087,11.7453856120966,-73.9756837161542)); #495757=CARTESIAN_POINT('Ctrl Pts',(32.8971410920154,11.3047886380949,-73.3432262180378)); #495758=CARTESIAN_POINT('Ctrl Pts',(32.9913673669024,11.0278330395321,-72.6570706839051)); #495759=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-72.3184796490094)); #495760=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-71.9999999999963)); #495761=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-71.9999999999963)); #495762=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-71.9999999999963)); #495763=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-71.9999999999963)); #495764=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-72.3184796490094)); #495765=CARTESIAN_POINT('Ctrl Pts',(31.1261082672178,15.530844019581,-72.6568797703752)); #495766=CARTESIAN_POINT('Ctrl Pts',(31.255699577033,15.2675132839151,-73.3437052436426)); #495767=CARTESIAN_POINT('Ctrl Pts',(31.4069118458598,14.9574670970929,-73.8152649657136)); #495768=CARTESIAN_POINT('Ctrl Pts',(31.8283644512097,14.0467269762232,-74.4731309418641)); #495769=CARTESIAN_POINT('Ctrl Pts',(32.3014496615954,12.9363268419336,-74.6204232409161)); #495770=CARTESIAN_POINT('Ctrl Pts',(32.7455352327087,11.7453856120966,-73.9756837161542)); #495771=CARTESIAN_POINT('Ctrl Pts',(32.8971410920154,11.3047886380949,-73.3432262180378)); #495772=CARTESIAN_POINT('Ctrl Pts',(32.9913673669024,11.0278330395321,-72.6570706839051)); #495773=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-72.3184796490094)); #495774=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-71.9999999999963)); #495775=CARTESIAN_POINT('Origin',(33.319610198737,10.8256785839348,-8.49613135387751E-18)); #495776=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-41.9999999999963)); #495777=CARTESIAN_POINT('',(33.0112572267645,10.9677299535817,-1.6992262707755E-17)); #495778=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.9999999999963)); #495779=CARTESIAN_POINT('Ctrl Pts',(33.6279631707096,10.6836272142877,-41.5691670674189)); #495780=CARTESIAN_POINT('Ctrl Pts',(33.565879144966,10.8827713648538,-40.6364646778281)); #495781=CARTESIAN_POINT('Ctrl Pts',(33.3396359477085,11.5624561457764,-39.7562623464852)); #495782=CARTESIAN_POINT('Ctrl Pts',(33.0811199759816,12.2791876801019,-39.2692884859515)); #495783=CARTESIAN_POINT('Ctrl Pts',(32.7668865778708,13.1031969077512,-38.9669199479211)); #495784=CARTESIAN_POINT('Ctrl Pts',(32.4345315941053,13.9052146650928,-38.9667086552552)); #495785=CARTESIAN_POINT('Ctrl Pts',(32.1198328982777,14.6084315387621,-39.2295289220448)); #495786=CARTESIAN_POINT('Ctrl Pts',(31.9522564143751,14.9694411874711,-39.4526581933188)); #495787=CARTESIAN_POINT('Ctrl Pts',(31.7189725803279,15.4621178864508,-39.8421353181115)); #495788=CARTESIAN_POINT('Ctrl Pts',(31.4290115354017,16.0412601345136,-40.6376116408206)); #495789=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.5691670674189)); #495790=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,16.2240955446082,-41.9999999999964)); #495791=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,10.7783281273857,-41.9999999999963)); #495792=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,10.7783281273857,-41.5914525161633)); #495793=CARTESIAN_POINT('Ctrl Pts',(33.3622729045069,10.9679116406486,-40.7071088038393)); #495794=CARTESIAN_POINT('Ctrl Pts',(33.145256744421,11.6118793555131,-39.8766536726873)); #495795=CARTESIAN_POINT('Ctrl Pts',(32.8996610810847,12.2878494506302,-39.419022285618)); #495796=CARTESIAN_POINT('Ctrl Pts',(32.6026575109151,13.0634202842356,-39.1355915669483)); #495797=CARTESIAN_POINT('Ctrl Pts',(32.2903116901031,13.8171699536239,-39.1353734161576)); #495798=CARTESIAN_POINT('Ctrl Pts',(31.9948778462099,14.4797970399545,-39.3817272131704)); #495799=CARTESIAN_POINT('Ctrl Pts',(31.8374972775897,14.8206970756966,-39.5913411626012)); #495800=CARTESIAN_POINT('Ctrl Pts',(31.618319265761,15.2865757940373,-39.9576601742511)); #495801=CARTESIAN_POINT('Ctrl Pts',(31.345293375201,15.8370007074591,-40.7081904329783)); #495802=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,16.0117729017849,-41.5914525161633)); #495803=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,16.0117729017849,-41.9999999999964)); #495804=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,10.8730290404837,-41.9999999999963)); #495805=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,10.8730290404837,-41.6137379649077)); #495806=CARTESIAN_POINT('Ctrl Pts',(33.1586666640478,11.0530519164434,-40.7777529298506)); #495807=CARTESIAN_POINT('Ctrl Pts',(32.9508775411336,11.6613025652498,-39.9970449988894)); #495808=CARTESIAN_POINT('Ctrl Pts',(32.7182021861878,12.2965112211586,-39.5687560852844)); #495809=CARTESIAN_POINT('Ctrl Pts',(32.4384284439593,13.02364366072,-39.3042631859756)); #495810=CARTESIAN_POINT('Ctrl Pts',(32.146091786101,13.7291252421549,-39.3040381770599)); #495811=CARTESIAN_POINT('Ctrl Pts',(31.8699227941421,14.351162541147,-39.533925504296)); #495812=CARTESIAN_POINT('Ctrl Pts',(31.7227381408042,14.6719529639221,-39.7300241318836)); #495813=CARTESIAN_POINT('Ctrl Pts',(31.5176659511941,15.1110337016238,-40.0731850303907)); #495814=CARTESIAN_POINT('Ctrl Pts',(31.2615752150003,15.6327412804046,-40.778769225136)); #495815=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,15.7994502589615,-41.6137379649077)); #495816=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,15.7994502589615,-41.9999999999963)); #495817=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.9999999999963)); #495818=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,10.9677299535817,-41.6360234136521)); #495819=CARTESIAN_POINT('Ctrl Pts',(32.9550604235887,11.1381921922381,-40.8483970558619)); #495820=CARTESIAN_POINT('Ctrl Pts',(32.7564983378462,11.7107257749864,-40.1174363250916)); #495821=CARTESIAN_POINT('Ctrl Pts',(32.5367432912909,12.3051729916869,-39.7184898849509)); #495822=CARTESIAN_POINT('Ctrl Pts',(32.2741993770036,12.9838670372044,-39.4729348050029)); #495823=CARTESIAN_POINT('Ctrl Pts',(32.0018718820988,13.641080530686,-39.4727029379622)); #495824=CARTESIAN_POINT('Ctrl Pts',(31.7449677420743,14.2225280423394,-39.6861237954216)); #495825=CARTESIAN_POINT('Ctrl Pts',(31.6079790040188,14.5232088521476,-39.868707101166)); #495826=CARTESIAN_POINT('Ctrl Pts',(31.4170126366272,14.9354916092104,-40.1887098865304)); #495827=CARTESIAN_POINT('Ctrl Pts',(31.1778570547996,15.4284818533501,-40.8493480172937)); #495828=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.6360234136522)); #495829=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,15.5871276161382,-41.9999999999963)); #495830=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #495831=CARTESIAN_POINT('',(0.956708580912726,-2.30969883127822,-71.9999999999963)); #495832=CARTESIAN_POINT('Origin',(0.956708580912725,-2.30969883127822,-41.9999999999963)); #495833=CARTESIAN_POINT('Origin',(31.4122519369689,2.74903286660058,-36.9999999999963)); #495834=CARTESIAN_POINT('',(31.6842208093255,2.49999999999999,-41.9999999999963)); #495835=CARTESIAN_POINT('',(31.6842208093255,2.49999999999999,-41.9999999999963)); #495836=CARTESIAN_POINT('',(31.6842208093255,2.5,-71.9999999999963)); #495837=CARTESIAN_POINT('',(31.1402830646123,2.99806573320116,-71.9999999999963)); #495838=CARTESIAN_POINT('',(31.6842208093255,2.49999999999999,-36.9999999999963)); #495839=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.9999999999963)); #495840=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-41.5690758501199)); #495841=CARTESIAN_POINT('Ctrl Pts',(31.1615630175515,2.79031753799107,-40.6362730629213)); #495842=CARTESIAN_POINT('Ctrl Pts',(31.2191870173497,2.07603375893278,-39.7562494035257)); #495843=CARTESIAN_POINT('Ctrl Pts',(31.2591612938052,1.31511340917225,-39.2693310436615)); #495844=CARTESIAN_POINT('Ctrl Pts',(31.2873840153208,0.433642654299321, -38.9669447212466)); #495845=CARTESIAN_POINT('Ctrl Pts',(31.2872285438282,-0.434663262720147, -38.9667401875334)); #495846=CARTESIAN_POINT('Ctrl Pts',(31.2628266170424,-1.20471819197487, -39.2295738173719)); #495847=CARTESIAN_POINT('Ctrl Pts',(31.2440270355764,-1.60230292528809, -39.4526838274717)); #495848=CARTESIAN_POINT('Ctrl Pts',(31.2135896766594,-2.1466080814874,-39.8421102962863)); #495849=CARTESIAN_POINT('Ctrl Pts',(31.1614045784027,-2.79241362108958, -40.6374228681298)); #495850=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.5690758501201)); #495851=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -41.9999999999963)); #495852=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,2.8320438221343,-41.9999999999963)); #495853=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,2.8320438221343,-41.5949976584836)); #495854=CARTESIAN_POINT('Ctrl Pts',(31.3406130545762,2.63792756574357,-40.7181422894779)); #495855=CARTESIAN_POINT('Ctrl Pts',(31.3923153367427,1.96641253817985,-39.8858672377108)); #495856=CARTESIAN_POINT('Ctrl Pts',(31.4282968460968,1.24709747328629,-39.4233029670097)); #495857=CARTESIAN_POINT('Ctrl Pts',(31.453736132003,0.41178515908029,-39.1352503821759)); #495858=CARTESIAN_POINT('Ctrl Pts',(31.4535961233166,-0.412744624979083, -39.1350769547642)); #495859=CARTESIAN_POINT('Ctrl Pts',(31.4315985475833,-1.14249559634672, -39.3854579612989)); #495860=CARTESIAN_POINT('Ctrl Pts',(31.4146750089676,-1.51856363320765, -39.5974872627627)); #495861=CARTESIAN_POINT('Ctrl Pts',(31.3872915907426,-2.03276771322874, -39.9670830074191)); #495862=CARTESIAN_POINT('Ctrl Pts',(31.3404716085771,-2.63988624315063, -40.7192306794259)); #495863=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,-2.83204382213431, -41.5949976584837)); #495864=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,-2.83204382213431, -41.9999999999963)); #495865=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,2.66602191106715,-41.9999999999963)); #495866=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,2.66602191106715,-41.6209194668472)); #495867=CARTESIAN_POINT('Ctrl Pts',(31.5196630916008,2.48553759349608,-40.8000115160345)); #495868=CARTESIAN_POINT('Ctrl Pts',(31.5654436561357,1.85679131742692,-40.0154850718959)); #495869=CARTESIAN_POINT('Ctrl Pts',(31.5974323983885,1.17908153740034,-39.5772748903578)); #495870=CARTESIAN_POINT('Ctrl Pts',(31.6200882486852,0.38992766386126,-39.3035560431051)); #495871=CARTESIAN_POINT('Ctrl Pts',(31.619963702805,-0.390825987238021, -39.3034137219949)); #495872=CARTESIAN_POINT('Ctrl Pts',(31.6003704781241,-1.08027300071857, -39.5413421052257)); #495873=CARTESIAN_POINT('Ctrl Pts',(31.5853229823588,-1.43482434112721, -39.7422906980536)); #495874=CARTESIAN_POINT('Ctrl Pts',(31.5609935048257,-1.91892734497008, -40.0920557185518)); #495875=CARTESIAN_POINT('Ctrl Pts',(31.5195386387515,-2.48735886521168, -40.801038490722)); #495876=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,-2.66602191106716, -41.6209194668473)); #495877=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,-2.66602191106716, -41.9999999999963)); #495878=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.9999999999963)); #495879=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.6468412752109)); #495880=CARTESIAN_POINT('Ctrl Pts',(31.6987131286255,2.33314762124858,-40.8818807425911)); #495881=CARTESIAN_POINT('Ctrl Pts',(31.7385719755288,1.74717009667399,-40.145102906081)); #495882=CARTESIAN_POINT('Ctrl Pts',(31.7665679506801,1.11106560151439,-39.7312468137059)); #495883=CARTESIAN_POINT('Ctrl Pts',(31.7864403653675,0.36807016864223,-39.4718617040343)); #495884=CARTESIAN_POINT('Ctrl Pts',(31.7863312822933,-0.368907349496958, -39.4717504892257)); #495885=CARTESIAN_POINT('Ctrl Pts',(31.769142408665,-1.01805040509042,-39.6972262491527)); #495886=CARTESIAN_POINT('Ctrl Pts',(31.75597095575,-1.35108504904677,-39.8870941333446)); #495887=CARTESIAN_POINT('Ctrl Pts',(31.7346954189089,-1.80508697671143, -40.2170284296846)); #495888=CARTESIAN_POINT('Ctrl Pts',(31.6986056689259,-2.33483148727273, -40.8828463020181)); #495889=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.6468412752109)); #495890=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.9999999999963)); #495891=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-41.9999999999963)); #495892=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-41.9999999999963)); #495893=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.9999999999963)); #495894=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.49999999999999,-41.6468412752109)); #495895=CARTESIAN_POINT('Ctrl Pts',(31.6987131286255,2.33314762124858,-40.8818807425911)); #495896=CARTESIAN_POINT('Ctrl Pts',(31.7385719755288,1.74717009667399,-40.145102906081)); #495897=CARTESIAN_POINT('Ctrl Pts',(31.7665679506801,1.11106560151439,-39.7312468137059)); #495898=CARTESIAN_POINT('Ctrl Pts',(31.7864403653675,0.36807016864223,-39.4718617040343)); #495899=CARTESIAN_POINT('Ctrl Pts',(31.7863312822933,-0.368907349496958, -39.4717504892257)); #495900=CARTESIAN_POINT('Ctrl Pts',(31.769142408665,-1.01805040509042,-39.6972262491527)); #495901=CARTESIAN_POINT('Ctrl Pts',(31.75597095575,-1.35108504904677,-39.8870941333446)); #495902=CARTESIAN_POINT('Ctrl Pts',(31.7346954189089,-1.80508697671143, -40.2170284296846)); #495903=CARTESIAN_POINT('Ctrl Pts',(31.6986056689259,-2.33483148727273, -40.8828463020181)); #495904=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.6468412752109)); #495905=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -41.9999999999963)); #495906=CARTESIAN_POINT('Origin',(31.4122519369689,-2.74903286660059,-36.9999999999963)); #495907=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-71.9999999999963)); #495908=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-71.9999999999963)); #495909=CARTESIAN_POINT('',(31.6842208093255,-2.50000000000001,-36.9999999999963)); #495910=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -71.9999999999963)); #495911=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,-2.99806573320147, -72.4524702530432)); #495912=CARTESIAN_POINT('Ctrl Pts',(31.1640095766734,-2.7637589695908,-73.4345967634078)); #495913=CARTESIAN_POINT('Ctrl Pts',(31.2194401703591,-2.06465683146527, -74.2389495117276)); #495914=CARTESIAN_POINT('Ctrl Pts',(31.2502451195108,-1.47127118119646, -74.6220736177409)); #495915=CARTESIAN_POINT('Ctrl Pts',(31.2686645323882,-1.03933581060691, -74.8336902863452)); #495916=CARTESIAN_POINT('Ctrl Pts',(31.2837643749795,-0.452912372681375, -74.994075436967)); #495917=CARTESIAN_POINT('Ctrl Pts',(31.2862881110548,0.22596451722182,-75.0194568610663)); #495918=CARTESIAN_POINT('Ctrl Pts',(31.2690949298053,1.16783918385654,-74.8412841614126)); #495919=CARTESIAN_POINT('Ctrl Pts',(31.2342458601862,1.80229550894092,-74.4425451495722)); #495920=CARTESIAN_POINT('Ctrl Pts',(31.1944717720836,2.38683998293259,-73.8560533470259)); #495921=CARTESIAN_POINT('Ctrl Pts',(31.1556781270085,2.84764430845993,-73.1816419940591)); #495922=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-72.3770588919459)); #495923=CARTESIAN_POINT('Ctrl Pts',(31.1402830646122,2.99806573320145,-71.9999999999963)); #495924=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,-2.83204382213431, -71.9999999999963)); #495925=CARTESIAN_POINT('Ctrl Pts',(31.3216992873215,-2.83143910216393, -72.425383903654)); #495926=CARTESIAN_POINT('Ctrl Pts',(31.342763516637,-2.61335120985477,-73.3484242933041)); #495927=CARTESIAN_POINT('Ctrl Pts',(31.3925770032746,-1.9554489683354,-74.1097711477881)); #495928=CARTESIAN_POINT('Ctrl Pts',(31.4203195314639,-1.39434022854385, -74.4737399661555)); #495929=CARTESIAN_POINT('Ctrl Pts',(31.4368905111783,-0.985653849519057, -74.6748992575733)); #495930=CARTESIAN_POINT('Ctrl Pts',(31.4505126762015,-0.429592880636055, -74.8277751906492)); #495931=CARTESIAN_POINT('Ctrl Pts',(31.4527846107065,0.214479340829932, -74.8519809709831)); #495932=CARTESIAN_POINT('Ctrl Pts',(31.4372768591599,1.10807292981277,-74.6820492042165)); #495933=CARTESIAN_POINT('Ctrl Pts',(31.4058825614248,1.70778361762276,-74.3027748111507)); #495934=CARTESIAN_POINT('Ctrl Pts',(31.3701619055748,2.2587083968161,-73.7475350178385)); #495935=CARTESIAN_POINT('Ctrl Pts',(31.3353525904748,2.69153475070432,-73.1105969845911)); #495936=CARTESIAN_POINT('Ctrl Pts',(31.3216293301409,2.83192391045132,-72.3543791355259)); #495937=CARTESIAN_POINT('Ctrl Pts',(31.3215956461833,2.8320438221343,-71.9999999999963)); #495938=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,-2.66602191106716, -71.9999999999963)); #495939=CARTESIAN_POINT('Ctrl Pts',(31.5028045866163,-2.66662663103754, -72.3979029249136)); #495940=CARTESIAN_POINT('Ctrl Pts',(31.5216283068549,-2.46218069520714, -73.2626015060416)); #495941=CARTESIAN_POINT('Ctrl Pts',(31.5656254199544,-1.84673590917208, -73.9804276890988)); #495942=CARTESIAN_POINT('Ctrl Pts',(31.590257398644,-1.31839844142141,-74.3248802548425)); #495943=CARTESIAN_POINT('Ctrl Pts',(31.6050294052765,-0.932635355565236, -74.5158447315705)); #495944=CARTESIAN_POINT('Ctrl Pts',(31.6171523661898,-0.407018757158399, -74.6611160198589)); #495945=CARTESIAN_POINT('Ctrl Pts',(31.6191908677936,0.202826756106494, -74.6842181494664)); #495946=CARTESIAN_POINT('Ctrl Pts',(31.6053647866319,1.0479195248167,-74.5225768783674)); #495947=CARTESIAN_POINT('Ctrl Pts',(31.5774720448234,1.61324233282653,-74.1630226473598)); #495948=CARTESIAN_POINT('Ctrl Pts',(31.5457688365651,2.13063977971353,-73.6388673209437)); #495949=CARTESIAN_POINT('Ctrl Pts',(31.5150309815025,2.53533053590114,-73.039554920221)); #495950=CARTESIAN_POINT('Ctrl Pts',(31.5028745437969,2.66614182275013,-72.3316938543456)); #495951=CARTESIAN_POINT('Ctrl Pts',(31.5029082277544,2.66602191106715,-71.9999999999963)); #495952=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -71.9999999999963)); #495953=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -72.3708165755243)); #495954=CARTESIAN_POINT('Ctrl Pts',(31.7003822468185,-2.31177293547112, -73.1764290359379)); #495955=CARTESIAN_POINT('Ctrl Pts',(31.7387622528699,-1.73752804604222, -73.8512493251593)); #495956=CARTESIAN_POINT('Ctrl Pts',(31.7603318105971,-1.2414674887688,-74.1765466032571)); #495957=CARTESIAN_POINT('Ctrl Pts',(31.7732553840667,-0.87895339447738, -74.3570537027986)); #495958=CARTESIAN_POINT('Ctrl Pts',(31.7839006674118,-0.38369926511308, -74.4948157735411)); #495959=CARTESIAN_POINT('Ctrl Pts',(31.7856873674452,0.191341579714606, -74.5167422593832)); #495960=CARTESIAN_POINT('Ctrl Pts',(31.7735467159866,0.988153270772936, -74.3633419211712)); #495961=CARTESIAN_POINT('Ctrl Pts',(31.7491087460619,1.51873044150837,-74.0232523089382)); #495962=CARTESIAN_POINT('Ctrl Pts',(31.7214589700563,2.00250819359704,-73.5303489917563)); #495963=CARTESIAN_POINT('Ctrl Pts',(31.6947054449689,2.37922097814553,-72.968509910753)); #495964=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-72.3090140979256)); #495965=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-71.9999999999963)); #495966=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -71.9999999999963)); #495967=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,-2.50000000000001, -72.3708165755243)); #495968=CARTESIAN_POINT('Ctrl Pts',(31.7003822468185,-2.31177293547112, -73.1764290359379)); #495969=CARTESIAN_POINT('Ctrl Pts',(31.7387622528699,-1.73752804604222, -73.8512493251593)); #495970=CARTESIAN_POINT('Ctrl Pts',(31.7603318105971,-1.2414674887688,-74.1765466032571)); #495971=CARTESIAN_POINT('Ctrl Pts',(31.7732553840667,-0.87895339447738, -74.3570537027986)); #495972=CARTESIAN_POINT('Ctrl Pts',(31.7839006674118,-0.38369926511308, -74.4948157735411)); #495973=CARTESIAN_POINT('Ctrl Pts',(31.7856873674452,0.191341579714606, -74.5167422593832)); #495974=CARTESIAN_POINT('Ctrl Pts',(31.7735467159866,0.988153270772936, -74.3633419211712)); #495975=CARTESIAN_POINT('Ctrl Pts',(31.7491087460619,1.51873044150837,-74.0232523089382)); #495976=CARTESIAN_POINT('Ctrl Pts',(31.7214589700563,2.00250819359704,-73.5303489917563)); #495977=CARTESIAN_POINT('Ctrl Pts',(31.6947054449689,2.37922097814553,-72.968509910753)); #495978=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-72.3090140979256)); #495979=CARTESIAN_POINT('Ctrl Pts',(31.6842208093255,2.5,-71.9999999999963)); #495980=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #495981=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-41.9999999999963)); #495982=CARTESIAN_POINT('',(4.59242549680257E-16,2.5,-41.9999999999963)); #495983=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-41.9999999999963)); #495984=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.9999999999963)); #495985=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.6360234136521)); #495986=CARTESIAN_POINT('Ctrl Pts',(34.708910573559,-2.32100322265918,-40.8483603827369)); #495987=CARTESIAN_POINT('Ctrl Pts',(34.7445633397433,-1.71606009583728, -40.1173823571297)); #495988=CARTESIAN_POINT('Ctrl Pts',(34.7690221760921,-1.08276315964372, -39.7184236901026)); #495989=CARTESIAN_POINT('Ctrl Pts',(34.7861883367985,-0.355267518405299, -39.4728656239774)); #495990=CARTESIAN_POINT('Ctrl Pts',(34.7860956974173,0.356117135222291, -39.4726258937885)); #495991=CARTESIAN_POINT('Ctrl Pts',(34.7712571712973,0.991615674296645, -39.6860520538365)); #495992=CARTESIAN_POINT('Ctrl Pts',(34.7597616097928,1.32183103551101,-39.8686357856414)); #495993=CARTESIAN_POINT('Ctrl Pts',(34.7411046804075,1.77581298934138,-40.1886494169535)); #495994=CARTESIAN_POINT('Ctrl Pts',(34.708811988502,2.32280378607095,-40.8493024847081)); #495995=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.6360234136522)); #495996=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.9999999999963)); #495997=CARTESIAN_POINT('',(-4.59242549680257E-16,-2.5,-41.9999999999963)); #495998=CARTESIAN_POINT('Origin',(4.59242549680258E-16,2.5,-71.9999999999963)); #495999=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-71.9999999999963)); #496000=CARTESIAN_POINT('',(4.59242549680258E-16,2.5,-71.9999999999963)); #496001=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-1.69922627077549E-17)); #496002=CARTESIAN_POINT('Origin',(34.9261137320489,2.74923992667641,-8.49613135387747E-18)); #496003=CARTESIAN_POINT('',(34.6955934381631,2.49999999999999,-71.9999999999963)); #496004=CARTESIAN_POINT('',(35.1566340259346,2.99847985335283,-41.9999999999963)); #496005=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-71.9999999999963)); #496006=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-72.3769789769375)); #496007=CARTESIAN_POINT('Ctrl Pts',(35.1632544888337,2.92335578327561,-72.7790509760547)); #496008=CARTESIAN_POINT('Ctrl Pts',(35.1838182475565,2.66286853330322,-73.407182720336)); #496009=CARTESIAN_POINT('Ctrl Pts',(35.2056647501411,2.37063799188117,-73.8938802377274)); #496010=CARTESIAN_POINT('Ctrl Pts',(35.2403187608639,1.8001608870469,-74.4429937698031)); #496011=CARTESIAN_POINT('Ctrl Pts',(35.2718356842161,1.0464691813816,-74.8538817734598)); #496012=CARTESIAN_POINT('Ctrl Pts',(35.286557940586,0.224712139355426,-75.0240613804536)); #496013=CARTESIAN_POINT('Ctrl Pts',(35.2827781269774,-0.573825811525736, -74.9828437484492)); #496014=CARTESIAN_POINT('Ctrl Pts',(35.2516536310539,-1.66444319441761, -74.6025954812563)); #496015=CARTESIAN_POINT('Ctrl Pts',(35.199843464431,-2.46314635406515,-73.8600849660023)); #496016=CARTESIAN_POINT('Ctrl Pts',(35.1628828640525,-2.92919175799492, -72.8173586962704)); #496017=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -72.2638851551065)); #496018=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -71.9999999999963)); #496019=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,2.83231990223532,-71.9999999999963)); #496020=CARTESIAN_POINT('Ctrl Pts',(35.002971491332,2.83224701350575,-72.3574690573086)); #496021=CARTESIAN_POINT('Ctrl Pts',(35.0089235987888,2.76073760855291,-72.73874993441)); #496022=CARTESIAN_POINT('Ctrl Pts',(35.027447346734,2.51292362940005,-73.3333042459556)); #496023=CARTESIAN_POINT('Ctrl Pts',(35.0471118195586,2.23537526518512,-73.7934236484541)); #496024=CARTESIAN_POINT('Ctrl Pts',(35.0782286251985,1.69533134828063,-74.3106273054542)); #496025=CARTESIAN_POINT('Ctrl Pts',(35.1064675062812,0.984370094966366, -74.6963324810861)); #496026=CARTESIAN_POINT('Ctrl Pts',(35.1196535472036,0.211219692120292, -74.8557930566564)); #496027=CARTESIAN_POINT('Ctrl Pts',(35.1162547755543,-0.539687387629348, -74.8170690879072)); #496028=CARTESIAN_POINT('Ctrl Pts',(35.0884054654276,-1.56654782059093, -74.4607841212361)); #496029=CARTESIAN_POINT('Ctrl Pts',(35.0418936368692,-2.32298037094241, -73.7622387850387)); #496030=CARTESIAN_POINT('Ctrl Pts',(35.0085870667452,-2.76624054749851, -72.7750063250278)); #496031=CARTESIAN_POINT('Ctrl Pts',(35.0029600188493,-2.83240594146366, -72.250321143699)); #496032=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,-2.83231990223533, -71.9999999999963)); #496033=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,2.66615995111766,-71.9999999999963)); #496034=CARTESIAN_POINT('Ctrl Pts',(34.8492559727656,2.66623283984723,-72.3379895686382)); #496035=CARTESIAN_POINT('Ctrl Pts',(34.8545950900272,2.59800549224832,-72.6984176478078)); #496036=CARTESIAN_POINT('Ctrl Pts',(34.8710667206353,2.3631951027341,-73.2595280119508)); #496037=CARTESIAN_POINT('Ctrl Pts',(34.888538811058,2.1002782228386,-73.6928713762972)); #496038=CARTESIAN_POINT('Ctrl Pts',(34.9161479624034,1.59062533034137,-74.1785340910578)); #496039=CARTESIAN_POINT('Ctrl Pts',(34.9411303167063,0.922445005151612, -74.5392625716816)); #496040=CARTESIAN_POINT('Ctrl Pts',(34.952741709554,0.197926174076311,-74.6876586933037)); #496041=CARTESIAN_POINT('Ctrl Pts',(34.9497754594249,-0.505245896775491, -74.6519246484252)); #496042=CARTESIAN_POINT('Ctrl Pts',(34.9251695846085,-1.46867235295225, -74.3192850114182)); #496043=CARTESIAN_POINT('Ctrl Pts',(34.8839161830047,-2.18268849375826, -73.6639753684194)); #496044=CARTESIAN_POINT('Ctrl Pts',(34.8542887458122,-2.60340329165412, -72.7328277555689)); #496045=CARTESIAN_POINT('Ctrl Pts',(34.8492674452483,-2.66607391188934, -72.2364996569434)); #496046=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,-2.66615995111767, -71.9999999999963)); #496047=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-71.9999999999963)); #496048=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.5,-72.3184796490094)); #496049=CARTESIAN_POINT('Ctrl Pts',(34.7002641999823,2.43538731752562,-72.658116606163)); #496050=CARTESIAN_POINT('Ctrl Pts',(34.7146958198128,2.21325019883094,-73.1856495375704)); #496051=CARTESIAN_POINT('Ctrl Pts',(34.7299858804755,1.96501549614255,-73.5924147870239)); #496052=CARTESIAN_POINT('Ctrl Pts',(34.7540578267379,1.48579579157509,-74.046167626709)); #496053=CARTESIAN_POINT('Ctrl Pts',(34.7757621387715,0.860345918736375, -74.3817132793079)); #496054=CARTESIAN_POINT('Ctrl Pts',(34.7858373161717,0.184433726841177, -74.5193903695065)); #496055=CARTESIAN_POINT('Ctrl Pts',(34.7832521080018,-0.471107472879103, -74.4861499878831)); #496056=CARTESIAN_POINT('Ctrl Pts',(34.7619214189821,-1.37077697912557, -74.177473651398)); #496057=CARTESIAN_POINT('Ctrl Pts',(34.725966355443,-2.04252251063552,-73.5661291874558)); #496058=CARTESIAN_POINT('Ctrl Pts',(34.6999929485049,-2.44045208115772, -72.6904753843263)); #496059=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -72.222935645536)); #496060=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -71.9999999999963)); #496061=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-71.9999999999963)); #496062=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-71.9999999999963)); #496063=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-71.9999999999963)); #496064=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.5,-72.3184796490094)); #496065=CARTESIAN_POINT('Ctrl Pts',(34.7002641999823,2.43538731752562,-72.658116606163)); #496066=CARTESIAN_POINT('Ctrl Pts',(34.7146958198128,2.21325019883094,-73.1856495375704)); #496067=CARTESIAN_POINT('Ctrl Pts',(34.7299858804755,1.96501549614255,-73.5924147870239)); #496068=CARTESIAN_POINT('Ctrl Pts',(34.7540578267379,1.48579579157509,-74.046167626709)); #496069=CARTESIAN_POINT('Ctrl Pts',(34.7757621387715,0.860345918736375, -74.3817132793079)); #496070=CARTESIAN_POINT('Ctrl Pts',(34.7858373161717,0.184433726841177, -74.5193903695065)); #496071=CARTESIAN_POINT('Ctrl Pts',(34.7832521080018,-0.471107472879103, -74.4861499878831)); #496072=CARTESIAN_POINT('Ctrl Pts',(34.7619214189821,-1.37077697912557, -74.177473651398)); #496073=CARTESIAN_POINT('Ctrl Pts',(34.725966355443,-2.04252251063552,-73.5661291874558)); #496074=CARTESIAN_POINT('Ctrl Pts',(34.6999929485049,-2.44045208115772, -72.6904753843263)); #496075=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -72.222935645536)); #496076=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -71.9999999999963)); #496077=CARTESIAN_POINT('Origin',(34.9261137320489,-2.74923992667643,-8.49613135387749E-18)); #496078=CARTESIAN_POINT('',(34.6955934381631,-2.50000000000001,-41.9999999999963)); #496079=CARTESIAN_POINT('',(34.6955934381631,-2.5,-1.6992262707755E-17)); #496080=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.9999999999963)); #496081=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,-2.99847985335299, -41.5691670674188)); #496082=CARTESIAN_POINT('Ctrl Pts',(35.17548943722,-2.79073063611486,-40.6364208143545)); #496083=CARTESIAN_POINT('Ctrl Pts',(35.2265736282218,-2.07619870222808, -39.7561978183411)); #496084=CARTESIAN_POINT('Ctrl Pts',(35.2620185974132,-1.31509212250869, -39.269209335542)); #496085=CARTESIAN_POINT('Ctrl Pts',(35.287039669137,-0.433563354937821, -38.9668372708243)); #496086=CARTESIAN_POINT('Ctrl Pts',(35.2869032249589,0.434571334137849, -38.9666166808007)); #496087=CARTESIAN_POINT('Ctrl Pts',(35.2652684560591,1.20468675548028,-39.2294432776782)); #496088=CARTESIAN_POINT('Ctrl Pts',(35.2486003345588,1.60234397050899,-39.4525730648602)); #496089=CARTESIAN_POINT('Ctrl Pts',(35.2216122730958,2.14679492112076,-39.8420631438778)); #496090=CARTESIAN_POINT('Ctrl Pts',(35.1753500106642,2.79282338902181,-40.6375573238297)); #496091=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.569167067419)); #496092=CARTESIAN_POINT('Ctrl Pts',(35.1566340259346,2.99847985335298,-41.9999999999963)); #496093=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,-2.83231990223533, -41.9999999999963)); #496094=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,-2.83231990223533, -41.5914525161632)); #496095=CARTESIAN_POINT('Ctrl Pts',(35.019963149333,-2.63415483162963,-40.7070673371486)); #496096=CARTESIAN_POINT('Ctrl Pts',(35.0659035320623,-1.95615250009781, -39.876592664604)); #496097=CARTESIAN_POINT('Ctrl Pts',(35.0976864569728,-1.23764913488704, -39.4189474537289)); #496098=CARTESIAN_POINT('Ctrl Pts',(35.1200892250242,-0.407464742760314, -39.135513388542)); #496099=CARTESIAN_POINT('Ctrl Pts',(35.119967382445,0.40841993449933,-39.1352864184633)); #496100=CARTESIAN_POINT('Ctrl Pts',(35.1005980278051,1.1336630617524,-39.3816462030643)); #496101=CARTESIAN_POINT('Ctrl Pts',(35.0856540929701,1.50883965884299,-39.591260638454)); #496102=CARTESIAN_POINT('Ctrl Pts',(35.061443075533,2.0231342771943,-39.9575919015697)); #496103=CARTESIAN_POINT('Ctrl Pts',(35.0198373366101,2.63615018803819,-40.7081390441225)); #496104=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,2.83231990223532,-41.5914525161634)); #496105=CARTESIAN_POINT('Ctrl Pts',(35.0029538300108,2.83231990223532,-41.9999999999963)); #496106=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,-2.66615995111767, -41.9999999999963)); #496107=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,-2.66615995111767, -41.6137379649077)); #496108=CARTESIAN_POINT('Ctrl Pts',(34.864436861446,-2.47757902714441,-40.7777138599427)); #496109=CARTESIAN_POINT('Ctrl Pts',(34.9052334359028,-1.83610629796755, -39.9969875108668)); #496110=CARTESIAN_POINT('Ctrl Pts',(34.9333543165325,-1.16020614726538, -39.5686855719157)); #496111=CARTESIAN_POINT('Ctrl Pts',(34.9531387809114,-0.381366130582805, -39.3041895062597)); #496112=CARTESIAN_POINT('Ctrl Pts',(34.9530315399312,0.38226853486081,-39.3039561561259)); #496113=CARTESIAN_POINT('Ctrl Pts',(34.9359275995512,1.06263936802452,-39.5338491284504)); #496114=CARTESIAN_POINT('Ctrl Pts',(34.9227078513815,1.41533534717701,-39.7299482120477)); #496115=CARTESIAN_POINT('Ctrl Pts',(34.9012738779703,1.89947363326784,-40.0731206592616)); #496116=CARTESIAN_POINT('Ctrl Pts',(34.8643246625561,2.47947698705457,-40.7787207644153)); #496117=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,2.66615995111765,-41.6137379649078)); #496118=CARTESIAN_POINT('Ctrl Pts',(34.8492736340869,2.66615995111766,-41.9999999999963)); #496119=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.9999999999963)); #496120=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,-2.50000000000001, -41.6360234136521)); #496121=CARTESIAN_POINT('Ctrl Pts',(34.708910573559,-2.32100322265918,-40.8483603827369)); #496122=CARTESIAN_POINT('Ctrl Pts',(34.7445633397433,-1.71606009583728, -40.1173823571297)); #496123=CARTESIAN_POINT('Ctrl Pts',(34.7690221760921,-1.08276315964372, -39.7184236901026)); #496124=CARTESIAN_POINT('Ctrl Pts',(34.7861883367985,-0.355267518405299, -39.4728656239774)); #496125=CARTESIAN_POINT('Ctrl Pts',(34.7860956974173,0.356117135222291, -39.4726258937885)); #496126=CARTESIAN_POINT('Ctrl Pts',(34.7712571712973,0.991615674296645, -39.6860520538365)); #496127=CARTESIAN_POINT('Ctrl Pts',(34.7597616097928,1.32183103551101,-39.8686357856414)); #496128=CARTESIAN_POINT('Ctrl Pts',(34.7411046804075,1.77581298934138,-40.1886494169535)); #496129=CARTESIAN_POINT('Ctrl Pts',(34.708811988502,2.32280378607095,-40.8493024847081)); #496130=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.6360234136522)); #496131=CARTESIAN_POINT('Ctrl Pts',(34.6955934381631,2.49999999999999,-41.9999999999963)); #496132=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #496133=CARTESIAN_POINT('',(-4.59242549680258E-16,-2.5,-71.9999999999963)); #496134=CARTESIAN_POINT('Origin',(-4.59242549680257E-16,-2.5,-41.9999999999963)); #496135=CARTESIAN_POINT('Origin',(30.0731459677287,-9.4811731899031,-36.9999999999963)); #496136=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #496137=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #496138=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-71.9999999999963)); #496139=CARTESIAN_POINT('',(29.9171802452407,-9.1470188399583,-71.9999999999963)); #496140=CARTESIAN_POINT('',(30.2291116902168,-9.8153275398479,-36.9999999999963)); #496141=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.9999999999963)); #496142=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -41.56907585012)); #496143=CARTESIAN_POINT('Ctrl Pts',(29.8572828643669,-9.346441737704,-40.6391955192431)); #496144=CARTESIAN_POINT('Ctrl Pts',(29.6576668570778,-9.96459727114242, -39.8447083163921)); #496145=CARTESIAN_POINT('Ctrl Pts',(29.4778105690956,-10.4782445518349, -39.455520033837)); #496146=CARTESIAN_POINT('Ctrl Pts',(29.3756791159213,-10.761641650503,-39.2887420441058)); #496147=CARTESIAN_POINT('Ctrl Pts',(29.2840648855021,-11.008180395497,-39.1792165502927)); #496148=CARTESIAN_POINT('Ctrl Pts',(29.0498837667095,-11.6230851339082, -38.9741221557827)); #496149=CARTESIAN_POINT('Ctrl Pts',(28.6762679930702,-12.5343893460808, -38.9354270250767)); #496150=CARTESIAN_POINT('Ctrl Pts',(28.1924569611177,-13.5687703542075, -39.4891509239687)); #496151=CARTESIAN_POINT('Ctrl Pts',(27.9224203402056,-14.1102607281457, -40.1015910866814)); #496152=CARTESIAN_POINT('Ctrl Pts',(27.6937813604812,-14.5552273774095, -40.8732218782907)); #496153=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.5690758501202)); #496154=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -41.9999999999963)); #496155=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658799, -41.9999999999963)); #496156=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658798, -41.5949976584836)); #496157=CARTESIAN_POINT('Ctrl Pts',(29.9643996960494,-9.55580440284503, -40.7209012045377)); #496158=CARTESIAN_POINT('Ctrl Pts',(29.7746730630902,-10.1360795486126, -39.9695285457583)); #496159=CARTESIAN_POINT('Ctrl Pts',(29.6035003516159,-10.6207927127903, -39.6001604835996)); #496160=CARTESIAN_POINT('Ctrl Pts',(29.5063015995853,-10.8886075208649, -39.4416546679237)); #496161=CARTESIAN_POINT('Ctrl Pts',(29.4191173259556,-11.1219377720288, -39.3374391175288)); #496162=CARTESIAN_POINT('Ctrl Pts',(29.1963706808844,-11.7043527283981, -39.1420885516274)); #496163=CARTESIAN_POINT('Ctrl Pts',(28.8413038446153,-12.5699436034594, -39.105268463826)); #496164=CARTESIAN_POINT('Ctrl Pts',(28.3854734227053,-13.5499918229839, -39.6325601551716)); #496165=CARTESIAN_POINT('Ctrl Pts',(28.1327494307107,-14.0609140016114, -40.2124881782314)); #496166=CARTESIAN_POINT('Ctrl Pts',(27.9195609017307,-14.4796425355049, -40.940895517911)); #496167=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -41.5949976584837)); #496168=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -41.9999999999963)); #496169=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -41.9999999999963)); #496170=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -41.6209194668472)); #496171=CARTESIAN_POINT('Ctrl Pts',(30.0715165277319,-9.76516706798605, -40.8026068898322)); #496172=CARTESIAN_POINT('Ctrl Pts',(29.8916792691025,-10.3075618260827, -40.0943487751246)); #496173=CARTESIAN_POINT('Ctrl Pts',(29.7291901341362,-10.7633408737456, -39.7448009333622)); #496174=CARTESIAN_POINT('Ctrl Pts',(29.6369240832492,-11.0155733912269, -39.5945672917415)); #496175=CARTESIAN_POINT('Ctrl Pts',(29.554169766409,-11.2356951485607,-39.4956616847648)); #496176=CARTESIAN_POINT('Ctrl Pts',(29.3428575950594,-11.785620322888,-39.3100549474721)); #496177=CARTESIAN_POINT('Ctrl Pts',(29.0063396961604,-12.605497860838,-39.2751099025753)); #496178=CARTESIAN_POINT('Ctrl Pts',(28.5784898842928,-13.5312132917603, -39.7759693863745)); #496179=CARTESIAN_POINT('Ctrl Pts',(28.3430785212158,-14.011567275077,-40.3233852697814)); #496180=CARTESIAN_POINT('Ctrl Pts',(28.1453404429801,-14.4040576936002, -41.0085691575313)); #496181=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-41.6209194668473)); #496182=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-41.9999999999963)); #496183=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #496184=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.6468412752109)); #496185=CARTESIAN_POINT('Ctrl Pts',(30.1786333594143,-9.97452973312708, -40.8843125751268)); #496186=CARTESIAN_POINT('Ctrl Pts',(30.0086854751148,-10.4790441035529, -40.2191690044909)); #496187=CARTESIAN_POINT('Ctrl Pts',(29.8548799166564,-10.9058890347009, -39.8894413831248)); #496188=CARTESIAN_POINT('Ctrl Pts',(29.7675465669131,-11.1425392615889, -39.7474799155594)); #496189=CARTESIAN_POINT('Ctrl Pts',(29.6892222068625,-11.3494525250926, -39.6538842520009)); #496190=CARTESIAN_POINT('Ctrl Pts',(29.4893445092343,-11.8668879173779, -39.4780213433168)); #496191=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,-12.6410521182166, -39.4449513413246)); #496192=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,-13.5124347605367, -39.9193786175774)); #496193=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,-13.9622205485426, -40.4342823613314)); #496194=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,-14.3284728516955, -41.0762427971517)); #496195=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.6468412752108)); #496196=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.9999999999963)); #496197=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-41.9999999999963)); #496198=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-41.9999999999963)); #496199=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.9999999999963)); #496200=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-41.6468412752109)); #496201=CARTESIAN_POINT('Ctrl Pts',(30.1786333594143,-9.97452973312708, -40.8843125751268)); #496202=CARTESIAN_POINT('Ctrl Pts',(30.0086854751148,-10.4790441035529, -40.2191690044909)); #496203=CARTESIAN_POINT('Ctrl Pts',(29.8548799166564,-10.9058890347009, -39.8894413831248)); #496204=CARTESIAN_POINT('Ctrl Pts',(29.7675465669131,-11.1425392615889, -39.7474799155594)); #496205=CARTESIAN_POINT('Ctrl Pts',(29.6892222068625,-11.3494525250926, -39.6538842520009)); #496206=CARTESIAN_POINT('Ctrl Pts',(29.4893445092343,-11.8668879173779, -39.4780213433168)); #496207=CARTESIAN_POINT('Ctrl Pts',(29.1713755477055,-12.6410521182166, -39.4449513413246)); #496208=CARTESIAN_POINT('Ctrl Pts',(28.7715063458803,-13.5124347605367, -39.9193786175774)); #496209=CARTESIAN_POINT('Ctrl Pts',(28.5534076117209,-13.9622205485426, -40.4342823613314)); #496210=CARTESIAN_POINT('Ctrl Pts',(28.3711199842295,-14.3284728516955, -41.0762427971517)); #496211=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.6468412752108)); #496212=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -41.9999999999963)); #496213=CARTESIAN_POINT('Origin',(27.9691273015784,-14.5607235892093,-36.9999999999963)); #496214=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-71.9999999999963)); #496215=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-71.9999999999963)); #496216=CARTESIAN_POINT('',(28.3156945283913,-14.4347252024043,-36.9999999999963)); #496217=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -71.9999999999963)); #496218=CARTESIAN_POINT('Ctrl Pts',(27.6225600747654,-14.6867219760146, -72.4309241498726)); #496219=CARTESIAN_POINT('Ctrl Pts',(27.723622495125,-14.4990073927157,-73.3677532843522)); #496220=CARTESIAN_POINT('Ctrl Pts',(28.0149671728974,-13.9301024955772, -74.1548444502183)); #496221=CARTESIAN_POINT('Ctrl Pts',(28.2512864712818,-13.4390851089358, -74.5437550708679)); #496222=CARTESIAN_POINT('Ctrl Pts',(28.4211482540653,-13.0781054907766, -74.7669094458929)); #496223=CARTESIAN_POINT('Ctrl Pts',(28.7373846543959,-12.3785292268123, -75.029916504036)); #496224=CARTESIAN_POINT('Ctrl Pts',(29.0736105082078,-11.5667145370191, -75.0283769792864)); #496225=CARTESIAN_POINT('Ctrl Pts',(29.3636604697044,-10.7981102370751, -74.7476417795129)); #496226=CARTESIAN_POINT('Ctrl Pts',(29.4958026938574,-10.4279249458522, -74.5124512226931)); #496227=CARTESIAN_POINT('Ctrl Pts',(29.6702155038692,-9.92670965591654, -74.1114492414011)); #496228=CARTESIAN_POINT('Ctrl Pts',(29.8563372175219,-9.35001425611622, -73.366730095847)); #496229=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -72.4309241498724)); #496230=CARTESIAN_POINT('Ctrl Pts',(29.9171802452408,-9.14701883995803, -71.9999999999963)); #496231=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -71.9999999999963)); #496232=CARTESIAN_POINT('Ctrl Pts',(27.8536048926407,-14.6027230514778, -72.405002341509)); #496233=CARTESIAN_POINT('Ctrl Pts',(27.9472363833825,-14.4269670070813, -73.2856634785731)); #496234=CARTESIAN_POINT('Ctrl Pts',(28.2190960728824,-13.8912863145086, -74.0300422645434)); #496235=CARTESIAN_POINT('Ctrl Pts',(28.4410772657391,-13.42690610086,-74.3991504162551)); #496236=CARTESIAN_POINT('Ctrl Pts',(28.600953041602,-13.0851351963452,-74.6112218339092)); #496237=CARTESIAN_POINT('Ctrl Pts',(28.899594434171,-12.421741233244,-74.8617681633316)); #496238=CARTESIAN_POINT('Ctrl Pts',(29.2188510589065,-11.6509066697055, -74.8603292855122)); #496239=CARTESIAN_POINT('Ctrl Pts',(29.4948392976576,-10.9229850688751, -74.5928780692013)); #496240=CARTESIAN_POINT('Ctrl Pts',(29.6206095759408,-10.5732954841009, -74.3694241059467)); #496241=CARTESIAN_POINT('Ctrl Pts',(29.7865869070794,-10.1005058444491, -73.9890070098959)); #496242=CARTESIAN_POINT('Ctrl Pts',(29.9634937791348,-9.55914545866001, -73.2847031347003)); #496243=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658798, -72.4050023415088)); #496244=CARTESIAN_POINT('Ctrl Pts',(30.0211573935661,-9.36978840658798, -71.9999999999963)); #496245=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-71.9999999999963)); #496246=CARTESIAN_POINT('Ctrl Pts',(28.084649710516,-14.5187241269411,-72.3790805331454)); #496247=CARTESIAN_POINT('Ctrl Pts',(28.1708502716401,-14.3549266214469, -73.2035736727939)); #496248=CARTESIAN_POINT('Ctrl Pts',(28.4232249728673,-13.8524701334401, -73.9052400788686)); #496249=CARTESIAN_POINT('Ctrl Pts',(28.6308680601965,-13.4147270927841, -74.2545457616423)); #496250=CARTESIAN_POINT('Ctrl Pts',(28.7807578291388,-13.0921649019139, -74.4555342219256)); #496251=CARTESIAN_POINT('Ctrl Pts',(29.0618042139461,-12.4649532396757, -74.6936198226273)); #496252=CARTESIAN_POINT('Ctrl Pts',(29.3640916096051,-11.7350988023919, -74.692281591738)); #496253=CARTESIAN_POINT('Ctrl Pts',(29.6260181256109,-11.0478599006752, -74.4381143588897)); #496254=CARTESIAN_POINT('Ctrl Pts',(29.7454164580241,-10.7186660223496, -74.2263969892004)); #496255=CARTESIAN_POINT('Ctrl Pts',(29.9029583102895,-10.2743020329817, -73.8665647783907)); #496256=CARTESIAN_POINT('Ctrl Pts',(30.0706503407477,-9.7682766612038,-73.2026761735537)); #496257=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -72.3790805331454)); #496258=CARTESIAN_POINT('Ctrl Pts',(30.1251345418914,-9.59255797321794, -71.9999999999963)); #496259=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -71.9999999999963)); #496260=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -72.3531587247818)); #496261=CARTESIAN_POINT('Ctrl Pts',(28.3944641598976,-14.2828862358125, -73.1214838670147)); #496262=CARTESIAN_POINT('Ctrl Pts',(28.6273538728522,-13.8136539523715, -73.7804378931938)); #496263=CARTESIAN_POINT('Ctrl Pts',(28.8206588546539,-13.4025480847082, -74.1099411070295)); #496264=CARTESIAN_POINT('Ctrl Pts',(28.9605626166756,-13.0991946074825, -74.299846609942)); #496265=CARTESIAN_POINT('Ctrl Pts',(29.2240139937213,-12.5081652461074, -74.525471481923)); #496266=CARTESIAN_POINT('Ctrl Pts',(29.5093321603037,-11.8192909350783, -74.5242338979637)); #496267=CARTESIAN_POINT('Ctrl Pts',(29.7571969535641,-11.1727347324752, -74.2833506485781)); #496268=CARTESIAN_POINT('Ctrl Pts',(29.8702233401074,-10.8640365605984, -74.0833698724539)); #496269=CARTESIAN_POINT('Ctrl Pts',(30.0193297134997,-10.4480982215143, -73.7441225468855)); #496270=CARTESIAN_POINT('Ctrl Pts',(30.1778069023607,-9.9774078637476,-73.120649212407)); #496271=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-72.3531587247818)); #496272=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-71.9999999999963)); #496273=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -71.9999999999963)); #496274=CARTESIAN_POINT('Ctrl Pts',(28.3156945283913,-14.4347252024043, -72.3531587247818)); #496275=CARTESIAN_POINT('Ctrl Pts',(28.3944641598976,-14.2828862358125, -73.1214838670147)); #496276=CARTESIAN_POINT('Ctrl Pts',(28.6273538728522,-13.8136539523715, -73.7804378931938)); #496277=CARTESIAN_POINT('Ctrl Pts',(28.8206588546539,-13.4025480847082, -74.1099411070295)); #496278=CARTESIAN_POINT('Ctrl Pts',(28.9605626166756,-13.0991946074825, -74.299846609942)); #496279=CARTESIAN_POINT('Ctrl Pts',(29.2240139937213,-12.5081652461074, -74.525471481923)); #496280=CARTESIAN_POINT('Ctrl Pts',(29.5093321603037,-11.8192909350783, -74.5242338979637)); #496281=CARTESIAN_POINT('Ctrl Pts',(29.7571969535641,-11.1727347324752, -74.2833506485781)); #496282=CARTESIAN_POINT('Ctrl Pts',(29.8702233401074,-10.8640365605984, -74.0833698724539)); #496283=CARTESIAN_POINT('Ctrl Pts',(30.0193297134997,-10.4480982215143, -73.7441225468855)); #496284=CARTESIAN_POINT('Ctrl Pts',(30.1778069023607,-9.9774078637476,-73.120649212407)); #496285=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-72.3531587247818)); #496286=CARTESIAN_POINT('Ctrl Pts',(30.2291116902168,-9.8153275398479,-71.9999999999963)); #496287=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #496288=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-41.9999999999963)); #496289=CARTESIAN_POINT('',(0.956708580912726,2.30969883127822,-41.9999999999963)); #496290=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-41.9999999999963)); #496291=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.9999999999963)); #496292=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.6815203509833)); #496293=CARTESIAN_POINT('Ctrl Pts',(31.1269408956857,-15.5290663523758, -41.3418197456654)); #496294=CARTESIAN_POINT('Ctrl Pts',(31.2253952307669,-15.3290883141165, -40.8141514622037)); #496295=CARTESIAN_POINT('Ctrl Pts',(31.3343165439297,-15.106016638978,-40.4077138653221)); #496296=CARTESIAN_POINT('Ctrl Pts',(31.540675696618,-14.6708542144935,-39.9529518999812)); #496297=CARTESIAN_POINT('Ctrl Pts',(31.7990006461646,-14.1036918428226, -39.6184642036617)); #496298=CARTESIAN_POINT('Ctrl Pts',(32.024111671655,-13.5824021758152,-39.5024524567576)); #496299=CARTESIAN_POINT('Ctrl Pts',(32.1902984552172,-13.1817733077442, -39.4987079402082)); #496300=CARTESIAN_POINT('Ctrl Pts',(32.3576904624231,-12.7677478452526, -39.5519114344894)); #496301=CARTESIAN_POINT('Ctrl Pts',(32.5589970973293,-12.2469625783324, -39.7422506811817)); #496302=CARTESIAN_POINT('Ctrl Pts',(32.7523964058432,-11.7185625814531, -40.1336619565556)); #496303=CARTESIAN_POINT('Ctrl Pts',(32.8958387878482,-11.3091843067269, -40.6490586583344)); #496304=CARTESIAN_POINT('Ctrl Pts',(32.9924825444766,-11.0243241127139, -41.3121625993772)); #496305=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.7770643544567)); #496306=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.9999999999963)); #496307=CARTESIAN_POINT('',(-0.956708580912726,-2.30969883127822,-41.9999999999963)); #496308=CARTESIAN_POINT('Origin',(0.956708580912726,2.30969883127822,-71.9999999999963)); #496309=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-71.9999999999963)); #496310=CARTESIAN_POINT('',(0.956708580912726,2.30969883127822,-71.9999999999963)); #496311=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-1.69922627077548E-17)); #496312=CARTESIAN_POINT('Origin',(33.319610198737,-10.8256785839348,-8.49613135387741E-18)); #496313=CARTESIAN_POINT('',(33.0112572267645,-10.9677299535817,-71.9999999999963)); #496314=CARTESIAN_POINT('',(33.6279631707095,-10.6836272142879,-41.9999999999963)); #496315=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -71.9999999999963)); #496316=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -72.3769789769374)); #496317=CARTESIAN_POINT('Ctrl Pts',(33.5829132944805,-10.8279688838356, -73.1811333636707)); #496318=CARTESIAN_POINT('Ctrl Pts',(33.4383329019259,-11.266895952365,-73.8557760551396)); #496319=CARTESIAN_POINT('Ctrl Pts',(33.2469200977313,-11.8210192753226, -74.4415735393299)); #496320=CARTESIAN_POINT('Ctrl Pts',(33.0332733011106,-12.4179460850323, -74.8425320098711)); #496321=CARTESIAN_POINT('Ctrl Pts',(32.6866054390712,-13.2945963693599, -75.0201873585818)); #496322=CARTESIAN_POINT('Ctrl Pts',(32.4251701286618,-13.9199971565679, -74.9950463042288)); #496323=CARTESIAN_POINT('Ctrl Pts',(32.1877192102519,-14.4580270884206, -74.8339258734965)); #496324=CARTESIAN_POINT('Ctrl Pts',(32.0306706297804,-14.800177765045,-74.6498003291267)); #496325=CARTESIAN_POINT('Ctrl Pts',(31.9438418382755,-14.9862342190299, -74.5263383009801)); #496326=CARTESIAN_POINT('Ctrl Pts',(31.7379671649938,-15.4226748006918, -74.1942531844437)); #496327=CARTESIAN_POINT('Ctrl Pts',(31.4415874913289,-16.0168176766075, -73.4342155714107)); #496328=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -72.4523745148285)); #496329=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -71.9999999999963)); #496330=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,-10.7783281273857, -71.9999999999963)); #496331=CARTESIAN_POINT('Ctrl Pts',(33.4224169106743,-10.7783347802409, -72.3574787046103)); #496332=CARTESIAN_POINT('Ctrl Pts',(33.3787610465323,-10.9157609622824, -73.1200076622415)); #496333=CARTESIAN_POINT('Ctrl Pts',(33.2399104075187,-11.3319062053924, -73.7573325432301)); #496334=CARTESIAN_POINT('Ctrl Pts',(33.0570915925408,-11.8558945710402, -74.309387665744)); #496335=CARTESIAN_POINT('Ctrl Pts',(32.8541484045029,-12.4186399187847, -74.6860584601104)); #496336=CARTESIAN_POINT('Ctrl Pts',(32.5273546237473,-13.2431189381207, -74.8523925627693)); #496337=CARTESIAN_POINT('Ctrl Pts',(32.281629960555,-13.8312032380363,-74.8288749643737)); #496338=CARTESIAN_POINT('Ctrl Pts',(32.0587759798518,-14.3376900829486, -74.6779116601541)); #496339=CARTESIAN_POINT('Ctrl Pts',(31.9112434486254,-14.6607053755105, -74.505038816031)); #496340=CARTESIAN_POINT('Ctrl Pts',(31.8297516641995,-14.8363355879459, -74.3890742395276)); #496341=CARTESIAN_POINT('Ctrl Pts',(31.6361853229897,-15.249215484739,-74.0765583772015)); #496342=CARTESIAN_POINT('Ctrl Pts',(31.3571387473758,-15.8136888769619, -73.3597831788243)); #496343=CARTESIAN_POINT('Ctrl Pts',(31.2548089388475,-16.0115364619522, -72.4291566278145)); #496344=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,-16.0117729017849, -71.9999999999963)); #496345=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,-10.8730290404837, -71.9999999999963)); #496346=CARTESIAN_POINT('Ctrl Pts',(33.2168034867998,-10.8730223876285, -72.3379799213365)); #496347=CARTESIAN_POINT('Ctrl Pts',(33.1746381174815,-11.0034963050787, -73.0589144302204)); #496348=CARTESIAN_POINT('Ctrl Pts',(33.0413865820367,-11.3970212890246, -73.6588060192232)); #496349=CARTESIAN_POINT('Ctrl Pts',(32.8673180232448,-11.890575615432,-74.1773200816044)); #496350=CARTESIAN_POINT('Ctrl Pts',(32.6747727799132,-12.4196923345898, -74.5291166408865)); #496351=CARTESIAN_POINT('Ctrl Pts',(32.3679243979726,-13.1918438203999, -74.6841639835398)); #496352=CARTESIAN_POINT('Ctrl Pts',(32.137837379747,-13.7427863086952,-74.6622797063377)); #496353=CARTESIAN_POINT('Ctrl Pts',(31.9293714589316,-14.2182220059459, -74.5214228518756)); #496354=CARTESIAN_POINT('Ctrl Pts',(31.7915515667067,-14.5216405648904, -74.3598536699345)); #496355=CARTESIAN_POINT('Ctrl Pts',(31.7151950530749,-14.6872544080256, -74.2511842200072)); #496356=CARTESIAN_POINT('Ctrl Pts',(31.5344128464302,-15.0756884614464, -73.9589273301848)); #496357=CARTESIAN_POINT('Ctrl Pts',(31.2728037281954,-15.6104419345983, -73.285573705905)); #496358=CARTESIAN_POINT('Ctrl Pts',(31.1760571724837,-15.7996866987942, -72.405393248287)); #496359=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,-15.7994502589615, -71.9999999999963)); #496360=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -71.9999999999963)); #496361=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -72.3184796490094)); #496362=CARTESIAN_POINT('Ctrl Pts',(32.9704858695334,-11.0912883835255, -72.9977887287912)); #496363=CARTESIAN_POINT('Ctrl Pts',(32.8429640876296,-11.462031542052,-73.5603625073138)); #496364=CARTESIAN_POINT('Ctrl Pts',(32.6774895180542,-11.9254509111496, -74.0451342080185)); #496365=CARTESIAN_POINT('Ctrl Pts',(32.4956478833054,-12.4203861683422, -74.3726430911259)); #496366=CARTESIAN_POINT('Ctrl Pts',(32.2086735826487,-13.1403663891608, -74.5163691877273)); #496367=CARTESIAN_POINT('Ctrl Pts',(31.9942972116403,-13.6539923901636, -74.4961083664826)); #496368=CARTESIAN_POINT('Ctrl Pts',(31.8004282285315,-14.0978850004739, -74.3654086385333)); #496369=CARTESIAN_POINT('Ctrl Pts',(31.6721243855517,-14.3821681753559, -74.2150921568388)); #496370=CARTESIAN_POINT('Ctrl Pts',(31.6011048789989,-14.5373557769416, -74.1139201585547)); #496371=CARTESIAN_POINT('Ctrl Pts',(31.432631004426,-14.9022291454936,-73.8412325229426)); #496372=CARTESIAN_POINT('Ctrl Pts',(31.1883549842423,-15.4073131349527, -73.2111413133186)); #496373=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-72.382175361273)); #496374=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-71.9999999999963)); #496375=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-71.9999999999963)); #496376=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-71.9999999999963)); #496377=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -71.9999999999963)); #496378=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -72.3184796490094)); #496379=CARTESIAN_POINT('Ctrl Pts',(32.9704858695334,-11.0912883835255, -72.9977887287912)); #496380=CARTESIAN_POINT('Ctrl Pts',(32.8429640876296,-11.462031542052,-73.5603625073138)); #496381=CARTESIAN_POINT('Ctrl Pts',(32.6774895180542,-11.9254509111496, -74.0451342080185)); #496382=CARTESIAN_POINT('Ctrl Pts',(32.4956478833054,-12.4203861683422, -74.3726430911259)); #496383=CARTESIAN_POINT('Ctrl Pts',(32.2086735826487,-13.1403663891608, -74.5163691877273)); #496384=CARTESIAN_POINT('Ctrl Pts',(31.9942972116403,-13.6539923901636, -74.4961083664826)); #496385=CARTESIAN_POINT('Ctrl Pts',(31.8004282285315,-14.0978850004739, -74.3654086385333)); #496386=CARTESIAN_POINT('Ctrl Pts',(31.6721243855517,-14.3821681753559, -74.2150921568388)); #496387=CARTESIAN_POINT('Ctrl Pts',(31.6011048789989,-14.5373557769416, -74.1139201585547)); #496388=CARTESIAN_POINT('Ctrl Pts',(31.432631004426,-14.9022291454936,-73.8412325229426)); #496389=CARTESIAN_POINT('Ctrl Pts',(31.1883549842423,-15.4073131349527, -73.2111413133186)); #496390=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-72.382175361273)); #496391=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-71.9999999999963)); #496392=CARTESIAN_POINT('Origin',(31.2154330556656,-15.9056115803731,-8.49613135387756E-18)); #496393=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-41.9999999999963)); #496394=CARTESIAN_POINT('',(31.097840064939,-15.5871276161382,-1.69922627077551E-17)); #496395=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.9999999999963)); #496396=CARTESIAN_POINT('Ctrl Pts',(31.3330260463922,-16.2240955446083, -41.6230210230553)); #496397=CARTESIAN_POINT('Ctrl Pts',(31.3679613967964,-16.1570399120803, -41.220871627014)); #496398=CARTESIAN_POINT('Ctrl Pts',(31.4867793815567,-15.9239254165357, -40.5925745239209)); #496399=CARTESIAN_POINT('Ctrl Pts',(31.6185464185334,-15.6628021987162, -40.1062803175302)); #496400=CARTESIAN_POINT('Ctrl Pts',(31.8697666001535,-15.1470505944892, -39.5559267171498)); #496401=CARTESIAN_POINT('Ctrl Pts',(32.1859599475472,-14.4657111195821, -39.1463780881033)); #496402=CARTESIAN_POINT('Ctrl Pts',(32.4615949170252,-13.832686650606,-39.0029385105736)); #496403=CARTESIAN_POINT('Ctrl Pts',(32.6639593864331,-13.3449794888508, -38.9983715736936)); #496404=CARTESIAN_POINT('Ctrl Pts',(32.8667905451351,-12.8408355218212, -39.0640280639755)); #496405=CARTESIAN_POINT('Ctrl Pts',(33.1077359634077,-12.2084257705805, -39.2984658611309)); #496406=CARTESIAN_POINT('Ctrl Pts',(33.3342074017163,-11.5727015641831, -39.775415004536)); #496407=CARTESIAN_POINT('Ctrl Pts',(33.4985151714688,-11.0855303472783, -40.3959938575029)); #496408=CARTESIAN_POINT('Ctrl Pts',(33.6071549615213,-10.7498326587614, -41.1859097965474)); #496409=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.7361148448863)); #496410=CARTESIAN_POINT('Ctrl Pts',(33.6279631707095,-10.6836272142877, -41.9999999999963)); #496411=CARTESIAN_POINT('Ctrl Pts',(31.2546307192411,-16.0117729017849, -41.9999999999963)); #496412=CARTESIAN_POINT('Ctrl Pts',(31.2546678738677,-16.0117244994001, -41.6425471928188)); #496413=CARTESIAN_POINT('Ctrl Pts',(31.2876205791078,-15.9477213574635, -41.2611472129929)); #496414=CARTESIAN_POINT('Ctrl Pts',(31.399678531666,-15.7255787004271,-40.6664861866791)); #496415=CARTESIAN_POINT('Ctrl Pts',(31.523854932238,-15.4771092741487,-40.2066686072043)); #496416=CARTESIAN_POINT('Ctrl Pts',(31.760086052718,-14.988249467372,-39.6883987330924)); #496417=CARTESIAN_POINT('Ctrl Pts',(32.0570032654428,-14.3449497690939, -39.3038141606017)); #496418=CARTESIAN_POINT('Ctrl Pts',(32.3158048510617,-13.7491295362271, -39.1695760104229)); #496419=CARTESIAN_POINT('Ctrl Pts',(32.5060818845258,-13.2905309120417, -39.1652664698927)); #496420=CARTESIAN_POINT('Ctrl Pts',(32.6971205771813,-12.8163660560582, -39.2267886712437)); #496421=CARTESIAN_POINT('Ctrl Pts',(32.9248446565467,-12.2211996165737, -39.4464945404058)); #496422=CARTESIAN_POINT('Ctrl Pts',(33.1402613067815,-11.6213518857774, -39.8948365919925)); #496423=CARTESIAN_POINT('Ctrl Pts',(33.2976210640253,-11.1600882931214, -40.4803637456654)); #496424=CARTESIAN_POINT('Ctrl Pts',(33.4022427627173,-10.8414136002889, -41.2279300028742)); #496425=CARTESIAN_POINT('Ctrl Pts',(33.4224341080179,-10.7781995573396, -41.7499009623342)); #496426=CARTESIAN_POINT('Ctrl Pts',(33.4223945227279,-10.7783281273857, -41.9999999999963)); #496427=CARTESIAN_POINT('Ctrl Pts',(31.1762353920901,-15.7994502589615, -41.9999999999963)); #496428=CARTESIAN_POINT('Ctrl Pts',(31.1761982374635,-15.7994986613463, -41.6619941812197)); #496429=CARTESIAN_POINT('Ctrl Pts',(31.2072817133743,-15.7383849069926, -41.3015441596866)); #496430=CARTESIAN_POINT('Ctrl Pts',(31.3124960806576,-15.5274350302251, -40.7402397994455)); #496431=CARTESIAN_POINT('Ctrl Pts',(31.4290080302251,-15.2917095635456, -40.3073255756481)); #496432=CARTESIAN_POINT('Ctrl Pts',(31.6503562440534,-14.8296553416107, -39.8204798840385)); #496433=CARTESIAN_POINT('Ctrl Pts',(31.9279573282691,-14.2244531933108, -39.4610281311634)); #496434=CARTESIAN_POINT('Ctrl Pts',(32.1699017376184,-13.6659592901941, -39.3358149569083)); #496435=CARTESIAN_POINT('Ctrl Pts',(32.3481759571244,-13.2362218845533, -39.3318130440092)); #496436=CARTESIAN_POINT('Ctrl Pts',(32.527360430377,-12.7922173110156,-39.3891508272213)); #496437=CARTESIAN_POINT('Ctrl Pts',(32.7418884041903,-12.2341887323392, -39.5942220019068)); #496438=CARTESIAN_POINT('Ctrl Pts',(32.9463425007781,-11.6699122598588, -40.0142403690992)); #496439=CARTESIAN_POINT('Ctrl Pts',(33.0967328952918,-11.2346263608838, -40.564688770172)); #496440=CARTESIAN_POINT('Ctrl Pts',(33.1973947432807,-10.9327431711865, -41.2701423930504)); #496441=CARTESIAN_POINT('Ctrl Pts',(33.2167862894562,-10.8731576105299, -41.7632782370088)); #496442=CARTESIAN_POINT('Ctrl Pts',(33.2168258747462,-10.8730290404837, -41.9999999999963)); #496443=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.9999999999963)); #496444=CARTESIAN_POINT('Ctrl Pts',(31.097840064939,-15.5871276161382,-41.6815203509833)); #496445=CARTESIAN_POINT('Ctrl Pts',(31.1269408956857,-15.5290663523758, -41.3418197456654)); #496446=CARTESIAN_POINT('Ctrl Pts',(31.2253952307669,-15.3290883141165, -40.8141514622037)); #496447=CARTESIAN_POINT('Ctrl Pts',(31.3343165439297,-15.106016638978,-40.4077138653221)); #496448=CARTESIAN_POINT('Ctrl Pts',(31.540675696618,-14.6708542144935,-39.9529518999812)); #496449=CARTESIAN_POINT('Ctrl Pts',(31.7990006461646,-14.1036918428226, -39.6184642036617)); #496450=CARTESIAN_POINT('Ctrl Pts',(32.024111671655,-13.5824021758152,-39.5024524567576)); #496451=CARTESIAN_POINT('Ctrl Pts',(32.1902984552172,-13.1817733077442, -39.4987079402082)); #496452=CARTESIAN_POINT('Ctrl Pts',(32.3576904624231,-12.7677478452526, -39.5519114344894)); #496453=CARTESIAN_POINT('Ctrl Pts',(32.5589970973293,-12.2469625783324, -39.7422506811817)); #496454=CARTESIAN_POINT('Ctrl Pts',(32.7523964058432,-11.7185625814531, -40.1336619565556)); #496455=CARTESIAN_POINT('Ctrl Pts',(32.8958387878482,-11.3091843067269, -40.6490586583344)); #496456=CARTESIAN_POINT('Ctrl Pts',(32.9924825444766,-11.0243241127139, -41.3121625993772)); #496457=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.7770643544567)); #496458=CARTESIAN_POINT('Ctrl Pts',(33.0112572267645,-10.9677299535817, -41.9999999999963)); #496459=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #496460=CARTESIAN_POINT('',(-0.956708580912726,-2.30969883127822,-71.9999999999963)); #496461=CARTESIAN_POINT('Origin',(-0.956708580912726,-2.30969883127822, -41.9999999999963)); #496462=CARTESIAN_POINT('Origin',(24.1556761386489,-20.267956575293,-36.9999999999963)); #496463=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-41.9999999999963)); #496464=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-41.9999999999963)); #496465=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-71.9999999999963)); #496466=CARTESIAN_POINT('',(24.1394579334455,-19.8995527126664,-71.9999999999963)); #496467=CARTESIAN_POINT('',(24.1718943438524,-20.6363604379196,-36.9999999999963)); #496468=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.9999999999963)); #496469=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -41.5690758501199)); #496470=CARTESIAN_POINT('Ctrl Pts',(24.0452229330893,-20.0156768340313, -40.8732308271003)); #496471=CARTESIAN_POINT('Ctrl Pts',(23.7216225570927,-20.3971940293728, -40.1016014790624)); #496472=CARTESIAN_POINT('Ctrl Pts',(23.3246876167549,-20.8538961064506, -39.4891623496603)); #496473=CARTESIAN_POINT('Ctrl Pts',(22.5541847757175,-21.6967248283235, -38.9354439420206)); #496474=CARTESIAN_POINT('Ctrl Pts',(21.8552123071478,-22.390654925606,-38.9741432605217)); #496475=CARTESIAN_POINT('Ctrl Pts',(21.37673222239,-22.842323394092,-39.1792372214232)); #496476=CARTESIAN_POINT('Ctrl Pts',(21.1840196491451,-23.0213099951992, -39.2887622145911)); #496477=CARTESIAN_POINT('Ctrl Pts',(20.961278998428,-23.2241184369315,-39.4555400145229)); #496478=CARTESIAN_POINT('Ctrl Pts',(20.5555628120607,-23.5868448059514, -39.844724813377)); #496479=CARTESIAN_POINT('Ctrl Pts',(20.0608569903626,-24.007818869035,-40.6392058540775)); #496480=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.5690758501201)); #496481=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -41.9999999999963)); #496482=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -41.9999999999963)); #496483=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -41.5949976584836)); #496484=CARTESIAN_POINT('Ctrl Pts',(24.0617941074556,-20.2531948021917, -40.9409039586295)); #496485=CARTESIAN_POINT('Ctrl Pts',(23.756522035973,-20.6103966926278,-40.2124979791471)); #496486=CARTESIAN_POINT('Ctrl Pts',(23.3812032821462,-21.039405957111,-39.6325709316449)); #496487=CARTESIAN_POINT('Ctrl Pts',(22.650190027448,-21.8355914356309,-39.1052844258157)); #496488=CARTESIAN_POINT('Ctrl Pts',(21.986353597832,-22.4948900851483,-39.1421084726667)); #496489=CARTESIAN_POINT('Ctrl Pts',(21.5335143406884,-22.923561203656,-39.3374586297435)); #496490=CARTESIAN_POINT('Ctrl Pts',(21.3513095339233,-23.0934003980223, -39.4416737076644)); #496491=CARTESIAN_POINT('Ctrl Pts',(21.1410773728832,-23.2856885380436, -39.6001793443294)); #496492=CARTESIAN_POINT('Ctrl Pts',(20.7587695113461,-23.6293199169751, -39.9695441179682)); #496493=CARTESIAN_POINT('Ctrl Pts',(20.2952757522799,-24.0266614677679, -40.7209109615991)); #496494=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -41.5949976584837)); #496495=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -41.9999999999963)); #496496=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -41.9999999999963)); #496497=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -41.6209194668472)); #496498=CARTESIAN_POINT('Ctrl Pts',(24.0783652818219,-20.4907127703522, -41.0085770901586)); #496499=CARTESIAN_POINT('Ctrl Pts',(23.7914215148533,-20.8235993558827, -40.3233944792318)); #496500=CARTESIAN_POINT('Ctrl Pts',(23.4377189475376,-21.2249158077713, -39.7759795136294)); #496501=CARTESIAN_POINT('Ctrl Pts',(22.7461952791784,-21.9744580429383, -39.2751249096108)); #496502=CARTESIAN_POINT('Ctrl Pts',(22.1174948885161,-22.5991252446907, -39.3100736848117)); #496503=CARTESIAN_POINT('Ctrl Pts',(21.6902964589869,-23.0047990132201, -39.4956800380637)); #496504=CARTESIAN_POINT('Ctrl Pts',(21.5185994187014,-23.1654908008454, -39.5945852007378)); #496505=CARTESIAN_POINT('Ctrl Pts',(21.3208757473384,-23.3472586391558, -39.7448186741358)); #496506=CARTESIAN_POINT('Ctrl Pts',(20.9619762106315,-23.6717950279988, -40.0943634225594)); #496507=CARTESIAN_POINT('Ctrl Pts',(20.5296945141972,-24.0455040665008, -40.8026160691207)); #496508=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -41.6209194668473)); #496509=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -41.9999999999963)); #496510=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.9999999999963)); #496511=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.6468412752109)); #496512=CARTESIAN_POINT('Ctrl Pts',(24.0949364561882,-20.7282307385127, -41.0762502216877)); #496513=CARTESIAN_POINT('Ctrl Pts',(23.8263209937335,-21.0368020191377, -40.4342909793166)); #496514=CARTESIAN_POINT('Ctrl Pts',(23.494234612929,-21.4104256584317,-39.919388095614)); #496515=CARTESIAN_POINT('Ctrl Pts',(22.8422005309089,-22.1133246502456, -39.4449653934059)); #496516=CARTESIAN_POINT('Ctrl Pts',(22.2486361792002,-22.703360404233,-39.4780388969567)); #496517=CARTESIAN_POINT('Ctrl Pts',(21.8470785772853,-23.0860368227841, -39.653901446384)); #496518=CARTESIAN_POINT('Ctrl Pts',(21.6858893034795,-23.2375812036685, -39.7474966938112)); #496519=CARTESIAN_POINT('Ctrl Pts',(21.5006741217937,-23.4088287402679, -39.8894580039423)); #496520=CARTESIAN_POINT('Ctrl Pts',(21.1651829099169,-23.7142701390225, -40.2191827271506)); #496521=CARTESIAN_POINT('Ctrl Pts',(20.7641132761145,-24.0643466652337, -40.8843211766423)); #496522=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.6468412752109)); #496523=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.9999999999963)); #496524=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-41.9999999999963)); #496525=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-41.9999999999963)); #496526=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.9999999999963)); #496527=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -41.6468412752109)); #496528=CARTESIAN_POINT('Ctrl Pts',(24.0949364561882,-20.7282307385127, -41.0762502216877)); #496529=CARTESIAN_POINT('Ctrl Pts',(23.8263209937335,-21.0368020191377, -40.4342909793166)); #496530=CARTESIAN_POINT('Ctrl Pts',(23.494234612929,-21.4104256584317,-39.919388095614)); #496531=CARTESIAN_POINT('Ctrl Pts',(22.8422005309089,-22.1133246502456, -39.4449653934059)); #496532=CARTESIAN_POINT('Ctrl Pts',(22.2486361792002,-22.703360404233,-39.4780388969567)); #496533=CARTESIAN_POINT('Ctrl Pts',(21.8470785772853,-23.0860368227841, -39.653901446384)); #496534=CARTESIAN_POINT('Ctrl Pts',(21.6858893034795,-23.2375812036685, -39.7474966938112)); #496535=CARTESIAN_POINT('Ctrl Pts',(21.5006741217937,-23.4088287402679, -39.8894580039423)); #496536=CARTESIAN_POINT('Ctrl Pts',(21.1651829099169,-23.7142701390225, -40.2191827271506)); #496537=CARTESIAN_POINT('Ctrl Pts',(20.7641132761145,-24.0643466652337, -40.8843211766423)); #496538=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.6468412752109)); #496539=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -41.9999999999963)); #496540=CARTESIAN_POINT('Origin',(20.267956575293,-24.1556761386489,-36.9999999999963)); #496541=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-71.9999999999963)); #496542=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-71.9999999999963)); #496543=CARTESIAN_POINT('',(20.6363604379196,-24.1718943438524,-36.9999999999963)); #496544=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -71.9999999999963)); #496545=CARTESIAN_POINT('Ctrl Pts',(19.8995527126661,-24.1394579334457, -72.4309241498725)); #496546=CARTESIAN_POINT('Ctrl Pts',(20.0602324439737,-24.0083875350661, -73.3605896251515)); #496547=CARTESIAN_POINT('Ctrl Pts',(20.5284184201878,-23.6099684872427, -74.1118346786908)); #496548=CARTESIAN_POINT('Ctrl Pts',(20.8591644466988,-23.3154518273159, -74.4472784471922)); #496549=CARTESIAN_POINT('Ctrl Pts',(20.9992865413556,-23.1895825520771, -74.5733735141514)); #496550=CARTESIAN_POINT('Ctrl Pts',(21.1819583271964,-23.0233231193431, -74.7121664053647)); #496551=CARTESIAN_POINT('Ctrl Pts',(21.7333886074315,-22.5108354175174, -75.0163388829047)); #496552=CARTESIAN_POINT('Ctrl Pts',(22.5220573356135,-21.7324910872677, -75.0897263541597)); #496553=CARTESIAN_POINT('Ctrl Pts',(23.3245009751737,-20.8541043705433, -74.511102429518)); #496554=CARTESIAN_POINT('Ctrl Pts',(23.722404135396,-20.3964651667469,-73.8983235516826)); #496555=CARTESIAN_POINT('Ctrl Pts',(24.0453471360734,-20.0155802130261, -73.1268855913999)); #496556=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -72.4309241498723)); #496557=CARTESIAN_POINT('Ctrl Pts',(24.1394579334457,-19.8995527126661, -71.9999999999963)); #496558=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -71.9999999999963)); #496559=CARTESIAN_POINT('Ctrl Pts',(20.1451552877506,-24.1502700702479, -72.4050023415089)); #496560=CARTESIAN_POINT('Ctrl Pts',(20.2946914292959,-24.0271954850574, -73.2788921870512)); #496561=CARTESIAN_POINT('Ctrl Pts',(20.733336625236,-23.6511443051779,-73.9893661116059)); #496562=CARTESIAN_POINT('Ctrl Pts',(21.0448568678824,-23.3722090427367, -74.3075808858098)); #496563=CARTESIAN_POINT('Ctrl Pts',(21.1769403384518,-23.2529492157002, -74.4272896198031)); #496564=CARTESIAN_POINT('Ctrl Pts',(21.3493673392331,-23.0952963897121, -74.559175891671)); #496565=CARTESIAN_POINT('Ctrl Pts',(21.8706817709215,-22.6090755311784, -74.8487490583948)); #496566=CARTESIAN_POINT('Ctrl Pts',(22.6196757619383,-21.8693891885289, -74.9187486357656)); #496567=CARTESIAN_POINT('Ctrl Pts',(23.3810249312293,-21.0396030477378, -74.3676749110192)); #496568=CARTESIAN_POINT('Ctrl Pts',(23.7572562669009,-20.6097104198451, -73.7874396302324)); #496569=CARTESIAN_POINT('Ctrl Pts',(24.0619093052437,-20.2531042010987, -73.0592063334775)); #496570=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -72.4050023415088)); #496571=CARTESIAN_POINT('Ctrl Pts',(24.1502700702479,-20.1451552877506, -71.9999999999963)); #496572=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -71.9999999999963)); #496573=CARTESIAN_POINT('Ctrl Pts',(20.3907578628351,-24.1610822070501, -72.3790805331454)); #496574=CARTESIAN_POINT('Ctrl Pts',(20.5291504146181,-24.0460034350487, -73.1971947489509)); #496575=CARTESIAN_POINT('Ctrl Pts',(20.9382548302842,-23.6923201231131, -73.8668975445209)); #496576=CARTESIAN_POINT('Ctrl Pts',(21.230549289066,-23.4289662581575,-74.1678833244274)); #496577=CARTESIAN_POINT('Ctrl Pts',(21.354594135548,-23.3163158793233,-74.2812057254548)); #496578=CARTESIAN_POINT('Ctrl Pts',(21.5167763512698,-23.1672696600812, -74.4061853779773)); #496579=CARTESIAN_POINT('Ctrl Pts',(22.0079749344114,-22.7073156448393, -74.6811592338849)); #496580=CARTESIAN_POINT('Ctrl Pts',(22.7172941882632,-22.0062872897902, -74.7477709173715)); #496581=CARTESIAN_POINT('Ctrl Pts',(23.4375488872849,-21.2251017249322, -74.2242473925203)); #496582=CARTESIAN_POINT('Ctrl Pts',(23.7921083984058,-20.8229556729434, -73.6765557087821)); #496583=CARTESIAN_POINT('Ctrl Pts',(24.078471474414,-20.4906281891712,-72.9915270755552)); #496584=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -72.3790805331453)); #496585=CARTESIAN_POINT('Ctrl Pts',(24.1610822070501,-20.3907578628351, -71.9999999999963)); #496586=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -71.9999999999963)); #496587=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -72.3531587247818)); #496588=CARTESIAN_POINT('Ctrl Pts',(20.7636093999403,-24.06481138504,-73.1154973108507)); #496589=CARTESIAN_POINT('Ctrl Pts',(21.1431730353325,-23.7334959410483, -73.744428977436)); #496590=CARTESIAN_POINT('Ctrl Pts',(21.4162417102496,-23.4857234735783, -74.028185763045)); #496591=CARTESIAN_POINT('Ctrl Pts',(21.5322479326442,-23.3796825429463, -74.1351218311065)); #496592=CARTESIAN_POINT('Ctrl Pts',(21.6841853633064,-23.2392429304502, -74.2531948642836)); #496593=CARTESIAN_POINT('Ctrl Pts',(22.1452680979013,-22.8055557585002, -74.5135694093749)); #496594=CARTESIAN_POINT('Ctrl Pts',(22.8149126145881,-22.1431853910514, -74.5767931989773)); #496595=CARTESIAN_POINT('Ctrl Pts',(23.4940728433404,-21.4106004021267, -74.0808198740214)); #496596=CARTESIAN_POINT('Ctrl Pts',(23.8269605299107,-21.0362009260416, -73.5656717873319)); #496597=CARTESIAN_POINT('Ctrl Pts',(24.0950336435843,-20.7281521772437, -72.9238478176328)); #496598=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -72.3531587247818)); #496599=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -71.9999999999963)); #496600=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -71.9999999999963)); #496601=CARTESIAN_POINT('Ctrl Pts',(20.6363604379196,-24.1718943438524, -72.3531587247818)); #496602=CARTESIAN_POINT('Ctrl Pts',(20.7636093999403,-24.06481138504,-73.1154973108507)); #496603=CARTESIAN_POINT('Ctrl Pts',(21.1431730353325,-23.7334959410483, -73.744428977436)); #496604=CARTESIAN_POINT('Ctrl Pts',(21.4162417102496,-23.4857234735783, -74.028185763045)); #496605=CARTESIAN_POINT('Ctrl Pts',(21.5322479326442,-23.3796825429463, -74.1351218311065)); #496606=CARTESIAN_POINT('Ctrl Pts',(21.6841853633064,-23.2392429304502, -74.2531948642836)); #496607=CARTESIAN_POINT('Ctrl Pts',(22.1452680979013,-22.8055557585002, -74.5135694093749)); #496608=CARTESIAN_POINT('Ctrl Pts',(22.8149126145881,-22.1431853910514, -74.5767931989773)); #496609=CARTESIAN_POINT('Ctrl Pts',(23.4940728433404,-21.4106004021267, -74.0808198740214)); #496610=CARTESIAN_POINT('Ctrl Pts',(23.8269605299107,-21.0362009260416, -73.5656717873319)); #496611=CARTESIAN_POINT('Ctrl Pts',(24.0950336435843,-20.7281521772437, -72.9238478176328)); #496612=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -72.3531587247818)); #496613=CARTESIAN_POINT('Ctrl Pts',(24.1718943438524,-20.6363604379196, -71.9999999999963)); #496614=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #496615=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-41.9999999999963)); #496616=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-41.9999999999963)); #496617=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-41.9999999999963)); #496618=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.9999999999963)); #496619=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.6360234136521)); #496620=CARTESIAN_POINT('Ctrl Pts',(22.9017010095301,-26.1841046806,-40.8484130704158)); #496621=CARTESIAN_POINT('Ctrl Pts',(23.3546648287113,-25.7815592518973, -40.117459985894)); #496622=CARTESIAN_POINT('Ctrl Pts',(23.8197646853781,-25.3510475304803, -39.7185189220646)); #496623=CARTESIAN_POINT('Ctrl Pts',(24.3463272066701,-24.8487613366452, -39.4729651557053)); #496624=CARTESIAN_POINT('Ctrl Pts',(24.8493031891744,-24.3456534394662, -39.472736749715)); #496625=CARTESIAN_POINT('Ctrl Pts',(25.2881783950467,-23.8857941601028, -39.686155272031)); #496626=CARTESIAN_POINT('Ctrl Pts',(25.5135481846868,-23.6441672618983, -39.8687383901902)); #496627=CARTESIAN_POINT('Ctrl Pts',(25.8213675203817,-23.3099646557025, -40.1887364028165)); #496628=CARTESIAN_POINT('Ctrl Pts',(26.1853085341154,-22.9003568031257, -40.8493679194596)); #496629=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.6360234136521)); #496630=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.9999999999963)); #496631=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-41.9999999999963)); #496632=CARTESIAN_POINT('Origin',(1.76776695296637,1.76776695296637,-71.9999999999963)); #496633=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-71.9999999999963)); #496634=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-71.9999999999963)); #496635=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-1.69922627077547E-17)); #496636=CARTESIAN_POINT('Origin',(26.640498055686,-22.7524856651626,-8.49613135387737E-18)); #496637=CARTESIAN_POINT('',(26.301256350383,-22.7657224444502,-71.9999999999963)); #496638=CARTESIAN_POINT('',(26.9797397609891,-22.7392488858751,-41.9999999999963)); #496639=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -71.9999999999963)); #496640=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -72.3769789769375)); #496641=CARTESIAN_POINT('Ctrl Pts',(26.9316870352729,-22.7967106591516, -72.7791806603286)); #496642=CARTESIAN_POINT('Ctrl Pts',(26.733018431588,-23.0295659998376,-73.5189121794281)); #496643=CARTESIAN_POINT('Ctrl Pts',(26.5814230340033,-23.2052349833381, -73.8555239581573)); #496644=CARTESIAN_POINT('Ctrl Pts',(26.187138649845,-23.6506481588231,-74.4570206147617)); #496645=CARTESIAN_POINT('Ctrl Pts',(25.5742392730066,-24.3239770818201, -74.997482431141)); #496646=CARTESIAN_POINT('Ctrl Pts',(24.7103093390603,-25.1953783693365, -75.0491828610405)); #496647=CARTESIAN_POINT('Ctrl Pts',(24.0154487647824,-25.8534723356579, -74.740437837801)); #496648=CARTESIAN_POINT('Ctrl Pts',(23.5466585232576,-26.2801710637289, -74.3315033039112)); #496649=CARTESIAN_POINT('Ctrl Pts',(23.1792602512451,-26.6039196508837, -73.8190760684792)); #496650=CARTESIAN_POINT('Ctrl Pts',(22.9858292475375,-26.7702905942404, -73.3789357337839)); #496651=CARTESIAN_POINT('Ctrl Pts',(22.7966158329822,-26.9317592656536, -72.7789562087357)); #496652=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -72.3769789769375)); #496653=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -71.9999999999963)); #496654=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,-22.7480734054,-71.9999999999963)); #496655=CARTESIAN_POINT('Ctrl Pts',(26.7534883504874,-22.7481881104643, -72.3574541607291)); #496656=CARTESIAN_POINT('Ctrl Pts',(26.7076419845266,-22.8025003046349, -72.7389001436623)); #496657=CARTESIAN_POINT('Ctrl Pts',(26.517649530008,-23.0232811586214,-73.4390818975073)); #496658=CARTESIAN_POINT('Ctrl Pts',(26.3734526846761,-23.1891422798769, -73.7571498509486)); #496659=CARTESIAN_POINT('Ctrl Pts',(25.9985272257646,-23.6099909464981, -74.3239625765107)); #496660=CARTESIAN_POINT('Ctrl Pts',(25.4191272418051,-24.243550348737,-74.8312885054562)); #496661=CARTESIAN_POINT('Ctrl Pts',(24.6067293240024,-25.0626139614204, -74.8793697794156)); #496662=CARTESIAN_POINT('Ctrl Pts',(23.9533108622353,-25.6831257444105, -74.5900812641638)); #496663=CARTESIAN_POINT('Ctrl Pts',(23.511788942817,-26.0868985418178,-74.2057813967562)); #496664=CARTESIAN_POINT('Ctrl Pts',(23.1647209247444,-26.3947370902031, -73.7226555690943)); #496665=CARTESIAN_POINT('Ctrl Pts',(22.9817973993391,-26.5533170352646, -73.3066305709578)); #496666=CARTESIAN_POINT('Ctrl Pts',(22.8024273449164,-26.7076827035382, -72.7385759342484)); #496667=CARTESIAN_POINT('Ctrl Pts',(22.7481708037113,-26.7535119975454, -72.3575209203689)); #496668=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,-26.7535786241205,-71.9999999999963)); #496669=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,-22.7568979249251, -71.9999999999963)); #496670=CARTESIAN_POINT('Ctrl Pts',(26.5275077608848,-22.7567832198608, -72.3380044652178)); #496671=CARTESIAN_POINT('Ctrl Pts',(26.4832281070124,-22.8086436255436, -72.6984885720847)); #496672=CARTESIAN_POINT('Ctrl Pts',(26.3025210144772,-23.0167432574856, -73.3593329744955)); #496673=CARTESIAN_POINT('Ctrl Pts',(26.1647346523944,-23.1737657957508, -73.6584823127931)); #496674=CARTESIAN_POINT('Ctrl Pts',(25.8103217488534,-23.5689279134373, -74.1910851228369)); #496675=CARTESIAN_POINT('Ctrl Pts',(25.263143761706,-24.1639613242412,-74.6648231052449)); #496676=CARTESIAN_POINT('Ctrl Pts',(24.5033755170584,-24.9295869710188, -74.7094883150385)); #496677=CARTESIAN_POINT('Ctrl Pts',(23.8913345187921,-25.5125817677299, -74.4397720663176)); #496678=CARTESIAN_POINT('Ctrl Pts',(23.4768369688966,-25.8936710703173, -74.0799517987183)); #496679=CARTESIAN_POINT('Ctrl Pts',(23.1505219970821,-26.1852137805832, -73.6264079186077)); #496680=CARTESIAN_POINT('Ctrl Pts',(22.9776614020943,-26.3364121542483, -73.2342039052002)); #496681=CARTESIAN_POINT('Ctrl Pts',(22.8085484606447,-26.4833159380181, -72.6984000388751)); #496682=CARTESIAN_POINT('Ctrl Pts',(22.7568005266139,-26.5274841138268, -72.3379377055779)); #496683=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,-26.5274174872517, -71.9999999999963)); #496684=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-71.9999999999963)); #496685=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-72.3184796490094)); #496686=CARTESIAN_POINT('Ctrl Pts',(26.2591830562661,-22.8144332710269, -72.6582080554184)); #496687=CARTESIAN_POINT('Ctrl Pts',(26.0871521128972,-23.0104584162694, -73.2795026925747)); #496688=CARTESIAN_POINT('Ctrl Pts',(25.9567643030672,-23.1576730922896, -73.5601082055844)); #496689=CARTESIAN_POINT('Ctrl Pts',(25.621710324773,-23.5282707011123,-74.0580270845859)); #496690=CARTESIAN_POINT('Ctrl Pts',(25.1080317305045,-24.083534591158,-74.4986291795602)); #496691=CARTESIAN_POINT('Ctrl Pts',(24.3997955020005,-24.7968225631026, -74.5396752334136)); #496692=CARTESIAN_POINT('Ctrl Pts',(23.8291966162449,-25.3422351764825, -74.2894154926804)); #496693=CARTESIAN_POINT('Ctrl Pts',(23.441967388456,-25.7003985484062,-73.9542298915634)); #496694=CARTESIAN_POINT('Ctrl Pts',(23.1359826705814,-25.9760312199025, -73.5299874192227)); #496695=CARTESIAN_POINT('Ctrl Pts',(22.9736295538959,-26.1194385952724, -73.1618987423741)); #496696=CARTESIAN_POINT('Ctrl Pts',(22.8143599725789,-26.2592393759027, -72.6580197643877)); #496697=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-72.3184796490094)); #496698=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-71.9999999999963)); #496699=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-71.9999999999963)); #496700=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-71.9999999999963)); #496701=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-71.9999999999963)); #496702=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-72.3184796490094)); #496703=CARTESIAN_POINT('Ctrl Pts',(26.2591830562661,-22.8144332710269, -72.6582080554184)); #496704=CARTESIAN_POINT('Ctrl Pts',(26.0871521128972,-23.0104584162694, -73.2795026925747)); #496705=CARTESIAN_POINT('Ctrl Pts',(25.9567643030672,-23.1576730922896, -73.5601082055844)); #496706=CARTESIAN_POINT('Ctrl Pts',(25.621710324773,-23.5282707011123,-74.0580270845859)); #496707=CARTESIAN_POINT('Ctrl Pts',(25.1080317305045,-24.083534591158,-74.4986291795602)); #496708=CARTESIAN_POINT('Ctrl Pts',(24.3997955020005,-24.7968225631026, -74.5396752334136)); #496709=CARTESIAN_POINT('Ctrl Pts',(23.8291966162449,-25.3422351764825, -74.2894154926804)); #496710=CARTESIAN_POINT('Ctrl Pts',(23.441967388456,-25.7003985484062,-73.9542298915634)); #496711=CARTESIAN_POINT('Ctrl Pts',(23.1359826705814,-25.9760312199025, -73.5299874192227)); #496712=CARTESIAN_POINT('Ctrl Pts',(22.9736295538959,-26.1194385952724, -73.1618987423741)); #496713=CARTESIAN_POINT('Ctrl Pts',(22.8143599725789,-26.2592393759027, -72.6580197643877)); #496714=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-72.3184796490094)); #496715=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-71.9999999999963)); #496716=CARTESIAN_POINT('Origin',(22.7524856651626,-26.6404980556861,-8.49613135387752E-18)); #496717=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-41.9999999999963)); #496718=CARTESIAN_POINT('',(22.7657224444502,-26.301256350383,-1.6992262707755E-17)); #496719=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.9999999999963)); #496720=CARTESIAN_POINT('Ctrl Pts',(22.7392488858749,-26.9797397609892, -41.5691670674188)); #496721=CARTESIAN_POINT('Ctrl Pts',(22.8994724752506,-26.8461727940936, -40.6364838327233)); #496722=CARTESIAN_POINT('Ctrl Pts',(23.44083756278,-26.3770485123321,-39.7562906375488)); #496723=CARTESIAN_POINT('Ctrl Pts',(24.0040799579824,-25.8639302251446, -39.2693232067302)); #496724=CARTESIAN_POINT('Ctrl Pts',(24.6451158864212,-25.2582790351612, -38.9669562198511)); #496725=CARTESIAN_POINT('Ctrl Pts',(25.2589029890746,-24.6442977210735, -38.9667490190241)); #496726=CARTESIAN_POINT('Ctrl Pts',(25.7881615576447,-24.0844440518296, -39.2295664982368)); #496727=CARTESIAN_POINT('Ctrl Pts',(26.0575625547526,-23.7914708718177, -39.4526955423315)); #496728=CARTESIAN_POINT('Ctrl Pts',(26.4234619611826,-23.3874068472951, -39.842166966642)); #496729=CARTESIAN_POINT('Ctrl Pts',(26.8475543842325,-22.8978924197258, -40.6376353821041)); #496730=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.569167067419)); #496731=CARTESIAN_POINT('Ctrl Pts',(26.9797397609892,-22.7392488858749, -41.9999999999963)); #496732=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,-26.7535786241205,-41.9999999999963)); #496733=CARTESIAN_POINT('Ctrl Pts',(22.7480734054,-26.7535786241205,-41.5914525161632)); #496734=CARTESIAN_POINT('Ctrl Pts',(22.9002153200104,-26.6254834229291, -40.7071269119541)); #496735=CARTESIAN_POINT('Ctrl Pts',(23.4121133180904,-26.1785520921872, -39.8766804203305)); #496736=CARTESIAN_POINT('Ctrl Pts',(23.942641533781,-25.6929693269232,-39.4190551118417)); #496737=CARTESIAN_POINT('Ctrl Pts',(24.5455196598375,-25.1217731356558, -39.1356258651359)); #496738=CARTESIAN_POINT('Ctrl Pts',(25.1223697224412,-24.5447496272044, -39.1354115959211)); #496739=CARTESIAN_POINT('Ctrl Pts',(25.6215005034454,-24.018227421254,-39.3817627561682)); #496740=CARTESIAN_POINT('Ctrl Pts',(25.8762244313973,-23.7423696685112, -39.5913764916177)); #496741=CARTESIAN_POINT('Ctrl Pts',(26.222763814249,-23.3615927834309,-39.9576901120335)); #496742=CARTESIAN_POINT('Ctrl Pts',(26.6268057675268,-22.8987138808591, -40.7082128945559)); #496743=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,-22.7480734054,-41.5914525161634)); #496744=CARTESIAN_POINT('Ctrl Pts',(26.7535786241205,-22.7480734054,-41.9999999999963)); #496745=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,-26.5274174872517, -41.9999999999963)); #496746=CARTESIAN_POINT('Ctrl Pts',(22.7568979249251,-26.5274174872517, -41.6137379649077)); #496747=CARTESIAN_POINT('Ctrl Pts',(22.9009581647703,-26.4047940517646, -40.777769991185)); #496748=CARTESIAN_POINT('Ctrl Pts',(23.3833890734008,-25.9800556720423, -39.9970702031123)); #496749=CARTESIAN_POINT('Ctrl Pts',(23.8812031095795,-25.5220084287018, -39.5687870169532)); #496750=CARTESIAN_POINT('Ctrl Pts',(24.4459234332538,-24.9852672361505, -39.3042955104206)); #496751=CARTESIAN_POINT('Ctrl Pts',(24.9858364558078,-24.4452015333353, -39.304074172818)); #496752=CARTESIAN_POINT('Ctrl Pts',(25.454839449246,-23.9520107906784,-39.5339590140996)); #496753=CARTESIAN_POINT('Ctrl Pts',(25.6948863080421,-23.6932684652047, -39.7300574409039)); #496754=CARTESIAN_POINT('Ctrl Pts',(26.0220656673153,-23.3357787195667, -40.073213257425)); #496755=CARTESIAN_POINT('Ctrl Pts',(26.4060571508211,-22.8995353419924, -40.7787904070077)); #496756=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,-22.7568979249251, -41.6137379649078)); #496757=CARTESIAN_POINT('Ctrl Pts',(26.5274174872517,-22.7568979249251, -41.9999999999963)); #496758=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.9999999999963)); #496759=CARTESIAN_POINT('Ctrl Pts',(22.7657224444502,-26.301256350383,-41.6360234136521)); #496760=CARTESIAN_POINT('Ctrl Pts',(22.9017010095301,-26.1841046806,-40.8484130704158)); #496761=CARTESIAN_POINT('Ctrl Pts',(23.3546648287113,-25.7815592518973, -40.117459985894)); #496762=CARTESIAN_POINT('Ctrl Pts',(23.8197646853781,-25.3510475304803, -39.7185189220646)); #496763=CARTESIAN_POINT('Ctrl Pts',(24.3463272066701,-24.8487613366452, -39.4729651557053)); #496764=CARTESIAN_POINT('Ctrl Pts',(24.8493031891744,-24.3456534394662, -39.472736749715)); #496765=CARTESIAN_POINT('Ctrl Pts',(25.2881783950467,-23.8857941601028, -39.686155272031)); #496766=CARTESIAN_POINT('Ctrl Pts',(25.5135481846868,-23.6441672618983, -39.8687383901902)); #496767=CARTESIAN_POINT('Ctrl Pts',(25.8213675203817,-23.3099646557025, -40.1887364028165)); #496768=CARTESIAN_POINT('Ctrl Pts',(26.1853085341154,-22.9003568031257, -40.8493679194596)); #496769=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.6360234136521)); #496770=CARTESIAN_POINT('Ctrl Pts',(26.301256350383,-22.7657224444502,-41.9999999999963)); #496771=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #496772=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-71.9999999999963)); #496773=CARTESIAN_POINT('Origin',(-1.76776695296637,-1.76776695296637,-41.9999999999963)); #496774=CARTESIAN_POINT('Origin',(14.5607235892093,-27.9691273015784,-36.9999999999963)); #496775=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-41.9999999999963)); #496776=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-41.9999999999963)); #496777=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-71.9999999999963)); #496778=CARTESIAN_POINT('',(14.6867219760143,-27.6225600747655,-71.9999999999963)); #496779=CARTESIAN_POINT('',(14.4347252024043,-28.3156945283913,-36.9999999999963)); #496780=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.9999999999963)); #496781=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -41.56907585012)); #496782=CARTESIAN_POINT('Ctrl Pts',(14.5029346986053,-27.7217147443555, -40.6363198392495)); #496783=CARTESIAN_POINT('Ctrl Pts',(13.8650793319928,-28.0482928019756, -39.7563187278504)); #496784=CARTESIAN_POINT('Ctrl Pts',(13.1773807964208,-28.3764129897822, -39.2694161629863)); #496785=CARTESIAN_POINT('Ctrl Pts',(12.3737997132818,-28.739814969874,-38.9670336489815)); #496786=CARTESIAN_POINT('Ctrl Pts',(11.5715096028268,-29.0719653272965, -38.9668391675895)); #496787=CARTESIAN_POINT('Ctrl Pts',(10.850731364131,-29.3441096966083,-39.2296659419547)); #496788=CARTESIAN_POINT('Ctrl Pts',(10.4762157279659,-29.4788906975085, -39.4527753928037)); #496789=CARTESIAN_POINT('Ctrl Pts',(9.96169922348201,-29.659066720871,-39.8421878501545)); #496790=CARTESIAN_POINT('Ctrl Pts',(9.34509069029159,-29.857991368968,-40.6374808803018)); #496791=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.5690758501202)); #496792=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-41.9999999999963)); #496793=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -41.9999999999963)); #496794=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -41.5949976584836)); #496795=CARTESIAN_POINT('Ctrl Pts',(14.4306640956282,-27.9454531995408, -40.7181864497831)); #496796=CARTESIAN_POINT('Ctrl Pts',(13.8300555113329,-28.2501937345753, -39.8859326725639)); #496797=CARTESIAN_POINT('Ctrl Pts',(13.179267150243,-28.558703673464,-39.4233833113314)); #496798=CARTESIAN_POINT('Ctrl Pts',(12.4172662528364,-28.9018698364063, -39.1353343062591)); #496799=CARTESIAN_POINT('Ctrl Pts',(11.6554271349701,-29.2172816708829, -39.1351703322502)); #496800=CARTESIAN_POINT('Ctrl Pts',(10.9728051090973,-29.4762235938922, -39.3855448714476)); #496801=CARTESIAN_POINT('Ctrl Pts',(10.6188862943053,-29.6045037193403, -39.597573643014)); #496802=CARTESIAN_POINT('Ctrl Pts',(10.1333479985907,-29.7759819778089, -39.9671561673242)); #496803=CARTESIAN_POINT('Ctrl Pts',(9.5545342250103,-29.9650584934171,-40.7192853983615)); #496804=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -41.5949976584837)); #496805=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -41.9999999999963)); #496806=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-41.9999999999963)); #496807=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-41.6209194668472)); #496808=CARTESIAN_POINT('Ctrl Pts',(14.358393492651,-28.1691916547261,-40.8000530603167)); #496809=CARTESIAN_POINT('Ctrl Pts',(13.7950316906729,-28.4520946671751, -40.0155466172774)); #496810=CARTESIAN_POINT('Ctrl Pts',(13.1811535040652,-28.7409943571458, -39.5773504596765)); #496811=CARTESIAN_POINT('Ctrl Pts',(12.4607327923911,-29.0639247029386, -39.3036349635367)); #496812=CARTESIAN_POINT('Ctrl Pts',(11.7393446671134,-29.3625980144693, -39.3035014969108)); #496813=CARTESIAN_POINT('Ctrl Pts',(11.0948788540636,-29.6083374911761, -39.5414238009404)); #496814=CARTESIAN_POINT('Ctrl Pts',(10.7615568606447,-29.7301167411722, -39.7423718932243)); #496815=CARTESIAN_POINT('Ctrl Pts',(10.3049967736995,-29.8928972347468, -40.0921244844938)); #496816=CARTESIAN_POINT('Ctrl Pts',(9.763977759729,-30.0721256178661,-40.8010899164212)); #496817=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -41.6209194668473)); #496818=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -41.9999999999963)); #496819=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.9999999999963)); #496820=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.6468412752109)); #496821=CARTESIAN_POINT('Ctrl Pts',(14.2861228896739,-28.3929301099114, -40.8819196708503)); #496822=CARTESIAN_POINT('Ctrl Pts',(13.760007870013,-28.6539955997749,-40.1451605619908)); #496823=CARTESIAN_POINT('Ctrl Pts',(13.1830398578875,-28.9232850408277, -39.7313176080216)); #496824=CARTESIAN_POINT('Ctrl Pts',(12.5041993319458,-29.2259795694709, -39.4719356208143)); #496825=CARTESIAN_POINT('Ctrl Pts',(11.8232621992568,-29.5079143580557, -39.4718326615715)); #496826=CARTESIAN_POINT('Ctrl Pts',(11.21695259903,-29.7404513884601,-39.6973027304333)); #496827=CARTESIAN_POINT('Ctrl Pts',(10.9042274269842,-29.855729763004,-39.8871701434346)); #496828=CARTESIAN_POINT('Ctrl Pts',(10.4766455488082,-30.0098124916846, -40.2170928016634)); #496829=CARTESIAN_POINT('Ctrl Pts',(9.9734212944477,-30.1791927423151,-40.8828944344808)); #496830=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.6468412752108)); #496831=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.9999999999963)); #496832=CARTESIAN_POINT('',(9.81532753984787,-30.2291116902168,-41.9999999999963)); #496833=CARTESIAN_POINT('',(9.81532753984787,-30.2291116902168,-41.9999999999963)); #496834=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.9999999999963)); #496835=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -41.6468412752109)); #496836=CARTESIAN_POINT('Ctrl Pts',(14.2861228896739,-28.3929301099114, -40.8819196708503)); #496837=CARTESIAN_POINT('Ctrl Pts',(13.760007870013,-28.6539955997749,-40.1451605619908)); #496838=CARTESIAN_POINT('Ctrl Pts',(13.1830398578875,-28.9232850408277, -39.7313176080216)); #496839=CARTESIAN_POINT('Ctrl Pts',(12.5041993319458,-29.2259795694709, -39.4719356208143)); #496840=CARTESIAN_POINT('Ctrl Pts',(11.8232621992568,-29.5079143580557, -39.4718326615715)); #496841=CARTESIAN_POINT('Ctrl Pts',(11.21695259903,-29.7404513884601,-39.6973027304333)); #496842=CARTESIAN_POINT('Ctrl Pts',(10.9042274269842,-29.855729763004,-39.8871701434346)); #496843=CARTESIAN_POINT('Ctrl Pts',(10.4766455488082,-30.0098124916846, -40.2170928016634)); #496844=CARTESIAN_POINT('Ctrl Pts',(9.9734212944477,-30.1791927423151,-40.8828944344808)); #496845=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.6468412752108)); #496846=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -41.9999999999963)); #496847=CARTESIAN_POINT('Origin',(9.48117318990308,-30.0731459677287,-36.9999999999963)); #496848=CARTESIAN_POINT('',(9.81532753984788,-30.2291116902168,-71.9999999999963)); #496849=CARTESIAN_POINT('',(9.81532753984788,-30.2291116902168,-71.9999999999963)); #496850=CARTESIAN_POINT('',(9.81532753984788,-30.2291116902168,-36.9999999999963)); #496851=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-71.9999999999963)); #496852=CARTESIAN_POINT('Ctrl Pts',(9.147018839958,-29.9171802452408,-72.4309241498726)); #496853=CARTESIAN_POINT('Ctrl Pts',(9.35237618740629,-29.8553721966302, -73.3668715804902)); #496854=CARTESIAN_POINT('Ctrl Pts',(9.97756832157587,-29.653662899491,-74.1760474749365)); #496855=CARTESIAN_POINT('Ctrl Pts',(10.4969442148276,-29.4711711874077, -74.5570595047342)); #496856=CARTESIAN_POINT('Ctrl Pts',(10.8761132494742,-29.334072150709,-74.7746044891835)); #496857=CARTESIAN_POINT('Ctrl Pts',(11.5693782374726,-29.0723359017053, -75.0269245582379)); #496858=CARTESIAN_POINT('Ctrl Pts',(12.3017796315826,-28.7689778189657, -75.027328392504)); #496859=CARTESIAN_POINT('Ctrl Pts',(12.9067397141537,-28.4985185695391, -74.8307852721028)); #496860=CARTESIAN_POINT('Ctrl Pts',(13.192246081912,-28.3672651486823,-74.694497101979)); #496861=CARTESIAN_POINT('Ctrl Pts',(13.4411961265283,-28.2502371167353, -74.5422008481413)); #496862=CARTESIAN_POINT('Ctrl Pts',(13.8122070924412,-28.0715172659982, -74.2468966519153)); #496863=CARTESIAN_POINT('Ctrl Pts',(14.1442557234346,-27.9052610628591, -73.8555158557815)); #496864=CARTESIAN_POINT('Ctrl Pts',(14.5683694483677,-27.6866400281637, -73.0646432126894)); #496865=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -72.4309241498726)); #496866=CARTESIAN_POINT('Ctrl Pts',(14.6867219760146,-27.6225600747654, -71.9999999999963)); #496867=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -71.9999999999963)); #496868=CARTESIAN_POINT('Ctrl Pts',(9.36978840658796,-30.0211573935661, -72.405002341509)); #496869=CARTESIAN_POINT('Ctrl Pts',(9.56136109414069,-29.9625879552736, -73.2848363119755)); #496870=CARTESIAN_POINT('Ctrl Pts',(10.1482425726198,-29.7708643492504, -74.0501009055502)); #496871=CARTESIAN_POINT('Ctrl Pts',(10.6384667831292,-29.5971775231749, -74.411807701097)); #496872=CARTESIAN_POINT('Ctrl Pts',(10.9968485306316,-29.4666986018337, -74.6185746737991)); #496873=CARTESIAN_POINT('Ctrl Pts',(11.6534217584218,-29.2176563509527, -74.8589559155145)); #496874=CARTESIAN_POINT('Ctrl Pts',(12.3488443959788,-28.9296139068241, -74.8593340012641)); #496875=CARTESIAN_POINT('Ctrl Pts',(12.9226132626248,-28.6740324833089, -74.6720839332798)); #496876=CARTESIAN_POINT('Ctrl Pts',(13.1931776002795,-28.5502574096048, -74.542427246721)); #496877=CARTESIAN_POINT('Ctrl Pts',(13.4288925155457,-28.4400984336517, -74.3976823987423)); #496878=CARTESIAN_POINT('Ctrl Pts',(13.7797434011862,-28.2722448635237, -74.1174362394879)); #496879=CARTESIAN_POINT('Ctrl Pts',(14.0929630081227,-28.1167124082544, -73.7469432733402)); #496880=CARTESIAN_POINT('Ctrl Pts',(14.4919363214198,-27.9129517414064, -73.0006883080132)); #496881=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -72.405002341509)); #496882=CARTESIAN_POINT('Ctrl Pts',(14.6027230514778,-27.8536048926407, -71.9999999999963)); #496883=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -71.9999999999963)); #496884=CARTESIAN_POINT('Ctrl Pts',(9.59255797321792,-30.1251345418915, -72.3790805331454)); #496885=CARTESIAN_POINT('Ctrl Pts',(9.77034600087509,-30.069803713917,-73.2028010434609)); #496886=CARTESIAN_POINT('Ctrl Pts',(10.3189168236637,-29.8880657990097, -73.924154336164)); #496887=CARTESIAN_POINT('Ctrl Pts',(10.7799893514307,-29.7231838589422, -74.2665558974599)); #496888=CARTESIAN_POINT('Ctrl Pts',(11.1175838117889,-29.5993250529583, -74.4625448584146)); #496889=CARTESIAN_POINT('Ctrl Pts',(11.7374652793709,-29.3629768002001, -74.690987272791)); #496890=CARTESIAN_POINT('Ctrl Pts',(12.3959091603751,-29.0902499946825, -74.6913396100241)); #496891=CARTESIAN_POINT('Ctrl Pts',(12.9384868110959,-28.8495463970787, -74.5133825944568)); #496892=CARTESIAN_POINT('Ctrl Pts',(13.194109118647,-28.7332496705273,-74.390357391463)); #496893=CARTESIAN_POINT('Ctrl Pts',(13.416588904563,-28.6299597505681,-74.2531639493434)); #496894=CARTESIAN_POINT('Ctrl Pts',(13.7472797099311,-28.4729724610492, -73.9879758270605)); #496895=CARTESIAN_POINT('Ctrl Pts',(14.0416702928107,-28.3281637536498, -73.638370690899)); #496896=CARTESIAN_POINT('Ctrl Pts',(14.4155031944719,-28.1392634546491, -72.936733403337)); #496897=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-72.3790805331454)); #496898=CARTESIAN_POINT('Ctrl Pts',(14.5187241269411,-28.084649710516,-71.9999999999963)); #496899=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -71.9999999999963)); #496900=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -72.3531587247818)); #496901=CARTESIAN_POINT('Ctrl Pts',(9.97933090760949,-30.1770194725604, -73.1207657749462)); #496902=CARTESIAN_POINT('Ctrl Pts',(10.4895910747076,-30.0052672487691, -73.7982077667778)); #496903=CARTESIAN_POINT('Ctrl Pts',(10.9215119197323,-29.8491901947094, -74.1213040938228)); #496904=CARTESIAN_POINT('Ctrl Pts',(11.2383190929463,-29.731951504083,-74.3065150430302)); #496905=CARTESIAN_POINT('Ctrl Pts',(11.82150880032,-29.5082972494474,-74.5230186300676)); #496906=CARTESIAN_POINT('Ctrl Pts',(12.4429739247714,-29.2508860825409, -74.5233452187842)); #496907=CARTESIAN_POINT('Ctrl Pts',(12.954360359567,-29.0250603108484,-74.3546812556338)); #496908=CARTESIAN_POINT('Ctrl Pts',(13.1950406370145,-28.9162419314499, -74.238287536205)); #496909=CARTESIAN_POINT('Ctrl Pts',(13.4042852935804,-28.8198210674846, -74.1086454999444)); #496910=CARTESIAN_POINT('Ctrl Pts',(13.714816018676,-28.6737000585747,-73.8585154146332)); #496911=CARTESIAN_POINT('Ctrl Pts',(13.9903775774988,-28.5396150990451, -73.5297981084578)); #496912=CARTESIAN_POINT('Ctrl Pts',(14.3390700675241,-28.3655751678918, -72.8727784986608)); #496913=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -72.3531587247818)); #496914=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -71.9999999999963)); #496915=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -71.9999999999963)); #496916=CARTESIAN_POINT('Ctrl Pts',(9.81532753984787,-30.2291116902168, -72.3531587247818)); #496917=CARTESIAN_POINT('Ctrl Pts',(9.97933090760949,-30.1770194725604, -73.1207657749462)); #496918=CARTESIAN_POINT('Ctrl Pts',(10.4895910747076,-30.0052672487691, -73.7982077667778)); #496919=CARTESIAN_POINT('Ctrl Pts',(10.9215119197323,-29.8491901947094, -74.1213040938228)); #496920=CARTESIAN_POINT('Ctrl Pts',(11.2383190929463,-29.731951504083,-74.3065150430302)); #496921=CARTESIAN_POINT('Ctrl Pts',(11.82150880032,-29.5082972494474,-74.5230186300676)); #496922=CARTESIAN_POINT('Ctrl Pts',(12.4429739247714,-29.2508860825409, -74.5233452187842)); #496923=CARTESIAN_POINT('Ctrl Pts',(12.954360359567,-29.0250603108484,-74.3546812556338)); #496924=CARTESIAN_POINT('Ctrl Pts',(13.1950406370145,-28.9162419314499, -74.238287536205)); #496925=CARTESIAN_POINT('Ctrl Pts',(13.4042852935804,-28.8198210674846, -74.1086454999444)); #496926=CARTESIAN_POINT('Ctrl Pts',(13.714816018676,-28.6737000585747,-73.8585154146332)); #496927=CARTESIAN_POINT('Ctrl Pts',(13.9903775774988,-28.5396150990451, -73.5297981084578)); #496928=CARTESIAN_POINT('Ctrl Pts',(14.3390700675241,-28.3655751678918, -72.8727784986608)); #496929=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -72.3531587247818)); #496930=CARTESIAN_POINT('Ctrl Pts',(14.4347252024043,-28.3156945283913, -71.9999999999963)); #496931=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #496932=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-41.9999999999963)); #496933=CARTESIAN_POINT('',(2.30969883127822,0.956708580912724,-41.9999999999963)); #496934=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-41.9999999999963)); #496935=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.9999999999963)); #496936=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.6360234136521)); #496937=CARTESIAN_POINT('Ctrl Pts',(11.0898830650671,-32.9711414711057, -41.0484527143575)); #496938=CARTESIAN_POINT('Ctrl Pts',(11.4896920935477,-32.833020349914,-40.4044602661914)); #496939=CARTESIAN_POINT('Ctrl Pts',(11.9697688799254,-32.6623415320771, -39.8973619360492)); #496940=CARTESIAN_POINT('Ctrl Pts',(12.8920034258531,-32.3157311587626, -39.4271366156153)); #496941=CARTESIAN_POINT('Ctrl Pts',(13.7278418375444,-31.9647050253481, -39.4865703393736)); #496942=CARTESIAN_POINT('Ctrl Pts',(14.2963782235929,-31.7110629020186, -39.7340625916827)); #496943=CARTESIAN_POINT('Ctrl Pts',(14.4829198190101,-31.6261129213256, -39.8476772327742)); #496944=CARTESIAN_POINT('Ctrl Pts',(14.6253640367189,-31.5604268318045, -39.951199759156)); #496945=CARTESIAN_POINT('Ctrl Pts',(14.9604220477366,-31.404630564742,-40.2272050318985)); #496946=CARTESIAN_POINT('Ctrl Pts',(15.4281567569182,-31.177851573554,-40.8511594566871)); #496947=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.6360234136522)); #496948=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.9999999999963)); #496949=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912724,-41.9999999999963)); #496950=CARTESIAN_POINT('Origin',(2.30969883127822,0.956708580912724,-71.9999999999963)); #496951=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-71.9999999999963)); #496952=CARTESIAN_POINT('',(2.30969883127822,0.956708580912724,-71.9999999999963)); #496953=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-1.69922627077548E-17)); #496954=CARTESIAN_POINT('Origin',(15.9056115803731,-31.2154330556657,-8.49613135387741E-18)); #496955=CARTESIAN_POINT('',(15.5871276161381,-31.0978400649391,-71.9999999999963)); #496956=CARTESIAN_POINT('',(16.2240955446081,-31.3330260463923,-41.9999999999963)); #496957=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -71.9999999999963)); #496958=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -72.3769789769373)); #496959=CARTESIAN_POINT('Ctrl Pts',(16.0901754767627,-31.403235950532,-73.1811333423016)); #496960=CARTESIAN_POINT('Ctrl Pts',(15.6775733808103,-31.61137048579,-73.8557760233492)); #496961=CARTESIAN_POINT('Ctrl Pts',(15.1503997067324,-31.8678455594431, -74.4415734872627)); #496962=CARTESIAN_POINT('Ctrl Pts',(14.5772375310006,-32.1388654816599, -74.8425318872903)); #496963=CARTESIAN_POINT('Ctrl Pts',(13.7122209691091,-32.5136196478123, -75.0201872306886)); #496964=CARTESIAN_POINT('Ctrl Pts',(13.0851331358693,-32.7709821105026, -74.9950461734071)); #496965=CARTESIAN_POINT('Ctrl Pts',(12.5367853562294,-32.983523574242,-74.8339257431517)); #496966=CARTESIAN_POINT('Ctrl Pts',(12.1837981819429,-33.1144105198619, -74.6498002029363)); #496967=CARTESIAN_POINT('Ctrl Pts',(11.9908391725251,-33.1845750735333, -74.5263381739447)); #496968=CARTESIAN_POINT('Ctrl Pts',(11.5366537300735,-33.3476097814803, -74.1942530810864)); #496969=CARTESIAN_POINT('Ctrl Pts',(10.9069592172154,-33.5581601541444, -73.4342154912846)); #496970=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -72.4523745148281)); #496971=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -71.9999999999963)); #496972=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,-31.2546307192411, -71.9999999999963)); #496973=CARTESIAN_POINT('Ctrl Pts',(16.0117840281746,-31.2546512541889, -72.3574787046102)); #496974=CARTESIAN_POINT('Ctrl Pts',(15.8837396638399,-31.3209568856176, -73.1200076408723)); #496975=CARTESIAN_POINT('Ctrl Pts',(15.4912982987128,-31.5170337852171, -73.7573325114398)); #496976=CARTESIAN_POINT('Ctrl Pts',(14.9915101253519,-31.7582770942582, -74.3093876136768)); #496977=CARTESIAN_POINT('Ctrl Pts',(14.4500864873786,-32.0126956671406, -74.6860583375296)); #496978=CARTESIAN_POINT('Ctrl Pts',(13.6360136783914,-32.36461227568,-74.8523924348761)); #496979=CARTESIAN_POINT('Ctrl Pts',(13.0464216915502,-32.6066971023399, -74.828874833552)); #496980=CARTESIAN_POINT('Ctrl Pts',(12.5306998362223,-32.8072558290411, -74.6779115298093)); #496981=CARTESIAN_POINT('Ctrl Pts',(12.1979722847183,-32.9313408777811, -74.5050386898406)); #496982=CARTESIAN_POINT('Ctrl Pts',(12.0161595752993,-32.9979067992473, -74.3890741124922)); #496983=CARTESIAN_POINT('Ctrl Pts',(11.5873373579609,-33.1529848922274, -74.0765582738442)); #496984=CARTESIAN_POINT('Ctrl Pts',(10.9908786893872,-33.3548121229487, -73.3597830986983)); #496985=CARTESIAN_POINT('Ctrl Pts',(10.7786213358869,-33.4223533548111, -72.4291566278142)); #496986=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,-33.4223945227279, -71.9999999999963)); #496987=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,-31.1762353920901, -71.9999999999963)); #496988=CARTESIAN_POINT('Ctrl Pts',(15.7994391325718,-31.1762148571423, -72.3379799213365)); #496989=CARTESIAN_POINT('Ctrl Pts',(15.677364704808,-31.2386584338603,-73.0589144123679)); #496990=CARTESIAN_POINT('Ctrl Pts',(15.3048774443369,-31.4226995583771, -73.6588059926706)); #496991=CARTESIAN_POINT('Ctrl Pts',(14.8327967556366,-31.6486101167981, -74.1773200381549)); #496992=CARTESIAN_POINT('Ctrl Pts',(14.3225046194562,-31.8866021123837, -74.5291165384728)); #496993=CARTESIAN_POINT('Ctrl Pts',(13.5595364918524,-32.2156210937191, -74.6841638766746)); #496994=CARTESIAN_POINT('Ctrl Pts',(13.0072652189258,-32.4425001774363, -74.6622795969948)); #496995=CARTESIAN_POINT('Ctrl Pts',(12.5236737371316,-32.6312763209972, -74.521422742896)); #496996=CARTESIAN_POINT('Ctrl Pts',(12.2116710408454,-32.7483722596385, -74.3598535644383)); #496997=CARTESIAN_POINT('Ctrl Pts',(12.0405721591665,-32.8114867231663, -74.2511841138048)); #496998=CARTESIAN_POINT('Ctrl Pts',(11.6380755068895,-32.9583187438964, -73.9589272437877)); #496999=CARTESIAN_POINT('Ctrl Pts',(11.074962135426,-33.1514609636382,-73.2855736390903)); #497000=CARTESIAN_POINT('Ctrl Pts',(10.8727358319825,-33.216867042663,-72.4053932482869)); #497001=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,-33.2168258747462, -71.9999999999963)); #497002=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-71.9999999999963)); #497003=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-72.3184796490094)); #497004=CARTESIAN_POINT('Ctrl Pts',(15.4709288918852,-31.1563793689459, -72.9977887109387)); #497005=CARTESIAN_POINT('Ctrl Pts',(15.1186023622394,-31.3283628578042, -73.5603624807612)); #497006=CARTESIAN_POINT('Ctrl Pts',(14.673907174256,-31.5390416516131,-74.045134164569)); #497007=CARTESIAN_POINT('Ctrl Pts',(14.1953535758342,-31.7604322978643, -74.3726429887121)); #497008=CARTESIAN_POINT('Ctrl Pts',(13.4833292011347,-32.0666137215868, -74.5163690808621)); #497009=CARTESIAN_POINT('Ctrl Pts',(12.9685537746067,-32.2782151692736, -74.4961082571397)); #497010=CARTESIAN_POINT('Ctrl Pts',(12.5175882171245,-32.4550085757963, -74.3654085295536)); #497011=CARTESIAN_POINT('Ctrl Pts',(12.2258451436209,-32.5653026175577, -74.2150920513426)); #497012=CARTESIAN_POINT('Ctrl Pts',(12.0658925619407,-32.6248184488803, -74.1139200523523)); #497013=CARTESIAN_POINT('Ctrl Pts',(11.6887591347769,-32.7636938546435, -73.8412324365455)); #497014=CARTESIAN_POINT('Ctrl Pts',(11.1588816075978,-32.9481129324424, -73.211141246504)); #497015=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -72.382175361273)); #497016=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -71.9999999999963)); #497017=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-71.9999999999963)); #497018=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-71.9999999999963)); #497019=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-71.9999999999963)); #497020=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-72.3184796490094)); #497021=CARTESIAN_POINT('Ctrl Pts',(15.4709288918852,-31.1563793689459, -72.9977887109387)); #497022=CARTESIAN_POINT('Ctrl Pts',(15.1186023622394,-31.3283628578042, -73.5603624807612)); #497023=CARTESIAN_POINT('Ctrl Pts',(14.673907174256,-31.5390416516131,-74.045134164569)); #497024=CARTESIAN_POINT('Ctrl Pts',(14.1953535758342,-31.7604322978643, -74.3726429887121)); #497025=CARTESIAN_POINT('Ctrl Pts',(13.4833292011347,-32.0666137215868, -74.5163690808621)); #497026=CARTESIAN_POINT('Ctrl Pts',(12.9685537746067,-32.2782151692736, -74.4961082571397)); #497027=CARTESIAN_POINT('Ctrl Pts',(12.5175882171245,-32.4550085757963, -74.3654085295536)); #497028=CARTESIAN_POINT('Ctrl Pts',(12.2258451436209,-32.5653026175577, -74.2150920513426)); #497029=CARTESIAN_POINT('Ctrl Pts',(12.0658925619407,-32.6248184488803, -74.1139200523523)); #497030=CARTESIAN_POINT('Ctrl Pts',(11.6887591347769,-32.7636938546435, -73.8412324365455)); #497031=CARTESIAN_POINT('Ctrl Pts',(11.1588816075978,-32.9481129324424, -73.211141246504)); #497032=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -72.382175361273)); #497033=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -71.9999999999963)); #497034=CARTESIAN_POINT('Origin',(10.8256785839348,-33.319610198737,-8.49613135387752E-18)); #497035=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-41.9999999999963)); #497036=CARTESIAN_POINT('',(10.9677299535817,-33.0112572267645,-1.6992262707755E-17)); #497037=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.9999999999963)); #497038=CARTESIAN_POINT('Ctrl Pts',(10.6836272142877,-33.6279631707096, -41.5691670674189)); #497039=CARTESIAN_POINT('Ctrl Pts',(10.8262529773141,-33.583729470805,-40.8734104372917)); #497040=CARTESIAN_POINT('Ctrl Pts',(11.3000914291598,-33.4267641853123, -40.1019162208715)); #497041=CARTESIAN_POINT('Ctrl Pts',(11.873545293007,-33.2297645232478,-39.4890387423029)); #497042=CARTESIAN_POINT('Ctrl Pts',(12.990113794297,-32.818792986549,-38.9105009992539)); #497043=CARTESIAN_POINT('Ctrl Pts',(14.0113092237049,-32.3887888394625, -38.9839537913088)); #497044=CARTESIAN_POINT('Ctrl Pts',(14.6970796501605,-32.0783097897773, -39.2881059063388)); #497045=CARTESIAN_POINT('Ctrl Pts',(14.9211277053548,-31.9743693559883, -39.42690532324)); #497046=CARTESIAN_POINT('Ctrl Pts',(15.0915174834944,-31.8941198394565, -39.5530149310027)); #497047=CARTESIAN_POINT('Ctrl Pts',(15.4913641980386,-31.7039164466773, -39.8884688799284)); #497048=CARTESIAN_POINT('Ctrl Pts',(16.0408643709427,-31.4289776354642, -40.6397814087302)); #497049=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.5691670674189)); #497050=CARTESIAN_POINT('Ctrl Pts',(16.2240955446082,-31.3330260463922, -41.9999999999963)); #497051=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,-33.4223945227279, -41.9999999999963)); #497052=CARTESIAN_POINT('Ctrl Pts',(10.7783281273857,-33.4223945227279, -41.5914525161633)); #497053=CARTESIAN_POINT('Ctrl Pts',(10.9141296732318,-33.3795334709052, -40.9317578629803)); #497054=CARTESIAN_POINT('Ctrl Pts',(11.3632916506225,-33.2288495735128, -40.2027642359781)); #497055=CARTESIAN_POINT('Ctrl Pts',(11.9056198219798,-33.0406235261909, -39.6251464735517)); #497056=CARTESIAN_POINT('Ctrl Pts',(12.957410338149,-32.6511057106202,-39.0827128713743)); #497057=CARTESIAN_POINT('Ctrl Pts',(13.9168200949848,-32.247427568091,-39.1514926406637)); #497058=CARTESIAN_POINT('Ctrl Pts',(14.5635125079713,-31.9558941605244, -39.4367581347867)); #497059=CARTESIAN_POINT('Ctrl Pts',(14.7750584099066,-31.8582838777674, -39.5671626264181)); #497060=CARTESIAN_POINT('Ctrl Pts',(14.9361330012359,-31.7828888369059, -39.6857432070538)); #497061=CARTESIAN_POINT('Ctrl Pts',(15.3143834812713,-31.6041544860322, -40.0013809305851)); #497062=CARTESIAN_POINT('Ctrl Pts',(15.8366284996012,-31.3452689481608, -40.7102407580492)); #497063=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,-31.2546307192411, -41.5914525161633)); #497064=CARTESIAN_POINT('Ctrl Pts',(16.0117729017849,-31.2546307192411, -41.9999999999963)); #497065=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,-33.2168258747462, -41.9999999999963)); #497066=CARTESIAN_POINT('Ctrl Pts',(10.8730290404837,-33.2168258747462, -41.6137379649077)); #497067=CARTESIAN_POINT('Ctrl Pts',(11.0020063691494,-33.1753374710055, -40.9901052886689)); #497068=CARTESIAN_POINT('Ctrl Pts',(11.4264918720851,-33.0309349617134, -40.3036122510847)); #497069=CARTESIAN_POINT('Ctrl Pts',(11.9376943509526,-32.851482529134,-39.7612542048004)); #497070=CARTESIAN_POINT('Ctrl Pts',(12.924706882001,-32.4834184346914,-39.2549247434948)); #497071=CARTESIAN_POINT('Ctrl Pts',(13.8223309662646,-32.1060662967195, -39.3190314900187)); #497072=CARTESIAN_POINT('Ctrl Pts',(14.4299453657821,-31.8334785312715, -39.5854103632347)); #497073=CARTESIAN_POINT('Ctrl Pts',(14.6289891144584,-31.7421983995465, -39.7074199295962)); #497074=CARTESIAN_POINT('Ctrl Pts',(14.7807485189774,-31.6716578343552, -39.8184714831049)); #497075=CARTESIAN_POINT('Ctrl Pts',(15.1374027645039,-31.5043925253871, -40.1142929812418)); #497076=CARTESIAN_POINT('Ctrl Pts',(15.6323926282597,-31.2615602608574, -40.7807001073682)); #497077=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,-31.1762353920901, -41.6137379649077)); #497078=CARTESIAN_POINT('Ctrl Pts',(15.7994502589615,-31.1762353920901, -41.9999999999963)); #497079=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.9999999999963)); #497080=CARTESIAN_POINT('Ctrl Pts',(10.9677299535817,-33.0112572267645, -41.6360234136521)); #497081=CARTESIAN_POINT('Ctrl Pts',(11.0898830650671,-32.9711414711057, -41.0484527143575)); #497082=CARTESIAN_POINT('Ctrl Pts',(11.4896920935477,-32.833020349914,-40.4044602661914)); #497083=CARTESIAN_POINT('Ctrl Pts',(11.9697688799254,-32.6623415320771, -39.8973619360492)); #497084=CARTESIAN_POINT('Ctrl Pts',(12.8920034258531,-32.3157311587626, -39.4271366156153)); #497085=CARTESIAN_POINT('Ctrl Pts',(13.7278418375444,-31.9647050253481, -39.4865703393736)); #497086=CARTESIAN_POINT('Ctrl Pts',(14.2963782235929,-31.7110629020186, -39.7340625916827)); #497087=CARTESIAN_POINT('Ctrl Pts',(14.4829198190101,-31.6261129213256, -39.8476772327742)); #497088=CARTESIAN_POINT('Ctrl Pts',(14.6253640367189,-31.5604268318045, -39.951199759156)); #497089=CARTESIAN_POINT('Ctrl Pts',(14.9604220477366,-31.404630564742,-40.2272050318985)); #497090=CARTESIAN_POINT('Ctrl Pts',(15.4281567569182,-31.177851573554,-40.8511594566871)); #497091=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.6360234136522)); #497092=CARTESIAN_POINT('Ctrl Pts',(15.5871276161381,-31.097840064939,-41.9999999999963)); #497093=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #497094=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912724,-71.9999999999963)); #497095=CARTESIAN_POINT('Origin',(-2.30969883127822,-0.956708580912724, -41.9999999999963)); #497096=CARTESIAN_POINT('Origin',(2.74903286660058,-31.4122519369689,-36.9999999999963)); #497097=CARTESIAN_POINT('',(2.5,-31.6842208093255,-41.9999999999963)); #497098=CARTESIAN_POINT('',(2.5,-31.6842208093255,-41.9999999999963)); #497099=CARTESIAN_POINT('',(2.5,-31.6842208093255,-71.9999999999963)); #497100=CARTESIAN_POINT('',(2.99806573320116,-31.1402830646123,-71.9999999999963)); #497101=CARTESIAN_POINT('',(2.5,-31.6842208093255,-36.9999999999963)); #497102=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.9999999999963)); #497103=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -41.6229411080467)); #497104=CARTESIAN_POINT('Ctrl Pts',(2.92265065521713,-31.1477521191636, -41.2207322699154)); #497105=CARTESIAN_POINT('Ctrl Pts',(2.66184706728322,-31.1709560841209, -40.5924600370047)); #497106=CARTESIAN_POINT('Ctrl Pts',(2.36967695701765,-31.1955823570898, -40.1060278321422)); #497107=CARTESIAN_POINT('Ctrl Pts',(1.7983103010186,-31.2347082085966,-39.5562171237088)); #497108=CARTESIAN_POINT('Ctrl Pts',(1.04548836146981,-31.2701995311934, -39.1458419291159)); #497109=CARTESIAN_POINT('Ctrl Pts',(0.222152805901888,-31.2868024633822, -38.9755165413878)); #497110=CARTESIAN_POINT('Ctrl Pts',(-0.573406631273976,-31.2824397414799, -39.0188632334263)); #497111=CARTESIAN_POINT('Ctrl Pts',(-1.39253535153344,-31.2564145094957, -39.2979614628205)); #497112=CARTESIAN_POINT('Ctrl Pts',(-2.06330010987658,-31.2179117184915, -39.7757648494925)); #497113=CARTESIAN_POINT('Ctrl Pts',(-2.57760565676926,-31.1788969923135, -40.3957724660351)); #497114=CARTESIAN_POINT('Ctrl Pts',(-2.92858776845565,-31.1471705220443, -41.1858727764707)); #497115=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.7360589842779)); #497116=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,-31.1402830646122, -41.9999999999963)); #497117=CARTESIAN_POINT('Ctrl Pts',(2.8320438221343,-31.3215956461833,-41.9999999999963)); #497118=CARTESIAN_POINT('Ctrl Pts',(2.83192751914566,-31.3216169572515, -41.6456788676496)); #497119=CARTESIAN_POINT('Ctrl Pts',(2.76152465025905,-31.3282747981286, -41.267531133745)); #497120=CARTESIAN_POINT('Ctrl Pts',(2.51685956320358,-31.3490558628019, -40.6758658630957)); #497121=CARTESIAN_POINT('Ctrl Pts',(2.24246512923729,-31.3711403674886, -40.2167423831278)); #497122=CARTESIAN_POINT('Ctrl Pts',(1.70396234090681,-31.4062767218673, -39.6960866856402)); #497123=CARTESIAN_POINT('Ctrl Pts',(0.991751697129353,-31.4382363162569, -39.3058167990188)); #497124=CARTESIAN_POINT('Ctrl Pts',(0.210637921083397,-31.4532162338243, -39.1433763214614)); #497125=CARTESIAN_POINT('Ctrl Pts',(-0.544178378290973,-31.4492643873063, -39.1848192600338)); #497126=CARTESIAN_POINT('Ctrl Pts',(-1.32056913530965,-31.4258141746819, -39.4506538283152)); #497127=CARTESIAN_POINT('Ctrl Pts',(-1.95403316663875,-31.3911852154008, -39.9042299577031)); #497128=CARTESIAN_POINT('Ctrl Pts',(-2.43801021145448,-31.3561636819641, -40.4905466031288)); #497129=CARTESIAN_POINT('Ctrl Pts',(-2.76698940688321,-31.3277668653954, -41.2348409845965)); #497130=CARTESIAN_POINT('Ctrl Pts',(-2.83215529318505,-31.321582024877, -41.7520402052301)); #497131=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,-31.3215956461833, -41.9999999999963)); #497132=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,-31.5029082277544, -41.9999999999963)); #497133=CARTESIAN_POINT('Ctrl Pts',(2.66613821405579,-31.5028869166863, -41.6682481424642)); #497134=CARTESIAN_POINT('Ctrl Pts',(2.60041686831183,-31.5087921370184, -41.3144961323201)); #497135=CARTESIAN_POINT('Ctrl Pts',(2.37215729419844,-31.5271518734524, -40.7590948689647)); #497136=CARTESIAN_POINT('Ctrl Pts',(2.11563524503093,-31.5466670806401, -40.3276875425443)); #497137=CARTESIAN_POINT('Ctrl Pts',(1.60995871493713,-31.577867986977,-39.8356247733571)); #497138=CARTESIAN_POINT('Ctrl Pts',(0.938313808500822,-31.6063011177647, -39.465562494066)); #497139=CARTESIAN_POINT('Ctrl Pts',(0.199819124283089,-31.6196471644295, -39.310962098713)); #497140=CARTESIAN_POINT('Ctrl Pts',(-0.514982568657494,-31.6161505136306, -39.3503169731888)); #497141=CARTESIAN_POINT('Ctrl Pts',(-1.24837799110308,-31.5952451877456, -39.6030410918412)); #497142=CARTESIAN_POINT('Ctrl Pts',(-1.84492045022861,-31.5644498663377, -40.0326426173268)); #497143=CARTESIAN_POINT('Ctrl Pts',(-2.29849331941184,-31.5334306335288, -40.5852960312946)); #497144=CARTESIAN_POINT('Ctrl Pts',(-2.60567879779307,-31.5083199138368, -41.2839199687768)); #497145=CARTESIAN_POINT('Ctrl Pts',(-2.66591044001642,-31.5029218490608, -41.7677090814873)); #497146=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106716,-31.5029082277544, -41.9999999999963)); #497147=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.9999999999963)); #497148=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.6909859020671)); #497149=CARTESIAN_POINT('Ctrl Pts',(2.43929086335375,-31.6893148159833, -41.3612949961497)); #497150=CARTESIAN_POINT('Ctrl Pts',(2.22716979011879,-31.7052516521334, -40.8425006950557)); #497151=CARTESIAN_POINT('Ctrl Pts',(1.98842341725057,-31.7222250910389, -40.43840209353)); #497152=CARTESIAN_POINT('Ctrl Pts',(1.51561075482534,-31.7494365002477, -39.9754943352885)); #497153=CARTESIAN_POINT('Ctrl Pts',(0.884577144160366,-31.7743379028282, -39.6255373639689)); #497154=CARTESIAN_POINT('Ctrl Pts',(0.188304239464598,-31.7860609348716, -39.4788218787867)); #497155=CARTESIAN_POINT('Ctrl Pts',(-0.485754315674491,-31.782975159457, -39.5162729997963)); #497156=CARTESIAN_POINT('Ctrl Pts',(-1.17641177487929,-31.7646448529318, -39.7557334573359)); #497157=CARTESIAN_POINT('Ctrl Pts',(-1.73565350699079,-31.7377233632469, -40.1611077255374)); #497158=CARTESIAN_POINT('Ctrl Pts',(-2.15889787409706,-31.7106973231795, -40.6800701683882)); #497159=CARTESIAN_POINT('Ctrl Pts',(-2.44408043622064,-31.6889162571879, -41.3328881769025)); #497160=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.7836903024394)); #497161=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.9999999999963)); #497162=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-41.9999999999963)); #497163=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-41.9999999999963)); #497164=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.9999999999963)); #497165=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-41.6909859020671)); #497166=CARTESIAN_POINT('Ctrl Pts',(2.43929086335375,-31.6893148159833, -41.3612949961497)); #497167=CARTESIAN_POINT('Ctrl Pts',(2.22716979011879,-31.7052516521334, -40.8425006950557)); #497168=CARTESIAN_POINT('Ctrl Pts',(1.98842341725057,-31.7222250910389, -40.43840209353)); #497169=CARTESIAN_POINT('Ctrl Pts',(1.51561075482534,-31.7494365002477, -39.9754943352885)); #497170=CARTESIAN_POINT('Ctrl Pts',(0.884577144160366,-31.7743379028282, -39.6255373639689)); #497171=CARTESIAN_POINT('Ctrl Pts',(0.188304239464598,-31.7860609348716, -39.4788218787867)); #497172=CARTESIAN_POINT('Ctrl Pts',(-0.485754315674491,-31.782975159457, -39.5162729997963)); #497173=CARTESIAN_POINT('Ctrl Pts',(-1.17641177487929,-31.7646448529318, -39.7557334573359)); #497174=CARTESIAN_POINT('Ctrl Pts',(-1.73565350699079,-31.7377233632469, -40.1611077255374)); #497175=CARTESIAN_POINT('Ctrl Pts',(-2.15889787409706,-31.7106973231795, -40.6800701683882)); #497176=CARTESIAN_POINT('Ctrl Pts',(-2.44408043622064,-31.6889162571879, -41.3328881769025)); #497177=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.7836903024394)); #497178=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-41.9999999999963)); #497179=CARTESIAN_POINT('Origin',(-2.74903286660059,-31.4122519369689,-36.9999999999963)); #497180=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-71.9999999999963)); #497181=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-71.9999999999963)); #497182=CARTESIAN_POINT('',(-2.5,-31.6842208093255,-36.9999999999963)); #497183=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -71.9999999999963)); #497184=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320147,-31.1402830646122, -72.301647113556)); #497185=CARTESIAN_POINT('Ctrl Pts',(-2.88211630030153,-31.1520163545224, -73.0980535889786)); #497186=CARTESIAN_POINT('Ctrl Pts',(-2.44163805525231,-31.1906466586535, -73.8035267244078)); #497187=CARTESIAN_POINT('Ctrl Pts',(-1.80229847400781,-31.2342166283658, -74.4425644606306)); #497188=CARTESIAN_POINT('Ctrl Pts',(-1.16826922549472,-31.2690320522606, -74.8414946520618)); #497189=CARTESIAN_POINT('Ctrl Pts',(-0.226168178399011,-31.2862502104277, -75.0195615837175)); #497190=CARTESIAN_POINT('Ctrl Pts',(0.452677940293836,-31.2837271586723, -74.9941920064579)); #497191=CARTESIAN_POINT('Ctrl Pts',(1.039165140868,-31.2686359342912,-74.8337760832349)); #497192=CARTESIAN_POINT('Ctrl Pts',(1.47109641533521,-31.2502164513851, -74.6221610915503)); #497193=CARTESIAN_POINT('Ctrl Pts',(2.06453590539366,-31.2194200752269, -74.2390101981086)); #497194=CARTESIAN_POINT('Ctrl Pts',(2.76366062205647,-31.1639934207578, -73.434646002734)); #497195=CARTESIAN_POINT('Ctrl Pts',(2.99806573320145,-31.1402830646122, -72.4524702530429)); #497196=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,-31.1402830646122, -71.9999999999963)); #497197=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,-31.3215956461833, -71.9999999999963)); #497198=CARTESIAN_POINT('Ctrl Pts',(-2.83203549034854,-31.3216060183274, -72.2835786173947)); #497199=CARTESIAN_POINT('Ctrl Pts',(-2.72371162959156,-31.3320804814378, -73.0319105054008)); #497200=CARTESIAN_POINT('Ctrl Pts',(-2.31038936500647,-31.3667142682687, -73.697784050294)); #497201=CARTESIAN_POINT('Ctrl Pts',(-1.70782126359754,-31.4058483579808, -74.3028323195376)); #497202=CARTESIAN_POINT('Ctrl Pts',(-1.10848255799049,-31.4372168893433, -74.6822371092935)); #497203=CARTESIAN_POINT('Ctrl Pts',(-0.214690913513984,-31.4527455845373, -74.8520944545949)); #497204=CARTESIAN_POINT('Ctrl Pts',(0.429363578236621,-31.4504761893747, -74.8278860774522)); #497205=CARTESIAN_POINT('Ctrl Pts',(0.985480910922552,-31.4368615904815, -74.6749875679294)); #497206=CARTESIAN_POINT('Ctrl Pts',(1.39416628454743,-31.4202909801928, -74.4738265294769)); #497207=CARTESIAN_POINT('Ctrl Pts',(1.95532735910022,-31.3925568110089, -74.1098325910012)); #497208=CARTESIAN_POINT('Ctrl Pts',(2.61325348369832,-31.34274744907,-73.3484728442464)); #497209=CARTESIAN_POINT('Ctrl Pts',(2.83143882462627,-31.3216992478607, -72.4253842111196)); #497210=CARTESIAN_POINT('Ctrl Pts',(2.8320438221343,-31.3215956461833,-71.9999999999963)); #497211=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106716,-31.5029082277544, -71.9999999999963)); #497212=CARTESIAN_POINT('Ctrl Pts',(-2.66603024285293,-31.5028978556104, -72.2652797745011)); #497213=CARTESIAN_POINT('Ctrl Pts',(-2.56527517902904,-31.5121422135429, -72.9657937500324)); #497214=CARTESIAN_POINT('Ctrl Pts',(-2.17906360044532,-31.5427324915066, -73.5917940128847)); #497215=CARTESIAN_POINT('Ctrl Pts',(-1.61318278567598,-31.5774584310487, -74.1630032490391)); #497216=CARTESIAN_POINT('Ctrl Pts',(-1.04827607451608,-31.6053187459668, -74.5227375492946)); #497217=CARTESIAN_POINT('Ctrl Pts',(-0.202970366195809,-31.6191664079612, -74.6842798174933)); #497218=CARTESIAN_POINT('Ctrl Pts',(0.406833160798507,-31.6171262566938, -74.6611987997926)); #497219=CARTESIAN_POINT('Ctrl Pts',(0.932507662456757,-31.6050102957089, -74.5158992780307)); #497220=CARTESIAN_POINT('Ctrl Pts',(1.31826395668448,-31.5902377746396, -74.3249392127562)); #497221=CARTESIAN_POINT('Ctrl Pts',(1.84664435096957,-31.565611855158,-73.9804672574794)); #497222=CARTESIAN_POINT('Ctrl Pts',(2.46210487848763,-31.5216172263122, -73.2626348743729)); #497223=CARTESIAN_POINT('Ctrl Pts',(2.66662690857519,-31.5028046260771, -72.3979026174477)); #497224=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,-31.5029082277544, -71.9999999999963)); #497225=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-71.9999999999963)); #497226=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-72.2472112783398)); #497227=CARTESIAN_POINT('Ctrl Pts',(-2.40687050831908,-31.6922063404582, -72.8996506664546)); #497228=CARTESIAN_POINT('Ctrl Pts',(-2.04781491019948,-31.7188001011219, -73.4860513387709)); #497229=CARTESIAN_POINT('Ctrl Pts',(-1.51870557526571,-31.7490901606637, -74.0232711079461)); #497230=CARTESIAN_POINT('Ctrl Pts',(-0.98848940701184,-31.7735035830495, -74.3634800065263)); #497231=CARTESIAN_POINT('Ctrl Pts',(-0.191493101310782,-31.7856617820708, -74.5168126883706)); #497232=CARTESIAN_POINT('Ctrl Pts',(0.383518798741293,-31.7838752873961, -74.4948928707868)); #497233=CARTESIAN_POINT('Ctrl Pts',(0.878823432511312,-31.7732359518992, -74.3571107627252)); #497234=CARTESIAN_POINT('Ctrl Pts',(1.24133382589669,-31.7603123034473, -74.1766046506827)); #497235=CARTESIAN_POINT('Ctrl Pts',(1.73743580467613,-31.73874859094,-73.851289650372)); #497236=CARTESIAN_POINT('Ctrl Pts',(2.31169774012948,-31.7003712546244, -73.1764617158853)); #497237=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-72.3708165755243)); #497238=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-71.9999999999963)); #497239=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-71.9999999999963)); #497240=CARTESIAN_POINT('Ctrl Pts',(-2.5,-31.6842208093255,-72.2472112783398)); #497241=CARTESIAN_POINT('Ctrl Pts',(-2.40687050831908,-31.6922063404582, -72.8996506664546)); #497242=CARTESIAN_POINT('Ctrl Pts',(-2.04781491019948,-31.7188001011219, -73.4860513387709)); #497243=CARTESIAN_POINT('Ctrl Pts',(-1.51870557526571,-31.7490901606637, -74.0232711079461)); #497244=CARTESIAN_POINT('Ctrl Pts',(-0.98848940701184,-31.7735035830495, -74.3634800065263)); #497245=CARTESIAN_POINT('Ctrl Pts',(-0.191493101310782,-31.7856617820708, -74.5168126883706)); #497246=CARTESIAN_POINT('Ctrl Pts',(0.383518798741293,-31.7838752873961, -74.4948928707868)); #497247=CARTESIAN_POINT('Ctrl Pts',(0.878823432511312,-31.7732359518992, -74.3571107627252)); #497248=CARTESIAN_POINT('Ctrl Pts',(1.24133382589669,-31.7603123034473, -74.1766046506827)); #497249=CARTESIAN_POINT('Ctrl Pts',(1.73743580467613,-31.73874859094,-73.851289650372)); #497250=CARTESIAN_POINT('Ctrl Pts',(2.31169774012948,-31.7003712546244, -73.1764617158853)); #497251=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-72.3708165755243)); #497252=CARTESIAN_POINT('Ctrl Pts',(2.5,-31.6842208093255,-71.9999999999963)); #497253=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #497254=CARTESIAN_POINT('',(2.5,-34.6955934381631,-41.9999999999963)); #497255=CARTESIAN_POINT('',(2.5,-3.06161699786838E-16,-41.9999999999963)); #497256=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-41.9999999999963)); #497257=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.9999999999963)); #497258=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.6815203509833)); #497259=CARTESIAN_POINT('Ctrl Pts',(-2.37021417276786,-34.7052029075409, -41.0022434295061)); #497260=CARTESIAN_POINT('Ctrl Pts',(-1.97886874793944,-34.7292643938556, -40.4396859929983)); #497261=CARTESIAN_POINT('Ctrl Pts',(-1.48736433803871,-34.7537247146474, -39.9549452826181)); #497262=CARTESIAN_POINT('Ctrl Pts',(-0.960380588262182,-34.7751185073986, -39.6275477251911)); #497263=CARTESIAN_POINT('Ctrl Pts',(-0.185377220627375,-34.7855125245311, -39.4838300440718)); #497264=CARTESIAN_POINT('Ctrl Pts',(0.371216479419964,-34.7840104949327, -39.5040955275505)); #497265=CARTESIAN_POINT('Ctrl Pts',(0.855532253144181,-34.7747694868319, -39.6347946211763)); #497266=CARTESIAN_POINT('Ctrl Pts',(1.16726603809894,-34.765023309784,-39.7851044784792)); #497267=CARTESIAN_POINT('Ctrl Pts',(1.33782193599936,-34.7587974199643, -39.8862778322469)); #497268=CARTESIAN_POINT('Ctrl Pts',(1.73934258618067,-34.7427826609542, -40.1589276459299)); #497269=CARTESIAN_POINT('Ctrl Pts',(2.29942451612704,-34.7103905226104, -40.7889803329579)); #497270=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.6178246387197)); #497271=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.9999999999963)); #497272=CARTESIAN_POINT('',(-2.5,3.06161699786838E-16,-41.9999999999963)); #497273=CARTESIAN_POINT('Origin',(2.5,-3.06161699786838E-16,-71.9999999999963)); #497274=CARTESIAN_POINT('',(2.5,-34.6955934381631,-71.9999999999963)); #497275=CARTESIAN_POINT('',(2.5,-3.06161699786838E-16,-71.9999999999963)); #497276=CARTESIAN_POINT('',(2.49999999999999,-34.6955934381631,-1.6992262707755E-17)); #497277=CARTESIAN_POINT('Origin',(2.74923992667641,-34.9261137320489,-8.49613135387748E-18)); #497278=CARTESIAN_POINT('',(2.5,-34.6955934381631,-71.9999999999963)); #497279=CARTESIAN_POINT('',(2.99847985335284,-35.1566340259346,-41.9999999999963)); #497280=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -71.9999999999963)); #497281=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -72.3769789769375)); #497282=CARTESIAN_POINT('Ctrl Pts',(2.92335578327562,-35.1632544888337, -72.7790509760547)); #497283=CARTESIAN_POINT('Ctrl Pts',(2.66286853330322,-35.1838182475565, -73.4071827203359)); #497284=CARTESIAN_POINT('Ctrl Pts',(2.37063799188117,-35.2056647501411, -73.8938802377274)); #497285=CARTESIAN_POINT('Ctrl Pts',(1.80016088704691,-35.2403187608639, -74.4429937698031)); #497286=CARTESIAN_POINT('Ctrl Pts',(1.04646918138161,-35.2718356842161, -74.8538817734599)); #497287=CARTESIAN_POINT('Ctrl Pts',(0.224712139355435,-35.286557940586, -75.0240613804536)); #497288=CARTESIAN_POINT('Ctrl Pts',(-0.573825811525724,-35.2827781269774, -74.9828437484492)); #497289=CARTESIAN_POINT('Ctrl Pts',(-1.6644431944176,-35.2516536310539, -74.6025954812563)); #497290=CARTESIAN_POINT('Ctrl Pts',(-2.46314635406514,-35.199843464431, -73.8600849660024)); #497291=CARTESIAN_POINT('Ctrl Pts',(-2.92919175799491,-35.1628828640525, -72.8173586962704)); #497292=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -72.2638851551065)); #497293=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -71.9999999999963)); #497294=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,-35.0029538300108, -71.9999999999963)); #497295=CARTESIAN_POINT('Ctrl Pts',(2.83224701350575,-35.002971491332,-72.3574690573086)); #497296=CARTESIAN_POINT('Ctrl Pts',(2.76073760855291,-35.0089235987888, -72.73874993441)); #497297=CARTESIAN_POINT('Ctrl Pts',(2.51292362940006,-35.027447346734,-73.3333042459556)); #497298=CARTESIAN_POINT('Ctrl Pts',(2.23537526518512,-35.0471118195586, -73.7934236484541)); #497299=CARTESIAN_POINT('Ctrl Pts',(1.69533134828063,-35.0782286251985, -74.3106273054542)); #497300=CARTESIAN_POINT('Ctrl Pts',(0.984370094966375,-35.1064675062812, -74.6963324810862)); #497301=CARTESIAN_POINT('Ctrl Pts',(0.211219692120301,-35.1196535472036, -74.8557930566564)); #497302=CARTESIAN_POINT('Ctrl Pts',(-0.539687387629336,-35.1162547755543, -74.8170690879072)); #497303=CARTESIAN_POINT('Ctrl Pts',(-1.56654782059092,-35.0884054654276, -74.4607841212361)); #497304=CARTESIAN_POINT('Ctrl Pts',(-2.32298037094239,-35.0418936368693, -73.7622387850387)); #497305=CARTESIAN_POINT('Ctrl Pts',(-2.76624054749851,-35.0085870667452, -72.7750063250278)); #497306=CARTESIAN_POINT('Ctrl Pts',(-2.83240594146366,-35.0029600188493, -72.2503211436991)); #497307=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223533,-35.0029538300108, -71.9999999999963)); #497308=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,-34.8492736340869, -71.9999999999963)); #497309=CARTESIAN_POINT('Ctrl Pts',(2.66623283984723,-34.8492559727656, -72.3379895686382)); #497310=CARTESIAN_POINT('Ctrl Pts',(2.59800549224832,-34.8545950900272, -72.6984176478078)); #497311=CARTESIAN_POINT('Ctrl Pts',(2.3631951027341,-34.8710667206353,-73.2595280119508)); #497312=CARTESIAN_POINT('Ctrl Pts',(2.1002782228386,-34.888538811058,-73.6928713762972)); #497313=CARTESIAN_POINT('Ctrl Pts',(1.59062533034137,-34.9161479624034, -74.1785340910578)); #497314=CARTESIAN_POINT('Ctrl Pts',(0.92244500515162,-34.9411303167064, -74.5392625716817)); #497315=CARTESIAN_POINT('Ctrl Pts',(0.197926174076321,-34.952741709554, -74.6876586933037)); #497316=CARTESIAN_POINT('Ctrl Pts',(-0.505245896775481,-34.9497754594249, -74.6519246484252)); #497317=CARTESIAN_POINT('Ctrl Pts',(-1.46867235295224,-34.9251695846085, -74.3192850114183)); #497318=CARTESIAN_POINT('Ctrl Pts',(-2.18268849375825,-34.8839161830047, -73.6639753684194)); #497319=CARTESIAN_POINT('Ctrl Pts',(-2.60340329165412,-34.8542887458122, -72.7328277555689)); #497320=CARTESIAN_POINT('Ctrl Pts',(-2.66607391188934,-34.8492674452483, -72.2364996569434)); #497321=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111767,-34.8492736340869, -71.9999999999963)); #497322=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-71.9999999999963)); #497323=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-72.3184796490094)); #497324=CARTESIAN_POINT('Ctrl Pts',(2.43538731752562,-34.7002641999823, -72.6581166061631)); #497325=CARTESIAN_POINT('Ctrl Pts',(2.21325019883094,-34.7146958198128, -73.1856495375704)); #497326=CARTESIAN_POINT('Ctrl Pts',(1.96501549614255,-34.7299858804755, -73.5924147870239)); #497327=CARTESIAN_POINT('Ctrl Pts',(1.4857957915751,-34.7540578267379,-74.046167626709)); #497328=CARTESIAN_POINT('Ctrl Pts',(0.860345918736383,-34.7757621387715, -74.381713279308)); #497329=CARTESIAN_POINT('Ctrl Pts',(0.184433726841186,-34.7858373161717, -74.5193903695065)); #497330=CARTESIAN_POINT('Ctrl Pts',(-0.471107472879093,-34.7832521080018, -74.4861499878832)); #497331=CARTESIAN_POINT('Ctrl Pts',(-1.37077697912556,-34.7619214189821, -74.1774736513981)); #497332=CARTESIAN_POINT('Ctrl Pts',(-2.04252251063551,-34.725966355443, -73.5661291874558)); #497333=CARTESIAN_POINT('Ctrl Pts',(-2.44045208115771,-34.6999929485049, -72.6904753843263)); #497334=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-72.222935645536)); #497335=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-71.9999999999963)); #497336=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-71.9999999999963)); #497337=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-71.9999999999963)); #497338=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-71.9999999999963)); #497339=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-72.3184796490094)); #497340=CARTESIAN_POINT('Ctrl Pts',(2.43538731752562,-34.7002641999823, -72.6581166061631)); #497341=CARTESIAN_POINT('Ctrl Pts',(2.21325019883094,-34.7146958198128, -73.1856495375704)); #497342=CARTESIAN_POINT('Ctrl Pts',(1.96501549614255,-34.7299858804755, -73.5924147870239)); #497343=CARTESIAN_POINT('Ctrl Pts',(1.4857957915751,-34.7540578267379,-74.046167626709)); #497344=CARTESIAN_POINT('Ctrl Pts',(0.860345918736383,-34.7757621387715, -74.381713279308)); #497345=CARTESIAN_POINT('Ctrl Pts',(0.184433726841186,-34.7858373161717, -74.5193903695065)); #497346=CARTESIAN_POINT('Ctrl Pts',(-0.471107472879093,-34.7832521080018, -74.4861499878832)); #497347=CARTESIAN_POINT('Ctrl Pts',(-1.37077697912556,-34.7619214189821, -74.1774736513981)); #497348=CARTESIAN_POINT('Ctrl Pts',(-2.04252251063551,-34.725966355443, -73.5661291874558)); #497349=CARTESIAN_POINT('Ctrl Pts',(-2.44045208115771,-34.6999929485049, -72.6904753843263)); #497350=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-72.222935645536)); #497351=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-71.9999999999963)); #497352=CARTESIAN_POINT('Origin',(-2.74923992667642,-34.9261137320489,-8.49613135387749E-18)); #497353=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-41.9999999999963)); #497354=CARTESIAN_POINT('',(-2.5,-34.6955934381631,-1.6992262707755E-17)); #497355=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.9999999999963)); #497356=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,-35.1566340259346, -41.6230210230552)); #497357=CARTESIAN_POINT('Ctrl Pts',(-2.84784849597214,-35.1702415436452, -40.8189051297939)); #497358=CARTESIAN_POINT('Ctrl Pts',(-2.38697763606977,-35.2046353668865, -40.1442820147055)); #497359=CARTESIAN_POINT('Ctrl Pts',(-1.8017410739773,-35.2398411863087, -39.5585217332267)); #497360=CARTESIAN_POINT('Ctrl Pts',(-1.16833283598924,-35.2708773728055, -39.1576963872367)); #497361=CARTESIAN_POINT('Ctrl Pts',(-0.225739944213127,-35.2860764527274, -38.9800510792418)); #497362=CARTESIAN_POINT('Ctrl Pts',(0.452133669497831,-35.2838719006109, -39.0051976387664)); #497363=CARTESIAN_POINT('Ctrl Pts',(1.04010294635549,-35.2703913294483, -39.1663172244997)); #497364=CARTESIAN_POINT('Ctrl Pts',(1.41629767962994,-35.2562336697441, -39.3504348704489)); #497365=CARTESIAN_POINT('Ctrl Pts',(1.621423206754,-35.2472148465392,-39.4738985205467)); #497366=CARTESIAN_POINT('Ctrl Pts',(2.10336670575776,-35.2240353496866, -39.8059384216226)); #497367=CARTESIAN_POINT('Ctrl Pts',(2.76566038187735,-35.1775879542263, -40.5659303507731)); #497368=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.5476254851644)); #497369=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,-35.1566340259346, -41.9999999999963)); #497370=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223533,-35.0029538300108, -41.9999999999963)); #497371=CARTESIAN_POINT('Ctrl Pts',(-2.83232232329476,-35.0029770597137, -41.6425212953823)); #497372=CARTESIAN_POINT('Ctrl Pts',(-2.68861350860868,-35.0152260341642, -40.8800308312231)); #497373=CARTESIAN_POINT('Ctrl Pts',(-2.25098299267621,-35.0461952322814, -40.2427255266149)); #497374=CARTESIAN_POINT('Ctrl Pts',(-1.6968762781465,-35.0778087135459, -39.6907076068125)); #497375=CARTESIAN_POINT('Ctrl Pts',(-1.09914368693057,-35.1056530657483, -39.3141699369974)); #497376=CARTESIAN_POINT('Ctrl Pts',(-0.212356240706144,-35.1192483238379, -39.1478458750543)); #497377=CARTESIAN_POINT('Ctrl Pts',(0.425029229768379,-35.1172781156871, -39.1713689786215)); #497378=CARTESIAN_POINT('Ctrl Pts',(0.978270487985991,-35.1052123397312, -39.3223314378421)); #497379=CARTESIAN_POINT('Ctrl Pts',(1.33314479719068,-35.0925235687022, -39.4951963835446)); #497380=CARTESIAN_POINT('Ctrl Pts',(1.52659534895853,-35.0844455471997, -39.6111625819992)); #497381=CARTESIAN_POINT('Ctrl Pts',(1.98206141867617,-35.0636211826732, -39.9236332288648)); #497382=CARTESIAN_POINT('Ctrl Pts',(2.61031097661605,-35.0218334618811, -40.6403627433594)); #497383=CARTESIAN_POINT('Ctrl Pts',(2.83203325862256,-35.0030280018507, -41.5708433721784)); #497384=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,-35.0029538300108, -41.9999999999963)); #497385=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111767,-34.8492736340869, -41.9999999999963)); #497386=CARTESIAN_POINT('Ctrl Pts',(-2.66615753005823,-34.849250404384, -41.6620200786562)); #497387=CARTESIAN_POINT('Ctrl Pts',(-2.52944916013132,-34.8602184170219, -40.9411177280769)); #497388=CARTESIAN_POINT('Ctrl Pts',(-2.114863391333,-34.8877045284607,-40.3412424810889)); #497389=CARTESIAN_POINT('Ctrl Pts',(-1.59222913386951,-34.9157571874102, -39.8227594090322)); #497390=CARTESIAN_POINT('Ctrl Pts',(-1.02956973732085,-34.9403428144558, -39.4710741754304)); #497391=CARTESIAN_POINT('Ctrl Pts',(-0.198760924134358,-34.9523406534205, -39.3160352482593)); #497392=CARTESIAN_POINT('Ctrl Pts',(0.398320919149415,-34.9506042798566, -39.3379241876954)); #497393=CARTESIAN_POINT('Ctrl Pts',(0.917364711513676,-34.939948476549, -39.4787804078339)); #497394=CARTESIAN_POINT('Ctrl Pts',(1.2504189205382,-34.9287334108259,-39.6403429653835)); #497395=CARTESIAN_POINT('Ctrl Pts',(1.43264979379483,-34.9215667193037, -39.7490137707944)); #497396=CARTESIAN_POINT('Ctrl Pts',(1.86064787326225,-34.9031968279676, -40.0412328386877)); #497397=CARTESIAN_POINT('Ctrl Pts',(2.45477392138835,-34.8661450149556, -40.7145479403716)); #497398=CARTESIAN_POINT('Ctrl Pts',(2.66644659473041,-34.849199462247,-41.5946067517057)); #497399=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,-34.8492736340869, -41.9999999999963)); #497400=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.9999999999963)); #497401=CARTESIAN_POINT('Ctrl Pts',(-2.5,-34.6955934381631,-41.6815203509833)); #497402=CARTESIAN_POINT('Ctrl Pts',(-2.37021417276786,-34.7052029075409, -41.0022434295061)); #497403=CARTESIAN_POINT('Ctrl Pts',(-1.97886874793944,-34.7292643938556, -40.4396859929983)); #497404=CARTESIAN_POINT('Ctrl Pts',(-1.48736433803871,-34.7537247146474, -39.9549452826181)); #497405=CARTESIAN_POINT('Ctrl Pts',(-0.960380588262182,-34.7751185073986, -39.6275477251911)); #497406=CARTESIAN_POINT('Ctrl Pts',(-0.185377220627375,-34.7855125245311, -39.4838300440718)); #497407=CARTESIAN_POINT('Ctrl Pts',(0.371216479419964,-34.7840104949327, -39.5040955275505)); #497408=CARTESIAN_POINT('Ctrl Pts',(0.855532253144181,-34.7747694868319, -39.6347946211763)); #497409=CARTESIAN_POINT('Ctrl Pts',(1.16726603809894,-34.765023309784,-39.7851044784792)); #497410=CARTESIAN_POINT('Ctrl Pts',(1.33782193599936,-34.7587974199643, -39.8862778322469)); #497411=CARTESIAN_POINT('Ctrl Pts',(1.73934258618067,-34.7427826609542, -40.1589276459299)); #497412=CARTESIAN_POINT('Ctrl Pts',(2.29942451612704,-34.7103905226104, -40.7889803329579)); #497413=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.6178246387197)); #497414=CARTESIAN_POINT('Ctrl Pts',(2.5,-34.6955934381631,-41.9999999999963)); #497415=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #497416=CARTESIAN_POINT('',(-2.5,3.06161699786838E-16,-71.9999999999963)); #497417=CARTESIAN_POINT('Origin',(-2.5,3.06161699786838E-16,-41.9999999999963)); #497418=CARTESIAN_POINT('Origin',(-9.48117318990309,-30.0731459677287,-36.9999999999963)); #497419=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-41.9999999999963)); #497420=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-41.9999999999963)); #497421=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-71.9999999999963)); #497422=CARTESIAN_POINT('',(-9.14701883995829,-29.9171802452407,-71.9999999999963)); #497423=CARTESIAN_POINT('',(-9.81532753984789,-30.2291116902168,-36.9999999999963)); #497424=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.9999999999963)); #497425=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -41.6229411080466)); #497426=CARTESIAN_POINT('Ctrl Pts',(-9.21893185227745,-29.8954860529514, -41.2208364107197)); #497427=CARTESIAN_POINT('Ctrl Pts',(-9.51427787891562,-29.8028650899861, -40.4801217080465)); #497428=CARTESIAN_POINT('Ctrl Pts',(-9.83267663915978,-29.7013359550114, -39.9869093965966)); #497429=CARTESIAN_POINT('Ctrl Pts',(-10.3936203574158,-29.5086761601905, -39.4991713473251)); #497430=CARTESIAN_POINT('Ctrl Pts',(-10.8951480100714,-29.3277713043785, -39.2087940035945)); #497431=CARTESIAN_POINT('Ctrl Pts',(-11.6189736515867,-29.0510882715529, -38.9819979906857)); #497432=CARTESIAN_POINT('Ctrl Pts',(-12.3249436035972,-28.7587407405967, -38.9812141872541)); #497433=CARTESIAN_POINT('Ctrl Pts',(-13.2100152480992,-28.3630723573878, -39.2673253265008)); #497434=CARTESIAN_POINT('Ctrl Pts',(-13.663011530324,-28.1442994916658, -39.6132422836674)); #497435=CARTESIAN_POINT('Ctrl Pts',(-14.0930223381363,-27.9311203744388, -40.0921298625103)); #497436=CARTESIAN_POINT('Ctrl Pts',(-14.5210155639918,-27.7119620843964, -40.7364445803899)); #497437=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.547529329657)); #497438=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -41.9999999999963)); #497439=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,-30.0211573935661, -41.9999999999963)); #497440=CARTESIAN_POINT('Ctrl Pts',(-9.36979323926704,-30.0211656900132, -41.6457693512393)); #497441=CARTESIAN_POINT('Ctrl Pts',(-9.43705000234807,-30.0005550222559, -41.2674938660926)); #497442=CARTESIAN_POINT('Ctrl Pts',(-9.71328996317709,-29.9127077043211, -40.5702281540254)); #497443=CARTESIAN_POINT('Ctrl Pts',(-10.0121422806517,-29.8161788043383, -40.1041094585588)); #497444=CARTESIAN_POINT('Ctrl Pts',(-10.5406644267941,-29.6329199344804, -39.6417448788352)); #497445=CARTESIAN_POINT('Ctrl Pts',(-11.0147767319854,-29.4607057414712, -39.3656711381423)); #497446=CARTESIAN_POINT('Ctrl Pts',(-11.7005286568439,-29.1975141097386, -39.1495726281394)); #497447=CARTESIAN_POINT('Ctrl Pts',(-12.370795690004,-28.9199523387364, -39.1488337373553)); #497448=CARTESIAN_POINT('Ctrl Pts',(-13.2102663194184,-28.5460290881671, -39.4214664581401)); #497449=CARTESIAN_POINT('Ctrl Pts',(-13.6387518680945,-28.3405380853314, -39.7501375347537)); #497450=CARTESIAN_POINT('Ctrl Pts',(-14.0445702973272,-28.1409451536799, -40.2034576333843)); #497451=CARTESIAN_POINT('Ctrl Pts',(-14.4475884992775,-27.9364275446102, -40.8123444176721)); #497452=CARTESIAN_POINT('Ctrl Pts',(-14.6024874110069,-27.8537704543886, -41.5748432582862)); #497453=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,-27.8536048926407, -41.9999999999963)); #497454=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,-30.1251345418914, -41.9999999999963)); #497455=CARTESIAN_POINT('Ctrl Pts',(-9.59255314053885,-30.1251262454444, -41.6681576588744)); #497456=CARTESIAN_POINT('Ctrl Pts',(-9.65473415732871,-30.1057349114643, -41.3147179532406)); #497457=CARTESIAN_POINT('Ctrl Pts',(-9.91275574458828,-30.0224095328801, -40.6595827347977)); #497458=CARTESIAN_POINT('Ctrl Pts',(-10.1913672750228,-29.931051830901, -40.2215195872622)); #497459=CARTESIAN_POINT('Ctrl Pts',(-10.688109536047,-29.7570021791478, -39.7840751927529)); #497460=CARTESIAN_POINT('Ctrl Pts',(-11.1345651724118,-29.5935546921616, -39.5223982831603)); #497461=CARTESIAN_POINT('Ctrl Pts',(-11.7823627208694,-29.3438125847863, -39.3169274975192)); #497462=CARTESIAN_POINT('Ctrl Pts',(-12.4167472275759,-29.0810970546199, -39.3162167041209)); #497463=CARTESIAN_POINT('Ctrl Pts',(-13.2107185615874,-28.7288861714319, -39.5753468860696)); #497464=CARTESIAN_POINT('Ctrl Pts',(-13.6147337986203,-28.5366127169168, -39.8866662515077)); #497465=CARTESIAN_POINT('Ctrl Pts',(-13.9964882025278,-28.3505453774851, -40.3146304308572)); #497466=CARTESIAN_POINT('Ctrl Pts',(-14.3742275126797,-28.1608514003278, -40.8880081575826)); #497467=CARTESIAN_POINT('Ctrl Pts',(-14.518959767412,-28.0844841487681, -41.601869153852)); #497468=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,-28.084649710516, -41.9999999999963)); #497469=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -41.9999999999963)); #497470=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,-30.2291116902168, -41.6909859020671)); #497471=CARTESIAN_POINT('Ctrl Pts',(-9.87285230739933,-30.2108038807688, -41.3613754086135)); #497472=CARTESIAN_POINT('Ctrl Pts',(-10.1117678288498,-30.1322521472151, -40.7496891807766)); #497473=CARTESIAN_POINT('Ctrl Pts',(-10.3708329165147,-30.0458946802279, -40.3387196492244)); #497474=CARTESIAN_POINT('Ctrl Pts',(-10.8351536054253,-29.8812459534377, -39.9266487242629)); #497475=CARTESIAN_POINT('Ctrl Pts',(-11.2541938943258,-29.7264891292542, -39.6792754177081)); #497476=CARTESIAN_POINT('Ctrl Pts',(-11.8639177261266,-29.4902384229721, -39.4845021349729)); #497477=CARTESIAN_POINT('Ctrl Pts',(-12.4625993139827,-29.2423086527596, -39.4838362542221)); #497478=CARTESIAN_POINT('Ctrl Pts',(-13.2109696329065,-28.9118429022112, -39.7294880177089)); #497479=CARTESIAN_POINT('Ctrl Pts',(-13.5904741363908,-28.7328513105824, -40.023561502594)); #497480=CARTESIAN_POINT('Ctrl Pts',(-13.9480361617187,-28.5603701567261, -40.4259582017312)); #497481=CARTESIAN_POINT('Ctrl Pts',(-14.3008004479653,-28.3853168605416, -40.9639079948648)); #497482=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.6291830824812)); #497483=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.9999999999963)); #497484=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-41.9999999999963)); #497485=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-41.9999999999963)); #497486=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -41.9999999999963)); #497487=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,-30.2291116902168, -41.6909859020671)); #497488=CARTESIAN_POINT('Ctrl Pts',(-9.87285230739933,-30.2108038807688, -41.3613754086135)); #497489=CARTESIAN_POINT('Ctrl Pts',(-10.1117678288498,-30.1322521472151, -40.7496891807766)); #497490=CARTESIAN_POINT('Ctrl Pts',(-10.3708329165147,-30.0458946802279, -40.3387196492244)); #497491=CARTESIAN_POINT('Ctrl Pts',(-10.8351536054253,-29.8812459534377, -39.9266487242629)); #497492=CARTESIAN_POINT('Ctrl Pts',(-11.2541938943258,-29.7264891292542, -39.6792754177081)); #497493=CARTESIAN_POINT('Ctrl Pts',(-11.8639177261266,-29.4902384229721, -39.4845021349729)); #497494=CARTESIAN_POINT('Ctrl Pts',(-12.4625993139827,-29.2423086527596, -39.4838362542221)); #497495=CARTESIAN_POINT('Ctrl Pts',(-13.2109696329065,-28.9118429022112, -39.7294880177089)); #497496=CARTESIAN_POINT('Ctrl Pts',(-13.5904741363908,-28.7328513105824, -40.023561502594)); #497497=CARTESIAN_POINT('Ctrl Pts',(-13.9480361617187,-28.5603701567261, -40.4259582017312)); #497498=CARTESIAN_POINT('Ctrl Pts',(-14.3008004479653,-28.3853168605416, -40.9639079948648)); #497499=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.6291830824812)); #497500=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -41.9999999999963)); #497501=CARTESIAN_POINT('Origin',(-14.5607235892093,-27.9691273015784,-36.9999999999963)); #497502=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-71.9999999999963)); #497503=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-71.9999999999963)); #497504=CARTESIAN_POINT('',(-14.4347252024043,-28.3156945283913,-36.9999999999963)); #497505=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -71.9999999999963)); #497506=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,-27.6225600747654, -72.4309241498728)); #497507=CARTESIAN_POINT('Ctrl Pts',(-14.4978075683767,-27.7240647286098, -73.3668715391281)); #497508=CARTESIAN_POINT('Ctrl Pts',(-13.9130999565166,-28.0235123157554, -74.1760474337449)); #497509=CARTESIAN_POINT('Ctrl Pts',(-13.4168046229605,-28.2617253997355, -74.55705945512)); #497510=CARTESIAN_POINT('Ctrl Pts',(-13.0517479672711,-28.4328947375761, -74.7746044406032)); #497511=CARTESIAN_POINT('Ctrl Pts',(-12.3764601135267,-28.7380316362637, -75.0269245064297)); #497512=CARTESIAN_POINT('Ctrl Pts',(-11.6440675496677,-29.041411077335, -75.0273283403051)); #497513=CARTESIAN_POINT('Ctrl Pts',(-11.0250525998717,-29.277938886459, -74.8307852211108)); #497514=CARTESIAN_POINT('Ctrl Pts',(-10.7303589265351,-29.3870121915505, -74.6944970512097)); #497515=CARTESIAN_POINT('Ctrl Pts',(-10.4715733452901,-29.4802951420289, -74.5422007981685)); #497516=CARTESIAN_POINT('Ctrl Pts',(-10.0828549558845,-29.6162654941049, -74.2468966031111)); #497517=CARTESIAN_POINT('Ctrl Pts',(-9.73050021979747,-29.7334984485899, -73.8555158145388)); #497518=CARTESIAN_POINT('Ctrl Pts',(-9.27601811462068,-29.8788037225207, -73.0646431723249)); #497519=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -72.4309241498726)); #497520=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,-29.9171802452408, -71.9999999999963)); #497521=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,-27.8536048926407, -71.9999999999963)); #497522=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,-27.8536048926407, -72.4050023415091)); #497523=CARTESIAN_POINT('Ctrl Pts',(-14.4258459102253,-27.9476523653584, -73.2848362729321)); #497524=CARTESIAN_POINT('Ctrl Pts',(-13.8752889728707,-28.2270711778659, -74.0501008666958)); #497525=CARTESIAN_POINT('Ctrl Pts',(-13.4058329858789,-28.4508969042524, -74.4118076543058)); #497526=CARTESIAN_POINT('Ctrl Pts',(-13.060156290448,-28.6120485387983, -74.6185746279844)); #497527=CARTESIAN_POINT('Ctrl Pts',(-12.41978944148,-28.9002164571352,-74.8589558666849)); #497528=CARTESIAN_POINT('Ctrl Pts',(-11.7243745998698,-29.1882777602398, -74.8593339521162)); #497529=CARTESIAN_POINT('Ctrl Pts',(-11.1379353821794,-29.4132702605547, -74.6720838852704)); #497530=CARTESIAN_POINT('Ctrl Pts',(-10.8590953096791,-29.517065944798, -74.542427198923)); #497531=CARTESIAN_POINT('Ctrl Pts',(-10.6145255343149,-29.6058474015875, -74.3976823516947)); #497532=CARTESIAN_POINT('Ctrl Pts',(-10.247746095159,-29.735246044856,-74.1174361935398)); #497533=CARTESIAN_POINT('Ctrl Pts',(-9.91628832506561,-29.8467477032598, -73.7469432345021)); #497534=CARTESIAN_POINT('Ctrl Pts',(-9.49009104221089,-29.9847838885657, -73.0006882700017)); #497535=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,-30.0211573935661, -72.405002341509)); #497536=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,-30.0211573935661, -71.9999999999963)); #497537=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,-28.084649710516, -71.9999999999963)); #497538=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,-28.084649710516, -72.3790805331455)); #497539=CARTESIAN_POINT('Ctrl Pts',(-14.3538842520738,-28.1712400021071, -73.2028010067361)); #497540=CARTESIAN_POINT('Ctrl Pts',(-13.8374779892248,-28.4306300399763, -73.9241542996467)); #497541=CARTESIAN_POINT('Ctrl Pts',(-13.3948613487972,-28.6400684087694, -74.2665558534917)); #497542=CARTESIAN_POINT('Ctrl Pts',(-13.068564613625,-28.7912023400206, -74.4625448153655)); #497543=CARTESIAN_POINT('Ctrl Pts',(-12.4631187694333,-29.0624012780067, -74.6909872269402)); #497544=CARTESIAN_POINT('Ctrl Pts',(-11.8046816500719,-29.3351444431447, -74.6913395639273)); #497545=CARTESIAN_POINT('Ctrl Pts',(-11.2508181644872,-29.5486016346504, -74.5133825494299)); #497546=CARTESIAN_POINT('Ctrl Pts',(-10.987831692823,-29.6471196980454, -74.3903573466363)); #497547=CARTESIAN_POINT('Ctrl Pts',(-10.7574777233398,-29.7313996611462, -74.253163905221)); #497548=CARTESIAN_POINT('Ctrl Pts',(-10.4126372344335,-29.854226595607, -73.9879757839685)); #497549=CARTESIAN_POINT('Ctrl Pts',(-10.1020764303338,-29.9599969579296, -73.6383706544654)); #497550=CARTESIAN_POINT('Ctrl Pts',(-9.70416396980111,-30.0907640546106, -72.9367333676784)); #497551=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,-30.1251345418914, -72.3790805331454)); #497552=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,-30.1251345418914, -71.9999999999963)); #497553=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -71.9999999999963)); #497554=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -72.3531587247818)); #497555=CARTESIAN_POINT('Ctrl Pts',(-14.2819225939224,-28.3948276388557, -73.1207657405401)); #497556=CARTESIAN_POINT('Ctrl Pts',(-13.7996670055788,-28.6341889020868, -73.7982077325976)); #497557=CARTESIAN_POINT('Ctrl Pts',(-13.3838897117156,-28.8292399132863, -74.1213040526775)); #497558=CARTESIAN_POINT('Ctrl Pts',(-13.076972936802,-28.9703561412428, -74.3065150027467)); #497559=CARTESIAN_POINT('Ctrl Pts',(-12.5064480973867,-29.2245860988782, -74.5230185871954)); #497560=CARTESIAN_POINT('Ctrl Pts',(-11.8849887002739,-29.4820111260495, -74.5233451757384)); #497561=CARTESIAN_POINT('Ctrl Pts',(-11.363700946795,-29.6839330087461, -74.3546812135895)); #497562=CARTESIAN_POINT('Ctrl Pts',(-11.116568075967,-29.7771734512929, -74.2382874943496)); #497563=CARTESIAN_POINT('Ctrl Pts',(-10.9004299123646,-29.8569519207048, -74.1086454587472)); #497564=CARTESIAN_POINT('Ctrl Pts',(-10.577528373708,-29.973207146358,-73.8585153743971)); #497565=CARTESIAN_POINT('Ctrl Pts',(-10.2878645356019,-30.0732462125995, -73.5297980744287)); #497566=CARTESIAN_POINT('Ctrl Pts',(-9.91823689739131,-30.1967442206556, -72.8727784653551)); #497567=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -72.3531587247818)); #497568=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -71.9999999999963)); #497569=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -71.9999999999963)); #497570=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,-28.3156945283913, -72.3531587247818)); #497571=CARTESIAN_POINT('Ctrl Pts',(-14.2819225939224,-28.3948276388557, -73.1207657405401)); #497572=CARTESIAN_POINT('Ctrl Pts',(-13.7996670055788,-28.6341889020868, -73.7982077325976)); #497573=CARTESIAN_POINT('Ctrl Pts',(-13.3838897117156,-28.8292399132863, -74.1213040526775)); #497574=CARTESIAN_POINT('Ctrl Pts',(-13.076972936802,-28.9703561412428, -74.3065150027467)); #497575=CARTESIAN_POINT('Ctrl Pts',(-12.5064480973867,-29.2245860988782, -74.5230185871954)); #497576=CARTESIAN_POINT('Ctrl Pts',(-11.8849887002739,-29.4820111260495, -74.5233451757384)); #497577=CARTESIAN_POINT('Ctrl Pts',(-11.363700946795,-29.6839330087461, -74.3546812135895)); #497578=CARTESIAN_POINT('Ctrl Pts',(-11.116568075967,-29.7771734512929, -74.2382874943496)); #497579=CARTESIAN_POINT('Ctrl Pts',(-10.9004299123646,-29.8569519207048, -74.1086454587472)); #497580=CARTESIAN_POINT('Ctrl Pts',(-10.577528373708,-29.973207146358,-73.8585153743971)); #497581=CARTESIAN_POINT('Ctrl Pts',(-10.2878645356019,-30.0732462125995, -73.5297980744287)); #497582=CARTESIAN_POINT('Ctrl Pts',(-9.91823689739131,-30.1967442206556, -72.8727784653551)); #497583=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -72.3531587247818)); #497584=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984789,-30.2291116902168, -71.9999999999963)); #497585=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #497586=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-41.9999999999963)); #497587=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912725,-41.9999999999963)); #497588=CARTESIAN_POINT('',(-15.5871276161382,-31.097840064939,-41.9999999999963)); #497589=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -41.9999999999963)); #497590=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -41.6815203509833)); #497591=CARTESIAN_POINT('Ctrl Pts',(-15.5292432245929,-31.1268719910294, -41.3418540997364)); #497592=CARTESIAN_POINT('Ctrl Pts',(-15.3295573360603,-31.2252056542953, -40.8142898026694)); #497593=CARTESIAN_POINT('Ctrl Pts',(-15.1060871964455,-31.3343198822691, -40.4075025039816)); #497594=CARTESIAN_POINT('Ctrl Pts',(-14.672567996283,-31.5399460282253, -39.9537046307807)); #497595=CARTESIAN_POINT('Ctrl Pts',(-14.1030487068927,-31.7993424377429, -39.6181113342296)); #497596=CARTESIAN_POINT('Ctrl Pts',(-13.4824411568609,-32.0673116495659, -39.4804412020903)); #497597=CARTESIAN_POINT('Ctrl Pts',(-12.8758096756556,-32.3157888831401, -39.5136743441304)); #497598=CARTESIAN_POINT('Ctrl Pts',(-12.0364420474032,-32.6403772491923, -39.8223936556146)); #497599=CARTESIAN_POINT('Ctrl Pts',(-11.4020429132981,-32.8642347408038, -40.4338210498014)); #497600=CARTESIAN_POINT('Ctrl Pts',(-11.0244450083659,-32.9925271559857, -41.3094977276585)); #497601=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.7770643544567)); #497602=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.9999999999963)); #497603=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912725,-41.9999999999963)); #497604=CARTESIAN_POINT('Origin',(2.30969883127822,-0.956708580912725,-71.9999999999963)); #497605=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-71.9999999999963)); #497606=CARTESIAN_POINT('',(2.30969883127822,-0.956708580912725,-71.9999999999963)); #497607=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-1.6992262707755E-17)); #497608=CARTESIAN_POINT('Origin',(-10.8256785839348,-33.319610198737,-8.49613135387749E-18)); #497609=CARTESIAN_POINT('',(-10.9677299535817,-33.0112572267645,-71.9999999999963)); #497610=CARTESIAN_POINT('',(-10.6836272142878,-33.6279631707095,-41.9999999999963)); #497611=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -71.9999999999963)); #497612=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -72.4523745148283)); #497613=CARTESIAN_POINT('Ctrl Pts',(-10.9086863346202,-33.5575082760422, -73.4347663168225)); #497614=CARTESIAN_POINT('Ctrl Pts',(-11.5697662337893,-33.3365417481535, -74.2362027921927)); #497615=CARTESIAN_POINT('Ctrl Pts',(-12.1315156819413,-33.1336626990523, -74.6215990313922)); #497616=CARTESIAN_POINT('Ctrl Pts',(-12.5363080931246,-32.983616246459, -74.8327911901646)); #497617=CARTESIAN_POINT('Ctrl Pts',(-13.0837896473758,-32.771377315572, -74.9936184448559)); #497618=CARTESIAN_POINT('Ctrl Pts',(-13.7120896107089,-32.513541057199, -75.0190178954184)); #497619=CARTESIAN_POINT('Ctrl Pts',(-14.5757192135103,-32.1393009967265, -74.841212553798)); #497620=CARTESIAN_POINT('Ctrl Pts',(-15.1507652262079,-31.8677183426245, -74.4423717089643)); #497621=CARTESIAN_POINT('Ctrl Pts',(-15.7267832795527,-31.5873271130068, -73.8032944695825)); #497622=CARTESIAN_POINT('Ctrl Pts',(-16.1207975350165,-31.3871017339823, -73.09800976322)); #497623=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -72.3015831815491)); #497624=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -71.9999999999963)); #497625=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,-33.4223945227279, -71.9999999999963)); #497626=CARTESIAN_POINT('Ctrl Pts',(-10.7787265807297,-33.4223180842754, -72.4292099899336)); #497627=CARTESIAN_POINT('Ctrl Pts',(-10.9923684389401,-33.354239915635, -73.3602128317576)); #497628=CARTESIAN_POINT('Ctrl Pts',(-11.6187296428106,-33.1423522162545, -74.116074453212)); #497629=CARTESIAN_POINT('Ctrl Pts',(-12.1487826125212,-32.9495584325274, -74.478546504482)); #497630=CARTESIAN_POINT('Ctrl Pts',(-12.5301060072229,-32.8073847255132, -74.676714853414)); #497631=CARTESIAN_POINT('Ctrl Pts',(-13.0451612245241,-32.6070642370041, -74.8274757879614)); #497632=CARTESIAN_POINT('Ctrl Pts',(-13.6358265498033,-32.3645552304734, -74.8512230110602)); #497633=CARTESIAN_POINT('Ctrl Pts',(-14.4485997134372,-32.0131210284143, -74.6847362769156)); #497634=CARTESIAN_POINT('Ctrl Pts',(-14.9918525619606,-31.7581550516445, -74.3101832416767)); #497635=CARTESIAN_POINT('Ctrl Pts',(-15.5380236912274,-31.4943805241865, -73.7079204070901)); #497636=CARTESIAN_POINT('Ctrl Pts',(-15.9129473003709,-31.3057578744237, -73.0412882239502)); #497637=CARTESIAN_POINT('Ctrl Pts',(-16.0118729493899,-31.2545929637415, -72.2859899586913)); #497638=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,-31.2546307192411, -71.9999999999963)); #497639=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,-33.2168258747462, -71.9999999999963)); #497640=CARTESIAN_POINT('Ctrl Pts',(-10.8726305871397,-33.2169023131986, -72.4053398861677)); #497641=CARTESIAN_POINT('Ctrl Pts',(-11.0766829408875,-33.1508125263801, -73.2861638526613)); #497642=CARTESIAN_POINT('Ctrl Pts',(-11.667647405726,-32.948186179086,-73.9961017546132)); #497643=CARTESIAN_POINT('Ctrl Pts',(-12.1653407125056,-32.7656549785086, -74.3350790878777)); #497644=CARTESIAN_POINT('Ctrl Pts',(-12.5234113602871,-32.6313215227844, -74.5205345375492)); #497645=CARTESIAN_POINT('Ctrl Pts',(-13.0060991541981,-32.4428596002423, -74.6610442764771)); #497646=CARTESIAN_POINT('Ctrl Pts',(-13.5595202237019,-32.2155342256371, -74.6831911095482)); #497647=CARTESIAN_POINT('Ctrl Pts',(-14.3212749725783,-31.8869703975116, -74.5280341780378)); #497648=CARTESIAN_POINT('Ctrl Pts',(-14.8330899703199,-31.6485109848322, -74.1780004606163)); #497649=CARTESIAN_POINT('Ctrl Pts',(-15.348931612508,-31.4015464245972, -73.6124080885448)); #497650=CARTESIAN_POINT('Ctrl Pts',(-15.7053546281513,-31.2242829696805, -72.9845555268081)); #497651=CARTESIAN_POINT('Ctrl Pts',(-15.7993502113565,-31.1762731475897, -72.2703769420646)); #497652=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,-31.1762353920901, -71.9999999999963)); #497653=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -71.9999999999963)); #497654=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -72.382175361273)); #497655=CARTESIAN_POINT('Ctrl Pts',(-11.1603650452074,-32.9475441659729, -73.2116103675963)); #497656=CARTESIAN_POINT('Ctrl Pts',(-11.7166108147472,-32.753996647187, -73.8759734156325)); #497657=CARTESIAN_POINT('Ctrl Pts',(-12.1826076430856,-32.5815507119836, -74.1920265609675)); #497658=CARTESIAN_POINT('Ctrl Pts',(-12.5172092743853,-32.4550900018386, -74.3644582007985)); #497659=CARTESIAN_POINT('Ctrl Pts',(-12.9674707313463,-32.2785465216744, -74.4949016195826)); #497660=CARTESIAN_POINT('Ctrl Pts',(-13.4832571627964,-32.0665483989115, -74.51539622519)); #497661=CARTESIAN_POINT('Ctrl Pts',(-14.1941554725052,-31.7607904291994, -74.3715579011554)); #497662=CARTESIAN_POINT('Ctrl Pts',(-14.6741773060726,-31.5389476938522, -74.0458119933286)); #497663=CARTESIAN_POINT('Ctrl Pts',(-15.1601720241827,-31.3085998357769, -73.5170340260525)); #497664=CARTESIAN_POINT('Ctrl Pts',(-15.4975043935057,-31.1429391101218, -72.9278339875382)); #497665=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -72.2547837192067)); #497666=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -71.9999999999963)); #497667=CARTESIAN_POINT('',(-15.5871276161381,-31.097840064939,-71.9999999999963)); #497668=CARTESIAN_POINT('',(-15.5871276161381,-31.097840064939,-71.9999999999963)); #497669=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -71.9999999999963)); #497670=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -72.382175361273)); #497671=CARTESIAN_POINT('Ctrl Pts',(-11.1603650452074,-32.9475441659729, -73.2116103675963)); #497672=CARTESIAN_POINT('Ctrl Pts',(-11.7166108147472,-32.753996647187, -73.8759734156325)); #497673=CARTESIAN_POINT('Ctrl Pts',(-12.1826076430856,-32.5815507119836, -74.1920265609675)); #497674=CARTESIAN_POINT('Ctrl Pts',(-12.5172092743853,-32.4550900018386, -74.3644582007985)); #497675=CARTESIAN_POINT('Ctrl Pts',(-12.9674707313463,-32.2785465216744, -74.4949016195826)); #497676=CARTESIAN_POINT('Ctrl Pts',(-13.4832571627964,-32.0665483989115, -74.51539622519)); #497677=CARTESIAN_POINT('Ctrl Pts',(-14.1941554725052,-31.7607904291994, -74.3715579011554)); #497678=CARTESIAN_POINT('Ctrl Pts',(-14.6741773060726,-31.5389476938522, -74.0458119933286)); #497679=CARTESIAN_POINT('Ctrl Pts',(-15.1601720241827,-31.3085998357769, -73.5170340260525)); #497680=CARTESIAN_POINT('Ctrl Pts',(-15.4975043935057,-31.1429391101218, -72.9278339875382)); #497681=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -72.2547837192067)); #497682=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -71.9999999999963)); #497683=CARTESIAN_POINT('Origin',(-15.9056115803731,-31.2154330556656,-8.49613135387747E-18)); #497684=CARTESIAN_POINT('',(-15.5871276161382,-31.097840064939,-41.9999999999963)); #497685=CARTESIAN_POINT('',(-15.5871276161381,-31.097840064939,-1.69922627077549E-17)); #497686=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.9999999999963)); #497687=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,-31.3330260463922, -41.6230210230553)); #497688=CARTESIAN_POINT('Ctrl Pts',(-16.1572507099332,-31.36787989344,-41.2209135566225)); #497689=CARTESIAN_POINT('Ctrl Pts',(-15.9244851520477,-31.4865532398271, -40.5927438677314)); #497690=CARTESIAN_POINT('Ctrl Pts',(-15.662881020543,-31.6185598182721, -40.1060196483842)); #497691=CARTESIAN_POINT('Ctrl Pts',(-15.1491039336215,-31.8688844651973, -39.5568515742621)); #497692=CARTESIAN_POINT('Ctrl Pts',(-14.4648641647201,-32.1864213711883, -39.1459058424448)); #497693=CARTESIAN_POINT('Ctrl Pts',(-13.7112915122341,-32.5144964067417, -38.9757346634212)); #497694=CARTESIAN_POINT('Ctrl Pts',(-12.9720911597208,-32.8165926495935, -39.0169432719369)); #497695=CARTESIAN_POINT('Ctrl Pts',(-11.9525579804336,-33.2052067575438, -39.3972437527565)); #497696=CARTESIAN_POINT('Ctrl Pts',(-11.1947901515847,-33.4630027664042, -40.1398552319588)); #497697=CARTESIAN_POINT('Ctrl Pts',(-10.7500526443784,-33.6072127056268, -41.1826089843865)); #497698=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.7361148448863)); #497699=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,-33.6279631707096, -41.9999999999963)); #497700=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,-31.2546307192411, -41.9999999999963)); #497701=CARTESIAN_POINT('Ctrl Pts',(-16.0117123200745,-31.2546749294836, -41.6425309426841)); #497702=CARTESIAN_POINT('Ctrl Pts',(-15.9479512319702,-31.2875280241611, -41.2612145982672)); #497703=CARTESIAN_POINT('Ctrl Pts',(-15.7261135712784,-31.3994667955682, -40.6666223421118)); #497704=CARTESIAN_POINT('Ctrl Pts',(-15.47723897615,-31.5238388154103,-40.2064762376575)); #497705=CARTESIAN_POINT('Ctrl Pts',(-14.9902248588838,-31.7592492341423, -39.689218038611)); #497706=CARTESIAN_POINT('Ctrl Pts',(-14.3442084278573,-32.0574053878017, -39.3034551348185)); #497707=CARTESIAN_POINT('Ctrl Pts',(-13.6349545702537,-32.3654601898286, -39.1440029872184)); #497708=CARTESIAN_POINT('Ctrl Pts',(-12.9399052231394,-32.6496809242964, -39.182717932479)); #497709=CARTESIAN_POINT('Ctrl Pts',(-11.9805291442904,-33.0169221809329, -39.5390551127767)); #497710=CARTESIAN_POINT('Ctrl Pts',(-11.2638418523933,-33.263436954034, -40.2377014129224)); #497711=CARTESIAN_POINT('Ctrl Pts',(-10.8415534872409,-33.4023032480013, -41.2249613556291)); #497712=CARTESIAN_POINT('Ctrl Pts',(-10.7782510058696,-33.4224331662564, -41.7496788562937)); #497713=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,-33.4223945227279, -41.9999999999963)); #497714=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,-31.1762353920901, -41.9999999999963)); #497715=CARTESIAN_POINT('Ctrl Pts',(-15.7995108406719,-31.1761911818476, -41.6620104313544)); #497716=CARTESIAN_POINT('Ctrl Pts',(-15.7385427025558,-31.2072238603083, -41.3015530580917)); #497717=CARTESIAN_POINT('Ctrl Pts',(-15.5279289168296,-31.3122920985542, -40.740411328289)); #497718=CARTESIAN_POINT('Ctrl Pts',(-15.2917292408385,-31.4290408851309, -40.3070459147083)); #497719=CARTESIAN_POINT('Ctrl Pts',(-14.8314470710208,-31.6495812592802, -39.8213381664318)); #497720=CARTESIAN_POINT('Ctrl Pts',(-14.2237044437555,-31.9283584211295, -39.4605620418559)); #497721=CARTESIAN_POINT('Ctrl Pts',(-13.5587780988413,-32.2163478664791, -39.3121728782931)); #497722=CARTESIAN_POINT('Ctrl Pts',(-12.907995612237,-32.4827006084373, -39.3478996835883)); #497723=CARTESIAN_POINT('Ctrl Pts',(-12.0084708835464,-32.8286618258031, -39.6805822955945)); #497724=CARTESIAN_POINT('Ctrl Pts',(-11.3329912124895,-33.063800553174, -40.3359748688378)); #497725=CARTESIAN_POINT('Ctrl Pts',(-10.9329441655034,-33.1974366136113, -41.2671453564159)); #497726=CARTESIAN_POINT('Ctrl Pts',(-10.8731061619998,-33.2167872312177, -41.7635003430493)); #497727=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,-33.2168258747462, -41.9999999999963)); #497728=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,-31.097840064939, -41.9999999999963)); #497729=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161382,-31.097840064939, -41.6815203509833)); #497730=CARTESIAN_POINT('Ctrl Pts',(-15.5292432245929,-31.1268719910294, -41.3418540997364)); #497731=CARTESIAN_POINT('Ctrl Pts',(-15.3295573360603,-31.2252056542953, -40.8142898026694)); #497732=CARTESIAN_POINT('Ctrl Pts',(-15.1060871964455,-31.3343198822691, -40.4075025039816)); #497733=CARTESIAN_POINT('Ctrl Pts',(-14.672567996283,-31.5399460282253, -39.9537046307807)); #497734=CARTESIAN_POINT('Ctrl Pts',(-14.1030487068927,-31.7993424377429, -39.6181113342296)); #497735=CARTESIAN_POINT('Ctrl Pts',(-13.4824411568609,-32.0673116495659, -39.4804412020903)); #497736=CARTESIAN_POINT('Ctrl Pts',(-12.8758096756556,-32.3157888831401, -39.5136743441304)); #497737=CARTESIAN_POINT('Ctrl Pts',(-12.0364420474032,-32.6403772491923, -39.8223936556146)); #497738=CARTESIAN_POINT('Ctrl Pts',(-11.4020429132981,-32.8642347408038, -40.4338210498014)); #497739=CARTESIAN_POINT('Ctrl Pts',(-11.0244450083659,-32.9925271559857, -41.3094977276585)); #497740=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.7770643544567)); #497741=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,-33.0112572267645, -41.9999999999963)); #497742=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #497743=CARTESIAN_POINT('',(-2.30969883127822,0.956708580912725,-71.9999999999963)); #497744=CARTESIAN_POINT('Origin',(-2.30969883127822,0.956708580912725,-41.9999999999963)); #497745=CARTESIAN_POINT('Origin',(-20.267956575293,-24.1556761386489,-36.9999999999963)); #497746=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-41.9999999999963)); #497747=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-41.9999999999963)); #497748=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-71.9999999999963)); #497749=CARTESIAN_POINT('',(-19.8995527126664,-24.1394579334455,-71.9999999999963)); #497750=CARTESIAN_POINT('',(-20.6363604379196,-24.1718943438524,-36.9999999999963)); #497751=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.9999999999963)); #497752=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -41.5690758501199)); #497753=CARTESIAN_POINT('Ctrl Pts',(-20.0614889831626,-24.0076056938498, -40.6363373631844)); #497754=CARTESIAN_POINT('Ctrl Pts',(-20.6073022330342,-23.5432813856066, -39.7563448117172)); #497755=CARTESIAN_POINT('Ctrl Pts',(-21.1736151944426,-23.0334974971867, -39.2694482087157)); #497756=CARTESIAN_POINT('Ctrl Pts',(-21.8168744561992,-22.4301507300282, -38.9670671324771)); #497757=CARTESIAN_POINT('Ctrl Pts',(-22.4307702384248,-21.8160336284528, -38.9668764488181)); #497758=CARTESIAN_POINT('Ctrl Pts',(-22.9580295846708,-21.2542660109815, -39.2297006314943)); #497759=CARTESIAN_POINT('Ctrl Pts',(-23.2258722226968,-20.959836867679, -39.4528098709403)); #497760=CARTESIAN_POINT('Ctrl Pts',(-23.5892295179883,-20.5534372905346, -39.8422170354144)); #497761=CARTESIAN_POINT('Ctrl Pts',(-24.0089759658229,-20.0598931669429, -40.6375026347906)); #497762=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.5690758501201)); #497763=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -41.9999999999963)); #497764=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,-24.1502700702479, -41.9999999999963)); #497765=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,-24.1502700702479, -41.5949976584835)); #497766=CARTESIAN_POINT('Ctrl Pts',(-20.2958533757454,-24.0264574201693, -40.7182029938324)); #497767=CARTESIAN_POINT('Ctrl Pts',(-20.8072378101331,-23.5881877517585, -39.8859572931126)); #497768=CARTESIAN_POINT('Ctrl Pts',(-21.341308455431,-23.1049998886328, -39.4234135595329)); #497769=CARTESIAN_POINT('Ctrl Pts',(-21.9499600691075,-22.5323244487185, -39.1353659058436)); #497770=CARTESIAN_POINT('Ctrl Pts',(-22.5329112275912,-21.9491740003892, -39.1352055031499)); #497771=CARTESIAN_POINT('Ctrl Pts',(-23.0333712957214,-21.4176057895928, -39.385577597409)); #497772=CARTESIAN_POINT('Ctrl Pts',(-23.2873258270755,-21.1397178658802, -39.5976061686585)); #497773=CARTESIAN_POINT('Ctrl Pts',(-23.6315579729592,-20.7567620756177, -39.9671836989404)); #497774=CARTESIAN_POINT('Ctrl Pts',(-24.027742589695,-20.2943668601177, -40.7193059177623)); #497775=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,-20.1451552877506, -41.5949976584837)); #497776=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,-20.1451552877506, -41.9999999999963)); #497777=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,-24.1610822070501, -41.9999999999963)); #497778=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,-24.1610822070501, -41.6209194668472)); #497779=CARTESIAN_POINT('Ctrl Pts',(-20.5302177683282,-24.0453091464887, -40.8000686244805)); #497780=CARTESIAN_POINT('Ctrl Pts',(-21.007173387232,-23.6330941179105, -40.0155697745081)); #497781=CARTESIAN_POINT('Ctrl Pts',(-21.5090017164195,-23.1765022800788, -39.5773789103501)); #497782=CARTESIAN_POINT('Ctrl Pts',(-22.0830456820158,-22.6344981674089, -39.3036646792101)); #497783=CARTESIAN_POINT('Ctrl Pts',(-22.6350522167576,-22.0823143723255, -39.3035345574818)); #497784=CARTESIAN_POINT('Ctrl Pts',(-23.108713006772,-21.5809455682041, -39.5414545633237)); #497785=CARTESIAN_POINT('Ctrl Pts',(-23.3487794314542,-21.3195988640813, -39.7424024663766)); #497786=CARTESIAN_POINT('Ctrl Pts',(-23.67388642793,-20.9600868607008,-40.0921503624664)); #497787=CARTESIAN_POINT('Ctrl Pts',(-24.0465092135672,-20.5288405532925, -40.8011092007339)); #497788=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,-20.3907578628351, -41.6209194668473)); #497789=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,-20.3907578628351, -41.9999999999963)); #497790=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.9999999999963)); #497791=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.6468412752108)); #497792=CARTESIAN_POINT('Ctrl Pts',(-20.7645821609111,-24.0641608728081, -40.8819342551286)); #497793=CARTESIAN_POINT('Ctrl Pts',(-21.2071089643309,-23.6780004840625, -40.1451822559036)); #497794=CARTESIAN_POINT('Ctrl Pts',(-21.676694977408,-23.2480046715249, -39.7313442611673)); #497795=CARTESIAN_POINT('Ctrl Pts',(-22.2161312949241,-22.7366718860992, -39.4719634525766)); #497796=CARTESIAN_POINT('Ctrl Pts',(-22.7371932059241,-22.2154547442618, -39.4718636118137)); #497797=CARTESIAN_POINT('Ctrl Pts',(-23.1840547178227,-21.7442853468154, -39.6973315292384)); #497798=CARTESIAN_POINT('Ctrl Pts',(-23.4102330358328,-21.4994798622825, -39.8871987640948)); #497799=CARTESIAN_POINT('Ctrl Pts',(-23.7162148829008,-21.163411645784, -40.2171170259924)); #497800=CARTESIAN_POINT('Ctrl Pts',(-24.0652758374393,-20.7633142464673, -40.8829124837055)); #497801=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.6468412752108)); #497802=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.9999999999963)); #497803=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-41.9999999999963)); #497804=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-41.9999999999963)); #497805=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.9999999999963)); #497806=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -41.6468412752108)); #497807=CARTESIAN_POINT('Ctrl Pts',(-20.7645821609111,-24.0641608728081, -40.8819342551286)); #497808=CARTESIAN_POINT('Ctrl Pts',(-21.2071089643309,-23.6780004840625, -40.1451822559036)); #497809=CARTESIAN_POINT('Ctrl Pts',(-21.676694977408,-23.2480046715249, -39.7313442611673)); #497810=CARTESIAN_POINT('Ctrl Pts',(-22.2161312949241,-22.7366718860992, -39.4719634525766)); #497811=CARTESIAN_POINT('Ctrl Pts',(-22.7371932059241,-22.2154547442618, -39.4718636118137)); #497812=CARTESIAN_POINT('Ctrl Pts',(-23.1840547178227,-21.7442853468154, -39.6973315292384)); #497813=CARTESIAN_POINT('Ctrl Pts',(-23.4102330358328,-21.4994798622825, -39.8871987640948)); #497814=CARTESIAN_POINT('Ctrl Pts',(-23.7162148829008,-21.163411645784, -40.2171170259924)); #497815=CARTESIAN_POINT('Ctrl Pts',(-24.0652758374393,-20.7633142464673, -40.8829124837055)); #497816=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.6468412752108)); #497817=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -41.9999999999963)); #497818=CARTESIAN_POINT('Origin',(-24.1556761386489,-20.267956575293,-36.9999999999963)); #497819=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-71.9999999999963)); #497820=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-71.9999999999963)); #497821=CARTESIAN_POINT('',(-24.1718943438524,-20.6363604379196,-36.9999999999963)); #497822=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -71.9999999999963)); #497823=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,-19.8995527126661, -72.3770588919459)); #497824=CARTESIAN_POINT('Ctrl Pts',(-24.0919254590119,-19.9576676970725, -72.7791320436606)); #497825=CARTESIAN_POINT('Ctrl Pts',(-23.8934988281677,-20.194930211954, -73.5198264462212)); #497826=CARTESIAN_POINT('Ctrl Pts',(-23.6773604210794,-20.450753109907, -74.0127482192666)); #497827=CARTESIAN_POINT('Ctrl Pts',(-23.2856906236162,-20.8942938477844, -74.5007241571714)); #497828=CARTESIAN_POINT('Ctrl Pts',(-22.9247865002878,-21.2902413562109, -74.7906299068021)); #497829=CARTESIAN_POINT('Ctrl Pts',(-22.596468400838,-21.6375163622598, -74.9334175966403)); #497830=CARTESIAN_POINT('Ctrl Pts',(-22.3232706928683,-21.9182490074298, -74.9897978136556)); #497831=CARTESIAN_POINT('Ctrl Pts',(-21.9251413983453,-22.3202655659193, -75.0173307355953)); #497832=CARTESIAN_POINT('Ctrl Pts',(-21.2794018617754,-22.9420512712448, -74.8487365902256)); #497833=CARTESIAN_POINT('Ctrl Pts',(-20.77233852811,-23.3942335435734,-74.3865954509755)); #497834=CARTESIAN_POINT('Ctrl Pts',(-20.4116333442329,-23.7092063860038, -73.9078146505828)); #497835=CARTESIAN_POINT('Ctrl Pts',(-20.0453003326879,-24.0208198135518, -73.2634783330519)); #497836=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -72.4524706703356)); #497837=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,-24.1394579334457, -71.9999999999963)); #497838=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,-20.1451552877506, -71.9999999999963)); #497839=CARTESIAN_POINT('Ctrl Pts',(-24.1502658274926,-20.1451725254343, -72.3542275009457)); #497840=CARTESIAN_POINT('Ctrl Pts',(-24.1055419955961,-20.1993757938161, -72.7324794912927)); #497841=CARTESIAN_POINT('Ctrl Pts',(-23.918795080694,-20.4208536040911, -73.4297117217968)); #497842=CARTESIAN_POINT('Ctrl Pts',(-23.7148374848864,-20.6604540643774, -73.8955651667423)); #497843=CARTESIAN_POINT('Ctrl Pts',(-23.3441562335768,-21.077737973814, -74.3581354393863)); #497844=CARTESIAN_POINT('Ctrl Pts',(-23.0019161881551,-21.4515457592535, -74.6337797427713)); #497845=CARTESIAN_POINT('Ctrl Pts',(-22.6902259543037,-21.7803196459339, -74.7698208736084)); #497846=CARTESIAN_POINT('Ctrl Pts',(-22.4309333575162,-22.0464156347828, -74.8235455158727)); #497847=CARTESIAN_POINT('Ctrl Pts',(-22.0529689443144,-22.4278685039953, -74.8498096092988)); #497848=CARTESIAN_POINT('Ctrl Pts',(-21.4408616343371,-23.0183701492233, -74.6888990310947)); #497849=CARTESIAN_POINT('Ctrl Pts',(-20.963009643248,-23.4468448619107, -74.249684588097)); #497850=CARTESIAN_POINT('Ctrl Pts',(-20.6239913828799,-23.7447698072837, -73.7964933811948)); #497851=CARTESIAN_POINT('Ctrl Pts',(-20.2808199117471,-24.0388465548549, -73.1875711768877)); #497852=CARTESIAN_POINT('Ctrl Pts',(-20.1453769621076,-24.1501337977102, -72.4251605509553)); #497853=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,-24.1502700702479, -71.9999999999963)); #497854=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,-20.3907578628351, -71.9999999999963)); #497855=CARTESIAN_POINT('Ctrl Pts',(-24.1610864498055,-20.3907406251514, -72.3318454889258)); #497856=CARTESIAN_POINT('Ctrl Pts',(-24.1193747335521,-20.4407733560568, -72.6852556950494)); #497857=CARTESIAN_POINT('Ctrl Pts',(-23.9438343531136,-20.6470940164297, -73.3403930471813)); #497858=CARTESIAN_POINT('Ctrl Pts',(-23.7523118220715,-20.8700492843407, -73.7782120585405)); #497859=CARTESIAN_POINT('Ctrl Pts',(-23.4023539251667,-21.2614480424729, -74.2158819135612)); #497860=CARTESIAN_POINT('Ctrl Pts',(-23.0787976328417,-21.6130528286329, -74.4771373926932)); #497861=CARTESIAN_POINT('Ctrl Pts',(-22.7837747790347,-21.9233055813459, -74.6064668269756)); #497862=CARTESIAN_POINT('Ctrl Pts',(-22.5382248778049,-22.174898823181, -74.6575544830907)); #497863=CARTESIAN_POINT('Ctrl Pts',(-22.180702321101,-22.5355680256617, -74.6825913910262)); #497864=CARTESIAN_POINT('Ctrl Pts',(-21.6020506454268,-23.0949268006222, -74.5292459751055)); #497865=CARTESIAN_POINT('Ctrl Pts',(-21.1533259830196,-23.4997096944367, -74.1131548829355)); #497866=CARTESIAN_POINT('Ctrl Pts',(-20.8361326617148,-23.7804767720124, -73.6853004938992)); #497867=CARTESIAN_POINT('Ctrl Pts',(-20.5161857266284,-24.0569925670299, -73.1119169918931)); #497868=CARTESIAN_POINT('Ctrl Pts',(-20.3905361884782,-24.1612184795878, -72.3981270368917)); #497869=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,-24.1610822070501, -71.9999999999963)); #497870=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -71.9999999999963)); #497871=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -72.3090140979256)); #497872=CARTESIAN_POINT('Ctrl Pts',(-24.1329912701363,-20.6824814528004, -72.6386031426815)); #497873=CARTESIAN_POINT('Ctrl Pts',(-23.9691306056399,-20.8730174085668, -73.2502783227568)); #497874=CARTESIAN_POINT('Ctrl Pts',(-23.7897888858784,-21.079750238811, -73.6610290060162)); #497875=CARTESIAN_POINT('Ctrl Pts',(-23.4608195351273,-21.4448921685025, -74.0732931957761)); #497876=CARTESIAN_POINT('Ctrl Pts',(-23.155927320709,-21.7743572316755, -74.3202872286623)); #497877=CARTESIAN_POINT('Ctrl Pts',(-22.8775323325004,-22.06610886502,-74.4428701039436)); #497878=CARTESIAN_POINT('Ctrl Pts',(-22.6458875424529,-22.303065450534, -74.4913021853078)); #497879=CARTESIAN_POINT('Ctrl Pts',(-22.3085298670701,-22.6431709637377, -74.5150702647297)); #497880=CARTESIAN_POINT('Ctrl Pts',(-21.7635104179885,-23.1712456786008, -74.3694084159746)); #497881=CARTESIAN_POINT('Ctrl Pts',(-21.3439970981576,-23.552321012774, -73.976244020057)); #497882=CARTESIAN_POINT('Ctrl Pts',(-21.0484907003618,-23.8160401932922, -73.5739792245113)); #497883=CARTESIAN_POINT('Ctrl Pts',(-20.7517053056877,-24.075019308333, -73.0360098357289)); #497884=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -72.3708169175115)); #497885=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -71.9999999999963)); #497886=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -71.9999999999963)); #497887=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,-20.6363604379196, -72.3090140979256)); #497888=CARTESIAN_POINT('Ctrl Pts',(-24.1329912701363,-20.6824814528004, -72.6386031426815)); #497889=CARTESIAN_POINT('Ctrl Pts',(-23.9691306056399,-20.8730174085668, -73.2502783227568)); #497890=CARTESIAN_POINT('Ctrl Pts',(-23.7897888858784,-21.079750238811, -73.6610290060162)); #497891=CARTESIAN_POINT('Ctrl Pts',(-23.4608195351273,-21.4448921685025, -74.0732931957761)); #497892=CARTESIAN_POINT('Ctrl Pts',(-23.155927320709,-21.7743572316755, -74.3202872286623)); #497893=CARTESIAN_POINT('Ctrl Pts',(-22.8775323325004,-22.06610886502,-74.4428701039436)); #497894=CARTESIAN_POINT('Ctrl Pts',(-22.6458875424529,-22.303065450534, -74.4913021853078)); #497895=CARTESIAN_POINT('Ctrl Pts',(-22.3085298670701,-22.6431709637377, -74.5150702647297)); #497896=CARTESIAN_POINT('Ctrl Pts',(-21.7635104179885,-23.1712456786008, -74.3694084159746)); #497897=CARTESIAN_POINT('Ctrl Pts',(-21.3439970981576,-23.552321012774, -73.976244020057)); #497898=CARTESIAN_POINT('Ctrl Pts',(-21.0484907003618,-23.8160401932922, -73.5739792245113)); #497899=CARTESIAN_POINT('Ctrl Pts',(-20.7517053056877,-24.075019308333, -73.0360098357289)); #497900=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -72.3708169175115)); #497901=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,-24.1718943438524, -71.9999999999963)); #497902=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #497903=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-41.9999999999963)); #497904=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-41.9999999999963)); #497905=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-41.9999999999963)); #497906=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.9999999999963)); #497907=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.6360234136521)); #497908=CARTESIAN_POINT('Ctrl Pts',(-26.1841046806,-22.9017010095301,-40.8484130704158)); #497909=CARTESIAN_POINT('Ctrl Pts',(-25.7815592518973,-23.3546648287113, -40.117459985894)); #497910=CARTESIAN_POINT('Ctrl Pts',(-25.3510475304803,-23.8197646853781, -39.7185189220646)); #497911=CARTESIAN_POINT('Ctrl Pts',(-24.8487613366452,-24.3463272066701, -39.4729651557052)); #497912=CARTESIAN_POINT('Ctrl Pts',(-24.3456534394662,-24.8493031891744, -39.472736749715)); #497913=CARTESIAN_POINT('Ctrl Pts',(-23.8857941601028,-25.2881783950467, -39.686155272031)); #497914=CARTESIAN_POINT('Ctrl Pts',(-23.6441672618983,-25.5135481846868, -39.8687383901902)); #497915=CARTESIAN_POINT('Ctrl Pts',(-23.3099646557026,-25.8213675203817, -40.1887364028166)); #497916=CARTESIAN_POINT('Ctrl Pts',(-22.9003568031257,-26.1853085341155, -40.8493679194596)); #497917=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.6360234136521)); #497918=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.9999999999963)); #497919=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-41.9999999999963)); #497920=CARTESIAN_POINT('Origin',(1.76776695296637,-1.76776695296637,-71.9999999999963)); #497921=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-71.9999999999963)); #497922=CARTESIAN_POINT('',(1.76776695296637,-1.76776695296637,-71.9999999999963)); #497923=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-1.69922627077551E-17)); #497924=CARTESIAN_POINT('Origin',(-22.7524856651626,-26.640498055686,-8.49613135387754E-18)); #497925=CARTESIAN_POINT('',(-22.7657224444502,-26.301256350383,-71.9999999999963)); #497926=CARTESIAN_POINT('',(-22.7392488858751,-26.9797397609891,-41.9999999999963)); #497927=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -71.9999999999963)); #497928=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -72.2827341522343)); #497929=CARTESIAN_POINT('Ctrl Pts',(-22.7883669512913,-26.9386215406674, -72.7542011387476)); #497930=CARTESIAN_POINT('Ctrl Pts',(-23.0705582113806,-26.6980586561983, -73.6587690137388)); #497931=CARTESIAN_POINT('Ctrl Pts',(-23.4445366223767,-26.372836256596, -74.2329940397847)); #497932=CARTESIAN_POINT('Ctrl Pts',(-23.8864585267063,-25.9700850268746, -74.618650905515)); #497933=CARTESIAN_POINT('Ctrl Pts',(-24.0452703064212,-25.8227499279823, -74.7244668370662)); #497934=CARTESIAN_POINT('Ctrl Pts',(-24.5618181941368,-25.3386307145898, -75.0126311179209)); #497935=CARTESIAN_POINT('Ctrl Pts',(-25.2290960693646,-24.6814501409937, -75.0965292767664)); #497936=CARTESIAN_POINT('Ctrl Pts',(-26.0641363217007,-23.7876323419952, -74.5881429501646)); #497937=CARTESIAN_POINT('Ctrl Pts',(-26.5278590984421,-23.2669564057014, -73.9855210708263)); #497938=CARTESIAN_POINT('Ctrl Pts',(-26.7502060333621,-23.0090531198223, -73.4461525561923)); #497939=CARTESIAN_POINT('Ctrl Pts',(-26.9310484991665,-22.7972854238164, -72.7790542569211)); #497940=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -72.3769789769375)); #497941=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -71.9999999999963)); #497942=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,-26.7535786241205,-71.9999999999963)); #497943=CARTESIAN_POINT('Ctrl Pts',(-22.7479854866745,-26.7536570542109, -72.2679767234005)); #497944=CARTESIAN_POINT('Ctrl Pts',(-22.7947265171877,-26.7141434052626, -72.7151663202692)); #497945=CARTESIAN_POINT('Ctrl Pts',(-23.0620203188694,-26.484334231887, -73.5714448400761)); #497946=CARTESIAN_POINT('Ctrl Pts',(-23.4155183250217,-26.1746640725857, -74.1129489305417)); #497947=CARTESIAN_POINT('Ctrl Pts',(-23.8319681692393,-25.7933680735828, -74.4755965825421)); #497948=CARTESIAN_POINT('Ctrl Pts',(-23.9814269771792,-25.6541884122468, -74.5748575230317)); #497949=CARTESIAN_POINT('Ctrl Pts',(-24.4670943607866,-25.1975219649302, -74.845246386627)); #497950=CARTESIAN_POINT('Ctrl Pts',(-25.0938615560046,-24.5798377184603, -74.9239018782505)); #497951=CARTESIAN_POINT('Ctrl Pts',(-25.8819830912231,-23.7390386589023, -74.4469508977866)); #497952=CARTESIAN_POINT('Ctrl Pts',(-26.3220313860388,-23.2479109236548, -73.8800391102788)); #497953=CARTESIAN_POINT('Ctrl Pts',(-26.534126901442,-23.0037830751471, -73.3700247989912)); #497954=CARTESIAN_POINT('Ctrl Pts',(-26.7068783384651,-22.8032251740377, -72.7389470070376)); #497955=CARTESIAN_POINT('Ctrl Pts',(-26.7535736500331,-22.7480864091316, -72.3573444613838)); #497956=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,-22.7480734054,-71.9999999999963)); #497957=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,-26.5274174872517, -71.9999999999963)); #497958=CARTESIAN_POINT('Ctrl Pts',(-22.7569858436507,-26.5273390571613, -72.253617097609)); #497959=CARTESIAN_POINT('Ctrl Pts',(-22.8009800531041,-26.4897557476327, -72.6761741409317)); #497960=CARTESIAN_POINT('Ctrl Pts',(-23.0536056539401,-26.2705129461119, -73.4845280373076)); #497961=CARTESIAN_POINT('Ctrl Pts',(-23.3865530510085,-25.9764716974141, -73.9933316490099)); #497962=CARTESIAN_POINT('Ctrl Pts',(-23.7770677958911,-25.6170158574125, -74.3325402572821)); #497963=CARTESIAN_POINT('Ctrl Pts',(-23.9172224209776,-25.4859645521708, -74.4255337546704)); #497964=CARTESIAN_POINT('Ctrl Pts',(-24.3730422284685,-25.0558557286859, -74.6779565955005)); #497965=CARTESIAN_POINT('Ctrl Pts',(-24.9587515277469,-24.4780810427549, -74.7511816470886)); #497966=CARTESIAN_POINT('Ctrl Pts',(-25.700071094582,-23.6903203360967, -74.3065943285575)); #497967=CARTESIAN_POINT('Ctrl Pts',(-26.1165141900308,-23.228511913166, -73.7743042289415)); #497968=CARTESIAN_POINT('Ctrl Pts',(-26.3182085636437,-22.9984152192898, -73.2944959840387)); #497969=CARTESIAN_POINT('Ctrl Pts',(-26.4828366759862,-22.8089656682711, -72.6982291856679)); #497970=CARTESIAN_POINT('Ctrl Pts',(-26.5274224613391,-22.7568849211935, -72.3381141645631)); #497971=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,-22.7568979249251, -71.9999999999963)); #497972=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -71.9999999999963)); #497973=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -72.2388596687752)); #497974=CARTESIAN_POINT('Ctrl Pts',(-22.8073396190005,-26.265277612228, -72.6371393224533)); #497975=CARTESIAN_POINT('Ctrl Pts',(-23.045067761429,-26.0567885218006, -73.3972038636449)); #497976=CARTESIAN_POINT('Ctrl Pts',(-23.3575347536535,-25.7782995134037, -73.8732865397668)); #497977=CARTESIAN_POINT('Ctrl Pts',(-23.7225774384241,-25.4402989041207, -74.1894859343091)); #497978=CARTESIAN_POINT('Ctrl Pts',(-23.8533790917356,-25.3174030364353, -74.2759244406358)); #497979=CARTESIAN_POINT('Ctrl Pts',(-24.2783183951182,-24.9147469790263, -74.5105718642067)); #497980=CARTESIAN_POINT('Ctrl Pts',(-24.8235170143869,-24.3764686202215, -74.5785542485727)); #497981=CARTESIAN_POINT('Ctrl Pts',(-25.5179178641044,-23.6417266530037, -74.1654022761795)); #497982=CARTESIAN_POINT('Ctrl Pts',(-25.9106864776275,-23.2094664311194, -73.668822268394)); #497983=CARTESIAN_POINT('Ctrl Pts',(-26.1021294317236,-22.9931451746145, -73.2183682268377)); #497984=CARTESIAN_POINT('Ctrl Pts',(-26.2586665152848,-22.8149054184925, -72.6581219357844)); #497985=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -72.3184796490094)); #497986=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -71.9999999999963)); #497987=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-71.9999999999963)); #497988=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-71.9999999999963)); #497989=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -71.9999999999963)); #497990=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -72.2388596687752)); #497991=CARTESIAN_POINT('Ctrl Pts',(-22.8073396190005,-26.265277612228, -72.6371393224533)); #497992=CARTESIAN_POINT('Ctrl Pts',(-23.045067761429,-26.0567885218006, -73.3972038636449)); #497993=CARTESIAN_POINT('Ctrl Pts',(-23.3575347536535,-25.7782995134037, -73.8732865397668)); #497994=CARTESIAN_POINT('Ctrl Pts',(-23.7225774384241,-25.4402989041207, -74.1894859343091)); #497995=CARTESIAN_POINT('Ctrl Pts',(-23.8533790917356,-25.3174030364353, -74.2759244406358)); #497996=CARTESIAN_POINT('Ctrl Pts',(-24.2783183951182,-24.9147469790263, -74.5105718642067)); #497997=CARTESIAN_POINT('Ctrl Pts',(-24.8235170143869,-24.3764686202215, -74.5785542485727)); #497998=CARTESIAN_POINT('Ctrl Pts',(-25.5179178641044,-23.6417266530037, -74.1654022761795)); #497999=CARTESIAN_POINT('Ctrl Pts',(-25.9106864776275,-23.2094664311194, -73.668822268394)); #498000=CARTESIAN_POINT('Ctrl Pts',(-26.1021294317236,-22.9931451746145, -73.2183682268377)); #498001=CARTESIAN_POINT('Ctrl Pts',(-26.2586665152848,-22.8149054184925, -72.6581219357844)); #498002=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -72.3184796490094)); #498003=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -71.9999999999963)); #498004=CARTESIAN_POINT('Origin',(-26.6404980556861,-22.7524856651626,-8.4961313538776E-18)); #498005=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-41.9999999999963)); #498006=CARTESIAN_POINT('',(-26.301256350383,-22.7657224444502,-1.69922627077552E-17)); #498007=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.9999999999963)); #498008=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,-22.7392488858749, -41.5691670674188)); #498009=CARTESIAN_POINT('Ctrl Pts',(-26.8461727940936,-22.8994724752506, -40.6364838327234)); #498010=CARTESIAN_POINT('Ctrl Pts',(-26.3770485123321,-23.44083756278,-39.7562906375488)); #498011=CARTESIAN_POINT('Ctrl Pts',(-25.8639302251446,-24.0040799579824, -39.2693232067302)); #498012=CARTESIAN_POINT('Ctrl Pts',(-25.2582790351612,-24.6451158864212, -38.9669562198511)); #498013=CARTESIAN_POINT('Ctrl Pts',(-24.6442977210735,-25.2589029890746, -38.9667490190242)); #498014=CARTESIAN_POINT('Ctrl Pts',(-24.0844440518296,-25.7881615576448, -39.2295664982369)); #498015=CARTESIAN_POINT('Ctrl Pts',(-23.7914708718178,-26.0575625547526, -39.4526955423315)); #498016=CARTESIAN_POINT('Ctrl Pts',(-23.3874068472951,-26.4234619611826, -39.8421669666421)); #498017=CARTESIAN_POINT('Ctrl Pts',(-22.8978924197258,-26.8475543842325, -40.6376353821042)); #498018=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.569167067419)); #498019=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,-26.9797397609892, -41.9999999999963)); #498020=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,-22.7480734054,-41.9999999999963)); #498021=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,-22.7480734054,-41.5914525161632)); #498022=CARTESIAN_POINT('Ctrl Pts',(-26.6254834229291,-22.9002153200104, -40.7071269119542)); #498023=CARTESIAN_POINT('Ctrl Pts',(-26.1785520921872,-23.4121133180904, -39.8766804203305)); #498024=CARTESIAN_POINT('Ctrl Pts',(-25.6929693269232,-23.942641533781, -39.4190551118417)); #498025=CARTESIAN_POINT('Ctrl Pts',(-25.1217731356559,-24.5455196598375, -39.1356258651359)); #498026=CARTESIAN_POINT('Ctrl Pts',(-24.5447496272044,-25.1223697224412, -39.1354115959211)); #498027=CARTESIAN_POINT('Ctrl Pts',(-24.018227421254,-25.6215005034454, -39.3817627561683)); #498028=CARTESIAN_POINT('Ctrl Pts',(-23.7423696685113,-25.8762244313974, -39.5913764916177)); #498029=CARTESIAN_POINT('Ctrl Pts',(-23.3615927834309,-26.222763814249, -39.9576901120336)); #498030=CARTESIAN_POINT('Ctrl Pts',(-22.8987138808591,-26.6268057675269, -40.708212894556)); #498031=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,-26.7535786241205,-41.5914525161634)); #498032=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,-26.7535786241205,-41.9999999999963)); #498033=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,-22.7568979249251, -41.9999999999963)); #498034=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,-22.7568979249251, -41.6137379649077)); #498035=CARTESIAN_POINT('Ctrl Pts',(-26.4047940517645,-22.9009581647703, -40.777769991185)); #498036=CARTESIAN_POINT('Ctrl Pts',(-25.9800556720423,-23.3833890734008, -39.9970702031123)); #498037=CARTESIAN_POINT('Ctrl Pts',(-25.5220084287018,-23.8812031095795, -39.5687870169532)); #498038=CARTESIAN_POINT('Ctrl Pts',(-24.9852672361505,-24.4459234332538, -39.3042955104205)); #498039=CARTESIAN_POINT('Ctrl Pts',(-24.4452015333353,-24.9858364558078, -39.304074172818)); #498040=CARTESIAN_POINT('Ctrl Pts',(-23.9520107906784,-25.454839449246, -39.5339590140996)); #498041=CARTESIAN_POINT('Ctrl Pts',(-23.6932684652048,-25.6948863080421, -39.7300574409039)); #498042=CARTESIAN_POINT('Ctrl Pts',(-23.3357787195667,-26.0220656673153, -40.0732132574251)); #498043=CARTESIAN_POINT('Ctrl Pts',(-22.8995353419924,-26.4060571508212, -40.7787904070078)); #498044=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,-26.5274174872517, -41.6137379649078)); #498045=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,-26.5274174872517, -41.9999999999963)); #498046=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.9999999999963)); #498047=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,-22.7657224444502, -41.6360234136521)); #498048=CARTESIAN_POINT('Ctrl Pts',(-26.1841046806,-22.9017010095301,-40.8484130704158)); #498049=CARTESIAN_POINT('Ctrl Pts',(-25.7815592518973,-23.3546648287113, -40.117459985894)); #498050=CARTESIAN_POINT('Ctrl Pts',(-25.3510475304803,-23.8197646853781, -39.7185189220646)); #498051=CARTESIAN_POINT('Ctrl Pts',(-24.8487613366452,-24.3463272066701, -39.4729651557052)); #498052=CARTESIAN_POINT('Ctrl Pts',(-24.3456534394662,-24.8493031891744, -39.472736749715)); #498053=CARTESIAN_POINT('Ctrl Pts',(-23.8857941601028,-25.2881783950467, -39.686155272031)); #498054=CARTESIAN_POINT('Ctrl Pts',(-23.6441672618983,-25.5135481846868, -39.8687383901902)); #498055=CARTESIAN_POINT('Ctrl Pts',(-23.3099646557026,-25.8213675203817, -40.1887364028166)); #498056=CARTESIAN_POINT('Ctrl Pts',(-22.9003568031257,-26.1853085341155, -40.8493679194596)); #498057=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.6360234136521)); #498058=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,-26.301256350383, -41.9999999999963)); #498059=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #498060=CARTESIAN_POINT('',(-1.76776695296637,1.76776695296637,-71.9999999999963)); #498061=CARTESIAN_POINT('Origin',(-1.76776695296637,1.76776695296637,-41.9999999999963)); #498062=CARTESIAN_POINT('Origin',(-27.9691273015784,-14.5607235892093,-36.9999999999963)); #498063=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-41.9999999999963)); #498064=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-41.9999999999963)); #498065=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-71.9999999999963)); #498066=CARTESIAN_POINT('',(-27.6225600747655,-14.6867219760143,-71.9999999999963)); #498067=CARTESIAN_POINT('',(-28.3156945283913,-14.4347252024043,-36.9999999999963)); #498068=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.9999999999963)); #498069=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -41.5690758501199)); #498070=CARTESIAN_POINT('Ctrl Pts',(-27.6937813604812,-14.5552273774095, -40.8732218782907)); #498071=CARTESIAN_POINT('Ctrl Pts',(-27.9224203402056,-14.1102607281457, -40.1015910866813)); #498072=CARTESIAN_POINT('Ctrl Pts',(-28.1924569611177,-13.5687703542076, -39.4891509239688)); #498073=CARTESIAN_POINT('Ctrl Pts',(-28.6762679930703,-12.5343893460808, -38.9354270250768)); #498074=CARTESIAN_POINT('Ctrl Pts',(-29.0498837667096,-11.6230851339083, -38.9741221557829)); #498075=CARTESIAN_POINT('Ctrl Pts',(-29.2840648855022,-11.008180395497, -39.1792165502929)); #498076=CARTESIAN_POINT('Ctrl Pts',(-29.3756791159214,-10.761641650503, -39.288742044106)); #498077=CARTESIAN_POINT('Ctrl Pts',(-29.4778105690957,-10.478244551835, -39.4555200338372)); #498078=CARTESIAN_POINT('Ctrl Pts',(-29.6576668570779,-9.96459727114246, -39.8447083163922)); #498079=CARTESIAN_POINT('Ctrl Pts',(-29.857282864367,-9.34644173770401, -40.6391955192432)); #498080=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.5690758501202)); #498081=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -41.9999999999963)); #498082=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -41.9999999999963)); #498083=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -41.5949976584836)); #498084=CARTESIAN_POINT('Ctrl Pts',(-27.9195609017307,-14.4796425355049, -40.940895517911)); #498085=CARTESIAN_POINT('Ctrl Pts',(-28.1327494307108,-14.0609140016113, -40.2124881782313)); #498086=CARTESIAN_POINT('Ctrl Pts',(-28.3854734227053,-13.549991822984, -39.6325601551717)); #498087=CARTESIAN_POINT('Ctrl Pts',(-28.8413038446154,-12.5699436034594, -39.1052684638261)); #498088=CARTESIAN_POINT('Ctrl Pts',(-29.1963706808845,-11.7043527283981, -39.1420885516276)); #498089=CARTESIAN_POINT('Ctrl Pts',(-29.4191173259557,-11.1219377720289, -39.3374391175289)); #498090=CARTESIAN_POINT('Ctrl Pts',(-29.5063015995853,-10.888607520865, -39.4416546679238)); #498091=CARTESIAN_POINT('Ctrl Pts',(-29.603500351616,-10.6207927127903, -39.6001604835997)); #498092=CARTESIAN_POINT('Ctrl Pts',(-29.7746730630902,-10.1360795486126, -39.9695285457585)); #498093=CARTESIAN_POINT('Ctrl Pts',(-29.9643996960495,-9.55580440284504, -40.7209012045377)); #498094=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -41.5949976584838)); #498095=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -41.9999999999963)); #498096=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -41.9999999999963)); #498097=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -41.6209194668472)); #498098=CARTESIAN_POINT('Ctrl Pts',(-28.1453404429801,-14.4040576936002, -41.0085691575314)); #498099=CARTESIAN_POINT('Ctrl Pts',(-28.3430785212158,-14.011567275077, -40.3233852697814)); #498100=CARTESIAN_POINT('Ctrl Pts',(-28.5784898842928,-13.5312132917603, -39.7759693863745)); #498101=CARTESIAN_POINT('Ctrl Pts',(-29.0063396961605,-12.605497860838, -39.2751099025754)); #498102=CARTESIAN_POINT('Ctrl Pts',(-29.3428575950595,-11.785620322888, -39.3100549474723)); #498103=CARTESIAN_POINT('Ctrl Pts',(-29.5541697664091,-11.2356951485607, -39.495661684765)); #498104=CARTESIAN_POINT('Ctrl Pts',(-29.6369240832493,-11.015573391227, -39.5945672917417)); #498105=CARTESIAN_POINT('Ctrl Pts',(-29.7291901341363,-10.7633408737456, -39.7448009333623)); #498106=CARTESIAN_POINT('Ctrl Pts',(-29.8916792691026,-10.3075618260828, -40.0943487751247)); #498107=CARTESIAN_POINT('Ctrl Pts',(-30.0715165277319,-9.76516706798606, -40.8026068898323)); #498108=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -41.6209194668473)); #498109=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -41.9999999999963)); #498110=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.9999999999963)); #498111=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.6468412752109)); #498112=CARTESIAN_POINT('Ctrl Pts',(-28.3711199842296,-14.3284728516955, -41.0762427971517)); #498113=CARTESIAN_POINT('Ctrl Pts',(-28.5534076117209,-13.9622205485426, -40.4342823613314)); #498114=CARTESIAN_POINT('Ctrl Pts',(-28.7715063458803,-13.5124347605367, -39.9193786175774)); #498115=CARTESIAN_POINT('Ctrl Pts',(-29.1713755477055,-12.6410521182166, -39.4449513413247)); #498116=CARTESIAN_POINT('Ctrl Pts',(-29.4893445092344,-11.8668879173779, -39.478021343317)); #498117=CARTESIAN_POINT('Ctrl Pts',(-29.6892222068626,-11.3494525250926, -39.6538842520011)); #498118=CARTESIAN_POINT('Ctrl Pts',(-29.7675465669132,-11.1425392615889, -39.7474799155595)); #498119=CARTESIAN_POINT('Ctrl Pts',(-29.8548799166565,-10.905889034701, -39.8894413831249)); #498120=CARTESIAN_POINT('Ctrl Pts',(-30.0086854751149,-10.4790441035529, -40.219169004491)); #498121=CARTESIAN_POINT('Ctrl Pts',(-30.1786333594144,-9.97452973312709, -40.8843125751269)); #498122=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.6468412752108)); #498123=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.9999999999963)); #498124=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-41.9999999999963)); #498125=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-41.9999999999963)); #498126=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.9999999999963)); #498127=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -41.6468412752109)); #498128=CARTESIAN_POINT('Ctrl Pts',(-28.3711199842296,-14.3284728516955, -41.0762427971517)); #498129=CARTESIAN_POINT('Ctrl Pts',(-28.5534076117209,-13.9622205485426, -40.4342823613314)); #498130=CARTESIAN_POINT('Ctrl Pts',(-28.7715063458803,-13.5124347605367, -39.9193786175774)); #498131=CARTESIAN_POINT('Ctrl Pts',(-29.1713755477055,-12.6410521182166, -39.4449513413247)); #498132=CARTESIAN_POINT('Ctrl Pts',(-29.4893445092344,-11.8668879173779, -39.478021343317)); #498133=CARTESIAN_POINT('Ctrl Pts',(-29.6892222068626,-11.3494525250926, -39.6538842520011)); #498134=CARTESIAN_POINT('Ctrl Pts',(-29.7675465669132,-11.1425392615889, -39.7474799155595)); #498135=CARTESIAN_POINT('Ctrl Pts',(-29.8548799166565,-10.905889034701, -39.8894413831249)); #498136=CARTESIAN_POINT('Ctrl Pts',(-30.0086854751149,-10.4790441035529, -40.219169004491)); #498137=CARTESIAN_POINT('Ctrl Pts',(-30.1786333594144,-9.97452973312709, -40.8843125751269)); #498138=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.6468412752108)); #498139=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -41.9999999999963)); #498140=CARTESIAN_POINT('Origin',(-30.0731459677287,-9.48117318990308,-36.9999999999963)); #498141=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-71.9999999999963)); #498142=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-71.9999999999963)); #498143=CARTESIAN_POINT('',(-30.2291116902168,-9.81532753984788,-36.9999999999963)); #498144=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -71.9999999999963)); #498145=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,-9.14701883995801, -72.4309241498725)); #498146=CARTESIAN_POINT('Ctrl Pts',(-29.8745293785277,-9.29045891600863, -73.1268622816152)); #498147=CARTESIAN_POINT('Ctrl Pts',(-29.7218382507062,-9.76613821702304, -73.8983383920772)); #498148=CARTESIAN_POINT('Ctrl Pts',(-29.529439279221,-10.3410163771892, -74.5110482382563)); #498149=CARTESIAN_POINT('Ctrl Pts',(-29.1236254302649,-11.4609830024041, -75.0899615251639)); #498150=CARTESIAN_POINT('Ctrl Pts',(-28.7151919613917,-12.4289962129156, -75.0196598532262)); #498151=CARTESIAN_POINT('Ctrl Pts',(-28.4469591391987,-13.0190075535638, -74.7772640079529)); #498152=CARTESIAN_POINT('Ctrl Pts',(-28.3599170402321,-13.2077667447616, -74.6835197033666)); #498153=CARTESIAN_POINT('Ctrl Pts',(-28.2748765061084,-13.3887254889076, -74.573157986063)); #498154=CARTESIAN_POINT('Ctrl Pts',(-28.1938588274763,-13.5580097076184, -74.4468728355318)); #498155=CARTESIAN_POINT('Ctrl Pts',(-28.000837280617,-13.9570519936424, -74.1115818217161)); #498156=CARTESIAN_POINT('Ctrl Pts',(-27.7208775630356,-14.5040439272205, -73.3603337724081)); #498157=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -72.4309241498723)); #498158=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,-14.6867219760146, -71.9999999999963)); #498159=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -71.9999999999963)); #498160=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,-9.36978840658796, -72.4050023415089)); #498161=CARTESIAN_POINT('Ctrl Pts',(-29.9807338186103,-9.50355116325318, -73.0591830504081)); #498162=CARTESIAN_POINT('Ctrl Pts',(-29.8356545131148,-9.94978900475681, -73.7874503952301)); #498163=CARTESIAN_POINT('Ctrl Pts',(-29.6526547916838,-10.4907503573098, -74.3676229536169)); #498164=CARTESIAN_POINT('Ctrl Pts',(-29.266243063203,-11.5500121825284, -74.9189561273797)); #498165=CARTESIAN_POINT('Ctrl Pts',(-28.878531822228,-12.4697774135772, -74.8519278724426)); #498166=CARTESIAN_POINT('Ctrl Pts',(-28.6253842483213,-13.0290562891187, -74.6211445423448)); #498167=CARTESIAN_POINT('Ctrl Pts',(-28.543320619703,-13.2079202238273, -74.5319817834636)); #498168=CARTESIAN_POINT('Ctrl Pts',(-28.4632697609939,-13.3792588749889, -74.4270855061189)); #498169=CARTESIAN_POINT('Ctrl Pts',(-28.3871341146093,-13.5393961879067, -74.3072037389413)); #498170=CARTESIAN_POINT('Ctrl Pts',(-28.2059209747998,-13.9166645369396, -73.9891289339656)); #498171=CARTESIAN_POINT('Ctrl Pts',(-27.9446864401525,-14.4317017555377, -73.2786541203592)); #498172=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -72.4050023415088)); #498173=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,-14.6027230514778, -71.9999999999963)); #498174=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -71.9999999999963)); #498175=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,-9.59255797321792, -72.3790805331454)); #498176=CARTESIAN_POINT('Ctrl Pts',(-30.0869382586929,-9.71664341049773, -72.9915038192011)); #498177=CARTESIAN_POINT('Ctrl Pts',(-29.9494707755234,-10.1334397924906, -73.6765623983831)); #498178=CARTESIAN_POINT('Ctrl Pts',(-29.7758703041465,-10.6404843374304, -74.2241976689776)); #498179=CARTESIAN_POINT('Ctrl Pts',(-29.4088606961411,-11.6390413626526, -74.7479507295957)); #498180=CARTESIAN_POINT('Ctrl Pts',(-29.0418716830643,-12.5105586142388, -74.6841958916591)); #498181=CARTESIAN_POINT('Ctrl Pts',(-28.803809357444,-13.0391050246736, -74.4650250767367)); #498182=CARTESIAN_POINT('Ctrl Pts',(-28.7267241991739,-13.208073702893, -74.3804438635607)); #498183=CARTESIAN_POINT('Ctrl Pts',(-28.6516630158794,-13.3697922610702, -74.2810130261748)); #498184=CARTESIAN_POINT('Ctrl Pts',(-28.5804094017423,-13.520782668195, -74.1675346423508)); #498185=CARTESIAN_POINT('Ctrl Pts',(-28.4110046689826,-13.8762770802368, -73.8666760462152)); #498186=CARTESIAN_POINT('Ctrl Pts',(-28.1684953172694,-14.3593595838549, -73.1969744683103)); #498187=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -72.3790805331453)); #498188=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,-14.5187241269411, -71.9999999999963)); #498189=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -71.9999999999963)); #498190=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -72.3531587247818)); #498191=CARTESIAN_POINT('Ctrl Pts',(-30.1931426987754,-9.92973565774228, -72.9238245879941)); #498192=CARTESIAN_POINT('Ctrl Pts',(-30.063287037932,-10.3170905802244, -73.565674401536)); #498193=CARTESIAN_POINT('Ctrl Pts',(-29.8990858166092,-10.790218317551, -74.0807723843383)); #498194=CARTESIAN_POINT('Ctrl Pts',(-29.5514783290792,-11.7280705427769, -74.5769453318116)); #498195=CARTESIAN_POINT('Ctrl Pts',(-29.2052115439005,-12.5513398149004, -74.5164639108755)); #498196=CARTESIAN_POINT('Ctrl Pts',(-28.9822344665667,-13.0491537602285, -74.3089056111287)); #498197=CARTESIAN_POINT('Ctrl Pts',(-28.9101277786448,-13.2082271819587, -74.2289059436578)); #498198=CARTESIAN_POINT('Ctrl Pts',(-28.8400562707649,-13.3603256471515, -74.1349405462308)); #498199=CARTESIAN_POINT('Ctrl Pts',(-28.7736846888754,-13.5021691484832, -74.0278655457603)); #498200=CARTESIAN_POINT('Ctrl Pts',(-28.6160883631654,-13.835889623534, -73.7442231584647)); #498201=CARTESIAN_POINT('Ctrl Pts',(-28.3923041943862,-14.2870174121722, -73.1152948162614)); #498202=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -72.3531587247818)); #498203=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -71.9999999999963)); #498204=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -71.9999999999963)); #498205=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,-9.81532753984788, -72.3531587247818)); #498206=CARTESIAN_POINT('Ctrl Pts',(-30.1931426987754,-9.92973565774228, -72.9238245879941)); #498207=CARTESIAN_POINT('Ctrl Pts',(-30.063287037932,-10.3170905802244, -73.565674401536)); #498208=CARTESIAN_POINT('Ctrl Pts',(-29.8990858166092,-10.790218317551, -74.0807723843383)); #498209=CARTESIAN_POINT('Ctrl Pts',(-29.5514783290792,-11.7280705427769, -74.5769453318116)); #498210=CARTESIAN_POINT('Ctrl Pts',(-29.2052115439005,-12.5513398149004, -74.5164639108755)); #498211=CARTESIAN_POINT('Ctrl Pts',(-28.9822344665667,-13.0491537602285, -74.3089056111287)); #498212=CARTESIAN_POINT('Ctrl Pts',(-28.9101277786448,-13.2082271819587, -74.2289059436578)); #498213=CARTESIAN_POINT('Ctrl Pts',(-28.8400562707649,-13.3603256471515, -74.1349405462308)); #498214=CARTESIAN_POINT('Ctrl Pts',(-28.7736846888754,-13.5021691484832, -74.0278655457603)); #498215=CARTESIAN_POINT('Ctrl Pts',(-28.6160883631654,-13.835889623534, -73.7442231584647)); #498216=CARTESIAN_POINT('Ctrl Pts',(-28.3923041943862,-14.2870174121722, -73.1152948162614)); #498217=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -72.3531587247818)); #498218=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,-14.4347252024043, -71.9999999999963)); #498219=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #498220=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-41.9999999999963)); #498221=CARTESIAN_POINT('',(0.956708580912724,-2.30969883127822,-41.9999999999963)); #498222=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-41.9999999999963)); #498223=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.9999999999963)); #498224=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.6815203509833)); #498225=CARTESIAN_POINT('Ctrl Pts',(-32.9907789247603,-11.0293632891377, -41.3418200444286)); #498226=CARTESIAN_POINT('Ctrl Pts',(-32.9189906594896,-11.2403872133004, -40.8141521001934)); #498227=CARTESIAN_POINT('Ctrl Pts',(-32.8382740170044,-11.4751420481514, -40.4077147062738)); #498228=CARTESIAN_POINT('Ctrl Pts',(-32.6764855530248,-11.9287665245445, -39.9529533294697)); #498229=CARTESIAN_POINT('Ctrl Pts',(-32.4581044344635,-12.5124743823823, -39.6184658589743)); #498230=CARTESIAN_POINT('Ctrl Pts',(-32.2486745345833,-13.0402592947673, -39.5024542564327)); #498231=CARTESIAN_POINT('Ctrl Pts',(-32.0828989629306,-13.4410584435587, -39.49870978095)); #498232=CARTESIAN_POINT('Ctrl Pts',(-31.9085028002847,-13.8521826194509, -39.5519132547773)); #498233=CARTESIAN_POINT('Ctrl Pts',(-31.682597366774,-14.3627785423233, -39.7422523336783)); #498234=CARTESIAN_POINT('Ctrl Pts',(-31.445716375311,-14.8731671551006, -40.1336630149467)); #498235=CARTESIAN_POINT('Ctrl Pts',(-31.2576713556484,-15.2640702762416, -40.6490595027813)); #498236=CARTESIAN_POINT('Ctrl Pts',(-31.1245824173383,-15.5338339228457, -41.3121629028969)); #498237=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.7770643544567)); #498238=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.9999999999963)); #498239=CARTESIAN_POINT('',(-0.956708580912724,2.30969883127822,-41.9999999999963)); #498240=CARTESIAN_POINT('Origin',(0.956708580912725,-2.30969883127822,-71.9999999999963)); #498241=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-71.9999999999963)); #498242=CARTESIAN_POINT('',(0.956708580912725,-2.30969883127822,-71.9999999999963)); #498243=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-1.69922627077549E-17)); #498244=CARTESIAN_POINT('Origin',(-31.2154330556656,-15.9056115803731,-8.49613135387745E-18)); #498245=CARTESIAN_POINT('',(-31.097840064939,-15.5871276161381,-71.9999999999963)); #498246=CARTESIAN_POINT('',(-31.3330260463922,-16.2240955446081,-41.9999999999963)); #498247=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -71.9999999999963)); #498248=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -72.3769787838144)); #498249=CARTESIAN_POINT('Ctrl Pts',(-31.4056764113403,-16.0851679200147, -73.1866779794001)); #498250=CARTESIAN_POINT('Ctrl Pts',(-31.6618374608314,-15.5771953758491, -74.0187391553767)); #498251=CARTESIAN_POINT('Ctrl Pts',(-31.9160320541455,-15.047416418432, -74.5039498940897)); #498252=CARTESIAN_POINT('Ctrl Pts',(-32.3698859559582,-14.0683298498162, -75.0837501979865)); #498253=CARTESIAN_POINT('Ctrl Pts',(-32.7593496346246,-13.1301270054864, -75.0764484386751)); #498254=CARTESIAN_POINT('Ctrl Pts',(-33.097618309557,-12.2308445732471, -74.6824141524862)); #498255=CARTESIAN_POINT('Ctrl Pts',(-33.2432063509058,-11.831687368641, -74.4390286392698)); #498256=CARTESIAN_POINT('Ctrl Pts',(-33.4071082106039,-11.35890332218,-73.9750427246389)); #498257=CARTESIAN_POINT('Ctrl Pts',(-33.5773897131587,-10.8460633563856, -73.1837991864909)); #498258=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -72.4523746435771)); #498259=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -71.9999999999963)); #498260=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,-16.0117729017849, -71.9999999999963)); #498261=CARTESIAN_POINT('Ctrl Pts',(-31.2547078869581,-16.0116970410162, -72.3576937387522)); #498262=CARTESIAN_POINT('Ctrl Pts',(-31.3233004487925,-15.8788981390173, -73.1251975415183)); #498263=CARTESIAN_POINT('Ctrl Pts',(-31.5646200549356,-15.3957146663892, -73.9113805877117)); #498264=CARTESIAN_POINT('Ctrl Pts',(-31.8036074176812,-14.8940835454297, -74.3680820450748)); #498265=CARTESIAN_POINT('Ctrl Pts',(-32.2295924598116,-13.9700566313929, -74.9123464672786)); #498266=CARTESIAN_POINT('Ctrl Pts',(-32.595263421887,-13.0889656808535, -74.9050434225501)); #498267=CARTESIAN_POINT('Ctrl Pts',(-32.915397895626,-12.2423589763154, -74.5356451152016)); #498268=CARTESIAN_POINT('Ctrl Pts',(-33.0535410578081,-11.8660396835447, -74.3070075924612)); #498269=CARTESIAN_POINT('Ctrl Pts',(-33.209990673874,-11.4191170164971, -73.8698711298171)); #498270=CARTESIAN_POINT('Ctrl Pts',(-33.3733941772925,-10.9330321690419, -73.1224182735674)); #498271=CARTESIAN_POINT('Ctrl Pts',(-33.4224041615275,-10.7784592350696, -72.4290075705805)); #498272=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,-10.7783281273857, -71.9999999999963)); #498273=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,-15.7994502589615, -71.9999999999963)); #498274=CARTESIAN_POINT('Ctrl Pts',(-31.1761582243731,-15.7995261197302, -72.3377645309174)); #498275=CARTESIAN_POINT('Ctrl Pts',(-31.2408021669226,-15.6728976037747, -73.0639771039796)); #498276=CARTESIAN_POINT('Ctrl Pts',(-31.4672773871787,-15.2144210800482, -73.8035817425694)); #498277=CARTESIAN_POINT('Ctrl Pts',(-31.6908780416507,-14.7413096127222, -74.2318861682273)); #498278=CARTESIAN_POINT('Ctrl Pts',(-32.0895900531397,-13.8712488452605, -74.7408295714503)); #498279=CARTESIAN_POINT('Ctrl Pts',(-32.4312732970125,-13.0479484649137, -74.7337517706269)); #498280=CARTESIAN_POINT('Ctrl Pts',(-32.7332015677973,-12.2533841545398, -74.3884752923388)); #498281=CARTESIAN_POINT('Ctrl Pts',(-32.8639708364662,-11.8999313645412, -74.1746002410675)); #498282=CARTESIAN_POINT('Ctrl Pts',(-33.01286743163,-11.479102190475,-73.7646409948638)); #498283=CARTESIAN_POINT('Ctrl Pts',(-33.1694440137888,-11.0198243495692, -73.0610532232885)); #498284=CARTESIAN_POINT('Ctrl Pts',(-33.2168162359465,-10.8728979327998, -72.405542543039)); #498285=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,-10.8730290404837, -71.9999999999963)); #498286=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -71.9999999999963)); #498287=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -72.3184794858551)); #498288=CARTESIAN_POINT('Ctrl Pts',(-31.1584262043748,-15.4666278227773, -73.0024966660978)); #498289=CARTESIAN_POINT('Ctrl Pts',(-31.370059981283,-15.0329403705883, -73.6962231749044)); #498290=CARTESIAN_POINT('Ctrl Pts',(-31.5784534051863,-14.5879767397198, -74.0960183192124)); #498291=CARTESIAN_POINT('Ctrl Pts',(-31.9492965569932,-13.7729756268372, -74.5694258407424)); #498292=CARTESIAN_POINT('Ctrl Pts',(-32.2671870842749,-13.0067871402807, -74.5623467545019)); #498293=CARTESIAN_POINT('Ctrl Pts',(-32.5509811538663,-12.2648985576082, -74.2417062550541)); #498294=CARTESIAN_POINT('Ctrl Pts',(-32.6743055433684,-11.9342836794449, -74.0425791942589)); #498295=CARTESIAN_POINT('Ctrl Pts',(-32.8157498949001,-11.5393158847921, -73.659469400042)); #498296=CARTESIAN_POINT('Ctrl Pts',(-32.9654484779226,-11.1067931622255, -72.999672310365)); #498297=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -72.3821754700425)); #498298=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -71.9999999999963)); #498299=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-71.9999999999963)); #498300=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-71.9999999999963)); #498301=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -71.9999999999963)); #498302=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -72.3184794858551)); #498303=CARTESIAN_POINT('Ctrl Pts',(-31.1584262043748,-15.4666278227773, -73.0024966660978)); #498304=CARTESIAN_POINT('Ctrl Pts',(-31.370059981283,-15.0329403705883, -73.6962231749044)); #498305=CARTESIAN_POINT('Ctrl Pts',(-31.5784534051863,-14.5879767397198, -74.0960183192124)); #498306=CARTESIAN_POINT('Ctrl Pts',(-31.9492965569932,-13.7729756268372, -74.5694258407424)); #498307=CARTESIAN_POINT('Ctrl Pts',(-32.2671870842749,-13.0067871402807, -74.5623467545019)); #498308=CARTESIAN_POINT('Ctrl Pts',(-32.5509811538663,-12.2648985576082, -74.2417062550541)); #498309=CARTESIAN_POINT('Ctrl Pts',(-32.6743055433684,-11.9342836794449, -74.0425791942589)); #498310=CARTESIAN_POINT('Ctrl Pts',(-32.8157498949001,-11.5393158847921, -73.659469400042)); #498311=CARTESIAN_POINT('Ctrl Pts',(-32.9654484779226,-11.1067931622255, -72.999672310365)); #498312=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -72.3821754700425)); #498313=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -71.9999999999963)); #498314=CARTESIAN_POINT('Origin',(-33.319610198737,-10.8256785839348,-8.49613135387756E-18)); #498315=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-41.9999999999963)); #498316=CARTESIAN_POINT('',(-33.0112572267645,-10.9677299535817,-1.69922627077551E-17)); #498317=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.9999999999963)); #498318=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,-10.6836272142877, -41.6230210230553)); #498319=CARTESIAN_POINT('Ctrl Pts',(-33.6052504817902,-10.7557462363925, -41.2208719885449)); #498320=CARTESIAN_POINT('Ctrl Pts',(-33.5244304416466,-11.0046005209023, -40.5925752957064)); #498321=CARTESIAN_POINT('Ctrl Pts',(-33.4329616316044,-11.2824162907642, -40.106281335014)); #498322=CARTESIAN_POINT('Ctrl Pts',(-33.2459095188726,-11.8247475048156, -39.5559284473563)); #498323=CARTESIAN_POINT('Ctrl Pts',(-32.9877121137599,-12.5301099376373, -39.1463800924169)); #498324=CARTESIAN_POINT('Ctrl Pts',(-32.7349996043554,-13.172629095624, -39.0029406874445)); #498325=CARTESIAN_POINT('Ctrl Pts',(-32.5332318704589,-13.6605833756751, -38.9983737991739)); #498326=CARTESIAN_POINT('Ctrl Pts',(-32.3201715716203,-14.1604902109202, -39.0640302641705)); #498327=CARTESIAN_POINT('Ctrl Pts',(-32.0433645769632,-14.7780453863471, -39.2984678578805)); #498328=CARTESIAN_POINT('Ctrl Pts',(-31.7539794951087,-15.3877090943565, -39.7754162818641)); #498329=CARTESIAN_POINT('Ctrl Pts',(-31.5256806278609,-15.8483741669842, -40.395994877103)); #498330=CARTESIAN_POINT('Ctrl Pts',(-31.3651266692748,-16.1625677539262, -41.1859101621239)); #498331=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.7361148448863)); #498332=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,-16.2240955446082, -41.9999999999963)); #498333=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,-10.7783281273857, -41.9999999999963)); #498334=CARTESIAN_POINT('Ctrl Pts',(-33.4223865693618,-10.7783886253286, -41.6425471928188)); #498335=CARTESIAN_POINT('Ctrl Pts',(-33.4004303753988,-10.8469472687966, -41.2611475745237)); #498336=CARTESIAN_POINT('Ctrl Pts',(-33.3225885320553,-11.083263227284, -40.6664869584646)); #498337=CARTESIAN_POINT('Ctrl Pts',(-33.2346999133443,-11.3467640248641, -40.206669624688)); #498338=CARTESIAN_POINT('Ctrl Pts',(-33.0560643061722,-11.8594809998044, -39.688400463299)); #498339=CARTESIAN_POINT('Ctrl Pts',(-32.8111347995291,-12.5243149630773, -39.3038161649152)); #498340=CARTESIAN_POINT('Ctrl Pts',(-32.5728266578893,-13.1286237535453, -39.1695781872938)); #498341=CARTESIAN_POINT('Ctrl Pts',(-32.3830946603758,-13.5874480813674, -39.165268695373)); #498342=CARTESIAN_POINT('Ctrl Pts',(-32.1828942615435,-14.0578179511893, -39.2267908714387)); #498343=CARTESIAN_POINT('Ctrl Pts',(-31.9230733667853,-14.639689229922, -39.4464965371554)); #498344=CARTESIAN_POINT('Ctrl Pts',(-31.6512398685019,-15.2161675231372, -39.8948378693205)); #498345=CARTESIAN_POINT('Ctrl Pts',(-31.4363474712841,-15.6536001522135, -40.4803647652654)); #498346=CARTESIAN_POINT('Ctrl Pts',(-31.2849893687341,-15.9529154438225, -41.2279303684507)); #498347=CARTESIAN_POINT('Ctrl Pts',(-31.2545677975167,-16.0118918055633, -41.7499009623342)); #498348=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,-16.0117729017849, -41.9999999999963)); #498349=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,-10.8730290404837, -41.9999999999963)); #498350=CARTESIAN_POINT('Ctrl Pts',(-33.2168338281123,-10.8729685425408, -41.6619941812197)); #498351=CARTESIAN_POINT('Ctrl Pts',(-33.1955990311517,-10.9381622567337, -41.3015444584498)); #498352=CARTESIAN_POINT('Ctrl Pts',(-33.1208325690809,-11.1617245069187, -40.7402404374352)); #498353=CARTESIAN_POINT('Ctrl Pts',(-33.0365357352645,-11.4107943140515, -40.3073264165998)); #498354=CARTESIAN_POINT('Ctrl Pts',(-32.8663307657253,-11.8940330295558, -39.820481313527)); #498355=CARTESIAN_POINT('Ctrl Pts',(-32.6346817486944,-12.5182693569423, -39.461029786476)); #498356=CARTESIAN_POINT('Ctrl Pts',(-32.4108474810493,-13.084264636846, -39.3358167565834)); #498357=CARTESIAN_POINT('Ctrl Pts',(-32.2330361730137,-13.5141937378665, -39.3318148847509)); #498358=CARTESIAN_POINT('Ctrl Pts',(-32.0457801103616,-13.9548548791818, -39.3891526475092)); #498359=CARTESIAN_POINT('Ctrl Pts',(-31.8028885769519,-14.5011346987484, -39.5942236544034)); #498360=CARTESIAN_POINT('Ctrl Pts',(-31.5484560019179,-15.0447087263199, -40.0142414274902)); #498361=CARTESIAN_POINT('Ctrl Pts',(-31.3470045122252,-15.4588442910124, -40.5646896146188)); #498362=CARTESIAN_POINT('Ctrl Pts',(-31.204719717879,-15.7434862329494, -41.2701426965702)); #498363=CARTESIAN_POINT('Ctrl Pts',(-31.1762983138146,-15.799331355183, -41.7632782370088)); #498364=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,-15.7994502589615, -41.9999999999963)); #498365=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.9999999999963)); #498366=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,-10.9677299535817, -41.6815203509833)); #498367=CARTESIAN_POINT('Ctrl Pts',(-32.9907789247603,-11.0293632891377, -41.3418200444286)); #498368=CARTESIAN_POINT('Ctrl Pts',(-32.9189906594896,-11.2403872133004, -40.8141521001934)); #498369=CARTESIAN_POINT('Ctrl Pts',(-32.8382740170044,-11.4751420481514, -40.4077147062738)); #498370=CARTESIAN_POINT('Ctrl Pts',(-32.6764855530248,-11.9287665245445, -39.9529533294697)); #498371=CARTESIAN_POINT('Ctrl Pts',(-32.4581044344635,-12.5124743823823, -39.6184658589743)); #498372=CARTESIAN_POINT('Ctrl Pts',(-32.2486745345833,-13.0402592947673, -39.5024542564327)); #498373=CARTESIAN_POINT('Ctrl Pts',(-32.0828989629306,-13.4410584435587, -39.49870978095)); #498374=CARTESIAN_POINT('Ctrl Pts',(-31.9085028002847,-13.8521826194509, -39.5519132547773)); #498375=CARTESIAN_POINT('Ctrl Pts',(-31.682597366774,-14.3627785423233, -39.7422523336783)); #498376=CARTESIAN_POINT('Ctrl Pts',(-31.445716375311,-14.8731671551006, -40.1336630149467)); #498377=CARTESIAN_POINT('Ctrl Pts',(-31.2576713556484,-15.2640702762416, -40.6490595027813)); #498378=CARTESIAN_POINT('Ctrl Pts',(-31.1245824173383,-15.5338339228457, -41.3121629028969)); #498379=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.7770643544567)); #498380=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,-15.5871276161381, -41.9999999999963)); #498381=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #498382=CARTESIAN_POINT('',(-0.956708580912725,2.30969883127822,-71.9999999999963)); #498383=CARTESIAN_POINT('Origin',(-0.956708580912724,2.30969883127822,-41.9999999999963)); #498384=CARTESIAN_POINT('Origin',(-31.4122519369689,-2.74903286660058,-36.9999999999963)); #498385=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-41.9999999999963)); #498386=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-41.9999999999963)); #498387=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-71.9999999999963)); #498388=CARTESIAN_POINT('',(-31.1402830646123,-2.99806573320117,-71.9999999999963)); #498389=CARTESIAN_POINT('',(-31.6842208093255,-2.5,-36.9999999999963)); #498390=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.9999999999963)); #498391=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -41.5690758501199)); #498392=CARTESIAN_POINT('Ctrl Pts',(-31.1615630175515,-2.79031753799107, -40.6362730629213)); #498393=CARTESIAN_POINT('Ctrl Pts',(-31.2191870173496,-2.07603375893279, -39.7562494035257)); #498394=CARTESIAN_POINT('Ctrl Pts',(-31.2591612938052,-1.31511340917225, -39.2693310436615)); #498395=CARTESIAN_POINT('Ctrl Pts',(-31.2873840153207,-0.433642654299325, -38.9669447212466)); #498396=CARTESIAN_POINT('Ctrl Pts',(-31.2872285438282,0.434663262720137, -38.9667401875334)); #498397=CARTESIAN_POINT('Ctrl Pts',(-31.2628266170424,1.20471819197486, -39.2295738173719)); #498398=CARTESIAN_POINT('Ctrl Pts',(-31.2440270355763,1.60230292528809, -39.4526838274716)); #498399=CARTESIAN_POINT('Ctrl Pts',(-31.2135896766594,2.14660808148739, -39.8421102962863)); #498400=CARTESIAN_POINT('Ctrl Pts',(-31.1614045784027,2.79241362108958, -40.6374228681298)); #498401=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -41.5690758501201)); #498402=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -41.9999999999963)); #498403=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.8320438221343, -41.9999999999963)); #498404=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.8320438221343, -41.5949976584836)); #498405=CARTESIAN_POINT('Ctrl Pts',(-31.3406130545762,-2.63792756574358, -40.7181422894779)); #498406=CARTESIAN_POINT('Ctrl Pts',(-31.3923153367427,-1.96641253817986, -39.8858672377108)); #498407=CARTESIAN_POINT('Ctrl Pts',(-31.4282968460968,-1.2470974732863, -39.4233029670096)); #498408=CARTESIAN_POINT('Ctrl Pts',(-31.453736132003,-0.411785159080293, -39.1352503821758)); #498409=CARTESIAN_POINT('Ctrl Pts',(-31.4535961233166,0.412744624979076, -39.1350769547642)); #498410=CARTESIAN_POINT('Ctrl Pts',(-31.4315985475833,1.14249559634671, -39.3854579612988)); #498411=CARTESIAN_POINT('Ctrl Pts',(-31.4146750089676,1.51856363320765, -39.5974872627626)); #498412=CARTESIAN_POINT('Ctrl Pts',(-31.3872915907425,2.03276771322873, -39.967083007419)); #498413=CARTESIAN_POINT('Ctrl Pts',(-31.3404716085771,2.63988624315063, -40.7192306794259)); #498414=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -41.5949976584837)); #498415=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -41.9999999999963)); #498416=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -41.9999999999963)); #498417=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -41.6209194668472)); #498418=CARTESIAN_POINT('Ctrl Pts',(-31.5196630916008,-2.48553759349608, -40.8000115160345)); #498419=CARTESIAN_POINT('Ctrl Pts',(-31.5654436561357,-1.85679131742693, -40.0154850718959)); #498420=CARTESIAN_POINT('Ctrl Pts',(-31.5974323983885,-1.17908153740034, -39.5772748903577)); #498421=CARTESIAN_POINT('Ctrl Pts',(-31.6200882486852,-0.389927663861261, -39.303556043105)); #498422=CARTESIAN_POINT('Ctrl Pts',(-31.6199637028049,0.390825987238013, -39.3034137219949)); #498423=CARTESIAN_POINT('Ctrl Pts',(-31.6003704781241,1.08027300071856, -39.5413421052257)); #498424=CARTESIAN_POINT('Ctrl Pts',(-31.5853229823588,1.43482434112721, -39.7422906980536)); #498425=CARTESIAN_POINT('Ctrl Pts',(-31.5609935048257,1.91892734497008, -40.0920557185518)); #498426=CARTESIAN_POINT('Ctrl Pts',(-31.5195386387515,2.48735886521168, -40.801038490722)); #498427=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -41.6209194668473)); #498428=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -41.9999999999963)); #498429=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.9999999999963)); #498430=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.6468412752109)); #498431=CARTESIAN_POINT('Ctrl Pts',(-31.6987131286255,-2.33314762124859, -40.8818807425911)); #498432=CARTESIAN_POINT('Ctrl Pts',(-31.7385719755288,-1.747170096674,-40.145102906081)); #498433=CARTESIAN_POINT('Ctrl Pts',(-31.7665679506801,-1.11106560151439, -39.7312468137059)); #498434=CARTESIAN_POINT('Ctrl Pts',(-31.7864403653674,-0.36807016864223, -39.4718617040343)); #498435=CARTESIAN_POINT('Ctrl Pts',(-31.7863312822933,0.36890734949695, -39.4717504892257)); #498436=CARTESIAN_POINT('Ctrl Pts',(-31.769142408665,1.01805040509041,-39.6972262491526)); #498437=CARTESIAN_POINT('Ctrl Pts',(-31.75597095575,1.35108504904676,-39.8870941333446)); #498438=CARTESIAN_POINT('Ctrl Pts',(-31.7346954189089,1.80508697671142, -40.2170284296846)); #498439=CARTESIAN_POINT('Ctrl Pts',(-31.6986056689259,2.33483148727272, -40.8828463020181)); #498440=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.6468412752109)); #498441=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.9999999999963)); #498442=CARTESIAN_POINT('',(-31.6842208093255,2.5,-41.9999999999963)); #498443=CARTESIAN_POINT('',(-31.6842208093255,2.5,-41.9999999999963)); #498444=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.9999999999963)); #498445=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-41.6468412752109)); #498446=CARTESIAN_POINT('Ctrl Pts',(-31.6987131286255,-2.33314762124859, -40.8818807425911)); #498447=CARTESIAN_POINT('Ctrl Pts',(-31.7385719755288,-1.747170096674,-40.145102906081)); #498448=CARTESIAN_POINT('Ctrl Pts',(-31.7665679506801,-1.11106560151439, -39.7312468137059)); #498449=CARTESIAN_POINT('Ctrl Pts',(-31.7864403653674,-0.36807016864223, -39.4718617040343)); #498450=CARTESIAN_POINT('Ctrl Pts',(-31.7863312822933,0.36890734949695, -39.4717504892257)); #498451=CARTESIAN_POINT('Ctrl Pts',(-31.769142408665,1.01805040509041,-39.6972262491526)); #498452=CARTESIAN_POINT('Ctrl Pts',(-31.75597095575,1.35108504904676,-39.8870941333446)); #498453=CARTESIAN_POINT('Ctrl Pts',(-31.7346954189089,1.80508697671142, -40.2170284296846)); #498454=CARTESIAN_POINT('Ctrl Pts',(-31.6986056689259,2.33483148727272, -40.8828463020181)); #498455=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.6468412752109)); #498456=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-41.9999999999963)); #498457=CARTESIAN_POINT('Origin',(-31.4122519369689,2.74903286660059,-36.9999999999963)); #498458=CARTESIAN_POINT('',(-31.6842208093255,2.5,-71.9999999999963)); #498459=CARTESIAN_POINT('',(-31.6842208093255,2.5,-71.9999999999963)); #498460=CARTESIAN_POINT('',(-31.6842208093255,2.5,-36.9999999999963)); #498461=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -71.9999999999963)); #498462=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,2.99806573320146, -72.4309241498726)); #498463=CARTESIAN_POINT('Ctrl Pts',(-31.1557755278929,2.84925304205419, -73.1268979677136)); #498464=CARTESIAN_POINT('Ctrl Pts',(-31.1967424677015,2.35135695499332, -73.8983798596783)); #498465=CARTESIAN_POINT('Ctrl Pts',(-31.238986035687,1.74661952710948,-74.5110942848117)); #498466=CARTESIAN_POINT('Ctrl Pts',(-31.2926577232442,0.556639846375817, -75.0900305946958)); #498467=CARTESIAN_POINT('Ctrl Pts',(-31.2857587660115,-0.493924362586801, -75.0197483704145)); #498468=CARTESIAN_POINT('Ctrl Pts',(-31.2637313107906,-1.14167516210151, -74.7773500877536)); #498469=CARTESIAN_POINT('Ctrl Pts',(-31.2555499263654,-1.3493747811762, -74.6836059787486)); #498470=CARTESIAN_POINT('Ctrl Pts',(-31.2462323167529,-1.54910631939975, -74.5732411782799)); #498471=CARTESIAN_POINT('Ctrl Pts',(-31.2361640532521,-1.73650788857123, -74.4469564547108)); #498472=CARTESIAN_POINT('Ctrl Pts',(-31.2105412057543,-2.17905612007201, -74.1116552118204)); #498473=CARTESIAN_POINT('Ctrl Pts',(-31.1612148763694,-2.79157581771252, -73.3603836486283)); #498474=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -72.4309241498723)); #498475=CARTESIAN_POINT('Ctrl Pts',(-31.1402830646122,-2.99806573320146, -71.9999999999963)); #498476=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -71.9999999999963)); #498477=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,2.83204382213431, -72.405002341509)); #498478=CARTESIAN_POINT('Ctrl Pts',(-31.3354420060761,2.69302216493321, -73.0592167345575)); #498479=CARTESIAN_POINT('Ctrl Pts',(-31.3721745328115,2.22523893580828, -73.787489529455)); #498480=CARTESIAN_POINT('Ctrl Pts',(-31.4101223994574,1.65543291795721, -74.3676664139763)); #498481=CARTESIAN_POINT('Ctrl Pts',(-31.4584883571414,0.528960160868758, -74.9190213121779)); #498482=CARTESIAN_POINT('Ctrl Pts',(-31.4522703482041,-0.469102318659175, -74.8520113801482)); #498483=CARTESIAN_POINT('Ctrl Pts',(-31.4324190627884,-1.08268697151402, -74.6212257563276)); #498484=CARTESIAN_POINT('Ctrl Pts',(-31.4250504329088,-1.27933942687574, -74.5320631811594)); #498485=CARTESIAN_POINT('Ctrl Pts',(-31.4166612663014,-1.46827346070671, -74.4271639949085)); #498486=CARTESIAN_POINT('Ctrl Pts',(-31.407603038162,-1.64535616444383, -74.3072826304479)); #498487=CARTESIAN_POINT('Ctrl Pts',(-31.3845573270189,-2.06326811386391, -73.9891981731242)); #498488=CARTESIAN_POINT('Ctrl Pts',(-31.3403024889693,-2.6390979555133, -73.2787011717976)); #498489=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.8320438221343, -72.4050023415088)); #498490=CARTESIAN_POINT('Ctrl Pts',(-31.3215956461833,-2.83204382213431, -71.9999999999963)); #498491=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -71.9999999999963)); #498492=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,2.66602191106716, -72.3790805331454)); #498493=CARTESIAN_POINT('Ctrl Pts',(-31.5151084842594,2.53679128781224, -72.9915355014013)); #498494=CARTESIAN_POINT('Ctrl Pts',(-31.5476065979216,2.09912091662323, -73.6765991992317)); #498495=CARTESIAN_POINT('Ctrl Pts',(-31.5812587632278,1.56424630880494, -74.2242385431408)); #498496=CARTESIAN_POINT('Ctrl Pts',(-31.6243189910385,0.501280475361705, -74.7480120296601)); #498497=CARTESIAN_POINT('Ctrl Pts',(-31.6187819303966,-0.444280274731545, -74.6842743898818)); #498498=CARTESIAN_POINT('Ctrl Pts',(-31.6011068147863,-1.02369878092653, -74.4651014249017)); #498499=CARTESIAN_POINT('Ctrl Pts',(-31.5945509394522,-1.20930407257529, -74.3805203835703)); #498500=CARTESIAN_POINT('Ctrl Pts',(-31.5870902158498,-1.38744060201366, -74.2810868115371)); #498501=CARTESIAN_POINT('Ctrl Pts',(-31.5790420230719,-1.55420444031643, -74.1676088061851)); #498502=CARTESIAN_POINT('Ctrl Pts',(-31.5585734482836,-1.94748010765581, -73.8667411344279)); #498503=CARTESIAN_POINT('Ctrl Pts',(-31.5193901015693,-2.48662009331408, -73.1970186949668)); #498504=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -72.3790805331453)); #498505=CARTESIAN_POINT('Ctrl Pts',(-31.5029082277544,-2.66602191106715, -71.9999999999963)); #498506=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-71.9999999999963)); #498507=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-72.3531587247818)); #498508=CARTESIAN_POINT('Ctrl Pts',(-31.6947749624427,2.38056041069126, -72.9238542682452)); #498509=CARTESIAN_POINT('Ctrl Pts',(-31.7230386630317,1.97300289743818, -73.5657088690084)); #498510=CARTESIAN_POINT('Ctrl Pts',(-31.7523951269982,1.47305969965267, -74.0808106723054)); #498511=CARTESIAN_POINT('Ctrl Pts',(-31.7901496249357,0.473600789854647, -74.5770027471423)); #498512=CARTESIAN_POINT('Ctrl Pts',(-31.7852935125892,-0.419458230803917, -74.5165373996155)); #498513=CARTESIAN_POINT('Ctrl Pts',(-31.7697945667841,-0.964710590339043, -74.3089770934758)); #498514=CARTESIAN_POINT('Ctrl Pts',(-31.7640514459957,-1.13926871827483, -74.2289775859811)); #498515=CARTESIAN_POINT('Ctrl Pts',(-31.7575191653983,-1.30660774332062, -74.1350096281657)); #498516=CARTESIAN_POINT('Ctrl Pts',(-31.7504810079818,-1.46305271618903, -74.0279349819223)); #498517=CARTESIAN_POINT('Ctrl Pts',(-31.7325895695482,-1.83169210144771, -73.7442840957317)); #498518=CARTESIAN_POINT('Ctrl Pts',(-31.6984777141693,-2.33414223111486, -73.1153362181361)); #498519=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-72.3531587247818)); #498520=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-71.9999999999963)); #498521=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-71.9999999999963)); #498522=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,2.5,-72.3531587247818)); #498523=CARTESIAN_POINT('Ctrl Pts',(-31.6947749624427,2.38056041069126, -72.9238542682452)); #498524=CARTESIAN_POINT('Ctrl Pts',(-31.7230386630317,1.97300289743818, -73.5657088690084)); #498525=CARTESIAN_POINT('Ctrl Pts',(-31.7523951269982,1.47305969965267, -74.0808106723054)); #498526=CARTESIAN_POINT('Ctrl Pts',(-31.7901496249357,0.473600789854647, -74.5770027471423)); #498527=CARTESIAN_POINT('Ctrl Pts',(-31.7852935125892,-0.419458230803917, -74.5165373996155)); #498528=CARTESIAN_POINT('Ctrl Pts',(-31.7697945667841,-0.964710590339043, -74.3089770934758)); #498529=CARTESIAN_POINT('Ctrl Pts',(-31.7640514459957,-1.13926871827483, -74.2289775859811)); #498530=CARTESIAN_POINT('Ctrl Pts',(-31.7575191653983,-1.30660774332062, -74.1350096281657)); #498531=CARTESIAN_POINT('Ctrl Pts',(-31.7504810079818,-1.46305271618903, -74.0279349819223)); #498532=CARTESIAN_POINT('Ctrl Pts',(-31.7325895695482,-1.83169210144771, -73.7442840957317)); #498533=CARTESIAN_POINT('Ctrl Pts',(-31.6984777141693,-2.33414223111486, -73.1153362181361)); #498534=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-72.3531587247818)); #498535=CARTESIAN_POINT('Ctrl Pts',(-31.6842208093255,-2.5,-71.9999999999963)); #498536=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #498537=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-41.9999999999963)); #498538=CARTESIAN_POINT('',(-1.53080849893419E-16,-2.5,-41.9999999999963)); #498539=CARTESIAN_POINT('',(-34.6955934381631,2.5,-41.9999999999963)); #498540=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.9999999999963)); #498541=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.6815203509833)); #498542=CARTESIAN_POINT('Ctrl Pts',(-34.7052029075409,2.37021417276786, -41.0022434295061)); #498543=CARTESIAN_POINT('Ctrl Pts',(-34.7292643938556,1.97886874793944, -40.4396859929983)); #498544=CARTESIAN_POINT('Ctrl Pts',(-34.7537247146475,1.4873643380387,-39.9549452826182)); #498545=CARTESIAN_POINT('Ctrl Pts',(-34.7751185073987,0.960380588262175, -39.6275477251912)); #498546=CARTESIAN_POINT('Ctrl Pts',(-34.7855125245311,0.185377220627367, -39.4838300440719)); #498547=CARTESIAN_POINT('Ctrl Pts',(-34.7840104949329,-0.371216479419973, -39.5040955275507)); #498548=CARTESIAN_POINT('Ctrl Pts',(-34.7747694868321,-0.855532253144191, -39.6347946211765)); #498549=CARTESIAN_POINT('Ctrl Pts',(-34.7650233097842,-1.16726603809895, -39.7851044784794)); #498550=CARTESIAN_POINT('Ctrl Pts',(-34.7587974199645,-1.33782193599937, -39.8862778322471)); #498551=CARTESIAN_POINT('Ctrl Pts',(-34.7427826609544,-1.73934258618068, -40.1589276459301)); #498552=CARTESIAN_POINT('Ctrl Pts',(-34.7103905226105,-2.29942451612705, -40.788980332958)); #498553=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.6178246387197)); #498554=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.9999999999963)); #498555=CARTESIAN_POINT('',(1.53080849893419E-16,2.5,-41.9999999999963)); #498556=CARTESIAN_POINT('Origin',(-1.53080849893419E-16,-2.5,-71.9999999999963)); #498557=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-71.9999999999963)); #498558=CARTESIAN_POINT('',(-1.53080849893419E-16,-2.5,-71.9999999999963)); #498559=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-1.69922627077549E-17)); #498560=CARTESIAN_POINT('Origin',(-34.9261137320489,-2.74923992667642,-8.49613135387747E-18)); #498561=CARTESIAN_POINT('',(-34.6955934381631,-2.5,-71.9999999999963)); #498562=CARTESIAN_POINT('',(-35.1566340259346,-2.99847985335284,-41.9999999999963)); #498563=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -71.9999999999963)); #498564=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335299, -72.4308329325739)); #498565=CARTESIAN_POINT('Ctrl Pts',(-35.1703643873278,-2.84981576006348, -73.1267631035288)); #498566=CARTESIAN_POINT('Ctrl Pts',(-35.2066670205568,-2.3511280108514, -73.8985107948277)); #498567=CARTESIAN_POINT('Ctrl Pts',(-35.2441363651356,-1.74731662625756, -74.5110552715817)); #498568=CARTESIAN_POINT('Ctrl Pts',(-35.2894966774507,-0.606551375927314, -75.0649742432279)); #498569=CARTESIAN_POINT('Ctrl Pts',(-35.2863213643987,0.378135817485095, -75.0261131608661)); #498570=CARTESIAN_POINT('Ctrl Pts',(-35.2695262410815,1.03573064064646, -74.8211745586919)); #498571=CARTESIAN_POINT('Ctrl Pts',(-35.260878316265,1.29915349873797,-74.7111681385088)); #498572=CARTESIAN_POINT('Ctrl Pts',(-35.2505512984742,1.54881929823021, -74.5731445564563)); #498573=CARTESIAN_POINT('Ctrl Pts',(-35.2416247577606,1.7362763347329,-74.446859310338)); #498574=CARTESIAN_POINT('Ctrl Pts',(-35.2189064506931,2.17897364970912, -74.1115159173017)); #498575=CARTESIAN_POINT('Ctrl Pts',(-35.1751791151753,2.79176958106162, -73.3601166126395)); #498576=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -72.4308329325739)); #498577=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -71.9999999999963)); #498578=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,-2.83231990223532, -71.9999999999963)); #498579=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,-2.83231990223533, -72.4085474838294)); #498580=CARTESIAN_POINT('Ctrl Pts',(-35.0153393015127,-2.69048468422544, -73.0684065785978)); #498581=CARTESIAN_POINT('Ctrl Pts',(-35.0480035281471,-2.21704373941359, -73.7976473782349)); #498582=CARTESIAN_POINT('Ctrl Pts',(-35.0816642709792,-1.64527367985374, -74.3749385733055)); #498583=CARTESIAN_POINT('Ctrl Pts',(-35.1222936121288,-0.569785817027493, -74.8943551726948)); #498584=CARTESIAN_POINT('Ctrl Pts',(-35.1194446876809,0.355314610309638, -74.8578790250971)); #498585=CARTESIAN_POINT('Ctrl Pts',(-35.1044075898237,0.974556652992607, -74.6657590949973)); #498586=CARTESIAN_POINT('Ctrl Pts',(-35.0966596034324,1.22277565772804, -74.5625293472006)); #498587=CARTESIAN_POINT('Ctrl Pts',(-35.0874021688413,1.45831542550453, -74.4328825891205)); #498588=CARTESIAN_POINT('Ctrl Pts',(-35.079394487315,1.63534419698923,-74.3141303810368)); #498589=CARTESIAN_POINT('Ctrl Pts',(-35.0590087687412,2.05367389676275, -73.9986011655343)); #498590=CARTESIAN_POINT('Ctrl Pts',(-35.0196826975929,2.63515100333423, -73.2896581852178)); #498591=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,2.83231990223533, -72.4085474838294)); #498592=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,2.83231990223533, -71.9999999999963)); #498593=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,-2.66615995111766, -71.9999999999963)); #498594=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,-2.66615995111766, -72.386262035085)); #498595=CARTESIAN_POINT('Ctrl Pts',(-34.8603142156976,-2.53115360838741, -73.0100500536668)); #498596=CARTESIAN_POINT('Ctrl Pts',(-34.8893400357375,-2.08295946797579, -73.6967839616421)); #498597=CARTESIAN_POINT('Ctrl Pts',(-34.9191921768228,-1.54323073344993, -74.2388218750294)); #498598=CARTESIAN_POINT('Ctrl Pts',(-34.9550905468068,-0.533020258127671, -74.7237361021618)); #498599=CARTESIAN_POINT('Ctrl Pts',(-34.9525680109632,0.332493403134181, -74.6896448893282)); #498600=CARTESIAN_POINT('Ctrl Pts',(-34.939288938566,0.913382665338753, -74.5103436313028)); #498601=CARTESIAN_POINT('Ctrl Pts',(-34.9324408905997,1.14639781671811, -74.4138905558925)); #498602=CARTESIAN_POINT('Ctrl Pts',(-34.9242530392083,1.36781155277886, -74.2926206217847)); #498603=CARTESIAN_POINT('Ctrl Pts',(-34.9171642168695,1.53441205924555, -74.1814014517357)); #498604=CARTESIAN_POINT('Ctrl Pts',(-34.8991110867893,1.92837414381639, -73.8856864137669)); #498605=CARTESIAN_POINT('Ctrl Pts',(-34.8641862800107,2.47853242560685, -73.2191997577962)); #498606=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,2.66615995111767, -72.386262035085)); #498607=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,2.66615995111767, -71.9999999999963)); #498608=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-71.9999999999963)); #498609=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-72.3639765863405)); #498610=CARTESIAN_POINT('Ctrl Pts',(-34.7052891298826,-2.37182253254937, -72.9516935287358)); #498611=CARTESIAN_POINT('Ctrl Pts',(-34.7306765433278,-1.94887519653799, -73.5959205450493)); #498612=CARTESIAN_POINT('Ctrl Pts',(-34.7567200826663,-1.44118778704611, -74.1027051767533)); #498613=CARTESIAN_POINT('Ctrl Pts',(-34.7878874814849,-0.496254699227852, -74.5531170316289)); #498614=CARTESIAN_POINT('Ctrl Pts',(-34.7856913342454,0.309672195958722, -74.5214107535593)); #498615=CARTESIAN_POINT('Ctrl Pts',(-34.7741702873082,0.852208677684897, -74.3549281676083)); #498616=CARTESIAN_POINT('Ctrl Pts',(-34.768222177767,1.07001997570817,-74.2652517645843)); #498617=CARTESIAN_POINT('Ctrl Pts',(-34.7611039095754,1.27730768005319, -74.152358654449)); #498618=CARTESIAN_POINT('Ctrl Pts',(-34.7549339464239,1.43347992150187, -74.0486725224345)); #498619=CARTESIAN_POINT('Ctrl Pts',(-34.7392134048374,1.80307439087002, -73.7727716619995)); #498620=CARTESIAN_POINT('Ctrl Pts',(-34.7086898624283,2.32191384787946, -73.1487413303746)); #498621=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-72.3639765863405)); #498622=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-71.9999999999963)); #498623=CARTESIAN_POINT('',(-34.6955934381631,2.5,-71.9999999999963)); #498624=CARTESIAN_POINT('',(-34.6955934381631,2.5,-71.9999999999963)); #498625=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-71.9999999999963)); #498626=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-72.3639765863405)); #498627=CARTESIAN_POINT('Ctrl Pts',(-34.7052891298826,-2.37182253254937, -72.9516935287358)); #498628=CARTESIAN_POINT('Ctrl Pts',(-34.7306765433278,-1.94887519653799, -73.5959205450493)); #498629=CARTESIAN_POINT('Ctrl Pts',(-34.7567200826663,-1.44118778704611, -74.1027051767533)); #498630=CARTESIAN_POINT('Ctrl Pts',(-34.7878874814849,-0.496254699227852, -74.5531170316289)); #498631=CARTESIAN_POINT('Ctrl Pts',(-34.7856913342454,0.309672195958722, -74.5214107535593)); #498632=CARTESIAN_POINT('Ctrl Pts',(-34.7741702873082,0.852208677684897, -74.3549281676083)); #498633=CARTESIAN_POINT('Ctrl Pts',(-34.768222177767,1.07001997570817,-74.2652517645843)); #498634=CARTESIAN_POINT('Ctrl Pts',(-34.7611039095754,1.27730768005319, -74.152358654449)); #498635=CARTESIAN_POINT('Ctrl Pts',(-34.7549339464239,1.43347992150187, -74.0486725224345)); #498636=CARTESIAN_POINT('Ctrl Pts',(-34.7392134048374,1.80307439087002, -73.7727716619995)); #498637=CARTESIAN_POINT('Ctrl Pts',(-34.7086898624283,2.32191384787946, -73.1487413303746)); #498638=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-72.3639765863405)); #498639=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-71.9999999999963)); #498640=CARTESIAN_POINT('Origin',(-34.9261137320489,2.74923992667642,-8.49613135387749E-18)); #498641=CARTESIAN_POINT('',(-34.6955934381631,2.5,-41.9999999999963)); #498642=CARTESIAN_POINT('',(-34.6955934381631,2.5,-1.6992262707755E-17)); #498643=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.9999999999963)); #498644=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,2.99847985335299, -41.6230210230552)); #498645=CARTESIAN_POINT('Ctrl Pts',(-35.1702415436453,2.84784849597214, -40.8189051297939)); #498646=CARTESIAN_POINT('Ctrl Pts',(-35.2046353668866,2.38697763606976, -40.1442820147055)); #498647=CARTESIAN_POINT('Ctrl Pts',(-35.2398411863087,1.8017410739773,-39.5585217332267)); #498648=CARTESIAN_POINT('Ctrl Pts',(-35.2708773728056,1.16833283598923, -39.1576963872368)); #498649=CARTESIAN_POINT('Ctrl Pts',(-35.2860764527275,0.22573994421312, -38.9800510792419)); #498650=CARTESIAN_POINT('Ctrl Pts',(-35.283871900611,-0.45213366949784, -39.0051976387665)); #498651=CARTESIAN_POINT('Ctrl Pts',(-35.2703913294485,-1.0401029463555, -39.1663172244999)); #498652=CARTESIAN_POINT('Ctrl Pts',(-35.2562336697443,-1.41629767962995, -39.3504348704491)); #498653=CARTESIAN_POINT('Ctrl Pts',(-35.2472148465393,-1.62142320675401, -39.4738985205469)); #498654=CARTESIAN_POINT('Ctrl Pts',(-35.2240353496868,-2.10336670575777, -39.8059384216228)); #498655=CARTESIAN_POINT('Ctrl Pts',(-35.1775879542264,-2.76566038187736, -40.5659303507732)); #498656=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -41.5476254851644)); #498657=CARTESIAN_POINT('Ctrl Pts',(-35.1566340259346,-2.99847985335298, -41.9999999999963)); #498658=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,2.83231990223533, -41.9999999999963)); #498659=CARTESIAN_POINT('Ctrl Pts',(-35.0029770597137,2.83232232329476, -41.6425212953823)); #498660=CARTESIAN_POINT('Ctrl Pts',(-35.0152260341643,2.68861350860868, -40.8800308312232)); #498661=CARTESIAN_POINT('Ctrl Pts',(-35.0461952322814,2.2509829926762,-40.2427255266149)); #498662=CARTESIAN_POINT('Ctrl Pts',(-35.0778087135459,1.69687627814651, -39.6907076068126)); #498663=CARTESIAN_POINT('Ctrl Pts',(-35.1056530657484,1.09914368693056, -39.3141699369975)); #498664=CARTESIAN_POINT('Ctrl Pts',(-35.119248323838,0.212356240706137, -39.1478458750544)); #498665=CARTESIAN_POINT('Ctrl Pts',(-35.1172781156872,-0.425029229768389, -39.1713689786217)); #498666=CARTESIAN_POINT('Ctrl Pts',(-35.1052123397314,-0.978270487986001, -39.3223314378423)); #498667=CARTESIAN_POINT('Ctrl Pts',(-35.0925235687024,-1.33314479719069, -39.4951963835448)); #498668=CARTESIAN_POINT('Ctrl Pts',(-35.0844455471999,-1.52659534895854, -39.6111625819994)); #498669=CARTESIAN_POINT('Ctrl Pts',(-35.0636211826734,-1.98206141867618, -39.923633228865)); #498670=CARTESIAN_POINT('Ctrl Pts',(-35.0218334618812,-2.61031097661606, -40.6403627433595)); #498671=CARTESIAN_POINT('Ctrl Pts',(-35.0030280018507,-2.83203325862256, -41.5708433721784)); #498672=CARTESIAN_POINT('Ctrl Pts',(-35.0029538300108,-2.83231990223532, -41.9999999999963)); #498673=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,2.66615995111766, -41.9999999999963)); #498674=CARTESIAN_POINT('Ctrl Pts',(-34.849250404384,2.66615753005823,-41.6620200786562)); #498675=CARTESIAN_POINT('Ctrl Pts',(-34.8602184170219,2.52944916013131, -40.9411177280769)); #498676=CARTESIAN_POINT('Ctrl Pts',(-34.8877045284608,2.114863391333,-40.3412424810889)); #498677=CARTESIAN_POINT('Ctrl Pts',(-34.9157571874103,1.5922291338695,-39.8227594090323)); #498678=CARTESIAN_POINT('Ctrl Pts',(-34.9403428144559,1.02956973732085, -39.4710741754305)); #498679=CARTESIAN_POINT('Ctrl Pts',(-34.9523406534206,0.198760924134351, -39.3160352482594)); #498680=CARTESIAN_POINT('Ctrl Pts',(-34.9506042798567,-0.398320919149424, -39.3379241876955)); #498681=CARTESIAN_POINT('Ctrl Pts',(-34.9399484765492,-0.917364711513685, -39.4787804078341)); #498682=CARTESIAN_POINT('Ctrl Pts',(-34.928733410826,-1.25041892053821, -39.6403429653837)); #498683=CARTESIAN_POINT('Ctrl Pts',(-34.9215667193039,-1.43264979379484, -39.7490137707946)); #498684=CARTESIAN_POINT('Ctrl Pts',(-34.9031968279678,-1.86064787326226, -40.0412328386879)); #498685=CARTESIAN_POINT('Ctrl Pts',(-34.8661450149557,-2.45477392138835, -40.7145479403717)); #498686=CARTESIAN_POINT('Ctrl Pts',(-34.849199462247,-2.66644659473041, -41.5946067517057)); #498687=CARTESIAN_POINT('Ctrl Pts',(-34.8492736340869,-2.66615995111766, -41.9999999999963)); #498688=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.9999999999963)); #498689=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,2.5,-41.6815203509833)); #498690=CARTESIAN_POINT('Ctrl Pts',(-34.7052029075409,2.37021417276786, -41.0022434295061)); #498691=CARTESIAN_POINT('Ctrl Pts',(-34.7292643938556,1.97886874793944, -40.4396859929983)); #498692=CARTESIAN_POINT('Ctrl Pts',(-34.7537247146475,1.4873643380387,-39.9549452826182)); #498693=CARTESIAN_POINT('Ctrl Pts',(-34.7751185073987,0.960380588262175, -39.6275477251912)); #498694=CARTESIAN_POINT('Ctrl Pts',(-34.7855125245311,0.185377220627367, -39.4838300440719)); #498695=CARTESIAN_POINT('Ctrl Pts',(-34.7840104949329,-0.371216479419973, -39.5040955275507)); #498696=CARTESIAN_POINT('Ctrl Pts',(-34.7747694868321,-0.855532253144191, -39.6347946211765)); #498697=CARTESIAN_POINT('Ctrl Pts',(-34.7650233097842,-1.16726603809895, -39.7851044784794)); #498698=CARTESIAN_POINT('Ctrl Pts',(-34.7587974199645,-1.33782193599937, -39.8862778322471)); #498699=CARTESIAN_POINT('Ctrl Pts',(-34.7427826609544,-1.73934258618068, -40.1589276459301)); #498700=CARTESIAN_POINT('Ctrl Pts',(-34.7103905226105,-2.29942451612705, -40.788980332958)); #498701=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.6178246387197)); #498702=CARTESIAN_POINT('Ctrl Pts',(-34.6955934381631,-2.5,-41.9999999999963)); #498703=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #498704=CARTESIAN_POINT('',(1.53080849893419E-16,2.5,-71.9999999999963)); #498705=CARTESIAN_POINT('Origin',(1.53080849893419E-16,2.5,-41.9999999999963)); #498706=CARTESIAN_POINT('Origin',(-30.0731459677287,9.48117318990309,-36.9999999999963)); #498707=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-41.9999999999963)); #498708=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-41.9999999999963)); #498709=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-71.9999999999963)); #498710=CARTESIAN_POINT('',(-29.9171802452407,9.14701883995829,-71.9999999999963)); #498711=CARTESIAN_POINT('',(-30.2291116902168,9.81532753984788,-36.9999999999963)); #498712=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.9999999999963)); #498713=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -41.6229411080466)); #498714=CARTESIAN_POINT('Ctrl Pts',(-29.8952586729422,9.21945639747021, -41.220726348546)); #498715=CARTESIAN_POINT('Ctrl Pts',(-29.8169021503561,9.46926603495186, -40.5924161908451)); #498716=CARTESIAN_POINT('Ctrl Pts',(-29.7280496180121,9.74807493586226, -40.1061603185466)); #498717=CARTESIAN_POINT('Ctrl Pts',(-29.5451769968046,10.2919255435661, -39.5558956300974)); #498718=CARTESIAN_POINT('Ctrl Pts',(-29.2907443705692,10.9986876156611, -39.1463668921175)); #498719=CARTESIAN_POINT('Ctrl Pts',(-29.0394955626173,11.6418234421397, -39.0029022987301)); #498720=CARTESIAN_POINT('Ctrl Pts',(-28.8377444734766,12.129845843565,-38.9983337056123)); #498721=CARTESIAN_POINT('Ctrl Pts',(-28.6240168962497,12.6295110229967, -39.0640015441456)); #498722=CARTESIAN_POINT('Ctrl Pts',(-28.3449328009233,13.2460746588531, -39.2984545127561)); #498723=CARTESIAN_POINT('Ctrl Pts',(-28.0515903023692,13.8539146773469, -39.7753531003213)); #498724=CARTESIAN_POINT('Ctrl Pts',(-27.8192580957365,14.3127307793358, -40.3958325223255)); #498725=CARTESIAN_POINT('Ctrl Pts',(-27.6553908705952,14.6254907300057, -41.1857528567283)); #498726=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.736058984278)); #498727=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -41.9999999999963)); #498728=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,9.36978840658797, -41.9999999999963)); #498729=CARTESIAN_POINT('Ctrl Pts',(-30.0211354506755,9.36989437586521, -41.6456826861263)); #498730=CARTESIAN_POINT('Ctrl Pts',(-30.0003751249817,9.43741554605144, -41.2675192318783)); #498731=CARTESIAN_POINT('Ctrl Pts',(-29.9259664439142,9.67135318945872, -40.6758298105348)); #498732=CARTESIAN_POINT('Ctrl Pts',(-29.8415502443858,9.93282658099606, -40.2168589816983)); #498733=CARTESIAN_POINT('Ctrl Pts',(-29.6675984661446,10.4446870413249, -39.695789385914)); #498734=CARTESIAN_POINT('Ctrl Pts',(-29.4253980986113,11.1127320293212, -39.3063048490695)); #498735=CARTESIAN_POINT('Ctrl Pts',(-29.1864434384942,11.7225797827625, -39.1695151313298)); #498736=CARTESIAN_POINT('Ctrl Pts',(-28.9950183071797,12.1855912239603, -39.1651813622969)); #498737=CARTESIAN_POINT('Ctrl Pts',(-28.7924803185218,12.6598342972905, -39.2277811978322)); #498738=CARTESIAN_POINT('Ctrl Pts',(-28.5289538329949,13.2444921143038, -39.4511192068854)); #498739=CARTESIAN_POINT('Ctrl Pts',(-28.2534997693189,13.8192380363133, -39.9038308165727)); #498740=CARTESIAN_POINT('Ctrl Pts',(-28.0364444420935,14.2516233343719, -40.490597947591)); #498741=CARTESIAN_POINT('Ctrl Pts',(-27.8840866365212,14.5452865271738, -41.234727365913)); #498742=CARTESIAN_POINT('Ctrl Pts',(-27.8535461930332,14.60283217787,-41.7520362337003)); #498743=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,14.6027230514778, -41.9999999999963)); #498744=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,9.59255797321793, -41.9999999999963)); #498745=CARTESIAN_POINT('Ctrl Pts',(-30.1251564847821,9.59245200394068, -41.6682443239874)); #498746=CARTESIAN_POINT('Ctrl Pts',(-30.105501400351,9.65532536063455,-41.3144979378546)); #498747=CARTESIAN_POINT('Ctrl Pts',(-30.0351107715909,9.87325313248431, -40.7590512182701)); #498748=CARTESIAN_POINT('Ctrl Pts',(-29.9551691441682,10.1171919505286, -40.3278204343762)); #498749=CARTESIAN_POINT('Ctrl Pts',(-29.7901289920156,10.5972759668366, -39.8353274974926)); #498750=CARTESIAN_POINT('Ctrl Pts',(-29.560174310606,11.2265260203621,-39.4660578135011)); #498751=CARTESIAN_POINT('Ctrl Pts',(-29.3335827969156,11.8029921245764, -39.3358035042012)); #498752=CARTESIAN_POINT('Ctrl Pts',(-29.1523742507598,12.2412175813002, -39.3316904690548)); #498753=CARTESIAN_POINT('Ctrl Pts',(-28.9611084633099,12.6898708128613, -39.3912110777611)); #498754=CARTESIAN_POINT('Ctrl Pts',(-28.7130732611281,13.2427362799928, -39.6034968904731)); #498755=CARTESIAN_POINT('Ctrl Pts',(-28.4553627862654,13.7846466174685, -40.0322740869963)); #498756=CARTESIAN_POINT('Ctrl Pts',(-28.2536077210925,14.1905594402112, -40.5853573222824)); #498757=CARTESIAN_POINT('Ctrl Pts',(-28.1126317458613,14.465337936483,-41.2838100258941)); #498758=CARTESIAN_POINT('Ctrl Pts',(-28.0847084101235,14.5186150005489, -41.7677130530171)); #498759=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,14.5187241269411,-41.9999999999963)); #498760=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.9999999999963)); #498761=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.6909859020671)); #498762=CARTESIAN_POINT('Ctrl Pts',(-30.2106178523904,9.87328450921579, -41.3612908211869)); #498763=CARTESIAN_POINT('Ctrl Pts',(-30.144175065149,10.0753402869912,-40.8424648379598)); #498764=CARTESIAN_POINT('Ctrl Pts',(-30.0686697705419,10.3019435956624, -40.4385190975279)); #498765=CARTESIAN_POINT('Ctrl Pts',(-29.9125504613556,10.7500374645954, -39.9752212533092)); #498766=CARTESIAN_POINT('Ctrl Pts',(-29.6948280386481,11.3405704340222, -39.6259957704531)); #498767=CARTESIAN_POINT('Ctrl Pts',(-29.4805306727925,11.8837484651992, -39.5024163368009)); #498768=CARTESIAN_POINT('Ctrl Pts',(-29.3096480844628,12.2969629616956, -39.4985381257394)); #498769=CARTESIAN_POINT('Ctrl Pts',(-29.129571885582,12.7201940871552,-39.5549907314477)); #498770=CARTESIAN_POINT('Ctrl Pts',(-28.8970942931998,13.2411537354435, -39.7561615846024)); #498771=CARTESIAN_POINT('Ctrl Pts',(-28.657272253215,13.7499699764348,-40.1607518032476)); #498772=CARTESIAN_POINT('Ctrl Pts',(-28.4707940674495,14.1294519952474, -40.680122747548)); #498773=CARTESIAN_POINT('Ctrl Pts',(-28.3413275117873,14.3851337336512, -41.3327845350788)); #498774=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.7836903024394)); #498775=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.9999999999963)); #498776=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-41.9999999999963)); #498777=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-41.9999999999963)); #498778=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.9999999999963)); #498779=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -41.6909859020671)); #498780=CARTESIAN_POINT('Ctrl Pts',(-30.2106178523904,9.87328450921579, -41.3612908211869)); #498781=CARTESIAN_POINT('Ctrl Pts',(-30.144175065149,10.0753402869912,-40.8424648379598)); #498782=CARTESIAN_POINT('Ctrl Pts',(-30.0686697705419,10.3019435956624, -40.4385190975279)); #498783=CARTESIAN_POINT('Ctrl Pts',(-29.9125504613556,10.7500374645954, -39.9752212533092)); #498784=CARTESIAN_POINT('Ctrl Pts',(-29.6948280386481,11.3405704340222, -39.6259957704531)); #498785=CARTESIAN_POINT('Ctrl Pts',(-29.4805306727925,11.8837484651992, -39.5024163368009)); #498786=CARTESIAN_POINT('Ctrl Pts',(-29.3096480844628,12.2969629616956, -39.4985381257394)); #498787=CARTESIAN_POINT('Ctrl Pts',(-29.129571885582,12.7201940871552,-39.5549907314477)); #498788=CARTESIAN_POINT('Ctrl Pts',(-28.8970942931998,13.2411537354435, -39.7561615846024)); #498789=CARTESIAN_POINT('Ctrl Pts',(-28.657272253215,13.7499699764348,-40.1607518032476)); #498790=CARTESIAN_POINT('Ctrl Pts',(-28.4707940674495,14.1294519952474, -40.680122747548)); #498791=CARTESIAN_POINT('Ctrl Pts',(-28.3413275117873,14.3851337336512, -41.3327845350788)); #498792=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.7836903024394)); #498793=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -41.9999999999963)); #498794=CARTESIAN_POINT('Origin',(-27.9691273015784,14.5607235892093,-36.9999999999963)); #498795=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-71.9999999999963)); #498796=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-71.9999999999963)); #498797=CARTESIAN_POINT('',(-28.3156945283913,14.4347252024043,-36.9999999999963)); #498798=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -71.9999999999963)); #498799=CARTESIAN_POINT('Ctrl Pts',(-27.6225600747654,14.6867219760146, -72.4309241498727)); #498800=CARTESIAN_POINT('Ctrl Pts',(-27.6938288081583,14.5551358084689, -73.126862281615)); #498801=CARTESIAN_POINT('Ctrl Pts',(-27.9222159356661,14.1108108171419, -73.8983383920771)); #498802=CARTESIAN_POINT('Ctrl Pts',(-28.1926695636451,13.568263954302,-74.511048238256)); #498803=CARTESIAN_POINT('Ctrl Pts',(-28.6976518345409,12.4893742344134, -75.0899615251635)); #498804=CARTESIAN_POINT('Ctrl Pts',(-29.0933344644678,11.5160794534789, -75.0196598532255)); #498805=CARTESIAN_POINT('Ctrl Pts',(-29.3208662369076,10.9092091860201, -74.7772640079522)); #498806=CARTESIAN_POINT('Ctrl Pts',(-29.3927910825869,10.7141882234849, -74.683519703366)); #498807=CARTESIAN_POINT('Ctrl Pts',(-29.4606154993329,10.5260983300296, -74.5731579860624)); #498808=CARTESIAN_POINT('Ctrl Pts',(-29.5230293683744,10.3491081610747, -74.4468728355313)); #498809=CARTESIAN_POINT('Ctrl Pts',(-29.6687080301029,9.93045580994758, -74.1115818217156)); #498810=CARTESIAN_POINT('Ctrl Pts',(-29.8575283208295,9.34571268969935, -73.3603337724077)); #498811=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -72.4309241498723)); #498812=CARTESIAN_POINT('Ctrl Pts',(-29.9171802452408,9.14701883995801, -71.9999999999963)); #498813=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,14.6027230514778, -71.9999999999963)); #498814=CARTESIAN_POINT('Ctrl Pts',(-27.8536048926407,14.6027230514778, -72.405002341509)); #498815=CARTESIAN_POINT('Ctrl Pts',(-27.9196056609777,14.4795547151985, -73.059183050408)); #498816=CARTESIAN_POINT('Ctrl Pts',(-28.1325569040013,14.0614303507235, -73.78745039523)); #498817=CARTESIAN_POINT('Ctrl Pts',(-28.3856740007722,13.5495125659944, -74.3676229536167)); #498818=CARTESIAN_POINT('Ctrl Pts',(-28.8614508668975,12.5272669927914, -74.9189561273794)); #498819=CARTESIAN_POINT('Ctrl Pts',(-29.237669851236,11.6027415131815,-74.851927872442)); #498820=CARTESIAN_POINT('Ctrl Pts',(-29.4541373705554,11.0282692615615, -74.6211445423442)); #498821=CARTESIAN_POINT('Ctrl Pts',(-29.5225855234128,10.8437656121345, -74.531981783463)); #498822=CARTESIAN_POINT('Ctrl Pts',(-29.5871357404955,10.6660063849858, -74.4270855061183)); #498823=CARTESIAN_POINT('Ctrl Pts',(-29.6465338885321,10.4989361732521, -74.3072037389407)); #498824=CARTESIAN_POINT('Ctrl Pts',(-29.7851658564609,10.1040301253244, -73.9891289339651)); #498825=CARTESIAN_POINT('Ctrl Pts',(-29.964631455366,9.55512310456106,-73.2786541203588)); #498826=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,9.36978840658797, -72.4050023415088)); #498827=CARTESIAN_POINT('Ctrl Pts',(-30.0211573935661,9.36978840658797, -71.9999999999963)); #498828=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,14.5187241269411,-71.9999999999963)); #498829=CARTESIAN_POINT('Ctrl Pts',(-28.084649710516,14.5187241269411,-72.3790805331454)); #498830=CARTESIAN_POINT('Ctrl Pts',(-28.1453825137971,14.4039736219281, -72.9915038192009)); #498831=CARTESIAN_POINT('Ctrl Pts',(-28.3428978723365,14.0120498843052, -73.6765623983829)); #498832=CARTESIAN_POINT('Ctrl Pts',(-28.5786784378993,13.5307611776868, -74.2241976689774)); #498833=CARTESIAN_POINT('Ctrl Pts',(-29.0252498992542,12.5651597511695, -74.7479507295953)); #498834=CARTESIAN_POINT('Ctrl Pts',(-29.3820052380041,11.6894035728842, -74.6841958916584)); #498835=CARTESIAN_POINT('Ctrl Pts',(-29.5874085042033,11.1473293371028, -74.4650250767361)); #498836=CARTESIAN_POINT('Ctrl Pts',(-29.6523799642386,10.9733430007842, -74.38044386356)); #498837=CARTESIAN_POINT('Ctrl Pts',(-29.713655981658,10.8059144399419,-74.2810130261742)); #498838=CARTESIAN_POINT('Ctrl Pts',(-29.7700384086897,10.6487641854295, -74.1675346423502)); #498839=CARTESIAN_POINT('Ctrl Pts',(-29.901623682819,10.2776044407013,-73.8666760462146)); #498840=CARTESIAN_POINT('Ctrl Pts',(-30.0717345899024,9.76453351942278, -73.1969744683099)); #498841=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,9.59255797321793, -72.3790805331453)); #498842=CARTESIAN_POINT('Ctrl Pts',(-30.1251345418914,9.59255797321793, -71.9999999999963)); #498843=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -71.9999999999963)); #498844=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -72.3531587247818)); #498845=CARTESIAN_POINT('Ctrl Pts',(-28.3711593666166,14.3283925286577, -72.9238245879939)); #498846=CARTESIAN_POINT('Ctrl Pts',(-28.5532388406718,13.9626694178868, -73.5656744015357)); #498847=CARTESIAN_POINT('Ctrl Pts',(-28.7716828750264,13.5120097893792, -74.0807723843381)); #498848=CARTESIAN_POINT('Ctrl Pts',(-29.1890489316108,12.6030525095475, -74.5769453318112)); #498849=CARTESIAN_POINT('Ctrl Pts',(-29.5263406247723,11.7760656325868, -74.5164639108749)); #498850=CARTESIAN_POINT('Ctrl Pts',(-29.7206796378511,11.2663894126442, -74.3089056111281)); #498851=CARTESIAN_POINT('Ctrl Pts',(-29.7821744050646,11.1029203894338, -74.2289059436571)); #498852=CARTESIAN_POINT('Ctrl Pts',(-29.8401762228206,10.945822494898,-74.1349405462302)); #498853=CARTESIAN_POINT('Ctrl Pts',(-29.8935429288474,10.7985921976069, -74.0278655457597)); #498854=CARTESIAN_POINT('Ctrl Pts',(-30.018081509177,10.4511787560782,-73.7442231584642)); #498855=CARTESIAN_POINT('Ctrl Pts',(-30.1788377244388,9.97394393428449, -73.115294816261)); #498856=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -72.3531587247818)); #498857=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -71.9999999999963)); #498858=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -71.9999999999963)); #498859=CARTESIAN_POINT('Ctrl Pts',(-28.3156945283913,14.4347252024043, -72.3531587247818)); #498860=CARTESIAN_POINT('Ctrl Pts',(-28.3711593666166,14.3283925286577, -72.9238245879939)); #498861=CARTESIAN_POINT('Ctrl Pts',(-28.5532388406718,13.9626694178868, -73.5656744015357)); #498862=CARTESIAN_POINT('Ctrl Pts',(-28.7716828750264,13.5120097893792, -74.0807723843381)); #498863=CARTESIAN_POINT('Ctrl Pts',(-29.1890489316108,12.6030525095475, -74.5769453318112)); #498864=CARTESIAN_POINT('Ctrl Pts',(-29.5263406247723,11.7760656325868, -74.5164639108749)); #498865=CARTESIAN_POINT('Ctrl Pts',(-29.7206796378511,11.2663894126442, -74.3089056111281)); #498866=CARTESIAN_POINT('Ctrl Pts',(-29.7821744050646,11.1029203894338, -74.2289059436571)); #498867=CARTESIAN_POINT('Ctrl Pts',(-29.8401762228206,10.945822494898,-74.1349405462302)); #498868=CARTESIAN_POINT('Ctrl Pts',(-29.8935429288474,10.7985921976069, -74.0278655457597)); #498869=CARTESIAN_POINT('Ctrl Pts',(-30.018081509177,10.4511787560782,-73.7442231584642)); #498870=CARTESIAN_POINT('Ctrl Pts',(-30.1788377244388,9.97394393428449, -73.115294816261)); #498871=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -72.3531587247818)); #498872=CARTESIAN_POINT('Ctrl Pts',(-30.2291116902168,9.81532753984788, -71.9999999999963)); #498873=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #498874=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-41.9999999999963)); #498875=CARTESIAN_POINT('',(-0.956708580912725,-2.30969883127822,-41.9999999999963)); #498876=CARTESIAN_POINT('',(-31.097840064939,15.5871276161382,-41.9999999999963)); #498877=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.9999999999963)); #498878=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.6815203509833)); #498879=CARTESIAN_POINT('Ctrl Pts',(-31.1563856753562,15.4708938853002, -41.0022485671438)); #498880=CARTESIAN_POINT('Ctrl Pts',(-31.3283783463028,15.1185421276646, -40.4396938889425)); #498881=CARTESIAN_POINT('Ctrl Pts',(-31.5390689647588,14.6738061279644, -39.9549582498818)); #498882=CARTESIAN_POINT('Ctrl Pts',(-31.7605094001213,14.1951019728059, -39.6275796096062)); #498883=CARTESIAN_POINT('Ctrl Pts',(-32.0666935512078,13.483068566936,-39.483863360796)); #498884=CARTESIAN_POINT('Ctrl Pts',(-32.2783068610055,12.9682637498909, -39.5041296332689)); #498885=CARTESIAN_POINT('Ctrl Pts',(-32.4551104956672,12.5172742011408, -39.634828630715)); #498886=CARTESIAN_POINT('Ctrl Pts',(-32.5654010387535,12.2255415789639, -39.7851373520843)); #498887=CARTESIAN_POINT('Ctrl Pts',(-32.6249181820955,12.0655853843761, -39.8863109411268)); #498888=CARTESIAN_POINT('Ctrl Pts',(-32.7637750329468,11.6885084110398, -40.1589542344449)); #498889=CARTESIAN_POINT('Ctrl Pts',(-32.9481806501058,11.1586701152863, -40.7890000443136)); #498890=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.6178246387197)); #498891=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.9999999999963)); #498892=CARTESIAN_POINT('',(0.956708580912725,2.30969883127822,-41.9999999999963)); #498893=CARTESIAN_POINT('Origin',(-0.956708580912725,-2.30969883127822, -71.9999999999963)); #498894=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-71.9999999999963)); #498895=CARTESIAN_POINT('',(-0.956708580912725,-2.30969883127822,-71.9999999999963)); #498896=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-1.6992262707755E-17)); #498897=CARTESIAN_POINT('Origin',(-33.319610198737,10.8256785839348,-8.49613135387749E-18)); #498898=CARTESIAN_POINT('',(-33.0112572267645,10.9677299535817,-71.9999999999963)); #498899=CARTESIAN_POINT('',(-33.6279631707095,10.6836272142878,-41.9999999999963)); #498900=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -71.9999999999963)); #498901=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -72.3769789769376)); #498902=CARTESIAN_POINT('Ctrl Pts',(-33.6055583904056,10.7551030182075, -72.779181040878)); #498903=CARTESIAN_POINT('Ctrl Pts',(-33.5111227333904,11.0462598347182, -73.518912788826)); #498904=CARTESIAN_POINT('Ctrl Pts',(-33.4382927608034,11.2665692400289, -73.8555248117668)); #498905=CARTESIAN_POINT('Ctrl Pts',(-33.2444738118732,11.8289633755822, -74.4570214322126)); #498906=CARTESIAN_POINT('Ctrl Pts',(-32.9359009763393,12.68558359583,-74.9974837756988)); #498907=CARTESIAN_POINT('Ctrl Pts',(-32.4712037967895,13.821267027881,-75.0491835962107)); #498908=CARTESIAN_POINT('Ctrl Pts',(-32.0810774306599,14.6951795386817, -74.7404379834367)); #498909=CARTESIAN_POINT('Ctrl Pts',(-31.8112621742524,15.2687960826212, -74.3315033901456)); #498910=CARTESIAN_POINT('Ctrl Pts',(-31.5957233780349,15.7084985377294, -73.8190758864423)); #498911=CARTESIAN_POINT('Ctrl Pts',(-31.4806838944824,15.9362278556803, -73.3789356397993)); #498912=CARTESIAN_POINT('Ctrl Pts',(-31.3676648475845,16.1578142225938, -72.7789560932791)); #498913=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -72.3769789769369)); #498914=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -71.9999999999963)); #498915=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,10.7783281273857, -71.9999999999963)); #498916=CARTESIAN_POINT('Ctrl Pts',(-33.4223550164937,10.7784686472706, -72.3574541607292)); #498917=CARTESIAN_POINT('Ctrl Pts',(-33.4007833550664,10.8461902821939, -72.7389005242117)); #498918=CARTESIAN_POINT('Ctrl Pts',(-33.3097427086728,11.1228715090348, -73.4390825069053)); #498919=CARTESIAN_POINT('Ctrl Pts',(-33.2399948006942,11.331288327789,-73.757150704558)); #498920=CARTESIAN_POINT('Ctrl Pts',(-33.0546607360046,11.8635794764165, -74.3239633939616)); #498921=CARTESIAN_POINT('Ctrl Pts',(-32.7618181671958,12.6706377877691, -74.831289850014)); #498922=CARTESIAN_POINT('Ctrl Pts',(-32.3247016015831,13.738247064448,-74.8793705145858)); #498923=CARTESIAN_POINT('Ctrl Pts',(-31.9584806760732,14.5615789554218, -74.5900814097995)); #498924=CARTESIAN_POINT('Ctrl Pts',(-31.7050846905093,15.1035795661588, -74.2057814829906)); #498925=CARTESIAN_POINT('Ctrl Pts',(-31.5022400915523,15.5208030107277, -73.7226553870574)); #498926=CARTESIAN_POINT('Ctrl Pts',(-31.3939267661723,15.7373133469537, -73.3066304769732)); #498927=CARTESIAN_POINT('Ctrl Pts',(-31.2872835966106,15.9485705038057, -72.7385758187918)); #498928=CARTESIAN_POINT('Ctrl Pts',(-31.2546952066609,16.0116740741357, -72.3575209203683)); #498929=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,16.0117729017849, -71.9999999999963)); #498930=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,10.8730290404837, -71.9999999999963)); #498931=CARTESIAN_POINT('Ctrl Pts',(-33.2168653809804,10.8728885205988, -72.3380044652178)); #498932=CARTESIAN_POINT('Ctrl Pts',(-33.1958028185546,10.9377456084926, -72.6984888760623)); #498933=CARTESIAN_POINT('Ctrl Pts',(-33.1084877768988,11.1991576632079, -73.3593334582859)); #498934=CARTESIAN_POINT('Ctrl Pts',(-33.0412799472637,11.3969556147246, -73.6584829933886)); #498935=CARTESIAN_POINT('Ctrl Pts',(-32.865067187296,11.8976656553758,-74.1910857481762)); #498936=CARTESIAN_POINT('Ctrl Pts',(-32.5872505025469,12.6567999339199, -74.6648241451886)); #498937=CARTESIAN_POINT('Ctrl Pts',(-32.1783077420332,13.6548981111465, -74.7094888260245)); #498938=CARTESIAN_POINT('Ctrl Pts',(-31.8359575833108,14.4277341049496, -74.4397720580626)); #498939=CARTESIAN_POINT('Ctrl Pts',(-31.5988482788344,14.9384361220184, -74.079951765611)); #498940=CARTESIAN_POINT('Ctrl Pts',(-31.4089408997215,15.3326622408129, -73.6264076752228)); #498941=CARTESIAN_POINT('Ctrl Pts',(-31.3070996941597,15.5385020326607, -73.234203755818)); #498942=CARTESIAN_POINT('Ctrl Pts',(-31.2070773288348,15.7389401101159, -72.6983998999963)); #498943=CARTESIAN_POINT('Ctrl Pts',(-31.1761709046703,15.7995490866106, -72.3379377055779)); #498944=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,15.7994502589615, -71.9999999999963)); #498945=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -71.9999999999963)); #498946=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -72.3184796490094)); #498947=CARTESIAN_POINT('Ctrl Pts',(-32.9910277832154,11.028832872479,-72.6582083593961)); #498948=CARTESIAN_POINT('Ctrl Pts',(-32.9071077521811,11.2757693375245, -73.2795031763651)); #498949=CARTESIAN_POINT('Ctrl Pts',(-32.8429819871545,11.4616747024847, -73.5601088861798)); #498950=CARTESIAN_POINT('Ctrl Pts',(-32.6752541114274,11.9322817562101, -74.0580277099251)); #498951=CARTESIAN_POINT('Ctrl Pts',(-32.4131676934033,12.641854125859,-74.4986302195039)); #498952=CARTESIAN_POINT('Ctrl Pts',(-32.0318055468268,13.5718781477136, -74.5396757443996)); #498953=CARTESIAN_POINT('Ctrl Pts',(-31.713360828724,14.2941335216897,-74.2894154844254)); #498954=CARTESIAN_POINT('Ctrl Pts',(-31.4926707950913,14.773219605556,-73.954229858456)); #498955=CARTESIAN_POINT('Ctrl Pts',(-31.3154576132388,15.1449667138112, -73.5299871758379)); #498956=CARTESIAN_POINT('Ctrl Pts',(-31.2203425658497,15.3395875239342, -73.1618985929919)); #498957=CARTESIAN_POINT('Ctrl Pts',(-31.1266960778609,15.5296963913278, -72.658019625509)); #498958=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161382,-72.3184796490093)); #498959=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-71.9999999999963)); #498960=CARTESIAN_POINT('',(-31.097840064939,15.5871276161381,-71.9999999999963)); #498961=CARTESIAN_POINT('',(-31.097840064939,15.5871276161381,-71.9999999999963)); #498962=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -71.9999999999963)); #498963=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -72.3184796490094)); #498964=CARTESIAN_POINT('Ctrl Pts',(-32.9910277832154,11.028832872479,-72.6582083593961)); #498965=CARTESIAN_POINT('Ctrl Pts',(-32.9071077521811,11.2757693375245, -73.2795031763651)); #498966=CARTESIAN_POINT('Ctrl Pts',(-32.8429819871545,11.4616747024847, -73.5601088861798)); #498967=CARTESIAN_POINT('Ctrl Pts',(-32.6752541114274,11.9322817562101, -74.0580277099251)); #498968=CARTESIAN_POINT('Ctrl Pts',(-32.4131676934033,12.641854125859,-74.4986302195039)); #498969=CARTESIAN_POINT('Ctrl Pts',(-32.0318055468268,13.5718781477136, -74.5396757443996)); #498970=CARTESIAN_POINT('Ctrl Pts',(-31.713360828724,14.2941335216897,-74.2894154844254)); #498971=CARTESIAN_POINT('Ctrl Pts',(-31.4926707950913,14.773219605556,-73.954229858456)); #498972=CARTESIAN_POINT('Ctrl Pts',(-31.3154576132388,15.1449667138112, -73.5299871758379)); #498973=CARTESIAN_POINT('Ctrl Pts',(-31.2203425658497,15.3395875239342, -73.1618985929919)); #498974=CARTESIAN_POINT('Ctrl Pts',(-31.1266960778609,15.5296963913278, -72.658019625509)); #498975=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161382,-72.3184796490093)); #498976=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-71.9999999999963)); #498977=CARTESIAN_POINT('Origin',(-31.2154330556656,15.9056115803731,-8.49613135387747E-18)); #498978=CARTESIAN_POINT('',(-31.097840064939,15.5871276161382,-41.9999999999963)); #498979=CARTESIAN_POINT('',(-31.097840064939,15.5871276161381,-1.69922627077549E-17)); #498980=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.9999999999963)); #498981=CARTESIAN_POINT('Ctrl Pts',(-31.3330260463922,16.2240955446082, -41.6230210230554)); #498982=CARTESIAN_POINT('Ctrl Pts',(-31.4032426381726,16.0901318581409, -40.8189112796494)); #498983=CARTESIAN_POINT('Ctrl Pts',(-31.6113875505085,15.6775003966805, -40.1442914691821)); #498984=CARTESIAN_POINT('Ctrl Pts',(-31.8678756098675,15.1502782401122, -39.558537278448)); #498985=CARTESIAN_POINT('Ctrl Pts',(-32.1389523669072,14.5769364788815, -39.1577345525144)); #498986=CARTESIAN_POINT('Ctrl Pts',(-32.5137095983935,13.7119091084177, -38.9800909529453)); #498987=CARTESIAN_POINT('Ctrl Pts',(-32.7710859937126,13.0847866133623, -39.0052384429693)); #498988=CARTESIAN_POINT('Ctrl Pts',(-32.9836395509172,12.5364106500698, -39.1663578976766)); #498989=CARTESIAN_POINT('Ctrl Pts',(-33.1145224742837,12.1834359785662, -39.350474189659)); #498990=CARTESIAN_POINT('Ctrl Pts',(-33.1846885381292,11.9904727032247, -39.473938121295)); #498991=CARTESIAN_POINT('Ctrl Pts',(-33.3477021501001,11.5363544604495, -39.8059702278578)); #498992=CARTESIAN_POINT('Ctrl Pts',(-33.5582368008546,10.906707334516,-40.5659540047594)); #498993=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.5476254851644)); #498994=CARTESIAN_POINT('Ctrl Pts',(-33.6279631707096,10.6836272142877, -41.9999999999963)); #498995=CARTESIAN_POINT('Ctrl Pts',(-31.2546307192411,16.0117729017849, -41.9999999999963)); #498996=CARTESIAN_POINT('Ctrl Pts',(-31.2546512541889,16.0117840281746, -41.6425212953825)); #498997=CARTESIAN_POINT('Ctrl Pts',(-31.3209635732581,15.8836960452181, -40.8800369810786)); #498998=CARTESIAN_POINT('Ctrl Pts',(-31.5170508499356,15.4912253145829, -40.2427349810915)); #498999=CARTESIAN_POINT('Ctrl Pts',(-31.7583071446826,14.9913886587316, -39.6907231520339)); #499000=CARTESIAN_POINT('Ctrl Pts',(-32.0127825523879,14.4497854352595, -39.3142081022751)); #499001=CARTESIAN_POINT('Ctrl Pts',(-32.3647022262612,13.6357018177,-39.1478857487578)); #499002=CARTESIAN_POINT('Ctrl Pts',(-32.6068009855499,13.0460751690432, -39.1714097828244)); #499003=CARTESIAN_POINT('Ctrl Pts',(-32.8073718057163,12.5303251300627, -39.322372111019)); #499004=CARTESIAN_POINT('Ctrl Pts',(-32.9314528322028,12.1976100813417, -39.4952357027547)); #499005=CARTESIAN_POINT('Ctrl Pts',(-32.9980202638432,12.0157931059989, -39.6112021827475)); #499006=CARTESIAN_POINT('Ctrl Pts',(-33.1530772608472,11.5870380883369, -39.9236650350999)); #499007=CARTESIAN_POINT('Ctrl Pts',(-33.3548887696589,10.9906268066878, -40.6403863973458)); #499008=CARTESIAN_POINT('Ctrl Pts',(-33.4223533548111,10.7786213358869, -41.5708433721784)); #499009=CARTESIAN_POINT('Ctrl Pts',(-33.4223945227279,10.7783281273857, -41.9999999999963)); #499010=CARTESIAN_POINT('Ctrl Pts',(-31.1762353920901,15.7994502589615, -41.9999999999963)); #499011=CARTESIAN_POINT('Ctrl Pts',(-31.1762148571423,15.7994391325718, -41.6620200786562)); #499012=CARTESIAN_POINT('Ctrl Pts',(-31.2386647402707,15.677329698223,-40.9411228657145)); #499013=CARTESIAN_POINT('Ctrl Pts',(-31.4227150468757,15.3048172097622, -40.3412503770331)); #499014=CARTESIAN_POINT('Ctrl Pts',(-31.6486374299437,14.8326957093449, -39.8227723762959)); #499015=CARTESIAN_POINT('Ctrl Pts',(-31.8866792146407,14.3222530164279, -39.4711060598455)); #499016=CARTESIAN_POINT('Ctrl Pts',(-32.2157009233401,13.5592758576537, -39.3160685649835)); #499017=CARTESIAN_POINT('Ctrl Pts',(-32.4425918691682,13.0069751942101, -39.3379582934138)); #499018=CARTESIAN_POINT('Ctrl Pts',(-32.6313782408682,12.5233597211479, -39.4788144173726)); #499019=CARTESIAN_POINT('Ctrl Pts',(-32.7484706808344,12.2113674761885, -39.6403758389886)); #499020=CARTESIAN_POINT('Ctrl Pts',(-32.8115864563814,12.0402649816019, -39.7490468796743)); #499021=CARTESIAN_POINT('Ctrl Pts',(-32.9583999221998,11.6378247831524, -40.0412594272027)); #499022=CARTESIAN_POINT('Ctrl Pts',(-33.1515286813015,11.0747506431145, -40.7145676517273)); #499023=CARTESIAN_POINT('Ctrl Pts',(-33.216867042663,10.8727358319825,-41.5946067517057)); #499024=CARTESIAN_POINT('Ctrl Pts',(-33.2168258747462,10.8730290404837, -41.9999999999963)); #499025=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.9999999999963)); #499026=CARTESIAN_POINT('Ctrl Pts',(-31.097840064939,15.5871276161381,-41.6815203509833)); #499027=CARTESIAN_POINT('Ctrl Pts',(-31.1563856753562,15.4708938853002, -41.0022485671438)); #499028=CARTESIAN_POINT('Ctrl Pts',(-31.3283783463028,15.1185421276646, -40.4396938889425)); #499029=CARTESIAN_POINT('Ctrl Pts',(-31.5390689647588,14.6738061279644, -39.9549582498818)); #499030=CARTESIAN_POINT('Ctrl Pts',(-31.7605094001213,14.1951019728059, -39.6275796096062)); #499031=CARTESIAN_POINT('Ctrl Pts',(-32.0666935512078,13.483068566936,-39.483863360796)); #499032=CARTESIAN_POINT('Ctrl Pts',(-32.2783068610055,12.9682637498909, -39.5041296332689)); #499033=CARTESIAN_POINT('Ctrl Pts',(-32.4551104956672,12.5172742011408, -39.634828630715)); #499034=CARTESIAN_POINT('Ctrl Pts',(-32.5654010387535,12.2255415789639, -39.7851373520843)); #499035=CARTESIAN_POINT('Ctrl Pts',(-32.6249181820955,12.0655853843761, -39.8863109411268)); #499036=CARTESIAN_POINT('Ctrl Pts',(-32.7637750329468,11.6885084110398, -40.1589542344449)); #499037=CARTESIAN_POINT('Ctrl Pts',(-32.9481806501058,11.1586701152863, -40.7890000443136)); #499038=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.6178246387197)); #499039=CARTESIAN_POINT('Ctrl Pts',(-33.0112572267645,10.9677299535817, -41.9999999999963)); #499040=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #499041=CARTESIAN_POINT('',(0.956708580912725,2.30969883127822,-71.9999999999963)); #499042=CARTESIAN_POINT('Origin',(0.956708580912725,2.30969883127822,-41.9999999999963)); #499043=CARTESIAN_POINT('Origin',(-24.1556761386489,20.267956575293,-36.9999999999963)); #499044=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-41.9999999999963)); #499045=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-41.9999999999963)); #499046=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-71.9999999999963)); #499047=CARTESIAN_POINT('',(-24.1394579334455,19.8995527126664,-71.9999999999963)); #499048=CARTESIAN_POINT('',(-24.1718943438524,20.6363604379196,-36.9999999999963)); #499049=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.9999999999964)); #499050=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -41.6229411080466)); #499051=CARTESIAN_POINT('Ctrl Pts',(-24.0916464217086,19.9579334889547, -41.2207668389284)); #499052=CARTESIAN_POINT('Ctrl Pts',(-23.9239215154127,20.1584762538094, -40.5925828350955)); #499053=CARTESIAN_POINT('Ctrl Pts',(-23.7347863636448,20.3824694752052, -40.1058955504853)); #499054=CARTESIAN_POINT('Ctrl Pts',(-23.3591838291483,20.8133525948474, -39.5568160444541)); #499055=CARTESIAN_POINT('Ctrl Pts',(-22.8515013850369,21.3713093357276, -39.1458816896224)); #499056=CARTESIAN_POINT('Ctrl Pts',(-22.2821627561317,21.9641336489667, -38.975686235047)); #499057=CARTESIAN_POINT('Ctrl Pts',(-21.7144275905722,22.5258395244503, -39.01690161209)); #499058=CARTESIAN_POINT('Ctrl Pts',(-20.9184613963389,23.2721553102912, -39.3972456920706)); #499059=CARTESIAN_POINT('Ctrl Pts',(-20.312554912525,23.7954185755906,-40.1397079531586)); #499060=CARTESIAN_POINT('Ctrl Pts',(-19.9535544151766,24.0954326747895, -41.1824473297153)); #499061=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.7360589842779)); #499062=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -41.9999999999963)); #499063=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,20.1451552877506, -41.9999999999963)); #499064=CARTESIAN_POINT('Ctrl Pts',(-24.1502021132243,20.1452545114866, -41.6456705000402)); #499065=CARTESIAN_POINT('Ctrl Pts',(-24.1053631760682,20.1995125876341, -41.2675788123041)); #499066=CARTESIAN_POINT('Ctrl Pts',(-23.9473338167699,20.3869373810604, -40.6759715635593)); #499067=CARTESIAN_POINT('Ctrl Pts',(-23.7689757143478,20.5965518446487, -40.2166455249944)); #499068=CARTESIAN_POINT('Ctrl Pts',(-23.4137814223829,21.0013970712241, -39.6966303241311)); #499069=CARTESIAN_POINT('Ctrl Pts',(-22.9323195857625,21.5281256825058, -39.3058945024838)); #499070=CARTESIAN_POINT('Ctrl Pts',(-22.3917204802384,22.0899238278594, -39.1434951973445)); #499071=CARTESIAN_POINT('Ctrl Pts',(-21.8530149740689,22.6231692228436, -39.1829575967994)); #499072=CARTESIAN_POINT('Ctrl Pts',(-21.0998415669043,23.3315899170369, -39.5452282987791)); #499073=CARTESIAN_POINT('Ctrl Pts',(-20.5306748046691,23.8264886701487, -40.2492750136484)); #499074=CARTESIAN_POINT('Ctrl Pts',(-20.1955075542405,24.1088470804216, -41.2316608791067)); #499075=CARTESIAN_POINT('Ctrl Pts',(-20.1450759064265,24.1503529960571, -41.751876587009)); #499076=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,24.1502700702479, -41.9999999999963)); #499077=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,20.3907578628351, -41.9999999999963)); #499078=CARTESIAN_POINT('Ctrl Pts',(-24.1611501640737,20.3906586390991, -41.6682565100735)); #499079=CARTESIAN_POINT('Ctrl Pts',(-24.1190454608664,20.4411214371214, -41.3145126867077)); #499080=CARTESIAN_POINT('Ctrl Pts',(-23.9708611204029,20.6152673785102, -40.7592169757844)); #499081=CARTESIAN_POINT('Ctrl Pts',(-23.8033090007214,20.8104471023885, -40.3275400852848)); #499082=CARTESIAN_POINT('Ctrl Pts',(-23.4684271080691,21.189403237298,-39.8361919430685)); #499083=CARTESIAN_POINT('Ctrl Pts',(-23.0132096853522,21.684905558897,-39.465532075734)); #499084=CARTESIAN_POINT('Ctrl Pts',(-22.5013514031639,22.2156260238666, -39.3111694330578)); #499085=CARTESIAN_POINT('Ctrl Pts',(-21.9917444579362,22.7204073823332, -39.3485342170085)); #499086=CARTESIAN_POINT('Ctrl Pts',(-21.281148256301,23.3911038136927,-39.692981068683)); #499087=CARTESIAN_POINT('Ctrl Pts',(-20.7489553529817,23.8573778375529, -40.3591718539733)); #499088=CARTESIAN_POINT('Ctrl Pts',(-20.4373055517731,24.1224001449777, -41.2807283187612)); #499089=CARTESIAN_POINT('Ctrl Pts',(-20.3908372441593,24.160999281241,-41.7678726997083)); #499090=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,24.1610822070501, -41.9999999999963)); #499091=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.9999999999963)); #499092=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.6909859020671)); #499093=CARTESIAN_POINT('Ctrl Pts',(-24.1327622152259,20.6827005358007, -41.3613246600835)); #499094=CARTESIAN_POINT('Ctrl Pts',(-23.9942734217601,20.8437285057613, -40.8426057042482)); #499095=CARTESIAN_POINT('Ctrl Pts',(-23.8374983514243,21.0245294718319, -40.4382900597939)); #499096=CARTESIAN_POINT('Ctrl Pts',(-23.5230247013037,21.3774477136747, -39.9760062227454)); #499097=CARTESIAN_POINT('Ctrl Pts',(-23.0940278860778,21.8417219056753, -39.6255448885953)); #499098=CARTESIAN_POINT('Ctrl Pts',(-22.6109091272706,22.3414162027593, -39.4789783953553)); #499099=CARTESIAN_POINT('Ctrl Pts',(-22.1303318414328,22.8177370807266, -39.5145902017179)); #499100=CARTESIAN_POINT('Ctrl Pts',(-21.4625284268664,23.4505384204384, -39.8409636753915)); #499101=CARTESIAN_POINT('Ctrl Pts',(-20.9670752451258,23.888447932111,-40.4687389144631)); #499102=CARTESIAN_POINT('Ctrl Pts',(-20.6792586908369,24.1358145506099, -41.3299418681526)); #499103=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.7836903024394)); #499104=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.9999999999963)); #499105=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-41.9999999999963)); #499106=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-41.9999999999963)); #499107=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.9999999999963)); #499108=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -41.6909859020671)); #499109=CARTESIAN_POINT('Ctrl Pts',(-24.1327622152259,20.6827005358007, -41.3613246600835)); #499110=CARTESIAN_POINT('Ctrl Pts',(-23.9942734217601,20.8437285057613, -40.8426057042482)); #499111=CARTESIAN_POINT('Ctrl Pts',(-23.8374983514243,21.0245294718319, -40.4382900597939)); #499112=CARTESIAN_POINT('Ctrl Pts',(-23.5230247013037,21.3774477136747, -39.9760062227454)); #499113=CARTESIAN_POINT('Ctrl Pts',(-23.0940278860778,21.8417219056753, -39.6255448885953)); #499114=CARTESIAN_POINT('Ctrl Pts',(-22.6109091272706,22.3414162027593, -39.4789783953553)); #499115=CARTESIAN_POINT('Ctrl Pts',(-22.1303318414328,22.8177370807266, -39.5145902017179)); #499116=CARTESIAN_POINT('Ctrl Pts',(-21.4625284268664,23.4505384204384, -39.8409636753915)); #499117=CARTESIAN_POINT('Ctrl Pts',(-20.9670752451258,23.888447932111,-40.4687389144631)); #499118=CARTESIAN_POINT('Ctrl Pts',(-20.6792586908369,24.1358145506099, -41.3299418681526)); #499119=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.7836903024394)); #499120=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -41.9999999999963)); #499121=CARTESIAN_POINT('Origin',(-20.267956575293,24.1556761386489,-36.9999999999963)); #499122=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-71.9999999999963)); #499123=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-71.9999999999963)); #499124=CARTESIAN_POINT('',(-20.6363604379196,24.1718943438524,-36.9999999999963)); #499125=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -71.9999999999963)); #499126=CARTESIAN_POINT('Ctrl Pts',(-19.8995527126661,24.1394579334457, -72.4309241498724)); #499127=CARTESIAN_POINT('Ctrl Pts',(-20.0656148550759,24.0037766468976, -73.3668626203057)); #499128=CARTESIAN_POINT('Ctrl Pts',(-20.5660267380422,23.5781705158004, -74.1760385483983)); #499129=CARTESIAN_POINT('Ctrl Pts',(-20.9760291320343,23.2108151357103, -74.5570487486651)); #499130=CARTESIAN_POINT('Ctrl Pts',(-21.2738703948977,22.9390501903756, -74.7745939580788)); #499131=CARTESIAN_POINT('Ctrl Pts',(-21.8142020721315,22.4319358800903, -75.0269133273441)); #499132=CARTESIAN_POINT('Ctrl Pts',(-22.3747650413859,21.8713893497061, -75.0273170781098)); #499133=CARTESIAN_POINT('Ctrl Pts',(-22.8301756606555,21.3900087683292, -74.8307742208558)); #499134=CARTESIAN_POINT('Ctrl Pts',(-23.0437207616137,21.1594877521029, -74.6944860990159)); #499135=CARTESIAN_POINT('Ctrl Pts',(-23.228936198963,20.9560986421767,-74.5421900180976)); #499136=CARTESIAN_POINT('Ctrl Pts',(-23.5033127190323,20.6490031022244, -74.2468860755782)); #499137=CARTESIAN_POINT('Ctrl Pts',(-23.7464637844695,20.3683315024635, -73.8555069180251)); #499138=CARTESIAN_POINT('Ctrl Pts',(-24.0546308059077,20.004051013559,-73.0646344673521)); #499139=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -72.4309241498726)); #499140=CARTESIAN_POINT('Ctrl Pts',(-24.1394579334457,19.8995527126661, -71.9999999999963)); #499141=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,24.1502700702479, -71.9999999999963)); #499142=CARTESIAN_POINT('Ctrl Pts',(-20.1451552877506,24.1502700702479, -72.4050023415089)); #499143=CARTESIAN_POINT('Ctrl Pts',(-20.2997221213756,24.0228555143392, -73.284827853958)); #499144=CARTESIAN_POINT('Ctrl Pts',(-20.7685608957798,23.6211358373649, -74.0500924854261)); #499145=CARTESIAN_POINT('Ctrl Pts',(-21.1550002506575,23.2730708881777, -74.4117975569666)); #499146=CARTESIAN_POINT('Ctrl Pts',(-21.4361699540745,23.0153770969242, -74.6185647421517)); #499147=CARTESIAN_POINT('Ctrl Pts',(-21.9474606242714,22.534031870229,-74.858945330312)); #499148=CARTESIAN_POINT('Ctrl Pts',(-22.4797205763678,22.0017864349968, -74.8593233482392)); #499149=CARTESIAN_POINT('Ctrl Pts',(-22.9120077273651,21.546087569551,-74.6720735284768)); #499150=CARTESIAN_POINT('Ctrl Pts',(-23.1146100243971,21.3281937191446, -74.5424168877625)); #499151=CARTESIAN_POINT('Ctrl Pts',(-23.29022645288,21.1362156689653,-74.3976722026916)); #499152=CARTESIAN_POINT('Ctrl Pts',(-23.5501358182138,20.8468742034441, -74.1174262821261)); #499153=CARTESIAN_POINT('Ctrl Pts',(-23.7799948224335,20.5833156959022, -73.746934856684)); #499154=CARTESIAN_POINT('Ctrl Pts',(-24.0706219671562,20.2423851989827, -73.0006800724835)); #499155=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,20.1451552877506, -72.405002341509)); #499156=CARTESIAN_POINT('Ctrl Pts',(-24.1502700702479,20.1451552877506, -71.9999999999963)); #499157=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,24.1610822070501, -71.9999999999963)); #499158=CARTESIAN_POINT('Ctrl Pts',(-20.3907578628351,24.1610822070501, -72.3790805331454)); #499159=CARTESIAN_POINT('Ctrl Pts',(-20.5338293876753,24.0419343817807, -73.2027930876104)); #499160=CARTESIAN_POINT('Ctrl Pts',(-20.9710950535173,23.6641011589294, -73.9241464224539)); #499161=CARTESIAN_POINT('Ctrl Pts',(-21.3339713692807,23.335326640645,-74.2665463652681)); #499162=CARTESIAN_POINT('Ctrl Pts',(-21.5984695132514,23.0917040034729, -74.4625355262247)); #499163=CARTESIAN_POINT('Ctrl Pts',(-22.0807191764112,22.6361278603677, -74.6909773332799)); #499164=CARTESIAN_POINT('Ctrl Pts',(-22.5846761113496,22.1321835202874, -74.6913296183686)); #499165=CARTESIAN_POINT('Ctrl Pts',(-22.9938397940747,21.7021663707728, -74.5133728360977)); #499166=CARTESIAN_POINT('Ctrl Pts',(-23.1854992871805,21.4968996861863, -74.3903476765091)); #499167=CARTESIAN_POINT('Ctrl Pts',(-23.3515167067969,21.3163326957539, -74.2531543872854)); #499168=CARTESIAN_POINT('Ctrl Pts',(-23.5969589173954,21.0447453046637, -73.987966488674)); #499169=CARTESIAN_POINT('Ctrl Pts',(-23.8135258603974,20.7982998893409, -73.6383627953429)); #499170=CARTESIAN_POINT('Ctrl Pts',(-24.0866131284048,20.4807193844063, -72.936725677615)); #499171=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,20.3907578628351, -72.3790805331454)); #499172=CARTESIAN_POINT('Ctrl Pts',(-24.1610822070501,20.3907578628351, -71.9999999999963)); #499173=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -71.9999999999963)); #499174=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -72.3531587247818)); #499175=CARTESIAN_POINT('Ctrl Pts',(-20.767936653975,24.0610132492223,-73.1207583212628)); #499176=CARTESIAN_POINT('Ctrl Pts',(-21.1736292112549,23.7070664804939, -73.7982003594817)); #499177=CARTESIAN_POINT('Ctrl Pts',(-21.5129424879039,23.3975823931124, -74.1212951735695)); #499178=CARTESIAN_POINT('Ctrl Pts',(-21.7607690724282,23.1680309100216, -74.3065063102976)); #499179=CARTESIAN_POINT('Ctrl Pts',(-22.2139777285511,22.7382238505064, -74.5230093362477)); #499180=CARTESIAN_POINT('Ctrl Pts',(-22.6896316463315,22.2625806055781, -74.523335888498)); #499181=CARTESIAN_POINT('Ctrl Pts',(-23.0756718607843,21.8582451719946, -74.3546721437187)); #499182=CARTESIAN_POINT('Ctrl Pts',(-23.2563885499639,21.6656056532279, -74.2382784652556)); #499183=CARTESIAN_POINT('Ctrl Pts',(-23.4128069607139,21.4964497225425, -74.1086365718794)); #499184=CARTESIAN_POINT('Ctrl Pts',(-23.643782016577,21.2426164058834,-73.8585066952219)); #499185=CARTESIAN_POINT('Ctrl Pts',(-23.8470568983613,21.0132840827796, -73.5297907340018)); #499186=CARTESIAN_POINT('Ctrl Pts',(-24.1026042896533,20.71905356983,-72.8727712827464)); #499187=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -72.3531587247818)); #499188=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -71.9999999999963)); #499189=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -71.9999999999963)); #499190=CARTESIAN_POINT('Ctrl Pts',(-20.6363604379196,24.1718943438524, -72.3531587247818)); #499191=CARTESIAN_POINT('Ctrl Pts',(-20.767936653975,24.0610132492223,-73.1207583212628)); #499192=CARTESIAN_POINT('Ctrl Pts',(-21.1736292112549,23.7070664804939, -73.7982003594817)); #499193=CARTESIAN_POINT('Ctrl Pts',(-21.5129424879039,23.3975823931124, -74.1212951735695)); #499194=CARTESIAN_POINT('Ctrl Pts',(-21.7607690724282,23.1680309100216, -74.3065063102976)); #499195=CARTESIAN_POINT('Ctrl Pts',(-22.2139777285511,22.7382238505064, -74.5230093362477)); #499196=CARTESIAN_POINT('Ctrl Pts',(-22.6896316463315,22.2625806055781, -74.523335888498)); #499197=CARTESIAN_POINT('Ctrl Pts',(-23.0756718607843,21.8582451719946, -74.3546721437187)); #499198=CARTESIAN_POINT('Ctrl Pts',(-23.2563885499639,21.6656056532279, -74.2382784652556)); #499199=CARTESIAN_POINT('Ctrl Pts',(-23.4128069607139,21.4964497225425, -74.1086365718794)); #499200=CARTESIAN_POINT('Ctrl Pts',(-23.643782016577,21.2426164058834,-73.8585066952219)); #499201=CARTESIAN_POINT('Ctrl Pts',(-23.8470568983613,21.0132840827796, -73.5297907340018)); #499202=CARTESIAN_POINT('Ctrl Pts',(-24.1026042896533,20.71905356983,-72.8727712827464)); #499203=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -72.3531587247818)); #499204=CARTESIAN_POINT('Ctrl Pts',(-24.1718943438524,20.6363604379196, -71.9999999999963)); #499205=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #499206=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-41.9999999999963)); #499207=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-41.9999999999963)); #499208=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-41.9999999999963)); #499209=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.9999999999963)); #499210=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.6815203509833)); #499211=CARTESIAN_POINT('Ctrl Pts',(-22.8148253804942,26.2587529983899, -41.3418180870301)); #499212=CARTESIAN_POINT('Ctrl Pts',(-22.9823120623345,26.1116758671213, -40.8141479178424)); #499213=CARTESIAN_POINT('Ctrl Pts',(-23.1683066244492,25.9472683815453, -40.40770919569)); #499214=CARTESIAN_POINT('Ctrl Pts',(-23.5254862037385,25.6242020277088, -39.9529439487122)); #499215=CARTESIAN_POINT('Ctrl Pts',(-23.9811902564209,25.1990697998944, -39.6184549949651)); #499216=CARTESIAN_POINT('Ctrl Pts',(-24.3886549970589,24.8036069018206, -39.5024424423353)); #499217=CARTESIAN_POINT('Ctrl Pts',(-24.6955057792923,24.497070845174,-39.4986976967437)); #499218=CARTESIAN_POINT('Ctrl Pts',(-25.0085967656092,24.178619080552,-39.5519013050188)); #499219=CARTESIAN_POINT('Ctrl Pts',(-25.3938763934937,23.7745122298915, -39.7422414871723)); #499220=CARTESIAN_POINT('Ctrl Pts',(-25.7747663526668,23.3603424039086, -40.1336560760819)); #499221=CARTESIAN_POINT('Ctrl Pts',(-26.0639525867532,23.0370187029594, -40.6490539665057)); #499222=CARTESIAN_POINT('Ctrl Pts',(-26.262252637932,22.8108244200006,-41.3121609145755)); #499223=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.7770643544567)); #499224=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.9999999999963)); #499225=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-41.9999999999963)); #499226=CARTESIAN_POINT('Origin',(-1.76776695296637,-1.76776695296637,-71.9999999999963)); #499227=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-71.9999999999963)); #499228=CARTESIAN_POINT('',(-1.76776695296637,-1.76776695296637,-71.9999999999963)); #499229=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-1.69922627077552E-17)); #499230=CARTESIAN_POINT('Origin',(-26.6404980556861,22.7524856651626,-8.49613135387758E-18)); #499231=CARTESIAN_POINT('',(-26.301256350383,22.7657224444502,-71.9999999999963)); #499232=CARTESIAN_POINT('',(-26.9797397609891,22.739248885875,-41.9999999999963)); #499233=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -71.9999999999963)); #499234=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -72.301583181549)); #499235=CARTESIAN_POINT('Ctrl Pts',(-26.9049964262286,22.8287405891656, -73.0980075886629)); #499236=CARTESIAN_POINT('Ctrl Pts',(-26.6175956648167,23.1645091592951, -73.8032908297479)); #499237=CARTESIAN_POINT('Ctrl Pts',(-26.1927234013329,23.6439911683981, -74.4423665210046)); #499238=CARTESIAN_POINT('Ctrl Pts',(-25.7653772813,24.1149640423043,-74.8412052918269)); #499239=CARTESIAN_POINT('Ctrl Pts',(-25.1107020623995,24.7912143910335, -75.0190101344667)); #499240=CARTESIAN_POINT('Ctrl Pts',(-24.628897585533,25.2698646678825,-74.9936107184284)); #499241=CARTESIAN_POINT('Ctrl Pts',(-24.2043106257692,25.6754603137266, -74.8327837928764)); #499242=CARTESIAN_POINT('Ctrl Pts',(-23.8877515919299,25.9689924251383, -74.6215917491207)); #499243=CARTESIAN_POINT('Ctrl Pts',(-23.4464026439347,26.3713992192347, -74.236196686671)); #499244=CARTESIAN_POINT('Ctrl Pts',(-22.920207732289,26.8285272980378,-73.4347630024096)); #499245=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -72.4523745148286)); #499246=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -71.9999999999963)); #499247=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,22.7480734054,-71.9999999999963)); #499248=CARTESIAN_POINT('Ctrl Pts',(-26.7536566076508,22.7480002373058, -72.2859899586911)); #499249=CARTESIAN_POINT('Ctrl Pts',(-26.6818389012342,22.833129503436,-73.0412860493931)); #499250=CARTESIAN_POINT('Ctrl Pts',(-26.4076354249614,23.1508728754195, -73.7079167672555)); #499251=CARTESIAN_POINT('Ctrl Pts',(-26.0039791871245,23.6035811301475, -74.310178053717)); #499252=CARTESIAN_POINT('Ctrl Pts',(-25.59964719363,24.0470354787763,-74.6847290149445)); #499253=CARTESIAN_POINT('Ctrl Pts',(-24.9832297737971,24.6827540449974, -74.8512152501085)); #499254=CARTESIAN_POINT('Ctrl Pts',(-24.5303296833983,25.1328416351134, -74.8274680615339)); #499255=CARTESIAN_POINT('Ctrl Pts',(-24.1311397622192,25.5150170540622, -74.6767074561258)); #499256=CARTESIAN_POINT('Ctrl Pts',(-23.8332505030552,25.7922944931871, -74.4785392222105)); #499257=CARTESIAN_POINT('Ctrl Pts',(-23.4173258187749,26.1732539998608, -74.1160683476903)); #499258=CARTESIAN_POINT('Ctrl Pts',(-22.9197324818557,26.6087080653418, -73.3602095173446)); #499259=CARTESIAN_POINT('Ctrl Pts',(-22.7484122765599,26.7533555227055, -72.4292099899339)); #499260=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,26.7535786241205,-71.9999999999963)); #499261=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,22.7568979249251, -71.9999999999963)); #499262=CARTESIAN_POINT('Ctrl Pts',(-26.5273395037214,22.7569710930193, -72.2703769420646)); #499263=CARTESIAN_POINT('Ctrl Pts',(-26.4588695703828,22.8372985188699, -72.9845536635451)); #499264=CARTESIAN_POINT('Ctrl Pts',(-26.1974117296808,23.1374672804936, -73.6124050016831)); #499265=CARTESIAN_POINT('Ctrl Pts',(-25.8153436916792,23.5630383484384, -74.1779960823115)); #499266=CARTESIAN_POINT('Ctrl Pts',(-25.4337401644102,23.9792115301386, -74.5280280889734)); #499267=CARTESIAN_POINT('Ctrl Pts',(-24.855705659968,24.5742765931493,-74.6831846083321)); #499268=CARTESIAN_POINT('Ctrl Pts',(-24.4314043609655,24.9960834712894, -74.6610378079027)); #499269=CARTESIAN_POINT('Ctrl Pts',(-24.0575800166931,25.354916461402,-74.5205283455664)); #499270=CARTESIAN_POINT('Ctrl Pts',(-23.7781731438401,25.6160520242824, -74.3350729938362)); #499271=CARTESIAN_POINT('Ctrl Pts',(-23.3882173503936,25.9751467941145, -73.9960966466381)); #499272=CARTESIAN_POINT('Ctrl Pts',(-22.9197816744406,26.3884991366632, -73.2861611005758)); #499273=CARTESIAN_POINT('Ctrl Pts',(-22.7565590537653,26.5276405886667, -72.4053398861677)); #499274=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,26.5274174872517, -71.9999999999963)); #499275=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-71.9999999999963)); #499276=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-72.2547837192068)); #499277=CARTESIAN_POINT('Ctrl Pts',(-26.2357120453883,22.8416874331402, -72.9278321242752)); #499278=CARTESIAN_POINT('Ctrl Pts',(-25.9874514898254,23.123830996618,-73.5170309391907)); #499279=CARTESIAN_POINT('Ctrl Pts',(-25.6265994774709,23.5226283101879, -74.0458076150239)); #499280=CARTESIAN_POINT('Ctrl Pts',(-25.2680100767402,23.9112829666106, -74.3715518120911)); #499281=CARTESIAN_POINT('Ctrl Pts',(-24.7282333713656,24.4658162471132, -74.5153897239739)); #499282=CARTESIAN_POINT('Ctrl Pts',(-24.3328364588308,24.8590604385203, -74.4948951510082)); #499283=CARTESIAN_POINT('Ctrl Pts',(-23.9844091531432,25.1944732017376, -74.3644520088157)); #499284=CARTESIAN_POINT('Ctrl Pts',(-23.7236720549654,25.4393540923313, -74.192020466926)); #499285=CARTESIAN_POINT('Ctrl Pts',(-23.3591405252338,25.7770015747405, -73.8759683076575)); #499286=CARTESIAN_POINT('Ctrl Pts',(-22.9193064240074,26.1686799039672, -73.2116076155108)); #499287=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-72.382175361273)); #499288=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-71.9999999999963)); #499289=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-71.9999999999963)); #499290=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-71.9999999999963)); #499291=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-71.9999999999963)); #499292=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-72.2547837192068)); #499293=CARTESIAN_POINT('Ctrl Pts',(-26.2357120453883,22.8416874331402, -72.9278321242752)); #499294=CARTESIAN_POINT('Ctrl Pts',(-25.9874514898254,23.123830996618,-73.5170309391907)); #499295=CARTESIAN_POINT('Ctrl Pts',(-25.6265994774709,23.5226283101879, -74.0458076150239)); #499296=CARTESIAN_POINT('Ctrl Pts',(-25.2680100767402,23.9112829666106, -74.3715518120911)); #499297=CARTESIAN_POINT('Ctrl Pts',(-24.7282333713656,24.4658162471132, -74.5153897239739)); #499298=CARTESIAN_POINT('Ctrl Pts',(-24.3328364588308,24.8590604385203, -74.4948951510082)); #499299=CARTESIAN_POINT('Ctrl Pts',(-23.9844091531432,25.1944732017376, -74.3644520088157)); #499300=CARTESIAN_POINT('Ctrl Pts',(-23.7236720549654,25.4393540923313, -74.192020466926)); #499301=CARTESIAN_POINT('Ctrl Pts',(-23.3591405252338,25.7770015747405, -73.8759683076575)); #499302=CARTESIAN_POINT('Ctrl Pts',(-22.9193064240074,26.1686799039672, -73.2116076155108)); #499303=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-72.382175361273)); #499304=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-71.9999999999963)); #499305=CARTESIAN_POINT('Origin',(-22.7524856651626,26.6404980556861,-8.49613135387756E-18)); #499306=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-41.9999999999963)); #499307=CARTESIAN_POINT('',(-22.7657224444502,26.301256350383,-1.69922627077551E-17)); #499308=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.9999999999963)); #499309=CARTESIAN_POINT('Ctrl Pts',(-22.7392488858749,26.9797397609892, -41.6230210230554)); #499310=CARTESIAN_POINT('Ctrl Pts',(-22.7971838816837,26.9311598242495, -41.2208696199048)); #499311=CARTESIAN_POINT('Ctrl Pts',(-22.9961646025576,26.7612617681203, -40.5925702362495)); #499312=CARTESIAN_POINT('Ctrl Pts',(-23.2178272858062,26.5704422134798, -40.1062746676374)); #499313=CARTESIAN_POINT('Ctrl Pts',(-23.6472930339469,26.1900889921656, -39.5559170931305)); #499314=CARTESIAN_POINT('Ctrl Pts',(-24.2001540640989,25.6816163246212, -39.1463669377702)); #499315=CARTESIAN_POINT('Ctrl Pts',(-24.6970559655265,25.2022585593415, -39.0029263971866)); #499316=CARTESIAN_POINT('Ctrl Pts',(-25.0706540255623,24.8291172197619, -38.998359189222)); #499317=CARTESIAN_POINT('Ctrl Pts',(-25.4509734212263,24.4409687401906, -39.0640158204356)); #499318=CARTESIAN_POINT('Ctrl Pts',(-25.9155914694799,23.948903272064,-39.2984547518443)); #499319=CARTESIAN_POINT('Ctrl Pts',(-26.3681077225077,23.4482344277374, -39.7754079077343)); #499320=CARTESIAN_POINT('Ctrl Pts',(-26.7063412213143,23.0610241476138, -40.3959881925608)); #499321=CARTESIAN_POINT('Ctrl Pts',(-26.9351791199015,22.7924524647956, -41.1859077673439)); #499322=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.7361148448862)); #499323=CARTESIAN_POINT('Ctrl Pts',(-26.9797397609892,22.7392488858749, -41.9999999999963)); #499324=CARTESIAN_POINT('Ctrl Pts',(-22.7480734054,26.7535786241205,-41.9999999999963)); #499325=CARTESIAN_POINT('Ctrl Pts',(-22.7481262545898,26.7535481246079, -41.642547192819)); #499326=CARTESIAN_POINT('Ctrl Pts',(-22.8030613875344,26.7070295959921, -41.2611452058836)); #499327=CARTESIAN_POINT('Ctrl Pts',(-22.991597912198,26.5446812445687,-40.6664818990077)); #499328=CARTESIAN_POINT('Ctrl Pts',(-23.2014053654542,26.3626474581484, -40.2066629573114)); #499329=CARTESIAN_POINT('Ctrl Pts',(-23.6067319814453,26.001402952726,-39.6883891090731)); #499330=CARTESIAN_POINT('Ctrl Pts',(-24.1272269930239,25.5206977988526, -39.3038030102686)); #499331=CARTESIAN_POINT('Ctrl Pts',(-24.5943394308684,25.0692704087235, -39.1695638970359)); #499332=CARTESIAN_POINT('Ctrl Pts',(-24.9456308011668,24.7183961897505, -39.1652540854211)); #499333=CARTESIAN_POINT('Ctrl Pts',(-25.3035828696982,24.3534320158949, -39.2267764277037)); #499334=CARTESIAN_POINT('Ctrl Pts',(-25.7417335951676,23.8907152938693, -39.4464834311192)); #499335=CARTESIAN_POINT('Ctrl Pts',(-26.1703072229336,23.418961506805,-39.8948294951908)); #499336=CARTESIAN_POINT('Ctrl Pts',(-26.4922071766198,23.0530278611859, -40.4803580807233)); #499337=CARTESIAN_POINT('Ctrl Pts',(-26.7108184244216,22.7986457186691, -41.2279279736707)); #499338=CARTESIAN_POINT('Ctrl Pts',(-26.7536643977862,22.7479697708006, -41.7499009623341)); #499339=CARTESIAN_POINT('Ctrl Pts',(-26.7535786241205,22.7480734054,-41.9999999999963)); #499340=CARTESIAN_POINT('Ctrl Pts',(-22.7568979249251,26.5274174872517, -41.9999999999963)); #499341=CARTESIAN_POINT('Ctrl Pts',(-22.7568450757353,26.5274479867643, -41.6619941812197)); #499342=CARTESIAN_POINT('Ctrl Pts',(-22.8089478746434,26.4828832266474, -41.3015425010513)); #499343=CARTESIAN_POINT('Ctrl Pts',(-22.9868787526941,26.328256390673,-40.7402362550842)); #499344=CARTESIAN_POINT('Ctrl Pts',(-23.1847285448012,26.1550631368766, -40.307320906016)); #499345=CARTESIAN_POINT('Ctrl Pts',(-23.5660472562401,25.8128880671483, -39.8204719327695)); #499346=CARTESIAN_POINT('Ctrl Pts',(-24.0541173274959,25.359988325663,-39.4610189224667)); #499347=CARTESIAN_POINT('Ctrl Pts',(-24.491371531717,24.9365950524385,-39.335804942486)); #499348=CARTESIAN_POINT('Ctrl Pts',(-24.8205290036877,24.6077918751854, -39.3318028005447)); #499349=CARTESIAN_POINT('Ctrl Pts',(-25.1559873171373,24.2661558048478, -39.3891406977506)); #499350=CARTESIAN_POINT('Ctrl Pts',(-25.567734267806,23.8327002080862,-39.5942128078973)); #499351=CARTESIAN_POINT('Ctrl Pts',(-25.9725668522409,23.389615324841,-40.0142344886254)); #499352=CARTESIAN_POINT('Ctrl Pts',(-26.2780866314477,23.0450149893873, -40.5646840783433)); #499353=CARTESIAN_POINT('Ctrl Pts',(-26.4866133334119,22.804631166127,-41.2701407082487)); #499354=CARTESIAN_POINT('Ctrl Pts',(-26.5273317135859,22.7570015595246, -41.7632782370088)); #499355=CARTESIAN_POINT('Ctrl Pts',(-26.5274174872517,22.7568979249251, -41.9999999999963)); #499356=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.9999999999963)); #499357=CARTESIAN_POINT('Ctrl Pts',(-22.7657224444502,26.301256350383,-41.6815203509833)); #499358=CARTESIAN_POINT('Ctrl Pts',(-22.8148253804942,26.2587529983899, -41.3418180870301)); #499359=CARTESIAN_POINT('Ctrl Pts',(-22.9823120623345,26.1116758671213, -40.8141479178424)); #499360=CARTESIAN_POINT('Ctrl Pts',(-23.1683066244492,25.9472683815453, -40.40770919569)); #499361=CARTESIAN_POINT('Ctrl Pts',(-23.5254862037385,25.6242020277088, -39.9529439487122)); #499362=CARTESIAN_POINT('Ctrl Pts',(-23.9811902564209,25.1990697998944, -39.6184549949651)); #499363=CARTESIAN_POINT('Ctrl Pts',(-24.3886549970589,24.8036069018206, -39.5024424423353)); #499364=CARTESIAN_POINT('Ctrl Pts',(-24.6955057792923,24.497070845174,-39.4986976967437)); #499365=CARTESIAN_POINT('Ctrl Pts',(-25.0085967656092,24.178619080552,-39.5519013050188)); #499366=CARTESIAN_POINT('Ctrl Pts',(-25.3938763934937,23.7745122298915, -39.7422414871723)); #499367=CARTESIAN_POINT('Ctrl Pts',(-25.7747663526668,23.3603424039086, -40.1336560760819)); #499368=CARTESIAN_POINT('Ctrl Pts',(-26.0639525867532,23.0370187029594, -40.6490539665057)); #499369=CARTESIAN_POINT('Ctrl Pts',(-26.262252637932,22.8108244200006,-41.3121609145755)); #499370=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.7770643544567)); #499371=CARTESIAN_POINT('Ctrl Pts',(-26.301256350383,22.7657224444502,-41.9999999999963)); #499372=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #499373=CARTESIAN_POINT('',(1.76776695296637,1.76776695296637,-71.9999999999963)); #499374=CARTESIAN_POINT('Origin',(1.76776695296637,1.76776695296637,-41.9999999999963)); #499375=CARTESIAN_POINT('Origin',(-14.5607235892093,27.9691273015784,-36.9999999999963)); #499376=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-41.9999999999963)); #499377=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-41.9999999999963)); #499378=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-71.9999999999963)); #499379=CARTESIAN_POINT('',(-14.6867219760143,27.6225600747655,-71.9999999999963)); #499380=CARTESIAN_POINT('',(-14.4347252024043,28.3156945283913,-36.9999999999963)); #499381=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.9999999999963)); #499382=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -41.56907585012)); #499383=CARTESIAN_POINT('Ctrl Pts',(-14.5552273774095,27.6937813604812, -40.8732218782906)); #499384=CARTESIAN_POINT('Ctrl Pts',(-14.1102607281456,27.9224203402056, -40.1015910866811)); #499385=CARTESIAN_POINT('Ctrl Pts',(-13.5687703542077,28.1924569611176, -39.4891509239687)); #499386=CARTESIAN_POINT('Ctrl Pts',(-12.5343893460808,28.6762679930702, -38.9354270250766)); #499387=CARTESIAN_POINT('Ctrl Pts',(-11.6230851339082,29.0498837667094, -38.9741221557827)); #499388=CARTESIAN_POINT('Ctrl Pts',(-11.0081803954969,29.284064885502,-39.1792165502926)); #499389=CARTESIAN_POINT('Ctrl Pts',(-10.761641650503,29.3756791159212,-39.2887420441058)); #499390=CARTESIAN_POINT('Ctrl Pts',(-10.4782445518349,29.4778105690956, -39.4555200338369)); #499391=CARTESIAN_POINT('Ctrl Pts',(-9.96459727114241,29.6576668570778, -39.844708316392)); #499392=CARTESIAN_POINT('Ctrl Pts',(-9.34644173770398,29.8572828643669, -40.6391955192431)); #499393=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.5690758501201)); #499394=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -41.9999999999963)); #499395=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,27.8536048926407, -41.9999999999963)); #499396=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,27.8536048926407, -41.5949976584836)); #499397=CARTESIAN_POINT('Ctrl Pts',(-14.4796425355049,27.9195609017306, -40.9408955179109)); #499398=CARTESIAN_POINT('Ctrl Pts',(-14.0609140016113,28.1327494307107, -40.2124881782312)); #499399=CARTESIAN_POINT('Ctrl Pts',(-13.549991822984,28.3854734227052,-39.6325601551716)); #499400=CARTESIAN_POINT('Ctrl Pts',(-12.5699436034594,28.8413038446153, -39.1052684638259)); #499401=CARTESIAN_POINT('Ctrl Pts',(-11.7043527283981,29.1963706808844, -39.1420885516273)); #499402=CARTESIAN_POINT('Ctrl Pts',(-11.1219377720288,29.4191173259555, -39.3374391175287)); #499403=CARTESIAN_POINT('Ctrl Pts',(-10.8886075208649,29.5063015995852, -39.4416546679236)); #499404=CARTESIAN_POINT('Ctrl Pts',(-10.6207927127902,29.6035003516158, -39.6001604835995)); #499405=CARTESIAN_POINT('Ctrl Pts',(-10.1360795486126,29.7746730630901, -39.9695285457583)); #499406=CARTESIAN_POINT('Ctrl Pts',(-9.555804402845,29.9643996960494,-40.7209012045376)); #499407=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,30.0211573935661, -41.5949976584837)); #499408=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,30.0211573935661, -41.9999999999963)); #499409=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,28.084649710516,-41.9999999999963)); #499410=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,28.084649710516,-41.6209194668472)); #499411=CARTESIAN_POINT('Ctrl Pts',(-14.4040576936002,28.1453404429801, -41.0085691575313)); #499412=CARTESIAN_POINT('Ctrl Pts',(-14.0115672750769,28.3430785212158, -40.3233852697813)); #499413=CARTESIAN_POINT('Ctrl Pts',(-13.5312132917603,28.5784898842927, -39.7759693863745)); #499414=CARTESIAN_POINT('Ctrl Pts',(-12.605497860838,29.0063396961603,-39.2751099025752)); #499415=CARTESIAN_POINT('Ctrl Pts',(-11.785620322888,29.3428575950593,-39.3100549474721)); #499416=CARTESIAN_POINT('Ctrl Pts',(-11.2356951485607,29.554169766409,-39.4956616847648)); #499417=CARTESIAN_POINT('Ctrl Pts',(-11.0155733912269,29.6369240832491, -39.5945672917415)); #499418=CARTESIAN_POINT('Ctrl Pts',(-10.7633408737456,29.7291901341361, -39.7448009333621)); #499419=CARTESIAN_POINT('Ctrl Pts',(-10.3075618260827,29.8916792691024, -40.0943487751245)); #499420=CARTESIAN_POINT('Ctrl Pts',(-9.76516706798603,30.0715165277318, -40.8026068898322)); #499421=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321792,30.1251345418914, -41.6209194668473)); #499422=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321793,30.1251345418914, -41.9999999999963)); #499423=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.9999999999963)); #499424=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.6468412752108)); #499425=CARTESIAN_POINT('Ctrl Pts',(-14.3284728516955,28.3711199842295, -41.0762427971516)); #499426=CARTESIAN_POINT('Ctrl Pts',(-13.9622205485426,28.5534076117209, -40.4342823613313)); #499427=CARTESIAN_POINT('Ctrl Pts',(-13.5124347605367,28.7715063458802, -39.9193786175773)); #499428=CARTESIAN_POINT('Ctrl Pts',(-12.6410521182166,29.1713755477054, -39.4449513413245)); #499429=CARTESIAN_POINT('Ctrl Pts',(-11.8668879173778,29.4893445092343, -39.4780213433168)); #499430=CARTESIAN_POINT('Ctrl Pts',(-11.3494525250926,29.6892222068624, -39.6538842520009)); #499431=CARTESIAN_POINT('Ctrl Pts',(-11.1425392615889,29.7675465669131, -39.7474799155593)); #499432=CARTESIAN_POINT('Ctrl Pts',(-10.9058890347009,29.8548799166564, -39.8894413831247)); #499433=CARTESIAN_POINT('Ctrl Pts',(-10.4790441035529,30.0086854751148, -40.2191690044908)); #499434=CARTESIAN_POINT('Ctrl Pts',(-9.97452973312705,30.1786333594143, -40.8843125751267)); #499435=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.6468412752108)); #499436=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.9999999999963)); #499437=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-41.9999999999963)); #499438=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-41.9999999999963)); #499439=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.9999999999963)); #499440=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -41.6468412752108)); #499441=CARTESIAN_POINT('Ctrl Pts',(-14.3284728516955,28.3711199842295, -41.0762427971516)); #499442=CARTESIAN_POINT('Ctrl Pts',(-13.9622205485426,28.5534076117209, -40.4342823613313)); #499443=CARTESIAN_POINT('Ctrl Pts',(-13.5124347605367,28.7715063458802, -39.9193786175773)); #499444=CARTESIAN_POINT('Ctrl Pts',(-12.6410521182166,29.1713755477054, -39.4449513413245)); #499445=CARTESIAN_POINT('Ctrl Pts',(-11.8668879173778,29.4893445092343, -39.4780213433168)); #499446=CARTESIAN_POINT('Ctrl Pts',(-11.3494525250926,29.6892222068624, -39.6538842520009)); #499447=CARTESIAN_POINT('Ctrl Pts',(-11.1425392615889,29.7675465669131, -39.7474799155593)); #499448=CARTESIAN_POINT('Ctrl Pts',(-10.9058890347009,29.8548799166564, -39.8894413831247)); #499449=CARTESIAN_POINT('Ctrl Pts',(-10.4790441035529,30.0086854751148, -40.2191690044908)); #499450=CARTESIAN_POINT('Ctrl Pts',(-9.97452973312705,30.1786333594143, -40.8843125751267)); #499451=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.6468412752108)); #499452=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -41.9999999999963)); #499453=CARTESIAN_POINT('Origin',(-9.48117318990309,30.0731459677287,-36.9999999999963)); #499454=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-71.9999999999963)); #499455=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-71.9999999999963)); #499456=CARTESIAN_POINT('',(-9.81532753984788,30.2291116902168,-36.9999999999963)); #499457=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -71.9999999999963)); #499458=CARTESIAN_POINT('Ctrl Pts',(-9.14701883995801,29.9171802452408, -72.4524702530431)); #499459=CARTESIAN_POINT('Ctrl Pts',(-9.37252013715523,29.8494500374074, -73.4345706895729)); #499460=CARTESIAN_POINT('Ctrl Pts',(-10.0396132659159,29.633128256685,-74.2389167075667)); #499461=CARTESIAN_POINT('Ctrl Pts',(-10.5996023049398,29.4345143561636, -74.6220296574692)); #499462=CARTESIAN_POINT('Ctrl Pts',(-11.0057081748602,29.2862368701494, -74.8336465466316)); #499463=CARTESIAN_POINT('Ctrl Pts',(-11.5532717742527,29.0757722657746, -74.9940303161794)); #499464=CARTESIAN_POINT('Ctrl Pts',(-12.1814476427782,28.8183052284786, -75.019413891976)); #499465=CARTESIAN_POINT('Ctrl Pts',(-13.0450500551412,28.4419799855407, -74.8412436934082)); #499466=CARTESIAN_POINT('Ctrl Pts',(-13.6178996167404,28.1669799755076, -74.4425202303627)); #499467=CARTESIAN_POINT('Ctrl Pts',(-14.1427423582223,27.9065339622253, -73.8560392089318)); #499468=CARTESIAN_POINT('Ctrl Pts',(-14.5536296208109,27.6943492355198, -73.1816309466856)); #499469=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -72.3770588919459)); #499470=CARTESIAN_POINT('Ctrl Pts',(-14.6867219760146,27.6225600747654, -71.9999999999963)); #499471=CARTESIAN_POINT('Ctrl Pts',(-9.36978840658797,30.0211573935661, -71.9999999999963)); #499472=CARTESIAN_POINT('Ctrl Pts',(-9.37038675673798,30.0210217291785, -72.4253839036538)); #499473=CARTESIAN_POINT('Ctrl Pts',(-9.57988495920024,29.9570385861453, -73.3483982194691)); #499474=CARTESIAN_POINT('Ctrl Pts',(-10.2067647729398,29.7512937930357, -74.1097383436272)); #499475=CARTESIAN_POINT('Ctrl Pts',(-10.7357618972358,29.5622024233547, -74.4736960058838)); #499476=CARTESIAN_POINT('Ctrl Pts',(-11.1196811349507,29.4211142116652, -74.6748555178597)); #499477=CARTESIAN_POINT('Ctrl Pts',(-11.6386279879146,29.2209036250977, -74.8277300698616)); #499478=CARTESIAN_POINT('Ctrl Pts',(-12.234552175346,28.9765231234644,-74.8519380018928)); #499479=CARTESIAN_POINT('Ctrl Pts',(-13.0541936742825,28.6202313830468, -74.682008736212)); #499480=CARTESIAN_POINT('Ctrl Pts',(-13.5962645367224,28.3617197457786, -74.3027498919412)); #499481=CARTESIAN_POINT('Ctrl Pts',(-14.0915979116582,28.1178843157915, -73.7475208797444)); #499482=CARTESIAN_POINT('Ctrl Pts',(-14.4781616359588,27.9200873362183, -73.1105859372176)); #499483=CARTESIAN_POINT('Ctrl Pts',(-14.6026251578207,27.8536819007741, -72.3543791355259)); #499484=CARTESIAN_POINT('Ctrl Pts',(-14.6027230514778,27.8536048926407, -71.9999999999963)); #499485=CARTESIAN_POINT('Ctrl Pts',(-9.59255797321792,30.1251345418914, -71.9999999999963)); #499486=CARTESIAN_POINT('Ctrl Pts',(-9.59195962306791,30.1252702062791, -72.3979029249136)); #499487=CARTESIAN_POINT('Ctrl Pts',(-9.78800646262161,30.0644356535189, -73.262579513101)); #499488=CARTESIAN_POINT('Ctrl Pts',(-10.3734357165485,29.8695647784379, -73.9804001972541)); #499489=CARTESIAN_POINT('Ctrl Pts',(-10.8709688634247,29.690140003863,-74.3248434440032)); #499490=CARTESIAN_POINT('Ctrl Pts',(-11.2330211335484,29.5561621778861, -74.5158081111033)); #499491=CARTESIAN_POINT('Ctrl Pts',(-11.7232672744269,29.3662171823109, -74.6610782310357)); #499492=CARTESIAN_POINT('Ctrl Pts',(-12.2874790315906,29.134719646952,-74.6841821370656)); #499493=CARTESIAN_POINT('Ctrl Pts',(-13.0629545611406,28.7985421588443, -74.5225429422342)); #499494=CARTESIAN_POINT('Ctrl Pts',(-13.5745903562908,28.5564264051161, -74.1630017488176)); #499495=CARTESIAN_POINT('Ctrl Pts',(-14.0404829840575,28.3291334818011, -73.6388554825667)); #499496=CARTESIAN_POINT('Ctrl Pts',(-14.4026097587996,28.1458654433944, -73.0395456716074)); #499497=CARTESIAN_POINT('Ctrl Pts',(-14.5188220205982,28.0845727023827, -72.3316938543456)); #499498=CARTESIAN_POINT('Ctrl Pts',(-14.5187241269411,28.084649710516,-71.9999999999963)); #499499=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -71.9999999999963)); #499500=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -72.3708165755243)); #499501=CARTESIAN_POINT('Ctrl Pts',(-9.99537128466662,30.1720242022568, -73.1764070429973)); #499502=CARTESIAN_POINT('Ctrl Pts',(-10.5405872235724,29.9877303147886, -73.8512218333146)); #499503=CARTESIAN_POINT('Ctrl Pts',(-11.0071284557207,29.8178280710541, -74.1765097924178)); #499504=CARTESIAN_POINT('Ctrl Pts',(-11.346994093639,29.6910395194019,-74.3570170823314)); #499505=CARTESIAN_POINT('Ctrl Pts',(-11.8086234880888,29.511348541634,-74.4947779847178)); #499506=CARTESIAN_POINT('Ctrl Pts',(-12.3405835641583,29.2929375419379, -74.5167062469824)); #499507=CARTESIAN_POINT('Ctrl Pts',(-13.0720981802819,28.9767935563504, -74.363307985038)); #499508=CARTESIAN_POINT('Ctrl Pts',(-13.5529552762728,28.7511661753871, -74.023231410396)); #499509=CARTESIAN_POINT('Ctrl Pts',(-13.9893385374933,28.5404838353672, -73.5303371533793)); #499510=CARTESIAN_POINT('Ctrl Pts',(-14.3271417739475,28.3716035440929, -72.9685006621395)); #499511=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -72.3090140979256)); #499512=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -71.9999999999963)); #499513=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -71.9999999999963)); #499514=CARTESIAN_POINT('Ctrl Pts',(-9.81532753984788,30.2291116902168, -72.3708165755243)); #499515=CARTESIAN_POINT('Ctrl Pts',(-9.99537128466662,30.1720242022568, -73.1764070429973)); #499516=CARTESIAN_POINT('Ctrl Pts',(-10.5405872235724,29.9877303147886, -73.8512218333146)); #499517=CARTESIAN_POINT('Ctrl Pts',(-11.0071284557207,29.8178280710541, -74.1765097924178)); #499518=CARTESIAN_POINT('Ctrl Pts',(-11.346994093639,29.6910395194019,-74.3570170823314)); #499519=CARTESIAN_POINT('Ctrl Pts',(-11.8086234880888,29.511348541634,-74.4947779847178)); #499520=CARTESIAN_POINT('Ctrl Pts',(-12.3405835641583,29.2929375419379, -74.5167062469824)); #499521=CARTESIAN_POINT('Ctrl Pts',(-13.0720981802819,28.9767935563504, -74.363307985038)); #499522=CARTESIAN_POINT('Ctrl Pts',(-13.5529552762728,28.7511661753871, -74.023231410396)); #499523=CARTESIAN_POINT('Ctrl Pts',(-13.9893385374933,28.5404838353672, -73.5303371533793)); #499524=CARTESIAN_POINT('Ctrl Pts',(-14.3271417739475,28.3716035440929, -72.9685006621395)); #499525=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -72.3090140979256)); #499526=CARTESIAN_POINT('Ctrl Pts',(-14.4347252024043,28.3156945283913, -71.9999999999963)); #499527=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #499528=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-41.9999999999963)); #499529=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912724,-41.9999999999963)); #499530=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-41.9999999999963)); #499531=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.9999999999963)); #499532=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.6815203509833)); #499533=CARTESIAN_POINT('Ctrl Pts',(-11.0293632891377,32.9907789247602, -41.3418200444286)); #499534=CARTESIAN_POINT('Ctrl Pts',(-11.2403872133004,32.9189906594897, -40.8141521001934)); #499535=CARTESIAN_POINT('Ctrl Pts',(-11.4751420481514,32.8382740170044, -40.4077147062739)); #499536=CARTESIAN_POINT('Ctrl Pts',(-11.9287665245446,32.6764855530249, -39.9529533294697)); #499537=CARTESIAN_POINT('Ctrl Pts',(-12.5124743823823,32.4581044344636, -39.6184658589744)); #499538=CARTESIAN_POINT('Ctrl Pts',(-13.0402592947674,32.2486745345833, -39.5024542564328)); #499539=CARTESIAN_POINT('Ctrl Pts',(-13.4410584435588,32.0828989629306, -39.49870978095)); #499540=CARTESIAN_POINT('Ctrl Pts',(-13.8521826194509,31.9085028002848, -39.5519132547774)); #499541=CARTESIAN_POINT('Ctrl Pts',(-14.3627785423233,31.6825973667741, -39.7422523336784)); #499542=CARTESIAN_POINT('Ctrl Pts',(-14.8731671551006,31.4457163753111, -40.1336630149467)); #499543=CARTESIAN_POINT('Ctrl Pts',(-15.2640702762416,31.2576713556484, -40.6490595027813)); #499544=CARTESIAN_POINT('Ctrl Pts',(-15.5338339228457,31.1245824173383, -41.3121629028969)); #499545=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.7770643544567)); #499546=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.9999999999963)); #499547=CARTESIAN_POINT('',(2.30969883127822,0.956708580912724,-41.9999999999963)); #499548=CARTESIAN_POINT('Origin',(-2.30969883127822,-0.956708580912725, -71.9999999999963)); #499549=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-71.9999999999963)); #499550=CARTESIAN_POINT('',(-2.30969883127822,-0.956708580912725,-71.9999999999963)); #499551=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-1.69922627077549E-17)); #499552=CARTESIAN_POINT('Origin',(-15.9056115803731,31.2154330556656,-8.49613135387745E-18)); #499553=CARTESIAN_POINT('',(-15.5871276161381,31.097840064939,-71.9999999999963)); #499554=CARTESIAN_POINT('',(-16.2240955446081,31.3330260463922,-41.9999999999963)); #499555=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -71.9999999999963)); #499556=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -72.430832932574)); #499557=CARTESIAN_POINT('Ctrl Pts',(-16.0342115519357,31.4322801805675, -73.3666942128163)); #499558=CARTESIAN_POINT('Ctrl Pts',(-15.4470032815815,31.7262640061101, -74.1760313739913)); #499559=CARTESIAN_POINT('Ctrl Pts',(-14.9492632020958,31.9613758341701, -74.5570928162879)); #499560=CARTESIAN_POINT('Ctrl Pts',(-14.5833423768566,32.1306400729494, -74.7746537902761)); #499561=CARTESIAN_POINT('Ctrl Pts',(-13.9069819397115,32.4333374808014, -75.0269594422414)); #499562=CARTESIAN_POINT('Ctrl Pts',(-13.1747187923505,32.7366617122297, -75.0273639577054)); #499563=CARTESIAN_POINT('Ctrl Pts',(-12.5564723192479,32.9751261916402, -74.8308354101979)); #499564=CARTESIAN_POINT('Ctrl Pts',(-12.2622652112906,33.0854711911423, -74.6945425954865)); #499565=CARTESIAN_POINT('Ctrl Pts',(-12.0039905180507,33.1801195993772, -74.5422344249468)); #499566=CARTESIAN_POINT('Ctrl Pts',(-11.6161805551442,33.3185529962498, -74.2468922904557)); #499567=CARTESIAN_POINT('Ctrl Pts',(-11.2648688940847,33.4386110124933, -73.8554371725983)); #499568=CARTESIAN_POINT('Ctrl Pts',(-10.811998193001,33.5881306270971,-73.0644996244261)); #499569=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -72.4308329325739)); #499570=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -71.9999999999963)); #499571=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,31.2546307192411, -71.9999999999963)); #499572=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,31.2546307192411, -72.4085474838296)); #499573=CARTESIAN_POINT('Ctrl Pts',(-15.8302936039846,31.3483855592588, -73.2958674853905)); #499574=CARTESIAN_POINT('Ctrl Pts',(-15.272207408028,31.6251957603108,-74.0594680052471)); #499575=CARTESIAN_POINT('Ctrl Pts',(-14.801632090309,31.8460735674236,-74.4177861031484)); #499576=CARTESIAN_POINT('Ctrl Pts',(-14.4561577862428,32.0050369043687, -74.622144956723)); #499577=CARTESIAN_POINT('Ctrl Pts',(-13.8188441708808,32.2891996450722, -74.8586283907115)); #499578=CARTESIAN_POINT('Ctrl Pts',(-13.1306029238802,32.5742884456833, -74.8590133478607)); #499579=CARTESIAN_POINT('Ctrl Pts',(-12.5488177869784,32.7994960972602, -74.6748159706909)); #499580=CARTESIAN_POINT('Ctrl Pts',(-12.2717304231007,32.9039480159635, -74.546902957487)); #499581=CARTESIAN_POINT('Ctrl Pts',(-12.0282744777781,32.9937350121996, -74.4038321113389)); #499582=CARTESIAN_POINT('Ctrl Pts',(-11.6622793526907,33.1254146964944, -74.1260314666697)); #499583=CARTESIAN_POINT('Ctrl Pts',(-11.3299594240322,33.2401905251259, -73.7570111103533)); #499584=CARTESIAN_POINT('Ctrl Pts',(-10.9005490791941,33.3838021165611, -73.0093764327981)); #499585=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,33.4223945227279, -72.4085474838294)); #499586=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,33.4223945227279, -71.9999999999963)); #499587=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,31.1762353920901, -71.9999999999963)); #499588=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,31.1762353920901, -72.386262035085)); #499589=CARTESIAN_POINT('Ctrl Pts',(-15.6263756560336,31.2644909379501, -73.2250407579647)); #499590=CARTESIAN_POINT('Ctrl Pts',(-15.0974115344745,31.5241275145115, -73.9429046365028)); #499591=CARTESIAN_POINT('Ctrl Pts',(-14.6540009785222,31.7307713006771, -74.278479390009)); #499592=CARTESIAN_POINT('Ctrl Pts',(-14.328973195629,31.8794337357879,-74.4696361231698)); #499593=CARTESIAN_POINT('Ctrl Pts',(-13.7307064020501,32.1450618093429, -74.6902973391816)); #499594=CARTESIAN_POINT('Ctrl Pts',(-13.0864870554099,32.4119151791368, -74.6906627380161)); #499595=CARTESIAN_POINT('Ctrl Pts',(-12.5411632547089,32.6238660028803, -74.5187965311839)); #499596=CARTESIAN_POINT('Ctrl Pts',(-12.2811956349108,32.7224248407847, -74.3992633194876)); #499597=CARTESIAN_POINT('Ctrl Pts',(-12.0525584375056,32.807350425022,-74.265429797731)); #499598=CARTESIAN_POINT('Ctrl Pts',(-11.7083781502372,32.932276396739,-74.0051706428837)); #499599=CARTESIAN_POINT('Ctrl Pts',(-11.3950499539798,33.0417700377584, -73.6585850481083)); #499600=CARTESIAN_POINT('Ctrl Pts',(-10.9890999653871,33.1794736060251, -72.9542532411701)); #499601=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,33.2168258747462, -72.386262035085)); #499602=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,33.2168258747462, -71.9999999999963)); #499603=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-71.9999999999963)); #499604=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-72.3639765863405)); #499605=CARTESIAN_POINT('Ctrl Pts',(-15.4224577080825,31.1805963166414, -73.1542140305389)); #499606=CARTESIAN_POINT('Ctrl Pts',(-14.922615660921,31.4230592687122,-73.8263412677586)); #499607=CARTESIAN_POINT('Ctrl Pts',(-14.5063698667355,31.6154690339307, -74.1391726768696)); #499608=CARTESIAN_POINT('Ctrl Pts',(-14.2017886050152,31.7538305672071, -74.3171272896166)); #499609=CARTESIAN_POINT('Ctrl Pts',(-13.6425686332195,32.0009239736136, -74.5219662876517)); #499610=CARTESIAN_POINT('Ctrl Pts',(-13.0423711869396,32.2495419125903, -74.5223121281714)); #499611=CARTESIAN_POINT('Ctrl Pts',(-12.5335087224395,32.4482359085003, -74.3627770916769)); #499612=CARTESIAN_POINT('Ctrl Pts',(-12.2906608467209,32.5409016656058, -74.2516236814881)); #499613=CARTESIAN_POINT('Ctrl Pts',(-12.0768423972331,32.6209658378443, -74.1270274841231)); #499614=CARTESIAN_POINT('Ctrl Pts',(-11.7544769477837,32.7391380969836, -73.8843098190977)); #499615=CARTESIAN_POINT('Ctrl Pts',(-11.4601404839273,32.843349550391,-73.5601589858633)); #499616=CARTESIAN_POINT('Ctrl Pts',(-11.0776508515802,32.9751450954891, -72.8991300495422)); #499617=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -72.3639765863405)); #499618=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -71.9999999999963)); #499619=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-71.9999999999963)); #499620=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-71.9999999999963)); #499621=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-71.9999999999963)); #499622=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-72.3639765863405)); #499623=CARTESIAN_POINT('Ctrl Pts',(-15.4224577080825,31.1805963166414, -73.1542140305389)); #499624=CARTESIAN_POINT('Ctrl Pts',(-14.922615660921,31.4230592687122,-73.8263412677586)); #499625=CARTESIAN_POINT('Ctrl Pts',(-14.5063698667355,31.6154690339307, -74.1391726768696)); #499626=CARTESIAN_POINT('Ctrl Pts',(-14.2017886050152,31.7538305672071, -74.3171272896166)); #499627=CARTESIAN_POINT('Ctrl Pts',(-13.6425686332195,32.0009239736136, -74.5219662876517)); #499628=CARTESIAN_POINT('Ctrl Pts',(-13.0423711869396,32.2495419125903, -74.5223121281714)); #499629=CARTESIAN_POINT('Ctrl Pts',(-12.5335087224395,32.4482359085003, -74.3627770916769)); #499630=CARTESIAN_POINT('Ctrl Pts',(-12.2906608467209,32.5409016656058, -74.2516236814881)); #499631=CARTESIAN_POINT('Ctrl Pts',(-12.0768423972331,32.6209658378443, -74.1270274841231)); #499632=CARTESIAN_POINT('Ctrl Pts',(-11.7544769477837,32.7391380969836, -73.8843098190977)); #499633=CARTESIAN_POINT('Ctrl Pts',(-11.4601404839273,32.843349550391,-73.5601589858633)); #499634=CARTESIAN_POINT('Ctrl Pts',(-11.0776508515802,32.9751450954891, -72.8991300495422)); #499635=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -72.3639765863405)); #499636=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -71.9999999999963)); #499637=CARTESIAN_POINT('Origin',(-10.8256785839348,33.319610198737,-8.49613135387751E-18)); #499638=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-41.9999999999963)); #499639=CARTESIAN_POINT('',(-10.9677299535817,33.0112572267645,-1.6992262707755E-17)); #499640=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.9999999999963)); #499641=CARTESIAN_POINT('Ctrl Pts',(-10.6836272142877,33.6279631707096, -41.6230210230552)); #499642=CARTESIAN_POINT('Ctrl Pts',(-10.7557462363925,33.6052504817902, -41.2208719885449)); #499643=CARTESIAN_POINT('Ctrl Pts',(-11.0046005209023,33.5244304416466, -40.5925752957064)); #499644=CARTESIAN_POINT('Ctrl Pts',(-11.2824162907642,33.4329616316044, -40.106281335014)); #499645=CARTESIAN_POINT('Ctrl Pts',(-11.8247475048156,33.2459095188727, -39.5559284473564)); #499646=CARTESIAN_POINT('Ctrl Pts',(-12.5301099376373,32.98771211376,-39.1463800924169)); #499647=CARTESIAN_POINT('Ctrl Pts',(-13.172629095624,32.7349996043554,-39.0029406874446)); #499648=CARTESIAN_POINT('Ctrl Pts',(-13.6605833756751,32.5332318704589, -38.9983737991739)); #499649=CARTESIAN_POINT('Ctrl Pts',(-14.1604902109202,32.3201715716204, -39.0640302641705)); #499650=CARTESIAN_POINT('Ctrl Pts',(-14.7780453863471,32.0433645769632, -39.2984678578805)); #499651=CARTESIAN_POINT('Ctrl Pts',(-15.3877090943565,31.7539794951087, -39.7754162818641)); #499652=CARTESIAN_POINT('Ctrl Pts',(-15.8483741669842,31.5256806278609, -40.395994877103)); #499653=CARTESIAN_POINT('Ctrl Pts',(-16.1625677539262,31.3651266692748, -41.1859101621239)); #499654=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.7361148448863)); #499655=CARTESIAN_POINT('Ctrl Pts',(-16.2240955446082,31.3330260463922, -41.9999999999963)); #499656=CARTESIAN_POINT('Ctrl Pts',(-10.7783281273857,33.4223945227279, -41.9999999999963)); #499657=CARTESIAN_POINT('Ctrl Pts',(-10.7783886253286,33.4223865693618, -41.6425471928188)); #499658=CARTESIAN_POINT('Ctrl Pts',(-10.8469472687966,33.4004303753988, -41.2611475745237)); #499659=CARTESIAN_POINT('Ctrl Pts',(-11.083263227284,33.3225885320553,-40.6664869584646)); #499660=CARTESIAN_POINT('Ctrl Pts',(-11.3467640248641,33.2346999133443, -40.206669624688)); #499661=CARTESIAN_POINT('Ctrl Pts',(-11.8594809998044,33.0560643061722, -39.688400463299)); #499662=CARTESIAN_POINT('Ctrl Pts',(-12.5243149630774,32.8111347995292, -39.3038161649152)); #499663=CARTESIAN_POINT('Ctrl Pts',(-13.1286237535453,32.5728266578893, -39.1695781872939)); #499664=CARTESIAN_POINT('Ctrl Pts',(-13.5874480813674,32.3830946603758, -39.165268695373)); #499665=CARTESIAN_POINT('Ctrl Pts',(-14.0578179511894,32.1828942615435, -39.2267908714387)); #499666=CARTESIAN_POINT('Ctrl Pts',(-14.639689229922,31.9230733667853,-39.4464965371555)); #499667=CARTESIAN_POINT('Ctrl Pts',(-15.2161675231372,31.6512398685019, -39.8948378693206)); #499668=CARTESIAN_POINT('Ctrl Pts',(-15.6536001522135,31.4363474712842, -40.4803647652654)); #499669=CARTESIAN_POINT('Ctrl Pts',(-15.9529154438225,31.2849893687341, -41.2279303684507)); #499670=CARTESIAN_POINT('Ctrl Pts',(-16.0118918055634,31.2545677975167, -41.7499009623342)); #499671=CARTESIAN_POINT('Ctrl Pts',(-16.0117729017849,31.2546307192411, -41.9999999999963)); #499672=CARTESIAN_POINT('Ctrl Pts',(-10.8730290404837,33.2168258747462, -41.9999999999963)); #499673=CARTESIAN_POINT('Ctrl Pts',(-10.8729685425408,33.2168338281123, -41.6619941812197)); #499674=CARTESIAN_POINT('Ctrl Pts',(-10.9381622567337,33.1955990311517, -41.3015444584498)); #499675=CARTESIAN_POINT('Ctrl Pts',(-11.1617245069187,33.120832569081,-40.7402404374352)); #499676=CARTESIAN_POINT('Ctrl Pts',(-11.4107943140515,33.0365357352646, -40.3073264165998)); #499677=CARTESIAN_POINT('Ctrl Pts',(-11.8940330295558,32.8663307657254, -39.8204813135271)); #499678=CARTESIAN_POINT('Ctrl Pts',(-12.5182693569423,32.6346817486944, -39.461029786476)); #499679=CARTESIAN_POINT('Ctrl Pts',(-13.084264636846,32.4108474810494,-39.3358167565835)); #499680=CARTESIAN_POINT('Ctrl Pts',(-13.5141937378665,32.2330361730137, -39.3318148847509)); #499681=CARTESIAN_POINT('Ctrl Pts',(-13.9548548791818,32.0457801103617, -39.3891526475092)); #499682=CARTESIAN_POINT('Ctrl Pts',(-14.5011346987484,31.8028885769519, -39.5942236544034)); #499683=CARTESIAN_POINT('Ctrl Pts',(-15.0447087263199,31.5484560019179, -40.0142414274902)); #499684=CARTESIAN_POINT('Ctrl Pts',(-15.4588442910124,31.3470045122252, -40.5646896146189)); #499685=CARTESIAN_POINT('Ctrl Pts',(-15.7434862329494,31.204719717879,-41.2701426965702)); #499686=CARTESIAN_POINT('Ctrl Pts',(-15.799331355183,31.1762983138146,-41.7632782370088)); #499687=CARTESIAN_POINT('Ctrl Pts',(-15.7994502589615,31.1762353920901, -41.9999999999963)); #499688=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.9999999999963)); #499689=CARTESIAN_POINT('Ctrl Pts',(-10.9677299535817,33.0112572267645, -41.6815203509833)); #499690=CARTESIAN_POINT('Ctrl Pts',(-11.0293632891377,32.9907789247602, -41.3418200444286)); #499691=CARTESIAN_POINT('Ctrl Pts',(-11.2403872133004,32.9189906594897, -40.8141521001934)); #499692=CARTESIAN_POINT('Ctrl Pts',(-11.4751420481514,32.8382740170044, -40.4077147062739)); #499693=CARTESIAN_POINT('Ctrl Pts',(-11.9287665245446,32.6764855530249, -39.9529533294697)); #499694=CARTESIAN_POINT('Ctrl Pts',(-12.5124743823823,32.4581044344636, -39.6184658589744)); #499695=CARTESIAN_POINT('Ctrl Pts',(-13.0402592947674,32.2486745345833, -39.5024542564328)); #499696=CARTESIAN_POINT('Ctrl Pts',(-13.4410584435588,32.0828989629306, -39.49870978095)); #499697=CARTESIAN_POINT('Ctrl Pts',(-13.8521826194509,31.9085028002848, -39.5519132547774)); #499698=CARTESIAN_POINT('Ctrl Pts',(-14.3627785423233,31.6825973667741, -39.7422523336784)); #499699=CARTESIAN_POINT('Ctrl Pts',(-14.8731671551006,31.4457163753111, -40.1336630149467)); #499700=CARTESIAN_POINT('Ctrl Pts',(-15.2640702762416,31.2576713556484, -40.6490595027813)); #499701=CARTESIAN_POINT('Ctrl Pts',(-15.5338339228457,31.1245824173383, -41.3121629028969)); #499702=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.7770643544567)); #499703=CARTESIAN_POINT('Ctrl Pts',(-15.5871276161381,31.097840064939,-41.9999999999963)); #499704=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #499705=CARTESIAN_POINT('',(2.30969883127822,0.956708580912725,-71.9999999999963)); #499706=CARTESIAN_POINT('Origin',(2.30969883127822,0.956708580912724,-41.9999999999963)); #499707=CARTESIAN_POINT('Origin',(-2.74903286660058,31.4122519369689,-36.9999999999963)); #499708=CARTESIAN_POINT('',(-2.5,31.6842208093255,-41.9999999999963)); #499709=CARTESIAN_POINT('',(-2.5,31.6842208093255,-41.9999999999963)); #499710=CARTESIAN_POINT('',(-2.5,31.6842208093255,-71.9999999999963)); #499711=CARTESIAN_POINT('',(-2.99806573320117,31.1402830646123,-71.9999999999963)); #499712=CARTESIAN_POINT('',(-2.5,31.6842208093255,-36.9999999999963)); #499713=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.9999999999963)); #499714=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -41.5690758501199)); #499715=CARTESIAN_POINT('Ctrl Pts',(-2.79031753799108,31.1615630175516, -40.6362730629214)); #499716=CARTESIAN_POINT('Ctrl Pts',(-2.07603375893279,31.2191870173496, -39.7562494035257)); #499717=CARTESIAN_POINT('Ctrl Pts',(-1.31511340917226,31.2591612938052, -39.2693310436615)); #499718=CARTESIAN_POINT('Ctrl Pts',(-0.433642654299332,31.2873840153207, -38.9669447212466)); #499719=CARTESIAN_POINT('Ctrl Pts',(0.43466326272013,31.2872285438282,-38.9667401875334)); #499720=CARTESIAN_POINT('Ctrl Pts',(1.20471819197486,31.2628266170424,-39.2295738173719)); #499721=CARTESIAN_POINT('Ctrl Pts',(1.60230292528808,31.2440270355763,-39.4526838274717)); #499722=CARTESIAN_POINT('Ctrl Pts',(2.14660808148738,31.2135896766594,-39.8421102962863)); #499723=CARTESIAN_POINT('Ctrl Pts',(2.79241362108958,31.1614045784027,-40.6374228681298)); #499724=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.5690758501201)); #499725=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-41.9999999999963)); #499726=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,31.3215956461833, -41.9999999999963)); #499727=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,31.3215956461833, -41.5949976584836)); #499728=CARTESIAN_POINT('Ctrl Pts',(-2.63792756574358,31.3406130545762, -40.7181422894779)); #499729=CARTESIAN_POINT('Ctrl Pts',(-1.96641253817986,31.3923153367427, -39.8858672377108)); #499730=CARTESIAN_POINT('Ctrl Pts',(-1.2470974732863,31.4282968460968,-39.4233029670096)); #499731=CARTESIAN_POINT('Ctrl Pts',(-0.411785159080302,31.453736132003, -39.1352503821758)); #499732=CARTESIAN_POINT('Ctrl Pts',(0.41274462497907,31.4535961233166,-39.1350769547641)); #499733=CARTESIAN_POINT('Ctrl Pts',(1.14249559634671,31.4315985475833,-39.3854579612988)); #499734=CARTESIAN_POINT('Ctrl Pts',(1.51856363320764,31.4146750089676,-39.5974872627626)); #499735=CARTESIAN_POINT('Ctrl Pts',(2.03276771322873,31.3872915907425,-39.967083007419)); #499736=CARTESIAN_POINT('Ctrl Pts',(2.63988624315062,31.3404716085771,-40.7192306794259)); #499737=CARTESIAN_POINT('Ctrl Pts',(2.83204382213431,31.3215956461833,-41.5949976584837)); #499738=CARTESIAN_POINT('Ctrl Pts',(2.83204382213431,31.3215956461833,-41.9999999999963)); #499739=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106715,31.5029082277544, -41.9999999999963)); #499740=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106715,31.5029082277544, -41.6209194668472)); #499741=CARTESIAN_POINT('Ctrl Pts',(-2.48553759349609,31.5196630916008, -40.8000115160345)); #499742=CARTESIAN_POINT('Ctrl Pts',(-1.85679131742693,31.5654436561357, -40.0154850718959)); #499743=CARTESIAN_POINT('Ctrl Pts',(-1.17908153740035,31.5974323983885, -39.5772748903578)); #499744=CARTESIAN_POINT('Ctrl Pts',(-0.38992766386127,31.6200882486852, -39.3035560431051)); #499745=CARTESIAN_POINT('Ctrl Pts',(0.390825987238008,31.6199637028049, -39.3034137219949)); #499746=CARTESIAN_POINT('Ctrl Pts',(1.08027300071856,31.6003704781241,-39.5413421052257)); #499747=CARTESIAN_POINT('Ctrl Pts',(1.4348243411272,31.5853229823588,-39.7422906980536)); #499748=CARTESIAN_POINT('Ctrl Pts',(1.91892734497007,31.5609935048257,-40.0920557185518)); #499749=CARTESIAN_POINT('Ctrl Pts',(2.48735886521167,31.5195386387515,-40.801038490722)); #499750=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,31.5029082277544,-41.6209194668473)); #499751=CARTESIAN_POINT('Ctrl Pts',(2.66602191106715,31.5029082277544,-41.9999999999963)); #499752=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.9999999999963)); #499753=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.6468412752109)); #499754=CARTESIAN_POINT('Ctrl Pts',(-2.33314762124859,31.6987131286255, -40.8818807425911)); #499755=CARTESIAN_POINT('Ctrl Pts',(-1.747170096674,31.7385719755288,-40.145102906081)); #499756=CARTESIAN_POINT('Ctrl Pts',(-1.1110656015144,31.7665679506801,-39.7312468137059)); #499757=CARTESIAN_POINT('Ctrl Pts',(-0.36807016864224,31.7864403653675, -39.4718617040343)); #499758=CARTESIAN_POINT('Ctrl Pts',(0.368907349496949,31.7863312822933, -39.4717504892256)); #499759=CARTESIAN_POINT('Ctrl Pts',(1.01805040509041,31.769142408665,-39.6972262491526)); #499760=CARTESIAN_POINT('Ctrl Pts',(1.35108504904676,31.75597095575,-39.8870941333446)); #499761=CARTESIAN_POINT('Ctrl Pts',(1.80508697671142,31.7346954189089,-40.2170284296845)); #499762=CARTESIAN_POINT('Ctrl Pts',(2.33483148727272,31.6986056689259,-40.8828463020181)); #499763=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.6468412752108)); #499764=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.9999999999963)); #499765=CARTESIAN_POINT('',(2.5,31.6842208093255,-41.9999999999963)); #499766=CARTESIAN_POINT('',(2.5,31.6842208093255,-41.9999999999963)); #499767=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.9999999999963)); #499768=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-41.6468412752109)); #499769=CARTESIAN_POINT('Ctrl Pts',(-2.33314762124859,31.6987131286255, -40.8818807425911)); #499770=CARTESIAN_POINT('Ctrl Pts',(-1.747170096674,31.7385719755288,-40.145102906081)); #499771=CARTESIAN_POINT('Ctrl Pts',(-1.1110656015144,31.7665679506801,-39.7312468137059)); #499772=CARTESIAN_POINT('Ctrl Pts',(-0.36807016864224,31.7864403653675, -39.4718617040343)); #499773=CARTESIAN_POINT('Ctrl Pts',(0.368907349496949,31.7863312822933, -39.4717504892256)); #499774=CARTESIAN_POINT('Ctrl Pts',(1.01805040509041,31.769142408665,-39.6972262491526)); #499775=CARTESIAN_POINT('Ctrl Pts',(1.35108504904676,31.75597095575,-39.8870941333446)); #499776=CARTESIAN_POINT('Ctrl Pts',(1.80508697671142,31.7346954189089,-40.2170284296845)); #499777=CARTESIAN_POINT('Ctrl Pts',(2.33483148727272,31.6986056689259,-40.8828463020181)); #499778=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.6468412752108)); #499779=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-41.9999999999963)); #499780=CARTESIAN_POINT('Origin',(2.74903286660058,31.4122519369689,-36.9999999999963)); #499781=CARTESIAN_POINT('',(2.5,31.6842208093255,-71.9999999999963)); #499782=CARTESIAN_POINT('',(2.5,31.6842208093255,-71.9999999999963)); #499783=CARTESIAN_POINT('',(2.5,31.6842208093255,-36.9999999999963)); #499784=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-71.9999999999963)); #499785=CARTESIAN_POINT('Ctrl Pts',(2.99806573320146,31.1402830646122,-72.301647113556)); #499786=CARTESIAN_POINT('Ctrl Pts',(2.88211630030153,31.1520163545224,-73.0980535889785)); #499787=CARTESIAN_POINT('Ctrl Pts',(2.44163805525229,31.1906466586534,-73.8035267244078)); #499788=CARTESIAN_POINT('Ctrl Pts',(1.8022984740078,31.2342166283658,-74.4425644606306)); #499789=CARTESIAN_POINT('Ctrl Pts',(1.16826922549473,31.2690320522605,-74.8414946520617)); #499790=CARTESIAN_POINT('Ctrl Pts',(0.226168178399011,31.2862502104277, -75.0195615837174)); #499791=CARTESIAN_POINT('Ctrl Pts',(-0.452677940293843,31.2837271586723, -74.9941920064578)); #499792=CARTESIAN_POINT('Ctrl Pts',(-1.039165140868,31.2686359342911,-74.8337760832348)); #499793=CARTESIAN_POINT('Ctrl Pts',(-1.47109641533521,31.2502164513851, -74.6221610915502)); #499794=CARTESIAN_POINT('Ctrl Pts',(-2.06453590539365,31.2194200752268, -74.2390101981085)); #499795=CARTESIAN_POINT('Ctrl Pts',(-2.76366062205648,31.1639934207578, -73.4346460027339)); #499796=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -72.4524702530429)); #499797=CARTESIAN_POINT('Ctrl Pts',(-2.99806573320146,31.1402830646122, -71.9999999999963)); #499798=CARTESIAN_POINT('Ctrl Pts',(2.83204382213431,31.3215956461833,-71.9999999999963)); #499799=CARTESIAN_POINT('Ctrl Pts',(2.83203549034853,31.3216060183274,-72.2835786173947)); #499800=CARTESIAN_POINT('Ctrl Pts',(2.72371162959156,31.3320804814378,-73.0319105054008)); #499801=CARTESIAN_POINT('Ctrl Pts',(2.31038936500645,31.3667142682687,-73.697784050294)); #499802=CARTESIAN_POINT('Ctrl Pts',(1.70782126359753,31.4058483579808,-74.3028323195375)); #499803=CARTESIAN_POINT('Ctrl Pts',(1.10848255799049,31.4372168893432,-74.6822371092934)); #499804=CARTESIAN_POINT('Ctrl Pts',(0.214690913513984,31.4527455845373, -74.8520944545948)); #499805=CARTESIAN_POINT('Ctrl Pts',(-0.429363578236629,31.4504761893746, -74.8278860774521)); #499806=CARTESIAN_POINT('Ctrl Pts',(-0.985480910922558,31.4368615904814, -74.6749875679293)); #499807=CARTESIAN_POINT('Ctrl Pts',(-1.39416628454742,31.4202909801928, -74.4738265294767)); #499808=CARTESIAN_POINT('Ctrl Pts',(-1.95532735910021,31.3925568110089, -74.1098325910011)); #499809=CARTESIAN_POINT('Ctrl Pts',(-2.61325348369832,31.3427474490699, -73.3484728442462)); #499810=CARTESIAN_POINT('Ctrl Pts',(-2.83143882462627,31.3216992478607, -72.4253842111196)); #499811=CARTESIAN_POINT('Ctrl Pts',(-2.83204382213431,31.3215956461833, -71.9999999999963)); #499812=CARTESIAN_POINT('Ctrl Pts',(2.66602191106716,31.5029082277544,-71.9999999999963)); #499813=CARTESIAN_POINT('Ctrl Pts',(2.66603024285293,31.5028978556104,-72.2652797745011)); #499814=CARTESIAN_POINT('Ctrl Pts',(2.56527517902904,31.5121422135429,-72.9657937500323)); #499815=CARTESIAN_POINT('Ctrl Pts',(2.17906360044532,31.5427324915066,-73.5917940128846)); #499816=CARTESIAN_POINT('Ctrl Pts',(1.61318278567598,31.5774584310487,-74.1630032490391)); #499817=CARTESIAN_POINT('Ctrl Pts',(1.04827607451607,31.6053187459668,-74.5227375492946)); #499818=CARTESIAN_POINT('Ctrl Pts',(0.202970366195806,31.6191664079611, -74.6842798174932)); #499819=CARTESIAN_POINT('Ctrl Pts',(-0.406833160798515,31.6171262566937, -74.6611987997925)); #499820=CARTESIAN_POINT('Ctrl Pts',(-0.932507662456765,31.6050102957089, -74.5158992780306)); #499821=CARTESIAN_POINT('Ctrl Pts',(-1.31826395668448,31.5902377746395, -74.3249392127561)); #499822=CARTESIAN_POINT('Ctrl Pts',(-1.84664435096957,31.565611855158,-73.9804672574793)); #499823=CARTESIAN_POINT('Ctrl Pts',(-2.46210487848764,31.5216172263122, -73.2626348743728)); #499824=CARTESIAN_POINT('Ctrl Pts',(-2.66662690857519,31.5028046260771, -72.3979026174477)); #499825=CARTESIAN_POINT('Ctrl Pts',(-2.66602191106715,31.5029082277544, -71.9999999999963)); #499826=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-71.9999999999963)); #499827=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-72.2472112783398)); #499828=CARTESIAN_POINT('Ctrl Pts',(2.40687050831908,31.6922063404582,-72.8996506664546)); #499829=CARTESIAN_POINT('Ctrl Pts',(2.04781491019948,31.7188001011219,-73.4860513387708)); #499830=CARTESIAN_POINT('Ctrl Pts',(1.51870557526571,31.7490901606637,-74.023271107946)); #499831=CARTESIAN_POINT('Ctrl Pts',(0.988489407011836,31.7735035830495, -74.3634800065263)); #499832=CARTESIAN_POINT('Ctrl Pts',(0.191493101310779,31.7856617820707, -74.5168126883705)); #499833=CARTESIAN_POINT('Ctrl Pts',(-0.3835187987413,31.7838752873961,-74.4948928707867)); #499834=CARTESIAN_POINT('Ctrl Pts',(-0.87882343251132,31.7732359518992, -74.3571107627251)); #499835=CARTESIAN_POINT('Ctrl Pts',(-1.24133382589669,31.7603123034472, -74.1766046506826)); #499836=CARTESIAN_POINT('Ctrl Pts',(-1.73743580467613,31.73874859094,-73.8512896503719)); #499837=CARTESIAN_POINT('Ctrl Pts',(-2.31169774012948,31.7003712546244, -73.1764617158852)); #499838=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-72.3708165755243)); #499839=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-71.9999999999963)); #499840=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-71.9999999999963)); #499841=CARTESIAN_POINT('Ctrl Pts',(2.5,31.6842208093255,-72.2472112783398)); #499842=CARTESIAN_POINT('Ctrl Pts',(2.40687050831908,31.6922063404582,-72.8996506664546)); #499843=CARTESIAN_POINT('Ctrl Pts',(2.04781491019948,31.7188001011219,-73.4860513387708)); #499844=CARTESIAN_POINT('Ctrl Pts',(1.51870557526571,31.7490901606637,-74.023271107946)); #499845=CARTESIAN_POINT('Ctrl Pts',(0.988489407011836,31.7735035830495, -74.3634800065263)); #499846=CARTESIAN_POINT('Ctrl Pts',(0.191493101310779,31.7856617820707, -74.5168126883705)); #499847=CARTESIAN_POINT('Ctrl Pts',(-0.3835187987413,31.7838752873961,-74.4948928707867)); #499848=CARTESIAN_POINT('Ctrl Pts',(-0.87882343251132,31.7732359518992, -74.3571107627251)); #499849=CARTESIAN_POINT('Ctrl Pts',(-1.24133382589669,31.7603123034472, -74.1766046506826)); #499850=CARTESIAN_POINT('Ctrl Pts',(-1.73743580467613,31.73874859094,-73.8512896503719)); #499851=CARTESIAN_POINT('Ctrl Pts',(-2.31169774012948,31.7003712546244, -73.1764617158852)); #499852=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-72.3708165755243)); #499853=CARTESIAN_POINT('Ctrl Pts',(-2.5,31.6842208093255,-71.9999999999963)); #499854=CARTESIAN_POINT('Origin',(0.,0.,-41.9999999999963)); #499855=CARTESIAN_POINT('',(-2.5,34.6955934381631,-41.9999999999963)); #499856=CARTESIAN_POINT('',(-2.5,0.,-41.9999999999963)); #499857=CARTESIAN_POINT('',(2.5,34.6955934381631,-41.9999999999963)); #499858=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.9999999999963)); #499859=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.6360234136521)); #499860=CARTESIAN_POINT('Ctrl Pts',(2.3224674623515,34.7086811868877,-40.8511349579407)); #499861=CARTESIAN_POINT('Ctrl Pts',(1.80353722820435,34.7392041315487,-40.2271677662419)); #499862=CARTESIAN_POINT('Ctrl Pts',(1.43435605399395,34.7549203648084,-39.951157287122)); #499863=CARTESIAN_POINT('Ctrl Pts',(1.27761792013621,34.7610953480607,-39.8476348368346)); #499864=CARTESIAN_POINT('Ctrl Pts',(1.0727661483623,34.7681926057683,-39.7340192129363)); #499865=CARTESIAN_POINT('Ctrl Pts',(0.450439388795634,34.7849580405106, -39.4865250093489)); #499866=CARTESIAN_POINT('Ctrl Pts',(-0.456076961046241,34.7894018342147, -39.4271012550561)); #499867=CARTESIAN_POINT('Ctrl Pts',(-1.44073569054477,34.7567033327323, -39.897339313916)); #499868=CARTESIAN_POINT('Ctrl Pts',(-1.94958059993438,34.7306723156348, -40.4044395806575)); #499869=CARTESIAN_POINT('Ctrl Pts',(-2.37180910806275,34.7052790851662, -41.0484350456442)); #499870=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.6360234136521)); #499871=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.9999999999963)); #499872=CARTESIAN_POINT('',(2.5,0.,-41.9999999999963)); #499873=CARTESIAN_POINT('Origin',(-2.5,0.,-71.9999999999963)); #499874=CARTESIAN_POINT('',(-2.5,34.6955934381631,-71.9999999999963)); #499875=CARTESIAN_POINT('',(-2.5,0.,-71.9999999999963)); #499876=CARTESIAN_POINT('',(-2.5,34.6955934381631,-1.6992262707755E-17)); #499877=CARTESIAN_POINT('Origin',(-2.74923992667642,34.9261137320489,-8.49613135387749E-18)); #499878=CARTESIAN_POINT('',(-2.5,34.6955934381631,-71.9999999999963)); #499879=CARTESIAN_POINT('',(-2.99847985335284,35.1566340259346,-41.9999999999963)); #499880=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -71.9999999999963)); #499881=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -72.3769789769375)); #499882=CARTESIAN_POINT('Ctrl Pts',(-2.92335578327562,35.1632544888337, -72.7790509760548)); #499883=CARTESIAN_POINT('Ctrl Pts',(-2.66286853330323,35.1838182475566, -73.407182720336)); #499884=CARTESIAN_POINT('Ctrl Pts',(-2.37063799188117,35.2056647501411, -73.8938802377274)); #499885=CARTESIAN_POINT('Ctrl Pts',(-1.80016088704691,35.2403187608639, -74.4429937698031)); #499886=CARTESIAN_POINT('Ctrl Pts',(-1.04646918138161,35.2718356842161, -74.8538817734599)); #499887=CARTESIAN_POINT('Ctrl Pts',(-0.224712139355435,35.286557940586, -75.0240613804536)); #499888=CARTESIAN_POINT('Ctrl Pts',(0.573825811525727,35.2827781269774, -74.9828437484492)); #499889=CARTESIAN_POINT('Ctrl Pts',(1.6644431944176,35.2516536310539,-74.6025954812563)); #499890=CARTESIAN_POINT('Ctrl Pts',(2.46314635406514,35.199843464431,-73.8600849660023)); #499891=CARTESIAN_POINT('Ctrl Pts',(2.92919175799491,35.1628828640525,-72.8173586962704)); #499892=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-72.2638851551065)); #499893=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-71.9999999999963)); #499894=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223532,35.0029538300108, -71.9999999999963)); #499895=CARTESIAN_POINT('Ctrl Pts',(-2.83224701350575,35.002971491332,-72.3574690573086)); #499896=CARTESIAN_POINT('Ctrl Pts',(-2.76073760855292,35.0089235987889, -72.7387499344101)); #499897=CARTESIAN_POINT('Ctrl Pts',(-2.51292362940006,35.027447346734,-73.3333042459556)); #499898=CARTESIAN_POINT('Ctrl Pts',(-2.23537526518513,35.0471118195586, -73.7934236484541)); #499899=CARTESIAN_POINT('Ctrl Pts',(-1.69533134828064,35.0782286251985, -74.3106273054543)); #499900=CARTESIAN_POINT('Ctrl Pts',(-0.984370094966377,35.1064675062812, -74.6963324810862)); #499901=CARTESIAN_POINT('Ctrl Pts',(-0.211219692120301,35.1196535472036, -74.8557930566564)); #499902=CARTESIAN_POINT('Ctrl Pts',(0.539687387629339,35.1162547755543, -74.8170690879072)); #499903=CARTESIAN_POINT('Ctrl Pts',(1.56654782059092,35.0884054654276,-74.4607841212361)); #499904=CARTESIAN_POINT('Ctrl Pts',(2.3229803709424,35.0418936368692,-73.7622387850387)); #499905=CARTESIAN_POINT('Ctrl Pts',(2.76624054749851,35.0085870667452,-72.7750063250278)); #499906=CARTESIAN_POINT('Ctrl Pts',(2.83240594146366,35.0029600188493,-72.2503211436991)); #499907=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,35.0029538300108,-71.9999999999963)); #499908=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111766,34.8492736340869, -71.9999999999963)); #499909=CARTESIAN_POINT('Ctrl Pts',(-2.66623283984723,34.8492559727656, -72.3379895686382)); #499910=CARTESIAN_POINT('Ctrl Pts',(-2.59800549224833,34.8545950900272, -72.6984176478078)); #499911=CARTESIAN_POINT('Ctrl Pts',(-2.36319510273411,34.8710667206353, -73.2595280119508)); #499912=CARTESIAN_POINT('Ctrl Pts',(-2.10027822283861,34.888538811058,-73.6928713762972)); #499913=CARTESIAN_POINT('Ctrl Pts',(-1.59062533034138,34.9161479624034, -74.1785340910578)); #499914=CARTESIAN_POINT('Ctrl Pts',(-0.922445005151622,34.9411303167064, -74.5392625716817)); #499915=CARTESIAN_POINT('Ctrl Pts',(-0.197926174076321,34.952741709554, -74.6876586933037)); #499916=CARTESIAN_POINT('Ctrl Pts',(0.505245896775481,34.9497754594249, -74.6519246484252)); #499917=CARTESIAN_POINT('Ctrl Pts',(1.46867235295224,34.9251695846085,-74.3192850114182)); #499918=CARTESIAN_POINT('Ctrl Pts',(2.18268849375825,34.8839161830047,-73.6639753684194)); #499919=CARTESIAN_POINT('Ctrl Pts',(2.60340329165412,34.8542887458122,-72.7328277555688)); #499920=CARTESIAN_POINT('Ctrl Pts',(2.66607391188934,34.8492674452483,-72.2364996569434)); #499921=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,34.8492736340869,-71.9999999999963)); #499922=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-71.9999999999963)); #499923=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-72.3184796490094)); #499924=CARTESIAN_POINT('Ctrl Pts',(-2.43538731752563,34.7002641999823, -72.6581166061631)); #499925=CARTESIAN_POINT('Ctrl Pts',(-2.21325019883095,34.7146958198128, -73.1856495375705)); #499926=CARTESIAN_POINT('Ctrl Pts',(-1.96501549614256,34.7299858804755, -73.5924147870239)); #499927=CARTESIAN_POINT('Ctrl Pts',(-1.4857957915751,34.7540578267379,-74.046167626709)); #499928=CARTESIAN_POINT('Ctrl Pts',(-0.860345918736385,34.7757621387715, -74.381713279308)); #499929=CARTESIAN_POINT('Ctrl Pts',(-0.184433726841187,34.7858373161717, -74.5193903695065)); #499930=CARTESIAN_POINT('Ctrl Pts',(0.471107472879093,34.7832521080018, -74.4861499878831)); #499931=CARTESIAN_POINT('Ctrl Pts',(1.37077697912556,34.7619214189821,-74.177473651398)); #499932=CARTESIAN_POINT('Ctrl Pts',(2.04252251063551,34.725966355443,-73.5661291874558)); #499933=CARTESIAN_POINT('Ctrl Pts',(2.44045208115771,34.6999929485049,-72.6904753843263)); #499934=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-72.222935645536)); #499935=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-71.9999999999963)); #499936=CARTESIAN_POINT('',(2.5,34.6955934381631,-71.9999999999963)); #499937=CARTESIAN_POINT('',(2.5,34.6955934381631,-71.9999999999963)); #499938=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-71.9999999999963)); #499939=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-72.3184796490094)); #499940=CARTESIAN_POINT('Ctrl Pts',(-2.43538731752563,34.7002641999823, -72.6581166061631)); #499941=CARTESIAN_POINT('Ctrl Pts',(-2.21325019883095,34.7146958198128, -73.1856495375705)); #499942=CARTESIAN_POINT('Ctrl Pts',(-1.96501549614256,34.7299858804755, -73.5924147870239)); #499943=CARTESIAN_POINT('Ctrl Pts',(-1.4857957915751,34.7540578267379,-74.046167626709)); #499944=CARTESIAN_POINT('Ctrl Pts',(-0.860345918736385,34.7757621387715, -74.381713279308)); #499945=CARTESIAN_POINT('Ctrl Pts',(-0.184433726841187,34.7858373161717, -74.5193903695065)); #499946=CARTESIAN_POINT('Ctrl Pts',(0.471107472879093,34.7832521080018, -74.4861499878831)); #499947=CARTESIAN_POINT('Ctrl Pts',(1.37077697912556,34.7619214189821,-74.177473651398)); #499948=CARTESIAN_POINT('Ctrl Pts',(2.04252251063551,34.725966355443,-73.5661291874558)); #499949=CARTESIAN_POINT('Ctrl Pts',(2.44045208115771,34.6999929485049,-72.6904753843263)); #499950=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-72.222935645536)); #499951=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-71.9999999999963)); #499952=CARTESIAN_POINT('Origin',(2.74923992667642,34.9261137320489,-8.49613135387749E-18)); #499953=CARTESIAN_POINT('',(2.5,34.6955934381631,-41.9999999999963)); #499954=CARTESIAN_POINT('',(2.5,34.6955934381631,-1.6992262707755E-17)); #499955=CARTESIAN_POINT('Ctrl Pts',(2.99847985335299,35.1566340259346,-41.9999999999963)); #499956=CARTESIAN_POINT('Ctrl Pts',(2.99847985335298,35.1566340259346,-41.5691670674188)); #499957=CARTESIAN_POINT('Ctrl Pts',(2.7924256874342,35.1751653587151,-40.6397522844885)); #499958=CARTESIAN_POINT('Ctrl Pts',(2.17952125883874,35.2188922143173,-39.8884245043511)); #499959=CARTESIAN_POINT('Ctrl Pts',(1.73731476770919,35.2416030840896,-39.5529643431438)); #499960=CARTESIAN_POINT('Ctrl Pts',(1.54918514301963,35.2505386131231,-39.4268548269495)); #499961=CARTESIAN_POINT('Ctrl Pts',(1.30241444794465,35.2608276970587,-39.2880542261287)); #499962=CARTESIAN_POINT('Ctrl Pts',(0.550026409948759,35.2852401279264, -38.983899799241)); #499963=CARTESIAN_POINT('Ctrl Pts',(-0.557955263045722,35.2917168241901, -38.910458734209)); #499964=CARTESIAN_POINT('Ctrl Pts',(-1.7467817630856,35.2441115606819,-39.489011658965)); #499965=CARTESIAN_POINT('Ctrl Pts',(-2.35196776618679,35.2066638705364, -40.1018914663581)); #499966=CARTESIAN_POINT('Ctrl Pts',(-2.84980129716683,35.1703504571577, -40.8733892767555)); #499967=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335298,35.1566340259346, -41.569167067419)); #499968=CARTESIAN_POINT('Ctrl Pts',(-2.99847985335299,35.1566340259346, -41.9999999999963)); #499969=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,35.0029538300108,-41.9999999999963)); #499970=CARTESIAN_POINT('Ctrl Pts',(2.83231990223532,35.0029538300108,-41.5914525161632)); #499971=CARTESIAN_POINT('Ctrl Pts',(2.63577294573996,35.0196706347727,-40.7102131756392)); #499972=CARTESIAN_POINT('Ctrl Pts',(2.05419324862728,35.0589961867278,-40.0013389249814)); #499973=CARTESIAN_POINT('Ctrl Pts',(1.63632852980411,35.0793755109959,-39.6856953244699)); #499974=CARTESIAN_POINT('Ctrl Pts',(1.45866273539182,35.0873908581023,-39.5671148302445)); #499975=CARTESIAN_POINT('Ctrl Pts',(1.22586501475053,35.0966159999619,-39.4367092217312)); #499976=CARTESIAN_POINT('Ctrl Pts',(0.51683073623105,35.1184794321211,-39.1514415359436)); #499977=CARTESIAN_POINT('Ctrl Pts',(-0.523995829045895,35.1242784941983, -39.0826729078247)); #499978=CARTESIAN_POINT('Ctrl Pts',(-1.64476640557199,35.0816421513653, -39.625120877282)); #499979=CARTESIAN_POINT('Ctrl Pts',(-2.21783871076932,35.0480000189025, -40.2027408377912)); #499980=CARTESIAN_POINT('Ctrl Pts',(-2.69047056746547,35.0153266664939, -40.9317378663851)); #499981=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223532,35.0029538300108, -41.5914525161634)); #499982=CARTESIAN_POINT('Ctrl Pts',(-2.83231990223532,35.0029538300108, -41.9999999999963)); #499983=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,34.8492736340869,-41.9999999999963)); #499984=CARTESIAN_POINT('Ctrl Pts',(2.66615995111766,34.8492736340869,-41.6137379649077)); #499985=CARTESIAN_POINT('Ctrl Pts',(2.47912020404573,34.8641759108302,-40.7806740667899)); #499986=CARTESIAN_POINT('Ctrl Pts',(1.92886523841582,34.8991001591383,-40.1142533456117)); #499987=CARTESIAN_POINT('Ctrl Pts',(1.53534229189903,34.9171479379022,-39.8184263057959)); #499988=CARTESIAN_POINT('Ctrl Pts',(1.36814032776401,34.9242431030815,-39.7073748335396)); #499989=CARTESIAN_POINT('Ctrl Pts',(1.14931558155642,34.9324043028651,-39.5853642173338)); #499990=CARTESIAN_POINT('Ctrl Pts',(0.483635062513342,34.9517187363158, -39.3189832726463)); #499991=CARTESIAN_POINT('Ctrl Pts',(-0.490036395046068,34.9568401642065, -39.2548870814404)); #499992=CARTESIAN_POINT('Ctrl Pts',(-1.54275104805838,34.9191727420488, -39.761230095599)); #499993=CARTESIAN_POINT('Ctrl Pts',(-2.08370965535185,34.8893361672686, -40.3035902092244)); #499994=CARTESIAN_POINT('Ctrl Pts',(-2.53113983776411,34.86030287583,-40.9900864560147)); #499995=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111766,34.8492736340869, -41.6137379649077)); #499996=CARTESIAN_POINT('Ctrl Pts',(-2.66615995111766,34.8492736340869, -41.9999999999963)); #499997=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.9999999999963)); #499998=CARTESIAN_POINT('Ctrl Pts',(2.5,34.6955934381631,-41.6360234136521)); #499999=CARTESIAN_POINT('Ctrl Pts',(2.3224674623515,34.7086811868877,-40.8511349579407)); #500000=CARTESIAN_POINT('Ctrl Pts',(1.80353722820435,34.7392041315487,-40.2271677662419)); #500001=CARTESIAN_POINT('Ctrl Pts',(1.43435605399395,34.7549203648084,-39.951157287122)); #500002=CARTESIAN_POINT('Ctrl Pts',(1.27761792013621,34.7610953480607,-39.8476348368346)); #500003=CARTESIAN_POINT('Ctrl Pts',(1.0727661483623,34.7681926057683,-39.7340192129363)); #500004=CARTESIAN_POINT('Ctrl Pts',(0.450439388795634,34.7849580405106, -39.4865250093489)); #500005=CARTESIAN_POINT('Ctrl Pts',(-0.456076961046241,34.7894018342147, -39.4271012550561)); #500006=CARTESIAN_POINT('Ctrl Pts',(-1.44073569054477,34.7567033327323, -39.897339313916)); #500007=CARTESIAN_POINT('Ctrl Pts',(-1.94958059993438,34.7306723156348, -40.4044395806575)); #500008=CARTESIAN_POINT('Ctrl Pts',(-2.37180910806275,34.7052790851662, -41.0484350456442)); #500009=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.6360234136521)); #500010=CARTESIAN_POINT('Ctrl Pts',(-2.5,34.6955934381631,-41.9999999999963)); #500011=CARTESIAN_POINT('Origin',(0.,0.,-71.9999999999963)); #500012=CARTESIAN_POINT('',(2.5,0.,-71.9999999999963)); #500013=CARTESIAN_POINT('Origin',(2.5,0.,-41.9999999999963)); #500014=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #500015=CARTESIAN_POINT('',(10.,25.2,-31.9999999999963)); #500016=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #500017=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #500018=CARTESIAN_POINT('',(-20.,-25.2,-31.9999999999963)); #500019=CARTESIAN_POINT('',(-25.2,-20.,-31.9999999999963)); #500020=CARTESIAN_POINT('Origin',(-20.,-20.,-31.9999999999963)); #500021=CARTESIAN_POINT('',(-10.,-25.2,-31.9999999999963)); #500022=CARTESIAN_POINT('',(-25.2,10.,-31.9999999999963)); #500023=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #500024=CARTESIAN_POINT('',(-25.2,20.,-31.9999999999963)); #500025=CARTESIAN_POINT('',(-20.,25.2,-31.9999999999963)); #500026=CARTESIAN_POINT('Origin',(-20.,20.,-31.9999999999963)); #500027=CARTESIAN_POINT('',(-25.2,10.,-31.9999999999963)); #500028=CARTESIAN_POINT('',(10.,25.2,-31.9999999999963)); #500029=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #500030=CARTESIAN_POINT('',(20.,-25.2,-31.9999999999963)); #500031=CARTESIAN_POINT('',(-10.,-25.2,-31.9999999999963)); #500032=CARTESIAN_POINT('Origin',(20.,-20.,-31.9999999999963)); #500033=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #500034=CARTESIAN_POINT('',(-10.,-25.2,-31.9999999999963)); #500035=CARTESIAN_POINT('Origin',(0.,0.,-31.9999999999963)); #500036=CARTESIAN_POINT('',(-25.2,10.,-31.9999999999963)); #500037=CARTESIAN_POINT('Origin',(20.,20.,0.)); #500038=CARTESIAN_POINT('',(20.,25.2,0.)); #500039=CARTESIAN_POINT('Origin',(20.,20.,0.)); #500040=CARTESIAN_POINT('',(20.,25.2,0.)); #500041=CARTESIAN_POINT('Origin',(-20.,20.,0.)); #500042=CARTESIAN_POINT('',(-20.,25.2,0.)); #500043=CARTESIAN_POINT('',(-20.,25.2,0.)); #500044=CARTESIAN_POINT('',(-25.2,20.,0.)); #500045=CARTESIAN_POINT('Origin',(-20.,20.,0.)); #500046=CARTESIAN_POINT('',(-25.2,20.,0.)); #500047=CARTESIAN_POINT('Origin',(20.,25.2,0.)); #500048=CARTESIAN_POINT('',(10.,25.2,0.)); #500049=CARTESIAN_POINT('Origin',(-20.,-20.,0.)); #500050=CARTESIAN_POINT('',(-25.2,-20.,0.)); #500051=CARTESIAN_POINT('',(-25.2,-20.,0.)); #500052=CARTESIAN_POINT('',(-20.,-25.2,0.)); #500053=CARTESIAN_POINT('Origin',(-20.,-20.,0.)); #500054=CARTESIAN_POINT('',(-20.,-25.2,0.)); #500055=CARTESIAN_POINT('Origin',(-25.2,20.,0.)); #500056=CARTESIAN_POINT('',(-25.2,10.,0.)); #500057=CARTESIAN_POINT('Origin',(20.,-20.,0.)); #500058=CARTESIAN_POINT('',(20.,-25.2,0.)); #500059=CARTESIAN_POINT('',(20.,-25.2,0.)); #500060=CARTESIAN_POINT('Origin',(20.,-20.,0.)); #500061=CARTESIAN_POINT('Origin',(-20.,-25.2,0.)); #500062=CARTESIAN_POINT('',(-10.,-25.2,0.)); #500063=CARTESIAN_POINT('Origin',(0.,0.,0.)); #500064=CARTESIAN_POINT('Origin',(0.,0.,5.375)); #500065=CARTESIAN_POINT('',(33.2842712474619,-4.07614762451565E-15,5.)); #500066=CARTESIAN_POINT('Origin',(0.,0.,5.)); #500067=CARTESIAN_POINT('',(34.0342712474619,4.1679961344517E-15,5.75)); #500068=CARTESIAN_POINT('',(33.6592712474619,-4.12207187948368E-15,5.375)); #500069=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #500070=CARTESIAN_POINT('Origin',(0.,0.,5.)); #500071=CARTESIAN_POINT('',(34.0342712474619,4.1679961344517E-15,10.)); #500072=CARTESIAN_POINT('Origin',(0.,0.,10.)); #500073=CARTESIAN_POINT('',(34.0342712474619,4.1679961344517E-15,5.)); #500074=CARTESIAN_POINT('Origin',(0.,0.,5.)); #500075=CARTESIAN_POINT('',(32.5500000000002,3.67394039744206E-16,5.)); #500076=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.)); #500077=CARTESIAN_POINT('',(3.,29.5500000000002,5.)); #500078=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.)); #500079=CARTESIAN_POINT('',(2.99999999999999,-29.5500000000002,5.)); #500080=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.)); #500081=CARTESIAN_POINT('',(-26.5500000000002,3.97561886977596E-15,5.)); #500082=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.)); #500083=CARTESIAN_POINT('',(-25.8000000000002,-3.1595887418002E-15,5.)); #500084=CARTESIAN_POINT('Origin',(0.,0.,5.)); #500085=CARTESIAN_POINT('Origin',(0.,0.,10.)); #500086=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,10.)); #500087=CARTESIAN_POINT('Origin',(0.,0.,10.)); #500088=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #500089=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,2.)); #500090=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #500091=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,0.)); #500092=CARTESIAN_POINT('',(1.69999999999999,-29.5500000000002,2.)); #500093=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 0.)); #500094=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #500095=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,2.)); #500096=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #500097=CARTESIAN_POINT('',(-27.8500000000002,3.81641478588681E-15,0.)); #500098=CARTESIAN_POINT('',(-27.8500000000002,3.40003487417671E-15,2.)); #500099=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 0.)); #500100=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #500101=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,2.)); #500102=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #500103=CARTESIAN_POINT('',(31.2500000000002,2.0818995585505E-16,0.)); #500104=CARTESIAN_POINT('',(31.2500000000002,-2.0818995585505E-16,2.)); #500105=CARTESIAN_POINT('Origin',(29.5500000000002,0.,0.)); #500106=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #500107=CARTESIAN_POINT('',(1.7,29.5500000000002,2.)); #500108=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #500109=CARTESIAN_POINT('',(1.7,29.5500000000002,0.)); #500110=CARTESIAN_POINT('',(1.7,29.5500000000002,2.)); #500111=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 0.)); #500112=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.)); #500113=CARTESIAN_POINT('',(32.5500000000002,3.67394039744206E-16,2.)); #500114=CARTESIAN_POINT('',(32.5500000000002,-3.67394039744206E-16,5.)); #500115=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #500116=CARTESIAN_POINT('Origin',(29.5500000000002,0.,2.)); #500117=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.)); #500118=CARTESIAN_POINT('',(3.,29.5500000000002,2.)); #500119=CARTESIAN_POINT('',(3.,29.5500000000002,5.)); #500120=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 2.)); #500121=CARTESIAN_POINT('Origin',(2.22044604925031E-15,29.5500000000002, 2.)); #500122=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.)); #500123=CARTESIAN_POINT('',(2.99999999999999,-29.5500000000002,2.)); #500124=CARTESIAN_POINT('',(2.99999999999999,-29.5500000000002,5.)); #500125=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 2.)); #500126=CARTESIAN_POINT('Origin',(-5.55111512312578E-15,-29.5500000000002, 2.)); #500127=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.)); #500128=CARTESIAN_POINT('',(-26.5500000000002,3.97561886977596E-15,2.)); #500129=CARTESIAN_POINT('',(-26.5500000000002,3.24083079028755E-15,5.)); #500130=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 2.)); #500131=CARTESIAN_POINT('Origin',(-29.5500000000002,3.33066907387547E-15, 2.)); #500132=CARTESIAN_POINT('Origin',(0.,0.,0.)); #500133=CARTESIAN_POINT('',(-25.8000000000002,-3.1595887418002E-15,0.)); #500134=CARTESIAN_POINT('',(-25.8000000000002,-3.1595887418002E-15,0.)); #500135=CARTESIAN_POINT('Origin',(0.,0.,0.)); #500136=CARTESIAN_POINT('Origin',(0.,0.,0.)); #500137=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #500138=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #500139=CARTESIAN_POINT('Origin',(0.,0.,0.)); #500140=CARTESIAN_POINT('Origin',(0.,0.,0.)); #500141=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #500142=CARTESIAN_POINT('',(-29.5,-3.96768689426739E-15,-164.399999999996)); #500143=CARTESIAN_POINT('',(-29.5,-3.61270805748469E-15,-166.399999999996)); #500144=CARTESIAN_POINT('',(-29.5,-3.61270805748469E-15,-169.399999999996)); #500145=CARTESIAN_POINT('',(-15.0608100817035,-25.3657643228596,-164.399999999996)); #500146=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500147=CARTESIAN_POINT('',(-15.0608100817035,-25.3657643228596,-166.399999999996)); #500148=CARTESIAN_POINT('',(-15.0608100817035,-25.3657643228596,-169.399999999996)); #500149=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #500150=CARTESIAN_POINT('Origin',(0.,0.,-109.399999999996)); #500151=CARTESIAN_POINT('',(-29.2342712474619,-3.58016567086097E-15,-76.9999999999963)); #500152=CARTESIAN_POINT('Origin',(0.,0.,-76.9999999999963)); #500153=CARTESIAN_POINT('',(-29.2342712474619,-8.32667268468867E-15,-109.849999999996)); #500154=CARTESIAN_POINT('',(-29.2342712474619,-3.58016567086097E-15,-109.399999999996)); #500155=CARTESIAN_POINT('',(-28.2381376106634,-7.56638616853618,-108.853866363198)); #500156=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525337)); #500157=CARTESIAN_POINT('',(-25.317621561427,-14.617135623731,-109.849999999996)); #500158=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500159=CARTESIAN_POINT('',(-20.6717514421272,-20.6717514421272,-108.853866363198)); #500160=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500161=CARTESIAN_POINT('',(-14.6171356237309,-25.317621561427,-109.849999999996)); #500162=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500163=CARTESIAN_POINT('',(-7.5663861685362,-28.2381376106634,-108.853866363198)); #500164=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500165=CARTESIAN_POINT('',(1.66533453693773E-14,-29.2342712474619,-109.849999999996)); #500166=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500167=CARTESIAN_POINT('',(7.56638616853624,-28.2381376106634,-108.853866363198)); #500168=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500169=CARTESIAN_POINT('',(14.617135623731,-25.317621561427,-109.849999999996)); #500170=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525336)); #500171=CARTESIAN_POINT('',(20.6717514421272,-20.6717514421272,-108.853866363198)); #500172=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525336)); #500173=CARTESIAN_POINT('',(25.317621561427,-14.6171356237309,-109.849999999996)); #500174=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #500175=CARTESIAN_POINT('',(28.2381376106634,-7.56638616853616,-108.853866363198)); #500176=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #500177=CARTESIAN_POINT('',(29.2342712474619,1.66533453693773E-14,-109.849999999996)); #500178=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500179=CARTESIAN_POINT('',(28.2381376106634,7.56638616853618,-108.853866363198)); #500180=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500181=CARTESIAN_POINT('',(25.317621561427,14.617135623731,-109.849999999996)); #500182=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500183=CARTESIAN_POINT('',(20.6717514421272,20.6717514421272,-108.853866363198)); #500184=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500185=CARTESIAN_POINT('',(14.6171356237309,25.317621561427,-109.849999999996)); #500186=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525345)); #500187=CARTESIAN_POINT('',(7.56638616853615,28.2381376106634,-108.853866363198)); #500188=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525345)); #500189=CARTESIAN_POINT('',(-1.66533453693773E-14,29.2342712474619,-109.849999999996)); #500190=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500191=CARTESIAN_POINT('',(-7.56638616853621,28.2381376106634,-108.853866363198)); #500192=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500193=CARTESIAN_POINT('',(-14.617135623731,25.317621561427,-109.849999999996)); #500194=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500195=CARTESIAN_POINT('',(-20.6717514421272,20.6717514421272,-108.853866363198)); #500196=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525339)); #500197=CARTESIAN_POINT('',(-25.317621561427,14.6171356237309,-109.849999999996)); #500198=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #500199=CARTESIAN_POINT('',(-28.2381376106634,7.5663861685361,-108.853866363198)); #500200=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525342)); #500201=CARTESIAN_POINT('Origin',(0.,0.,-80.6157287525337)); #500202=CARTESIAN_POINT('Origin',(29.2342712474619,-7.84669683169135,-139.399999999996)); #500203=CARTESIAN_POINT('',(29.2342712474619,-7.83329937206979,-109.849999999996)); #500204=CARTESIAN_POINT('',(29.2342712474619,-5.88502262376851,-109.849999999996)); #500205=CARTESIAN_POINT('',(29.2342712474619,-7.83329937206978,-137.381273426679)); #500206=CARTESIAN_POINT('',(29.2342712474619,-7.83329937206978,-139.399999999996)); #500207=CARTESIAN_POINT('',(29.2342712474619,7.83329937206984,-137.381273426679)); #500208=CARTESIAN_POINT('Ctrl Pts',(29.2342712474619,-7.83329937206951, -137.381273426679)); #500209=CARTESIAN_POINT('Ctrl Pts',(29.2342712474619,3.33066907387547E-14, -135.353866363198)); #500210=CARTESIAN_POINT('Ctrl Pts',(29.2342712474619,7.83329937206958,-137.381273426679)); #500211=CARTESIAN_POINT('',(29.2342712474619,7.83329937206984,-109.849999999996)); #500212=CARTESIAN_POINT('',(29.2342712474619,7.83329937206984,-139.399999999996)); #500213=CARTESIAN_POINT('',(29.2342712474619,-5.88502262376851,-109.849999999996)); #500214=CARTESIAN_POINT('Origin',(7.8216968316914,-29.2409699772727,-139.399999999996)); #500215=CARTESIAN_POINT('',(7.83329937206984,-29.2342712474619,-109.849999999996)); #500216=CARTESIAN_POINT('',(9.52055652970129,-28.2601328733113,-109.849999999996)); #500217=CARTESIAN_POINT('',(7.83329937206984,-29.2342712474619,-137.381273426679)); #500218=CARTESIAN_POINT('',(7.83329937206984,-29.2342712474619,-139.399999999996)); #500219=CARTESIAN_POINT('',(21.4009718753921,-21.4009718753921,-137.381273426679)); #500220=CARTESIAN_POINT('Ctrl Pts',(7.83329937207008,-29.2342712474618, -137.381273426679)); #500221=CARTESIAN_POINT('Ctrl Pts',(14.617135623731,-25.317621561427,-135.353866363198)); #500222=CARTESIAN_POINT('Ctrl Pts',(21.4009718753919,-21.4009718753922, -137.381273426679)); #500223=CARTESIAN_POINT('',(21.4009718753921,-21.4009718753921,-109.849999999996)); #500224=CARTESIAN_POINT('',(21.4009718753921,-21.4009718753921,-139.399999999996)); #500225=CARTESIAN_POINT('',(9.52055652970129,-28.2601328733113,-109.849999999996)); #500226=CARTESIAN_POINT('Origin',(21.3942731455813,-21.4125744157705,-139.399999999996)); #500227=CARTESIAN_POINT('',(22.3751102495428,-19.7137147177606,-109.849999999996)); #500228=CARTESIAN_POINT('Ctrl Pts',(21.4009718753923,-21.4009718753918, -137.381273426679)); #500229=CARTESIAN_POINT('Ctrl Pts',(25.317621561427,-14.6171356237309,-135.353866363198)); #500230=CARTESIAN_POINT('Ctrl Pts',(29.2342712474618,-7.83329937207002, -137.381273426679)); #500231=CARTESIAN_POINT('',(22.3751102495428,-19.7137147177606,-109.849999999996)); #500232=CARTESIAN_POINT('Origin',(0.,0.,-139.899999999996)); #500233=CARTESIAN_POINT('',(-21.4009718753921,-21.4009718753921,-137.381273426679)); #500234=CARTESIAN_POINT('',(-7.8332993720698,-29.2342712474619,-137.381273426679)); #500235=CARTESIAN_POINT('Ctrl Pts',(-21.4009718753919,-21.4009718753922, -137.381273426679)); #500236=CARTESIAN_POINT('Ctrl Pts',(-14.6171356237309,-25.317621561427, -135.353866363198)); #500237=CARTESIAN_POINT('Ctrl Pts',(-7.83329937207003,-29.2342712474618, -137.381273426679)); #500238=CARTESIAN_POINT('',(-29.2342712474619,-7.83329937206983,-137.381273426679)); #500239=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474618,-7.83329937207008, -137.381273426679)); #500240=CARTESIAN_POINT('Ctrl Pts',(-25.317621561427,-14.617135623731,-135.353866363198)); #500241=CARTESIAN_POINT('Ctrl Pts',(-21.4009718753922,-21.4009718753919, -137.381273426679)); #500242=CARTESIAN_POINT('',(-29.2342712474619,3.34878229042611E-15,-136.349999999996)); #500243=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474619,3.11739890999124E-15, -136.349999999996)); #500244=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474619,-3.84876482487564, -136.349999999996)); #500245=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474619,-7.83329937206957, -137.381273426679)); #500246=CARTESIAN_POINT('',(-33.2342712474619,4.07002439051991E-15,-140.349999999996)); #500247=CARTESIAN_POINT('',(-32.7842712474619,4.01491528455828E-15,-139.899999999996)); #500248=CARTESIAN_POINT('Origin',(0.,0.,-140.349999999996)); #500249=CARTESIAN_POINT('',(-29.2342712474619,7.8332993720698,-137.381273426679)); #500250=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474619,7.83329937206952, -137.381273426679)); #500251=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474619,3.84876482487562, -136.349999999996)); #500252=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474619,3.11739890999124E-15, -136.349999999996)); #500253=CARTESIAN_POINT('',(-21.4009718753921,21.4009718753921,-137.381273426679)); #500254=CARTESIAN_POINT('Ctrl Pts',(-21.4009718753923,21.4009718753918, -137.381273426679)); #500255=CARTESIAN_POINT('Ctrl Pts',(-25.317621561427,14.6171356237309,-135.353866363198)); #500256=CARTESIAN_POINT('Ctrl Pts',(-29.2342712474618,7.83329937207003, -137.381273426679)); #500257=CARTESIAN_POINT('',(-7.83329937206983,29.2342712474619,-137.381273426679)); #500258=CARTESIAN_POINT('Ctrl Pts',(-7.83329937207008,29.2342712474618, -137.381273426679)); #500259=CARTESIAN_POINT('Ctrl Pts',(-14.617135623731,25.317621561427,-135.353866363198)); #500260=CARTESIAN_POINT('Ctrl Pts',(-21.4009718753919,21.4009718753922, -137.381273426679)); #500261=CARTESIAN_POINT('',(7.8332993720698,29.2342712474619,-137.381273426679)); #500262=CARTESIAN_POINT('Ctrl Pts',(7.83329937206952,29.2342712474619,-137.381273426679)); #500263=CARTESIAN_POINT('Ctrl Pts',(-2.66453525910038E-14,29.2342712474619, -135.353866363198)); #500264=CARTESIAN_POINT('Ctrl Pts',(-7.83329937206956,29.2342712474619, -137.381273426679)); #500265=CARTESIAN_POINT('',(21.4009718753921,21.4009718753921,-137.381273426679)); #500266=CARTESIAN_POINT('Ctrl Pts',(21.4009718753918,21.4009718753923,-137.381273426679)); #500267=CARTESIAN_POINT('Ctrl Pts',(14.6171356237309,25.317621561427,-135.353866363198)); #500268=CARTESIAN_POINT('Ctrl Pts',(7.83329937207002,29.2342712474618,-137.381273426679)); #500269=CARTESIAN_POINT('Ctrl Pts',(29.2342712474618,7.83329937207008,-137.381273426679)); #500270=CARTESIAN_POINT('Ctrl Pts',(25.317621561427,14.617135623731,-135.353866363198)); #500271=CARTESIAN_POINT('Ctrl Pts',(21.4009718753922,21.4009718753919,-137.381273426679)); #500272=CARTESIAN_POINT('Ctrl Pts',(-7.83329937206952,-29.2342712474619, -137.381273426679)); #500273=CARTESIAN_POINT('Ctrl Pts',(2.1094237467878E-14,-29.2342712474619, -135.353866363198)); #500274=CARTESIAN_POINT('Ctrl Pts',(7.83329937206958,-29.2342712474619, -137.381273426679)); #500275=CARTESIAN_POINT('Origin',(-11.1194162277112,27.1060906885929,-109.649999999996)); #500276=CARTESIAN_POINT('',(-7.83329937206983,29.2342712474619,-109.849999999996)); #500277=CARTESIAN_POINT('',(-7.51010875899587,28.0281074589405,-108.643836211475)); #500278=CARTESIAN_POINT('',(-9.52055652970129,28.2601328733113,-109.849999999996)); #500279=CARTESIAN_POINT('Origin',(-23.1827422727473,17.9148550197507,-109.649999999996)); #500280=CARTESIAN_POINT('',(-21.4009718753921,21.4009718753921,-109.849999999996)); #500281=CARTESIAN_POINT('',(-20.5179986999446,20.5179986999447,-108.643836211475)); #500282=CARTESIAN_POINT('',(-22.3751102495428,19.7137147177606,-109.849999999996)); #500283=CARTESIAN_POINT('Origin',(-29.0342712474619,3.92334841584567,-109.649999999996)); #500284=CARTESIAN_POINT('',(-29.2342712474619,7.83329937206979,-109.849999999996)); #500285=CARTESIAN_POINT('',(-28.0281074589406,7.51010875899578,-108.643836211475)); #500286=CARTESIAN_POINT('',(-29.2342712474619,5.88502262376851,-109.849999999996)); #500287=CARTESIAN_POINT('Origin',(-27.106090688593,-11.1194162277112,-109.649999999996)); #500288=CARTESIAN_POINT('',(-29.2342712474619,-7.83329937206983,-109.849999999996)); #500289=CARTESIAN_POINT('',(-28.0281074589405,-7.51010875899583,-108.643836211475)); #500290=CARTESIAN_POINT('',(-28.2601328733113,-9.52055652970128,-109.849999999996)); #500291=CARTESIAN_POINT('Origin',(-17.9148550197507,-23.1827422727473,-109.649999999996)); #500292=CARTESIAN_POINT('',(-21.4009718753921,-21.4009718753921,-109.849999999996)); #500293=CARTESIAN_POINT('',(-20.5179986999447,-20.5179986999447,-108.643836211475)); #500294=CARTESIAN_POINT('',(-19.7137147177606,-22.3751102495428,-109.849999999996)); #500295=CARTESIAN_POINT('Origin',(-3.92334841584567,-29.0342712474619,-109.649999999996)); #500296=CARTESIAN_POINT('',(-7.8332993720698,-29.2342712474619,-109.849999999996)); #500297=CARTESIAN_POINT('',(-7.5101087589958,-28.0281074589405,-108.643836211475)); #500298=CARTESIAN_POINT('',(-5.88502262376851,-29.2342712474619,-109.849999999996)); #500299=CARTESIAN_POINT('Origin',(11.1194162277112,-27.1060906885929,-109.649999999996)); #500300=CARTESIAN_POINT('',(7.5101087589959,-28.0281074589405,-108.643836211475)); #500301=CARTESIAN_POINT('Origin',(23.1827422727473,-17.9148550197507,-109.649999999996)); #500302=CARTESIAN_POINT('',(20.5179986999446,-20.5179986999446,-108.643836211475)); #500303=CARTESIAN_POINT('Origin',(29.0342712474619,-3.92334841584566,-109.649999999996)); #500304=CARTESIAN_POINT('',(28.0281074589405,-7.51010875899581,-108.643836211475)); #500305=CARTESIAN_POINT('Origin',(27.1060906885929,11.1194162277112,-109.649999999996)); #500306=CARTESIAN_POINT('',(28.0281074589405,7.51010875899587,-108.643836211475)); #500307=CARTESIAN_POINT('',(28.2601328733113,9.52055652970129,-109.849999999996)); #500308=CARTESIAN_POINT('Origin',(17.9148550197507,23.1827422727473,-109.649999999996)); #500309=CARTESIAN_POINT('',(21.4009718753921,21.4009718753921,-109.849999999996)); #500310=CARTESIAN_POINT('',(20.5179986999446,20.5179986999446,-108.643836211475)); #500311=CARTESIAN_POINT('',(19.7137147177606,22.3751102495428,-109.849999999996)); #500312=CARTESIAN_POINT('Origin',(3.92334841584567,29.0342712474619,-109.649999999996)); #500313=CARTESIAN_POINT('',(7.83329937206979,29.2342712474619,-109.849999999996)); #500314=CARTESIAN_POINT('',(7.5101087589958,28.0281074589406,-108.643836211475)); #500315=CARTESIAN_POINT('',(5.88502262376851,29.2342712474619,-109.849999999996)); #500316=CARTESIAN_POINT('Origin',(3.92334841584567,29.0342712474619,-109.649999999996)); #500317=CARTESIAN_POINT('',(5.88502262376851,29.2342712474619,-109.849999999996)); #500318=CARTESIAN_POINT('Origin',(-11.1194162277112,27.1060906885929,-109.649999999996)); #500319=CARTESIAN_POINT('',(-9.52055652970129,28.2601328733113,-109.849999999996)); #500320=CARTESIAN_POINT('Origin',(-23.1827422727473,17.9148550197507,-109.649999999996)); #500321=CARTESIAN_POINT('',(-22.3751102495428,19.7137147177606,-109.849999999996)); #500322=CARTESIAN_POINT('Origin',(-29.0342712474619,3.92334841584567,-109.649999999996)); #500323=CARTESIAN_POINT('',(-29.2342712474619,5.88502262376851,-109.849999999996)); #500324=CARTESIAN_POINT('Origin',(-27.106090688593,-11.1194162277112,-109.649999999996)); #500325=CARTESIAN_POINT('',(-28.2601328733113,-9.52055652970128,-109.849999999996)); #500326=CARTESIAN_POINT('Origin',(-17.9148550197507,-23.1827422727473,-109.649999999996)); #500327=CARTESIAN_POINT('',(-19.7137147177606,-22.3751102495428,-109.849999999996)); #500328=CARTESIAN_POINT('Origin',(-3.92334841584567,-29.0342712474619,-109.649999999996)); #500329=CARTESIAN_POINT('',(-5.88502262376851,-29.2342712474619,-109.849999999996)); #500330=CARTESIAN_POINT('Origin',(11.1194162277112,-27.1060906885929,-109.649999999996)); #500331=CARTESIAN_POINT('Origin',(23.1827422727473,-17.9148550197507,-109.649999999996)); #500332=CARTESIAN_POINT('Origin',(29.0342712474619,-3.92334841584566,-109.649999999996)); #500333=CARTESIAN_POINT('Origin',(27.1060906885929,11.1194162277112,-109.649999999996)); #500334=CARTESIAN_POINT('',(28.2601328733113,9.52055652970129,-109.849999999996)); #500335=CARTESIAN_POINT('Origin',(17.9148550197507,23.1827422727473,-109.649999999996)); #500336=CARTESIAN_POINT('',(19.7137147177606,22.3751102495428,-109.849999999996)); #500337=CARTESIAN_POINT('Origin',(-7.82169683169139,29.2409699772727,-139.399999999996)); #500338=CARTESIAN_POINT('',(-7.83329937206983,29.2342712474619,-139.399999999996)); #500339=CARTESIAN_POINT('',(-21.4009718753921,21.4009718753921,-139.399999999996)); #500340=CARTESIAN_POINT('Origin',(-21.3942731455813,21.4125744157705,-139.399999999996)); #500341=CARTESIAN_POINT('',(-29.2342712474619,7.8332993720698,-139.399999999996)); #500342=CARTESIAN_POINT('Origin',(-29.2342712474619,7.84669683169135,-139.399999999996)); #500343=CARTESIAN_POINT('',(-29.2342712474619,-7.83329937206983,-139.399999999996)); #500344=CARTESIAN_POINT('Origin',(-29.2409699772727,-7.82169683169138,-139.399999999996)); #500345=CARTESIAN_POINT('',(-21.4009718753921,-21.4009718753921,-139.399999999996)); #500346=CARTESIAN_POINT('Origin',(-21.4125744157705,-21.3942731455813,-139.399999999996)); #500347=CARTESIAN_POINT('',(-7.8332993720698,-29.2342712474619,-139.399999999996)); #500348=CARTESIAN_POINT('Origin',(-7.84669683169135,-29.2342712474619,-139.399999999996)); #500349=CARTESIAN_POINT('Origin',(29.2409699772727,7.8216968316914,-139.399999999996)); #500350=CARTESIAN_POINT('',(21.4009718753921,21.4009718753921,-139.399999999996)); #500351=CARTESIAN_POINT('Origin',(21.4125744157705,21.3942731455813,-139.399999999996)); #500352=CARTESIAN_POINT('',(7.8332993720698,29.2342712474619,-139.399999999996)); #500353=CARTESIAN_POINT('Origin',(7.84669683169135,29.2342712474619,-139.399999999996)); #500354=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #500355=CARTESIAN_POINT('',(-33.2342712474619,-4.07002439051991E-15,-169.399999999996)); #500356=CARTESIAN_POINT('',(-33.2342712474619,-4.07002439051991E-15,-169.399999999996)); #500357=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #500358=CARTESIAN_POINT('Origin',(10.5487159321678,5.22427857925732,-126.58333333333)); #500359=CARTESIAN_POINT('',(21.3809255133853,9.45753175472823,-124.83333333333)); #500360=CARTESIAN_POINT('',(19.630925513387,12.4886206679747,-128.33333333333)); #500361=CARTESIAN_POINT('',(20.0684255133889,11.7308484396612,-127.458333333327)); #500362=CARTESIAN_POINT('',(18.9381051903618,12.0886206679761,-128.33333333333)); #500363=CARTESIAN_POINT('',(18.764900109605,11.9886206679761,-128.33333333333)); #500364=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-124.83333333333)); #500365=CARTESIAN_POINT('',(26.9172718570285,-1.73170140075062,-112.374999999996)); #500366=CARTESIAN_POINT('',(11.4237159321679,3.70873412263457,-124.83333333333)); #500367=CARTESIAN_POINT('Origin',(9.25745005480539,6.21081668492956,-100.416666666663)); #500368=CARTESIAN_POINT('',(20.6309255133866,10.7565698604056,-102.166666666663)); #500369=CARTESIAN_POINT('',(18.8809255133895,13.7876587736527,-98.666666666663)); #500370=CARTESIAN_POINT('',(19.7559255133902,12.2721143170254,-100.416666666667)); #500371=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-98.666666666663)); #500372=CARTESIAN_POINT('',(8.38245005480472,7.72636114155195,-98.666666666663)); #500373=CARTESIAN_POINT('',(19.9381051903618,10.3565698604072,-102.166666666663)); #500374=CARTESIAN_POINT('',(17.7297718570293,14.1815153937871,-97.7499999999975)); #500375=CARTESIAN_POINT('',(19.764900109605,10.2565698604072,-102.166666666663)); #500376=CARTESIAN_POINT('Origin',(18.0149001096052,13.2876587736523,-78.9999999999963)); #500377=CARTESIAN_POINT('',(18.8809255133895,13.7876587736527,-107.666666666663)); #500378=CARTESIAN_POINT('',(18.8809255133895,13.7876587736527,-90.5833333333297)); #500379=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-107.666666666663)); #500380=CARTESIAN_POINT('',(8.38245005480472,7.72636114155195,-107.666666666663)); #500381=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-154.399999999996)); #500382=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-78.9999999999963)); #500383=CARTESIAN_POINT('',(19.0974318643355,13.9126587736528,-154.399999999996)); #500384=CARTESIAN_POINT('',(8.38245005480472,7.72636114155195,-154.399999999996)); #500385=CARTESIAN_POINT('',(19.0974318643355,13.9126587736528,-81.4999999999963)); #500386=CARTESIAN_POINT('',(19.0974318643355,13.9126587736528,-78.9999999999963)); #500387=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-82.5499999999963)); #500388=CARTESIAN_POINT('',(19.6386977416999,14.2251587736526,-80.8749999999971)); #500389=CARTESIAN_POINT('',(18.1881051903621,13.3876587736524,-78.9999999999963)); #500390=CARTESIAN_POINT('Origin',(9.25745005480539,6.21081668492956,-105.916666666663)); #500391=CARTESIAN_POINT('',(20.6309255133866,10.7565698604056,-104.166666666663)); #500392=CARTESIAN_POINT('',(20.0059255133897,11.839101615133,-105.416666666659)); #500393=CARTESIAN_POINT('',(19.9381051903618,10.3565698604072,-104.166666666663)); #500394=CARTESIAN_POINT('',(19.764900109605,10.2565698604072,-104.166666666663)); #500395=CARTESIAN_POINT('',(23.771438523696,3.71704176472515,-96.4999999999952)); #500396=CARTESIAN_POINT('Origin',(10.5487159321678,5.22427857925732,-132.08333333333)); #500397=CARTESIAN_POINT('',(19.630925513387,12.4886206679747,-130.33333333333)); #500398=CARTESIAN_POINT('',(21.3809255133853,9.45753175472823,-133.83333333333)); #500399=CARTESIAN_POINT('',(19.8184255133893,12.1638611415537,-130.708333333332)); #500400=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-133.83333333333)); #500401=CARTESIAN_POINT('',(11.4237159321679,3.70873412263457,-133.83333333333)); #500402=CARTESIAN_POINT('',(18.9381051903618,12.0886206679761,-130.33333333333)); #500403=CARTESIAN_POINT('',(14.3339385236952,20.0632712611578,-121.124999999996)); #500404=CARTESIAN_POINT('',(18.764900109605,11.9886206679761,-130.33333333333)); #500405=CARTESIAN_POINT('Origin',(21.5974318643355,9.58253175473057,-78.9999999999963)); #500406=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-82.5499999999963)); #500407=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-78.9999999999963)); #500408=CARTESIAN_POINT('',(21.5974318643355,9.58253175473057,-81.4999999999963)); #500409=CARTESIAN_POINT('',(22.4093306803834,10.0512817547306,-80.5624999999963)); #500410=CARTESIAN_POINT('',(21.5974318643355,9.58253175473057,-154.399999999996)); #500411=CARTESIAN_POINT('',(21.5974318643355,9.58253175473057,-78.9999999999963)); #500412=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-154.399999999996)); #500413=CARTESIAN_POINT('',(11.4237159321679,3.70873412263457,-154.399999999996)); #500414=CARTESIAN_POINT('',(20.6881051903619,9.05753175473055,-78.9999999999963)); #500415=CARTESIAN_POINT('',(21.3809255133853,9.45753175472823,-103.666666666663)); #500416=CARTESIAN_POINT('Origin',(23.3886977417008,7.72996824526949,-80.8749999999963)); #500417=CARTESIAN_POINT('',(22.5124953737966,12.9975952641917,-78.9999999999963)); #500418=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-81.4999999999963)); #500419=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #500420=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #500421=CARTESIAN_POINT('',(15.6881051903618,17.717785792575,-82.5499999999963)); #500422=CARTESIAN_POINT('',(17.9505965577486,19.0240357925751,-79.9374999999964)); #500423=CARTESIAN_POINT('',(23.1881051903618,4.72740473580838,-82.5499999999963)); #500424=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-78.9999999999963)); #500425=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-154.399999999996)); #500426=CARTESIAN_POINT('Origin',(20.3474318643355,11.7475952641917,-81.4999999999963)); #500427=CARTESIAN_POINT('Origin',(23.3886977417008,7.72996824526949,-80.8749999999963)); #500428=CARTESIAN_POINT('',(23.1881051903619,4.72740473580828,-82.5499999999963)); #500429=CARTESIAN_POINT('',(23.1881051903618,4.72740473580838,-82.5499999999963)); #500430=CARTESIAN_POINT('',(26.2624953737967,6.50240473580823,-78.9999999999963)); #500431=CARTESIAN_POINT('',(23.2855330482876,4.78365473580827,-82.4374999999963)); #500432=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #500433=CARTESIAN_POINT('Origin',(24.4381051903618,2.56234122634727,-78.9999999999963)); #500434=CARTESIAN_POINT('',(23.1881051903619,4.72740473580828,-78.9999999999963)); #500435=CARTESIAN_POINT('',(23.1881051903619,4.72740473580828,-78.9999999999963)); #500436=CARTESIAN_POINT('',(18.9381051903618,12.0886206679761,-103.666666666663)); #500437=CARTESIAN_POINT('',(24.4795265461627,2.49059733358179,-154.399999999996)); #500438=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-154.399999999996)); #500439=CARTESIAN_POINT('',(24.4795265461627,2.49059733358179,-78.9999999999963)); #500440=CARTESIAN_POINT('',(24.4795265461627,2.4905973335818,-78.9999999999963)); #500441=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-78.9999999999963)); #500442=CARTESIAN_POINT('Origin',(17.6022413359522,1.50240473580838,-78.9999999999963)); #500443=CARTESIAN_POINT('',(26.2624953737967,6.50240473580823,-76.9999999999963)); #500444=CARTESIAN_POINT('',(17.6022413359522,1.50240473580838,-76.9999999999963)); #500445=CARTESIAN_POINT('',(10.676120667976,-2.49639289628737,-76.9999999999963)); #500446=CARTESIAN_POINT('',(26.2624953737967,6.50240473580823,-78.9999999999963)); #500447=CARTESIAN_POINT('',(17.6022413359522,1.50240473580838,-78.9999999999963)); #500448=CARTESIAN_POINT('',(10.676120667976,-2.49639289628737,-78.9999999999963)); #500449=CARTESIAN_POINT('',(17.6022413359522,1.50240473580838,-78.9999999999963)); #500450=CARTESIAN_POINT('Origin',(10.1022413359522,14.4927857925749,-78.9999999999963)); #500451=CARTESIAN_POINT('',(10.1022413359522,14.4927857925749,-76.9999999999963)); #500452=CARTESIAN_POINT('',(11.9772413359522,11.2451905283833,-76.9999999999963)); #500453=CARTESIAN_POINT('',(10.1022413359522,14.4927857925749,-78.9999999999963)); #500454=CARTESIAN_POINT('',(11.9772413359522,11.2451905283833,-78.9999999999963)); #500455=CARTESIAN_POINT('',(10.1022413359522,14.4927857925749,-78.9999999999963)); #500456=CARTESIAN_POINT('Origin',(18.7624953737965,19.4927857925751,-78.9999999999963)); #500457=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-76.9999999999963)); #500458=CARTESIAN_POINT('',(7.50624768689828,12.993988160479,-76.9999999999963)); #500459=CARTESIAN_POINT('',(15.6881051903618,17.717785792575,-78.9999999999963)); #500460=CARTESIAN_POINT('',(7.50624768689828,12.993988160479,-78.9999999999963)); #500461=CARTESIAN_POINT('',(15.6881051903618,17.717785792575,-78.9999999999963)); #500462=CARTESIAN_POINT('',(18.7624953737965,19.4927857925751,-78.9999999999963)); #500463=CARTESIAN_POINT('Origin',(26.2624953737967,6.50240473580823,-78.9999999999963)); #500464=CARTESIAN_POINT('',(24.3874953737966,9.74999999999994,-76.9999999999963)); #500465=CARTESIAN_POINT('Origin',(19.6309255133882,12.4886206679754,-128.33333333333)); #500466=CARTESIAN_POINT('',(19.630925513387,12.4886206679747,-128.33333333333)); #500467=CARTESIAN_POINT('Origin',(18.764900109605,11.9886206679761,-128.33333333333)); #500468=CARTESIAN_POINT('Origin',(18.8809255133896,13.7876587736525,-102.166666666663)); #500469=CARTESIAN_POINT('',(20.6309255133866,10.7565698604056,-102.166666666663)); #500470=CARTESIAN_POINT('Origin',(20.6309255133866,10.7565698604056,-102.166666666663)); #500471=CARTESIAN_POINT('',(19.9381051903619,10.3565698604072,-90.5833333333297)); #500472=CARTESIAN_POINT('Origin',(0.,0.,-154.399999999996)); #500473=CARTESIAN_POINT('',(-29.5,3.61270805748469E-15,-154.399999999996)); #500474=CARTESIAN_POINT('Origin',(0.,0.,-154.399999999996)); #500475=CARTESIAN_POINT('',(14.396683834561,19.9545931948015,-154.399999999996)); #500476=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-154.399999999996)); #500477=CARTESIAN_POINT('',(13.0306584436813,20.3206186060365,-154.399999999996)); #500478=CARTESIAN_POINT('',(10.1348536764706,21.0965471779734,-154.399999999996)); #500479=CARTESIAN_POINT('',(12.9470797994822,20.4653810642172,-154.399999999996)); #500480=CARTESIAN_POINT('',(15.8220797994822,15.4857349924566,-154.399999999996)); #500481=CARTESIAN_POINT('',(-12.9470797994821,20.4653810642173,-154.399999999996)); #500482=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, -154.399999999996)); #500483=CARTESIAN_POINT('',(-24.1970797994822,0.979809479067273,-154.399999999996)); #500484=CARTESIAN_POINT('',(-15.7595797994822,15.5939881679298,-154.399999999996)); #500485=CARTESIAN_POINT('',(-11.2500000000001,-21.4451905432845,-154.399999999996)); #500486=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-154.399999999996)); #500487=CARTESIAN_POINT('',(11.2500000000001,-21.4451905432845,-154.399999999996)); #500488=CARTESIAN_POINT('',(-5.62500000000005,-21.4451905432845,-154.399999999996)); #500489=CARTESIAN_POINT('',(24.1970797994822,0.979809479067229,-154.399999999996)); #500490=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,-154.399999999996)); #500491=CARTESIAN_POINT('',(24.1135011552831,1.12457193724793,-154.399999999996)); #500492=CARTESIAN_POINT('',(21.3845797994824,5.85120237535461,-154.399999999996)); #500493=CARTESIAN_POINT('',(23.1545599310573,-2.45424553969197,-154.399999999996)); #500494=CARTESIAN_POINT('Origin',(24.4381051903618,2.56234122634727,-78.9999999999963)); #500495=CARTESIAN_POINT('',(14.396683834561,19.9545931948015,-78.9999999999963)); #500496=CARTESIAN_POINT('',(21.9381051903618,6.89246824526947,-78.9999999999963)); #500497=CARTESIAN_POINT('',(14.396683834561,19.9545931948015,-78.9999999999963)); #500498=CARTESIAN_POINT('Origin',(-22.0939977172963,-22.6242913870588,-164.399999999996)); #500499=CARTESIAN_POINT('',(-22.090908522513,-23.151495862038,-159.399999999996)); #500500=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-159.399999999996)); #500501=CARTESIAN_POINT('',(-22.0875230305998,-23.729266644341,-159.399999999996)); #500502=CARTESIAN_POINT('',(-22.090908522513,-23.151495862038,-164.399999999996)); #500503=CARTESIAN_POINT('',(-22.090908522513,-23.151495862038,-164.399999999996)); #500504=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #500505=CARTESIAN_POINT('',(-22.0875230305998,-23.729266644341,-164.399999999996)); #500506=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-162.899999999996)); #500507=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #500508=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-160.899999999996)); #500509=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #500510=CARTESIAN_POINT('',(-22.099179469717,-21.7399693368054,-164.399999999996)); #500511=CARTESIAN_POINT('Origin',(30.6402099424626,-7.82181760080459,-164.399999999996)); #500512=CARTESIAN_POINT('',(31.0952378133917,-7.5555400421554,-159.399999999996)); #500513=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-159.399999999996)); #500514=CARTESIAN_POINT('',(31.5659654632954,-7.28007513678806,-159.399999999996)); #500515=CARTESIAN_POINT('',(31.0952378133917,-7.5555400421554,-164.399999999996)); #500516=CARTESIAN_POINT('',(31.0952378133917,-7.55554004215541,-164.399999999996)); #500517=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #500518=CARTESIAN_POINT('',(31.5659654632954,-7.28007513678806,-164.399999999996)); #500519=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-162.899999999996)); #500520=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #500521=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-160.899999999996)); #500522=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #500523=CARTESIAN_POINT('',(29.8769554580267,-8.26846615516369,-164.399999999996)); #500524=CARTESIAN_POINT('Origin',(-8.54621222516626,30.4461089878634,-164.399999999996)); #500525=CARTESIAN_POINT('',(-9.00432929087874,30.7070359041934,-159.399999999996)); #500526=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-159.399999999996)); #500527=CARTESIAN_POINT('',(-9.5173904608072,30.9992569956515,-159.399999999996)); #500528=CARTESIAN_POINT('',(-9.00432929087874,30.7070359041934,-164.399999999996)); #500529=CARTESIAN_POINT('',(-9.00432929087874,30.7070359041934,-164.399999999996)); #500530=CARTESIAN_POINT('',(-7.77777598830975,30.0084354919691,-164.399999999996)); #500531=CARTESIAN_POINT('',(-9.5173904608072,30.9992569956515,-164.399999999996)); #500532=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-162.899999999996)); #500533=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-164.399999999996)); #500534=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-160.899999999996)); #500535=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-164.399999999996)); #500536=CARTESIAN_POINT('',(-7.77777598830976,30.0084354919691,-164.399999999996)); #500537=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500538=CARTESIAN_POINT('',(14.1831969702296,27.5651396460034,-162.899999999996)); #500539=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #500540=CARTESIAN_POINT('',(14.1831969702296,27.5651396460034,-160.899999999996)); #500541=CARTESIAN_POINT('',(14.1831969702296,27.5651396460034,-164.399999999996)); #500542=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500543=CARTESIAN_POINT('Origin',(-16.1349861428914,-27.1966697808519,-164.399999999996)); #500544=CARTESIAN_POINT('',(-16.,-27.712812921102,-164.399999999996)); #500545=CARTESIAN_POINT('',(-16.2699722857828,-26.6805266406017,-164.399999999996)); #500546=CARTESIAN_POINT('',(-16.5200407162533,-25.7243462341118,-164.399999999996)); #500547=CARTESIAN_POINT('',(-16.,-27.712812921102,-159.399999999996)); #500548=CARTESIAN_POINT('',(-16.,-27.712812921102,-164.399999999996)); #500549=CARTESIAN_POINT('',(-16.2699722857828,-26.6805266406017,-159.399999999996)); #500550=CARTESIAN_POINT('',(-16.5200407162533,-25.7243462341118,-159.399999999996)); #500551=CARTESIAN_POINT('',(-16.2699722857828,-26.6805266406017,-164.399999999996)); #500552=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-164.399999999996)); #500553=CARTESIAN_POINT('',(-21.3910762921359,-22.7812281289666,-164.399999999996)); #500554=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500555=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-164.399999999996)); #500556=CARTESIAN_POINT('',(-19.6181391408771,-22.6477075163745,-164.399999999996)); #500557=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500558=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #500559=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500560=CARTESIAN_POINT('',(-21.3910762921359,-22.7812281289666,-159.399999999996)); #500561=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500562=CARTESIAN_POINT('',(-21.3910762921359,-22.7812281289666,-164.399999999996)); #500563=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-159.399999999996)); #500564=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-159.399999999996)); #500565=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-159.399999999996)); #500566=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500567=CARTESIAN_POINT('',(-19.6181391408771,-22.6477075163745,-159.399999999996)); #500568=CARTESIAN_POINT('Origin',(31.6205,-0.374972999027927,-164.399999999996)); #500569=CARTESIAN_POINT('',(32.,4.01461495304239E-15,-164.399999999996)); #500570=CARTESIAN_POINT('',(31.241,-0.749945998055858,-164.399999999996)); #500571=CARTESIAN_POINT('',(30.5149264899511,-1.46735828152823,-164.399999999996)); #500572=CARTESIAN_POINT('',(32.,4.01461495304239E-15,-159.399999999996)); #500573=CARTESIAN_POINT('',(32.,4.01461495304239E-15,-164.399999999996)); #500574=CARTESIAN_POINT('',(31.241,-0.749945998055858,-159.399999999996)); #500575=CARTESIAN_POINT('',(30.5149264899511,-1.46735828152823,-159.399999999996)); #500576=CARTESIAN_POINT('',(31.241,-0.749945998055858,-164.399999999996)); #500577=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-164.399999999996)); #500578=CARTESIAN_POINT('',(30.4246604351617,-7.1346014187974,-164.399999999996)); #500579=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500580=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-164.399999999996)); #500581=CARTESIAN_POINT('',(29.4235840914554,-5.66745455107002,-164.399999999996)); #500582=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500583=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #500584=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500585=CARTESIAN_POINT('',(30.4246604351617,-7.13460141879741,-159.399999999996)); #500586=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500587=CARTESIAN_POINT('',(30.4246604351617,-7.13460141879741,-164.399999999996)); #500588=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-159.399999999996)); #500589=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-159.399999999996)); #500590=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-159.399999999996)); #500591=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500592=CARTESIAN_POINT('',(29.4235840914554,-5.66745455107002,-159.399999999996)); #500593=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-164.399999999996)); #500594=CARTESIAN_POINT('',(-16.,27.712812921102,-164.399999999996)); #500595=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #500596=CARTESIAN_POINT('',(-14.9710277142172,27.4304726386576,-164.399999999996)); #500597=CARTESIAN_POINT('',(-14.0369235913072,27.1741632846167,-164.399999999996)); #500598=CARTESIAN_POINT('',(-9.03358414302581,29.915829547764,-164.399999999996)); #500599=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500600=CARTESIAN_POINT('',(-9.18108607211842,29.6092495436199,-164.399999999996)); #500601=CARTESIAN_POINT('',(-9.81704753505972,28.2874155232549,-164.399999999996)); #500602=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500603=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #500604=CARTESIAN_POINT('',(-16.,27.712812921102,-159.399999999996)); #500605=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-159.399999999996)); #500606=CARTESIAN_POINT('',(-16.,27.712812921102,-164.399999999996)); #500607=CARTESIAN_POINT('Origin',(-15.4855138571086,27.5716427798798,-164.399999999996)); #500608=CARTESIAN_POINT('',(-14.9710277142172,27.4304726386576,-159.399999999996)); #500609=CARTESIAN_POINT('',(-14.0369235913072,27.1741632846167,-159.399999999996)); #500610=CARTESIAN_POINT('',(-14.9710277142172,27.4304726386576,-164.399999999996)); #500611=CARTESIAN_POINT('Origin',(-12.0116951990891,28.8782069792839,-159.399999999996)); #500612=CARTESIAN_POINT('',(-9.18108607211842,29.6092495436199,-159.399999999996)); #500613=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500614=CARTESIAN_POINT('',(-9.03358414302581,29.915829547764,-159.399999999996)); #500615=CARTESIAN_POINT('',(-9.81704753505972,28.2874155232549,-159.399999999996)); #500616=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500617=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500618=CARTESIAN_POINT('',(-14.675480917212,-26.7372448066091,-160.399999999996)); #500619=CARTESIAN_POINT('',(-20.3660702394547,-22.7040345093465,-160.399999999996)); #500620=CARTESIAN_POINT('Origin',(0.,0.,-160.399999999996)); #500621=CARTESIAN_POINT('',(-14.675480917212,-26.7372448066091,-163.399999999996)); #500622=CARTESIAN_POINT('',(-14.675480917212,-26.7372448066091,-164.399999999996)); #500623=CARTESIAN_POINT('',(-20.3660702394547,-22.7040345093465,-163.399999999996)); #500624=CARTESIAN_POINT('Origin',(0.,0.,-163.399999999996)); #500625=CARTESIAN_POINT('',(-20.3660702394547,-22.7040345093465,-164.399999999996)); #500626=CARTESIAN_POINT('Origin',(-20.0070268707307,-22.6769948083029,-164.399999999996)); #500627=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-160.899999999996)); #500628=CARTESIAN_POINT('Ctrl Pts',(-20.3660702394547,-22.7040345093465, -160.399999999996)); #500629=CARTESIAN_POINT('Ctrl Pts',(-20.7061571499612,-22.7296465918691, -160.646155131549)); #500630=CARTESIAN_POINT('Ctrl Pts',(-21.0518192557084,-22.755678544596, -160.899999999996)); #500631=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-162.899999999996)); #500632=CARTESIAN_POINT('Ctrl Pts',(-21.0518192557084,-22.755678544596, -162.899999999996)); #500633=CARTESIAN_POINT('Ctrl Pts',(-20.7061571499548,-22.7296465918687, -163.153844868449)); #500634=CARTESIAN_POINT('Ctrl Pts',(-20.3660702394547,-22.7040345093465, -163.399999999996)); #500635=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-164.399999999996)); #500636=CARTESIAN_POINT('',(-21.0518192557084,-22.755678544596,-164.399999999996)); #500637=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500638=CARTESIAN_POINT('',(-9.47923553376533,28.9895514572992,-163.399999999996)); #500639=CARTESIAN_POINT('',(-15.817392771121,26.0779616903639,-163.399999999996)); #500640=CARTESIAN_POINT('Origin',(0.,0.,-163.399999999996)); #500641=CARTESIAN_POINT('',(-9.47923553376533,28.9895514572992,-160.399999999996)); #500642=CARTESIAN_POINT('',(-9.47923553376533,28.9895514572992,-164.399999999996)); #500643=CARTESIAN_POINT('',(-15.817392771121,26.0779616903639,-160.399999999996)); #500644=CARTESIAN_POINT('Origin',(0.,0.,-160.399999999996)); #500645=CARTESIAN_POINT('',(-15.817392771121,26.0779616903639,-164.399999999996)); #500646=CARTESIAN_POINT('Origin',(-9.63534015011283,28.6650909284021,-164.399999999996)); #500647=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-162.899999999996)); #500648=CARTESIAN_POINT('Ctrl Pts',(-9.18108607211842,29.6092495436199, -162.899999999996)); #500649=CARTESIAN_POINT('Ctrl Pts',(-9.33137279262339,29.2968814025476, -163.153844868449)); #500650=CARTESIAN_POINT('Ctrl Pts',(-9.47923553376533,28.9895514572993, -163.399999999996)); #500651=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-164.399999999996)); #500652=CARTESIAN_POINT('',(-9.03358414302581,29.915829547764,-164.399999999996)); #500653=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-160.899999999996)); #500654=CARTESIAN_POINT('',(-9.18108607211843,29.6092495436199,-164.399999999996)); #500655=CARTESIAN_POINT('Ctrl Pts',(-9.47923553376533,28.9895514572992, -160.399999999996)); #500656=CARTESIAN_POINT('Ctrl Pts',(-9.33137279262034,29.296881402554,-160.646155131549)); #500657=CARTESIAN_POINT('Ctrl Pts',(-9.18108607211842,29.6092495436199, -160.899999999996)); #500658=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500659=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500660=CARTESIAN_POINT('',(30.4928736883331,0.659283116245201,-160.399999999996)); #500661=CARTESIAN_POINT('',(29.84530577322,-6.28551694795271,-160.399999999996)); #500662=CARTESIAN_POINT('Origin',(0.,0.,-160.399999999996)); #500663=CARTESIAN_POINT('',(30.4928736883331,0.659283116245201,-163.399999999996)); #500664=CARTESIAN_POINT('',(30.4928736883331,0.659283116245204,-164.399999999996)); #500665=CARTESIAN_POINT('',(29.84530577322,-6.28551694795271,-163.399999999996)); #500666=CARTESIAN_POINT('Origin',(0.,0.,-163.399999999996)); #500667=CARTESIAN_POINT('',(29.84530577322,-6.28551694795271,-164.399999999996)); #500668=CARTESIAN_POINT('Origin',(29.6423670208435,-5.98809612009917,-164.399999999996)); #500669=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-160.899999999996)); #500670=CARTESIAN_POINT('Ctrl Pts',(29.84530577322,-6.28551694795271,-160.399999999996)); #500671=CARTESIAN_POINT('Ctrl Pts',(30.0375299425817,-6.56723481068468, -160.646155131549)); #500672=CARTESIAN_POINT('Ctrl Pts',(30.2329053278268,-6.8535709990239,-160.899999999996)); #500673=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-162.899999999996)); #500674=CARTESIAN_POINT('Ctrl Pts',(30.2329053278268,-6.8535709990239,-162.899999999996)); #500675=CARTESIAN_POINT('Ctrl Pts',(30.0375299425777,-6.56723481067878, -163.153844868449)); #500676=CARTESIAN_POINT('Ctrl Pts',(29.84530577322,-6.28551694795271,-163.399999999996)); #500677=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-164.399999999996)); #500678=CARTESIAN_POINT('',(30.2329053278268,-6.85357099902389,-164.399999999996)); #500679=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500680=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500681=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500682=CARTESIAN_POINT('',(-16.490949704606,26.2497348146634,-160.899999999996)); #500683=CARTESIAN_POINT('',(-16.490949704606,26.2497348146634,-167.899999999996)); #500684=CARTESIAN_POINT('',(-16.490949704606,26.2497348146634,-164.399999999996)); #500685=CARTESIAN_POINT('',(-30.306919084472,6.51848568359785,-160.899999999996)); #500686=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500687=CARTESIAN_POINT('',(-30.306919084472,6.51848568359785,-167.899999999996)); #500688=CARTESIAN_POINT('',(-30.306919084472,6.51848568359785,-164.399999999996)); #500689=CARTESIAN_POINT('Origin',(0.,0.,-167.899999999996)); #500690=CARTESIAN_POINT('Origin',(0.,0.,-160.149999999996)); #500691=CARTESIAN_POINT('Ctrl Pts',(-16.4909497046061,26.2497348146635, -160.899999999996)); #500692=CARTESIAN_POINT('Ctrl Pts',(-16.1514332015486,26.1631499877808, -160.646071667079)); #500693=CARTESIAN_POINT('Ctrl Pts',(-15.8173927711211,26.0779616903639, -160.399999999996)); #500694=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500695=CARTESIAN_POINT('',(14.75,25.5477494116409,-159.399999999996)); #500696=CARTESIAN_POINT('Ctrl Pts',(14.75,25.547749411641,-159.399999999996)); #500697=CARTESIAN_POINT('Ctrl Pts',(14.5596973328309,26.2250830216527,-159.891436779519)); #500698=CARTESIAN_POINT('Ctrl Pts',(14.3704595393004,26.8986264866468,-160.39301463189)); #500699=CARTESIAN_POINT('Ctrl Pts',(14.1831969702296,27.5651396460034,-160.899999999996)); #500700=CARTESIAN_POINT('',(-29.1122049173534,4.76754914500378,-159.399999999996)); #500701=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500702=CARTESIAN_POINT('Ctrl Pts',(-29.1122049173533,4.76754914500371, -159.399999999996)); #500703=CARTESIAN_POINT('Ctrl Pts',(-29.6947514947088,5.62131158944228, -160.112866771043)); #500704=CARTESIAN_POINT('Ctrl Pts',(-30.3069190844721,6.51848568359807, -160.899999999996)); #500705=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500706=CARTESIAN_POINT('Origin',(0.,0.,-160.149999999996)); #500707=CARTESIAN_POINT('',(30.9784120444064,1.15671396938858,-160.899999999996)); #500708=CARTESIAN_POINT('Ctrl Pts',(30.9784120444064,1.15671396938863,-160.899999999996)); #500709=CARTESIAN_POINT('Ctrl Pts',(30.733669133215,0.905976466178132,-160.646071667079)); #500710=CARTESIAN_POINT('Ctrl Pts',(30.4928736883331,0.659283116245235, -160.399999999996)); #500711=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500712=CARTESIAN_POINT('',(16.7805127071898,-26.065578706099,-160.899999999996)); #500713=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500714=CARTESIAN_POINT('',(14.75,-25.5477494116409,-159.399999999996)); #500715=CARTESIAN_POINT('Ctrl Pts',(14.75,-25.5477494116409,-159.399999999996)); #500716=CARTESIAN_POINT('Ctrl Pts',(15.4317394466919,-25.7216092724705, -159.891436779519)); #500717=CARTESIAN_POINT('Ctrl Pts',(16.1096640946952,-25.8944962684141, -160.393014631891)); #500718=CARTESIAN_POINT('Ctrl Pts',(16.7805127071898,-26.065578706099,-160.899999999996)); #500719=CARTESIAN_POINT('',(18.6849211320407,22.8281344461044,-159.399999999996)); #500720=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500721=CARTESIAN_POINT('',(20.7986337384369,22.9873189957932,-160.899999999996)); #500722=CARTESIAN_POINT('Ctrl Pts',(18.6849211320408,22.8281344461044,-159.399999999996)); #500723=CARTESIAN_POINT('Ctrl Pts',(19.7155743863993,22.9057533587626,-160.112866771043)); #500724=CARTESIAN_POINT('Ctrl Pts',(20.7986337384372,22.9873189957932,-160.899999999996)); #500725=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500726=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500727=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #500728=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500729=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #500730=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500731=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #500732=CARTESIAN_POINT('Origin',(5.55111512312578E-16,0.,-164.399999999996)); #500733=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500734=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #500735=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500736=CARTESIAN_POINT('',(16.7805127071898,-26.065578706099,-162.899999999996)); #500737=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #500738=CARTESIAN_POINT('',(16.7805127071898,-26.065578706099,-164.399999999996)); #500739=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500740=CARTESIAN_POINT('',(30.9784120444064,1.15671396938858,-167.899999999996)); #500741=CARTESIAN_POINT('',(30.9784120444064,1.15671396938858,-164.399999999996)); #500742=CARTESIAN_POINT('',(20.7986337384369,22.9873189957932,-167.899999999996)); #500743=CARTESIAN_POINT('',(20.7986337384369,22.9873189957932,-164.399999999996)); #500744=CARTESIAN_POINT('Origin',(0.,0.,-167.899999999996)); #500745=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500746=CARTESIAN_POINT('',(-30.9637096774194,-1.49956093990441,-162.899999999996)); #500747=CARTESIAN_POINT('Origin',(0.,0.,-162.899999999996)); #500748=CARTESIAN_POINT('',(-30.9637096774194,-1.49956093990441,-160.899999999996)); #500749=CARTESIAN_POINT('',(-30.9637096774194,-1.49956093990441,-164.399999999996)); #500750=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500751=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500752=CARTESIAN_POINT('',(-14.4874623398003,-27.406448784052,-160.899999999996)); #500753=CARTESIAN_POINT('',(-14.4874623398003,-27.406448784052,-167.899999999996)); #500754=CARTESIAN_POINT('',(-14.4874623398003,-27.406448784052,-164.399999999996)); #500755=CARTESIAN_POINT('',(9.50828534603507,-29.505804679391,-160.899999999996)); #500756=CARTESIAN_POINT('Origin',(0.,0.,-160.899999999996)); #500757=CARTESIAN_POINT('',(9.50828534603507,-29.505804679391,-167.899999999996)); #500758=CARTESIAN_POINT('',(9.50828534603507,-29.5058046793911,-164.399999999996)); #500759=CARTESIAN_POINT('Origin',(0.,0.,-167.899999999996)); #500760=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #500761=CARTESIAN_POINT('',(-29.1122049173534,4.76754914500378,-166.399999999996)); #500762=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #500763=CARTESIAN_POINT('',(-29.1122049173534,4.76754914500378,-169.399999999996)); #500764=CARTESIAN_POINT('',(14.75,25.5477494116409,-164.399999999996)); #500765=CARTESIAN_POINT('',(14.75,25.547749411641,-169.399999999996)); #500766=CARTESIAN_POINT('',(-14.4369912491537,25.7259262937578,-164.399999999996)); #500767=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500768=CARTESIAN_POINT('',(-14.4369912491537,25.7259262937578,-166.399999999996)); #500769=CARTESIAN_POINT('',(-14.4369912491537,25.7259262937578,-169.399999999996)); #500770=CARTESIAN_POINT('',(18.6849211320407,22.8281344461044,-166.399999999996)); #500771=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #500772=CARTESIAN_POINT('',(18.6849211320407,22.8281344461044,-169.399999999996)); #500773=CARTESIAN_POINT('',(14.75,-25.5477494116409,-164.399999999996)); #500774=CARTESIAN_POINT('',(14.75,-25.5477494116409,-169.399999999996)); #500775=CARTESIAN_POINT('',(29.4978013308572,-0.360161970898217,-164.399999999996)); #500776=CARTESIAN_POINT('Origin',(0.,0.,-164.399999999996)); #500777=CARTESIAN_POINT('',(29.4978013308572,-0.360161970898217,-166.399999999996)); #500778=CARTESIAN_POINT('',(29.4978013308572,-0.360161970898212,-169.399999999996)); #500779=CARTESIAN_POINT('',(10.4272837853126,-27.5956835911082,-166.399999999996)); #500780=CARTESIAN_POINT('Origin',(0.,0.,-166.399999999996)); #500781=CARTESIAN_POINT('',(10.4272837853126,-27.5956835911082,-159.399999999996)); #500782=CARTESIAN_POINT('',(10.4272837853126,-27.5956835911082,-169.399999999996)); #500783=CARTESIAN_POINT('',(-29.5,-4.44089209850063E-15,-159.399999999996)); #500784=CARTESIAN_POINT('Origin',(0.,0.,-159.399999999996)); #500785=CARTESIAN_POINT('',(-29.5,-3.61270805748469E-15,-169.399999999996)); #500786=CARTESIAN_POINT('',(-29.5,-3.96768689426739E-15,-169.399999999996)); #500787=CARTESIAN_POINT('Origin',(30.4107571818668,0.575155295326945,-164.399999999996)); #500788=CARTESIAN_POINT('',(29.8493526071099,-4.21507179335646E-15,-166.749352607106)); #500789=CARTESIAN_POINT('Ctrl Pts',(30.9784120444063,1.15671396938856,-167.899999999996)); #500790=CARTESIAN_POINT('Ctrl Pts',(30.4032071974102,0.567420385896021, -167.303207197407)); #500791=CARTESIAN_POINT('Ctrl Pts',(29.8493526071099,-4.77465217402114E-15, -166.749352607106)); #500792=CARTESIAN_POINT('Ctrl Pts',(29.8493526071099,-4.77465217402114E-15, -166.749352607106)); #500793=CARTESIAN_POINT('Ctrl Pts',(29.6725422874722,-0.181141009853937, -166.572542287469)); #500794=CARTESIAN_POINT('Ctrl Pts',(29.4978013308436,-0.360161970912083, -166.399999999983)); #500795=CARTESIAN_POINT('Ctrl Pts',(29.4978013308533,-0.360161970902086, -164.4)); #500796=CARTESIAN_POINT('Ctrl Pts',(29.9870452399444,0.14106519694216,-163.916911977354)); #500797=CARTESIAN_POINT('Ctrl Pts',(30.4928736883267,0.659283116238698, -163.400000000003)); #500798=CARTESIAN_POINT('Origin',(19.9882524356055,22.9262888527278,-164.399999999996)); #500799=CARTESIAN_POINT('Ctrl Pts',(18.6849211320214,22.8281344461029,-166.399999999983)); #500800=CARTESIAN_POINT('Ctrl Pts',(19.7155743863891,22.9057533587618,-167.112866771036)); #500801=CARTESIAN_POINT('Ctrl Pts',(20.7986337384371,22.9873189957932,-167.899999999997)); #500802=CARTESIAN_POINT('Origin',(-15.7034776878077,26.0489106201532,-164.399999999996)); #500803=CARTESIAN_POINT('Ctrl Pts',(-16.490949704606,26.2497348146634,-167.899999999996)); #500804=CARTESIAN_POINT('Ctrl Pts',(-15.4385081819677,25.9813370601473, -167.112866771036)); #500805=CARTESIAN_POINT('Ctrl Pts',(-14.4369912491349,25.725926293753,-166.399999999983)); #500806=CARTESIAN_POINT('Ctrl Pts',(-14.4369912491484,25.7259262937564, -164.4)); #500807=CARTESIAN_POINT('Ctrl Pts',(-15.1156886641139,25.899010363754,-163.916911977354)); #500808=CARTESIAN_POINT('Ctrl Pts',(-15.8173927711122,26.0779616903616, -163.400000000003)); #500809=CARTESIAN_POINT('Origin',(-29.8488747787651,5.84718996012668,-164.399999999996)); #500810=CARTESIAN_POINT('Ctrl Pts',(-29.1122049173426,4.76754914498799, -166.399999999983)); #500811=CARTESIAN_POINT('Ctrl Pts',(-29.6947514947031,5.62131158943403, -167.112866771036)); #500812=CARTESIAN_POINT('Ctrl Pts',(-30.3069190844721,6.51848568359808, -167.899999999997)); #500813=CARTESIAN_POINT('Origin',(-14.7072794940591,-26.6240659154802,-164.399999999996)); #500814=CARTESIAN_POINT('Ctrl Pts',(-14.4874623398003,-27.406448784052, -167.899999999996)); #500815=CARTESIAN_POINT('Ctrl Pts',(-14.7812438273898,-26.3608088121915, -167.112866771036)); #500816=CARTESIAN_POINT('Ctrl Pts',(-15.0608100817087,-25.365764322841, -166.399999999983)); #500817=CARTESIAN_POINT('Ctrl Pts',(-15.0608100817049,-25.3657643228544, -164.4)); #500818=CARTESIAN_POINT('Ctrl Pts',(-14.8713565758304,-26.0400755606961, -163.916911977354)); #500819=CARTESIAN_POINT('Ctrl Pts',(-14.6754809172144,-26.7372448066003, -163.400000000003)); #500820=CARTESIAN_POINT('Ctrl Pts',(-14.4874623398003,-27.4064487840521, -160.899999999996)); #500821=CARTESIAN_POINT('Ctrl Pts',(-14.5822359316664,-27.0691264539589, -160.646071667079)); #500822=CARTESIAN_POINT('Ctrl Pts',(-14.675480917212,-26.7372448066092, -160.399999999996)); #500823=CARTESIAN_POINT('Origin',(9.86062234315953,-28.7734788128545,-164.399999999996)); #500824=CARTESIAN_POINT('Ctrl Pts',(10.4272837853127,-27.5956835911081, -159.399999999996)); #500825=CARTESIAN_POINT('Ctrl Pts',(9.97917710830949,-28.5270649482049, -160.112866771043)); #500826=CARTESIAN_POINT('Ctrl Pts',(9.50828534603495,-29.5058046793913, -160.899999999996)); #500827=CARTESIAN_POINT('Ctrl Pts',(10.4272837853207,-27.5956835910914, -166.399999999984)); #500828=CARTESIAN_POINT('Ctrl Pts',(9.9791771083139,-28.5270649481957,-167.112866771036)); #500829=CARTESIAN_POINT('Ctrl Pts',(9.50828534603537,-29.5058046793904, -167.899999999996)); #500830=CARTESIAN_POINT('Origin',(0.,0.,-160.149999999996)); #500831=CARTESIAN_POINT('Ctrl Pts',(-29.5,-4.71844785465692E-15,-159.399999999996)); #500832=CARTESIAN_POINT('Ctrl Pts',(-29.991436779523,-0.503473749182572, -159.891436779519)); #500833=CARTESIAN_POINT('Ctrl Pts',(-30.4801236339962,-1.00413021823365, -160.393014631891)); #500834=CARTESIAN_POINT('Ctrl Pts',(-30.9637096774194,-1.4995609399044, -160.899999999996)); #500835=CARTESIAN_POINT('Origin',(0.,0.,-163.649999999996)); #500836=CARTESIAN_POINT('Ctrl Pts',(14.75,-25.5477494116409,-164.399999999996)); #500837=CARTESIAN_POINT('Ctrl Pts',(15.4317394466919,-25.7216092724705, -163.908563220474)); #500838=CARTESIAN_POINT('Ctrl Pts',(16.1096640946954,-25.8944962684141, -163.406985368102)); #500839=CARTESIAN_POINT('Ctrl Pts',(16.7805127071898,-26.065578706099,-162.899999999996)); #500840=CARTESIAN_POINT('Origin',(15.7652563535949,-25.80666405887,-164.399999999996)); #500841=CARTESIAN_POINT('Origin',(0.,0.,-168.649999999996)); #500842=CARTESIAN_POINT('',(32.5,-3.9801020972289E-15,-169.399999999996)); #500843=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #500844=CARTESIAN_POINT('',(31.75,-3.88825358729285E-15,-168.649999999996)); #500845=CARTESIAN_POINT('Origin',(0.,0.,-163.649999999996)); #500846=CARTESIAN_POINT('Ctrl Pts',(14.75,25.547749411641,-164.399999999996)); #500847=CARTESIAN_POINT('Ctrl Pts',(14.5596973328309,26.2250830216529,-163.908563220474)); #500848=CARTESIAN_POINT('Ctrl Pts',(14.3704595393003,26.8986264866473,-163.406985368102)); #500849=CARTESIAN_POINT('Ctrl Pts',(14.1831969702296,27.5651396460035,-162.899999999996)); #500850=CARTESIAN_POINT('Origin',(14.4665984851148,26.5564445288222,-164.399999999996)); #500851=CARTESIAN_POINT('Origin',(0.,0.,-163.649999999996)); #500852=CARTESIAN_POINT('Ctrl Pts',(-29.5,-7.7715611723761E-15,-164.399999999996)); #500853=CARTESIAN_POINT('Ctrl Pts',(-29.991436779523,-0.503473749182533, -163.908563220473)); #500854=CARTESIAN_POINT('Ctrl Pts',(-30.4801236339961,-1.00413021823359, -163.406985368101)); #500855=CARTESIAN_POINT('Ctrl Pts',(-30.9637096774194,-1.49956093990442, -162.899999999996)); #500856=CARTESIAN_POINT('Origin',(-30.2318548387097,-0.749780469952208, -164.399999999996)); #500857=CARTESIAN_POINT('Origin',(0.,0.,-169.399999999996)); #500858=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,-78.9999999999963)); #500859=CARTESIAN_POINT('',(11.2500000000001,-21.4451905432845,-78.9999999999963)); #500860=CARTESIAN_POINT('',(11.2500000000001,-21.4451905432845,-78.9999999999963)); #500861=CARTESIAN_POINT('',(24.1970797994822,0.979809479067229,-78.9999999999963)); #500862=CARTESIAN_POINT('Origin',(11.2500000000001,-6.49519052838335,-78.9999999999963)); #500863=CARTESIAN_POINT('',(24.1970797994822,0.979809479067229,-78.9999999999963)); #500864=CARTESIAN_POINT('Origin',(-11.2500000000001,-21.4451905432845,-78.9999999999963)); #500865=CARTESIAN_POINT('',(-11.2500000000001,-21.4451905432845,-78.9999999999963)); #500866=CARTESIAN_POINT('',(-11.2500000000001,-21.4451905432845,-78.9999999999963)); #500867=CARTESIAN_POINT('',(-5.62500000000005,-21.4451905432845,-78.9999999999963)); #500868=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-78.9999999999963)); #500869=CARTESIAN_POINT('',(-24.1970797994822,0.979809479067273,-78.9999999999963)); #500870=CARTESIAN_POINT('',(-24.1970797994822,0.979809479067273,-78.9999999999963)); #500871=CARTESIAN_POINT('Origin',(-11.2500000000001,-6.49519052838334,-78.9999999999963)); #500872=CARTESIAN_POINT('Origin',(-12.9470797994821,20.4653810642173,-78.9999999999963)); #500873=CARTESIAN_POINT('',(-12.9470797994821,20.4653810642173,-78.9999999999963)); #500874=CARTESIAN_POINT('',(-12.9470797994821,20.4653810642173,-78.9999999999963)); #500875=CARTESIAN_POINT('',(-15.7595797994822,15.5939881679298,-78.9999999999963)); #500876=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, -78.9999999999963)); #500877=CARTESIAN_POINT('',(12.9470797994822,20.4653810642172,-78.9999999999963)); #500878=CARTESIAN_POINT('',(12.9470797994822,20.4653810642172,-78.9999999999963)); #500879=CARTESIAN_POINT('Origin',(6.66133814775094E-15,12.9903810567667, -78.9999999999963)); #500880=CARTESIAN_POINT('Origin',(13.0720797994822,20.2488747132711,-78.9999999999963)); #500881=CARTESIAN_POINT('',(13.0306584436813,20.3206186060365,-78.9999999999963)); #500882=CARTESIAN_POINT('',(13.0306584436813,20.3206186060366,-78.9999999999963)); #500883=CARTESIAN_POINT('',(15.8220797994822,15.4857349924566,-78.9999999999963)); #500884=CARTESIAN_POINT('Origin',(14.3166639200648,19.9760344669082,-78.9999999999963)); #500885=CARTESIAN_POINT('',(10.1348536764706,21.0965471779734,-78.9999999999963)); #500886=CARTESIAN_POINT('Origin',(24.0920598843688,1.04455202243226,-78.9999999999963)); #500887=CARTESIAN_POINT('',(24.1135011552831,1.12457193724793,-78.9999999999963)); #500888=CARTESIAN_POINT('',(24.1135011552831,1.12457193724793,-78.9999999999963)); #500889=CARTESIAN_POINT('',(23.1545599310573,-2.45424553969197,-78.9999999999963)); #500890=CARTESIAN_POINT('Origin',(24.1970797994822,0.979809479067231,-78.9999999999963)); #500891=CARTESIAN_POINT('',(21.3845797994824,5.85120237535461,-78.9999999999963)); #500892=CARTESIAN_POINT('Origin',(0.,0.,-76.9999999999963)); #500893=CARTESIAN_POINT('Origin',(0.,0.,-78.9999999999963)); #500894=CARTESIAN_POINT('',(4.44089209850063E-15,0.,0.00424550476367358)); #500895=CARTESIAN_POINT('',(0.,0.,-151.499999999996)); #500896=CARTESIAN_POINT('',(0.,0.,0.)); #500897=CARTESIAN_POINT('',(0.,0.,0.)); #500898=CARTESIAN_POINT('',(0.,0.,0.)); #500899=CARTESIAN_POINT('Origin',(31.11,6.18,0.696999999999986)); #500900=CARTESIAN_POINT('',(30.785,6.18,1.5)); #500901=CARTESIAN_POINT('Origin',(31.11,6.18,1.5)); #500902=CARTESIAN_POINT('',(30.785,6.18,0.)); #500903=CARTESIAN_POINT('',(30.785,6.18,0.696999999999986)); #500904=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #500905=CARTESIAN_POINT('Origin',(36.89,6.18,0.696999999999986)); #500906=CARTESIAN_POINT('',(36.565,6.18,1.5)); #500907=CARTESIAN_POINT('Origin',(36.89,6.18,1.5)); #500908=CARTESIAN_POINT('',(36.565,6.18,0.)); #500909=CARTESIAN_POINT('',(36.565,6.18,0.696999999999986)); #500910=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #500911=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #500912=CARTESIAN_POINT('',(29.59,45.,0.)); #500913=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #500914=CARTESIAN_POINT('',(29.59,45.,1.49999999999999)); #500915=CARTESIAN_POINT('',(29.59,45.,-200.)); #500916=CARTESIAN_POINT('Origin',(30.19,45.,1.49999999999999)); #500917=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #500918=CARTESIAN_POINT('',(44.95,47.75,0.)); #500919=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #500920=CARTESIAN_POINT('',(44.95,47.75,1.49999999999999)); #500921=CARTESIAN_POINT('',(44.95,47.75,-200.)); #500922=CARTESIAN_POINT('Origin',(45.125,47.75,1.49999999999999)); #500923=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #500924=CARTESIAN_POINT('',(40.825,25.25,0.)); #500925=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #500926=CARTESIAN_POINT('',(40.825,25.25,1.49999999999999)); #500927=CARTESIAN_POINT('',(40.825,25.25,-200.)); #500928=CARTESIAN_POINT('Origin',(41.,25.25,1.49999999999999)); #500929=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #500930=CARTESIAN_POINT('',(45.825,47.,0.)); #500931=CARTESIAN_POINT('Origin',(46.,47.,0.)); #500932=CARTESIAN_POINT('',(45.825,47.,1.49999999999999)); #500933=CARTESIAN_POINT('',(45.825,47.,-200.)); #500934=CARTESIAN_POINT('Origin',(46.,47.,1.49999999999999)); #500935=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #500936=CARTESIAN_POINT('',(32.325,18.5,0.)); #500937=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #500938=CARTESIAN_POINT('',(32.325,18.5,1.49999999999999)); #500939=CARTESIAN_POINT('',(32.325,18.5,-200.)); #500940=CARTESIAN_POINT('Origin',(32.5,18.5,1.49999999999999)); #500941=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #500942=CARTESIAN_POINT('',(45.825,47.75,0.)); #500943=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #500944=CARTESIAN_POINT('',(45.825,47.75,1.49999999999999)); #500945=CARTESIAN_POINT('',(45.825,47.75,-200.)); #500946=CARTESIAN_POINT('Origin',(46.,47.75,1.49999999999999)); #500947=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #500948=CARTESIAN_POINT('',(33.075,48.5,0.)); #500949=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #500950=CARTESIAN_POINT('',(33.075,48.5,1.49999999999999)); #500951=CARTESIAN_POINT('',(33.075,48.5,-200.)); #500952=CARTESIAN_POINT('Origin',(33.25,48.5,1.49999999999999)); #500953=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #500954=CARTESIAN_POINT('',(47.575,47.75,0.)); #500955=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #500956=CARTESIAN_POINT('',(47.575,47.75,1.49999999999999)); #500957=CARTESIAN_POINT('',(47.575,47.75,-200.)); #500958=CARTESIAN_POINT('Origin',(47.75,47.75,1.49999999999999)); #500959=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #500960=CARTESIAN_POINT('',(33.075,5.5,0.)); #500961=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #500962=CARTESIAN_POINT('',(33.075,5.5,1.49999999999999)); #500963=CARTESIAN_POINT('',(33.075,5.5,-200.)); #500964=CARTESIAN_POINT('Origin',(33.25,5.5,1.49999999999999)); #500965=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #500966=CARTESIAN_POINT('',(47.575,46.25,0.)); #500967=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #500968=CARTESIAN_POINT('',(47.575,46.25,1.49999999999999)); #500969=CARTESIAN_POINT('',(47.575,46.25,-200.)); #500970=CARTESIAN_POINT('Origin',(47.75,46.25,1.49999999999999)); #500971=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #500972=CARTESIAN_POINT('',(33.075,50.,0.)); #500973=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #500974=CARTESIAN_POINT('',(33.075,50.,1.49999999999999)); #500975=CARTESIAN_POINT('',(33.075,50.,-200.)); #500976=CARTESIAN_POINT('Origin',(33.25,50.,1.49999999999999)); #500977=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #500978=CARTESIAN_POINT('',(45.825,46.25,0.)); #500979=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #500980=CARTESIAN_POINT('',(45.825,46.25,1.49999999999999)); #500981=CARTESIAN_POINT('',(45.825,46.25,-200.)); #500982=CARTESIAN_POINT('Origin',(46.,46.25,1.49999999999999)); #500983=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #500984=CARTESIAN_POINT('',(33.075,18.5,0.)); #500985=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #500986=CARTESIAN_POINT('',(33.075,18.5,1.49999999999999)); #500987=CARTESIAN_POINT('',(33.075,18.5,-200.)); #500988=CARTESIAN_POINT('Origin',(33.25,18.5,1.49999999999999)); #500989=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #500990=CARTESIAN_POINT('',(47.575,47.,0.)); #500991=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #500992=CARTESIAN_POINT('',(47.575,47.,1.49999999999999)); #500993=CARTESIAN_POINT('',(47.575,47.,-200.)); #500994=CARTESIAN_POINT('Origin',(47.75,47.,1.49999999999999)); #500995=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #500996=CARTESIAN_POINT('',(33.075,49.25,0.)); #500997=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #500998=CARTESIAN_POINT('',(33.075,49.25,1.49999999999999)); #500999=CARTESIAN_POINT('',(33.075,49.25,-200.)); #501000=CARTESIAN_POINT('Origin',(33.25,49.25,1.49999999999999)); #501001=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #501002=CARTESIAN_POINT('',(44.075,47.,0.)); #501003=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #501004=CARTESIAN_POINT('',(44.075,47.,1.49999999999999)); #501005=CARTESIAN_POINT('',(44.075,47.,-200.)); #501006=CARTESIAN_POINT('Origin',(44.25,47.,1.49999999999999)); #501007=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #501008=CARTESIAN_POINT('',(11.75,34.,0.)); #501009=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #501010=CARTESIAN_POINT('',(11.75,34.,1.49999999999999)); #501011=CARTESIAN_POINT('',(11.75,34.,-200.)); #501012=CARTESIAN_POINT('Origin',(12.25,34.,1.49999999999999)); #501013=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #501014=CARTESIAN_POINT('',(53.325,20.25,0.)); #501015=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #501016=CARTESIAN_POINT('',(53.325,20.25,1.49999999999999)); #501017=CARTESIAN_POINT('',(53.325,20.25,-200.)); #501018=CARTESIAN_POINT('Origin',(53.5,20.25,1.49999999999999)); #501019=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #501020=CARTESIAN_POINT('',(34.575,48.5,0.)); #501021=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #501022=CARTESIAN_POINT('',(34.575,48.5,1.49999999999999)); #501023=CARTESIAN_POINT('',(34.575,48.5,-200.)); #501024=CARTESIAN_POINT('Origin',(34.75,48.5,1.49999999999999)); #501025=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #501026=CARTESIAN_POINT('',(52.575,20.25,0.)); #501027=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #501028=CARTESIAN_POINT('',(52.575,20.25,1.49999999999999)); #501029=CARTESIAN_POINT('',(52.575,20.25,-200.)); #501030=CARTESIAN_POINT('Origin',(52.75,20.25,1.49999999999999)); #501031=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #501032=CARTESIAN_POINT('',(34.575,18.5,0.)); #501033=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #501034=CARTESIAN_POINT('',(34.575,18.5,1.49999999999999)); #501035=CARTESIAN_POINT('',(34.575,18.5,-200.)); #501036=CARTESIAN_POINT('Origin',(34.75,18.5,1.49999999999999)); #501037=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #501038=CARTESIAN_POINT('',(51.825,21.75,0.)); #501039=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #501040=CARTESIAN_POINT('',(51.825,21.75,1.49999999999999)); #501041=CARTESIAN_POINT('',(51.825,21.75,-200.)); #501042=CARTESIAN_POINT('Origin',(52.,21.75,1.49999999999999)); #501043=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #501044=CARTESIAN_POINT('',(33.825,48.5,0.)); #501045=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #501046=CARTESIAN_POINT('',(33.825,48.5,1.49999999999999)); #501047=CARTESIAN_POINT('',(33.825,48.5,-200.)); #501048=CARTESIAN_POINT('Origin',(34.,48.5,1.49999999999999)); #501049=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #501050=CARTESIAN_POINT('',(51.825,20.25,0.)); #501051=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #501052=CARTESIAN_POINT('',(51.825,20.25,1.49999999999999)); #501053=CARTESIAN_POINT('',(51.825,20.25,-200.)); #501054=CARTESIAN_POINT('Origin',(52.,20.25,1.49999999999999)); #501055=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #501056=CARTESIAN_POINT('',(33.825,5.5,0.)); #501057=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #501058=CARTESIAN_POINT('',(33.825,5.5,1.49999999999999)); #501059=CARTESIAN_POINT('',(33.825,5.5,-200.)); #501060=CARTESIAN_POINT('Origin',(34.,5.5,1.49999999999999)); #501061=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #501062=CARTESIAN_POINT('',(41.825,31.,0.)); #501063=CARTESIAN_POINT('Origin',(42.,31.,0.)); #501064=CARTESIAN_POINT('',(41.825,31.,1.49999999999999)); #501065=CARTESIAN_POINT('',(41.825,31.,-200.)); #501066=CARTESIAN_POINT('Origin',(42.,31.,1.49999999999999)); #501067=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #501068=CARTESIAN_POINT('',(34.575,50.,0.)); #501069=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #501070=CARTESIAN_POINT('',(34.575,50.,1.49999999999999)); #501071=CARTESIAN_POINT('',(34.575,50.,-200.)); #501072=CARTESIAN_POINT('Origin',(34.75,50.,1.49999999999999)); #501073=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #501074=CARTESIAN_POINT('',(41.825,36.,0.)); #501075=CARTESIAN_POINT('Origin',(42.,36.,0.)); #501076=CARTESIAN_POINT('',(41.825,36.,1.49999999999999)); #501077=CARTESIAN_POINT('',(41.825,36.,-200.)); #501078=CARTESIAN_POINT('Origin',(42.,36.,1.49999999999999)); #501079=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #501080=CARTESIAN_POINT('',(33.825,18.5,0.)); #501081=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #501082=CARTESIAN_POINT('',(33.825,18.5,1.49999999999999)); #501083=CARTESIAN_POINT('',(33.825,18.5,-200.)); #501084=CARTESIAN_POINT('Origin',(34.,18.5,1.49999999999999)); #501085=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #501086=CARTESIAN_POINT('',(44.075,47.75,0.)); #501087=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #501088=CARTESIAN_POINT('',(44.075,47.75,1.49999999999999)); #501089=CARTESIAN_POINT('',(44.075,47.75,-200.)); #501090=CARTESIAN_POINT('Origin',(44.25,47.75,1.49999999999999)); #501091=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #501092=CARTESIAN_POINT('',(33.825,50.,0.)); #501093=CARTESIAN_POINT('Origin',(34.,50.,0.)); #501094=CARTESIAN_POINT('',(33.825,50.,1.49999999999999)); #501095=CARTESIAN_POINT('',(33.825,50.,-200.)); #501096=CARTESIAN_POINT('Origin',(34.,50.,1.49999999999999)); #501097=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #501098=CARTESIAN_POINT('',(44.075,46.25,0.)); #501099=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #501100=CARTESIAN_POINT('',(44.075,46.25,1.49999999999999)); #501101=CARTESIAN_POINT('',(44.075,46.25,-200.)); #501102=CARTESIAN_POINT('Origin',(44.25,46.25,1.49999999999999)); #501103=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #501104=CARTESIAN_POINT('',(23.7,34.,0.)); #501105=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #501106=CARTESIAN_POINT('',(23.7,34.,1.49999999999999)); #501107=CARTESIAN_POINT('',(23.7,34.,-200.)); #501108=CARTESIAN_POINT('Origin',(24.25,34.,1.49999999999999)); #501109=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #501110=CARTESIAN_POINT('',(14.325,21.75,0.)); #501111=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #501112=CARTESIAN_POINT('',(14.325,21.75,1.49999999999999)); #501113=CARTESIAN_POINT('',(14.325,21.75,-200.)); #501114=CARTESIAN_POINT('Origin',(14.5,21.75,1.49999999999999)); #501115=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #501116=CARTESIAN_POINT('',(24.825,26.625,0.)); #501117=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #501118=CARTESIAN_POINT('',(24.825,26.625,1.49999999999999)); #501119=CARTESIAN_POINT('',(24.825,26.625,-200.)); #501120=CARTESIAN_POINT('Origin',(25.,26.625,1.49999999999999)); #501121=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #501122=CARTESIAN_POINT('',(14.325,21.,0.)); #501123=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #501124=CARTESIAN_POINT('',(14.325,21.,1.49999999999999)); #501125=CARTESIAN_POINT('',(14.325,21.,-200.)); #501126=CARTESIAN_POINT('Origin',(14.5,21.,1.49999999999999)); #501127=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #501128=CARTESIAN_POINT('',(34.575,16.25,0.)); #501129=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #501130=CARTESIAN_POINT('',(34.575,16.25,1.49999999999999)); #501131=CARTESIAN_POINT('',(34.575,16.25,-200.)); #501132=CARTESIAN_POINT('Origin',(34.75,16.25,1.49999999999999)); #501133=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #501134=CARTESIAN_POINT('',(15.825,20.25,0.)); #501135=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #501136=CARTESIAN_POINT('',(15.825,20.25,1.49999999999999)); #501137=CARTESIAN_POINT('',(15.825,20.25,-200.)); #501138=CARTESIAN_POINT('Origin',(16.,20.25,1.49999999999999)); #501139=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #501140=CARTESIAN_POINT('',(27.075,24.375,0.)); #501141=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #501142=CARTESIAN_POINT('',(27.075,24.375,1.49999999999999)); #501143=CARTESIAN_POINT('',(27.075,24.375,-200.)); #501144=CARTESIAN_POINT('Origin',(27.25,24.375,1.49999999999999)); #501145=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #501146=CARTESIAN_POINT('',(14.325,20.25,0.)); #501147=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #501148=CARTESIAN_POINT('',(14.325,20.25,1.49999999999999)); #501149=CARTESIAN_POINT('',(14.325,20.25,-200.)); #501150=CARTESIAN_POINT('Origin',(14.5,20.25,1.49999999999999)); #501151=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #501152=CARTESIAN_POINT('',(33.8875,12.25,0.)); #501153=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #501154=CARTESIAN_POINT('',(33.8875,12.25,1.49999999999999)); #501155=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #501156=CARTESIAN_POINT('Origin',(34.0625,12.25,1.49999999999999)); #501157=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #501158=CARTESIAN_POINT('',(15.075,20.25,0.)); #501159=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #501160=CARTESIAN_POINT('',(15.075,20.25,1.49999999999999)); #501161=CARTESIAN_POINT('',(15.075,20.25,-200.)); #501162=CARTESIAN_POINT('Origin',(15.25,20.25,1.49999999999999)); #501163=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #501164=CARTESIAN_POINT('',(31.575,18.5,0.)); #501165=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #501166=CARTESIAN_POINT('',(31.575,18.5,1.49999999999999)); #501167=CARTESIAN_POINT('',(31.575,18.5,-200.)); #501168=CARTESIAN_POINT('Origin',(31.75,18.5,1.49999999999999)); #501169=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #501170=CARTESIAN_POINT('',(15.075,21.75,0.)); #501171=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #501172=CARTESIAN_POINT('',(15.075,21.75,1.49999999999999)); #501173=CARTESIAN_POINT('',(15.075,21.75,-200.)); #501174=CARTESIAN_POINT('Origin',(15.25,21.75,1.49999999999999)); #501175=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #501176=CARTESIAN_POINT('',(33.075,16.25,0.)); #501177=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #501178=CARTESIAN_POINT('',(33.075,16.25,1.49999999999999)); #501179=CARTESIAN_POINT('',(33.075,16.25,-200.)); #501180=CARTESIAN_POINT('Origin',(33.25,16.25,1.49999999999999)); #501181=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #501182=CARTESIAN_POINT('',(15.825,21.75,0.)); #501183=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #501184=CARTESIAN_POINT('',(15.825,21.75,1.49999999999999)); #501185=CARTESIAN_POINT('',(15.825,21.75,-200.)); #501186=CARTESIAN_POINT('Origin',(16.,21.75,1.49999999999999)); #501187=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #501188=CARTESIAN_POINT('',(31.575,19.25,0.)); #501189=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #501190=CARTESIAN_POINT('',(31.575,19.25,1.49999999999999)); #501191=CARTESIAN_POINT('',(31.575,19.25,-200.)); #501192=CARTESIAN_POINT('Origin',(31.75,19.25,1.49999999999999)); #501193=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #501194=CARTESIAN_POINT('',(15.825,21.,0.)); #501195=CARTESIAN_POINT('Origin',(16.,21.,0.)); #501196=CARTESIAN_POINT('',(15.825,21.,1.49999999999999)); #501197=CARTESIAN_POINT('',(15.825,21.,-200.)); #501198=CARTESIAN_POINT('Origin',(16.,21.,1.49999999999999)); #501199=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #501200=CARTESIAN_POINT('',(15.25,34.,0.)); #501201=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #501202=CARTESIAN_POINT('',(15.25,34.,1.49999999999999)); #501203=CARTESIAN_POINT('',(15.25,34.,-200.)); #501204=CARTESIAN_POINT('Origin',(15.75,34.,1.49999999999999)); #501205=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #501206=CARTESIAN_POINT('',(52.575,21.,0.)); #501207=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #501208=CARTESIAN_POINT('',(52.575,21.,1.49999999999999)); #501209=CARTESIAN_POINT('',(52.575,21.,-200.)); #501210=CARTESIAN_POINT('Origin',(52.75,21.,1.49999999999999)); #501211=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #501212=CARTESIAN_POINT('',(37.325,21.25,0.)); #501213=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #501214=CARTESIAN_POINT('',(37.325,21.25,1.49999999999999)); #501215=CARTESIAN_POINT('',(37.325,21.25,-200.)); #501216=CARTESIAN_POINT('Origin',(37.5,21.25,1.49999999999999)); #501217=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #501218=CARTESIAN_POINT('',(39.075,19.,0.)); #501219=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #501220=CARTESIAN_POINT('',(39.075,19.,1.49999999999999)); #501221=CARTESIAN_POINT('',(39.075,19.,-200.)); #501222=CARTESIAN_POINT('Origin',(39.25,19.,1.49999999999999)); #501223=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #501224=CARTESIAN_POINT('',(35.325,17.75,0.)); #501225=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #501226=CARTESIAN_POINT('',(35.325,17.75,1.49999999999999)); #501227=CARTESIAN_POINT('',(35.325,17.75,-200.)); #501228=CARTESIAN_POINT('Origin',(35.5,17.75,1.49999999999999)); #501229=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #501230=CARTESIAN_POINT('',(39.075,18.25,0.)); #501231=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #501232=CARTESIAN_POINT('',(39.075,18.25,1.49999999999999)); #501233=CARTESIAN_POINT('',(39.075,18.25,-200.)); #501234=CARTESIAN_POINT('Origin',(39.25,18.25,1.49999999999999)); #501235=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #501236=CARTESIAN_POINT('',(30.825,13.,0.)); #501237=CARTESIAN_POINT('Origin',(31.,13.,0.)); #501238=CARTESIAN_POINT('',(30.825,13.,1.49999999999999)); #501239=CARTESIAN_POINT('',(30.825,13.,-200.)); #501240=CARTESIAN_POINT('Origin',(31.,13.,1.49999999999999)); #501241=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #501242=CARTESIAN_POINT('',(42.325,16.,0.)); #501243=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #501244=CARTESIAN_POINT('',(42.325,16.,1.49999999999999)); #501245=CARTESIAN_POINT('',(42.325,16.,-200.)); #501246=CARTESIAN_POINT('Origin',(42.5,16.,1.49999999999999)); #501247=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #501248=CARTESIAN_POINT('',(27.587,19.,0.)); #501249=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #501250=CARTESIAN_POINT('',(27.587,19.,1.49999999999999)); #501251=CARTESIAN_POINT('',(27.587,19.,-200.)); #501252=CARTESIAN_POINT('Origin',(27.762,19.,1.49999999999999)); #501253=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #501254=CARTESIAN_POINT('',(53.325,21.,0.)); #501255=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #501256=CARTESIAN_POINT('',(53.325,21.,1.49999999999999)); #501257=CARTESIAN_POINT('',(53.325,21.,-200.)); #501258=CARTESIAN_POINT('Origin',(53.5,21.,1.49999999999999)); #501259=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #501260=CARTESIAN_POINT('',(34.575,49.25,0.)); #501261=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #501262=CARTESIAN_POINT('',(34.575,49.25,1.49999999999999)); #501263=CARTESIAN_POINT('',(34.575,49.25,-200.)); #501264=CARTESIAN_POINT('Origin',(34.75,49.25,1.49999999999999)); #501265=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #501266=CARTESIAN_POINT('',(51.825,21.,0.)); #501267=CARTESIAN_POINT('Origin',(52.,21.,0.)); #501268=CARTESIAN_POINT('',(51.825,21.,1.49999999999999)); #501269=CARTESIAN_POINT('',(51.825,21.,-200.)); #501270=CARTESIAN_POINT('Origin',(52.,21.,1.49999999999999)); #501271=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #501272=CARTESIAN_POINT('',(35.325,18.5,0.)); #501273=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #501274=CARTESIAN_POINT('',(35.325,18.5,1.49999999999999)); #501275=CARTESIAN_POINT('',(35.325,18.5,-200.)); #501276=CARTESIAN_POINT('Origin',(35.5,18.5,1.49999999999999)); #501277=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #501278=CARTESIAN_POINT('',(53.325,21.75,0.)); #501279=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #501280=CARTESIAN_POINT('',(53.325,21.75,1.49999999999999)); #501281=CARTESIAN_POINT('',(53.325,21.75,-200.)); #501282=CARTESIAN_POINT('Origin',(53.5,21.75,1.49999999999999)); #501283=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #501284=CARTESIAN_POINT('',(33.825,49.25,0.)); #501285=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #501286=CARTESIAN_POINT('',(33.825,49.25,1.49999999999999)); #501287=CARTESIAN_POINT('',(33.825,49.25,-200.)); #501288=CARTESIAN_POINT('Origin',(34.,49.25,1.49999999999999)); #501289=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #501290=CARTESIAN_POINT('',(52.575,21.75,0.)); #501291=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #501292=CARTESIAN_POINT('',(52.575,21.75,1.49999999999999)); #501293=CARTESIAN_POINT('',(52.575,21.75,-200.)); #501294=CARTESIAN_POINT('Origin',(52.75,21.75,1.49999999999999)); #501295=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #501296=CARTESIAN_POINT('',(34.67,45.,0.)); #501297=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #501298=CARTESIAN_POINT('',(34.67,45.,1.49999999999999)); #501299=CARTESIAN_POINT('',(34.67,45.,-200.)); #501300=CARTESIAN_POINT('Origin',(35.27,45.,1.49999999999999)); #501301=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #501302=CARTESIAN_POINT('',(25.825,31.,0.)); #501303=CARTESIAN_POINT('Origin',(26.,31.,0.)); #501304=CARTESIAN_POINT('',(25.825,31.,1.49999999999999)); #501305=CARTESIAN_POINT('',(25.825,31.,-200.)); #501306=CARTESIAN_POINT('Origin',(26.,31.,1.49999999999999)); #501307=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #501308=CARTESIAN_POINT('',(10.075,33.25,0.)); #501309=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #501310=CARTESIAN_POINT('',(10.075,33.25,1.49999999999999)); #501311=CARTESIAN_POINT('',(10.075,33.25,-200.)); #501312=CARTESIAN_POINT('Origin',(10.25,33.25,1.49999999999999)); #501313=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #501314=CARTESIAN_POINT('',(25.825,36.,0.)); #501315=CARTESIAN_POINT('Origin',(26.,36.,0.)); #501316=CARTESIAN_POINT('',(25.825,36.,1.49999999999999)); #501317=CARTESIAN_POINT('',(25.825,36.,-200.)); #501318=CARTESIAN_POINT('Origin',(26.,36.,1.49999999999999)); #501319=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #501320=CARTESIAN_POINT('',(34.575,14.75,0.)); #501321=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #501322=CARTESIAN_POINT('',(34.575,14.75,1.49999999999999)); #501323=CARTESIAN_POINT('',(34.575,14.75,-200.)); #501324=CARTESIAN_POINT('Origin',(34.75,14.75,1.49999999999999)); #501325=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #501326=CARTESIAN_POINT('',(26.575,36.,0.)); #501327=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #501328=CARTESIAN_POINT('',(26.575,36.,1.49999999999999)); #501329=CARTESIAN_POINT('',(26.575,36.,-200.)); #501330=CARTESIAN_POINT('Origin',(26.75,36.,1.49999999999999)); #501331=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #501332=CARTESIAN_POINT('',(9.325,33.25,0.)); #501333=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #501334=CARTESIAN_POINT('',(9.325,33.25,1.49999999999999)); #501335=CARTESIAN_POINT('',(9.325,33.25,-200.)); #501336=CARTESIAN_POINT('Origin',(9.5,33.25,1.49999999999999)); #501337=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #501338=CARTESIAN_POINT('',(26.575,31.,0.)); #501339=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #501340=CARTESIAN_POINT('',(26.575,31.,1.49999999999999)); #501341=CARTESIAN_POINT('',(26.575,31.,-200.)); #501342=CARTESIAN_POINT('Origin',(26.75,31.,1.49999999999999)); #501343=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #501344=CARTESIAN_POINT('',(34.575,17.,0.)); #501345=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #501346=CARTESIAN_POINT('',(34.575,17.,1.49999999999999)); #501347=CARTESIAN_POINT('',(34.575,17.,-200.)); #501348=CARTESIAN_POINT('Origin',(34.75,17.,1.49999999999999)); #501349=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #501350=CARTESIAN_POINT('',(16.45,47.75,0.)); #501351=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #501352=CARTESIAN_POINT('',(16.45,47.75,1.49999999999999)); #501353=CARTESIAN_POINT('',(16.45,47.75,-200.)); #501354=CARTESIAN_POINT('Origin',(16.625,47.75,1.49999999999999)); #501355=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #501356=CARTESIAN_POINT('',(10.075,34.,0.)); #501357=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #501358=CARTESIAN_POINT('',(10.075,34.,1.49999999999999)); #501359=CARTESIAN_POINT('',(10.075,34.,-200.)); #501360=CARTESIAN_POINT('Origin',(10.25,34.,1.49999999999999)); #501361=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #501362=CARTESIAN_POINT('',(15.575,47.75,0.)); #501363=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #501364=CARTESIAN_POINT('',(15.575,47.75,1.49999999999999)); #501365=CARTESIAN_POINT('',(15.575,47.75,-200.)); #501366=CARTESIAN_POINT('Origin',(15.75,47.75,1.49999999999999)); #501367=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #501368=CARTESIAN_POINT('',(35.325,14.75,0.)); #501369=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #501370=CARTESIAN_POINT('',(35.325,14.75,1.49999999999999)); #501371=CARTESIAN_POINT('',(35.325,14.75,-200.)); #501372=CARTESIAN_POINT('Origin',(35.5,14.75,1.49999999999999)); #501373=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #501374=CARTESIAN_POINT('',(16.45,47.,0.)); #501375=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #501376=CARTESIAN_POINT('',(16.45,47.,1.49999999999999)); #501377=CARTESIAN_POINT('',(16.45,47.,-200.)); #501378=CARTESIAN_POINT('Origin',(16.625,47.,1.49999999999999)); #501379=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #501380=CARTESIAN_POINT('',(9.325,34.,0.)); #501381=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #501382=CARTESIAN_POINT('',(9.325,34.,1.49999999999999)); #501383=CARTESIAN_POINT('',(9.325,34.,-200.)); #501384=CARTESIAN_POINT('Origin',(9.5,34.,1.49999999999999)); #501385=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #501386=CARTESIAN_POINT('',(16.45,46.25,0.)); #501387=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #501388=CARTESIAN_POINT('',(16.45,46.25,1.49999999999999)); #501389=CARTESIAN_POINT('',(16.45,46.25,-200.)); #501390=CARTESIAN_POINT('Origin',(16.625,46.25,1.49999999999999)); #501391=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #501392=CARTESIAN_POINT('',(39.075,17.5,0.)); #501393=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #501394=CARTESIAN_POINT('',(39.075,17.5,1.49999999999999)); #501395=CARTESIAN_POINT('',(39.075,17.5,-200.)); #501396=CARTESIAN_POINT('Origin',(39.25,17.5,1.49999999999999)); #501397=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #501398=CARTESIAN_POINT('',(17.325,47.75,0.)); #501399=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #501400=CARTESIAN_POINT('',(17.325,47.75,1.49999999999999)); #501401=CARTESIAN_POINT('',(17.325,47.75,-200.)); #501402=CARTESIAN_POINT('Origin',(17.5,47.75,1.49999999999999)); #501403=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #501404=CARTESIAN_POINT('',(57.575,33.25,0.)); #501405=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #501406=CARTESIAN_POINT('',(57.575,33.25,1.49999999999999)); #501407=CARTESIAN_POINT('',(57.575,33.25,-200.)); #501408=CARTESIAN_POINT('Origin',(57.75,33.25,1.49999999999999)); #501409=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #501410=CARTESIAN_POINT('',(19.2,46.25,0.)); #501411=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #501412=CARTESIAN_POINT('',(19.2,46.25,1.49999999999999)); #501413=CARTESIAN_POINT('',(19.2,46.25,-200.)); #501414=CARTESIAN_POINT('Origin',(19.375,46.25,1.49999999999999)); #501415=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #501416=CARTESIAN_POINT('',(36.075,15.5,0.)); #501417=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #501418=CARTESIAN_POINT('',(36.075,15.5,1.49999999999999)); #501419=CARTESIAN_POINT('',(36.075,15.5,-200.)); #501420=CARTESIAN_POINT('Origin',(36.25,15.5,1.49999999999999)); #501421=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #501422=CARTESIAN_POINT('',(19.2,47.75,0.)); #501423=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #501424=CARTESIAN_POINT('',(19.2,47.75,1.49999999999999)); #501425=CARTESIAN_POINT('',(19.2,47.75,-200.)); #501426=CARTESIAN_POINT('Origin',(19.375,47.75,1.49999999999999)); #501427=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #501428=CARTESIAN_POINT('',(61.825,33.25,0.)); #501429=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #501430=CARTESIAN_POINT('',(61.825,33.25,1.49999999999999)); #501431=CARTESIAN_POINT('',(61.825,33.25,-200.)); #501432=CARTESIAN_POINT('Origin',(62.,33.25,1.49999999999999)); #501433=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #501434=CARTESIAN_POINT('',(19.2,47.,0.)); #501435=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #501436=CARTESIAN_POINT('',(19.2,47.,1.49999999999999)); #501437=CARTESIAN_POINT('',(19.2,47.,-200.)); #501438=CARTESIAN_POINT('Origin',(19.375,47.,1.49999999999999)); #501439=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #501440=CARTESIAN_POINT('',(33.825,17.75,0.)); #501441=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #501442=CARTESIAN_POINT('',(33.825,17.75,1.49999999999999)); #501443=CARTESIAN_POINT('',(33.825,17.75,-200.)); #501444=CARTESIAN_POINT('Origin',(34.,17.75,1.49999999999999)); #501445=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #501446=CARTESIAN_POINT('',(17.325,46.25,0.)); #501447=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #501448=CARTESIAN_POINT('',(17.325,46.25,1.49999999999999)); #501449=CARTESIAN_POINT('',(17.325,46.25,-200.)); #501450=CARTESIAN_POINT('Origin',(17.5,46.25,1.49999999999999)); #501451=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #501452=CARTESIAN_POINT('',(57.575,34.,0.)); #501453=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #501454=CARTESIAN_POINT('',(57.575,34.,1.49999999999999)); #501455=CARTESIAN_POINT('',(57.575,34.,-200.)); #501456=CARTESIAN_POINT('Origin',(57.75,34.,1.49999999999999)); #501457=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #501458=CARTESIAN_POINT('',(17.325,47.,0.)); #501459=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #501460=CARTESIAN_POINT('',(17.325,47.,1.49999999999999)); #501461=CARTESIAN_POINT('',(17.325,47.,-200.)); #501462=CARTESIAN_POINT('Origin',(17.5,47.,1.49999999999999)); #501463=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #501464=CARTESIAN_POINT('',(36.075,14.75,0.)); #501465=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #501466=CARTESIAN_POINT('',(36.075,14.75,1.49999999999999)); #501467=CARTESIAN_POINT('',(36.075,14.75,-200.)); #501468=CARTESIAN_POINT('Origin',(36.25,14.75,1.49999999999999)); #501469=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #501470=CARTESIAN_POINT('',(15.575,46.25,0.)); #501471=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #501472=CARTESIAN_POINT('',(15.575,46.25,1.49999999999999)); #501473=CARTESIAN_POINT('',(15.575,46.25,-200.)); #501474=CARTESIAN_POINT('Origin',(15.75,46.25,1.49999999999999)); #501475=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #501476=CARTESIAN_POINT('',(57.575,34.75,0.)); #501477=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #501478=CARTESIAN_POINT('',(57.575,34.75,1.49999999999999)); #501479=CARTESIAN_POINT('',(57.575,34.75,-200.)); #501480=CARTESIAN_POINT('Origin',(57.75,34.75,1.49999999999999)); #501481=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #501482=CARTESIAN_POINT('',(15.575,47.,0.)); #501483=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #501484=CARTESIAN_POINT('',(15.575,47.,1.49999999999999)); #501485=CARTESIAN_POINT('',(15.575,47.,-200.)); #501486=CARTESIAN_POINT('Origin',(15.75,47.,1.49999999999999)); #501487=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #501488=CARTESIAN_POINT('',(51.75,34.,0.)); #501489=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #501490=CARTESIAN_POINT('',(51.75,34.,1.49999999999999)); #501491=CARTESIAN_POINT('',(51.75,34.,-200.)); #501492=CARTESIAN_POINT('Origin',(52.25,34.,1.49999999999999)); #501493=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #501494=CARTESIAN_POINT('',(23.575,47.,0.)); #501495=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #501496=CARTESIAN_POINT('',(23.575,47.,1.49999999999999)); #501497=CARTESIAN_POINT('',(23.575,47.,-200.)); #501498=CARTESIAN_POINT('Origin',(23.75,47.,1.49999999999999)); #501499=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #501500=CARTESIAN_POINT('',(61.075,33.25,0.)); #501501=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #501502=CARTESIAN_POINT('',(61.075,33.25,1.49999999999999)); #501503=CARTESIAN_POINT('',(61.075,33.25,-200.)); #501504=CARTESIAN_POINT('Origin',(61.25,33.25,1.49999999999999)); #501505=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #501506=CARTESIAN_POINT('',(20.075,47.,0.)); #501507=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #501508=CARTESIAN_POINT('',(20.075,47.,1.49999999999999)); #501509=CARTESIAN_POINT('',(20.075,47.,-200.)); #501510=CARTESIAN_POINT('Origin',(20.25,47.,1.49999999999999)); #501511=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #501512=CARTESIAN_POINT('',(36.075,17.75,0.)); #501513=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #501514=CARTESIAN_POINT('',(36.075,17.75,1.49999999999999)); #501515=CARTESIAN_POINT('',(36.075,17.75,-200.)); #501516=CARTESIAN_POINT('Origin',(36.25,17.75,1.49999999999999)); #501517=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #501518=CARTESIAN_POINT('',(23.575,46.25,0.)); #501519=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #501520=CARTESIAN_POINT('',(23.575,46.25,1.49999999999999)); #501521=CARTESIAN_POINT('',(23.575,46.25,-200.)); #501522=CARTESIAN_POINT('Origin',(23.75,46.25,1.49999999999999)); #501523=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #501524=CARTESIAN_POINT('',(58.325,33.25,0.)); #501525=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #501526=CARTESIAN_POINT('',(58.325,33.25,1.49999999999999)); #501527=CARTESIAN_POINT('',(58.325,33.25,-200.)); #501528=CARTESIAN_POINT('Origin',(58.5,33.25,1.49999999999999)); #501529=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #501530=CARTESIAN_POINT('',(21.825,46.25,0.)); #501531=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #501532=CARTESIAN_POINT('',(21.825,46.25,1.49999999999999)); #501533=CARTESIAN_POINT('',(21.825,46.25,-200.)); #501534=CARTESIAN_POINT('Origin',(22.,46.25,1.49999999999999)); #501535=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #501536=CARTESIAN_POINT('',(37.075,8.75,0.)); #501537=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #501538=CARTESIAN_POINT('',(37.075,8.75,1.49999999999999)); #501539=CARTESIAN_POINT('',(37.075,8.75,-200.)); #501540=CARTESIAN_POINT('Origin',(37.25,8.75,1.49999999999999)); #501541=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #501542=CARTESIAN_POINT('',(20.075,46.25,0.)); #501543=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #501544=CARTESIAN_POINT('',(20.075,46.25,1.49999999999999)); #501545=CARTESIAN_POINT('',(20.075,46.25,-200.)); #501546=CARTESIAN_POINT('Origin',(20.25,46.25,1.49999999999999)); #501547=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #501548=CARTESIAN_POINT('',(58.325,34.,0.)); #501549=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #501550=CARTESIAN_POINT('',(58.325,34.,1.49999999999999)); #501551=CARTESIAN_POINT('',(58.325,34.,-200.)); #501552=CARTESIAN_POINT('Origin',(58.5,34.,1.49999999999999)); #501553=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #501554=CARTESIAN_POINT('',(18.325,47.75,0.)); #501555=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #501556=CARTESIAN_POINT('',(18.325,47.75,1.49999999999999)); #501557=CARTESIAN_POINT('',(18.325,47.75,-200.)); #501558=CARTESIAN_POINT('Origin',(18.5,47.75,1.49999999999999)); #501559=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #501560=CARTESIAN_POINT('',(36.075,18.5,0.)); #501561=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #501562=CARTESIAN_POINT('',(36.075,18.5,1.49999999999999)); #501563=CARTESIAN_POINT('',(36.075,18.5,-200.)); #501564=CARTESIAN_POINT('Origin',(36.25,18.5,1.49999999999999)); #501565=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #501566=CARTESIAN_POINT('',(20.075,47.75,0.)); #501567=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #501568=CARTESIAN_POINT('',(20.075,47.75,1.49999999999999)); #501569=CARTESIAN_POINT('',(20.075,47.75,-200.)); #501570=CARTESIAN_POINT('Origin',(20.25,47.75,1.49999999999999)); #501571=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #501572=CARTESIAN_POINT('',(58.325,34.75,0.)); #501573=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #501574=CARTESIAN_POINT('',(58.325,34.75,1.49999999999999)); #501575=CARTESIAN_POINT('',(58.325,34.75,-200.)); #501576=CARTESIAN_POINT('Origin',(58.5,34.75,1.49999999999999)); #501577=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #501578=CARTESIAN_POINT('',(23.575,47.75,0.)); #501579=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #501580=CARTESIAN_POINT('',(23.575,47.75,1.49999999999999)); #501581=CARTESIAN_POINT('',(23.575,47.75,-200.)); #501582=CARTESIAN_POINT('Origin',(23.75,47.75,1.49999999999999)); #501583=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #501584=CARTESIAN_POINT('',(31.,27.75,0.)); #501585=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #501586=CARTESIAN_POINT('',(31.,27.75,1.49999999999999)); #501587=CARTESIAN_POINT('',(31.,27.75,-200.)); #501588=CARTESIAN_POINT('Origin',(31.5,27.75,1.49999999999999)); #501589=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #501590=CARTESIAN_POINT('',(20.95,47.,0.)); #501591=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #501592=CARTESIAN_POINT('',(20.95,47.,1.49999999999999)); #501593=CARTESIAN_POINT('',(20.95,47.,-200.)); #501594=CARTESIAN_POINT('Origin',(21.125,47.,1.49999999999999)); #501595=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #501596=CARTESIAN_POINT('',(61.075,34.75,0.)); #501597=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #501598=CARTESIAN_POINT('',(61.075,34.75,1.49999999999999)); #501599=CARTESIAN_POINT('',(61.075,34.75,-200.)); #501600=CARTESIAN_POINT('Origin',(61.25,34.75,1.49999999999999)); #501601=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #501602=CARTESIAN_POINT('',(20.95,47.75,0.)); #501603=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #501604=CARTESIAN_POINT('',(20.95,47.75,1.49999999999999)); #501605=CARTESIAN_POINT('',(20.95,47.75,-200.)); #501606=CARTESIAN_POINT('Origin',(21.125,47.75,1.49999999999999)); #501607=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #501608=CARTESIAN_POINT('',(36.075,17.,0.)); #501609=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #501610=CARTESIAN_POINT('',(36.075,17.,1.49999999999999)); #501611=CARTESIAN_POINT('',(36.075,17.,-200.)); #501612=CARTESIAN_POINT('Origin',(36.25,17.,1.49999999999999)); #501613=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #501614=CARTESIAN_POINT('',(21.825,47.,0.)); #501615=CARTESIAN_POINT('Origin',(22.,47.,0.)); #501616=CARTESIAN_POINT('',(21.825,47.,1.49999999999999)); #501617=CARTESIAN_POINT('',(21.825,47.,-200.)); #501618=CARTESIAN_POINT('Origin',(22.,47.,1.49999999999999)); #501619=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #501620=CARTESIAN_POINT('',(61.075,34.,0.)); #501621=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #501622=CARTESIAN_POINT('',(61.075,34.,1.49999999999999)); #501623=CARTESIAN_POINT('',(61.075,34.,-200.)); #501624=CARTESIAN_POINT('Origin',(61.25,34.,1.49999999999999)); #501625=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #501626=CARTESIAN_POINT('',(21.825,47.75,0.)); #501627=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #501628=CARTESIAN_POINT('',(21.825,47.75,1.49999999999999)); #501629=CARTESIAN_POINT('',(21.825,47.75,-200.)); #501630=CARTESIAN_POINT('Origin',(22.,47.75,1.49999999999999)); #501631=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #501632=CARTESIAN_POINT('',(33.825,17.,0.)); #501633=CARTESIAN_POINT('Origin',(34.,17.,0.)); #501634=CARTESIAN_POINT('',(33.825,17.,1.49999999999999)); #501635=CARTESIAN_POINT('',(33.825,17.,-200.)); #501636=CARTESIAN_POINT('Origin',(34.,17.,1.49999999999999)); #501637=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #501638=CARTESIAN_POINT('',(22.7,46.25,0.)); #501639=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #501640=CARTESIAN_POINT('',(22.7,46.25,1.49999999999999)); #501641=CARTESIAN_POINT('',(22.7,46.25,-200.)); #501642=CARTESIAN_POINT('Origin',(22.875,46.25,1.49999999999999)); #501643=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #501644=CARTESIAN_POINT('',(61.825,34.75,0.)); #501645=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #501646=CARTESIAN_POINT('',(61.825,34.75,1.49999999999999)); #501647=CARTESIAN_POINT('',(61.825,34.75,-200.)); #501648=CARTESIAN_POINT('Origin',(62.,34.75,1.49999999999999)); #501649=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #501650=CARTESIAN_POINT('',(20.95,46.25,0.)); #501651=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #501652=CARTESIAN_POINT('',(20.95,46.25,1.49999999999999)); #501653=CARTESIAN_POINT('',(20.95,46.25,-200.)); #501654=CARTESIAN_POINT('Origin',(21.125,46.25,1.49999999999999)); #501655=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #501656=CARTESIAN_POINT('',(36.075,16.25,0.)); #501657=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #501658=CARTESIAN_POINT('',(36.075,16.25,1.49999999999999)); #501659=CARTESIAN_POINT('',(36.075,16.25,-200.)); #501660=CARTESIAN_POINT('Origin',(36.25,16.25,1.49999999999999)); #501661=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #501662=CARTESIAN_POINT('',(22.7,47.,0.)); #501663=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #501664=CARTESIAN_POINT('',(22.7,47.,1.49999999999999)); #501665=CARTESIAN_POINT('',(22.7,47.,-200.)); #501666=CARTESIAN_POINT('Origin',(22.875,47.,1.49999999999999)); #501667=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #501668=CARTESIAN_POINT('',(61.825,34.,0.)); #501669=CARTESIAN_POINT('Origin',(62.,34.,0.)); #501670=CARTESIAN_POINT('',(61.825,34.,1.49999999999999)); #501671=CARTESIAN_POINT('',(61.825,34.,-200.)); #501672=CARTESIAN_POINT('Origin',(62.,34.,1.49999999999999)); #501673=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #501674=CARTESIAN_POINT('',(22.7,47.75,0.)); #501675=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #501676=CARTESIAN_POINT('',(22.7,47.75,1.49999999999999)); #501677=CARTESIAN_POINT('',(22.7,47.75,-200.)); #501678=CARTESIAN_POINT('Origin',(22.875,47.75,1.49999999999999)); #501679=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #501680=CARTESIAN_POINT('',(21.2,34.,0.)); #501681=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #501682=CARTESIAN_POINT('',(21.2,34.,1.49999999999999)); #501683=CARTESIAN_POINT('',(21.2,34.,-200.)); #501684=CARTESIAN_POINT('Origin',(21.75,34.,1.49999999999999)); #501685=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #501686=CARTESIAN_POINT('',(31.45,7.6,0.)); #501687=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #501688=CARTESIAN_POINT('',(31.45,7.6,1.49999999999999)); #501689=CARTESIAN_POINT('',(31.45,7.6,-200.)); #501690=CARTESIAN_POINT('Origin',(31.6,7.6,1.49999999999999)); #501691=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #501692=CARTESIAN_POINT('',(6.325,34.75,0.)); #501693=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #501694=CARTESIAN_POINT('',(6.325,34.75,1.49999999999999)); #501695=CARTESIAN_POINT('',(6.325,34.75,-200.)); #501696=CARTESIAN_POINT('Origin',(6.5,34.75,1.49999999999999)); #501697=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #501698=CARTESIAN_POINT('',(36.25,7.1,0.)); #501699=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #501700=CARTESIAN_POINT('',(36.25,7.1,1.49999999999999)); #501701=CARTESIAN_POINT('',(36.25,7.1,-200.)); #501702=CARTESIAN_POINT('Origin',(36.4,7.1,1.49999999999999)); #501703=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #501704=CARTESIAN_POINT('',(31.575,14.75,0.)); #501705=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #501706=CARTESIAN_POINT('',(31.575,14.75,1.49999999999999)); #501707=CARTESIAN_POINT('',(31.575,14.75,-200.)); #501708=CARTESIAN_POINT('Origin',(31.75,14.75,1.49999999999999)); #501709=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #501710=CARTESIAN_POINT('',(41.075,13.0029,0.)); #501711=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #501712=CARTESIAN_POINT('',(41.075,13.0029,1.49999999999999)); #501713=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #501714=CARTESIAN_POINT('Origin',(41.25,13.0029,1.49999999999999)); #501715=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #501716=CARTESIAN_POINT('',(6.325,34.,0.)); #501717=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #501718=CARTESIAN_POINT('',(6.325,34.,1.49999999999999)); #501719=CARTESIAN_POINT('',(6.325,34.,-200.)); #501720=CARTESIAN_POINT('Origin',(6.5,34.,1.49999999999999)); #501721=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #501722=CARTESIAN_POINT('',(36.25,7.6,0.)); #501723=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #501724=CARTESIAN_POINT('',(36.25,7.6,1.49999999999999)); #501725=CARTESIAN_POINT('',(36.25,7.6,-200.)); #501726=CARTESIAN_POINT('Origin',(36.4,7.6,1.49999999999999)); #501727=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #501728=CARTESIAN_POINT('',(33.075,17.,0.)); #501729=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #501730=CARTESIAN_POINT('',(33.075,17.,1.49999999999999)); #501731=CARTESIAN_POINT('',(33.075,17.,-200.)); #501732=CARTESIAN_POINT('Origin',(33.25,17.,1.49999999999999)); #501733=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #501734=CARTESIAN_POINT('',(34.7625,12.25,0.)); #501735=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #501736=CARTESIAN_POINT('',(34.7625,12.25,1.49999999999999)); #501737=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #501738=CARTESIAN_POINT('Origin',(34.9375,12.25,1.49999999999999)); #501739=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #501740=CARTESIAN_POINT('',(7.075,33.25,0.)); #501741=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #501742=CARTESIAN_POINT('',(7.075,33.25,1.49999999999999)); #501743=CARTESIAN_POINT('',(7.075,33.25,-200.)); #501744=CARTESIAN_POINT('Origin',(7.25,33.25,1.49999999999999)); #501745=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #501746=CARTESIAN_POINT('',(38.587,60.25,0.)); #501747=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #501748=CARTESIAN_POINT('',(38.587,60.25,1.49999999999999)); #501749=CARTESIAN_POINT('',(38.587,60.25,-200.)); #501750=CARTESIAN_POINT('Origin',(38.762,60.25,1.49999999999999)); #501751=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #501752=CARTESIAN_POINT('',(32.325,14.75,0.)); #501753=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #501754=CARTESIAN_POINT('',(32.325,14.75,1.49999999999999)); #501755=CARTESIAN_POINT('',(32.325,14.75,-200.)); #501756=CARTESIAN_POINT('Origin',(32.5,14.75,1.49999999999999)); #501757=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #501758=CARTESIAN_POINT('',(33.825,55.,0.)); #501759=CARTESIAN_POINT('Origin',(34.,55.,0.)); #501760=CARTESIAN_POINT('',(33.825,55.,1.49999999999999)); #501761=CARTESIAN_POINT('',(33.825,55.,-200.)); #501762=CARTESIAN_POINT('Origin',(34.,55.,1.49999999999999)); #501763=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #501764=CARTESIAN_POINT('',(6.325,33.25,0.)); #501765=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #501766=CARTESIAN_POINT('',(6.325,33.25,1.49999999999999)); #501767=CARTESIAN_POINT('',(6.325,33.25,-200.)); #501768=CARTESIAN_POINT('Origin',(6.5,33.25,1.49999999999999)); #501769=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #501770=CARTESIAN_POINT('',(42.325,14.25,0.)); #501771=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #501772=CARTESIAN_POINT('',(42.325,14.25,1.49999999999999)); #501773=CARTESIAN_POINT('',(42.325,14.25,-200.)); #501774=CARTESIAN_POINT('Origin',(42.5,14.25,1.49999999999999)); #501775=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #501776=CARTESIAN_POINT('',(39.075,15.75,0.)); #501777=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #501778=CARTESIAN_POINT('',(39.075,15.75,1.49999999999999)); #501779=CARTESIAN_POINT('',(39.075,15.75,-200.)); #501780=CARTESIAN_POINT('Origin',(39.25,15.75,1.49999999999999)); #501781=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #501782=CARTESIAN_POINT('',(30.325,10.5,0.)); #501783=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #501784=CARTESIAN_POINT('',(30.325,10.5,1.49999999999999)); #501785=CARTESIAN_POINT('',(30.325,10.5,-200.)); #501786=CARTESIAN_POINT('Origin',(30.5,10.5,1.49999999999999)); #501787=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #501788=CARTESIAN_POINT('',(9.325,34.75,0.)); #501789=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #501790=CARTESIAN_POINT('',(9.325,34.75,1.49999999999999)); #501791=CARTESIAN_POINT('',(9.325,34.75,-200.)); #501792=CARTESIAN_POINT('Origin',(9.5,34.75,1.49999999999999)); #501793=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #501794=CARTESIAN_POINT('',(36.325,10.5,0.)); #501795=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #501796=CARTESIAN_POINT('',(36.325,10.5,1.49999999999999)); #501797=CARTESIAN_POINT('',(36.325,10.5,-200.)); #501798=CARTESIAN_POINT('Origin',(36.5,10.5,1.49999999999999)); #501799=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #501800=CARTESIAN_POINT('',(33.825,14.75,0.)); #501801=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #501802=CARTESIAN_POINT('',(33.825,14.75,1.49999999999999)); #501803=CARTESIAN_POINT('',(33.825,14.75,-200.)); #501804=CARTESIAN_POINT('Origin',(34.,14.75,1.49999999999999)); #501805=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #501806=CARTESIAN_POINT('',(35.575,11.25,0.)); #501807=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #501808=CARTESIAN_POINT('',(35.575,11.25,1.49999999999999)); #501809=CARTESIAN_POINT('',(35.575,11.25,-200.)); #501810=CARTESIAN_POINT('Origin',(35.75,11.25,1.49999999999999)); #501811=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #501812=CARTESIAN_POINT('',(10.075,34.75,0.)); #501813=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #501814=CARTESIAN_POINT('',(10.075,34.75,1.49999999999999)); #501815=CARTESIAN_POINT('',(10.075,34.75,-200.)); #501816=CARTESIAN_POINT('Origin',(10.25,34.75,1.49999999999999)); #501817=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #501818=CARTESIAN_POINT('',(30.325,11.25,0.)); #501819=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #501820=CARTESIAN_POINT('',(30.325,11.25,1.49999999999999)); #501821=CARTESIAN_POINT('',(30.325,11.25,-200.)); #501822=CARTESIAN_POINT('Origin',(30.5,11.25,1.49999999999999)); #501823=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #501824=CARTESIAN_POINT('',(33.825,16.25,0.)); #501825=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #501826=CARTESIAN_POINT('',(33.825,16.25,1.49999999999999)); #501827=CARTESIAN_POINT('',(33.825,16.25,-200.)); #501828=CARTESIAN_POINT('Origin',(34.,16.25,1.49999999999999)); #501829=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #501830=CARTESIAN_POINT('',(39.075,16.5,0.)); #501831=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #501832=CARTESIAN_POINT('',(39.075,16.5,1.49999999999999)); #501833=CARTESIAN_POINT('',(39.075,16.5,-200.)); #501834=CARTESIAN_POINT('Origin',(39.25,16.5,1.49999999999999)); #501835=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #501836=CARTESIAN_POINT('',(7.075,34.75,0.)); #501837=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #501838=CARTESIAN_POINT('',(7.075,34.75,1.49999999999999)); #501839=CARTESIAN_POINT('',(7.075,34.75,-200.)); #501840=CARTESIAN_POINT('Origin',(7.25,34.75,1.49999999999999)); #501841=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #501842=CARTESIAN_POINT('',(30.587,60.25,0.)); #501843=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #501844=CARTESIAN_POINT('',(30.587,60.25,1.49999999999999)); #501845=CARTESIAN_POINT('',(30.587,60.25,-200.)); #501846=CARTESIAN_POINT('Origin',(30.762,60.25,1.49999999999999)); #501847=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #501848=CARTESIAN_POINT('',(33.075,14.75,0.)); #501849=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #501850=CARTESIAN_POINT('',(33.075,14.75,1.49999999999999)); #501851=CARTESIAN_POINT('',(33.075,14.75,-200.)); #501852=CARTESIAN_POINT('Origin',(33.25,14.75,1.49999999999999)); #501853=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #501854=CARTESIAN_POINT('',(33.075,55.,0.)); #501855=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #501856=CARTESIAN_POINT('',(33.075,55.,1.49999999999999)); #501857=CARTESIAN_POINT('',(33.075,55.,-200.)); #501858=CARTESIAN_POINT('Origin',(33.25,55.,1.49999999999999)); #501859=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #501860=CARTESIAN_POINT('',(7.075,34.,0.)); #501861=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #501862=CARTESIAN_POINT('',(7.075,34.,1.49999999999999)); #501863=CARTESIAN_POINT('',(7.075,34.,-200.)); #501864=CARTESIAN_POINT('Origin',(7.25,34.,1.49999999999999)); #501865=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #501866=CARTESIAN_POINT('',(34.587,60.25,0.)); #501867=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #501868=CARTESIAN_POINT('',(34.587,60.25,1.49999999999999)); #501869=CARTESIAN_POINT('',(34.587,60.25,-200.)); #501870=CARTESIAN_POINT('Origin',(34.762,60.25,1.49999999999999)); #501871=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #501872=CARTESIAN_POINT('',(55.25,34.,0.)); #501873=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #501874=CARTESIAN_POINT('',(55.25,34.,1.49999999999999)); #501875=CARTESIAN_POINT('',(55.25,34.,-200.)); #501876=CARTESIAN_POINT('Origin',(55.75,34.,1.49999999999999)); #501877=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #501878=CARTESIAN_POINT('',(30.03,2.89999999062179,1.49999999999999)); #501879=CARTESIAN_POINT('',(30.03,2.09999997186536,1.49999999999999)); #501880=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,1.5)); #501881=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #501882=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #501883=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #501884=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #501885=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #501886=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #501887=CARTESIAN_POINT('',(29.33,2.10000000937821,1.49999999999999)); #501888=CARTESIAN_POINT('Origin',(29.68,2.1,1.49999999999999)); #501889=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #501890=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #501891=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #501892=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #501893=CARTESIAN_POINT('',(29.33,2.90000002813464,1.49999999999999)); #501894=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,1.5)); #501895=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #501896=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #501897=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #501898=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #501899=CARTESIAN_POINT('Origin',(29.68,2.9,1.49999999999999)); #501900=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #501901=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #501902=CARTESIAN_POINT('',(35.075,6.25,0.)); #501903=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #501904=CARTESIAN_POINT('',(35.075,6.25,1.49999999999999)); #501905=CARTESIAN_POINT('',(35.075,6.25,-200.)); #501906=CARTESIAN_POINT('Origin',(35.25,6.25,1.49999999999999)); #501907=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #501908=CARTESIAN_POINT('',(28.8500000160769,34.6,1.49999999999999)); #501909=CARTESIAN_POINT('',(29.4500000482308,34.6,1.49999999999999)); #501910=CARTESIAN_POINT('',(31.7250000241154,34.6,1.5)); #501911=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #501912=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #501913=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #501914=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #501915=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #501916=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #501917=CARTESIAN_POINT('',(29.4499999839231,33.4,1.49999999999999)); #501918=CARTESIAN_POINT('Origin',(29.45,34.,1.49999999999999)); #501919=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #501920=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #501921=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #501922=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #501923=CARTESIAN_POINT('',(28.8499999517692,33.4,1.49999999999999)); #501924=CARTESIAN_POINT('',(31.4249999758846,33.4,1.5)); #501925=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #501926=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #501927=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #501928=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #501929=CARTESIAN_POINT('Origin',(28.85,34.,1.49999999999999)); #501930=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #501931=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #501932=CARTESIAN_POINT('',(31.575,16.25,0.)); #501933=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #501934=CARTESIAN_POINT('',(31.575,16.25,1.49999999999999)); #501935=CARTESIAN_POINT('',(31.575,16.25,-200.)); #501936=CARTESIAN_POINT('Origin',(31.75,16.25,1.49999999999999)); #501937=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #501938=CARTESIAN_POINT('',(30.65,7.6,0.)); #501939=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #501940=CARTESIAN_POINT('',(30.65,7.6,1.49999999999999)); #501941=CARTESIAN_POINT('',(30.65,7.6,-200.)); #501942=CARTESIAN_POINT('Origin',(30.8,7.6,1.49999999999999)); #501943=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #501944=CARTESIAN_POINT('',(34.075,8.25,0.)); #501945=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #501946=CARTESIAN_POINT('',(34.075,8.25,1.49999999999999)); #501947=CARTESIAN_POINT('',(34.075,8.25,-200.)); #501948=CARTESIAN_POINT('Origin',(34.25,8.25,1.49999999999999)); #501949=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #501950=CARTESIAN_POINT('',(38.5500000160769,34.6,1.49999999999999)); #501951=CARTESIAN_POINT('',(39.1500000482308,34.6,1.49999999999999)); #501952=CARTESIAN_POINT('',(36.5750000241154,34.6,1.5)); #501953=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #501954=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #501955=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #501956=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #501957=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #501958=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #501959=CARTESIAN_POINT('',(39.1499999839231,33.4,1.49999999999999)); #501960=CARTESIAN_POINT('Origin',(39.15,34.,1.49999999999999)); #501961=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #501962=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #501963=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #501964=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #501965=CARTESIAN_POINT('',(38.5499999517692,33.4,1.49999999999999)); #501966=CARTESIAN_POINT('',(36.2749999758846,33.4,1.5)); #501967=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #501968=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #501969=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #501970=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #501971=CARTESIAN_POINT('Origin',(38.55,34.,1.49999999999999)); #501972=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #501973=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #501974=CARTESIAN_POINT('',(34.575,17.75,0.)); #501975=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #501976=CARTESIAN_POINT('',(34.575,17.75,1.49999999999999)); #501977=CARTESIAN_POINT('',(34.575,17.75,-200.)); #501978=CARTESIAN_POINT('Origin',(34.75,17.75,1.49999999999999)); #501979=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #501980=CARTESIAN_POINT('',(37.05,7.1,0.)); #501981=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #501982=CARTESIAN_POINT('',(37.05,7.1,1.49999999999999)); #501983=CARTESIAN_POINT('',(37.05,7.1,-200.)); #501984=CARTESIAN_POINT('Origin',(37.2,7.1,1.49999999999999)); #501985=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #501986=CARTESIAN_POINT('',(32.575,8.25,0.)); #501987=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #501988=CARTESIAN_POINT('',(32.575,8.25,1.49999999999999)); #501989=CARTESIAN_POINT('',(32.575,8.25,-200.)); #501990=CARTESIAN_POINT('Origin',(32.75,8.25,1.49999999999999)); #501991=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #501992=CARTESIAN_POINT('',(31.45,7.1,0.)); #501993=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #501994=CARTESIAN_POINT('',(31.45,7.1,1.49999999999999)); #501995=CARTESIAN_POINT('',(31.45,7.1,-200.)); #501996=CARTESIAN_POINT('Origin',(31.6,7.1,1.49999999999999)); #501997=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #501998=CARTESIAN_POINT('',(31.575,15.5,0.)); #501999=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #502000=CARTESIAN_POINT('',(31.575,15.5,1.49999999999999)); #502001=CARTESIAN_POINT('',(31.575,15.5,-200.)); #502002=CARTESIAN_POINT('Origin',(31.75,15.5,1.49999999999999)); #502003=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #502004=CARTESIAN_POINT('',(37.05,7.6,0.)); #502005=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #502006=CARTESIAN_POINT('',(37.05,7.6,1.49999999999999)); #502007=CARTESIAN_POINT('',(37.05,7.6,-200.)); #502008=CARTESIAN_POINT('Origin',(37.2,7.6,1.49999999999999)); #502009=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #502010=CARTESIAN_POINT('',(33.575,6.2426,0.)); #502011=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #502012=CARTESIAN_POINT('',(33.575,6.2426,1.49999999999999)); #502013=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #502014=CARTESIAN_POINT('Origin',(33.75,6.2426,1.49999999999999)); #502015=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #502016=CARTESIAN_POINT('',(30.65,7.1,0.)); #502017=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #502018=CARTESIAN_POINT('',(30.65,7.1,1.49999999999999)); #502019=CARTESIAN_POINT('',(30.65,7.1,-200.)); #502020=CARTESIAN_POINT('Origin',(30.8,7.1,1.49999999999999)); #502021=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #502022=CARTESIAN_POINT('',(34.575,55.,0.)); #502023=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #502024=CARTESIAN_POINT('',(34.575,55.,1.49999999999999)); #502025=CARTESIAN_POINT('',(34.575,55.,-200.)); #502026=CARTESIAN_POINT('Origin',(34.75,55.,1.49999999999999)); #502027=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #502028=CARTESIAN_POINT('',(42.075,28.5,0.)); #502029=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #502030=CARTESIAN_POINT('',(42.075,28.5,1.49999999999999)); #502031=CARTESIAN_POINT('',(42.075,28.5,-200.)); #502032=CARTESIAN_POINT('Origin',(42.25,28.5,1.49999999999999)); #502033=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #502034=CARTESIAN_POINT('',(30.03,7.07999999062179,1.49999999999999)); #502035=CARTESIAN_POINT('',(30.03,6.27999997186536,1.49999999999999)); #502036=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,1.5)); #502037=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #502038=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #502039=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #502040=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #502041=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #502042=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #502043=CARTESIAN_POINT('',(29.33,6.28000000937821,1.49999999999999)); #502044=CARTESIAN_POINT('Origin',(29.68,6.28,1.49999999999999)); #502045=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #502046=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #502047=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #502048=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #502049=CARTESIAN_POINT('',(29.33,7.08000002813464,1.49999999999999)); #502050=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,1.5)); #502051=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #502052=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #502053=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #502054=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #502055=CARTESIAN_POINT('Origin',(29.68,7.08,1.49999999999999)); #502056=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #502057=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #502058=CARTESIAN_POINT('',(31.575,17.,0.)); #502059=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #502060=CARTESIAN_POINT('',(31.575,17.,1.49999999999999)); #502061=CARTESIAN_POINT('',(31.575,17.,-200.)); #502062=CARTESIAN_POINT('Origin',(31.75,17.,1.49999999999999)); #502063=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #502064=CARTESIAN_POINT('',(38.67,2.89999999062179,1.49999999999999)); #502065=CARTESIAN_POINT('',(38.67,2.09999997186536,1.49999999999999)); #502066=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,1.5)); #502067=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #502068=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #502069=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #502070=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #502071=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #502072=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #502073=CARTESIAN_POINT('',(37.97,2.10000000937821,1.49999999999999)); #502074=CARTESIAN_POINT('Origin',(38.32,2.1,1.49999999999999)); #502075=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #502076=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #502077=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #502078=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #502079=CARTESIAN_POINT('',(37.97,2.90000002813464,1.49999999999999)); #502080=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,1.5)); #502081=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #502082=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #502083=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #502084=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #502085=CARTESIAN_POINT('Origin',(38.32,2.9,1.49999999999999)); #502086=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #502087=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #502088=CARTESIAN_POINT('',(33.825,23.125,0.)); #502089=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #502090=CARTESIAN_POINT('',(33.825,23.125,1.49999999999999)); #502091=CARTESIAN_POINT('',(33.825,23.125,-200.)); #502092=CARTESIAN_POINT('Origin',(34.,23.125,1.49999999999999)); #502093=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #502094=CARTESIAN_POINT('',(38.67,7.07999999062179,1.49999999999999)); #502095=CARTESIAN_POINT('',(38.67,6.27999997186536,1.49999999999999)); #502096=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,1.5)); #502097=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #502098=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #502099=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #502100=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #502101=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #502102=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #502103=CARTESIAN_POINT('',(37.97,6.28000000937821,1.49999999999999)); #502104=CARTESIAN_POINT('Origin',(38.32,6.28,1.49999999999999)); #502105=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #502106=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #502107=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #502108=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #502109=CARTESIAN_POINT('',(37.97,7.08000002813464,1.49999999999999)); #502110=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,1.5)); #502111=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #502112=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #502113=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #502114=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #502115=CARTESIAN_POINT('Origin',(38.32,7.08,1.49999999999999)); #502116=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #502117=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #502118=CARTESIAN_POINT('',(33.075,17.75,0.)); #502119=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #502120=CARTESIAN_POINT('',(33.075,17.75,1.49999999999999)); #502121=CARTESIAN_POINT('',(33.075,17.75,-200.)); #502122=CARTESIAN_POINT('Origin',(33.25,17.75,1.49999999999999)); #502123=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #502124=CARTESIAN_POINT('',(40.575,27.,0.)); #502125=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #502126=CARTESIAN_POINT('',(40.575,27.,1.49999999999999)); #502127=CARTESIAN_POINT('',(40.575,27.,-200.)); #502128=CARTESIAN_POINT('Origin',(40.75,27.,1.49999999999999)); #502129=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #502130=CARTESIAN_POINT('',(31.575,17.75,0.)); #502131=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #502132=CARTESIAN_POINT('',(31.575,17.75,1.49999999999999)); #502133=CARTESIAN_POINT('',(31.575,17.75,-200.)); #502134=CARTESIAN_POINT('Origin',(31.75,17.75,1.49999999999999)); #502135=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #502136=CARTESIAN_POINT('',(15.075,21.,0.)); #502137=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #502138=CARTESIAN_POINT('',(15.075,21.,1.49999999999999)); #502139=CARTESIAN_POINT('',(15.075,21.,-200.)); #502140=CARTESIAN_POINT('Origin',(15.25,21.,1.49999999999999)); #502141=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #502142=CARTESIAN_POINT('',(32.13,45.,0.)); #502143=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #502144=CARTESIAN_POINT('',(32.13,45.,1.49999999999999)); #502145=CARTESIAN_POINT('',(32.13,45.,-200.)); #502146=CARTESIAN_POINT('Origin',(32.73,45.,1.49999999999999)); #502147=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #502148=CARTESIAN_POINT('',(44.95,56.75,0.)); #502149=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #502150=CARTESIAN_POINT('',(44.95,56.75,1.49999999999999)); #502151=CARTESIAN_POINT('',(44.95,56.75,-200.)); #502152=CARTESIAN_POINT('Origin',(45.125,56.75,1.49999999999999)); #502153=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #502154=CARTESIAN_POINT('',(55.075,21.75,0.)); #502155=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #502156=CARTESIAN_POINT('',(55.075,21.75,1.49999999999999)); #502157=CARTESIAN_POINT('',(55.075,21.75,-200.)); #502158=CARTESIAN_POINT('Origin',(55.25,21.75,1.49999999999999)); #502159=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #502160=CARTESIAN_POINT('',(47.575,56.75,0.)); #502161=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #502162=CARTESIAN_POINT('',(47.575,56.75,1.49999999999999)); #502163=CARTESIAN_POINT('',(47.575,56.75,-200.)); #502164=CARTESIAN_POINT('Origin',(47.75,56.75,1.49999999999999)); #502165=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #502166=CARTESIAN_POINT('',(35.325,15.5,0.)); #502167=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #502168=CARTESIAN_POINT('',(35.325,15.5,1.49999999999999)); #502169=CARTESIAN_POINT('',(35.325,15.5,-200.)); #502170=CARTESIAN_POINT('Origin',(35.5,15.5,1.49999999999999)); #502171=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #502172=CARTESIAN_POINT('',(47.575,55.25,0.)); #502173=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #502174=CARTESIAN_POINT('',(47.575,55.25,1.49999999999999)); #502175=CARTESIAN_POINT('',(47.575,55.25,-200.)); #502176=CARTESIAN_POINT('Origin',(47.75,55.25,1.49999999999999)); #502177=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #502178=CARTESIAN_POINT('',(55.075,21.,0.)); #502179=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #502180=CARTESIAN_POINT('',(55.075,21.,1.49999999999999)); #502181=CARTESIAN_POINT('',(55.075,21.,-200.)); #502182=CARTESIAN_POINT('Origin',(55.25,21.,1.49999999999999)); #502183=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #502184=CARTESIAN_POINT('',(47.575,56.,0.)); #502185=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #502186=CARTESIAN_POINT('',(47.575,56.,1.49999999999999)); #502187=CARTESIAN_POINT('',(47.575,56.,-200.)); #502188=CARTESIAN_POINT('Origin',(47.75,56.,1.49999999999999)); #502189=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #502190=CARTESIAN_POINT('',(30.575,9.5,0.)); #502191=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #502192=CARTESIAN_POINT('',(30.575,9.5,1.49999999999999)); #502193=CARTESIAN_POINT('',(30.575,9.5,-200.)); #502194=CARTESIAN_POINT('Origin',(30.75,9.5,1.49999999999999)); #502195=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #502196=CARTESIAN_POINT('',(45.825,56.,0.)); #502197=CARTESIAN_POINT('Origin',(46.,56.,0.)); #502198=CARTESIAN_POINT('',(45.825,56.,1.49999999999999)); #502199=CARTESIAN_POINT('',(45.825,56.,-200.)); #502200=CARTESIAN_POINT('Origin',(46.,56.,1.49999999999999)); #502201=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #502202=CARTESIAN_POINT('',(39.825,38.75,0.)); #502203=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #502204=CARTESIAN_POINT('',(39.825,38.75,1.49999999999999)); #502205=CARTESIAN_POINT('',(39.825,38.75,-200.)); #502206=CARTESIAN_POINT('Origin',(40.,38.75,1.49999999999999)); #502207=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #502208=CARTESIAN_POINT('',(44.075,56.,0.)); #502209=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #502210=CARTESIAN_POINT('',(44.075,56.,1.49999999999999)); #502211=CARTESIAN_POINT('',(44.075,56.,-200.)); #502212=CARTESIAN_POINT('Origin',(44.25,56.,1.49999999999999)); #502213=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #502214=CARTESIAN_POINT('',(34.575,15.5,0.)); #502215=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #502216=CARTESIAN_POINT('',(34.575,15.5,1.49999999999999)); #502217=CARTESIAN_POINT('',(34.575,15.5,-200.)); #502218=CARTESIAN_POINT('Origin',(34.75,15.5,1.49999999999999)); #502219=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #502220=CARTESIAN_POINT('',(45.825,56.75,0.)); #502221=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #502222=CARTESIAN_POINT('',(45.825,56.75,1.49999999999999)); #502223=CARTESIAN_POINT('',(45.825,56.75,-200.)); #502224=CARTESIAN_POINT('Origin',(46.,56.75,1.49999999999999)); #502225=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #502226=CARTESIAN_POINT('',(39.825,39.5,0.)); #502227=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #502228=CARTESIAN_POINT('',(39.825,39.5,1.49999999999999)); #502229=CARTESIAN_POINT('',(39.825,39.5,-200.)); #502230=CARTESIAN_POINT('Origin',(40.,39.5,1.49999999999999)); #502231=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #502232=CARTESIAN_POINT('',(45.825,55.25,0.)); #502233=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #502234=CARTESIAN_POINT('',(45.825,55.25,1.49999999999999)); #502235=CARTESIAN_POINT('',(45.825,55.25,-200.)); #502236=CARTESIAN_POINT('Origin',(46.,55.25,1.49999999999999)); #502237=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #502238=CARTESIAN_POINT('',(33.5,25.25,0.)); #502239=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #502240=CARTESIAN_POINT('',(33.5,25.25,1.49999999999999)); #502241=CARTESIAN_POINT('',(33.5,25.25,-200.)); #502242=CARTESIAN_POINT('Origin',(34.,25.25,1.49999999999999)); #502243=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #502244=CARTESIAN_POINT('',(51.95,47.75,0.)); #502245=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #502246=CARTESIAN_POINT('',(51.95,47.75,1.49999999999999)); #502247=CARTESIAN_POINT('',(51.95,47.75,-200.)); #502248=CARTESIAN_POINT('Origin',(52.125,47.75,1.49999999999999)); #502249=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #502250=CARTESIAN_POINT('',(27.825,38.75,0.)); #502251=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #502252=CARTESIAN_POINT('',(27.825,38.75,1.49999999999999)); #502253=CARTESIAN_POINT('',(27.825,38.75,-200.)); #502254=CARTESIAN_POINT('Origin',(28.,38.75,1.49999999999999)); #502255=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #502256=CARTESIAN_POINT('',(51.95,47.,0.)); #502257=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #502258=CARTESIAN_POINT('',(51.95,47.,1.49999999999999)); #502259=CARTESIAN_POINT('',(51.95,47.,-200.)); #502260=CARTESIAN_POINT('Origin',(52.125,47.,1.49999999999999)); #502261=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #502262=CARTESIAN_POINT('',(33.075,15.5,0.)); #502263=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #502264=CARTESIAN_POINT('',(33.075,15.5,1.49999999999999)); #502265=CARTESIAN_POINT('',(33.075,15.5,-200.)); #502266=CARTESIAN_POINT('Origin',(33.25,15.5,1.49999999999999)); #502267=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #502268=CARTESIAN_POINT('',(51.075,46.25,0.)); #502269=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #502270=CARTESIAN_POINT('',(51.075,46.25,1.49999999999999)); #502271=CARTESIAN_POINT('',(51.075,46.25,-200.)); #502272=CARTESIAN_POINT('Origin',(51.25,46.25,1.49999999999999)); #502273=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #502274=CARTESIAN_POINT('',(27.825,38.,0.)); #502275=CARTESIAN_POINT('Origin',(28.,38.,0.)); #502276=CARTESIAN_POINT('',(27.825,38.,1.49999999999999)); #502277=CARTESIAN_POINT('',(27.825,38.,-200.)); #502278=CARTESIAN_POINT('Origin',(28.,38.,1.49999999999999)); #502279=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #502280=CARTESIAN_POINT('',(51.95,46.25,0.)); #502281=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #502282=CARTESIAN_POINT('',(51.95,46.25,1.49999999999999)); #502283=CARTESIAN_POINT('',(51.95,46.25,-200.)); #502284=CARTESIAN_POINT('Origin',(52.125,46.25,1.49999999999999)); #502285=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #502286=CARTESIAN_POINT('',(30.575,8.75,0.)); #502287=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #502288=CARTESIAN_POINT('',(30.575,8.75,1.49999999999999)); #502289=CARTESIAN_POINT('',(30.575,8.75,-200.)); #502290=CARTESIAN_POINT('Origin',(30.75,8.75,1.49999999999999)); #502291=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #502292=CARTESIAN_POINT('',(41.075,31.,0.)); #502293=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #502294=CARTESIAN_POINT('',(41.075,31.,1.49999999999999)); #502295=CARTESIAN_POINT('',(41.075,31.,-200.)); #502296=CARTESIAN_POINT('Origin',(41.25,31.,1.49999999999999)); #502297=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #502298=CARTESIAN_POINT('',(27.825,39.5,0.)); #502299=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #502300=CARTESIAN_POINT('',(27.825,39.5,1.49999999999999)); #502301=CARTESIAN_POINT('',(27.825,39.5,-200.)); #502302=CARTESIAN_POINT('Origin',(28.,39.5,1.49999999999999)); #502303=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #502304=CARTESIAN_POINT('',(41.075,36.,0.)); #502305=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #502306=CARTESIAN_POINT('',(41.075,36.,1.49999999999999)); #502307=CARTESIAN_POINT('',(41.075,36.,-200.)); #502308=CARTESIAN_POINT('Origin',(41.25,36.,1.49999999999999)); #502309=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #502310=CARTESIAN_POINT('',(33.825,15.5,0.)); #502311=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #502312=CARTESIAN_POINT('',(33.825,15.5,1.49999999999999)); #502313=CARTESIAN_POINT('',(33.825,15.5,-200.)); #502314=CARTESIAN_POINT('Origin',(34.,15.5,1.49999999999999)); #502315=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #502316=CARTESIAN_POINT('',(44.075,56.75,0.)); #502317=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #502318=CARTESIAN_POINT('',(44.075,56.75,1.49999999999999)); #502319=CARTESIAN_POINT('',(44.075,56.75,-200.)); #502320=CARTESIAN_POINT('Origin',(44.25,56.75,1.49999999999999)); #502321=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #502322=CARTESIAN_POINT('',(39.825,38.,0.)); #502323=CARTESIAN_POINT('Origin',(40.,38.,0.)); #502324=CARTESIAN_POINT('',(39.825,38.,1.49999999999999)); #502325=CARTESIAN_POINT('',(39.825,38.,-200.)); #502326=CARTESIAN_POINT('Origin',(40.,38.,1.49999999999999)); #502327=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #502328=CARTESIAN_POINT('',(44.075,55.25,0.)); #502329=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #502330=CARTESIAN_POINT('',(44.075,55.25,1.49999999999999)); #502331=CARTESIAN_POINT('',(44.075,55.25,-200.)); #502332=CARTESIAN_POINT('Origin',(44.25,55.25,1.49999999999999)); #502333=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #502334=CARTESIAN_POINT('',(43.2,34.,0.)); #502335=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #502336=CARTESIAN_POINT('',(43.2,34.,1.49999999999999)); #502337=CARTESIAN_POINT('',(43.2,34.,-200.)); #502338=CARTESIAN_POINT('Origin',(43.75,34.,1.49999999999999)); #502339=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #502340=CARTESIAN_POINT('',(48.45,47.75,0.)); #502341=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #502342=CARTESIAN_POINT('',(48.45,47.75,1.49999999999999)); #502343=CARTESIAN_POINT('',(48.45,47.75,-200.)); #502344=CARTESIAN_POINT('Origin',(48.625,47.75,1.49999999999999)); #502345=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #502346=CARTESIAN_POINT('',(40.825,24.5,0.)); #502347=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #502348=CARTESIAN_POINT('',(40.825,24.5,1.49999999999999)); #502349=CARTESIAN_POINT('',(40.825,24.5,-200.)); #502350=CARTESIAN_POINT('Origin',(41.,24.5,1.49999999999999)); #502351=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #502352=CARTESIAN_POINT('',(48.45,47.,0.)); #502353=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #502354=CARTESIAN_POINT('',(48.45,47.,1.49999999999999)); #502355=CARTESIAN_POINT('',(48.45,47.,-200.)); #502356=CARTESIAN_POINT('Origin',(48.625,47.,1.49999999999999)); #502357=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #502358=CARTESIAN_POINT('',(32.325,17.,0.)); #502359=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #502360=CARTESIAN_POINT('',(32.325,17.,1.49999999999999)); #502361=CARTESIAN_POINT('',(32.325,17.,-200.)); #502362=CARTESIAN_POINT('Origin',(32.5,17.,1.49999999999999)); #502363=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #502364=CARTESIAN_POINT('',(46.7,47.75,0.)); #502365=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #502366=CARTESIAN_POINT('',(46.7,47.75,1.49999999999999)); #502367=CARTESIAN_POINT('',(46.7,47.75,-200.)); #502368=CARTESIAN_POINT('Origin',(46.875,47.75,1.49999999999999)); #502369=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #502370=CARTESIAN_POINT('',(40.825,26.,0.)); #502371=CARTESIAN_POINT('Origin',(41.,26.,0.)); #502372=CARTESIAN_POINT('',(40.825,26.,1.49999999999999)); #502373=CARTESIAN_POINT('',(40.825,26.,-200.)); #502374=CARTESIAN_POINT('Origin',(41.,26.,1.49999999999999)); #502375=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #502376=CARTESIAN_POINT('',(48.45,46.25,0.)); #502377=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #502378=CARTESIAN_POINT('',(48.45,46.25,1.49999999999999)); #502379=CARTESIAN_POINT('',(48.45,46.25,-200.)); #502380=CARTESIAN_POINT('Origin',(48.625,46.25,1.49999999999999)); #502381=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #502382=CARTESIAN_POINT('',(34.575,5.5,0.)); #502383=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #502384=CARTESIAN_POINT('',(34.575,5.5,1.49999999999999)); #502385=CARTESIAN_POINT('',(34.575,5.5,-200.)); #502386=CARTESIAN_POINT('Origin',(34.75,5.5,1.49999999999999)); #502387=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #502388=CARTESIAN_POINT('',(44.95,46.25,0.)); #502389=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #502390=CARTESIAN_POINT('',(44.95,46.25,1.49999999999999)); #502391=CARTESIAN_POINT('',(44.95,46.25,-200.)); #502392=CARTESIAN_POINT('Origin',(45.125,46.25,1.49999999999999)); #502393=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #502394=CARTESIAN_POINT('',(41.575,25.25,0.)); #502395=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #502396=CARTESIAN_POINT('',(41.575,25.25,1.49999999999999)); #502397=CARTESIAN_POINT('',(41.575,25.25,-200.)); #502398=CARTESIAN_POINT('Origin',(41.75,25.25,1.49999999999999)); #502399=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #502400=CARTESIAN_POINT('',(44.95,47.,0.)); #502401=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #502402=CARTESIAN_POINT('',(44.95,47.,1.49999999999999)); #502403=CARTESIAN_POINT('',(44.95,47.,-200.)); #502404=CARTESIAN_POINT('Origin',(45.125,47.,1.49999999999999)); #502405=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #502406=CARTESIAN_POINT('',(32.325,17.75,0.)); #502407=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #502408=CARTESIAN_POINT('',(32.325,17.75,1.49999999999999)); #502409=CARTESIAN_POINT('',(32.325,17.75,-200.)); #502410=CARTESIAN_POINT('Origin',(32.5,17.75,1.49999999999999)); #502411=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #502412=CARTESIAN_POINT('',(46.7,46.25,0.)); #502413=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #502414=CARTESIAN_POINT('',(46.7,46.25,1.49999999999999)); #502415=CARTESIAN_POINT('',(46.7,46.25,-200.)); #502416=CARTESIAN_POINT('Origin',(46.875,46.25,1.49999999999999)); #502417=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #502418=CARTESIAN_POINT('',(41.575,26.,0.)); #502419=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #502420=CARTESIAN_POINT('',(41.575,26.,1.49999999999999)); #502421=CARTESIAN_POINT('',(41.575,26.,-200.)); #502422=CARTESIAN_POINT('Origin',(41.75,26.,1.49999999999999)); #502423=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #502424=CARTESIAN_POINT('',(46.7,47.,0.)); #502425=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #502426=CARTESIAN_POINT('',(46.7,47.,1.49999999999999)); #502427=CARTESIAN_POINT('',(46.7,47.,-200.)); #502428=CARTESIAN_POINT('Origin',(46.875,47.,1.49999999999999)); #502429=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #502430=CARTESIAN_POINT('',(36.,25.25,0.)); #502431=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #502432=CARTESIAN_POINT('',(36.,25.25,1.49999999999999)); #502433=CARTESIAN_POINT('',(36.,25.25,-200.)); #502434=CARTESIAN_POINT('Origin',(36.5,25.25,1.49999999999999)); #502435=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #502436=CARTESIAN_POINT('',(50.2,46.25,0.)); #502437=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #502438=CARTESIAN_POINT('',(50.2,46.25,1.49999999999999)); #502439=CARTESIAN_POINT('',(50.2,46.25,-200.)); #502440=CARTESIAN_POINT('Origin',(50.375,46.25,1.49999999999999)); #502441=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #502442=CARTESIAN_POINT('',(42.325,24.5,0.)); #502443=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #502444=CARTESIAN_POINT('',(42.325,24.5,1.49999999999999)); #502445=CARTESIAN_POINT('',(42.325,24.5,-200.)); #502446=CARTESIAN_POINT('Origin',(42.5,24.5,1.49999999999999)); #502447=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #502448=CARTESIAN_POINT('',(49.325,46.25,0.)); #502449=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #502450=CARTESIAN_POINT('',(49.325,46.25,1.49999999999999)); #502451=CARTESIAN_POINT('',(49.325,46.25,-200.)); #502452=CARTESIAN_POINT('Origin',(49.5,46.25,1.49999999999999)); #502453=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #502454=CARTESIAN_POINT('',(32.325,16.25,0.)); #502455=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #502456=CARTESIAN_POINT('',(32.325,16.25,1.49999999999999)); #502457=CARTESIAN_POINT('',(32.325,16.25,-200.)); #502458=CARTESIAN_POINT('Origin',(32.5,16.25,1.49999999999999)); #502459=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #502460=CARTESIAN_POINT('',(49.325,47.75,0.)); #502461=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #502462=CARTESIAN_POINT('',(49.325,47.75,1.49999999999999)); #502463=CARTESIAN_POINT('',(49.325,47.75,-200.)); #502464=CARTESIAN_POINT('Origin',(49.5,47.75,1.49999999999999)); #502465=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #502466=CARTESIAN_POINT('',(41.575,24.5,0.)); #502467=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #502468=CARTESIAN_POINT('',(41.575,24.5,1.49999999999999)); #502469=CARTESIAN_POINT('',(41.575,24.5,-200.)); #502470=CARTESIAN_POINT('Origin',(41.75,24.5,1.49999999999999)); #502471=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #502472=CARTESIAN_POINT('',(49.325,47.,0.)); #502473=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #502474=CARTESIAN_POINT('',(49.325,47.,1.49999999999999)); #502475=CARTESIAN_POINT('',(49.325,47.,-200.)); #502476=CARTESIAN_POINT('Origin',(49.5,47.,1.49999999999999)); #502477=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #502478=CARTESIAN_POINT('',(31.325,8.75,0.)); #502479=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #502480=CARTESIAN_POINT('',(31.325,8.75,1.49999999999999)); #502481=CARTESIAN_POINT('',(31.325,8.75,-200.)); #502482=CARTESIAN_POINT('Origin',(31.5,8.75,1.49999999999999)); #502483=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #502484=CARTESIAN_POINT('',(50.2,47.75,0.)); #502485=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #502486=CARTESIAN_POINT('',(50.2,47.75,1.49999999999999)); #502487=CARTESIAN_POINT('',(50.2,47.75,-200.)); #502488=CARTESIAN_POINT('Origin',(50.375,47.75,1.49999999999999)); #502489=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #502490=CARTESIAN_POINT('',(42.325,25.25,0.)); #502491=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #502492=CARTESIAN_POINT('',(42.325,25.25,1.49999999999999)); #502493=CARTESIAN_POINT('',(42.325,25.25,-200.)); #502494=CARTESIAN_POINT('Origin',(42.5,25.25,1.49999999999999)); #502495=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #502496=CARTESIAN_POINT('',(50.2,47.,0.)); #502497=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #502498=CARTESIAN_POINT('',(50.2,47.,1.49999999999999)); #502499=CARTESIAN_POINT('',(50.2,47.,-200.)); #502500=CARTESIAN_POINT('Origin',(50.375,47.,1.49999999999999)); #502501=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #502502=CARTESIAN_POINT('',(32.325,15.5,0.)); #502503=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #502504=CARTESIAN_POINT('',(32.325,15.5,1.49999999999999)); #502505=CARTESIAN_POINT('',(32.325,15.5,-200.)); #502506=CARTESIAN_POINT('Origin',(32.5,15.5,1.49999999999999)); #502507=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #502508=CARTESIAN_POINT('',(51.075,47.75,0.)); #502509=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #502510=CARTESIAN_POINT('',(51.075,47.75,1.49999999999999)); #502511=CARTESIAN_POINT('',(51.075,47.75,-200.)); #502512=CARTESIAN_POINT('Origin',(51.25,47.75,1.49999999999999)); #502513=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #502514=CARTESIAN_POINT('',(42.325,26.,0.)); #502515=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #502516=CARTESIAN_POINT('',(42.325,26.,1.49999999999999)); #502517=CARTESIAN_POINT('',(42.325,26.,-200.)); #502518=CARTESIAN_POINT('Origin',(42.5,26.,1.49999999999999)); #502519=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #502520=CARTESIAN_POINT('',(51.075,47.,0.)); #502521=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #502522=CARTESIAN_POINT('',(51.075,47.,1.49999999999999)); #502523=CARTESIAN_POINT('',(51.075,47.,-200.)); #502524=CARTESIAN_POINT('Origin',(51.25,47.,1.49999999999999)); #502525=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #502526=CARTESIAN_POINT('',(37.21,45.,0.)); #502527=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #502528=CARTESIAN_POINT('',(37.21,45.,1.49999999999999)); #502529=CARTESIAN_POINT('',(37.21,45.,-200.)); #502530=CARTESIAN_POINT('Origin',(37.81,45.,1.49999999999999)); #502531=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #502532=CARTESIAN_POINT('',(23.575,56.,0.)); #502533=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #502534=CARTESIAN_POINT('',(23.575,56.,1.49999999999999)); #502535=CARTESIAN_POINT('',(23.575,56.,-200.)); #502536=CARTESIAN_POINT('Origin',(23.75,56.,1.49999999999999)); #502537=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #502538=CARTESIAN_POINT('',(12.575,21.,0.)); #502539=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #502540=CARTESIAN_POINT('',(12.575,21.,1.49999999999999)); #502541=CARTESIAN_POINT('',(12.575,21.,-200.)); #502542=CARTESIAN_POINT('Origin',(12.75,21.,1.49999999999999)); #502543=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #502544=CARTESIAN_POINT('',(23.575,55.25,0.)); #502545=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #502546=CARTESIAN_POINT('',(23.575,55.25,1.49999999999999)); #502547=CARTESIAN_POINT('',(23.575,55.25,-200.)); #502548=CARTESIAN_POINT('Origin',(23.75,55.25,1.49999999999999)); #502549=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #502550=CARTESIAN_POINT('',(33.075,19.25,0.)); #502551=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #502552=CARTESIAN_POINT('',(33.075,19.25,1.49999999999999)); #502553=CARTESIAN_POINT('',(33.075,19.25,-200.)); #502554=CARTESIAN_POINT('Origin',(33.25,19.25,1.49999999999999)); #502555=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #502556=CARTESIAN_POINT('',(21.825,56.75,0.)); #502557=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #502558=CARTESIAN_POINT('',(21.825,56.75,1.49999999999999)); #502559=CARTESIAN_POINT('',(21.825,56.75,-200.)); #502560=CARTESIAN_POINT('Origin',(22.,56.75,1.49999999999999)); #502561=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #502562=CARTESIAN_POINT('',(55.825,20.25,0.)); #502563=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #502564=CARTESIAN_POINT('',(55.825,20.25,1.49999999999999)); #502565=CARTESIAN_POINT('',(55.825,20.25,-200.)); #502566=CARTESIAN_POINT('Origin',(56.,20.25,1.49999999999999)); #502567=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #502568=CARTESIAN_POINT('',(21.825,55.25,0.)); #502569=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #502570=CARTESIAN_POINT('',(21.825,55.25,1.49999999999999)); #502571=CARTESIAN_POINT('',(21.825,55.25,-200.)); #502572=CARTESIAN_POINT('Origin',(22.,55.25,1.49999999999999)); #502573=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #502574=CARTESIAN_POINT('',(36.325,8.75,0.)); #502575=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #502576=CARTESIAN_POINT('',(36.325,8.75,1.49999999999999)); #502577=CARTESIAN_POINT('',(36.325,8.75,-200.)); #502578=CARTESIAN_POINT('Origin',(36.5,8.75,1.49999999999999)); #502579=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #502580=CARTESIAN_POINT('',(20.075,55.25,0.)); #502581=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #502582=CARTESIAN_POINT('',(20.075,55.25,1.49999999999999)); #502583=CARTESIAN_POINT('',(20.075,55.25,-200.)); #502584=CARTESIAN_POINT('Origin',(20.25,55.25,1.49999999999999)); #502585=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #502586=CARTESIAN_POINT('',(55.825,21.75,0.)); #502587=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #502588=CARTESIAN_POINT('',(55.825,21.75,1.49999999999999)); #502589=CARTESIAN_POINT('',(55.825,21.75,-200.)); #502590=CARTESIAN_POINT('Origin',(56.,21.75,1.49999999999999)); #502591=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #502592=CARTESIAN_POINT('',(20.075,56.75,0.)); #502593=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #502594=CARTESIAN_POINT('',(20.075,56.75,1.49999999999999)); #502595=CARTESIAN_POINT('',(20.075,56.75,-200.)); #502596=CARTESIAN_POINT('Origin',(20.25,56.75,1.49999999999999)); #502597=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #502598=CARTESIAN_POINT('',(32.325,19.25,0.)); #502599=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #502600=CARTESIAN_POINT('',(32.325,19.25,1.49999999999999)); #502601=CARTESIAN_POINT('',(32.325,19.25,-200.)); #502602=CARTESIAN_POINT('Origin',(32.5,19.25,1.49999999999999)); #502603=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #502604=CARTESIAN_POINT('',(20.075,56.,0.)); #502605=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #502606=CARTESIAN_POINT('',(20.075,56.,1.49999999999999)); #502607=CARTESIAN_POINT('',(20.075,56.,-200.)); #502608=CARTESIAN_POINT('Origin',(20.25,56.,1.49999999999999)); #502609=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #502610=CARTESIAN_POINT('',(55.825,21.,0.)); #502611=CARTESIAN_POINT('Origin',(56.,21.,0.)); #502612=CARTESIAN_POINT('',(55.825,21.,1.49999999999999)); #502613=CARTESIAN_POINT('',(55.825,21.,-200.)); #502614=CARTESIAN_POINT('Origin',(56.,21.,1.49999999999999)); #502615=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #502616=CARTESIAN_POINT('',(21.825,56.,0.)); #502617=CARTESIAN_POINT('Origin',(22.,56.,0.)); #502618=CARTESIAN_POINT('',(21.825,56.,1.49999999999999)); #502619=CARTESIAN_POINT('',(21.825,56.,-200.)); #502620=CARTESIAN_POINT('Origin',(22.,56.,1.49999999999999)); #502621=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #502622=CARTESIAN_POINT('',(31.,25.25,0.)); #502623=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #502624=CARTESIAN_POINT('',(31.,25.25,1.49999999999999)); #502625=CARTESIAN_POINT('',(31.,25.25,-200.)); #502626=CARTESIAN_POINT('Origin',(31.5,25.25,1.49999999999999)); #502627=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #502628=CARTESIAN_POINT('',(46.7,56.,0.)); #502629=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #502630=CARTESIAN_POINT('',(46.7,56.,1.49999999999999)); #502631=CARTESIAN_POINT('',(46.7,56.,-200.)); #502632=CARTESIAN_POINT('Origin',(46.875,56.,1.49999999999999)); #502633=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #502634=CARTESIAN_POINT('',(54.325,20.25,0.)); #502635=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #502636=CARTESIAN_POINT('',(54.325,20.25,1.49999999999999)); #502637=CARTESIAN_POINT('',(54.325,20.25,-200.)); #502638=CARTESIAN_POINT('Origin',(54.5,20.25,1.49999999999999)); #502639=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #502640=CARTESIAN_POINT('',(46.7,55.25,0.)); #502641=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #502642=CARTESIAN_POINT('',(46.7,55.25,1.49999999999999)); #502643=CARTESIAN_POINT('',(46.7,55.25,-200.)); #502644=CARTESIAN_POINT('Origin',(46.875,55.25,1.49999999999999)); #502645=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #502646=CARTESIAN_POINT('',(35.325,16.25,0.)); #502647=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #502648=CARTESIAN_POINT('',(35.325,16.25,1.49999999999999)); #502649=CARTESIAN_POINT('',(35.325,16.25,-200.)); #502650=CARTESIAN_POINT('Origin',(35.5,16.25,1.49999999999999)); #502651=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #502652=CARTESIAN_POINT('',(44.95,56.,0.)); #502653=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #502654=CARTESIAN_POINT('',(44.95,56.,1.49999999999999)); #502655=CARTESIAN_POINT('',(44.95,56.,-200.)); #502656=CARTESIAN_POINT('Origin',(45.125,56.,1.49999999999999)); #502657=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #502658=CARTESIAN_POINT('',(55.075,20.25,0.)); #502659=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #502660=CARTESIAN_POINT('',(55.075,20.25,1.49999999999999)); #502661=CARTESIAN_POINT('',(55.075,20.25,-200.)); #502662=CARTESIAN_POINT('Origin',(55.25,20.25,1.49999999999999)); #502663=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #502664=CARTESIAN_POINT('',(44.95,55.25,0.)); #502665=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #502666=CARTESIAN_POINT('',(44.95,55.25,1.49999999999999)); #502667=CARTESIAN_POINT('',(44.95,55.25,-200.)); #502668=CARTESIAN_POINT('Origin',(45.125,55.25,1.49999999999999)); #502669=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #502670=CARTESIAN_POINT('',(31.325,9.5,0.)); #502671=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #502672=CARTESIAN_POINT('',(31.325,9.5,1.49999999999999)); #502673=CARTESIAN_POINT('',(31.325,9.5,-200.)); #502674=CARTESIAN_POINT('Origin',(31.5,9.5,1.49999999999999)); #502675=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #502676=CARTESIAN_POINT('',(38.337,53.,0.)); #502677=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #502678=CARTESIAN_POINT('',(38.337,53.,1.49999999999999)); #502679=CARTESIAN_POINT('',(38.337,53.,-200.)); #502680=CARTESIAN_POINT('Origin',(38.512,53.,1.49999999999999)); #502681=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #502682=CARTESIAN_POINT('',(54.325,21.,0.)); #502683=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #502684=CARTESIAN_POINT('',(54.325,21.,1.49999999999999)); #502685=CARTESIAN_POINT('',(54.325,21.,-200.)); #502686=CARTESIAN_POINT('Origin',(54.5,21.,1.49999999999999)); #502687=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #502688=CARTESIAN_POINT('',(46.7,56.75,0.)); #502689=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #502690=CARTESIAN_POINT('',(46.7,56.75,1.49999999999999)); #502691=CARTESIAN_POINT('',(46.7,56.75,-200.)); #502692=CARTESIAN_POINT('Origin',(46.875,56.75,1.49999999999999)); #502693=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #502694=CARTESIAN_POINT('',(35.325,17.,0.)); #502695=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #502696=CARTESIAN_POINT('',(35.325,17.,1.49999999999999)); #502697=CARTESIAN_POINT('',(35.325,17.,-200.)); #502698=CARTESIAN_POINT('Origin',(35.5,17.,1.49999999999999)); #502699=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #502700=CARTESIAN_POINT('',(38.575,36.75,0.)); #502701=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #502702=CARTESIAN_POINT('',(38.575,36.75,1.49999999999999)); #502703=CARTESIAN_POINT('',(38.575,36.75,-200.)); #502704=CARTESIAN_POINT('Origin',(38.75,36.75,1.49999999999999)); #502705=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #502706=CARTESIAN_POINT('',(54.325,21.75,0.)); #502707=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #502708=CARTESIAN_POINT('',(54.325,21.75,1.49999999999999)); #502709=CARTESIAN_POINT('',(54.325,21.75,-200.)); #502710=CARTESIAN_POINT('Origin',(54.5,21.75,1.49999999999999)); #502711=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #502712=CARTESIAN_POINT('',(37.0125,36.75,0.)); #502713=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #502714=CARTESIAN_POINT('',(37.0125,36.75,1.49999999999999)); #502715=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #502716=CARTESIAN_POINT('Origin',(37.1875,36.75,1.49999999999999)); #502717=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #502718=CARTESIAN_POINT('',(45.7,34.,0.)); #502719=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #502720=CARTESIAN_POINT('',(45.7,34.,1.49999999999999)); #502721=CARTESIAN_POINT('',(45.7,34.,-200.)); #502722=CARTESIAN_POINT('Origin',(46.25,34.,1.49999999999999)); #502723=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #502724=CARTESIAN_POINT('',(29.313,53.,0.)); #502725=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #502726=CARTESIAN_POINT('',(29.313,53.,1.49999999999999)); #502727=CARTESIAN_POINT('',(29.313,53.,-200.)); #502728=CARTESIAN_POINT('Origin',(29.488,53.,1.49999999999999)); #502729=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #502730=CARTESIAN_POINT('',(11.825,21.,0.)); #502731=CARTESIAN_POINT('Origin',(12.,21.,0.)); #502732=CARTESIAN_POINT('',(11.825,21.,1.49999999999999)); #502733=CARTESIAN_POINT('',(11.825,21.,-200.)); #502734=CARTESIAN_POINT('Origin',(12.,21.,1.49999999999999)); #502735=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #502736=CARTESIAN_POINT('',(20.95,56.75,0.)); #502737=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #502738=CARTESIAN_POINT('',(20.95,56.75,1.49999999999999)); #502739=CARTESIAN_POINT('',(20.95,56.75,-200.)); #502740=CARTESIAN_POINT('Origin',(21.125,56.75,1.49999999999999)); #502741=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #502742=CARTESIAN_POINT('',(34.575,19.25,0.)); #502743=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #502744=CARTESIAN_POINT('',(34.575,19.25,1.49999999999999)); #502745=CARTESIAN_POINT('',(34.575,19.25,-200.)); #502746=CARTESIAN_POINT('Origin',(34.75,19.25,1.49999999999999)); #502747=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #502748=CARTESIAN_POINT('',(20.95,55.25,0.)); #502749=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #502750=CARTESIAN_POINT('',(20.95,55.25,1.49999999999999)); #502751=CARTESIAN_POINT('',(20.95,55.25,-200.)); #502752=CARTESIAN_POINT('Origin',(21.125,55.25,1.49999999999999)); #502753=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #502754=CARTESIAN_POINT('',(11.825,20.25,0.)); #502755=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #502756=CARTESIAN_POINT('',(11.825,20.25,1.49999999999999)); #502757=CARTESIAN_POINT('',(11.825,20.25,-200.)); #502758=CARTESIAN_POINT('Origin',(12.,20.25,1.49999999999999)); #502759=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #502760=CARTESIAN_POINT('',(20.95,56.,0.)); #502761=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #502762=CARTESIAN_POINT('',(20.95,56.,1.49999999999999)); #502763=CARTESIAN_POINT('',(20.95,56.,-200.)); #502764=CARTESIAN_POINT('Origin',(21.125,56.,1.49999999999999)); #502765=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #502766=CARTESIAN_POINT('',(36.325,9.5,0.)); #502767=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #502768=CARTESIAN_POINT('',(36.325,9.5,1.49999999999999)); #502769=CARTESIAN_POINT('',(36.325,9.5,-200.)); #502770=CARTESIAN_POINT('Origin',(36.5,9.5,1.49999999999999)); #502771=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #502772=CARTESIAN_POINT('',(22.7,56.75,0.)); #502773=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #502774=CARTESIAN_POINT('',(22.7,56.75,1.49999999999999)); #502775=CARTESIAN_POINT('',(22.7,56.75,-200.)); #502776=CARTESIAN_POINT('Origin',(22.875,56.75,1.49999999999999)); #502777=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #502778=CARTESIAN_POINT('',(12.575,21.75,0.)); #502779=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #502780=CARTESIAN_POINT('',(12.575,21.75,1.49999999999999)); #502781=CARTESIAN_POINT('',(12.575,21.75,-200.)); #502782=CARTESIAN_POINT('Origin',(12.75,21.75,1.49999999999999)); #502783=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #502784=CARTESIAN_POINT('',(23.575,56.75,0.)); #502785=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #502786=CARTESIAN_POINT('',(23.575,56.75,1.49999999999999)); #502787=CARTESIAN_POINT('',(23.575,56.75,-200.)); #502788=CARTESIAN_POINT('Origin',(23.75,56.75,1.49999999999999)); #502789=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #502790=CARTESIAN_POINT('',(33.825,19.25,0.)); #502791=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #502792=CARTESIAN_POINT('',(33.825,19.25,1.49999999999999)); #502793=CARTESIAN_POINT('',(33.825,19.25,-200.)); #502794=CARTESIAN_POINT('Origin',(34.,19.25,1.49999999999999)); #502795=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #502796=CARTESIAN_POINT('',(22.7,56.,0.)); #502797=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #502798=CARTESIAN_POINT('',(22.7,56.,1.49999999999999)); #502799=CARTESIAN_POINT('',(22.7,56.,-200.)); #502800=CARTESIAN_POINT('Origin',(22.875,56.,1.49999999999999)); #502801=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #502802=CARTESIAN_POINT('',(12.575,20.25,0.)); #502803=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #502804=CARTESIAN_POINT('',(12.575,20.25,1.49999999999999)); #502805=CARTESIAN_POINT('',(12.575,20.25,-200.)); #502806=CARTESIAN_POINT('Origin',(12.75,20.25,1.49999999999999)); #502807=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #502808=CARTESIAN_POINT('',(22.7,55.25,0.)); #502809=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #502810=CARTESIAN_POINT('',(22.7,55.25,1.49999999999999)); #502811=CARTESIAN_POINT('',(22.7,55.25,-200.)); #502812=CARTESIAN_POINT('Origin',(22.875,55.25,1.49999999999999)); #502813=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #502814=CARTESIAN_POINT('',(36.,27.75,0.)); #502815=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #502816=CARTESIAN_POINT('',(36.,27.75,1.49999999999999)); #502817=CARTESIAN_POINT('',(36.,27.75,-200.)); #502818=CARTESIAN_POINT('Origin',(36.5,27.75,1.49999999999999)); #502819=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #502820=CARTESIAN_POINT('',(23.73,49.75,0.)); #502821=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #502822=CARTESIAN_POINT('',(23.73,49.75,1.49999999999999)); #502823=CARTESIAN_POINT('',(23.73,49.75,-200.)); #502824=CARTESIAN_POINT('Origin',(23.905,49.75,1.49999999999999)); #502825=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #502826=CARTESIAN_POINT('',(13.325,21.75,0.)); #502827=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #502828=CARTESIAN_POINT('',(13.325,21.75,1.49999999999999)); #502829=CARTESIAN_POINT('',(13.325,21.75,-200.)); #502830=CARTESIAN_POINT('Origin',(13.5,21.75,1.49999999999999)); #502831=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #502832=CARTESIAN_POINT('',(47.73,58.75,0.)); #502833=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #502834=CARTESIAN_POINT('',(47.73,58.75,1.49999999999999)); #502835=CARTESIAN_POINT('',(47.73,58.75,-200.)); #502836=CARTESIAN_POINT('Origin',(47.905,58.75,1.49999999999999)); #502837=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #502838=CARTESIAN_POINT('',(35.325,19.25,0.)); #502839=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #502840=CARTESIAN_POINT('',(35.325,19.25,1.49999999999999)); #502841=CARTESIAN_POINT('',(35.325,19.25,-200.)); #502842=CARTESIAN_POINT('Origin',(35.5,19.25,1.49999999999999)); #502843=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #502844=CARTESIAN_POINT('',(29.075,36.75,0.)); #502845=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #502846=CARTESIAN_POINT('',(29.075,36.75,1.49999999999999)); #502847=CARTESIAN_POINT('',(29.075,36.75,-200.)); #502848=CARTESIAN_POINT('Origin',(29.25,36.75,1.49999999999999)); #502849=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #502850=CARTESIAN_POINT('',(11.825,21.75,0.)); #502851=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #502852=CARTESIAN_POINT('',(11.825,21.75,1.49999999999999)); #502853=CARTESIAN_POINT('',(11.825,21.75,-200.)); #502854=CARTESIAN_POINT('Origin',(12.,21.75,1.49999999999999)); #502855=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #502856=CARTESIAN_POINT('',(30.6375,36.75,0.)); #502857=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #502858=CARTESIAN_POINT('',(30.6375,36.75,1.49999999999999)); #502859=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #502860=CARTESIAN_POINT('Origin',(30.8125,36.75,1.49999999999999)); #502861=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #502862=CARTESIAN_POINT('',(37.075,9.5,0.)); #502863=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #502864=CARTESIAN_POINT('',(37.075,9.5,1.49999999999999)); #502865=CARTESIAN_POINT('',(37.075,9.5,-200.)); #502866=CARTESIAN_POINT('Origin',(37.25,9.5,1.49999999999999)); #502867=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #502868=CARTESIAN_POINT('',(47.73,49.75,0.)); #502869=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #502870=CARTESIAN_POINT('',(47.73,49.75,1.49999999999999)); #502871=CARTESIAN_POINT('',(47.73,49.75,-200.)); #502872=CARTESIAN_POINT('Origin',(47.905,49.75,1.49999999999999)); #502873=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #502874=CARTESIAN_POINT('',(13.325,21.,0.)); #502875=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #502876=CARTESIAN_POINT('',(13.325,21.,1.49999999999999)); #502877=CARTESIAN_POINT('',(13.325,21.,-200.)); #502878=CARTESIAN_POINT('Origin',(13.5,21.,1.49999999999999)); #502879=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #502880=CARTESIAN_POINT('',(23.73,58.75,0.)); #502881=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #502882=CARTESIAN_POINT('',(23.73,58.75,1.49999999999999)); #502883=CARTESIAN_POINT('',(23.73,58.75,-200.)); #502884=CARTESIAN_POINT('Origin',(23.905,58.75,1.49999999999999)); #502885=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #502886=CARTESIAN_POINT('',(36.075,19.25,0.)); #502887=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #502888=CARTESIAN_POINT('',(36.075,19.25,1.49999999999999)); #502889=CARTESIAN_POINT('',(36.075,19.25,-200.)); #502890=CARTESIAN_POINT('Origin',(36.25,19.25,1.49999999999999)); #502891=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #502892=CARTESIAN_POINT('',(18.325,47.,0.)); #502893=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #502894=CARTESIAN_POINT('',(18.325,47.,1.49999999999999)); #502895=CARTESIAN_POINT('',(18.325,47.,-200.)); #502896=CARTESIAN_POINT('Origin',(18.5,47.,1.49999999999999)); #502897=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #502898=CARTESIAN_POINT('',(13.325,20.25,0.)); #502899=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #502900=CARTESIAN_POINT('',(13.325,20.25,1.49999999999999)); #502901=CARTESIAN_POINT('',(13.325,20.25,-200.)); #502902=CARTESIAN_POINT('Origin',(13.5,20.25,1.49999999999999)); #502903=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #502904=CARTESIAN_POINT('',(18.325,46.25,0.)); #502905=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #502906=CARTESIAN_POINT('',(18.325,46.25,1.49999999999999)); #502907=CARTESIAN_POINT('',(18.325,46.25,-200.)); #502908=CARTESIAN_POINT('Origin',(18.5,46.25,1.49999999999999)); #502909=CARTESIAN_POINT('Origin',(34.,34.,0.)); #502910=CARTESIAN_POINT('',(0.,34.,1.5)); #502911=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #502912=CARTESIAN_POINT('',(0.,34.,0.)); #502913=CARTESIAN_POINT('',(0.,34.,0.)); #502914=CARTESIAN_POINT('Origin',(34.,34.,0.)); #502915=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #502916=CARTESIAN_POINT('Origin',(34.,34.,0.)); #502917=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #502918=CARTESIAN_POINT('',(18.36,46.25,1.5)); #502919=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #502920=CARTESIAN_POINT('',(18.36,46.25,0.)); #502921=CARTESIAN_POINT('',(18.36,46.25,0.)); #502922=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #502923=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #502924=CARTESIAN_POINT('',(18.325,46.25,1.5)); #502925=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #502926=CARTESIAN_POINT('',(18.325,46.25,0.)); #502927=CARTESIAN_POINT('',(18.325,46.25,0.)); #502928=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #502929=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #502930=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #502931=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #502932=CARTESIAN_POINT('',(13.36,20.25,1.5)); #502933=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #502934=CARTESIAN_POINT('',(13.36,20.25,0.)); #502935=CARTESIAN_POINT('',(13.36,20.25,0.)); #502936=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #502937=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #502938=CARTESIAN_POINT('',(13.325,20.25,1.5)); #502939=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #502940=CARTESIAN_POINT('',(13.325,20.25,0.)); #502941=CARTESIAN_POINT('',(13.325,20.25,0.)); #502942=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #502943=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #502944=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #502945=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #502946=CARTESIAN_POINT('',(18.36,47.,1.5)); #502947=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #502948=CARTESIAN_POINT('',(18.36,47.,0.)); #502949=CARTESIAN_POINT('',(18.36,47.,0.)); #502950=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #502951=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #502952=CARTESIAN_POINT('',(18.325,47.,1.5)); #502953=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #502954=CARTESIAN_POINT('',(18.325,47.,0.)); #502955=CARTESIAN_POINT('',(18.325,47.,0.)); #502956=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #502957=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #502958=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #502959=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #502960=CARTESIAN_POINT('',(36.11,19.25,1.5)); #502961=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #502962=CARTESIAN_POINT('',(36.11,19.25,0.)); #502963=CARTESIAN_POINT('',(36.11,19.25,0.)); #502964=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #502965=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #502966=CARTESIAN_POINT('',(36.075,19.25,1.5)); #502967=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #502968=CARTESIAN_POINT('',(36.075,19.25,0.)); #502969=CARTESIAN_POINT('',(36.075,19.25,0.)); #502970=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #502971=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #502972=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #502973=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #502974=CARTESIAN_POINT('',(23.765,58.75,1.5)); #502975=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #502976=CARTESIAN_POINT('',(23.765,58.75,0.)); #502977=CARTESIAN_POINT('',(23.765,58.75,0.)); #502978=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #502979=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #502980=CARTESIAN_POINT('',(23.73,58.75,1.5)); #502981=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #502982=CARTESIAN_POINT('',(23.73,58.75,0.)); #502983=CARTESIAN_POINT('',(23.73,58.75,0.)); #502984=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #502985=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #502986=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #502987=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #502988=CARTESIAN_POINT('',(13.36,21.,1.5)); #502989=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #502990=CARTESIAN_POINT('',(13.36,21.,0.)); #502991=CARTESIAN_POINT('',(13.36,21.,0.)); #502992=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #502993=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #502994=CARTESIAN_POINT('',(13.325,21.,1.5)); #502995=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #502996=CARTESIAN_POINT('',(13.325,21.,0.)); #502997=CARTESIAN_POINT('',(13.325,21.,0.)); #502998=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #502999=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #503000=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #503001=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #503002=CARTESIAN_POINT('',(47.765,49.75,1.5)); #503003=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #503004=CARTESIAN_POINT('',(47.765,49.75,0.)); #503005=CARTESIAN_POINT('',(47.765,49.75,0.)); #503006=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #503007=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #503008=CARTESIAN_POINT('',(47.73,49.75,1.5)); #503009=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #503010=CARTESIAN_POINT('',(47.73,49.75,0.)); #503011=CARTESIAN_POINT('',(47.73,49.75,0.)); #503012=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #503013=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #503014=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #503015=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #503016=CARTESIAN_POINT('',(37.11,9.5,1.5)); #503017=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #503018=CARTESIAN_POINT('',(37.11,9.5,0.)); #503019=CARTESIAN_POINT('',(37.11,9.5,0.)); #503020=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #503021=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #503022=CARTESIAN_POINT('',(37.075,9.5,1.5)); #503023=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #503024=CARTESIAN_POINT('',(37.075,9.5,0.)); #503025=CARTESIAN_POINT('',(37.075,9.5,0.)); #503026=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #503027=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #503028=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #503029=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #503030=CARTESIAN_POINT('',(30.6725,36.75,1.5)); #503031=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #503032=CARTESIAN_POINT('',(30.6725,36.75,0.)); #503033=CARTESIAN_POINT('',(30.6725,36.75,0.)); #503034=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #503035=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #503036=CARTESIAN_POINT('',(30.6375,36.75,1.5)); #503037=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #503038=CARTESIAN_POINT('',(30.6375,36.75,0.)); #503039=CARTESIAN_POINT('',(30.6375,36.75,0.)); #503040=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #503041=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #503042=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #503043=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #503044=CARTESIAN_POINT('',(11.86,21.75,1.5)); #503045=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #503046=CARTESIAN_POINT('',(11.86,21.75,0.)); #503047=CARTESIAN_POINT('',(11.86,21.75,0.)); #503048=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #503049=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #503050=CARTESIAN_POINT('',(11.825,21.75,1.5)); #503051=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #503052=CARTESIAN_POINT('',(11.825,21.75,0.)); #503053=CARTESIAN_POINT('',(11.825,21.75,0.)); #503054=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #503055=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #503056=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #503057=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #503058=CARTESIAN_POINT('',(29.11,36.75,1.5)); #503059=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #503060=CARTESIAN_POINT('',(29.11,36.75,0.)); #503061=CARTESIAN_POINT('',(29.11,36.75,0.)); #503062=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #503063=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #503064=CARTESIAN_POINT('',(29.075,36.75,1.5)); #503065=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #503066=CARTESIAN_POINT('',(29.075,36.75,0.)); #503067=CARTESIAN_POINT('',(29.075,36.75,0.)); #503068=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #503069=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #503070=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #503071=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #503072=CARTESIAN_POINT('',(35.36,19.25,1.5)); #503073=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #503074=CARTESIAN_POINT('',(35.36,19.25,0.)); #503075=CARTESIAN_POINT('',(35.36,19.25,0.)); #503076=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #503077=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #503078=CARTESIAN_POINT('',(35.325,19.25,1.5)); #503079=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #503080=CARTESIAN_POINT('',(35.325,19.25,0.)); #503081=CARTESIAN_POINT('',(35.325,19.25,0.)); #503082=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #503083=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #503084=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #503085=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #503086=CARTESIAN_POINT('',(47.765,58.75,1.5)); #503087=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #503088=CARTESIAN_POINT('',(47.765,58.75,0.)); #503089=CARTESIAN_POINT('',(47.765,58.75,0.)); #503090=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #503091=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #503092=CARTESIAN_POINT('',(47.73,58.75,1.5)); #503093=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #503094=CARTESIAN_POINT('',(47.73,58.75,0.)); #503095=CARTESIAN_POINT('',(47.73,58.75,0.)); #503096=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #503097=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #503098=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #503099=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #503100=CARTESIAN_POINT('',(13.36,21.75,1.5)); #503101=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #503102=CARTESIAN_POINT('',(13.36,21.75,0.)); #503103=CARTESIAN_POINT('',(13.36,21.75,0.)); #503104=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #503105=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #503106=CARTESIAN_POINT('',(13.325,21.75,1.5)); #503107=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #503108=CARTESIAN_POINT('',(13.325,21.75,0.)); #503109=CARTESIAN_POINT('',(13.325,21.75,0.)); #503110=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #503111=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #503112=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #503113=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #503114=CARTESIAN_POINT('',(23.765,49.75,1.5)); #503115=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #503116=CARTESIAN_POINT('',(23.765,49.75,0.)); #503117=CARTESIAN_POINT('',(23.765,49.75,0.)); #503118=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #503119=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #503120=CARTESIAN_POINT('',(23.73,49.75,1.5)); #503121=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #503122=CARTESIAN_POINT('',(23.73,49.75,0.)); #503123=CARTESIAN_POINT('',(23.73,49.75,0.)); #503124=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #503125=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #503126=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #503127=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #503128=CARTESIAN_POINT('',(36.035,27.75,1.5)); #503129=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #503130=CARTESIAN_POINT('',(36.035,27.75,0.)); #503131=CARTESIAN_POINT('',(36.035,27.75,0.)); #503132=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #503133=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #503134=CARTESIAN_POINT('',(36.,27.75,1.5)); #503135=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #503136=CARTESIAN_POINT('',(36.,27.75,0.)); #503137=CARTESIAN_POINT('',(36.,27.75,0.)); #503138=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #503139=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #503140=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #503141=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #503142=CARTESIAN_POINT('',(22.735,55.25,1.5)); #503143=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #503144=CARTESIAN_POINT('',(22.735,55.25,0.)); #503145=CARTESIAN_POINT('',(22.735,55.25,0.)); #503146=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #503147=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #503148=CARTESIAN_POINT('',(22.7,55.25,1.5)); #503149=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #503150=CARTESIAN_POINT('',(22.7,55.25,0.)); #503151=CARTESIAN_POINT('',(22.7,55.25,0.)); #503152=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #503153=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #503154=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #503155=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #503156=CARTESIAN_POINT('',(12.61,20.25,1.5)); #503157=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #503158=CARTESIAN_POINT('',(12.61,20.25,0.)); #503159=CARTESIAN_POINT('',(12.61,20.25,0.)); #503160=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #503161=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #503162=CARTESIAN_POINT('',(12.575,20.25,1.5)); #503163=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #503164=CARTESIAN_POINT('',(12.575,20.25,0.)); #503165=CARTESIAN_POINT('',(12.575,20.25,0.)); #503166=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #503167=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #503168=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #503169=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #503170=CARTESIAN_POINT('',(22.735,56.,1.5)); #503171=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #503172=CARTESIAN_POINT('',(22.735,56.,0.)); #503173=CARTESIAN_POINT('',(22.735,56.,0.)); #503174=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #503175=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #503176=CARTESIAN_POINT('',(22.7,56.,1.5)); #503177=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #503178=CARTESIAN_POINT('',(22.7,56.,0.)); #503179=CARTESIAN_POINT('',(22.7,56.,0.)); #503180=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #503181=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #503182=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #503183=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #503184=CARTESIAN_POINT('',(33.86,19.25,1.5)); #503185=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #503186=CARTESIAN_POINT('',(33.86,19.25,0.)); #503187=CARTESIAN_POINT('',(33.86,19.25,0.)); #503188=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #503189=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #503190=CARTESIAN_POINT('',(33.825,19.25,1.5)); #503191=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #503192=CARTESIAN_POINT('',(33.825,19.25,0.)); #503193=CARTESIAN_POINT('',(33.825,19.25,0.)); #503194=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #503195=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #503196=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #503197=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #503198=CARTESIAN_POINT('',(23.61,56.75,1.5)); #503199=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #503200=CARTESIAN_POINT('',(23.61,56.75,0.)); #503201=CARTESIAN_POINT('',(23.61,56.75,0.)); #503202=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #503203=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #503204=CARTESIAN_POINT('',(23.575,56.75,1.5)); #503205=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #503206=CARTESIAN_POINT('',(23.575,56.75,0.)); #503207=CARTESIAN_POINT('',(23.575,56.75,0.)); #503208=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #503209=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #503210=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #503211=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #503212=CARTESIAN_POINT('',(12.61,21.75,1.5)); #503213=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #503214=CARTESIAN_POINT('',(12.61,21.75,0.)); #503215=CARTESIAN_POINT('',(12.61,21.75,0.)); #503216=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #503217=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #503218=CARTESIAN_POINT('',(12.575,21.75,1.5)); #503219=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #503220=CARTESIAN_POINT('',(12.575,21.75,0.)); #503221=CARTESIAN_POINT('',(12.575,21.75,0.)); #503222=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #503223=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #503224=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #503225=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #503226=CARTESIAN_POINT('',(22.735,56.75,1.5)); #503227=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #503228=CARTESIAN_POINT('',(22.735,56.75,0.)); #503229=CARTESIAN_POINT('',(22.735,56.75,0.)); #503230=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #503231=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #503232=CARTESIAN_POINT('',(22.7,56.75,1.5)); #503233=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #503234=CARTESIAN_POINT('',(22.7,56.75,0.)); #503235=CARTESIAN_POINT('',(22.7,56.75,0.)); #503236=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #503237=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #503238=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #503239=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #503240=CARTESIAN_POINT('',(36.36,9.5,1.5)); #503241=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #503242=CARTESIAN_POINT('',(36.36,9.5,0.)); #503243=CARTESIAN_POINT('',(36.36,9.5,0.)); #503244=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #503245=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #503246=CARTESIAN_POINT('',(36.325,9.5,1.5)); #503247=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #503248=CARTESIAN_POINT('',(36.325,9.5,0.)); #503249=CARTESIAN_POINT('',(36.325,9.5,0.)); #503250=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #503251=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #503252=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #503253=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #503254=CARTESIAN_POINT('',(20.985,56.,1.5)); #503255=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #503256=CARTESIAN_POINT('',(20.985,56.,0.)); #503257=CARTESIAN_POINT('',(20.985,56.,0.)); #503258=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #503259=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #503260=CARTESIAN_POINT('',(20.95,56.,1.5)); #503261=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #503262=CARTESIAN_POINT('',(20.95,56.,0.)); #503263=CARTESIAN_POINT('',(20.95,56.,0.)); #503264=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #503265=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #503266=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #503267=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #503268=CARTESIAN_POINT('',(11.86,20.25,1.5)); #503269=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #503270=CARTESIAN_POINT('',(11.86,20.25,0.)); #503271=CARTESIAN_POINT('',(11.86,20.25,0.)); #503272=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #503273=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #503274=CARTESIAN_POINT('',(11.825,20.25,1.5)); #503275=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #503276=CARTESIAN_POINT('',(11.825,20.25,0.)); #503277=CARTESIAN_POINT('',(11.825,20.25,0.)); #503278=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #503279=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #503280=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #503281=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #503282=CARTESIAN_POINT('',(20.985,55.25,1.5)); #503283=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #503284=CARTESIAN_POINT('',(20.985,55.25,0.)); #503285=CARTESIAN_POINT('',(20.985,55.25,0.)); #503286=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #503287=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #503288=CARTESIAN_POINT('',(20.95,55.25,1.5)); #503289=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #503290=CARTESIAN_POINT('',(20.95,55.25,0.)); #503291=CARTESIAN_POINT('',(20.95,55.25,0.)); #503292=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #503293=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #503294=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #503295=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #503296=CARTESIAN_POINT('',(34.61,19.25,1.5)); #503297=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #503298=CARTESIAN_POINT('',(34.61,19.25,0.)); #503299=CARTESIAN_POINT('',(34.61,19.25,0.)); #503300=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #503301=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #503302=CARTESIAN_POINT('',(34.575,19.25,1.5)); #503303=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #503304=CARTESIAN_POINT('',(34.575,19.25,0.)); #503305=CARTESIAN_POINT('',(34.575,19.25,0.)); #503306=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #503307=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #503308=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #503309=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #503310=CARTESIAN_POINT('',(20.985,56.75,1.5)); #503311=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #503312=CARTESIAN_POINT('',(20.985,56.75,0.)); #503313=CARTESIAN_POINT('',(20.985,56.75,0.)); #503314=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #503315=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #503316=CARTESIAN_POINT('',(20.95,56.75,1.5)); #503317=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #503318=CARTESIAN_POINT('',(20.95,56.75,0.)); #503319=CARTESIAN_POINT('',(20.95,56.75,0.)); #503320=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #503321=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #503322=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #503323=CARTESIAN_POINT('Origin',(12.,21.,0.)); #503324=CARTESIAN_POINT('',(11.86,21.,1.5)); #503325=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #503326=CARTESIAN_POINT('',(11.86,21.,0.)); #503327=CARTESIAN_POINT('',(11.86,21.,0.)); #503328=CARTESIAN_POINT('Origin',(12.,21.,0.)); #503329=CARTESIAN_POINT('Origin',(12.,21.,0.)); #503330=CARTESIAN_POINT('',(11.825,21.,1.5)); #503331=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #503332=CARTESIAN_POINT('',(11.825,21.,0.)); #503333=CARTESIAN_POINT('',(11.825,21.,0.)); #503334=CARTESIAN_POINT('Origin',(12.,21.,0.)); #503335=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #503336=CARTESIAN_POINT('Origin',(12.,21.,0.)); #503337=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #503338=CARTESIAN_POINT('',(29.348,53.,1.5)); #503339=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #503340=CARTESIAN_POINT('',(29.348,53.,0.)); #503341=CARTESIAN_POINT('',(29.348,53.,0.)); #503342=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #503343=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #503344=CARTESIAN_POINT('',(29.313,53.,1.5)); #503345=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #503346=CARTESIAN_POINT('',(29.313,53.,0.)); #503347=CARTESIAN_POINT('',(29.313,53.,0.)); #503348=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #503349=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #503350=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #503351=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #503352=CARTESIAN_POINT('',(45.735,34.,1.5)); #503353=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #503354=CARTESIAN_POINT('',(45.735,34.,0.)); #503355=CARTESIAN_POINT('',(45.735,34.,0.)); #503356=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #503357=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #503358=CARTESIAN_POINT('',(45.7,34.,1.5)); #503359=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #503360=CARTESIAN_POINT('',(45.7,34.,0.)); #503361=CARTESIAN_POINT('',(45.7,34.,0.)); #503362=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #503363=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #503364=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #503365=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #503366=CARTESIAN_POINT('',(37.0475,36.75,1.5)); #503367=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #503368=CARTESIAN_POINT('',(37.0475,36.75,0.)); #503369=CARTESIAN_POINT('',(37.0475,36.75,0.)); #503370=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #503371=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #503372=CARTESIAN_POINT('',(37.0125,36.75,1.5)); #503373=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #503374=CARTESIAN_POINT('',(37.0125,36.75,0.)); #503375=CARTESIAN_POINT('',(37.0125,36.75,0.)); #503376=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #503377=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #503378=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #503379=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #503380=CARTESIAN_POINT('',(54.36,21.75,1.5)); #503381=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #503382=CARTESIAN_POINT('',(54.36,21.75,0.)); #503383=CARTESIAN_POINT('',(54.36,21.75,0.)); #503384=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #503385=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #503386=CARTESIAN_POINT('',(54.325,21.75,1.5)); #503387=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #503388=CARTESIAN_POINT('',(54.325,21.75,0.)); #503389=CARTESIAN_POINT('',(54.325,21.75,0.)); #503390=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #503391=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #503392=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #503393=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #503394=CARTESIAN_POINT('',(38.61,36.75,1.5)); #503395=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #503396=CARTESIAN_POINT('',(38.61,36.75,0.)); #503397=CARTESIAN_POINT('',(38.61,36.75,0.)); #503398=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #503399=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #503400=CARTESIAN_POINT('',(38.575,36.75,1.5)); #503401=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #503402=CARTESIAN_POINT('',(38.575,36.75,0.)); #503403=CARTESIAN_POINT('',(38.575,36.75,0.)); #503404=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #503405=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #503406=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #503407=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #503408=CARTESIAN_POINT('',(35.36,17.,1.5)); #503409=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #503410=CARTESIAN_POINT('',(35.36,17.,0.)); #503411=CARTESIAN_POINT('',(35.36,17.,0.)); #503412=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #503413=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #503414=CARTESIAN_POINT('',(35.325,17.,1.5)); #503415=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #503416=CARTESIAN_POINT('',(35.325,17.,0.)); #503417=CARTESIAN_POINT('',(35.325,17.,0.)); #503418=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #503419=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #503420=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #503421=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #503422=CARTESIAN_POINT('',(46.735,56.75,1.5)); #503423=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #503424=CARTESIAN_POINT('',(46.735,56.75,0.)); #503425=CARTESIAN_POINT('',(46.735,56.75,0.)); #503426=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #503427=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #503428=CARTESIAN_POINT('',(46.7,56.75,1.5)); #503429=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #503430=CARTESIAN_POINT('',(46.7,56.75,0.)); #503431=CARTESIAN_POINT('',(46.7,56.75,0.)); #503432=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #503433=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #503434=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #503435=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #503436=CARTESIAN_POINT('',(54.36,21.,1.5)); #503437=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #503438=CARTESIAN_POINT('',(54.36,21.,0.)); #503439=CARTESIAN_POINT('',(54.36,21.,0.)); #503440=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #503441=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #503442=CARTESIAN_POINT('',(54.325,21.,1.5)); #503443=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #503444=CARTESIAN_POINT('',(54.325,21.,0.)); #503445=CARTESIAN_POINT('',(54.325,21.,0.)); #503446=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #503447=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #503448=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #503449=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #503450=CARTESIAN_POINT('',(38.372,53.,1.5)); #503451=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #503452=CARTESIAN_POINT('',(38.372,53.,0.)); #503453=CARTESIAN_POINT('',(38.372,53.,0.)); #503454=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #503455=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #503456=CARTESIAN_POINT('',(38.337,53.,1.5)); #503457=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #503458=CARTESIAN_POINT('',(38.337,53.,0.)); #503459=CARTESIAN_POINT('',(38.337,53.,0.)); #503460=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #503461=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #503462=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #503463=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #503464=CARTESIAN_POINT('',(31.36,9.5,1.5)); #503465=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #503466=CARTESIAN_POINT('',(31.36,9.5,0.)); #503467=CARTESIAN_POINT('',(31.36,9.5,0.)); #503468=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #503469=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #503470=CARTESIAN_POINT('',(31.325,9.5,1.5)); #503471=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #503472=CARTESIAN_POINT('',(31.325,9.5,0.)); #503473=CARTESIAN_POINT('',(31.325,9.5,0.)); #503474=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #503475=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #503476=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #503477=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #503478=CARTESIAN_POINT('',(44.985,55.25,1.5)); #503479=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #503480=CARTESIAN_POINT('',(44.985,55.25,0.)); #503481=CARTESIAN_POINT('',(44.985,55.25,0.)); #503482=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #503483=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #503484=CARTESIAN_POINT('',(44.95,55.25,1.5)); #503485=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #503486=CARTESIAN_POINT('',(44.95,55.25,0.)); #503487=CARTESIAN_POINT('',(44.95,55.25,0.)); #503488=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #503489=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #503490=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #503491=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #503492=CARTESIAN_POINT('',(55.11,20.25,1.5)); #503493=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #503494=CARTESIAN_POINT('',(55.11,20.25,0.)); #503495=CARTESIAN_POINT('',(55.11,20.25,0.)); #503496=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #503497=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #503498=CARTESIAN_POINT('',(55.075,20.25,1.5)); #503499=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #503500=CARTESIAN_POINT('',(55.075,20.25,0.)); #503501=CARTESIAN_POINT('',(55.075,20.25,0.)); #503502=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #503503=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #503504=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #503505=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #503506=CARTESIAN_POINT('',(44.985,56.,1.5)); #503507=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #503508=CARTESIAN_POINT('',(44.985,56.,0.)); #503509=CARTESIAN_POINT('',(44.985,56.,0.)); #503510=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #503511=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #503512=CARTESIAN_POINT('',(44.95,56.,1.5)); #503513=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #503514=CARTESIAN_POINT('',(44.95,56.,0.)); #503515=CARTESIAN_POINT('',(44.95,56.,0.)); #503516=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #503517=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #503518=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #503519=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #503520=CARTESIAN_POINT('',(35.36,16.25,1.5)); #503521=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #503522=CARTESIAN_POINT('',(35.36,16.25,0.)); #503523=CARTESIAN_POINT('',(35.36,16.25,0.)); #503524=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #503525=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #503526=CARTESIAN_POINT('',(35.325,16.25,1.5)); #503527=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #503528=CARTESIAN_POINT('',(35.325,16.25,0.)); #503529=CARTESIAN_POINT('',(35.325,16.25,0.)); #503530=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #503531=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #503532=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #503533=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #503534=CARTESIAN_POINT('',(46.735,55.25,1.5)); #503535=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #503536=CARTESIAN_POINT('',(46.735,55.25,0.)); #503537=CARTESIAN_POINT('',(46.735,55.25,0.)); #503538=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #503539=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #503540=CARTESIAN_POINT('',(46.7,55.25,1.5)); #503541=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #503542=CARTESIAN_POINT('',(46.7,55.25,0.)); #503543=CARTESIAN_POINT('',(46.7,55.25,0.)); #503544=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #503545=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #503546=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #503547=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #503548=CARTESIAN_POINT('',(54.36,20.25,1.5)); #503549=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #503550=CARTESIAN_POINT('',(54.36,20.25,0.)); #503551=CARTESIAN_POINT('',(54.36,20.25,0.)); #503552=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #503553=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #503554=CARTESIAN_POINT('',(54.325,20.25,1.5)); #503555=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #503556=CARTESIAN_POINT('',(54.325,20.25,0.)); #503557=CARTESIAN_POINT('',(54.325,20.25,0.)); #503558=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #503559=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #503560=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #503561=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #503562=CARTESIAN_POINT('',(46.735,56.,1.5)); #503563=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #503564=CARTESIAN_POINT('',(46.735,56.,0.)); #503565=CARTESIAN_POINT('',(46.735,56.,0.)); #503566=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #503567=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #503568=CARTESIAN_POINT('',(46.7,56.,1.5)); #503569=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #503570=CARTESIAN_POINT('',(46.7,56.,0.)); #503571=CARTESIAN_POINT('',(46.7,56.,0.)); #503572=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #503573=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #503574=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #503575=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #503576=CARTESIAN_POINT('',(31.035,25.25,1.5)); #503577=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #503578=CARTESIAN_POINT('',(31.035,25.25,0.)); #503579=CARTESIAN_POINT('',(31.035,25.25,0.)); #503580=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #503581=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #503582=CARTESIAN_POINT('',(31.,25.25,1.5)); #503583=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #503584=CARTESIAN_POINT('',(31.,25.25,0.)); #503585=CARTESIAN_POINT('',(31.,25.25,0.)); #503586=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #503587=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #503588=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #503589=CARTESIAN_POINT('Origin',(22.,56.,0.)); #503590=CARTESIAN_POINT('',(21.86,56.,1.5)); #503591=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #503592=CARTESIAN_POINT('',(21.86,56.,0.)); #503593=CARTESIAN_POINT('',(21.86,56.,0.)); #503594=CARTESIAN_POINT('Origin',(22.,56.,0.)); #503595=CARTESIAN_POINT('Origin',(22.,56.,0.)); #503596=CARTESIAN_POINT('',(21.825,56.,1.5)); #503597=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #503598=CARTESIAN_POINT('',(21.825,56.,0.)); #503599=CARTESIAN_POINT('',(21.825,56.,0.)); #503600=CARTESIAN_POINT('Origin',(22.,56.,0.)); #503601=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #503602=CARTESIAN_POINT('Origin',(22.,56.,0.)); #503603=CARTESIAN_POINT('Origin',(56.,21.,0.)); #503604=CARTESIAN_POINT('',(55.86,21.,1.5)); #503605=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #503606=CARTESIAN_POINT('',(55.86,21.,0.)); #503607=CARTESIAN_POINT('',(55.86,21.,0.)); #503608=CARTESIAN_POINT('Origin',(56.,21.,0.)); #503609=CARTESIAN_POINT('Origin',(56.,21.,0.)); #503610=CARTESIAN_POINT('',(55.825,21.,1.5)); #503611=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #503612=CARTESIAN_POINT('',(55.825,21.,0.)); #503613=CARTESIAN_POINT('',(55.825,21.,0.)); #503614=CARTESIAN_POINT('Origin',(56.,21.,0.)); #503615=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #503616=CARTESIAN_POINT('Origin',(56.,21.,0.)); #503617=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #503618=CARTESIAN_POINT('',(20.11,56.,1.5)); #503619=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #503620=CARTESIAN_POINT('',(20.11,56.,0.)); #503621=CARTESIAN_POINT('',(20.11,56.,0.)); #503622=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #503623=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #503624=CARTESIAN_POINT('',(20.075,56.,1.5)); #503625=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #503626=CARTESIAN_POINT('',(20.075,56.,0.)); #503627=CARTESIAN_POINT('',(20.075,56.,0.)); #503628=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #503629=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #503630=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #503631=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #503632=CARTESIAN_POINT('',(32.36,19.25,1.5)); #503633=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #503634=CARTESIAN_POINT('',(32.36,19.25,0.)); #503635=CARTESIAN_POINT('',(32.36,19.25,0.)); #503636=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #503637=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #503638=CARTESIAN_POINT('',(32.325,19.25,1.5)); #503639=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #503640=CARTESIAN_POINT('',(32.325,19.25,0.)); #503641=CARTESIAN_POINT('',(32.325,19.25,0.)); #503642=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #503643=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #503644=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #503645=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #503646=CARTESIAN_POINT('',(20.11,56.75,1.5)); #503647=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #503648=CARTESIAN_POINT('',(20.11,56.75,0.)); #503649=CARTESIAN_POINT('',(20.11,56.75,0.)); #503650=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #503651=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #503652=CARTESIAN_POINT('',(20.075,56.75,1.5)); #503653=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #503654=CARTESIAN_POINT('',(20.075,56.75,0.)); #503655=CARTESIAN_POINT('',(20.075,56.75,0.)); #503656=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #503657=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #503658=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #503659=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #503660=CARTESIAN_POINT('',(55.86,21.75,1.5)); #503661=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #503662=CARTESIAN_POINT('',(55.86,21.75,0.)); #503663=CARTESIAN_POINT('',(55.86,21.75,0.)); #503664=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #503665=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #503666=CARTESIAN_POINT('',(55.825,21.75,1.5)); #503667=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #503668=CARTESIAN_POINT('',(55.825,21.75,0.)); #503669=CARTESIAN_POINT('',(55.825,21.75,0.)); #503670=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #503671=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #503672=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #503673=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #503674=CARTESIAN_POINT('',(20.11,55.25,1.5)); #503675=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #503676=CARTESIAN_POINT('',(20.11,55.25,0.)); #503677=CARTESIAN_POINT('',(20.11,55.25,0.)); #503678=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #503679=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #503680=CARTESIAN_POINT('',(20.075,55.25,1.5)); #503681=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #503682=CARTESIAN_POINT('',(20.075,55.25,0.)); #503683=CARTESIAN_POINT('',(20.075,55.25,0.)); #503684=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #503685=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #503686=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #503687=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #503688=CARTESIAN_POINT('',(36.36,8.75,1.5)); #503689=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #503690=CARTESIAN_POINT('',(36.36,8.75,0.)); #503691=CARTESIAN_POINT('',(36.36,8.75,0.)); #503692=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #503693=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #503694=CARTESIAN_POINT('',(36.325,8.75,1.5)); #503695=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #503696=CARTESIAN_POINT('',(36.325,8.75,0.)); #503697=CARTESIAN_POINT('',(36.325,8.75,0.)); #503698=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #503699=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #503700=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #503701=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #503702=CARTESIAN_POINT('',(21.86,55.25,1.5)); #503703=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #503704=CARTESIAN_POINT('',(21.86,55.25,0.)); #503705=CARTESIAN_POINT('',(21.86,55.25,0.)); #503706=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #503707=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #503708=CARTESIAN_POINT('',(21.825,55.25,1.5)); #503709=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #503710=CARTESIAN_POINT('',(21.825,55.25,0.)); #503711=CARTESIAN_POINT('',(21.825,55.25,0.)); #503712=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #503713=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #503714=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #503715=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #503716=CARTESIAN_POINT('',(55.86,20.25,1.5)); #503717=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #503718=CARTESIAN_POINT('',(55.86,20.25,0.)); #503719=CARTESIAN_POINT('',(55.86,20.25,0.)); #503720=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #503721=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #503722=CARTESIAN_POINT('',(55.825,20.25,1.5)); #503723=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #503724=CARTESIAN_POINT('',(55.825,20.25,0.)); #503725=CARTESIAN_POINT('',(55.825,20.25,0.)); #503726=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #503727=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #503728=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #503729=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #503730=CARTESIAN_POINT('',(21.86,56.75,1.5)); #503731=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #503732=CARTESIAN_POINT('',(21.86,56.75,0.)); #503733=CARTESIAN_POINT('',(21.86,56.75,0.)); #503734=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #503735=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #503736=CARTESIAN_POINT('',(21.825,56.75,1.5)); #503737=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #503738=CARTESIAN_POINT('',(21.825,56.75,0.)); #503739=CARTESIAN_POINT('',(21.825,56.75,0.)); #503740=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #503741=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #503742=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #503743=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #503744=CARTESIAN_POINT('',(33.11,19.25,1.5)); #503745=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #503746=CARTESIAN_POINT('',(33.11,19.25,0.)); #503747=CARTESIAN_POINT('',(33.11,19.25,0.)); #503748=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #503749=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #503750=CARTESIAN_POINT('',(33.075,19.25,1.5)); #503751=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #503752=CARTESIAN_POINT('',(33.075,19.25,0.)); #503753=CARTESIAN_POINT('',(33.075,19.25,0.)); #503754=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #503755=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #503756=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #503757=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #503758=CARTESIAN_POINT('',(23.61,55.25,1.5)); #503759=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #503760=CARTESIAN_POINT('',(23.61,55.25,0.)); #503761=CARTESIAN_POINT('',(23.61,55.25,0.)); #503762=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #503763=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #503764=CARTESIAN_POINT('',(23.575,55.25,1.5)); #503765=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #503766=CARTESIAN_POINT('',(23.575,55.25,0.)); #503767=CARTESIAN_POINT('',(23.575,55.25,0.)); #503768=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #503769=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #503770=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #503771=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #503772=CARTESIAN_POINT('',(12.61,21.,1.5)); #503773=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #503774=CARTESIAN_POINT('',(12.61,21.,0.)); #503775=CARTESIAN_POINT('',(12.61,21.,0.)); #503776=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #503777=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #503778=CARTESIAN_POINT('',(12.575,21.,1.5)); #503779=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #503780=CARTESIAN_POINT('',(12.575,21.,0.)); #503781=CARTESIAN_POINT('',(12.575,21.,0.)); #503782=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #503783=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #503784=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #503785=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #503786=CARTESIAN_POINT('',(23.61,56.,1.5)); #503787=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #503788=CARTESIAN_POINT('',(23.61,56.,0.)); #503789=CARTESIAN_POINT('',(23.61,56.,0.)); #503790=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #503791=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #503792=CARTESIAN_POINT('',(23.575,56.,1.5)); #503793=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #503794=CARTESIAN_POINT('',(23.575,56.,0.)); #503795=CARTESIAN_POINT('',(23.575,56.,0.)); #503796=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #503797=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #503798=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #503799=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #503800=CARTESIAN_POINT('',(37.245,45.,1.5)); #503801=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #503802=CARTESIAN_POINT('',(37.245,45.,0.)); #503803=CARTESIAN_POINT('',(37.245,45.,0.)); #503804=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #503805=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #503806=CARTESIAN_POINT('',(37.21,45.,1.5)); #503807=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #503808=CARTESIAN_POINT('',(37.21,45.,0.)); #503809=CARTESIAN_POINT('',(37.21,45.,0.)); #503810=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #503811=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #503812=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #503813=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #503814=CARTESIAN_POINT('',(51.11,47.,1.5)); #503815=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #503816=CARTESIAN_POINT('',(51.11,47.,0.)); #503817=CARTESIAN_POINT('',(51.11,47.,0.)); #503818=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #503819=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #503820=CARTESIAN_POINT('',(51.075,47.,1.5)); #503821=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #503822=CARTESIAN_POINT('',(51.075,47.,0.)); #503823=CARTESIAN_POINT('',(51.075,47.,0.)); #503824=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #503825=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #503826=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #503827=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #503828=CARTESIAN_POINT('',(42.36,26.,1.5)); #503829=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #503830=CARTESIAN_POINT('',(42.36,26.,0.)); #503831=CARTESIAN_POINT('',(42.36,26.,0.)); #503832=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #503833=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #503834=CARTESIAN_POINT('',(42.325,26.,1.5)); #503835=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #503836=CARTESIAN_POINT('',(42.325,26.,0.)); #503837=CARTESIAN_POINT('',(42.325,26.,0.)); #503838=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #503839=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #503840=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #503841=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #503842=CARTESIAN_POINT('',(51.11,47.75,1.5)); #503843=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #503844=CARTESIAN_POINT('',(51.11,47.75,0.)); #503845=CARTESIAN_POINT('',(51.11,47.75,0.)); #503846=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #503847=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #503848=CARTESIAN_POINT('',(51.075,47.75,1.5)); #503849=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #503850=CARTESIAN_POINT('',(51.075,47.75,0.)); #503851=CARTESIAN_POINT('',(51.075,47.75,0.)); #503852=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #503853=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #503854=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #503855=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #503856=CARTESIAN_POINT('',(32.36,15.5,1.5)); #503857=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #503858=CARTESIAN_POINT('',(32.36,15.5,0.)); #503859=CARTESIAN_POINT('',(32.36,15.5,0.)); #503860=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #503861=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #503862=CARTESIAN_POINT('',(32.325,15.5,1.5)); #503863=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #503864=CARTESIAN_POINT('',(32.325,15.5,0.)); #503865=CARTESIAN_POINT('',(32.325,15.5,0.)); #503866=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #503867=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #503868=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #503869=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #503870=CARTESIAN_POINT('',(50.235,47.,1.5)); #503871=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #503872=CARTESIAN_POINT('',(50.235,47.,0.)); #503873=CARTESIAN_POINT('',(50.235,47.,0.)); #503874=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #503875=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #503876=CARTESIAN_POINT('',(50.2,47.,1.5)); #503877=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #503878=CARTESIAN_POINT('',(50.2,47.,0.)); #503879=CARTESIAN_POINT('',(50.2,47.,0.)); #503880=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #503881=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #503882=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #503883=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #503884=CARTESIAN_POINT('',(42.36,25.25,1.5)); #503885=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #503886=CARTESIAN_POINT('',(42.36,25.25,0.)); #503887=CARTESIAN_POINT('',(42.36,25.25,0.)); #503888=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #503889=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #503890=CARTESIAN_POINT('',(42.325,25.25,1.5)); #503891=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #503892=CARTESIAN_POINT('',(42.325,25.25,0.)); #503893=CARTESIAN_POINT('',(42.325,25.25,0.)); #503894=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #503895=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #503896=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #503897=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #503898=CARTESIAN_POINT('',(50.235,47.75,1.5)); #503899=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #503900=CARTESIAN_POINT('',(50.235,47.75,0.)); #503901=CARTESIAN_POINT('',(50.235,47.75,0.)); #503902=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #503903=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #503904=CARTESIAN_POINT('',(50.2,47.75,1.5)); #503905=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #503906=CARTESIAN_POINT('',(50.2,47.75,0.)); #503907=CARTESIAN_POINT('',(50.2,47.75,0.)); #503908=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #503909=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #503910=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #503911=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #503912=CARTESIAN_POINT('',(31.36,8.75,1.5)); #503913=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #503914=CARTESIAN_POINT('',(31.36,8.75,0.)); #503915=CARTESIAN_POINT('',(31.36,8.75,0.)); #503916=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #503917=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #503918=CARTESIAN_POINT('',(31.325,8.75,1.5)); #503919=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #503920=CARTESIAN_POINT('',(31.325,8.75,0.)); #503921=CARTESIAN_POINT('',(31.325,8.75,0.)); #503922=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #503923=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #503924=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #503925=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #503926=CARTESIAN_POINT('',(49.36,47.,1.5)); #503927=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #503928=CARTESIAN_POINT('',(49.36,47.,0.)); #503929=CARTESIAN_POINT('',(49.36,47.,0.)); #503930=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #503931=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #503932=CARTESIAN_POINT('',(49.325,47.,1.5)); #503933=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #503934=CARTESIAN_POINT('',(49.325,47.,0.)); #503935=CARTESIAN_POINT('',(49.325,47.,0.)); #503936=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #503937=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #503938=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #503939=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #503940=CARTESIAN_POINT('',(41.61,24.5,1.5)); #503941=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #503942=CARTESIAN_POINT('',(41.61,24.5,0.)); #503943=CARTESIAN_POINT('',(41.61,24.5,0.)); #503944=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #503945=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #503946=CARTESIAN_POINT('',(41.575,24.5,1.5)); #503947=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #503948=CARTESIAN_POINT('',(41.575,24.5,0.)); #503949=CARTESIAN_POINT('',(41.575,24.5,0.)); #503950=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #503951=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #503952=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #503953=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #503954=CARTESIAN_POINT('',(49.36,47.75,1.5)); #503955=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #503956=CARTESIAN_POINT('',(49.36,47.75,0.)); #503957=CARTESIAN_POINT('',(49.36,47.75,0.)); #503958=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #503959=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #503960=CARTESIAN_POINT('',(49.325,47.75,1.5)); #503961=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #503962=CARTESIAN_POINT('',(49.325,47.75,0.)); #503963=CARTESIAN_POINT('',(49.325,47.75,0.)); #503964=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #503965=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #503966=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #503967=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #503968=CARTESIAN_POINT('',(32.36,16.25,1.5)); #503969=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #503970=CARTESIAN_POINT('',(32.36,16.25,0.)); #503971=CARTESIAN_POINT('',(32.36,16.25,0.)); #503972=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #503973=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #503974=CARTESIAN_POINT('',(32.325,16.25,1.5)); #503975=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #503976=CARTESIAN_POINT('',(32.325,16.25,0.)); #503977=CARTESIAN_POINT('',(32.325,16.25,0.)); #503978=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #503979=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #503980=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #503981=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #503982=CARTESIAN_POINT('',(49.36,46.25,1.5)); #503983=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #503984=CARTESIAN_POINT('',(49.36,46.25,0.)); #503985=CARTESIAN_POINT('',(49.36,46.25,0.)); #503986=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #503987=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #503988=CARTESIAN_POINT('',(49.325,46.25,1.5)); #503989=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #503990=CARTESIAN_POINT('',(49.325,46.25,0.)); #503991=CARTESIAN_POINT('',(49.325,46.25,0.)); #503992=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #503993=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #503994=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #503995=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #503996=CARTESIAN_POINT('',(42.36,24.5,1.5)); #503997=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #503998=CARTESIAN_POINT('',(42.36,24.5,0.)); #503999=CARTESIAN_POINT('',(42.36,24.5,0.)); #504000=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #504001=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #504002=CARTESIAN_POINT('',(42.325,24.5,1.5)); #504003=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #504004=CARTESIAN_POINT('',(42.325,24.5,0.)); #504005=CARTESIAN_POINT('',(42.325,24.5,0.)); #504006=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #504007=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #504008=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #504009=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #504010=CARTESIAN_POINT('',(50.235,46.25,1.5)); #504011=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #504012=CARTESIAN_POINT('',(50.235,46.25,0.)); #504013=CARTESIAN_POINT('',(50.235,46.25,0.)); #504014=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #504015=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #504016=CARTESIAN_POINT('',(50.2,46.25,1.5)); #504017=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #504018=CARTESIAN_POINT('',(50.2,46.25,0.)); #504019=CARTESIAN_POINT('',(50.2,46.25,0.)); #504020=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #504021=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #504022=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #504023=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #504024=CARTESIAN_POINT('',(36.035,25.25,1.5)); #504025=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #504026=CARTESIAN_POINT('',(36.035,25.25,0.)); #504027=CARTESIAN_POINT('',(36.035,25.25,0.)); #504028=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #504029=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #504030=CARTESIAN_POINT('',(36.,25.25,1.5)); #504031=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #504032=CARTESIAN_POINT('',(36.,25.25,0.)); #504033=CARTESIAN_POINT('',(36.,25.25,0.)); #504034=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #504035=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #504036=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #504037=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #504038=CARTESIAN_POINT('',(46.735,47.,1.5)); #504039=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #504040=CARTESIAN_POINT('',(46.735,47.,0.)); #504041=CARTESIAN_POINT('',(46.735,47.,0.)); #504042=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #504043=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #504044=CARTESIAN_POINT('',(46.7,47.,1.5)); #504045=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #504046=CARTESIAN_POINT('',(46.7,47.,0.)); #504047=CARTESIAN_POINT('',(46.7,47.,0.)); #504048=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #504049=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #504050=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #504051=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #504052=CARTESIAN_POINT('',(41.61,26.,1.5)); #504053=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #504054=CARTESIAN_POINT('',(41.61,26.,0.)); #504055=CARTESIAN_POINT('',(41.61,26.,0.)); #504056=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #504057=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #504058=CARTESIAN_POINT('',(41.575,26.,1.5)); #504059=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #504060=CARTESIAN_POINT('',(41.575,26.,0.)); #504061=CARTESIAN_POINT('',(41.575,26.,0.)); #504062=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #504063=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #504064=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #504065=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #504066=CARTESIAN_POINT('',(46.735,46.25,1.5)); #504067=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #504068=CARTESIAN_POINT('',(46.735,46.25,0.)); #504069=CARTESIAN_POINT('',(46.735,46.25,0.)); #504070=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #504071=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #504072=CARTESIAN_POINT('',(46.7,46.25,1.5)); #504073=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #504074=CARTESIAN_POINT('',(46.7,46.25,0.)); #504075=CARTESIAN_POINT('',(46.7,46.25,0.)); #504076=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #504077=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #504078=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #504079=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #504080=CARTESIAN_POINT('',(32.36,17.75,1.5)); #504081=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #504082=CARTESIAN_POINT('',(32.36,17.75,0.)); #504083=CARTESIAN_POINT('',(32.36,17.75,0.)); #504084=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #504085=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #504086=CARTESIAN_POINT('',(32.325,17.75,1.5)); #504087=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #504088=CARTESIAN_POINT('',(32.325,17.75,0.)); #504089=CARTESIAN_POINT('',(32.325,17.75,0.)); #504090=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #504091=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #504092=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #504093=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #504094=CARTESIAN_POINT('',(44.985,47.,1.5)); #504095=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #504096=CARTESIAN_POINT('',(44.985,47.,0.)); #504097=CARTESIAN_POINT('',(44.985,47.,0.)); #504098=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #504099=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #504100=CARTESIAN_POINT('',(44.95,47.,1.5)); #504101=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #504102=CARTESIAN_POINT('',(44.95,47.,0.)); #504103=CARTESIAN_POINT('',(44.95,47.,0.)); #504104=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #504105=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #504106=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #504107=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #504108=CARTESIAN_POINT('',(41.61,25.25,1.5)); #504109=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #504110=CARTESIAN_POINT('',(41.61,25.25,0.)); #504111=CARTESIAN_POINT('',(41.61,25.25,0.)); #504112=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #504113=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #504114=CARTESIAN_POINT('',(41.575,25.25,1.5)); #504115=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #504116=CARTESIAN_POINT('',(41.575,25.25,0.)); #504117=CARTESIAN_POINT('',(41.575,25.25,0.)); #504118=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #504119=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #504120=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #504121=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #504122=CARTESIAN_POINT('',(44.985,46.25,1.5)); #504123=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #504124=CARTESIAN_POINT('',(44.985,46.25,0.)); #504125=CARTESIAN_POINT('',(44.985,46.25,0.)); #504126=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #504127=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #504128=CARTESIAN_POINT('',(44.95,46.25,1.5)); #504129=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #504130=CARTESIAN_POINT('',(44.95,46.25,0.)); #504131=CARTESIAN_POINT('',(44.95,46.25,0.)); #504132=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #504133=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #504134=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #504135=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #504136=CARTESIAN_POINT('',(34.61,5.5,1.5)); #504137=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #504138=CARTESIAN_POINT('',(34.61,5.5,0.)); #504139=CARTESIAN_POINT('',(34.61,5.5,0.)); #504140=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #504141=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #504142=CARTESIAN_POINT('',(34.575,5.5,1.5)); #504143=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #504144=CARTESIAN_POINT('',(34.575,5.5,0.)); #504145=CARTESIAN_POINT('',(34.575,5.5,0.)); #504146=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #504147=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #504148=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #504149=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #504150=CARTESIAN_POINT('',(48.485,46.25,1.5)); #504151=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #504152=CARTESIAN_POINT('',(48.485,46.25,0.)); #504153=CARTESIAN_POINT('',(48.485,46.25,0.)); #504154=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #504155=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #504156=CARTESIAN_POINT('',(48.45,46.25,1.5)); #504157=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #504158=CARTESIAN_POINT('',(48.45,46.25,0.)); #504159=CARTESIAN_POINT('',(48.45,46.25,0.)); #504160=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #504161=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #504162=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #504163=CARTESIAN_POINT('Origin',(41.,26.,0.)); #504164=CARTESIAN_POINT('',(40.86,26.,1.5)); #504165=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #504166=CARTESIAN_POINT('',(40.86,26.,0.)); #504167=CARTESIAN_POINT('',(40.86,26.,0.)); #504168=CARTESIAN_POINT('Origin',(41.,26.,0.)); #504169=CARTESIAN_POINT('Origin',(41.,26.,0.)); #504170=CARTESIAN_POINT('',(40.825,26.,1.5)); #504171=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #504172=CARTESIAN_POINT('',(40.825,26.,0.)); #504173=CARTESIAN_POINT('',(40.825,26.,0.)); #504174=CARTESIAN_POINT('Origin',(41.,26.,0.)); #504175=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #504176=CARTESIAN_POINT('Origin',(41.,26.,0.)); #504177=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #504178=CARTESIAN_POINT('',(46.735,47.75,1.5)); #504179=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #504180=CARTESIAN_POINT('',(46.735,47.75,0.)); #504181=CARTESIAN_POINT('',(46.735,47.75,0.)); #504182=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #504183=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #504184=CARTESIAN_POINT('',(46.7,47.75,1.5)); #504185=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #504186=CARTESIAN_POINT('',(46.7,47.75,0.)); #504187=CARTESIAN_POINT('',(46.7,47.75,0.)); #504188=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #504189=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #504190=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #504191=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #504192=CARTESIAN_POINT('',(32.36,17.,1.5)); #504193=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #504194=CARTESIAN_POINT('',(32.36,17.,0.)); #504195=CARTESIAN_POINT('',(32.36,17.,0.)); #504196=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #504197=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #504198=CARTESIAN_POINT('',(32.325,17.,1.5)); #504199=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #504200=CARTESIAN_POINT('',(32.325,17.,0.)); #504201=CARTESIAN_POINT('',(32.325,17.,0.)); #504202=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #504203=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #504204=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #504205=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #504206=CARTESIAN_POINT('',(48.485,47.,1.5)); #504207=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #504208=CARTESIAN_POINT('',(48.485,47.,0.)); #504209=CARTESIAN_POINT('',(48.485,47.,0.)); #504210=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #504211=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #504212=CARTESIAN_POINT('',(48.45,47.,1.5)); #504213=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #504214=CARTESIAN_POINT('',(48.45,47.,0.)); #504215=CARTESIAN_POINT('',(48.45,47.,0.)); #504216=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #504217=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #504218=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #504219=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #504220=CARTESIAN_POINT('',(40.86,24.5,1.5)); #504221=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #504222=CARTESIAN_POINT('',(40.86,24.5,0.)); #504223=CARTESIAN_POINT('',(40.86,24.5,0.)); #504224=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #504225=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #504226=CARTESIAN_POINT('',(40.825,24.5,1.5)); #504227=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #504228=CARTESIAN_POINT('',(40.825,24.5,0.)); #504229=CARTESIAN_POINT('',(40.825,24.5,0.)); #504230=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #504231=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #504232=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #504233=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #504234=CARTESIAN_POINT('',(48.485,47.75,1.5)); #504235=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #504236=CARTESIAN_POINT('',(48.485,47.75,0.)); #504237=CARTESIAN_POINT('',(48.485,47.75,0.)); #504238=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #504239=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #504240=CARTESIAN_POINT('',(48.45,47.75,1.5)); #504241=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #504242=CARTESIAN_POINT('',(48.45,47.75,0.)); #504243=CARTESIAN_POINT('',(48.45,47.75,0.)); #504244=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #504245=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #504246=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #504247=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #504248=CARTESIAN_POINT('',(43.235,34.,1.5)); #504249=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #504250=CARTESIAN_POINT('',(43.235,34.,0.)); #504251=CARTESIAN_POINT('',(43.235,34.,0.)); #504252=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #504253=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #504254=CARTESIAN_POINT('',(43.2,34.,1.5)); #504255=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #504256=CARTESIAN_POINT('',(43.2,34.,0.)); #504257=CARTESIAN_POINT('',(43.2,34.,0.)); #504258=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #504259=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #504260=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #504261=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #504262=CARTESIAN_POINT('',(44.11,55.25,1.5)); #504263=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #504264=CARTESIAN_POINT('',(44.11,55.25,0.)); #504265=CARTESIAN_POINT('',(44.11,55.25,0.)); #504266=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #504267=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #504268=CARTESIAN_POINT('',(44.075,55.25,1.5)); #504269=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #504270=CARTESIAN_POINT('',(44.075,55.25,0.)); #504271=CARTESIAN_POINT('',(44.075,55.25,0.)); #504272=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #504273=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #504274=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #504275=CARTESIAN_POINT('Origin',(40.,38.,0.)); #504276=CARTESIAN_POINT('',(39.86,38.,1.5)); #504277=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #504278=CARTESIAN_POINT('',(39.86,38.,0.)); #504279=CARTESIAN_POINT('',(39.86,38.,0.)); #504280=CARTESIAN_POINT('Origin',(40.,38.,0.)); #504281=CARTESIAN_POINT('Origin',(40.,38.,0.)); #504282=CARTESIAN_POINT('',(39.825,38.,1.5)); #504283=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #504284=CARTESIAN_POINT('',(39.825,38.,0.)); #504285=CARTESIAN_POINT('',(39.825,38.,0.)); #504286=CARTESIAN_POINT('Origin',(40.,38.,0.)); #504287=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #504288=CARTESIAN_POINT('Origin',(40.,38.,0.)); #504289=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #504290=CARTESIAN_POINT('',(44.11,56.75,1.5)); #504291=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #504292=CARTESIAN_POINT('',(44.11,56.75,0.)); #504293=CARTESIAN_POINT('',(44.11,56.75,0.)); #504294=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #504295=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #504296=CARTESIAN_POINT('',(44.075,56.75,1.5)); #504297=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #504298=CARTESIAN_POINT('',(44.075,56.75,0.)); #504299=CARTESIAN_POINT('',(44.075,56.75,0.)); #504300=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #504301=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #504302=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #504303=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #504304=CARTESIAN_POINT('',(33.86,15.5,1.5)); #504305=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #504306=CARTESIAN_POINT('',(33.86,15.5,0.)); #504307=CARTESIAN_POINT('',(33.86,15.5,0.)); #504308=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #504309=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #504310=CARTESIAN_POINT('',(33.825,15.5,1.5)); #504311=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #504312=CARTESIAN_POINT('',(33.825,15.5,0.)); #504313=CARTESIAN_POINT('',(33.825,15.5,0.)); #504314=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #504315=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #504316=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #504317=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #504318=CARTESIAN_POINT('',(41.11,36.,1.5)); #504319=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #504320=CARTESIAN_POINT('',(41.11,36.,0.)); #504321=CARTESIAN_POINT('',(41.11,36.,0.)); #504322=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #504323=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #504324=CARTESIAN_POINT('',(41.075,36.,1.5)); #504325=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #504326=CARTESIAN_POINT('',(41.075,36.,0.)); #504327=CARTESIAN_POINT('',(41.075,36.,0.)); #504328=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #504329=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #504330=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #504331=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #504332=CARTESIAN_POINT('',(27.86,39.5,1.5)); #504333=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #504334=CARTESIAN_POINT('',(27.86,39.5,0.)); #504335=CARTESIAN_POINT('',(27.86,39.5,0.)); #504336=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #504337=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #504338=CARTESIAN_POINT('',(27.825,39.5,1.5)); #504339=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #504340=CARTESIAN_POINT('',(27.825,39.5,0.)); #504341=CARTESIAN_POINT('',(27.825,39.5,0.)); #504342=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #504343=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #504344=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #504345=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #504346=CARTESIAN_POINT('',(41.11,31.,1.5)); #504347=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #504348=CARTESIAN_POINT('',(41.11,31.,0.)); #504349=CARTESIAN_POINT('',(41.11,31.,0.)); #504350=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #504351=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #504352=CARTESIAN_POINT('',(41.075,31.,1.5)); #504353=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #504354=CARTESIAN_POINT('',(41.075,31.,0.)); #504355=CARTESIAN_POINT('',(41.075,31.,0.)); #504356=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #504357=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #504358=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #504359=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #504360=CARTESIAN_POINT('',(30.61,8.75,1.5)); #504361=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #504362=CARTESIAN_POINT('',(30.61,8.75,0.)); #504363=CARTESIAN_POINT('',(30.61,8.75,0.)); #504364=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #504365=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #504366=CARTESIAN_POINT('',(30.575,8.75,1.5)); #504367=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #504368=CARTESIAN_POINT('',(30.575,8.75,0.)); #504369=CARTESIAN_POINT('',(30.575,8.75,0.)); #504370=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #504371=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #504372=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #504373=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #504374=CARTESIAN_POINT('',(51.985,46.25,1.5)); #504375=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #504376=CARTESIAN_POINT('',(51.985,46.25,0.)); #504377=CARTESIAN_POINT('',(51.985,46.25,0.)); #504378=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #504379=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #504380=CARTESIAN_POINT('',(51.95,46.25,1.5)); #504381=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #504382=CARTESIAN_POINT('',(51.95,46.25,0.)); #504383=CARTESIAN_POINT('',(51.95,46.25,0.)); #504384=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #504385=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #504386=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #504387=CARTESIAN_POINT('Origin',(28.,38.,0.)); #504388=CARTESIAN_POINT('',(27.86,38.,1.5)); #504389=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #504390=CARTESIAN_POINT('',(27.86,38.,0.)); #504391=CARTESIAN_POINT('',(27.86,38.,0.)); #504392=CARTESIAN_POINT('Origin',(28.,38.,0.)); #504393=CARTESIAN_POINT('Origin',(28.,38.,0.)); #504394=CARTESIAN_POINT('',(27.825,38.,1.5)); #504395=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #504396=CARTESIAN_POINT('',(27.825,38.,0.)); #504397=CARTESIAN_POINT('',(27.825,38.,0.)); #504398=CARTESIAN_POINT('Origin',(28.,38.,0.)); #504399=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #504400=CARTESIAN_POINT('Origin',(28.,38.,0.)); #504401=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #504402=CARTESIAN_POINT('',(51.11,46.25,1.5)); #504403=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #504404=CARTESIAN_POINT('',(51.11,46.25,0.)); #504405=CARTESIAN_POINT('',(51.11,46.25,0.)); #504406=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #504407=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #504408=CARTESIAN_POINT('',(51.075,46.25,1.5)); #504409=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #504410=CARTESIAN_POINT('',(51.075,46.25,0.)); #504411=CARTESIAN_POINT('',(51.075,46.25,0.)); #504412=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #504413=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #504414=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #504415=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #504416=CARTESIAN_POINT('',(33.11,15.5,1.5)); #504417=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #504418=CARTESIAN_POINT('',(33.11,15.5,0.)); #504419=CARTESIAN_POINT('',(33.11,15.5,0.)); #504420=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #504421=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #504422=CARTESIAN_POINT('',(33.075,15.5,1.5)); #504423=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #504424=CARTESIAN_POINT('',(33.075,15.5,0.)); #504425=CARTESIAN_POINT('',(33.075,15.5,0.)); #504426=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #504427=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #504428=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #504429=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #504430=CARTESIAN_POINT('',(51.985,47.,1.5)); #504431=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #504432=CARTESIAN_POINT('',(51.985,47.,0.)); #504433=CARTESIAN_POINT('',(51.985,47.,0.)); #504434=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #504435=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #504436=CARTESIAN_POINT('',(51.95,47.,1.5)); #504437=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #504438=CARTESIAN_POINT('',(51.95,47.,0.)); #504439=CARTESIAN_POINT('',(51.95,47.,0.)); #504440=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #504441=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #504442=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #504443=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #504444=CARTESIAN_POINT('',(27.86,38.75,1.5)); #504445=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #504446=CARTESIAN_POINT('',(27.86,38.75,0.)); #504447=CARTESIAN_POINT('',(27.86,38.75,0.)); #504448=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #504449=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #504450=CARTESIAN_POINT('',(27.825,38.75,1.5)); #504451=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #504452=CARTESIAN_POINT('',(27.825,38.75,0.)); #504453=CARTESIAN_POINT('',(27.825,38.75,0.)); #504454=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #504455=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #504456=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #504457=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #504458=CARTESIAN_POINT('',(51.985,47.75,1.5)); #504459=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #504460=CARTESIAN_POINT('',(51.985,47.75,0.)); #504461=CARTESIAN_POINT('',(51.985,47.75,0.)); #504462=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #504463=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #504464=CARTESIAN_POINT('',(51.95,47.75,1.5)); #504465=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #504466=CARTESIAN_POINT('',(51.95,47.75,0.)); #504467=CARTESIAN_POINT('',(51.95,47.75,0.)); #504468=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #504469=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #504470=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #504471=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #504472=CARTESIAN_POINT('',(33.535,25.25,1.5)); #504473=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #504474=CARTESIAN_POINT('',(33.535,25.25,0.)); #504475=CARTESIAN_POINT('',(33.535,25.25,0.)); #504476=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #504477=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #504478=CARTESIAN_POINT('',(33.5,25.25,1.5)); #504479=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #504480=CARTESIAN_POINT('',(33.5,25.25,0.)); #504481=CARTESIAN_POINT('',(33.5,25.25,0.)); #504482=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #504483=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #504484=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #504485=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #504486=CARTESIAN_POINT('',(45.86,55.25,1.5)); #504487=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #504488=CARTESIAN_POINT('',(45.86,55.25,0.)); #504489=CARTESIAN_POINT('',(45.86,55.25,0.)); #504490=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #504491=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #504492=CARTESIAN_POINT('',(45.825,55.25,1.5)); #504493=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #504494=CARTESIAN_POINT('',(45.825,55.25,0.)); #504495=CARTESIAN_POINT('',(45.825,55.25,0.)); #504496=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #504497=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #504498=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #504499=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #504500=CARTESIAN_POINT('',(39.86,39.5,1.5)); #504501=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #504502=CARTESIAN_POINT('',(39.86,39.5,0.)); #504503=CARTESIAN_POINT('',(39.86,39.5,0.)); #504504=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #504505=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #504506=CARTESIAN_POINT('',(39.825,39.5,1.5)); #504507=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #504508=CARTESIAN_POINT('',(39.825,39.5,0.)); #504509=CARTESIAN_POINT('',(39.825,39.5,0.)); #504510=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #504511=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #504512=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #504513=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #504514=CARTESIAN_POINT('',(45.86,56.75,1.5)); #504515=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #504516=CARTESIAN_POINT('',(45.86,56.75,0.)); #504517=CARTESIAN_POINT('',(45.86,56.75,0.)); #504518=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #504519=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #504520=CARTESIAN_POINT('',(45.825,56.75,1.5)); #504521=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #504522=CARTESIAN_POINT('',(45.825,56.75,0.)); #504523=CARTESIAN_POINT('',(45.825,56.75,0.)); #504524=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #504525=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #504526=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #504527=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #504528=CARTESIAN_POINT('',(34.61,15.5,1.5)); #504529=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #504530=CARTESIAN_POINT('',(34.61,15.5,0.)); #504531=CARTESIAN_POINT('',(34.61,15.5,0.)); #504532=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #504533=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #504534=CARTESIAN_POINT('',(34.575,15.5,1.5)); #504535=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #504536=CARTESIAN_POINT('',(34.575,15.5,0.)); #504537=CARTESIAN_POINT('',(34.575,15.5,0.)); #504538=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #504539=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #504540=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #504541=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #504542=CARTESIAN_POINT('',(44.11,56.,1.5)); #504543=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #504544=CARTESIAN_POINT('',(44.11,56.,0.)); #504545=CARTESIAN_POINT('',(44.11,56.,0.)); #504546=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #504547=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #504548=CARTESIAN_POINT('',(44.075,56.,1.5)); #504549=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #504550=CARTESIAN_POINT('',(44.075,56.,0.)); #504551=CARTESIAN_POINT('',(44.075,56.,0.)); #504552=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #504553=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #504554=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #504555=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #504556=CARTESIAN_POINT('',(39.86,38.75,1.5)); #504557=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #504558=CARTESIAN_POINT('',(39.86,38.75,0.)); #504559=CARTESIAN_POINT('',(39.86,38.75,0.)); #504560=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #504561=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #504562=CARTESIAN_POINT('',(39.825,38.75,1.5)); #504563=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #504564=CARTESIAN_POINT('',(39.825,38.75,0.)); #504565=CARTESIAN_POINT('',(39.825,38.75,0.)); #504566=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #504567=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #504568=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #504569=CARTESIAN_POINT('Origin',(46.,56.,0.)); #504570=CARTESIAN_POINT('',(45.86,56.,1.5)); #504571=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #504572=CARTESIAN_POINT('',(45.86,56.,0.)); #504573=CARTESIAN_POINT('',(45.86,56.,0.)); #504574=CARTESIAN_POINT('Origin',(46.,56.,0.)); #504575=CARTESIAN_POINT('Origin',(46.,56.,0.)); #504576=CARTESIAN_POINT('',(45.825,56.,1.5)); #504577=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #504578=CARTESIAN_POINT('',(45.825,56.,0.)); #504579=CARTESIAN_POINT('',(45.825,56.,0.)); #504580=CARTESIAN_POINT('Origin',(46.,56.,0.)); #504581=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #504582=CARTESIAN_POINT('Origin',(46.,56.,0.)); #504583=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #504584=CARTESIAN_POINT('',(30.61,9.5,1.5)); #504585=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #504586=CARTESIAN_POINT('',(30.61,9.5,0.)); #504587=CARTESIAN_POINT('',(30.61,9.5,0.)); #504588=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #504589=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #504590=CARTESIAN_POINT('',(30.575,9.5,1.5)); #504591=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #504592=CARTESIAN_POINT('',(30.575,9.5,0.)); #504593=CARTESIAN_POINT('',(30.575,9.5,0.)); #504594=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #504595=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #504596=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #504597=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #504598=CARTESIAN_POINT('',(47.61,56.,1.5)); #504599=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #504600=CARTESIAN_POINT('',(47.61,56.,0.)); #504601=CARTESIAN_POINT('',(47.61,56.,0.)); #504602=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #504603=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #504604=CARTESIAN_POINT('',(47.575,56.,1.5)); #504605=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #504606=CARTESIAN_POINT('',(47.575,56.,0.)); #504607=CARTESIAN_POINT('',(47.575,56.,0.)); #504608=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #504609=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #504610=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #504611=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #504612=CARTESIAN_POINT('',(55.11,21.,1.5)); #504613=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #504614=CARTESIAN_POINT('',(55.11,21.,0.)); #504615=CARTESIAN_POINT('',(55.11,21.,0.)); #504616=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #504617=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #504618=CARTESIAN_POINT('',(55.075,21.,1.5)); #504619=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #504620=CARTESIAN_POINT('',(55.075,21.,0.)); #504621=CARTESIAN_POINT('',(55.075,21.,0.)); #504622=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #504623=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #504624=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #504625=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #504626=CARTESIAN_POINT('',(47.61,55.25,1.5)); #504627=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #504628=CARTESIAN_POINT('',(47.61,55.25,0.)); #504629=CARTESIAN_POINT('',(47.61,55.25,0.)); #504630=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #504631=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #504632=CARTESIAN_POINT('',(47.575,55.25,1.5)); #504633=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #504634=CARTESIAN_POINT('',(47.575,55.25,0.)); #504635=CARTESIAN_POINT('',(47.575,55.25,0.)); #504636=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #504637=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #504638=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #504639=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #504640=CARTESIAN_POINT('',(35.36,15.5,1.5)); #504641=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #504642=CARTESIAN_POINT('',(35.36,15.5,0.)); #504643=CARTESIAN_POINT('',(35.36,15.5,0.)); #504644=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #504645=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #504646=CARTESIAN_POINT('',(35.325,15.5,1.5)); #504647=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #504648=CARTESIAN_POINT('',(35.325,15.5,0.)); #504649=CARTESIAN_POINT('',(35.325,15.5,0.)); #504650=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #504651=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #504652=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #504653=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #504654=CARTESIAN_POINT('',(47.61,56.75,1.5)); #504655=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #504656=CARTESIAN_POINT('',(47.61,56.75,0.)); #504657=CARTESIAN_POINT('',(47.61,56.75,0.)); #504658=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #504659=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #504660=CARTESIAN_POINT('',(47.575,56.75,1.5)); #504661=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #504662=CARTESIAN_POINT('',(47.575,56.75,0.)); #504663=CARTESIAN_POINT('',(47.575,56.75,0.)); #504664=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #504665=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #504666=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #504667=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #504668=CARTESIAN_POINT('',(55.11,21.75,1.5)); #504669=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #504670=CARTESIAN_POINT('',(55.11,21.75,0.)); #504671=CARTESIAN_POINT('',(55.11,21.75,0.)); #504672=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #504673=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #504674=CARTESIAN_POINT('',(55.075,21.75,1.5)); #504675=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #504676=CARTESIAN_POINT('',(55.075,21.75,0.)); #504677=CARTESIAN_POINT('',(55.075,21.75,0.)); #504678=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #504679=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #504680=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #504681=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #504682=CARTESIAN_POINT('',(44.985,56.75,1.5)); #504683=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #504684=CARTESIAN_POINT('',(44.985,56.75,0.)); #504685=CARTESIAN_POINT('',(44.985,56.75,0.)); #504686=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #504687=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #504688=CARTESIAN_POINT('',(44.95,56.75,1.5)); #504689=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #504690=CARTESIAN_POINT('',(44.95,56.75,0.)); #504691=CARTESIAN_POINT('',(44.95,56.75,0.)); #504692=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #504693=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #504694=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #504695=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #504696=CARTESIAN_POINT('',(32.165,45.,1.5)); #504697=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #504698=CARTESIAN_POINT('',(32.165,45.,0.)); #504699=CARTESIAN_POINT('',(32.165,45.,0.)); #504700=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #504701=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #504702=CARTESIAN_POINT('',(32.13,45.,1.5)); #504703=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #504704=CARTESIAN_POINT('',(32.13,45.,0.)); #504705=CARTESIAN_POINT('',(32.13,45.,0.)); #504706=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #504707=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #504708=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #504709=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #504710=CARTESIAN_POINT('',(15.11,21.,1.5)); #504711=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #504712=CARTESIAN_POINT('',(15.11,21.,0.)); #504713=CARTESIAN_POINT('',(15.11,21.,0.)); #504714=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #504715=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #504716=CARTESIAN_POINT('',(15.075,21.,1.5)); #504717=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #504718=CARTESIAN_POINT('',(15.075,21.,0.)); #504719=CARTESIAN_POINT('',(15.075,21.,0.)); #504720=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #504721=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #504722=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #504723=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #504724=CARTESIAN_POINT('',(31.61,17.75,1.5)); #504725=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #504726=CARTESIAN_POINT('',(31.61,17.75,0.)); #504727=CARTESIAN_POINT('',(31.61,17.75,0.)); #504728=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #504729=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #504730=CARTESIAN_POINT('',(31.575,17.75,1.5)); #504731=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #504732=CARTESIAN_POINT('',(31.575,17.75,0.)); #504733=CARTESIAN_POINT('',(31.575,17.75,0.)); #504734=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #504735=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #504736=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #504737=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #504738=CARTESIAN_POINT('',(40.61,27.,1.5)); #504739=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #504740=CARTESIAN_POINT('',(40.61,27.,0.)); #504741=CARTESIAN_POINT('',(40.61,27.,0.)); #504742=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #504743=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #504744=CARTESIAN_POINT('',(40.575,27.,1.5)); #504745=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #504746=CARTESIAN_POINT('',(40.575,27.,0.)); #504747=CARTESIAN_POINT('',(40.575,27.,0.)); #504748=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #504749=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #504750=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #504751=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #504752=CARTESIAN_POINT('',(33.11,17.75,1.5)); #504753=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #504754=CARTESIAN_POINT('',(33.11,17.75,0.)); #504755=CARTESIAN_POINT('',(33.11,17.75,0.)); #504756=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #504757=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #504758=CARTESIAN_POINT('',(33.075,17.75,1.5)); #504759=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #504760=CARTESIAN_POINT('',(33.075,17.75,0.)); #504761=CARTESIAN_POINT('',(33.075,17.75,0.)); #504762=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #504763=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #504764=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #504765=CARTESIAN_POINT('Origin',(38.635,7.07999999155961,0.)); #504766=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #504767=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #504768=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #504769=CARTESIAN_POINT('',(38.635,6.27999997467882,1.5)); #504770=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #504771=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #504772=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #504773=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #504774=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #504775=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #504776=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #504777=CARTESIAN_POINT('',(38.005,7.08000002532118,1.5)); #504778=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #504779=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #504780=CARTESIAN_POINT('Origin',(38.005,6.28000000844039,0.)); #504781=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #504782=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #504783=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #504784=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #504785=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #504786=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #504787=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #504788=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #504789=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,0.)); #504790=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #504791=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #504792=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #504793=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #504794=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #504795=CARTESIAN_POINT('',(37.97,7.08000002813464,1.5)); #504796=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #504797=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #504798=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #504799=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #504800=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #504801=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #504802=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #504803=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #504804=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,0.)); #504805=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #504806=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #504807=CARTESIAN_POINT('',(38.67,6.27999997186536,1.5)); #504808=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #504809=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #504810=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #504811=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #504812=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #504813=CARTESIAN_POINT('Origin',(38.32,6.68,1.5)); #504814=CARTESIAN_POINT('Origin',(38.32,6.68,0.)); #504815=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #504816=CARTESIAN_POINT('',(33.86,23.125,1.5)); #504817=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #504818=CARTESIAN_POINT('',(33.86,23.125,0.)); #504819=CARTESIAN_POINT('',(33.86,23.125,0.)); #504820=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #504821=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #504822=CARTESIAN_POINT('',(33.825,23.125,1.5)); #504823=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #504824=CARTESIAN_POINT('',(33.825,23.125,0.)); #504825=CARTESIAN_POINT('',(33.825,23.125,0.)); #504826=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #504827=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #504828=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #504829=CARTESIAN_POINT('Origin',(38.635,2.89999999155961,0.)); #504830=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #504831=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #504832=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #504833=CARTESIAN_POINT('',(38.635,2.09999997467882,1.5)); #504834=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #504835=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #504836=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #504837=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #504838=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #504839=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #504840=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #504841=CARTESIAN_POINT('',(38.005,2.90000002532118,1.5)); #504842=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #504843=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #504844=CARTESIAN_POINT('Origin',(38.005,2.10000000844039,0.)); #504845=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #504846=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #504847=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #504848=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #504849=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #504850=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #504851=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #504852=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #504853=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,0.)); #504854=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #504855=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #504856=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #504857=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #504858=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #504859=CARTESIAN_POINT('',(37.97,2.90000002813464,1.5)); #504860=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #504861=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #504862=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #504863=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #504864=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #504865=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #504866=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #504867=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #504868=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,0.)); #504869=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #504870=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #504871=CARTESIAN_POINT('',(38.67,2.09999997186536,1.5)); #504872=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #504873=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #504874=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #504875=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #504876=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #504877=CARTESIAN_POINT('Origin',(38.32,2.5,1.5)); #504878=CARTESIAN_POINT('Origin',(38.32,2.5,0.)); #504879=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #504880=CARTESIAN_POINT('',(31.61,17.,1.5)); #504881=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #504882=CARTESIAN_POINT('',(31.61,17.,0.)); #504883=CARTESIAN_POINT('',(31.61,17.,0.)); #504884=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #504885=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #504886=CARTESIAN_POINT('',(31.575,17.,1.5)); #504887=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #504888=CARTESIAN_POINT('',(31.575,17.,0.)); #504889=CARTESIAN_POINT('',(31.575,17.,0.)); #504890=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #504891=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #504892=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #504893=CARTESIAN_POINT('Origin',(29.995,7.07999999155961,0.)); #504894=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #504895=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #504896=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #504897=CARTESIAN_POINT('',(29.995,6.27999997467882,1.5)); #504898=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #504899=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #504900=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #504901=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #504902=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #504903=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #504904=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #504905=CARTESIAN_POINT('',(29.365,7.08000002532118,1.5)); #504906=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #504907=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #504908=CARTESIAN_POINT('Origin',(29.365,6.28000000844039,0.)); #504909=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #504910=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #504911=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #504912=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #504913=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #504914=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #504915=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #504916=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #504917=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,0.)); #504918=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #504919=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #504920=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #504921=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #504922=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #504923=CARTESIAN_POINT('',(29.33,7.08000002813464,1.5)); #504924=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #504925=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #504926=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #504927=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #504928=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #504929=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #504930=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #504931=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #504932=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,0.)); #504933=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #504934=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #504935=CARTESIAN_POINT('',(30.03,6.27999997186536,1.5)); #504936=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #504937=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #504938=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #504939=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #504940=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #504941=CARTESIAN_POINT('Origin',(29.68,6.68,1.5)); #504942=CARTESIAN_POINT('Origin',(29.68,6.68,0.)); #504943=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #504944=CARTESIAN_POINT('',(42.11,28.5,1.5)); #504945=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #504946=CARTESIAN_POINT('',(42.11,28.5,0.)); #504947=CARTESIAN_POINT('',(42.11,28.5,0.)); #504948=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #504949=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #504950=CARTESIAN_POINT('',(42.075,28.5,1.5)); #504951=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #504952=CARTESIAN_POINT('',(42.075,28.5,0.)); #504953=CARTESIAN_POINT('',(42.075,28.5,0.)); #504954=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #504955=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #504956=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #504957=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #504958=CARTESIAN_POINT('',(34.61,55.,1.5)); #504959=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #504960=CARTESIAN_POINT('',(34.61,55.,0.)); #504961=CARTESIAN_POINT('',(34.61,55.,0.)); #504962=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #504963=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #504964=CARTESIAN_POINT('',(34.575,55.,1.5)); #504965=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #504966=CARTESIAN_POINT('',(34.575,55.,0.)); #504967=CARTESIAN_POINT('',(34.575,55.,0.)); #504968=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #504969=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #504970=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #504971=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #504972=CARTESIAN_POINT('',(30.685,7.1,1.5)); #504973=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #504974=CARTESIAN_POINT('',(30.685,7.1,0.)); #504975=CARTESIAN_POINT('',(30.685,7.1,0.)); #504976=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #504977=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #504978=CARTESIAN_POINT('',(30.65,7.1,1.5)); #504979=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #504980=CARTESIAN_POINT('',(30.65,7.1,0.)); #504981=CARTESIAN_POINT('',(30.65,7.1,0.)); #504982=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #504983=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #504984=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #504985=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #504986=CARTESIAN_POINT('',(33.61,6.2426,1.5)); #504987=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #504988=CARTESIAN_POINT('',(33.61,6.2426,0.)); #504989=CARTESIAN_POINT('',(33.61,6.2426,0.)); #504990=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #504991=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #504992=CARTESIAN_POINT('',(33.575,6.2426,1.5)); #504993=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #504994=CARTESIAN_POINT('',(33.575,6.2426,0.)); #504995=CARTESIAN_POINT('',(33.575,6.2426,0.)); #504996=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #504997=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #504998=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #504999=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #505000=CARTESIAN_POINT('',(37.085,7.6,1.5)); #505001=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #505002=CARTESIAN_POINT('',(37.085,7.6,0.)); #505003=CARTESIAN_POINT('',(37.085,7.6,0.)); #505004=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #505005=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #505006=CARTESIAN_POINT('',(37.05,7.6,1.5)); #505007=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #505008=CARTESIAN_POINT('',(37.05,7.6,0.)); #505009=CARTESIAN_POINT('',(37.05,7.6,0.)); #505010=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #505011=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #505012=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #505013=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #505014=CARTESIAN_POINT('',(31.61,15.5,1.5)); #505015=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #505016=CARTESIAN_POINT('',(31.61,15.5,0.)); #505017=CARTESIAN_POINT('',(31.61,15.5,0.)); #505018=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #505019=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #505020=CARTESIAN_POINT('',(31.575,15.5,1.5)); #505021=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #505022=CARTESIAN_POINT('',(31.575,15.5,0.)); #505023=CARTESIAN_POINT('',(31.575,15.5,0.)); #505024=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #505025=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #505026=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #505027=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #505028=CARTESIAN_POINT('',(31.485,7.1,1.5)); #505029=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #505030=CARTESIAN_POINT('',(31.485,7.1,0.)); #505031=CARTESIAN_POINT('',(31.485,7.1,0.)); #505032=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #505033=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #505034=CARTESIAN_POINT('',(31.45,7.1,1.5)); #505035=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #505036=CARTESIAN_POINT('',(31.45,7.1,0.)); #505037=CARTESIAN_POINT('',(31.45,7.1,0.)); #505038=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #505039=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #505040=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #505041=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #505042=CARTESIAN_POINT('',(32.61,8.25,1.5)); #505043=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #505044=CARTESIAN_POINT('',(32.61,8.25,0.)); #505045=CARTESIAN_POINT('',(32.61,8.25,0.)); #505046=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #505047=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #505048=CARTESIAN_POINT('',(32.575,8.25,1.5)); #505049=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #505050=CARTESIAN_POINT('',(32.575,8.25,0.)); #505051=CARTESIAN_POINT('',(32.575,8.25,0.)); #505052=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #505053=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #505054=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #505055=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #505056=CARTESIAN_POINT('',(37.085,7.1,1.5)); #505057=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #505058=CARTESIAN_POINT('',(37.085,7.1,0.)); #505059=CARTESIAN_POINT('',(37.085,7.1,0.)); #505060=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #505061=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #505062=CARTESIAN_POINT('',(37.05,7.1,1.5)); #505063=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #505064=CARTESIAN_POINT('',(37.05,7.1,0.)); #505065=CARTESIAN_POINT('',(37.05,7.1,0.)); #505066=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #505067=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #505068=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #505069=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #505070=CARTESIAN_POINT('',(34.61,17.75,1.5)); #505071=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #505072=CARTESIAN_POINT('',(34.61,17.75,0.)); #505073=CARTESIAN_POINT('',(34.61,17.75,0.)); #505074=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #505075=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #505076=CARTESIAN_POINT('',(34.575,17.75,1.5)); #505077=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #505078=CARTESIAN_POINT('',(34.575,17.75,0.)); #505079=CARTESIAN_POINT('',(34.575,17.75,0.)); #505080=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #505081=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #505082=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #505083=CARTESIAN_POINT('Origin',(38.5500000151391,34.565,0.)); #505084=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #505085=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #505086=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #505087=CARTESIAN_POINT('',(39.1500000454174,34.565,1.5)); #505088=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #505089=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #505090=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #505091=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #505092=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #505093=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #505094=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #505095=CARTESIAN_POINT('',(38.5499999545827,33.435,1.5)); #505096=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #505097=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #505098=CARTESIAN_POINT('Origin',(39.1499999848609,33.435,0.)); #505099=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #505100=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #505101=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #505102=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #505103=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #505104=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #505105=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #505106=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #505107=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,0.)); #505108=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #505109=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #505110=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #505111=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #505112=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #505113=CARTESIAN_POINT('',(38.5499999517692,33.4,1.5)); #505114=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #505115=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #505116=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #505117=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #505118=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #505119=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #505120=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #505121=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #505122=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,0.)); #505123=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #505124=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #505125=CARTESIAN_POINT('',(39.1500000482308,34.6,1.5)); #505126=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #505127=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #505128=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #505129=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #505130=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #505131=CARTESIAN_POINT('Origin',(38.85,34.,1.5)); #505132=CARTESIAN_POINT('Origin',(38.85,34.,0.)); #505133=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #505134=CARTESIAN_POINT('',(34.11,8.25,1.5)); #505135=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #505136=CARTESIAN_POINT('',(34.11,8.25,0.)); #505137=CARTESIAN_POINT('',(34.11,8.25,0.)); #505138=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #505139=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #505140=CARTESIAN_POINT('',(34.075,8.25,1.5)); #505141=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #505142=CARTESIAN_POINT('',(34.075,8.25,0.)); #505143=CARTESIAN_POINT('',(34.075,8.25,0.)); #505144=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #505145=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #505146=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #505147=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #505148=CARTESIAN_POINT('',(30.685,7.6,1.5)); #505149=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #505150=CARTESIAN_POINT('',(30.685,7.6,0.)); #505151=CARTESIAN_POINT('',(30.685,7.6,0.)); #505152=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #505153=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #505154=CARTESIAN_POINT('',(30.65,7.6,1.5)); #505155=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #505156=CARTESIAN_POINT('',(30.65,7.6,0.)); #505157=CARTESIAN_POINT('',(30.65,7.6,0.)); #505158=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #505159=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #505160=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #505161=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #505162=CARTESIAN_POINT('',(31.61,16.25,1.5)); #505163=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #505164=CARTESIAN_POINT('',(31.61,16.25,0.)); #505165=CARTESIAN_POINT('',(31.61,16.25,0.)); #505166=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #505167=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #505168=CARTESIAN_POINT('',(31.575,16.25,1.5)); #505169=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #505170=CARTESIAN_POINT('',(31.575,16.25,0.)); #505171=CARTESIAN_POINT('',(31.575,16.25,0.)); #505172=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #505173=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #505174=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #505175=CARTESIAN_POINT('Origin',(28.8500000151391,34.565,0.)); #505176=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #505177=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #505178=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #505179=CARTESIAN_POINT('',(29.4500000454174,34.565,1.5)); #505180=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #505181=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #505182=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #505183=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #505184=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #505185=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #505186=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #505187=CARTESIAN_POINT('',(28.8499999545827,33.435,1.5)); #505188=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #505189=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #505190=CARTESIAN_POINT('Origin',(29.4499999848609,33.435,0.)); #505191=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #505192=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #505193=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #505194=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #505195=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #505196=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #505197=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #505198=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #505199=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,0.)); #505200=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #505201=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #505202=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #505203=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #505204=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #505205=CARTESIAN_POINT('',(28.8499999517692,33.4,1.5)); #505206=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #505207=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #505208=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #505209=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #505210=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #505211=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #505212=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #505213=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #505214=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,0.)); #505215=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #505216=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #505217=CARTESIAN_POINT('',(29.4500000482308,34.6,1.5)); #505218=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #505219=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #505220=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #505221=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #505222=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #505223=CARTESIAN_POINT('Origin',(29.15,34.,1.5)); #505224=CARTESIAN_POINT('Origin',(29.15,34.,0.)); #505225=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #505226=CARTESIAN_POINT('',(35.11,6.25,1.5)); #505227=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #505228=CARTESIAN_POINT('',(35.11,6.25,0.)); #505229=CARTESIAN_POINT('',(35.11,6.25,0.)); #505230=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #505231=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #505232=CARTESIAN_POINT('',(35.075,6.25,1.5)); #505233=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #505234=CARTESIAN_POINT('',(35.075,6.25,0.)); #505235=CARTESIAN_POINT('',(35.075,6.25,0.)); #505236=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #505237=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #505238=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #505239=CARTESIAN_POINT('Origin',(29.995,2.89999999155961,0.)); #505240=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #505241=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #505242=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #505243=CARTESIAN_POINT('',(29.995,2.09999997467882,1.5)); #505244=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #505245=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #505246=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #505247=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #505248=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #505249=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #505250=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #505251=CARTESIAN_POINT('',(29.365,2.90000002532118,1.5)); #505252=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #505253=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #505254=CARTESIAN_POINT('Origin',(29.365,2.10000000844039,0.)); #505255=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #505256=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #505257=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #505258=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #505259=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #505260=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #505261=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #505262=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #505263=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,0.)); #505264=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #505265=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #505266=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #505267=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #505268=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #505269=CARTESIAN_POINT('',(29.33,2.90000002813464,1.5)); #505270=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #505271=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #505272=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #505273=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #505274=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #505275=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #505276=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #505277=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #505278=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,0.)); #505279=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #505280=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #505281=CARTESIAN_POINT('',(30.03,2.09999997186536,1.5)); #505282=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #505283=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #505284=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #505285=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #505286=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #505287=CARTESIAN_POINT('Origin',(29.68,2.5,1.5)); #505288=CARTESIAN_POINT('Origin',(29.68,2.5,0.)); #505289=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #505290=CARTESIAN_POINT('',(55.285,34.,1.5)); #505291=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #505292=CARTESIAN_POINT('',(55.285,34.,0.)); #505293=CARTESIAN_POINT('',(55.285,34.,0.)); #505294=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #505295=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #505296=CARTESIAN_POINT('',(55.25,34.,1.5)); #505297=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #505298=CARTESIAN_POINT('',(55.25,34.,0.)); #505299=CARTESIAN_POINT('',(55.25,34.,0.)); #505300=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #505301=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #505302=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #505303=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #505304=CARTESIAN_POINT('',(34.622,60.25,1.5)); #505305=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #505306=CARTESIAN_POINT('',(34.622,60.25,0.)); #505307=CARTESIAN_POINT('',(34.622,60.25,0.)); #505308=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #505309=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #505310=CARTESIAN_POINT('',(34.587,60.25,1.5)); #505311=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #505312=CARTESIAN_POINT('',(34.587,60.25,0.)); #505313=CARTESIAN_POINT('',(34.587,60.25,0.)); #505314=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #505315=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #505316=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #505317=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #505318=CARTESIAN_POINT('',(7.11,34.,1.5)); #505319=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #505320=CARTESIAN_POINT('',(7.11,34.,0.)); #505321=CARTESIAN_POINT('',(7.11,34.,0.)); #505322=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #505323=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #505324=CARTESIAN_POINT('',(7.075,34.,1.5)); #505325=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #505326=CARTESIAN_POINT('',(7.075,34.,0.)); #505327=CARTESIAN_POINT('',(7.075,34.,0.)); #505328=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #505329=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #505330=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #505331=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #505332=CARTESIAN_POINT('',(33.11,55.,1.5)); #505333=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #505334=CARTESIAN_POINT('',(33.11,55.,0.)); #505335=CARTESIAN_POINT('',(33.11,55.,0.)); #505336=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #505337=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #505338=CARTESIAN_POINT('',(33.075,55.,1.5)); #505339=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #505340=CARTESIAN_POINT('',(33.075,55.,0.)); #505341=CARTESIAN_POINT('',(33.075,55.,0.)); #505342=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #505343=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #505344=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #505345=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #505346=CARTESIAN_POINT('',(33.11,14.75,1.5)); #505347=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #505348=CARTESIAN_POINT('',(33.11,14.75,0.)); #505349=CARTESIAN_POINT('',(33.11,14.75,0.)); #505350=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #505351=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #505352=CARTESIAN_POINT('',(33.075,14.75,1.5)); #505353=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #505354=CARTESIAN_POINT('',(33.075,14.75,0.)); #505355=CARTESIAN_POINT('',(33.075,14.75,0.)); #505356=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #505357=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #505358=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #505359=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #505360=CARTESIAN_POINT('',(30.622,60.25,1.5)); #505361=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #505362=CARTESIAN_POINT('',(30.622,60.25,0.)); #505363=CARTESIAN_POINT('',(30.622,60.25,0.)); #505364=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #505365=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #505366=CARTESIAN_POINT('',(30.587,60.25,1.5)); #505367=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #505368=CARTESIAN_POINT('',(30.587,60.25,0.)); #505369=CARTESIAN_POINT('',(30.587,60.25,0.)); #505370=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #505371=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #505372=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #505373=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #505374=CARTESIAN_POINT('',(7.11,34.75,1.5)); #505375=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #505376=CARTESIAN_POINT('',(7.11,34.75,0.)); #505377=CARTESIAN_POINT('',(7.11,34.75,0.)); #505378=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #505379=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #505380=CARTESIAN_POINT('',(7.075,34.75,1.5)); #505381=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #505382=CARTESIAN_POINT('',(7.075,34.75,0.)); #505383=CARTESIAN_POINT('',(7.075,34.75,0.)); #505384=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #505385=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #505386=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #505387=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #505388=CARTESIAN_POINT('',(39.11,16.5,1.5)); #505389=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #505390=CARTESIAN_POINT('',(39.11,16.5,0.)); #505391=CARTESIAN_POINT('',(39.11,16.5,0.)); #505392=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #505393=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #505394=CARTESIAN_POINT('',(39.075,16.5,1.5)); #505395=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #505396=CARTESIAN_POINT('',(39.075,16.5,0.)); #505397=CARTESIAN_POINT('',(39.075,16.5,0.)); #505398=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #505399=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #505400=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #505401=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #505402=CARTESIAN_POINT('',(33.86,16.25,1.5)); #505403=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #505404=CARTESIAN_POINT('',(33.86,16.25,0.)); #505405=CARTESIAN_POINT('',(33.86,16.25,0.)); #505406=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #505407=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #505408=CARTESIAN_POINT('',(33.825,16.25,1.5)); #505409=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #505410=CARTESIAN_POINT('',(33.825,16.25,0.)); #505411=CARTESIAN_POINT('',(33.825,16.25,0.)); #505412=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #505413=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #505414=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #505415=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #505416=CARTESIAN_POINT('',(30.36,11.25,1.5)); #505417=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #505418=CARTESIAN_POINT('',(30.36,11.25,0.)); #505419=CARTESIAN_POINT('',(30.36,11.25,0.)); #505420=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #505421=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #505422=CARTESIAN_POINT('',(30.325,11.25,1.5)); #505423=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #505424=CARTESIAN_POINT('',(30.325,11.25,0.)); #505425=CARTESIAN_POINT('',(30.325,11.25,0.)); #505426=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #505427=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #505428=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #505429=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #505430=CARTESIAN_POINT('',(10.11,34.75,1.5)); #505431=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #505432=CARTESIAN_POINT('',(10.11,34.75,0.)); #505433=CARTESIAN_POINT('',(10.11,34.75,0.)); #505434=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #505435=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #505436=CARTESIAN_POINT('',(10.075,34.75,1.5)); #505437=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #505438=CARTESIAN_POINT('',(10.075,34.75,0.)); #505439=CARTESIAN_POINT('',(10.075,34.75,0.)); #505440=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #505441=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #505442=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #505443=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #505444=CARTESIAN_POINT('',(35.61,11.25,1.5)); #505445=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #505446=CARTESIAN_POINT('',(35.61,11.25,0.)); #505447=CARTESIAN_POINT('',(35.61,11.25,0.)); #505448=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #505449=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #505450=CARTESIAN_POINT('',(35.575,11.25,1.5)); #505451=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #505452=CARTESIAN_POINT('',(35.575,11.25,0.)); #505453=CARTESIAN_POINT('',(35.575,11.25,0.)); #505454=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #505455=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #505456=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #505457=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #505458=CARTESIAN_POINT('',(33.86,14.75,1.5)); #505459=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #505460=CARTESIAN_POINT('',(33.86,14.75,0.)); #505461=CARTESIAN_POINT('',(33.86,14.75,0.)); #505462=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #505463=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #505464=CARTESIAN_POINT('',(33.825,14.75,1.5)); #505465=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #505466=CARTESIAN_POINT('',(33.825,14.75,0.)); #505467=CARTESIAN_POINT('',(33.825,14.75,0.)); #505468=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #505469=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #505470=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #505471=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #505472=CARTESIAN_POINT('',(36.36,10.5,1.5)); #505473=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #505474=CARTESIAN_POINT('',(36.36,10.5,0.)); #505475=CARTESIAN_POINT('',(36.36,10.5,0.)); #505476=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #505477=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #505478=CARTESIAN_POINT('',(36.325,10.5,1.5)); #505479=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #505480=CARTESIAN_POINT('',(36.325,10.5,0.)); #505481=CARTESIAN_POINT('',(36.325,10.5,0.)); #505482=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #505483=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #505484=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #505485=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #505486=CARTESIAN_POINT('',(9.36,34.75,1.5)); #505487=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #505488=CARTESIAN_POINT('',(9.36,34.75,0.)); #505489=CARTESIAN_POINT('',(9.36,34.75,0.)); #505490=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #505491=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #505492=CARTESIAN_POINT('',(9.325,34.75,1.5)); #505493=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #505494=CARTESIAN_POINT('',(9.325,34.75,0.)); #505495=CARTESIAN_POINT('',(9.325,34.75,0.)); #505496=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #505497=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #505498=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #505499=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #505500=CARTESIAN_POINT('',(30.36,10.5,1.5)); #505501=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #505502=CARTESIAN_POINT('',(30.36,10.5,0.)); #505503=CARTESIAN_POINT('',(30.36,10.5,0.)); #505504=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #505505=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #505506=CARTESIAN_POINT('',(30.325,10.5,1.5)); #505507=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #505508=CARTESIAN_POINT('',(30.325,10.5,0.)); #505509=CARTESIAN_POINT('',(30.325,10.5,0.)); #505510=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #505511=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #505512=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #505513=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #505514=CARTESIAN_POINT('',(39.11,15.75,1.5)); #505515=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #505516=CARTESIAN_POINT('',(39.11,15.75,0.)); #505517=CARTESIAN_POINT('',(39.11,15.75,0.)); #505518=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #505519=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #505520=CARTESIAN_POINT('',(39.075,15.75,1.5)); #505521=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #505522=CARTESIAN_POINT('',(39.075,15.75,0.)); #505523=CARTESIAN_POINT('',(39.075,15.75,0.)); #505524=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #505525=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #505526=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #505527=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #505528=CARTESIAN_POINT('',(42.36,14.25,1.5)); #505529=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #505530=CARTESIAN_POINT('',(42.36,14.25,0.)); #505531=CARTESIAN_POINT('',(42.36,14.25,0.)); #505532=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #505533=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #505534=CARTESIAN_POINT('',(42.325,14.25,1.5)); #505535=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #505536=CARTESIAN_POINT('',(42.325,14.25,0.)); #505537=CARTESIAN_POINT('',(42.325,14.25,0.)); #505538=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #505539=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #505540=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #505541=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #505542=CARTESIAN_POINT('',(6.36,33.25,1.5)); #505543=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #505544=CARTESIAN_POINT('',(6.36,33.25,0.)); #505545=CARTESIAN_POINT('',(6.36,33.25,0.)); #505546=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #505547=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #505548=CARTESIAN_POINT('',(6.325,33.25,1.5)); #505549=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #505550=CARTESIAN_POINT('',(6.325,33.25,0.)); #505551=CARTESIAN_POINT('',(6.325,33.25,0.)); #505552=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #505553=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #505554=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #505555=CARTESIAN_POINT('Origin',(34.,55.,0.)); #505556=CARTESIAN_POINT('',(33.86,55.,1.5)); #505557=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #505558=CARTESIAN_POINT('',(33.86,55.,0.)); #505559=CARTESIAN_POINT('',(33.86,55.,0.)); #505560=CARTESIAN_POINT('Origin',(34.,55.,0.)); #505561=CARTESIAN_POINT('Origin',(34.,55.,0.)); #505562=CARTESIAN_POINT('',(33.825,55.,1.5)); #505563=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #505564=CARTESIAN_POINT('',(33.825,55.,0.)); #505565=CARTESIAN_POINT('',(33.825,55.,0.)); #505566=CARTESIAN_POINT('Origin',(34.,55.,0.)); #505567=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #505568=CARTESIAN_POINT('Origin',(34.,55.,0.)); #505569=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #505570=CARTESIAN_POINT('',(32.36,14.75,1.5)); #505571=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #505572=CARTESIAN_POINT('',(32.36,14.75,0.)); #505573=CARTESIAN_POINT('',(32.36,14.75,0.)); #505574=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #505575=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #505576=CARTESIAN_POINT('',(32.325,14.75,1.5)); #505577=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #505578=CARTESIAN_POINT('',(32.325,14.75,0.)); #505579=CARTESIAN_POINT('',(32.325,14.75,0.)); #505580=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #505581=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #505582=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #505583=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #505584=CARTESIAN_POINT('',(38.622,60.25,1.5)); #505585=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #505586=CARTESIAN_POINT('',(38.622,60.25,0.)); #505587=CARTESIAN_POINT('',(38.622,60.25,0.)); #505588=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #505589=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #505590=CARTESIAN_POINT('',(38.587,60.25,1.5)); #505591=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #505592=CARTESIAN_POINT('',(38.587,60.25,0.)); #505593=CARTESIAN_POINT('',(38.587,60.25,0.)); #505594=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #505595=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #505596=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #505597=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #505598=CARTESIAN_POINT('',(7.11,33.25,1.5)); #505599=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #505600=CARTESIAN_POINT('',(7.11,33.25,0.)); #505601=CARTESIAN_POINT('',(7.11,33.25,0.)); #505602=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #505603=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #505604=CARTESIAN_POINT('',(7.075,33.25,1.5)); #505605=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #505606=CARTESIAN_POINT('',(7.075,33.25,0.)); #505607=CARTESIAN_POINT('',(7.075,33.25,0.)); #505608=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #505609=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #505610=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #505611=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #505612=CARTESIAN_POINT('',(34.7975,12.25,1.5)); #505613=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #505614=CARTESIAN_POINT('',(34.7975,12.25,0.)); #505615=CARTESIAN_POINT('',(34.7975,12.25,0.)); #505616=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #505617=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #505618=CARTESIAN_POINT('',(34.7625,12.25,1.5)); #505619=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #505620=CARTESIAN_POINT('',(34.7625,12.25,0.)); #505621=CARTESIAN_POINT('',(34.7625,12.25,0.)); #505622=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #505623=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #505624=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #505625=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #505626=CARTESIAN_POINT('',(33.11,17.,1.5)); #505627=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #505628=CARTESIAN_POINT('',(33.11,17.,0.)); #505629=CARTESIAN_POINT('',(33.11,17.,0.)); #505630=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #505631=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #505632=CARTESIAN_POINT('',(33.075,17.,1.5)); #505633=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #505634=CARTESIAN_POINT('',(33.075,17.,0.)); #505635=CARTESIAN_POINT('',(33.075,17.,0.)); #505636=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #505637=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #505638=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #505639=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #505640=CARTESIAN_POINT('',(36.285,7.6,1.5)); #505641=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #505642=CARTESIAN_POINT('',(36.285,7.6,0.)); #505643=CARTESIAN_POINT('',(36.285,7.6,0.)); #505644=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #505645=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #505646=CARTESIAN_POINT('',(36.25,7.6,1.5)); #505647=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #505648=CARTESIAN_POINT('',(36.25,7.6,0.)); #505649=CARTESIAN_POINT('',(36.25,7.6,0.)); #505650=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #505651=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #505652=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #505653=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #505654=CARTESIAN_POINT('',(6.36,34.,1.5)); #505655=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #505656=CARTESIAN_POINT('',(6.36,34.,0.)); #505657=CARTESIAN_POINT('',(6.36,34.,0.)); #505658=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #505659=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #505660=CARTESIAN_POINT('',(6.325,34.,1.5)); #505661=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #505662=CARTESIAN_POINT('',(6.325,34.,0.)); #505663=CARTESIAN_POINT('',(6.325,34.,0.)); #505664=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #505665=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #505666=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #505667=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #505668=CARTESIAN_POINT('',(41.11,13.0029,1.5)); #505669=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #505670=CARTESIAN_POINT('',(41.11,13.0029,0.)); #505671=CARTESIAN_POINT('',(41.11,13.0029,0.)); #505672=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #505673=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #505674=CARTESIAN_POINT('',(41.075,13.0029,1.5)); #505675=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #505676=CARTESIAN_POINT('',(41.075,13.0029,0.)); #505677=CARTESIAN_POINT('',(41.075,13.0029,0.)); #505678=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #505679=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #505680=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #505681=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #505682=CARTESIAN_POINT('',(31.61,14.75,1.5)); #505683=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #505684=CARTESIAN_POINT('',(31.61,14.75,0.)); #505685=CARTESIAN_POINT('',(31.61,14.75,0.)); #505686=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #505687=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #505688=CARTESIAN_POINT('',(31.575,14.75,1.5)); #505689=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #505690=CARTESIAN_POINT('',(31.575,14.75,0.)); #505691=CARTESIAN_POINT('',(31.575,14.75,0.)); #505692=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #505693=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #505694=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #505695=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #505696=CARTESIAN_POINT('',(36.285,7.1,1.5)); #505697=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #505698=CARTESIAN_POINT('',(36.285,7.1,0.)); #505699=CARTESIAN_POINT('',(36.285,7.1,0.)); #505700=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #505701=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #505702=CARTESIAN_POINT('',(36.25,7.1,1.5)); #505703=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #505704=CARTESIAN_POINT('',(36.25,7.1,0.)); #505705=CARTESIAN_POINT('',(36.25,7.1,0.)); #505706=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #505707=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #505708=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #505709=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #505710=CARTESIAN_POINT('',(6.36,34.75,1.5)); #505711=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #505712=CARTESIAN_POINT('',(6.36,34.75,0.)); #505713=CARTESIAN_POINT('',(6.36,34.75,0.)); #505714=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #505715=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #505716=CARTESIAN_POINT('',(6.325,34.75,1.5)); #505717=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #505718=CARTESIAN_POINT('',(6.325,34.75,0.)); #505719=CARTESIAN_POINT('',(6.325,34.75,0.)); #505720=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #505721=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #505722=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #505723=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #505724=CARTESIAN_POINT('',(31.485,7.6,1.5)); #505725=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #505726=CARTESIAN_POINT('',(31.485,7.6,0.)); #505727=CARTESIAN_POINT('',(31.485,7.6,0.)); #505728=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #505729=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #505730=CARTESIAN_POINT('',(31.45,7.6,1.5)); #505731=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #505732=CARTESIAN_POINT('',(31.45,7.6,0.)); #505733=CARTESIAN_POINT('',(31.45,7.6,0.)); #505734=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #505735=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #505736=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #505737=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #505738=CARTESIAN_POINT('',(21.235,34.,1.5)); #505739=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #505740=CARTESIAN_POINT('',(21.235,34.,0.)); #505741=CARTESIAN_POINT('',(21.235,34.,0.)); #505742=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #505743=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #505744=CARTESIAN_POINT('',(21.2,34.,1.5)); #505745=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #505746=CARTESIAN_POINT('',(21.2,34.,0.)); #505747=CARTESIAN_POINT('',(21.2,34.,0.)); #505748=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #505749=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #505750=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #505751=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #505752=CARTESIAN_POINT('',(22.735,47.75,1.5)); #505753=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #505754=CARTESIAN_POINT('',(22.735,47.75,0.)); #505755=CARTESIAN_POINT('',(22.735,47.75,0.)); #505756=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #505757=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #505758=CARTESIAN_POINT('',(22.7,47.75,1.5)); #505759=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #505760=CARTESIAN_POINT('',(22.7,47.75,0.)); #505761=CARTESIAN_POINT('',(22.7,47.75,0.)); #505762=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #505763=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #505764=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #505765=CARTESIAN_POINT('Origin',(62.,34.,0.)); #505766=CARTESIAN_POINT('',(61.86,34.,1.5)); #505767=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #505768=CARTESIAN_POINT('',(61.86,34.,0.)); #505769=CARTESIAN_POINT('',(61.86,34.,0.)); #505770=CARTESIAN_POINT('Origin',(62.,34.,0.)); #505771=CARTESIAN_POINT('Origin',(62.,34.,0.)); #505772=CARTESIAN_POINT('',(61.825,34.,1.5)); #505773=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #505774=CARTESIAN_POINT('',(61.825,34.,0.)); #505775=CARTESIAN_POINT('',(61.825,34.,0.)); #505776=CARTESIAN_POINT('Origin',(62.,34.,0.)); #505777=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #505778=CARTESIAN_POINT('Origin',(62.,34.,0.)); #505779=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #505780=CARTESIAN_POINT('',(22.735,47.,1.5)); #505781=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #505782=CARTESIAN_POINT('',(22.735,47.,0.)); #505783=CARTESIAN_POINT('',(22.735,47.,0.)); #505784=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #505785=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #505786=CARTESIAN_POINT('',(22.7,47.,1.5)); #505787=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #505788=CARTESIAN_POINT('',(22.7,47.,0.)); #505789=CARTESIAN_POINT('',(22.7,47.,0.)); #505790=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #505791=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #505792=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #505793=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #505794=CARTESIAN_POINT('',(36.11,16.25,1.5)); #505795=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #505796=CARTESIAN_POINT('',(36.11,16.25,0.)); #505797=CARTESIAN_POINT('',(36.11,16.25,0.)); #505798=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #505799=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #505800=CARTESIAN_POINT('',(36.075,16.25,1.5)); #505801=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #505802=CARTESIAN_POINT('',(36.075,16.25,0.)); #505803=CARTESIAN_POINT('',(36.075,16.25,0.)); #505804=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #505805=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #505806=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #505807=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #505808=CARTESIAN_POINT('',(20.985,46.25,1.5)); #505809=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #505810=CARTESIAN_POINT('',(20.985,46.25,0.)); #505811=CARTESIAN_POINT('',(20.985,46.25,0.)); #505812=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #505813=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #505814=CARTESIAN_POINT('',(20.95,46.25,1.5)); #505815=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #505816=CARTESIAN_POINT('',(20.95,46.25,0.)); #505817=CARTESIAN_POINT('',(20.95,46.25,0.)); #505818=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #505819=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #505820=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #505821=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #505822=CARTESIAN_POINT('',(61.86,34.75,1.5)); #505823=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #505824=CARTESIAN_POINT('',(61.86,34.75,0.)); #505825=CARTESIAN_POINT('',(61.86,34.75,0.)); #505826=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #505827=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #505828=CARTESIAN_POINT('',(61.825,34.75,1.5)); #505829=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #505830=CARTESIAN_POINT('',(61.825,34.75,0.)); #505831=CARTESIAN_POINT('',(61.825,34.75,0.)); #505832=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #505833=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #505834=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #505835=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #505836=CARTESIAN_POINT('',(22.735,46.25,1.5)); #505837=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #505838=CARTESIAN_POINT('',(22.735,46.25,0.)); #505839=CARTESIAN_POINT('',(22.735,46.25,0.)); #505840=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #505841=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #505842=CARTESIAN_POINT('',(22.7,46.25,1.5)); #505843=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #505844=CARTESIAN_POINT('',(22.7,46.25,0.)); #505845=CARTESIAN_POINT('',(22.7,46.25,0.)); #505846=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #505847=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #505848=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #505849=CARTESIAN_POINT('Origin',(34.,17.,0.)); #505850=CARTESIAN_POINT('',(33.86,17.,1.5)); #505851=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #505852=CARTESIAN_POINT('',(33.86,17.,0.)); #505853=CARTESIAN_POINT('',(33.86,17.,0.)); #505854=CARTESIAN_POINT('Origin',(34.,17.,0.)); #505855=CARTESIAN_POINT('Origin',(34.,17.,0.)); #505856=CARTESIAN_POINT('',(33.825,17.,1.5)); #505857=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #505858=CARTESIAN_POINT('',(33.825,17.,0.)); #505859=CARTESIAN_POINT('',(33.825,17.,0.)); #505860=CARTESIAN_POINT('Origin',(34.,17.,0.)); #505861=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #505862=CARTESIAN_POINT('Origin',(34.,17.,0.)); #505863=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #505864=CARTESIAN_POINT('',(21.86,47.75,1.5)); #505865=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #505866=CARTESIAN_POINT('',(21.86,47.75,0.)); #505867=CARTESIAN_POINT('',(21.86,47.75,0.)); #505868=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #505869=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #505870=CARTESIAN_POINT('',(21.825,47.75,1.5)); #505871=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #505872=CARTESIAN_POINT('',(21.825,47.75,0.)); #505873=CARTESIAN_POINT('',(21.825,47.75,0.)); #505874=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #505875=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #505876=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #505877=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #505878=CARTESIAN_POINT('',(61.11,34.,1.5)); #505879=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #505880=CARTESIAN_POINT('',(61.11,34.,0.)); #505881=CARTESIAN_POINT('',(61.11,34.,0.)); #505882=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #505883=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #505884=CARTESIAN_POINT('',(61.075,34.,1.5)); #505885=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #505886=CARTESIAN_POINT('',(61.075,34.,0.)); #505887=CARTESIAN_POINT('',(61.075,34.,0.)); #505888=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #505889=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #505890=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #505891=CARTESIAN_POINT('Origin',(22.,47.,0.)); #505892=CARTESIAN_POINT('',(21.86,47.,1.5)); #505893=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #505894=CARTESIAN_POINT('',(21.86,47.,0.)); #505895=CARTESIAN_POINT('',(21.86,47.,0.)); #505896=CARTESIAN_POINT('Origin',(22.,47.,0.)); #505897=CARTESIAN_POINT('Origin',(22.,47.,0.)); #505898=CARTESIAN_POINT('',(21.825,47.,1.5)); #505899=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #505900=CARTESIAN_POINT('',(21.825,47.,0.)); #505901=CARTESIAN_POINT('',(21.825,47.,0.)); #505902=CARTESIAN_POINT('Origin',(22.,47.,0.)); #505903=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #505904=CARTESIAN_POINT('Origin',(22.,47.,0.)); #505905=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #505906=CARTESIAN_POINT('',(36.11,17.,1.5)); #505907=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #505908=CARTESIAN_POINT('',(36.11,17.,0.)); #505909=CARTESIAN_POINT('',(36.11,17.,0.)); #505910=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #505911=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #505912=CARTESIAN_POINT('',(36.075,17.,1.5)); #505913=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #505914=CARTESIAN_POINT('',(36.075,17.,0.)); #505915=CARTESIAN_POINT('',(36.075,17.,0.)); #505916=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #505917=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #505918=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #505919=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #505920=CARTESIAN_POINT('',(20.985,47.75,1.5)); #505921=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #505922=CARTESIAN_POINT('',(20.985,47.75,0.)); #505923=CARTESIAN_POINT('',(20.985,47.75,0.)); #505924=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #505925=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #505926=CARTESIAN_POINT('',(20.95,47.75,1.5)); #505927=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #505928=CARTESIAN_POINT('',(20.95,47.75,0.)); #505929=CARTESIAN_POINT('',(20.95,47.75,0.)); #505930=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #505931=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #505932=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #505933=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #505934=CARTESIAN_POINT('',(61.11,34.75,1.5)); #505935=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #505936=CARTESIAN_POINT('',(61.11,34.75,0.)); #505937=CARTESIAN_POINT('',(61.11,34.75,0.)); #505938=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #505939=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #505940=CARTESIAN_POINT('',(61.075,34.75,1.5)); #505941=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #505942=CARTESIAN_POINT('',(61.075,34.75,0.)); #505943=CARTESIAN_POINT('',(61.075,34.75,0.)); #505944=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #505945=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #505946=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #505947=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #505948=CARTESIAN_POINT('',(20.985,47.,1.5)); #505949=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #505950=CARTESIAN_POINT('',(20.985,47.,0.)); #505951=CARTESIAN_POINT('',(20.985,47.,0.)); #505952=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #505953=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #505954=CARTESIAN_POINT('',(20.95,47.,1.5)); #505955=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #505956=CARTESIAN_POINT('',(20.95,47.,0.)); #505957=CARTESIAN_POINT('',(20.95,47.,0.)); #505958=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #505959=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #505960=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #505961=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #505962=CARTESIAN_POINT('',(31.035,27.75,1.5)); #505963=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #505964=CARTESIAN_POINT('',(31.035,27.75,0.)); #505965=CARTESIAN_POINT('',(31.035,27.75,0.)); #505966=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #505967=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #505968=CARTESIAN_POINT('',(31.,27.75,1.5)); #505969=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #505970=CARTESIAN_POINT('',(31.,27.75,0.)); #505971=CARTESIAN_POINT('',(31.,27.75,0.)); #505972=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #505973=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #505974=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #505975=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #505976=CARTESIAN_POINT('',(23.61,47.75,1.5)); #505977=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #505978=CARTESIAN_POINT('',(23.61,47.75,0.)); #505979=CARTESIAN_POINT('',(23.61,47.75,0.)); #505980=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #505981=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #505982=CARTESIAN_POINT('',(23.575,47.75,1.5)); #505983=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #505984=CARTESIAN_POINT('',(23.575,47.75,0.)); #505985=CARTESIAN_POINT('',(23.575,47.75,0.)); #505986=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #505987=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #505988=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #505989=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #505990=CARTESIAN_POINT('',(58.36,34.75,1.5)); #505991=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #505992=CARTESIAN_POINT('',(58.36,34.75,0.)); #505993=CARTESIAN_POINT('',(58.36,34.75,0.)); #505994=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #505995=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #505996=CARTESIAN_POINT('',(58.325,34.75,1.5)); #505997=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #505998=CARTESIAN_POINT('',(58.325,34.75,0.)); #505999=CARTESIAN_POINT('',(58.325,34.75,0.)); #506000=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #506001=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #506002=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #506003=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #506004=CARTESIAN_POINT('',(20.11,47.75,1.5)); #506005=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #506006=CARTESIAN_POINT('',(20.11,47.75,0.)); #506007=CARTESIAN_POINT('',(20.11,47.75,0.)); #506008=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #506009=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #506010=CARTESIAN_POINT('',(20.075,47.75,1.5)); #506011=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #506012=CARTESIAN_POINT('',(20.075,47.75,0.)); #506013=CARTESIAN_POINT('',(20.075,47.75,0.)); #506014=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #506015=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #506016=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #506017=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #506018=CARTESIAN_POINT('',(36.11,18.5,1.5)); #506019=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #506020=CARTESIAN_POINT('',(36.11,18.5,0.)); #506021=CARTESIAN_POINT('',(36.11,18.5,0.)); #506022=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #506023=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #506024=CARTESIAN_POINT('',(36.075,18.5,1.5)); #506025=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #506026=CARTESIAN_POINT('',(36.075,18.5,0.)); #506027=CARTESIAN_POINT('',(36.075,18.5,0.)); #506028=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #506029=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #506030=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #506031=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #506032=CARTESIAN_POINT('',(18.36,47.75,1.5)); #506033=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #506034=CARTESIAN_POINT('',(18.36,47.75,0.)); #506035=CARTESIAN_POINT('',(18.36,47.75,0.)); #506036=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #506037=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #506038=CARTESIAN_POINT('',(18.325,47.75,1.5)); #506039=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #506040=CARTESIAN_POINT('',(18.325,47.75,0.)); #506041=CARTESIAN_POINT('',(18.325,47.75,0.)); #506042=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #506043=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #506044=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #506045=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #506046=CARTESIAN_POINT('',(58.36,34.,1.5)); #506047=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #506048=CARTESIAN_POINT('',(58.36,34.,0.)); #506049=CARTESIAN_POINT('',(58.36,34.,0.)); #506050=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #506051=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #506052=CARTESIAN_POINT('',(58.325,34.,1.5)); #506053=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #506054=CARTESIAN_POINT('',(58.325,34.,0.)); #506055=CARTESIAN_POINT('',(58.325,34.,0.)); #506056=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #506057=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #506058=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #506059=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #506060=CARTESIAN_POINT('',(20.11,46.25,1.5)); #506061=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #506062=CARTESIAN_POINT('',(20.11,46.25,0.)); #506063=CARTESIAN_POINT('',(20.11,46.25,0.)); #506064=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #506065=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #506066=CARTESIAN_POINT('',(20.075,46.25,1.5)); #506067=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #506068=CARTESIAN_POINT('',(20.075,46.25,0.)); #506069=CARTESIAN_POINT('',(20.075,46.25,0.)); #506070=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #506071=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #506072=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #506073=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #506074=CARTESIAN_POINT('',(37.11,8.75,1.5)); #506075=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #506076=CARTESIAN_POINT('',(37.11,8.75,0.)); #506077=CARTESIAN_POINT('',(37.11,8.75,0.)); #506078=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #506079=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #506080=CARTESIAN_POINT('',(37.075,8.75,1.5)); #506081=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #506082=CARTESIAN_POINT('',(37.075,8.75,0.)); #506083=CARTESIAN_POINT('',(37.075,8.75,0.)); #506084=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #506085=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #506086=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #506087=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #506088=CARTESIAN_POINT('',(21.86,46.25,1.5)); #506089=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #506090=CARTESIAN_POINT('',(21.86,46.25,0.)); #506091=CARTESIAN_POINT('',(21.86,46.25,0.)); #506092=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #506093=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #506094=CARTESIAN_POINT('',(21.825,46.25,1.5)); #506095=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #506096=CARTESIAN_POINT('',(21.825,46.25,0.)); #506097=CARTESIAN_POINT('',(21.825,46.25,0.)); #506098=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #506099=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #506100=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #506101=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #506102=CARTESIAN_POINT('',(58.36,33.25,1.5)); #506103=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #506104=CARTESIAN_POINT('',(58.36,33.25,0.)); #506105=CARTESIAN_POINT('',(58.36,33.25,0.)); #506106=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #506107=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #506108=CARTESIAN_POINT('',(58.325,33.25,1.5)); #506109=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #506110=CARTESIAN_POINT('',(58.325,33.25,0.)); #506111=CARTESIAN_POINT('',(58.325,33.25,0.)); #506112=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #506113=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #506114=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #506115=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #506116=CARTESIAN_POINT('',(23.61,46.25,1.5)); #506117=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #506118=CARTESIAN_POINT('',(23.61,46.25,0.)); #506119=CARTESIAN_POINT('',(23.61,46.25,0.)); #506120=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #506121=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #506122=CARTESIAN_POINT('',(23.575,46.25,1.5)); #506123=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #506124=CARTESIAN_POINT('',(23.575,46.25,0.)); #506125=CARTESIAN_POINT('',(23.575,46.25,0.)); #506126=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #506127=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #506128=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #506129=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #506130=CARTESIAN_POINT('',(36.11,17.75,1.5)); #506131=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #506132=CARTESIAN_POINT('',(36.11,17.75,0.)); #506133=CARTESIAN_POINT('',(36.11,17.75,0.)); #506134=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #506135=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #506136=CARTESIAN_POINT('',(36.075,17.75,1.5)); #506137=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #506138=CARTESIAN_POINT('',(36.075,17.75,0.)); #506139=CARTESIAN_POINT('',(36.075,17.75,0.)); #506140=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #506141=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #506142=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #506143=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #506144=CARTESIAN_POINT('',(20.11,47.,1.5)); #506145=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #506146=CARTESIAN_POINT('',(20.11,47.,0.)); #506147=CARTESIAN_POINT('',(20.11,47.,0.)); #506148=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #506149=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #506150=CARTESIAN_POINT('',(20.075,47.,1.5)); #506151=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #506152=CARTESIAN_POINT('',(20.075,47.,0.)); #506153=CARTESIAN_POINT('',(20.075,47.,0.)); #506154=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #506155=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #506156=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #506157=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #506158=CARTESIAN_POINT('',(61.11,33.25,1.5)); #506159=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #506160=CARTESIAN_POINT('',(61.11,33.25,0.)); #506161=CARTESIAN_POINT('',(61.11,33.25,0.)); #506162=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #506163=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #506164=CARTESIAN_POINT('',(61.075,33.25,1.5)); #506165=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #506166=CARTESIAN_POINT('',(61.075,33.25,0.)); #506167=CARTESIAN_POINT('',(61.075,33.25,0.)); #506168=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #506169=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #506170=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #506171=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #506172=CARTESIAN_POINT('',(23.61,47.,1.5)); #506173=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #506174=CARTESIAN_POINT('',(23.61,47.,0.)); #506175=CARTESIAN_POINT('',(23.61,47.,0.)); #506176=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #506177=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #506178=CARTESIAN_POINT('',(23.575,47.,1.5)); #506179=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #506180=CARTESIAN_POINT('',(23.575,47.,0.)); #506181=CARTESIAN_POINT('',(23.575,47.,0.)); #506182=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #506183=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #506184=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #506185=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #506186=CARTESIAN_POINT('',(51.785,34.,1.5)); #506187=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #506188=CARTESIAN_POINT('',(51.785,34.,0.)); #506189=CARTESIAN_POINT('',(51.785,34.,0.)); #506190=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #506191=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #506192=CARTESIAN_POINT('',(51.75,34.,1.5)); #506193=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #506194=CARTESIAN_POINT('',(51.75,34.,0.)); #506195=CARTESIAN_POINT('',(51.75,34.,0.)); #506196=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #506197=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #506198=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #506199=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #506200=CARTESIAN_POINT('',(15.61,47.,1.5)); #506201=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #506202=CARTESIAN_POINT('',(15.61,47.,0.)); #506203=CARTESIAN_POINT('',(15.61,47.,0.)); #506204=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #506205=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #506206=CARTESIAN_POINT('',(15.575,47.,1.5)); #506207=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #506208=CARTESIAN_POINT('',(15.575,47.,0.)); #506209=CARTESIAN_POINT('',(15.575,47.,0.)); #506210=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #506211=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #506212=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #506213=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #506214=CARTESIAN_POINT('',(57.61,34.75,1.5)); #506215=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #506216=CARTESIAN_POINT('',(57.61,34.75,0.)); #506217=CARTESIAN_POINT('',(57.61,34.75,0.)); #506218=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #506219=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #506220=CARTESIAN_POINT('',(57.575,34.75,1.5)); #506221=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #506222=CARTESIAN_POINT('',(57.575,34.75,0.)); #506223=CARTESIAN_POINT('',(57.575,34.75,0.)); #506224=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #506225=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #506226=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #506227=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #506228=CARTESIAN_POINT('',(15.61,46.25,1.5)); #506229=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #506230=CARTESIAN_POINT('',(15.61,46.25,0.)); #506231=CARTESIAN_POINT('',(15.61,46.25,0.)); #506232=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #506233=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #506234=CARTESIAN_POINT('',(15.575,46.25,1.5)); #506235=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #506236=CARTESIAN_POINT('',(15.575,46.25,0.)); #506237=CARTESIAN_POINT('',(15.575,46.25,0.)); #506238=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #506239=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #506240=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #506241=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #506242=CARTESIAN_POINT('',(36.11,14.75,1.5)); #506243=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #506244=CARTESIAN_POINT('',(36.11,14.75,0.)); #506245=CARTESIAN_POINT('',(36.11,14.75,0.)); #506246=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #506247=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #506248=CARTESIAN_POINT('',(36.075,14.75,1.5)); #506249=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #506250=CARTESIAN_POINT('',(36.075,14.75,0.)); #506251=CARTESIAN_POINT('',(36.075,14.75,0.)); #506252=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #506253=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #506254=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #506255=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #506256=CARTESIAN_POINT('',(17.36,47.,1.5)); #506257=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #506258=CARTESIAN_POINT('',(17.36,47.,0.)); #506259=CARTESIAN_POINT('',(17.36,47.,0.)); #506260=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #506261=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #506262=CARTESIAN_POINT('',(17.325,47.,1.5)); #506263=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #506264=CARTESIAN_POINT('',(17.325,47.,0.)); #506265=CARTESIAN_POINT('',(17.325,47.,0.)); #506266=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #506267=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #506268=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #506269=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #506270=CARTESIAN_POINT('',(57.61,34.,1.5)); #506271=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #506272=CARTESIAN_POINT('',(57.61,34.,0.)); #506273=CARTESIAN_POINT('',(57.61,34.,0.)); #506274=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #506275=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #506276=CARTESIAN_POINT('',(57.575,34.,1.5)); #506277=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #506278=CARTESIAN_POINT('',(57.575,34.,0.)); #506279=CARTESIAN_POINT('',(57.575,34.,0.)); #506280=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #506281=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #506282=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #506283=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #506284=CARTESIAN_POINT('',(17.36,46.25,1.5)); #506285=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #506286=CARTESIAN_POINT('',(17.36,46.25,0.)); #506287=CARTESIAN_POINT('',(17.36,46.25,0.)); #506288=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #506289=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #506290=CARTESIAN_POINT('',(17.325,46.25,1.5)); #506291=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #506292=CARTESIAN_POINT('',(17.325,46.25,0.)); #506293=CARTESIAN_POINT('',(17.325,46.25,0.)); #506294=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #506295=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #506296=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #506297=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #506298=CARTESIAN_POINT('',(33.86,17.75,1.5)); #506299=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #506300=CARTESIAN_POINT('',(33.86,17.75,0.)); #506301=CARTESIAN_POINT('',(33.86,17.75,0.)); #506302=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #506303=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #506304=CARTESIAN_POINT('',(33.825,17.75,1.5)); #506305=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #506306=CARTESIAN_POINT('',(33.825,17.75,0.)); #506307=CARTESIAN_POINT('',(33.825,17.75,0.)); #506308=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #506309=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #506310=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #506311=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #506312=CARTESIAN_POINT('',(19.235,47.,1.5)); #506313=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #506314=CARTESIAN_POINT('',(19.235,47.,0.)); #506315=CARTESIAN_POINT('',(19.235,47.,0.)); #506316=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #506317=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #506318=CARTESIAN_POINT('',(19.2,47.,1.5)); #506319=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #506320=CARTESIAN_POINT('',(19.2,47.,0.)); #506321=CARTESIAN_POINT('',(19.2,47.,0.)); #506322=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #506323=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #506324=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #506325=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #506326=CARTESIAN_POINT('',(61.86,33.25,1.5)); #506327=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #506328=CARTESIAN_POINT('',(61.86,33.25,0.)); #506329=CARTESIAN_POINT('',(61.86,33.25,0.)); #506330=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #506331=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #506332=CARTESIAN_POINT('',(61.825,33.25,1.5)); #506333=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #506334=CARTESIAN_POINT('',(61.825,33.25,0.)); #506335=CARTESIAN_POINT('',(61.825,33.25,0.)); #506336=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #506337=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #506338=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #506339=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #506340=CARTESIAN_POINT('',(19.235,47.75,1.5)); #506341=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #506342=CARTESIAN_POINT('',(19.235,47.75,0.)); #506343=CARTESIAN_POINT('',(19.235,47.75,0.)); #506344=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #506345=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #506346=CARTESIAN_POINT('',(19.2,47.75,1.5)); #506347=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #506348=CARTESIAN_POINT('',(19.2,47.75,0.)); #506349=CARTESIAN_POINT('',(19.2,47.75,0.)); #506350=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #506351=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #506352=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #506353=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #506354=CARTESIAN_POINT('',(36.11,15.5,1.5)); #506355=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #506356=CARTESIAN_POINT('',(36.11,15.5,0.)); #506357=CARTESIAN_POINT('',(36.11,15.5,0.)); #506358=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #506359=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #506360=CARTESIAN_POINT('',(36.075,15.5,1.5)); #506361=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #506362=CARTESIAN_POINT('',(36.075,15.5,0.)); #506363=CARTESIAN_POINT('',(36.075,15.5,0.)); #506364=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #506365=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #506366=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #506367=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #506368=CARTESIAN_POINT('',(19.235,46.25,1.5)); #506369=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #506370=CARTESIAN_POINT('',(19.235,46.25,0.)); #506371=CARTESIAN_POINT('',(19.235,46.25,0.)); #506372=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #506373=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #506374=CARTESIAN_POINT('',(19.2,46.25,1.5)); #506375=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #506376=CARTESIAN_POINT('',(19.2,46.25,0.)); #506377=CARTESIAN_POINT('',(19.2,46.25,0.)); #506378=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #506379=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #506380=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #506381=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #506382=CARTESIAN_POINT('',(57.61,33.25,1.5)); #506383=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #506384=CARTESIAN_POINT('',(57.61,33.25,0.)); #506385=CARTESIAN_POINT('',(57.61,33.25,0.)); #506386=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #506387=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #506388=CARTESIAN_POINT('',(57.575,33.25,1.5)); #506389=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #506390=CARTESIAN_POINT('',(57.575,33.25,0.)); #506391=CARTESIAN_POINT('',(57.575,33.25,0.)); #506392=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #506393=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #506394=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #506395=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #506396=CARTESIAN_POINT('',(17.36,47.75,1.5)); #506397=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #506398=CARTESIAN_POINT('',(17.36,47.75,0.)); #506399=CARTESIAN_POINT('',(17.36,47.75,0.)); #506400=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #506401=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #506402=CARTESIAN_POINT('',(17.325,47.75,1.5)); #506403=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #506404=CARTESIAN_POINT('',(17.325,47.75,0.)); #506405=CARTESIAN_POINT('',(17.325,47.75,0.)); #506406=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #506407=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #506408=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #506409=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #506410=CARTESIAN_POINT('',(39.11,17.5,1.5)); #506411=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #506412=CARTESIAN_POINT('',(39.11,17.5,0.)); #506413=CARTESIAN_POINT('',(39.11,17.5,0.)); #506414=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #506415=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #506416=CARTESIAN_POINT('',(39.075,17.5,1.5)); #506417=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #506418=CARTESIAN_POINT('',(39.075,17.5,0.)); #506419=CARTESIAN_POINT('',(39.075,17.5,0.)); #506420=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #506421=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #506422=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #506423=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #506424=CARTESIAN_POINT('',(16.485,46.25,1.5)); #506425=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #506426=CARTESIAN_POINT('',(16.485,46.25,0.)); #506427=CARTESIAN_POINT('',(16.485,46.25,0.)); #506428=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #506429=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #506430=CARTESIAN_POINT('',(16.45,46.25,1.5)); #506431=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #506432=CARTESIAN_POINT('',(16.45,46.25,0.)); #506433=CARTESIAN_POINT('',(16.45,46.25,0.)); #506434=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #506435=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #506436=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #506437=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #506438=CARTESIAN_POINT('',(9.36,34.,1.5)); #506439=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #506440=CARTESIAN_POINT('',(9.36,34.,0.)); #506441=CARTESIAN_POINT('',(9.36,34.,0.)); #506442=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #506443=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #506444=CARTESIAN_POINT('',(9.325,34.,1.5)); #506445=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #506446=CARTESIAN_POINT('',(9.325,34.,0.)); #506447=CARTESIAN_POINT('',(9.325,34.,0.)); #506448=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #506449=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #506450=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #506451=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #506452=CARTESIAN_POINT('',(16.485,47.,1.5)); #506453=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #506454=CARTESIAN_POINT('',(16.485,47.,0.)); #506455=CARTESIAN_POINT('',(16.485,47.,0.)); #506456=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #506457=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #506458=CARTESIAN_POINT('',(16.45,47.,1.5)); #506459=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #506460=CARTESIAN_POINT('',(16.45,47.,0.)); #506461=CARTESIAN_POINT('',(16.45,47.,0.)); #506462=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #506463=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #506464=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #506465=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #506466=CARTESIAN_POINT('',(35.36,14.75,1.5)); #506467=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #506468=CARTESIAN_POINT('',(35.36,14.75,0.)); #506469=CARTESIAN_POINT('',(35.36,14.75,0.)); #506470=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #506471=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #506472=CARTESIAN_POINT('',(35.325,14.75,1.5)); #506473=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #506474=CARTESIAN_POINT('',(35.325,14.75,0.)); #506475=CARTESIAN_POINT('',(35.325,14.75,0.)); #506476=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #506477=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #506478=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #506479=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #506480=CARTESIAN_POINT('',(15.61,47.75,1.5)); #506481=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #506482=CARTESIAN_POINT('',(15.61,47.75,0.)); #506483=CARTESIAN_POINT('',(15.61,47.75,0.)); #506484=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #506485=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #506486=CARTESIAN_POINT('',(15.575,47.75,1.5)); #506487=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #506488=CARTESIAN_POINT('',(15.575,47.75,0.)); #506489=CARTESIAN_POINT('',(15.575,47.75,0.)); #506490=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #506491=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #506492=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #506493=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #506494=CARTESIAN_POINT('',(10.11,34.,1.5)); #506495=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #506496=CARTESIAN_POINT('',(10.11,34.,0.)); #506497=CARTESIAN_POINT('',(10.11,34.,0.)); #506498=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #506499=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #506500=CARTESIAN_POINT('',(10.075,34.,1.5)); #506501=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #506502=CARTESIAN_POINT('',(10.075,34.,0.)); #506503=CARTESIAN_POINT('',(10.075,34.,0.)); #506504=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #506505=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #506506=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #506507=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #506508=CARTESIAN_POINT('',(16.485,47.75,1.5)); #506509=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #506510=CARTESIAN_POINT('',(16.485,47.75,0.)); #506511=CARTESIAN_POINT('',(16.485,47.75,0.)); #506512=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #506513=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #506514=CARTESIAN_POINT('',(16.45,47.75,1.5)); #506515=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #506516=CARTESIAN_POINT('',(16.45,47.75,0.)); #506517=CARTESIAN_POINT('',(16.45,47.75,0.)); #506518=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #506519=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #506520=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #506521=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #506522=CARTESIAN_POINT('',(34.61,17.,1.5)); #506523=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #506524=CARTESIAN_POINT('',(34.61,17.,0.)); #506525=CARTESIAN_POINT('',(34.61,17.,0.)); #506526=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #506527=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #506528=CARTESIAN_POINT('',(34.575,17.,1.5)); #506529=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #506530=CARTESIAN_POINT('',(34.575,17.,0.)); #506531=CARTESIAN_POINT('',(34.575,17.,0.)); #506532=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #506533=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #506534=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #506535=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #506536=CARTESIAN_POINT('',(26.61,31.,1.5)); #506537=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #506538=CARTESIAN_POINT('',(26.61,31.,0.)); #506539=CARTESIAN_POINT('',(26.61,31.,0.)); #506540=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #506541=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #506542=CARTESIAN_POINT('',(26.575,31.,1.5)); #506543=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #506544=CARTESIAN_POINT('',(26.575,31.,0.)); #506545=CARTESIAN_POINT('',(26.575,31.,0.)); #506546=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #506547=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #506548=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #506549=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #506550=CARTESIAN_POINT('',(9.36,33.25,1.5)); #506551=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #506552=CARTESIAN_POINT('',(9.36,33.25,0.)); #506553=CARTESIAN_POINT('',(9.36,33.25,0.)); #506554=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #506555=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #506556=CARTESIAN_POINT('',(9.325,33.25,1.5)); #506557=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #506558=CARTESIAN_POINT('',(9.325,33.25,0.)); #506559=CARTESIAN_POINT('',(9.325,33.25,0.)); #506560=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #506561=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #506562=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #506563=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #506564=CARTESIAN_POINT('',(26.61,36.,1.5)); #506565=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #506566=CARTESIAN_POINT('',(26.61,36.,0.)); #506567=CARTESIAN_POINT('',(26.61,36.,0.)); #506568=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #506569=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #506570=CARTESIAN_POINT('',(26.575,36.,1.5)); #506571=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #506572=CARTESIAN_POINT('',(26.575,36.,0.)); #506573=CARTESIAN_POINT('',(26.575,36.,0.)); #506574=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #506575=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #506576=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #506577=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #506578=CARTESIAN_POINT('',(34.61,14.75,1.5)); #506579=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #506580=CARTESIAN_POINT('',(34.61,14.75,0.)); #506581=CARTESIAN_POINT('',(34.61,14.75,0.)); #506582=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #506583=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #506584=CARTESIAN_POINT('',(34.575,14.75,1.5)); #506585=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #506586=CARTESIAN_POINT('',(34.575,14.75,0.)); #506587=CARTESIAN_POINT('',(34.575,14.75,0.)); #506588=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #506589=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #506590=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #506591=CARTESIAN_POINT('Origin',(26.,36.,0.)); #506592=CARTESIAN_POINT('',(25.86,36.,1.5)); #506593=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #506594=CARTESIAN_POINT('',(25.86,36.,0.)); #506595=CARTESIAN_POINT('',(25.86,36.,0.)); #506596=CARTESIAN_POINT('Origin',(26.,36.,0.)); #506597=CARTESIAN_POINT('Origin',(26.,36.,0.)); #506598=CARTESIAN_POINT('',(25.825,36.,1.5)); #506599=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #506600=CARTESIAN_POINT('',(25.825,36.,0.)); #506601=CARTESIAN_POINT('',(25.825,36.,0.)); #506602=CARTESIAN_POINT('Origin',(26.,36.,0.)); #506603=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #506604=CARTESIAN_POINT('Origin',(26.,36.,0.)); #506605=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #506606=CARTESIAN_POINT('',(10.11,33.25,1.5)); #506607=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #506608=CARTESIAN_POINT('',(10.11,33.25,0.)); #506609=CARTESIAN_POINT('',(10.11,33.25,0.)); #506610=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #506611=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #506612=CARTESIAN_POINT('',(10.075,33.25,1.5)); #506613=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #506614=CARTESIAN_POINT('',(10.075,33.25,0.)); #506615=CARTESIAN_POINT('',(10.075,33.25,0.)); #506616=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #506617=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #506618=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #506619=CARTESIAN_POINT('Origin',(26.,31.,0.)); #506620=CARTESIAN_POINT('',(25.86,31.,1.5)); #506621=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #506622=CARTESIAN_POINT('',(25.86,31.,0.)); #506623=CARTESIAN_POINT('',(25.86,31.,0.)); #506624=CARTESIAN_POINT('Origin',(26.,31.,0.)); #506625=CARTESIAN_POINT('Origin',(26.,31.,0.)); #506626=CARTESIAN_POINT('',(25.825,31.,1.5)); #506627=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #506628=CARTESIAN_POINT('',(25.825,31.,0.)); #506629=CARTESIAN_POINT('',(25.825,31.,0.)); #506630=CARTESIAN_POINT('Origin',(26.,31.,0.)); #506631=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #506632=CARTESIAN_POINT('Origin',(26.,31.,0.)); #506633=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #506634=CARTESIAN_POINT('',(34.705,45.,1.5)); #506635=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #506636=CARTESIAN_POINT('',(34.705,45.,0.)); #506637=CARTESIAN_POINT('',(34.705,45.,0.)); #506638=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #506639=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #506640=CARTESIAN_POINT('',(34.67,45.,1.5)); #506641=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #506642=CARTESIAN_POINT('',(34.67,45.,0.)); #506643=CARTESIAN_POINT('',(34.67,45.,0.)); #506644=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #506645=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #506646=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #506647=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #506648=CARTESIAN_POINT('',(52.61,21.75,1.5)); #506649=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #506650=CARTESIAN_POINT('',(52.61,21.75,0.)); #506651=CARTESIAN_POINT('',(52.61,21.75,0.)); #506652=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #506653=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #506654=CARTESIAN_POINT('',(52.575,21.75,1.5)); #506655=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #506656=CARTESIAN_POINT('',(52.575,21.75,0.)); #506657=CARTESIAN_POINT('',(52.575,21.75,0.)); #506658=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #506659=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #506660=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #506661=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #506662=CARTESIAN_POINT('',(33.86,49.25,1.5)); #506663=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #506664=CARTESIAN_POINT('',(33.86,49.25,0.)); #506665=CARTESIAN_POINT('',(33.86,49.25,0.)); #506666=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #506667=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #506668=CARTESIAN_POINT('',(33.825,49.25,1.5)); #506669=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #506670=CARTESIAN_POINT('',(33.825,49.25,0.)); #506671=CARTESIAN_POINT('',(33.825,49.25,0.)); #506672=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #506673=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #506674=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #506675=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #506676=CARTESIAN_POINT('',(53.36,21.75,1.5)); #506677=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #506678=CARTESIAN_POINT('',(53.36,21.75,0.)); #506679=CARTESIAN_POINT('',(53.36,21.75,0.)); #506680=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #506681=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #506682=CARTESIAN_POINT('',(53.325,21.75,1.5)); #506683=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #506684=CARTESIAN_POINT('',(53.325,21.75,0.)); #506685=CARTESIAN_POINT('',(53.325,21.75,0.)); #506686=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #506687=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #506688=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #506689=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #506690=CARTESIAN_POINT('',(35.36,18.5,1.5)); #506691=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #506692=CARTESIAN_POINT('',(35.36,18.5,0.)); #506693=CARTESIAN_POINT('',(35.36,18.5,0.)); #506694=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #506695=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #506696=CARTESIAN_POINT('',(35.325,18.5,1.5)); #506697=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #506698=CARTESIAN_POINT('',(35.325,18.5,0.)); #506699=CARTESIAN_POINT('',(35.325,18.5,0.)); #506700=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #506701=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #506702=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #506703=CARTESIAN_POINT('Origin',(52.,21.,0.)); #506704=CARTESIAN_POINT('',(51.86,21.,1.5)); #506705=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #506706=CARTESIAN_POINT('',(51.86,21.,0.)); #506707=CARTESIAN_POINT('',(51.86,21.,0.)); #506708=CARTESIAN_POINT('Origin',(52.,21.,0.)); #506709=CARTESIAN_POINT('Origin',(52.,21.,0.)); #506710=CARTESIAN_POINT('',(51.825,21.,1.5)); #506711=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #506712=CARTESIAN_POINT('',(51.825,21.,0.)); #506713=CARTESIAN_POINT('',(51.825,21.,0.)); #506714=CARTESIAN_POINT('Origin',(52.,21.,0.)); #506715=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #506716=CARTESIAN_POINT('Origin',(52.,21.,0.)); #506717=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #506718=CARTESIAN_POINT('',(34.61,49.25,1.5)); #506719=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #506720=CARTESIAN_POINT('',(34.61,49.25,0.)); #506721=CARTESIAN_POINT('',(34.61,49.25,0.)); #506722=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #506723=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #506724=CARTESIAN_POINT('',(34.575,49.25,1.5)); #506725=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #506726=CARTESIAN_POINT('',(34.575,49.25,0.)); #506727=CARTESIAN_POINT('',(34.575,49.25,0.)); #506728=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #506729=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #506730=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #506731=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #506732=CARTESIAN_POINT('',(53.36,21.,1.5)); #506733=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #506734=CARTESIAN_POINT('',(53.36,21.,0.)); #506735=CARTESIAN_POINT('',(53.36,21.,0.)); #506736=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #506737=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #506738=CARTESIAN_POINT('',(53.325,21.,1.5)); #506739=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #506740=CARTESIAN_POINT('',(53.325,21.,0.)); #506741=CARTESIAN_POINT('',(53.325,21.,0.)); #506742=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #506743=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #506744=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #506745=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #506746=CARTESIAN_POINT('',(27.622,19.,1.5)); #506747=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #506748=CARTESIAN_POINT('',(27.622,19.,0.)); #506749=CARTESIAN_POINT('',(27.622,19.,0.)); #506750=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #506751=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #506752=CARTESIAN_POINT('',(27.587,19.,1.5)); #506753=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #506754=CARTESIAN_POINT('',(27.587,19.,0.)); #506755=CARTESIAN_POINT('',(27.587,19.,0.)); #506756=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #506757=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #506758=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #506759=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #506760=CARTESIAN_POINT('',(42.36,16.,1.5)); #506761=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #506762=CARTESIAN_POINT('',(42.36,16.,0.)); #506763=CARTESIAN_POINT('',(42.36,16.,0.)); #506764=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #506765=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #506766=CARTESIAN_POINT('',(42.325,16.,1.5)); #506767=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #506768=CARTESIAN_POINT('',(42.325,16.,0.)); #506769=CARTESIAN_POINT('',(42.325,16.,0.)); #506770=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #506771=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #506772=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #506773=CARTESIAN_POINT('Origin',(31.,13.,0.)); #506774=CARTESIAN_POINT('',(30.86,13.,1.5)); #506775=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #506776=CARTESIAN_POINT('',(30.86,13.,0.)); #506777=CARTESIAN_POINT('',(30.86,13.,0.)); #506778=CARTESIAN_POINT('Origin',(31.,13.,0.)); #506779=CARTESIAN_POINT('Origin',(31.,13.,0.)); #506780=CARTESIAN_POINT('',(30.825,13.,1.5)); #506781=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #506782=CARTESIAN_POINT('',(30.825,13.,0.)); #506783=CARTESIAN_POINT('',(30.825,13.,0.)); #506784=CARTESIAN_POINT('Origin',(31.,13.,0.)); #506785=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #506786=CARTESIAN_POINT('Origin',(31.,13.,0.)); #506787=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #506788=CARTESIAN_POINT('',(39.11,18.25,1.5)); #506789=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #506790=CARTESIAN_POINT('',(39.11,18.25,0.)); #506791=CARTESIAN_POINT('',(39.11,18.25,0.)); #506792=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #506793=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #506794=CARTESIAN_POINT('',(39.075,18.25,1.5)); #506795=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #506796=CARTESIAN_POINT('',(39.075,18.25,0.)); #506797=CARTESIAN_POINT('',(39.075,18.25,0.)); #506798=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #506799=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #506800=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #506801=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #506802=CARTESIAN_POINT('',(35.36,17.75,1.5)); #506803=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #506804=CARTESIAN_POINT('',(35.36,17.75,0.)); #506805=CARTESIAN_POINT('',(35.36,17.75,0.)); #506806=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #506807=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #506808=CARTESIAN_POINT('',(35.325,17.75,1.5)); #506809=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #506810=CARTESIAN_POINT('',(35.325,17.75,0.)); #506811=CARTESIAN_POINT('',(35.325,17.75,0.)); #506812=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #506813=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #506814=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #506815=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #506816=CARTESIAN_POINT('',(39.11,19.,1.5)); #506817=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #506818=CARTESIAN_POINT('',(39.11,19.,0.)); #506819=CARTESIAN_POINT('',(39.11,19.,0.)); #506820=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #506821=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #506822=CARTESIAN_POINT('',(39.075,19.,1.5)); #506823=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #506824=CARTESIAN_POINT('',(39.075,19.,0.)); #506825=CARTESIAN_POINT('',(39.075,19.,0.)); #506826=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #506827=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #506828=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #506829=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #506830=CARTESIAN_POINT('',(37.36,21.25,1.5)); #506831=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #506832=CARTESIAN_POINT('',(37.36,21.25,0.)); #506833=CARTESIAN_POINT('',(37.36,21.25,0.)); #506834=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #506835=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #506836=CARTESIAN_POINT('',(37.325,21.25,1.5)); #506837=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #506838=CARTESIAN_POINT('',(37.325,21.25,0.)); #506839=CARTESIAN_POINT('',(37.325,21.25,0.)); #506840=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #506841=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #506842=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #506843=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #506844=CARTESIAN_POINT('',(52.61,21.,1.5)); #506845=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #506846=CARTESIAN_POINT('',(52.61,21.,0.)); #506847=CARTESIAN_POINT('',(52.61,21.,0.)); #506848=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #506849=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #506850=CARTESIAN_POINT('',(52.575,21.,1.5)); #506851=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #506852=CARTESIAN_POINT('',(52.575,21.,0.)); #506853=CARTESIAN_POINT('',(52.575,21.,0.)); #506854=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #506855=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #506856=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #506857=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #506858=CARTESIAN_POINT('',(15.285,34.,1.5)); #506859=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #506860=CARTESIAN_POINT('',(15.285,34.,0.)); #506861=CARTESIAN_POINT('',(15.285,34.,0.)); #506862=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #506863=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #506864=CARTESIAN_POINT('',(15.25,34.,1.5)); #506865=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #506866=CARTESIAN_POINT('',(15.25,34.,0.)); #506867=CARTESIAN_POINT('',(15.25,34.,0.)); #506868=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #506869=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #506870=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #506871=CARTESIAN_POINT('Origin',(16.,21.,0.)); #506872=CARTESIAN_POINT('',(15.86,21.,1.5)); #506873=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #506874=CARTESIAN_POINT('',(15.86,21.,0.)); #506875=CARTESIAN_POINT('',(15.86,21.,0.)); #506876=CARTESIAN_POINT('Origin',(16.,21.,0.)); #506877=CARTESIAN_POINT('Origin',(16.,21.,0.)); #506878=CARTESIAN_POINT('',(15.825,21.,1.5)); #506879=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #506880=CARTESIAN_POINT('',(15.825,21.,0.)); #506881=CARTESIAN_POINT('',(15.825,21.,0.)); #506882=CARTESIAN_POINT('Origin',(16.,21.,0.)); #506883=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #506884=CARTESIAN_POINT('Origin',(16.,21.,0.)); #506885=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #506886=CARTESIAN_POINT('',(31.61,19.25,1.5)); #506887=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #506888=CARTESIAN_POINT('',(31.61,19.25,0.)); #506889=CARTESIAN_POINT('',(31.61,19.25,0.)); #506890=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #506891=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #506892=CARTESIAN_POINT('',(31.575,19.25,1.5)); #506893=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #506894=CARTESIAN_POINT('',(31.575,19.25,0.)); #506895=CARTESIAN_POINT('',(31.575,19.25,0.)); #506896=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #506897=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #506898=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #506899=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #506900=CARTESIAN_POINT('',(15.86,21.75,1.5)); #506901=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #506902=CARTESIAN_POINT('',(15.86,21.75,0.)); #506903=CARTESIAN_POINT('',(15.86,21.75,0.)); #506904=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #506905=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #506906=CARTESIAN_POINT('',(15.825,21.75,1.5)); #506907=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #506908=CARTESIAN_POINT('',(15.825,21.75,0.)); #506909=CARTESIAN_POINT('',(15.825,21.75,0.)); #506910=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #506911=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #506912=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #506913=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #506914=CARTESIAN_POINT('',(33.11,16.25,1.5)); #506915=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #506916=CARTESIAN_POINT('',(33.11,16.25,0.)); #506917=CARTESIAN_POINT('',(33.11,16.25,0.)); #506918=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #506919=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #506920=CARTESIAN_POINT('',(33.075,16.25,1.5)); #506921=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #506922=CARTESIAN_POINT('',(33.075,16.25,0.)); #506923=CARTESIAN_POINT('',(33.075,16.25,0.)); #506924=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #506925=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #506926=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #506927=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #506928=CARTESIAN_POINT('',(15.11,21.75,1.5)); #506929=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #506930=CARTESIAN_POINT('',(15.11,21.75,0.)); #506931=CARTESIAN_POINT('',(15.11,21.75,0.)); #506932=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #506933=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #506934=CARTESIAN_POINT('',(15.075,21.75,1.5)); #506935=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #506936=CARTESIAN_POINT('',(15.075,21.75,0.)); #506937=CARTESIAN_POINT('',(15.075,21.75,0.)); #506938=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #506939=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #506940=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #506941=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #506942=CARTESIAN_POINT('',(31.61,18.5,1.5)); #506943=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #506944=CARTESIAN_POINT('',(31.61,18.5,0.)); #506945=CARTESIAN_POINT('',(31.61,18.5,0.)); #506946=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #506947=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #506948=CARTESIAN_POINT('',(31.575,18.5,1.5)); #506949=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #506950=CARTESIAN_POINT('',(31.575,18.5,0.)); #506951=CARTESIAN_POINT('',(31.575,18.5,0.)); #506952=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #506953=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #506954=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #506955=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #506956=CARTESIAN_POINT('',(15.11,20.25,1.5)); #506957=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #506958=CARTESIAN_POINT('',(15.11,20.25,0.)); #506959=CARTESIAN_POINT('',(15.11,20.25,0.)); #506960=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #506961=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #506962=CARTESIAN_POINT('',(15.075,20.25,1.5)); #506963=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #506964=CARTESIAN_POINT('',(15.075,20.25,0.)); #506965=CARTESIAN_POINT('',(15.075,20.25,0.)); #506966=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #506967=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #506968=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #506969=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #506970=CARTESIAN_POINT('',(33.9225,12.25,1.5)); #506971=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #506972=CARTESIAN_POINT('',(33.9225,12.25,0.)); #506973=CARTESIAN_POINT('',(33.9225,12.25,0.)); #506974=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #506975=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #506976=CARTESIAN_POINT('',(33.8875,12.25,1.5)); #506977=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #506978=CARTESIAN_POINT('',(33.8875,12.25,0.)); #506979=CARTESIAN_POINT('',(33.8875,12.25,0.)); #506980=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #506981=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #506982=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #506983=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #506984=CARTESIAN_POINT('',(14.36,20.25,1.5)); #506985=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #506986=CARTESIAN_POINT('',(14.36,20.25,0.)); #506987=CARTESIAN_POINT('',(14.36,20.25,0.)); #506988=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #506989=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #506990=CARTESIAN_POINT('',(14.325,20.25,1.5)); #506991=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #506992=CARTESIAN_POINT('',(14.325,20.25,0.)); #506993=CARTESIAN_POINT('',(14.325,20.25,0.)); #506994=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #506995=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #506996=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #506997=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #506998=CARTESIAN_POINT('',(27.11,24.375,1.5)); #506999=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #507000=CARTESIAN_POINT('',(27.11,24.375,0.)); #507001=CARTESIAN_POINT('',(27.11,24.375,0.)); #507002=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #507003=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #507004=CARTESIAN_POINT('',(27.075,24.375,1.5)); #507005=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #507006=CARTESIAN_POINT('',(27.075,24.375,0.)); #507007=CARTESIAN_POINT('',(27.075,24.375,0.)); #507008=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #507009=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #507010=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #507011=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #507012=CARTESIAN_POINT('',(15.86,20.25,1.5)); #507013=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #507014=CARTESIAN_POINT('',(15.86,20.25,0.)); #507015=CARTESIAN_POINT('',(15.86,20.25,0.)); #507016=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #507017=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #507018=CARTESIAN_POINT('',(15.825,20.25,1.5)); #507019=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #507020=CARTESIAN_POINT('',(15.825,20.25,0.)); #507021=CARTESIAN_POINT('',(15.825,20.25,0.)); #507022=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #507023=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #507024=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #507025=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #507026=CARTESIAN_POINT('',(34.61,16.25,1.5)); #507027=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #507028=CARTESIAN_POINT('',(34.61,16.25,0.)); #507029=CARTESIAN_POINT('',(34.61,16.25,0.)); #507030=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #507031=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #507032=CARTESIAN_POINT('',(34.575,16.25,1.5)); #507033=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #507034=CARTESIAN_POINT('',(34.575,16.25,0.)); #507035=CARTESIAN_POINT('',(34.575,16.25,0.)); #507036=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #507037=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #507038=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #507039=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #507040=CARTESIAN_POINT('',(14.36,21.,1.5)); #507041=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #507042=CARTESIAN_POINT('',(14.36,21.,0.)); #507043=CARTESIAN_POINT('',(14.36,21.,0.)); #507044=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #507045=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #507046=CARTESIAN_POINT('',(14.325,21.,1.5)); #507047=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #507048=CARTESIAN_POINT('',(14.325,21.,0.)); #507049=CARTESIAN_POINT('',(14.325,21.,0.)); #507050=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #507051=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #507052=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #507053=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #507054=CARTESIAN_POINT('',(24.86,26.625,1.5)); #507055=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #507056=CARTESIAN_POINT('',(24.86,26.625,0.)); #507057=CARTESIAN_POINT('',(24.86,26.625,0.)); #507058=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #507059=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #507060=CARTESIAN_POINT('',(24.825,26.625,1.5)); #507061=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #507062=CARTESIAN_POINT('',(24.825,26.625,0.)); #507063=CARTESIAN_POINT('',(24.825,26.625,0.)); #507064=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #507065=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #507066=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #507067=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #507068=CARTESIAN_POINT('',(14.36,21.75,1.5)); #507069=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #507070=CARTESIAN_POINT('',(14.36,21.75,0.)); #507071=CARTESIAN_POINT('',(14.36,21.75,0.)); #507072=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #507073=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #507074=CARTESIAN_POINT('',(14.325,21.75,1.5)); #507075=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #507076=CARTESIAN_POINT('',(14.325,21.75,0.)); #507077=CARTESIAN_POINT('',(14.325,21.75,0.)); #507078=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #507079=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #507080=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #507081=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #507082=CARTESIAN_POINT('',(23.735,34.,1.5)); #507083=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #507084=CARTESIAN_POINT('',(23.735,34.,0.)); #507085=CARTESIAN_POINT('',(23.735,34.,0.)); #507086=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #507087=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #507088=CARTESIAN_POINT('',(23.7,34.,1.5)); #507089=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #507090=CARTESIAN_POINT('',(23.7,34.,0.)); #507091=CARTESIAN_POINT('',(23.7,34.,0.)); #507092=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #507093=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #507094=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #507095=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #507096=CARTESIAN_POINT('',(44.11,46.25,1.5)); #507097=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #507098=CARTESIAN_POINT('',(44.11,46.25,0.)); #507099=CARTESIAN_POINT('',(44.11,46.25,0.)); #507100=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #507101=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #507102=CARTESIAN_POINT('',(44.075,46.25,1.5)); #507103=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #507104=CARTESIAN_POINT('',(44.075,46.25,0.)); #507105=CARTESIAN_POINT('',(44.075,46.25,0.)); #507106=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #507107=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #507108=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #507109=CARTESIAN_POINT('Origin',(34.,50.,0.)); #507110=CARTESIAN_POINT('',(33.86,50.,1.5)); #507111=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #507112=CARTESIAN_POINT('',(33.86,50.,0.)); #507113=CARTESIAN_POINT('',(33.86,50.,0.)); #507114=CARTESIAN_POINT('Origin',(34.,50.,0.)); #507115=CARTESIAN_POINT('Origin',(34.,50.,0.)); #507116=CARTESIAN_POINT('',(33.825,50.,1.5)); #507117=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #507118=CARTESIAN_POINT('',(33.825,50.,0.)); #507119=CARTESIAN_POINT('',(33.825,50.,0.)); #507120=CARTESIAN_POINT('Origin',(34.,50.,0.)); #507121=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #507122=CARTESIAN_POINT('Origin',(34.,50.,0.)); #507123=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #507124=CARTESIAN_POINT('',(44.11,47.75,1.5)); #507125=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #507126=CARTESIAN_POINT('',(44.11,47.75,0.)); #507127=CARTESIAN_POINT('',(44.11,47.75,0.)); #507128=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #507129=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #507130=CARTESIAN_POINT('',(44.075,47.75,1.5)); #507131=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #507132=CARTESIAN_POINT('',(44.075,47.75,0.)); #507133=CARTESIAN_POINT('',(44.075,47.75,0.)); #507134=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #507135=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #507136=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #507137=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #507138=CARTESIAN_POINT('',(33.86,18.5,1.5)); #507139=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #507140=CARTESIAN_POINT('',(33.86,18.5,0.)); #507141=CARTESIAN_POINT('',(33.86,18.5,0.)); #507142=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #507143=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #507144=CARTESIAN_POINT('',(33.825,18.5,1.5)); #507145=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #507146=CARTESIAN_POINT('',(33.825,18.5,0.)); #507147=CARTESIAN_POINT('',(33.825,18.5,0.)); #507148=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #507149=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #507150=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #507151=CARTESIAN_POINT('Origin',(42.,36.,0.)); #507152=CARTESIAN_POINT('',(41.86,36.,1.5)); #507153=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #507154=CARTESIAN_POINT('',(41.86,36.,0.)); #507155=CARTESIAN_POINT('',(41.86,36.,0.)); #507156=CARTESIAN_POINT('Origin',(42.,36.,0.)); #507157=CARTESIAN_POINT('Origin',(42.,36.,0.)); #507158=CARTESIAN_POINT('',(41.825,36.,1.5)); #507159=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #507160=CARTESIAN_POINT('',(41.825,36.,0.)); #507161=CARTESIAN_POINT('',(41.825,36.,0.)); #507162=CARTESIAN_POINT('Origin',(42.,36.,0.)); #507163=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #507164=CARTESIAN_POINT('Origin',(42.,36.,0.)); #507165=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #507166=CARTESIAN_POINT('',(34.61,50.,1.5)); #507167=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #507168=CARTESIAN_POINT('',(34.61,50.,0.)); #507169=CARTESIAN_POINT('',(34.61,50.,0.)); #507170=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #507171=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #507172=CARTESIAN_POINT('',(34.575,50.,1.5)); #507173=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #507174=CARTESIAN_POINT('',(34.575,50.,0.)); #507175=CARTESIAN_POINT('',(34.575,50.,0.)); #507176=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #507177=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #507178=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #507179=CARTESIAN_POINT('Origin',(42.,31.,0.)); #507180=CARTESIAN_POINT('',(41.86,31.,1.5)); #507181=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #507182=CARTESIAN_POINT('',(41.86,31.,0.)); #507183=CARTESIAN_POINT('',(41.86,31.,0.)); #507184=CARTESIAN_POINT('Origin',(42.,31.,0.)); #507185=CARTESIAN_POINT('Origin',(42.,31.,0.)); #507186=CARTESIAN_POINT('',(41.825,31.,1.5)); #507187=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #507188=CARTESIAN_POINT('',(41.825,31.,0.)); #507189=CARTESIAN_POINT('',(41.825,31.,0.)); #507190=CARTESIAN_POINT('Origin',(42.,31.,0.)); #507191=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #507192=CARTESIAN_POINT('Origin',(42.,31.,0.)); #507193=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #507194=CARTESIAN_POINT('',(33.86,5.5,1.5)); #507195=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #507196=CARTESIAN_POINT('',(33.86,5.5,0.)); #507197=CARTESIAN_POINT('',(33.86,5.5,0.)); #507198=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #507199=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #507200=CARTESIAN_POINT('',(33.825,5.5,1.5)); #507201=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #507202=CARTESIAN_POINT('',(33.825,5.5,0.)); #507203=CARTESIAN_POINT('',(33.825,5.5,0.)); #507204=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #507205=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #507206=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #507207=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #507208=CARTESIAN_POINT('',(51.86,20.25,1.5)); #507209=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #507210=CARTESIAN_POINT('',(51.86,20.25,0.)); #507211=CARTESIAN_POINT('',(51.86,20.25,0.)); #507212=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #507213=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #507214=CARTESIAN_POINT('',(51.825,20.25,1.5)); #507215=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #507216=CARTESIAN_POINT('',(51.825,20.25,0.)); #507217=CARTESIAN_POINT('',(51.825,20.25,0.)); #507218=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #507219=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #507220=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #507221=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #507222=CARTESIAN_POINT('',(33.86,48.5,1.5)); #507223=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #507224=CARTESIAN_POINT('',(33.86,48.5,0.)); #507225=CARTESIAN_POINT('',(33.86,48.5,0.)); #507226=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #507227=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #507228=CARTESIAN_POINT('',(33.825,48.5,1.5)); #507229=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #507230=CARTESIAN_POINT('',(33.825,48.5,0.)); #507231=CARTESIAN_POINT('',(33.825,48.5,0.)); #507232=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #507233=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #507234=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #507235=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #507236=CARTESIAN_POINT('',(51.86,21.75,1.5)); #507237=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #507238=CARTESIAN_POINT('',(51.86,21.75,0.)); #507239=CARTESIAN_POINT('',(51.86,21.75,0.)); #507240=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #507241=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #507242=CARTESIAN_POINT('',(51.825,21.75,1.5)); #507243=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #507244=CARTESIAN_POINT('',(51.825,21.75,0.)); #507245=CARTESIAN_POINT('',(51.825,21.75,0.)); #507246=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #507247=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #507248=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #507249=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #507250=CARTESIAN_POINT('',(34.61,18.5,1.5)); #507251=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #507252=CARTESIAN_POINT('',(34.61,18.5,0.)); #507253=CARTESIAN_POINT('',(34.61,18.5,0.)); #507254=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #507255=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #507256=CARTESIAN_POINT('',(34.575,18.5,1.5)); #507257=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #507258=CARTESIAN_POINT('',(34.575,18.5,0.)); #507259=CARTESIAN_POINT('',(34.575,18.5,0.)); #507260=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #507261=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #507262=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #507263=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #507264=CARTESIAN_POINT('',(52.61,20.25,1.5)); #507265=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #507266=CARTESIAN_POINT('',(52.61,20.25,0.)); #507267=CARTESIAN_POINT('',(52.61,20.25,0.)); #507268=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #507269=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #507270=CARTESIAN_POINT('',(52.575,20.25,1.5)); #507271=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #507272=CARTESIAN_POINT('',(52.575,20.25,0.)); #507273=CARTESIAN_POINT('',(52.575,20.25,0.)); #507274=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #507275=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #507276=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #507277=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #507278=CARTESIAN_POINT('',(34.61,48.5,1.5)); #507279=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #507280=CARTESIAN_POINT('',(34.61,48.5,0.)); #507281=CARTESIAN_POINT('',(34.61,48.5,0.)); #507282=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #507283=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #507284=CARTESIAN_POINT('',(34.575,48.5,1.5)); #507285=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #507286=CARTESIAN_POINT('',(34.575,48.5,0.)); #507287=CARTESIAN_POINT('',(34.575,48.5,0.)); #507288=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #507289=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #507290=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #507291=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #507292=CARTESIAN_POINT('',(53.36,20.25,1.5)); #507293=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #507294=CARTESIAN_POINT('',(53.36,20.25,0.)); #507295=CARTESIAN_POINT('',(53.36,20.25,0.)); #507296=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #507297=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #507298=CARTESIAN_POINT('',(53.325,20.25,1.5)); #507299=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #507300=CARTESIAN_POINT('',(53.325,20.25,0.)); #507301=CARTESIAN_POINT('',(53.325,20.25,0.)); #507302=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #507303=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #507304=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #507305=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #507306=CARTESIAN_POINT('',(11.785,34.,1.5)); #507307=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #507308=CARTESIAN_POINT('',(11.785,34.,0.)); #507309=CARTESIAN_POINT('',(11.785,34.,0.)); #507310=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #507311=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #507312=CARTESIAN_POINT('',(11.75,34.,1.5)); #507313=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #507314=CARTESIAN_POINT('',(11.75,34.,0.)); #507315=CARTESIAN_POINT('',(11.75,34.,0.)); #507316=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #507317=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #507318=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #507319=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #507320=CARTESIAN_POINT('',(44.11,47.,1.5)); #507321=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #507322=CARTESIAN_POINT('',(44.11,47.,0.)); #507323=CARTESIAN_POINT('',(44.11,47.,0.)); #507324=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #507325=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #507326=CARTESIAN_POINT('',(44.075,47.,1.5)); #507327=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #507328=CARTESIAN_POINT('',(44.075,47.,0.)); #507329=CARTESIAN_POINT('',(44.075,47.,0.)); #507330=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #507331=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #507332=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #507333=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #507334=CARTESIAN_POINT('',(33.11,49.25,1.5)); #507335=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #507336=CARTESIAN_POINT('',(33.11,49.25,0.)); #507337=CARTESIAN_POINT('',(33.11,49.25,0.)); #507338=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #507339=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #507340=CARTESIAN_POINT('',(33.075,49.25,1.5)); #507341=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #507342=CARTESIAN_POINT('',(33.075,49.25,0.)); #507343=CARTESIAN_POINT('',(33.075,49.25,0.)); #507344=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #507345=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #507346=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #507347=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #507348=CARTESIAN_POINT('',(47.61,47.,1.5)); #507349=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #507350=CARTESIAN_POINT('',(47.61,47.,0.)); #507351=CARTESIAN_POINT('',(47.61,47.,0.)); #507352=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #507353=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #507354=CARTESIAN_POINT('',(47.575,47.,1.5)); #507355=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #507356=CARTESIAN_POINT('',(47.575,47.,0.)); #507357=CARTESIAN_POINT('',(47.575,47.,0.)); #507358=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #507359=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #507360=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #507361=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #507362=CARTESIAN_POINT('',(33.11,18.5,1.5)); #507363=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #507364=CARTESIAN_POINT('',(33.11,18.5,0.)); #507365=CARTESIAN_POINT('',(33.11,18.5,0.)); #507366=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #507367=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #507368=CARTESIAN_POINT('',(33.075,18.5,1.5)); #507369=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #507370=CARTESIAN_POINT('',(33.075,18.5,0.)); #507371=CARTESIAN_POINT('',(33.075,18.5,0.)); #507372=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #507373=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #507374=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #507375=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #507376=CARTESIAN_POINT('',(45.86,46.25,1.5)); #507377=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #507378=CARTESIAN_POINT('',(45.86,46.25,0.)); #507379=CARTESIAN_POINT('',(45.86,46.25,0.)); #507380=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #507381=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #507382=CARTESIAN_POINT('',(45.825,46.25,1.5)); #507383=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #507384=CARTESIAN_POINT('',(45.825,46.25,0.)); #507385=CARTESIAN_POINT('',(45.825,46.25,0.)); #507386=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #507387=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #507388=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #507389=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #507390=CARTESIAN_POINT('',(33.11,50.,1.5)); #507391=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #507392=CARTESIAN_POINT('',(33.11,50.,0.)); #507393=CARTESIAN_POINT('',(33.11,50.,0.)); #507394=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #507395=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #507396=CARTESIAN_POINT('',(33.075,50.,1.5)); #507397=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #507398=CARTESIAN_POINT('',(33.075,50.,0.)); #507399=CARTESIAN_POINT('',(33.075,50.,0.)); #507400=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #507401=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #507402=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #507403=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #507404=CARTESIAN_POINT('',(47.61,46.25,1.5)); #507405=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #507406=CARTESIAN_POINT('',(47.61,46.25,0.)); #507407=CARTESIAN_POINT('',(47.61,46.25,0.)); #507408=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #507409=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #507410=CARTESIAN_POINT('',(47.575,46.25,1.5)); #507411=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #507412=CARTESIAN_POINT('',(47.575,46.25,0.)); #507413=CARTESIAN_POINT('',(47.575,46.25,0.)); #507414=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #507415=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #507416=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #507417=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #507418=CARTESIAN_POINT('',(33.11,5.5,1.5)); #507419=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #507420=CARTESIAN_POINT('',(33.11,5.5,0.)); #507421=CARTESIAN_POINT('',(33.11,5.5,0.)); #507422=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #507423=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #507424=CARTESIAN_POINT('',(33.075,5.5,1.5)); #507425=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #507426=CARTESIAN_POINT('',(33.075,5.5,0.)); #507427=CARTESIAN_POINT('',(33.075,5.5,0.)); #507428=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #507429=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #507430=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #507431=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #507432=CARTESIAN_POINT('',(47.61,47.75,1.5)); #507433=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #507434=CARTESIAN_POINT('',(47.61,47.75,0.)); #507435=CARTESIAN_POINT('',(47.61,47.75,0.)); #507436=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #507437=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #507438=CARTESIAN_POINT('',(47.575,47.75,1.5)); #507439=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #507440=CARTESIAN_POINT('',(47.575,47.75,0.)); #507441=CARTESIAN_POINT('',(47.575,47.75,0.)); #507442=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #507443=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #507444=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #507445=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #507446=CARTESIAN_POINT('',(33.11,48.5,1.5)); #507447=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #507448=CARTESIAN_POINT('',(33.11,48.5,0.)); #507449=CARTESIAN_POINT('',(33.11,48.5,0.)); #507450=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #507451=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #507452=CARTESIAN_POINT('',(33.075,48.5,1.5)); #507453=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #507454=CARTESIAN_POINT('',(33.075,48.5,0.)); #507455=CARTESIAN_POINT('',(33.075,48.5,0.)); #507456=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #507457=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #507458=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #507459=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #507460=CARTESIAN_POINT('',(45.86,47.75,1.5)); #507461=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #507462=CARTESIAN_POINT('',(45.86,47.75,0.)); #507463=CARTESIAN_POINT('',(45.86,47.75,0.)); #507464=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #507465=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #507466=CARTESIAN_POINT('',(45.825,47.75,1.5)); #507467=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #507468=CARTESIAN_POINT('',(45.825,47.75,0.)); #507469=CARTESIAN_POINT('',(45.825,47.75,0.)); #507470=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #507471=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #507472=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #507473=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #507474=CARTESIAN_POINT('',(32.36,18.5,1.5)); #507475=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #507476=CARTESIAN_POINT('',(32.36,18.5,0.)); #507477=CARTESIAN_POINT('',(32.36,18.5,0.)); #507478=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #507479=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #507480=CARTESIAN_POINT('',(32.325,18.5,1.5)); #507481=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #507482=CARTESIAN_POINT('',(32.325,18.5,0.)); #507483=CARTESIAN_POINT('',(32.325,18.5,0.)); #507484=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #507485=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #507486=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #507487=CARTESIAN_POINT('Origin',(46.,47.,0.)); #507488=CARTESIAN_POINT('',(45.86,47.,1.5)); #507489=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #507490=CARTESIAN_POINT('',(45.86,47.,0.)); #507491=CARTESIAN_POINT('',(45.86,47.,0.)); #507492=CARTESIAN_POINT('Origin',(46.,47.,0.)); #507493=CARTESIAN_POINT('Origin',(46.,47.,0.)); #507494=CARTESIAN_POINT('',(45.825,47.,1.5)); #507495=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #507496=CARTESIAN_POINT('',(45.825,47.,0.)); #507497=CARTESIAN_POINT('',(45.825,47.,0.)); #507498=CARTESIAN_POINT('Origin',(46.,47.,0.)); #507499=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #507500=CARTESIAN_POINT('Origin',(46.,47.,0.)); #507501=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #507502=CARTESIAN_POINT('',(40.86,25.25,1.5)); #507503=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #507504=CARTESIAN_POINT('',(40.86,25.25,0.)); #507505=CARTESIAN_POINT('',(40.86,25.25,0.)); #507506=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #507507=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #507508=CARTESIAN_POINT('',(40.825,25.25,1.5)); #507509=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #507510=CARTESIAN_POINT('',(40.825,25.25,0.)); #507511=CARTESIAN_POINT('',(40.825,25.25,0.)); #507512=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #507513=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #507514=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #507515=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #507516=CARTESIAN_POINT('',(44.985,47.75,1.5)); #507517=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #507518=CARTESIAN_POINT('',(44.985,47.75,0.)); #507519=CARTESIAN_POINT('',(44.985,47.75,0.)); #507520=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #507521=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #507522=CARTESIAN_POINT('',(44.95,47.75,1.5)); #507523=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #507524=CARTESIAN_POINT('',(44.95,47.75,0.)); #507525=CARTESIAN_POINT('',(44.95,47.75,0.)); #507526=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #507527=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #507528=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #507529=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #507530=CARTESIAN_POINT('',(29.625,45.,1.5)); #507531=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #507532=CARTESIAN_POINT('',(29.625,45.,0.)); #507533=CARTESIAN_POINT('',(29.625,45.,0.)); #507534=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #507535=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #507536=CARTESIAN_POINT('',(29.59,45.,1.5)); #507537=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #507538=CARTESIAN_POINT('',(29.59,45.,0.)); #507539=CARTESIAN_POINT('',(29.59,45.,0.)); #507540=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #507541=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #507542=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #507543=CARTESIAN_POINT('',(0.,0.,0.)); #507544=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #507545=CARTESIAN_POINT('',(32.165,45.,0.)); #507546=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #507547=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #507548=CARTESIAN_POINT('',(32.165,45.,-200.)); #507549=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #507550=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #507551=CARTESIAN_POINT('',(32.769294,44.1,0.)); #507552=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #507553=CARTESIAN_POINT('',(32.769294,44.1,0.)); #507554=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #507555=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #507556=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #507557=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #507558=CARTESIAN_POINT('',(32.769294,44.1,0.)); #507559=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #507560=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #507561=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #507562=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #507563=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #507564=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #507565=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #507566=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #507567=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #507568=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #507569=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #507570=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.)); #507571=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #507572=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #507573=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #507574=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #507575=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #507576=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #507577=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #507578=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #507579=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.)); #507580=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #507581=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #507582=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #507583=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #507584=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #507585=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.)); #507586=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #507587=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #507588=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #507589=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #507590=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #507591=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.)); #507592=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #507593=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #507594=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #507595=CARTESIAN_POINT('Origin',(37.4,15.25,0.)); #507596=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.)); #507597=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #507598=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #507599=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #507600=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #507601=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #507602=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #507603=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #507604=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #507605=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.)); #507606=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #507607=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #507608=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #507609=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #507610=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #507611=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.)); #507612=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #507613=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #507614=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #507615=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #507616=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #507617=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.)); #507618=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #507619=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #507620=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #507621=CARTESIAN_POINT('Origin',(36.75,13.6,0.)); #507622=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.)); #507623=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #507624=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #507625=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #507626=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #507627=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #507628=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #507629=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #507630=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #507631=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.)); #507632=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #507633=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #507634=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #507635=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #507636=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #507637=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.)); #507638=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #507639=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #507640=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #507641=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #507642=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #507643=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.)); #507644=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #507645=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #507646=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #507647=CARTESIAN_POINT('Origin',(37.4,17.25,0.)); #507648=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.)); #507649=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #507650=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #507651=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #507652=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #507653=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #507654=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #507655=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #507656=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #507657=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.)); #507658=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #507659=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #507660=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #507661=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #507662=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #507663=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.)); #507664=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #507665=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #507666=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #507667=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #507668=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #507669=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.)); #507670=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #507671=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #507672=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #507673=CARTESIAN_POINT('Origin',(34.75,13.6,0.)); #507674=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.)); #507675=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #507676=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #507677=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #507678=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #507679=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #507680=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #507681=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #507682=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #507683=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.)); #507684=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #507685=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #507686=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #507687=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #507688=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #507689=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.)); #507690=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #507691=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #507692=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #507693=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #507694=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #507695=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.)); #507696=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #507697=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #507698=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #507699=CARTESIAN_POINT('Origin',(30.6,16.75,0.)); #507700=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.)); #507701=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #507702=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #507703=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #507704=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #507705=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #507706=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #507707=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #507708=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #507709=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.)); #507710=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #507711=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #507712=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #507713=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #507714=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #507715=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.)); #507716=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #507717=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #507718=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #507719=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #507720=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #507721=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.)); #507722=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #507723=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #507724=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #507725=CARTESIAN_POINT('Origin',(35.25,13.6,0.)); #507726=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.)); #507727=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #507728=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #507729=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #507730=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #507731=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #507732=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #507733=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #507734=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #507735=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.)); #507736=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #507737=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #507738=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #507739=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #507740=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #507741=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.)); #507742=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #507743=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #507744=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #507745=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #507746=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #507747=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.)); #507748=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #507749=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #507750=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #507751=CARTESIAN_POINT('Origin',(30.6,18.25,0.)); #507752=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.)); #507753=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #507754=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #507755=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #507756=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #507757=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #507758=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #507759=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #507760=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #507761=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.)); #507762=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #507763=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #507764=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #507765=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #507766=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #507767=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.)); #507768=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #507769=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #507770=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #507771=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #507772=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #507773=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.)); #507774=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #507775=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #507776=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #507777=CARTESIAN_POINT('Origin',(37.4,14.75,0.)); #507778=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.)); #507779=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #507780=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #507781=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #507782=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #507783=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #507784=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #507785=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #507786=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #507787=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.)); #507788=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #507789=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #507790=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #507791=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #507792=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #507793=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.)); #507794=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #507795=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #507796=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #507797=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #507798=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #507799=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.)); #507800=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #507801=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #507802=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #507803=CARTESIAN_POINT('Origin',(37.4,16.75,0.)); #507804=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.)); #507805=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #507806=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #507807=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #507808=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #507809=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #507810=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #507811=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #507812=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #507813=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.)); #507814=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #507815=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #507816=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #507817=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #507818=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #507819=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.)); #507820=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #507821=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #507822=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #507823=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #507824=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #507825=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.)); #507826=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #507827=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #507828=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #507829=CARTESIAN_POINT('Origin',(37.4,14.25,0.)); #507830=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #507831=CARTESIAN_POINT('',(34.705,45.,0.)); #507832=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #507833=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #507834=CARTESIAN_POINT('',(34.705,45.,-200.)); #507835=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #507836=CARTESIAN_POINT('Origin',(35.309294,44.1,0.)); #507837=CARTESIAN_POINT('',(35.309294,44.1,0.)); #507838=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #507839=CARTESIAN_POINT('',(35.309294,44.1,0.)); #507840=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #507841=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #507842=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #507843=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #507844=CARTESIAN_POINT('',(35.309294,44.1,0.)); #507845=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #507846=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #507847=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #507848=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #507849=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #507850=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #507851=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #507852=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #507853=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #507854=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #507855=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #507856=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #507857=CARTESIAN_POINT('',(42.11,28.5,0.)); #507858=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #507859=CARTESIAN_POINT('',(42.11,28.5,0.0349999999999895)); #507860=CARTESIAN_POINT('',(42.11,28.5,-200.)); #507861=CARTESIAN_POINT('Origin',(42.25,28.5,0.0349999999999895)); #507862=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #507863=CARTESIAN_POINT('',(40.61,27.,0.)); #507864=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #507865=CARTESIAN_POINT('',(40.61,27.,0.0349999999999895)); #507866=CARTESIAN_POINT('',(40.61,27.,-200.)); #507867=CARTESIAN_POINT('Origin',(40.75,27.,0.0349999999999895)); #507868=CARTESIAN_POINT('Origin',(40.771644,26.725,0.)); #507869=CARTESIAN_POINT('',(40.771644,26.725,0.)); #507870=CARTESIAN_POINT('',(40.814397,26.731772,0.)); #507871=CARTESIAN_POINT('',(40.771644,26.725,0.)); #507872=CARTESIAN_POINT('',(40.814397,26.731772,0.035)); #507873=CARTESIAN_POINT('',(40.814397,26.731772,0.)); #507874=CARTESIAN_POINT('',(40.771644,26.725,0.035)); #507875=CARTESIAN_POINT('',(40.771644,26.725,0.035)); #507876=CARTESIAN_POINT('',(40.771644,26.725,0.)); #507877=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.)); #507878=CARTESIAN_POINT('',(40.644438,26.745147,0.)); #507879=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.)); #507880=CARTESIAN_POINT('',(40.644438,26.745147,0.035)); #507881=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.035)); #507882=CARTESIAN_POINT('',(40.644438,26.745147,0.)); #507883=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.)); #507884=CARTESIAN_POINT('',(40.832681,27.262288,0.)); #507885=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.)); #507886=CARTESIAN_POINT('',(40.832681,27.262288,0.035)); #507887=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.035)); #507888=CARTESIAN_POINT('',(40.832681,27.262288,0.)); #507889=CARTESIAN_POINT('Origin',(41.987713,28.417319,0.)); #507890=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #507891=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #507892=CARTESIAN_POINT('',(41.987713,28.417319,0.035)); #507893=CARTESIAN_POINT('',(41.987713,28.417319,0.035)); #507894=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #507895=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.)); #507896=CARTESIAN_POINT('',(42.355563,28.754853,0.)); #507897=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.)); #507898=CARTESIAN_POINT('',(42.355563,28.754853,0.035)); #507899=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.035)); #507900=CARTESIAN_POINT('',(42.355563,28.754853,0.)); #507901=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.)); #507902=CARTESIAN_POINT('',(42.167319,28.237713,0.)); #507903=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.)); #507904=CARTESIAN_POINT('',(42.167319,28.237713,0.035)); #507905=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.035)); #507906=CARTESIAN_POINT('',(42.167319,28.237713,0.)); #507907=CARTESIAN_POINT('Origin',(41.012287,27.082681,0.)); #507908=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #507909=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #507910=CARTESIAN_POINT('',(41.012287,27.082681,0.035)); #507911=CARTESIAN_POINT('',(41.012287,27.082681,0.035)); #507912=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #507913=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.)); #507914=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.)); #507915=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.035)); #507916=CARTESIAN_POINT('Origin',(41.5003228342862,27.7494159619455,0.035)); #507917=CARTESIAN_POINT('Origin',(41.5003228342862,27.7494159619455,0.)); #507918=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #507919=CARTESIAN_POINT('',(45.735,34.,0.)); #507920=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #507921=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #507922=CARTESIAN_POINT('',(45.735,34.,-200.)); #507923=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #507924=CARTESIAN_POINT('Origin',(46.290528,33.175,0.)); #507925=CARTESIAN_POINT('',(46.290528,33.175,0.)); #507926=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #507927=CARTESIAN_POINT('',(46.290528,33.175,0.)); #507928=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #507929=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #507930=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #507931=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #507932=CARTESIAN_POINT('',(46.290528,33.175,0.)); #507933=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #507934=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #507935=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #507936=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #507937=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #507938=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #507939=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #507940=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #507941=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #507942=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.035)); #507943=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.)); #507944=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #507945=CARTESIAN_POINT('',(36.035,27.75,0.)); #507946=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #507947=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #507948=CARTESIAN_POINT('',(36.035,27.75,-200.)); #507949=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #507950=CARTESIAN_POINT('Origin',(36.536844,27.,0.)); #507951=CARTESIAN_POINT('',(36.536844,27.,0.)); #507952=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #507953=CARTESIAN_POINT('',(36.536844,27.,0.)); #507954=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #507955=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #507956=CARTESIAN_POINT('',(36.536844,27.,0.035)); #507957=CARTESIAN_POINT('',(36.536844,27.,0.035)); #507958=CARTESIAN_POINT('',(36.536844,27.,0.)); #507959=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #507960=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #507961=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #507962=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #507963=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #507964=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #507965=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #507966=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #507967=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #507968=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #507969=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #507970=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #507971=CARTESIAN_POINT('',(30.36,11.25,0.)); #507972=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #507973=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #507974=CARTESIAN_POINT('',(30.36,11.25,-200.)); #507975=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #507976=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #507977=CARTESIAN_POINT('',(30.521644,10.975,0.)); #507978=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #507979=CARTESIAN_POINT('',(30.521644,10.975,0.)); #507980=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #507981=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #507982=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #507983=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #507984=CARTESIAN_POINT('',(30.521644,10.975,0.)); #507985=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #507986=CARTESIAN_POINT('',(30.256072,11.123,0.)); #507987=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #507988=CARTESIAN_POINT('',(30.256072,11.123,0.035)); #507989=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.035)); #507990=CARTESIAN_POINT('',(30.256072,11.123,0.)); #507991=CARTESIAN_POINT('Origin',(29.533988,11.123,0.)); #507992=CARTESIAN_POINT('',(29.533988,11.123,0.)); #507993=CARTESIAN_POINT('',(29.533988,11.123,0.)); #507994=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #507995=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #507996=CARTESIAN_POINT('',(29.533988,11.123,0.)); #507997=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #507998=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #507999=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #508000=CARTESIAN_POINT('',(29.444184,11.160197,0.035)); #508001=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.035)); #508002=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #508003=CARTESIAN_POINT('Origin',(26.048881,14.5555,0.)); #508004=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #508005=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #508006=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #508007=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #508008=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #508009=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.)); #508010=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #508011=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #508012=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #508013=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #508014=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #508015=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.)); #508016=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #508017=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #508018=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #508019=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #508020=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #508021=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.)); #508022=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #508023=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #508024=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #508025=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #508026=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #508027=CARTESIAN_POINT('Origin',(26.1811,14.782494,0.)); #508028=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #508029=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #508030=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #508031=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #508032=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #508033=CARTESIAN_POINT('Origin',(29.586594,11.377,0.)); #508034=CARTESIAN_POINT('',(29.586594,11.377,0.)); #508035=CARTESIAN_POINT('',(29.586594,11.377,0.)); #508036=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #508037=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #508038=CARTESIAN_POINT('',(29.586594,11.377,0.)); #508039=CARTESIAN_POINT('Origin',(30.256072,11.377,0.)); #508040=CARTESIAN_POINT('',(30.256072,11.377,0.)); #508041=CARTESIAN_POINT('',(30.256072,11.377,0.)); #508042=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #508043=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #508044=CARTESIAN_POINT('',(30.256072,11.377,0.)); #508045=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #508046=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #508047=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #508048=CARTESIAN_POINT('',(30.435603,11.518228,0.035)); #508049=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.035)); #508050=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #508051=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #508052=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #508053=CARTESIAN_POINT('Origin',(30.5,11.25,0.035)); #508054=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.035)); #508055=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.)); #508056=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #508057=CARTESIAN_POINT('',(35.61,11.25,0.)); #508058=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #508059=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #508060=CARTESIAN_POINT('',(35.61,11.25,-200.)); #508061=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #508062=CARTESIAN_POINT('Origin',(35.771644,10.975,0.)); #508063=CARTESIAN_POINT('',(35.771644,10.975,0.)); #508064=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #508065=CARTESIAN_POINT('',(35.771644,10.975,0.)); #508066=CARTESIAN_POINT('',(35.814397,10.981772,0.035)); #508067=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #508068=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #508069=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #508070=CARTESIAN_POINT('',(35.771644,10.975,0.)); #508071=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #508072=CARTESIAN_POINT('',(35.623,11.493928,0.)); #508073=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #508074=CARTESIAN_POINT('',(35.623,11.493928,0.035)); #508075=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.035)); #508076=CARTESIAN_POINT('',(35.623,11.493928,0.)); #508077=CARTESIAN_POINT('Origin',(35.623,13.2875,0.)); #508078=CARTESIAN_POINT('',(35.623,13.2875,0.)); #508079=CARTESIAN_POINT('',(35.623,13.2875,0.)); #508080=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #508081=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #508082=CARTESIAN_POINT('',(35.623,13.2875,0.)); #508083=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.)); #508084=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #508085=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #508086=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #508087=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #508088=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #508089=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.)); #508090=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #508091=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #508092=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #508093=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #508094=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #508095=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.)); #508096=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #508097=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #508098=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #508099=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #508100=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #508101=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.)); #508102=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #508103=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #508104=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #508105=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #508106=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #508107=CARTESIAN_POINT('Origin',(35.877,13.2875,0.)); #508108=CARTESIAN_POINT('',(35.877,13.2875,0.)); #508109=CARTESIAN_POINT('',(35.877,13.2875,0.)); #508110=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #508111=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #508112=CARTESIAN_POINT('',(35.877,13.2875,0.)); #508113=CARTESIAN_POINT('Origin',(35.877,11.493928,0.)); #508114=CARTESIAN_POINT('',(35.877,11.493928,0.)); #508115=CARTESIAN_POINT('',(35.877,11.493928,0.)); #508116=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #508117=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #508118=CARTESIAN_POINT('',(35.877,11.493928,0.)); #508119=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #508120=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #508121=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.035)); #508122=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.035)); #508123=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.)); #508124=CARTESIAN_POINT('Origin',(29.147269,15.877,0.)); #508125=CARTESIAN_POINT('',(29.147269,15.877,0.)); #508126=CARTESIAN_POINT('',(27.002,18.022269,0.)); #508127=CARTESIAN_POINT('',(29.147269,15.877,0.)); #508128=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #508129=CARTESIAN_POINT('',(27.002,18.022269,0.)); #508130=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #508131=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #508132=CARTESIAN_POINT('',(29.147269,15.877,0.)); #508133=CARTESIAN_POINT('Origin',(30.2875,15.877,0.)); #508134=CARTESIAN_POINT('',(30.2875,15.877,0.)); #508135=CARTESIAN_POINT('',(30.2875,15.877,0.)); #508136=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #508137=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #508138=CARTESIAN_POINT('',(30.2875,15.877,0.)); #508139=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.)); #508140=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #508141=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #508142=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #508143=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #508144=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #508145=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.)); #508146=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #508147=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #508148=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #508149=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #508150=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #508151=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.)); #508152=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #508153=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #508154=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #508155=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #508156=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #508157=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.)); #508158=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #508159=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #508160=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #508161=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #508162=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #508163=CARTESIAN_POINT('Origin',(30.2875,15.623,0.)); #508164=CARTESIAN_POINT('',(30.2875,15.623,0.)); #508165=CARTESIAN_POINT('',(30.2875,15.623,0.)); #508166=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #508167=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #508168=CARTESIAN_POINT('',(30.2875,15.623,0.)); #508169=CARTESIAN_POINT('Origin',(29.094663,15.623,0.)); #508170=CARTESIAN_POINT('',(29.094663,15.623,0.)); #508171=CARTESIAN_POINT('',(29.094663,15.623,0.)); #508172=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #508173=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #508174=CARTESIAN_POINT('',(29.094663,15.623,0.)); #508175=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #508176=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #508177=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #508178=CARTESIAN_POINT('',(29.004859,15.660197,0.035)); #508179=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.035)); #508180=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #508181=CARTESIAN_POINT('Origin',(26.785197,17.879859,0.)); #508182=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #508183=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #508184=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #508185=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #508186=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #508187=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #508188=CARTESIAN_POINT('',(26.748,17.969663,0.)); #508189=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #508190=CARTESIAN_POINT('',(26.748,17.969663,0.035)); #508191=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.035)); #508192=CARTESIAN_POINT('',(26.748,17.969663,0.)); #508193=CARTESIAN_POINT('Origin',(26.748,19.808994,0.)); #508194=CARTESIAN_POINT('',(26.748,19.808994,0.)); #508195=CARTESIAN_POINT('',(26.748,19.808994,0.)); #508196=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #508197=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #508198=CARTESIAN_POINT('',(26.748,19.808994,0.)); #508199=CARTESIAN_POINT('Origin',(26.001494,20.5555,0.)); #508200=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #508201=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #508202=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #508203=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #508204=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #508205=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.)); #508206=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #508207=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #508208=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #508209=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #508210=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #508211=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.)); #508212=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #508213=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #508214=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #508215=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #508216=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #508217=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.)); #508218=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #508219=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #508220=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #508221=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #508222=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #508223=CARTESIAN_POINT('Origin',(26.1811,20.735106,0.)); #508224=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #508225=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #508226=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #508227=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #508228=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #508229=CARTESIAN_POINT('Origin',(26.964803,19.951403,0.)); #508230=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #508231=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #508232=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #508233=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #508234=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #508235=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #508236=CARTESIAN_POINT('',(27.002,19.8616,0.)); #508237=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #508238=CARTESIAN_POINT('',(27.002,19.8616,0.035)); #508239=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.035)); #508240=CARTESIAN_POINT('',(27.002,19.8616,0.)); #508241=CARTESIAN_POINT('Origin',(27.002,18.022269,0.)); #508242=CARTESIAN_POINT('',(27.002,18.022269,0.)); #508243=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #508244=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.035)); #508245=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.)); #508246=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.)); #508247=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #508248=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #508249=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #508250=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #508251=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #508252=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #508253=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #508254=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #508255=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.)); #508256=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #508257=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #508258=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #508259=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #508260=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #508261=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.)); #508262=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #508263=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #508264=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #508265=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #508266=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #508267=CARTESIAN_POINT('Origin',(34.623,20.7125,0.)); #508268=CARTESIAN_POINT('',(34.623,20.7125,0.)); #508269=CARTESIAN_POINT('',(34.623,20.7125,0.)); #508270=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #508271=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #508272=CARTESIAN_POINT('',(34.623,20.7125,0.)); #508273=CARTESIAN_POINT('Origin',(34.623,21.939325,0.)); #508274=CARTESIAN_POINT('',(34.623,21.939325,0.)); #508275=CARTESIAN_POINT('',(34.623,21.939325,0.)); #508276=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #508277=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #508278=CARTESIAN_POINT('',(34.623,21.939325,0.)); #508279=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #508280=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #508281=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #508282=CARTESIAN_POINT('',(34.660197,22.029128,0.035)); #508283=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.035)); #508284=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #508285=CARTESIAN_POINT('Origin',(37.623,24.991931,0.)); #508286=CARTESIAN_POINT('',(37.623,24.991931,0.)); #508287=CARTESIAN_POINT('',(37.623,24.991931,0.)); #508288=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #508289=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #508290=CARTESIAN_POINT('',(37.623,24.991931,0.)); #508291=CARTESIAN_POINT('Origin',(37.623,29.597194,0.)); #508292=CARTESIAN_POINT('',(37.623,29.597194,0.)); #508293=CARTESIAN_POINT('',(37.623,29.597194,0.)); #508294=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #508295=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #508296=CARTESIAN_POINT('',(37.623,29.597194,0.)); #508297=CARTESIAN_POINT('Origin',(36.817094,30.4031,0.)); #508298=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #508299=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #508300=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #508301=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #508302=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #508303=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.)); #508304=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #508305=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #508306=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #508307=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #508308=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #508309=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.)); #508310=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #508311=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #508312=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #508313=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #508314=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #508315=CARTESIAN_POINT('Origin',(36.2728,31.5969,0.)); #508316=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #508317=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #508318=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #508319=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #508320=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #508321=CARTESIAN_POINT('Origin',(36.2728,34.619463,0.)); #508322=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #508323=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #508324=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #508325=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #508326=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #508327=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #508328=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #508329=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #508330=CARTESIAN_POINT('',(36.309997,34.709266,0.035)); #508331=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.035)); #508332=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #508333=CARTESIAN_POINT('Origin',(38.0686,36.467869,0.)); #508334=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #508335=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #508336=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #508337=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #508338=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #508339=CARTESIAN_POINT('Origin',(38.0686,37.4336,0.)); #508340=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #508341=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #508342=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #508343=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #508344=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #508345=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #508346=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #508347=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #508348=CARTESIAN_POINT('',(38.105797,37.523403,0.035)); #508349=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.035)); #508350=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #508351=CARTESIAN_POINT('Origin',(38.3429,37.760506,0.)); #508352=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #508353=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #508354=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #508355=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #508356=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #508357=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.)); #508358=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #508359=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #508360=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #508361=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #508362=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #508363=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.)); #508364=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #508365=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #508366=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #508367=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #508368=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #508369=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.)); #508370=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #508371=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #508372=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #508373=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #508374=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #508375=CARTESIAN_POINT('Origin',(38.497106,37.5555,0.)); #508376=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #508377=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #508378=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #508379=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #508380=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #508381=CARTESIAN_POINT('Origin',(38.3226,37.380994,0.)); #508382=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #508383=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #508384=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #508385=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #508386=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #508387=CARTESIAN_POINT('Origin',(38.3226,36.415263,0.)); #508388=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #508389=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #508390=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #508391=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #508392=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #508393=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #508394=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #508395=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #508396=CARTESIAN_POINT('',(38.285403,36.325459,0.035)); #508397=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.035)); #508398=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #508399=CARTESIAN_POINT('Origin',(36.5268,34.566856,0.)); #508400=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #508401=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #508402=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #508403=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #508404=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #508405=CARTESIAN_POINT('Origin',(36.5268,31.5969,0.)); #508406=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #508407=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #508408=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #508409=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #508410=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #508411=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.)); #508412=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #508413=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #508414=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #508415=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #508416=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #508417=CARTESIAN_POINT('Origin',(36.9967,30.582706,0.)); #508418=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #508419=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #508420=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #508421=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #508422=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #508423=CARTESIAN_POINT('Origin',(37.839803,29.739603,0.)); #508424=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #508425=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #508426=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #508427=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #508428=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #508429=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #508430=CARTESIAN_POINT('',(37.877,29.6498,0.)); #508431=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #508432=CARTESIAN_POINT('',(37.877,29.6498,0.035)); #508433=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.035)); #508434=CARTESIAN_POINT('',(37.877,29.6498,0.)); #508435=CARTESIAN_POINT('Origin',(37.877,24.939325,0.)); #508436=CARTESIAN_POINT('',(37.877,24.939325,0.)); #508437=CARTESIAN_POINT('',(37.877,24.939325,0.)); #508438=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #508439=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #508440=CARTESIAN_POINT('',(37.877,24.939325,0.)); #508441=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #508442=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #508443=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #508444=CARTESIAN_POINT('',(37.839803,24.849522,0.035)); #508445=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.035)); #508446=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #508447=CARTESIAN_POINT('Origin',(34.877,21.886719,0.)); #508448=CARTESIAN_POINT('',(34.877,21.886719,0.)); #508449=CARTESIAN_POINT('',(34.877,21.886719,0.)); #508450=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #508451=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #508452=CARTESIAN_POINT('',(34.877,21.886719,0.)); #508453=CARTESIAN_POINT('Origin',(34.877,20.7125,0.)); #508454=CARTESIAN_POINT('',(34.877,20.7125,0.)); #508455=CARTESIAN_POINT('',(34.877,20.7125,0.)); #508456=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #508457=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #508458=CARTESIAN_POINT('',(34.877,20.7125,0.)); #508459=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.)); #508460=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #508461=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #508462=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.035)); #508463=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.)); #508464=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.)); #508465=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #508466=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #508467=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #508468=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #508469=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #508470=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #508471=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #508472=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #508473=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.)); #508474=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #508475=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #508476=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #508477=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #508478=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #508479=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.)); #508480=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #508481=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #508482=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #508483=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #508484=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #508485=CARTESIAN_POINT('Origin',(32.123,20.7125,0.)); #508486=CARTESIAN_POINT('',(32.123,20.7125,0.)); #508487=CARTESIAN_POINT('',(32.123,20.7125,0.)); #508488=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #508489=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #508490=CARTESIAN_POINT('',(32.123,20.7125,0.)); #508491=CARTESIAN_POINT('Origin',(32.123,21.886719,0.)); #508492=CARTESIAN_POINT('',(32.123,21.886719,0.)); #508493=CARTESIAN_POINT('',(32.123,21.886719,0.)); #508494=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #508495=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #508496=CARTESIAN_POINT('',(32.123,21.886719,0.)); #508497=CARTESIAN_POINT('Origin',(30.160197,23.849522,0.)); #508498=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #508499=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #508500=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #508501=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #508502=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #508503=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #508504=CARTESIAN_POINT('',(30.123,23.939325,0.)); #508505=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #508506=CARTESIAN_POINT('',(30.123,23.939325,0.035)); #508507=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.035)); #508508=CARTESIAN_POINT('',(30.123,23.939325,0.)); #508509=CARTESIAN_POINT('Origin',(30.123,29.6498,0.)); #508510=CARTESIAN_POINT('',(30.123,29.6498,0.)); #508511=CARTESIAN_POINT('',(30.123,29.6498,0.)); #508512=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #508513=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #508514=CARTESIAN_POINT('',(30.123,29.6498,0.)); #508515=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #508516=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #508517=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #508518=CARTESIAN_POINT('',(30.160197,29.739603,0.035)); #508519=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.035)); #508520=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #508521=CARTESIAN_POINT('Origin',(31.0033,30.582706,0.)); #508522=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #508523=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #508524=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #508525=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #508526=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #508527=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.)); #508528=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #508529=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #508530=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #508531=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #508532=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #508533=CARTESIAN_POINT('Origin',(31.4732,31.5969,0.)); #508534=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #508535=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #508536=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #508537=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #508538=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #508539=CARTESIAN_POINT('Origin',(31.4732,34.566856,0.)); #508540=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #508541=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #508542=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #508543=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #508544=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #508545=CARTESIAN_POINT('Origin',(29.722697,36.317359,0.)); #508546=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #508547=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #508548=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #508549=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #508550=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #508551=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #508552=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #508553=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #508554=CARTESIAN_POINT('',(29.6855,36.407162,0.035)); #508555=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.035)); #508556=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #508557=CARTESIAN_POINT('Origin',(29.6855,37.372894,0.)); #508558=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #508559=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #508560=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #508561=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #508562=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #508563=CARTESIAN_POINT('Origin',(29.502894,37.5555,0.)); #508564=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #508565=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #508566=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #508567=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #508568=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #508569=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.)); #508570=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #508571=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #508572=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #508573=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #508574=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #508575=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.)); #508576=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #508577=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #508578=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #508579=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #508580=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #508581=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.)); #508582=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #508583=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #508584=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #508585=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #508586=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #508587=CARTESIAN_POINT('Origin',(29.6571,37.760506,0.)); #508588=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #508589=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #508590=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #508591=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #508592=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #508593=CARTESIAN_POINT('Origin',(29.902303,37.515303,0.)); #508594=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #508595=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #508596=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #508597=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #508598=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #508599=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #508600=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #508601=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #508602=CARTESIAN_POINT('',(29.9395,37.4255,0.035)); #508603=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.035)); #508604=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #508605=CARTESIAN_POINT('Origin',(29.9395,36.459769,0.)); #508606=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #508607=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #508608=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #508609=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #508610=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #508611=CARTESIAN_POINT('Origin',(31.690003,34.709266,0.)); #508612=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #508613=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #508614=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #508615=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #508616=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #508617=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #508618=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #508619=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #508620=CARTESIAN_POINT('',(31.7272,34.619463,0.035)); #508621=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.035)); #508622=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #508623=CARTESIAN_POINT('Origin',(31.7272,31.5969,0.)); #508624=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #508625=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #508626=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #508627=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #508628=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #508629=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.)); #508630=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #508631=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #508632=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #508633=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #508634=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #508635=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.)); #508636=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #508637=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #508638=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #508639=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #508640=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #508641=CARTESIAN_POINT('Origin',(31.182906,30.4031,0.)); #508642=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #508643=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #508644=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #508645=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #508646=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #508647=CARTESIAN_POINT('Origin',(30.377,29.597194,0.)); #508648=CARTESIAN_POINT('',(30.377,29.597194,0.)); #508649=CARTESIAN_POINT('',(30.377,29.597194,0.)); #508650=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #508651=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #508652=CARTESIAN_POINT('',(30.377,29.597194,0.)); #508653=CARTESIAN_POINT('Origin',(30.377,23.991931,0.)); #508654=CARTESIAN_POINT('',(30.377,23.991931,0.)); #508655=CARTESIAN_POINT('',(30.377,23.991931,0.)); #508656=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #508657=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #508658=CARTESIAN_POINT('',(30.377,23.991931,0.)); #508659=CARTESIAN_POINT('Origin',(32.339803,22.029128,0.)); #508660=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #508661=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #508662=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #508663=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #508664=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #508665=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #508666=CARTESIAN_POINT('',(32.377,21.939325,0.)); #508667=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #508668=CARTESIAN_POINT('',(32.377,21.939325,0.035)); #508669=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.035)); #508670=CARTESIAN_POINT('',(32.377,21.939325,0.)); #508671=CARTESIAN_POINT('Origin',(32.377,20.7125,0.)); #508672=CARTESIAN_POINT('',(32.377,20.7125,0.)); #508673=CARTESIAN_POINT('',(32.377,20.7125,0.)); #508674=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #508675=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #508676=CARTESIAN_POINT('',(32.377,20.7125,0.)); #508677=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.)); #508678=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #508679=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #508680=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.035)); #508681=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.)); #508682=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #508683=CARTESIAN_POINT('',(35.11,6.25,0.)); #508684=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #508685=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #508686=CARTESIAN_POINT('',(35.11,6.25,-200.)); #508687=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #508688=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #508689=CARTESIAN_POINT('',(35.271644,5.975,0.)); #508690=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #508691=CARTESIAN_POINT('',(35.271644,5.975,0.)); #508692=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #508693=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #508694=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #508695=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #508696=CARTESIAN_POINT('',(35.271644,5.975,0.)); #508697=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #508698=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #508699=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #508700=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #508701=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #508702=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #508703=CARTESIAN_POINT('Origin',(35.123,7.6973938,0.)); #508704=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #508705=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #508706=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #508707=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #508708=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #508709=CARTESIAN_POINT('Origin',(34.910197,7.9101969,0.)); #508710=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #508711=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #508712=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #508713=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #508714=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #508715=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #508716=CARTESIAN_POINT('',(34.873,8.,0.)); #508717=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #508718=CARTESIAN_POINT('',(34.873,8.,0.035)); #508719=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.035)); #508720=CARTESIAN_POINT('',(34.873,8.,0.)); #508721=CARTESIAN_POINT('Origin',(34.873,10.197394,0.)); #508722=CARTESIAN_POINT('',(34.873,10.197394,0.)); #508723=CARTESIAN_POINT('',(34.873,10.197394,0.)); #508724=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #508725=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #508726=CARTESIAN_POINT('',(34.873,10.197394,0.)); #508727=CARTESIAN_POINT('Origin',(33.160197,11.910197,0.)); #508728=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #508729=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #508730=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #508731=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #508732=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #508733=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #508734=CARTESIAN_POINT('',(33.123,12.,0.)); #508735=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #508736=CARTESIAN_POINT('',(33.123,12.,0.035)); #508737=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.035)); #508738=CARTESIAN_POINT('',(33.123,12.,0.)); #508739=CARTESIAN_POINT('Origin',(33.123,13.2875,0.)); #508740=CARTESIAN_POINT('',(33.123,13.2875,0.)); #508741=CARTESIAN_POINT('',(33.123,13.2875,0.)); #508742=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #508743=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #508744=CARTESIAN_POINT('',(33.123,13.2875,0.)); #508745=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.)); #508746=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #508747=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #508748=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #508749=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #508750=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #508751=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.)); #508752=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #508753=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #508754=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #508755=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #508756=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #508757=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.)); #508758=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #508759=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #508760=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #508761=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #508762=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #508763=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.)); #508764=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #508765=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #508766=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #508767=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #508768=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #508769=CARTESIAN_POINT('Origin',(33.377,13.2875,0.)); #508770=CARTESIAN_POINT('',(33.377,13.2875,0.)); #508771=CARTESIAN_POINT('',(33.377,13.2875,0.)); #508772=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #508773=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #508774=CARTESIAN_POINT('',(33.377,13.2875,0.)); #508775=CARTESIAN_POINT('Origin',(33.377,12.052606,0.)); #508776=CARTESIAN_POINT('',(33.377,12.052606,0.)); #508777=CARTESIAN_POINT('',(33.377,12.052606,0.)); #508778=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #508779=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #508780=CARTESIAN_POINT('',(33.377,12.052606,0.)); #508781=CARTESIAN_POINT('Origin',(35.089803,10.339803,0.)); #508782=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #508783=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #508784=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #508785=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #508786=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #508787=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #508788=CARTESIAN_POINT('',(35.127,10.25,0.)); #508789=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #508790=CARTESIAN_POINT('',(35.127,10.25,0.035)); #508791=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.035)); #508792=CARTESIAN_POINT('',(35.127,10.25,0.)); #508793=CARTESIAN_POINT('Origin',(35.127,8.0526063,0.)); #508794=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #508795=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #508796=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #508797=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #508798=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #508799=CARTESIAN_POINT('Origin',(35.339803,7.8398031,0.)); #508800=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #508801=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #508802=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #508803=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #508804=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #508805=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #508806=CARTESIAN_POINT('',(35.377,7.75,0.)); #508807=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #508808=CARTESIAN_POINT('',(35.377,7.75,0.035)); #508809=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.035)); #508810=CARTESIAN_POINT('',(35.377,7.75,0.)); #508811=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #508812=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #508813=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #508814=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #508815=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #508816=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #508817=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #508818=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #508819=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #508820=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.035)); #508821=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.)); #508822=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #508823=CARTESIAN_POINT('',(32.61,8.25,0.)); #508824=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #508825=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #508826=CARTESIAN_POINT('',(32.61,8.25,-200.)); #508827=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #508828=CARTESIAN_POINT('Origin',(32.771644,7.975,0.)); #508829=CARTESIAN_POINT('',(32.771644,7.975,0.)); #508830=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #508831=CARTESIAN_POINT('',(32.771644,7.975,0.)); #508832=CARTESIAN_POINT('',(32.814397,7.9817719,0.035)); #508833=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #508834=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #508835=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #508836=CARTESIAN_POINT('',(32.771644,7.975,0.)); #508837=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #508838=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #508839=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #508840=CARTESIAN_POINT('',(32.623,8.4939281,0.035)); #508841=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.035)); #508842=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #508843=CARTESIAN_POINT('Origin',(32.623,10.326056,0.)); #508844=CARTESIAN_POINT('',(32.623,10.326056,0.)); #508845=CARTESIAN_POINT('',(32.623,10.326056,0.)); #508846=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #508847=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #508848=CARTESIAN_POINT('',(32.623,10.326056,0.)); #508849=CARTESIAN_POINT('Origin',(31.660197,11.288859,0.)); #508850=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #508851=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #508852=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #508853=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #508854=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #508855=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #508856=CARTESIAN_POINT('',(31.623,11.378663,0.)); #508857=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #508858=CARTESIAN_POINT('',(31.623,11.378663,0.035)); #508859=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.035)); #508860=CARTESIAN_POINT('',(31.623,11.378663,0.)); #508861=CARTESIAN_POINT('Origin',(31.623,13.2875,0.)); #508862=CARTESIAN_POINT('',(31.623,13.2875,0.)); #508863=CARTESIAN_POINT('',(31.623,13.2875,0.)); #508864=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #508865=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #508866=CARTESIAN_POINT('',(31.623,13.2875,0.)); #508867=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.)); #508868=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #508869=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #508870=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #508871=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #508872=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #508873=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.)); #508874=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #508875=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #508876=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #508877=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #508878=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #508879=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.)); #508880=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #508881=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #508882=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #508883=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #508884=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #508885=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.)); #508886=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #508887=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #508888=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #508889=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #508890=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #508891=CARTESIAN_POINT('Origin',(31.877,13.2875,0.)); #508892=CARTESIAN_POINT('',(31.877,13.2875,0.)); #508893=CARTESIAN_POINT('',(31.877,13.2875,0.)); #508894=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #508895=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #508896=CARTESIAN_POINT('',(31.877,13.2875,0.)); #508897=CARTESIAN_POINT('Origin',(31.877,11.431269,0.)); #508898=CARTESIAN_POINT('',(31.877,11.431269,0.)); #508899=CARTESIAN_POINT('',(31.877,11.431269,0.)); #508900=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #508901=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #508902=CARTESIAN_POINT('',(31.877,11.431269,0.)); #508903=CARTESIAN_POINT('Origin',(32.839803,10.468466,0.)); #508904=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #508905=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #508906=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #508907=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #508908=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #508909=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #508910=CARTESIAN_POINT('',(32.877,10.378663,0.)); #508911=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #508912=CARTESIAN_POINT('',(32.877,10.378663,0.035)); #508913=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.035)); #508914=CARTESIAN_POINT('',(32.877,10.378663,0.)); #508915=CARTESIAN_POINT('Origin',(32.877,8.4939281,0.)); #508916=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #508917=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #508918=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #508919=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #508920=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #508921=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #508922=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #508923=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.035)); #508924=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.035)); #508925=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.)); #508926=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #508927=CARTESIAN_POINT('',(33.86,55.,0.)); #508928=CARTESIAN_POINT('Origin',(34.,55.,0.)); #508929=CARTESIAN_POINT('',(33.86,55.,0.0349999999999895)); #508930=CARTESIAN_POINT('',(33.86,55.,-200.)); #508931=CARTESIAN_POINT('Origin',(34.,55.,0.0349999999999895)); #508932=CARTESIAN_POINT('Origin',(34.021644,54.725,0.)); #508933=CARTESIAN_POINT('',(34.021644,54.725,0.)); #508934=CARTESIAN_POINT('',(34.064397,54.731772,0.)); #508935=CARTESIAN_POINT('',(34.021644,54.725,0.)); #508936=CARTESIAN_POINT('',(34.064397,54.731772,0.035)); #508937=CARTESIAN_POINT('',(34.064397,54.731772,0.)); #508938=CARTESIAN_POINT('',(34.021644,54.725,0.035)); #508939=CARTESIAN_POINT('',(34.021644,54.725,0.035)); #508940=CARTESIAN_POINT('',(34.021644,54.725,0.)); #508941=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.)); #508942=CARTESIAN_POINT('',(33.873,55.243928,0.)); #508943=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.)); #508944=CARTESIAN_POINT('',(33.873,55.243928,0.035)); #508945=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.035)); #508946=CARTESIAN_POINT('',(33.873,55.243928,0.)); #508947=CARTESIAN_POINT('Origin',(33.873,59.435394,0.)); #508948=CARTESIAN_POINT('',(33.873,59.435394,0.)); #508949=CARTESIAN_POINT('',(33.873,59.435394,0.)); #508950=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #508951=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #508952=CARTESIAN_POINT('',(33.873,59.435394,0.)); #508953=CARTESIAN_POINT('Origin',(33.502894,59.8055,0.)); #508954=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #508955=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #508956=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #508957=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #508958=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #508959=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.)); #508960=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #508961=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #508962=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #508963=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #508964=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #508965=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.)); #508966=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #508967=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #508968=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #508969=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #508970=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #508971=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.)); #508972=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #508973=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #508974=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #508975=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #508976=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #508977=CARTESIAN_POINT('Origin',(33.6571,60.010506,0.)); #508978=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #508979=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #508980=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #508981=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #508982=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #508983=CARTESIAN_POINT('Origin',(34.089803,59.577803,0.)); #508984=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #508985=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #508986=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #508987=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #508988=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #508989=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #508990=CARTESIAN_POINT('',(34.127,59.488,0.)); #508991=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #508992=CARTESIAN_POINT('',(34.127,59.488,0.035)); #508993=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.035)); #508994=CARTESIAN_POINT('',(34.127,59.488,0.)); #508995=CARTESIAN_POINT('Origin',(34.127,55.243928,0.)); #508996=CARTESIAN_POINT('',(34.127,55.243928,0.)); #508997=CARTESIAN_POINT('',(34.127,55.243928,0.)); #508998=CARTESIAN_POINT('',(34.127,55.243928,0.035)); #508999=CARTESIAN_POINT('',(34.127,55.243928,0.035)); #509000=CARTESIAN_POINT('',(34.127,55.243928,0.)); #509001=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.)); #509002=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.)); #509003=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.035)); #509004=CARTESIAN_POINT('Origin',(33.8090684096205,57.9492777810333,0.035)); #509005=CARTESIAN_POINT('Origin',(33.8090684096205,57.9492777810333,0.)); #509006=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #509007=CARTESIAN_POINT('',(34.7975,12.25,0.)); #509008=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #509009=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #509010=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #509011=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #509012=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #509013=CARTESIAN_POINT('',(34.959144,11.975,0.)); #509014=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #509015=CARTESIAN_POINT('',(34.959144,11.975,0.)); #509016=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #509017=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #509018=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #509019=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #509020=CARTESIAN_POINT('',(34.959144,11.975,0.)); #509021=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #509022=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #509023=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #509024=CARTESIAN_POINT('',(34.675213,12.332681,0.035)); #509025=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.035)); #509026=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #509027=CARTESIAN_POINT('Origin',(34.160197,12.847697,0.)); #509028=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #509029=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #509030=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #509031=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #509032=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #509033=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #509034=CARTESIAN_POINT('',(34.123,12.9375,0.)); #509035=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #509036=CARTESIAN_POINT('',(34.123,12.9375,0.035)); #509037=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.035)); #509038=CARTESIAN_POINT('',(34.123,12.9375,0.)); #509039=CARTESIAN_POINT('Origin',(34.123,13.2875,0.)); #509040=CARTESIAN_POINT('',(34.123,13.2875,0.)); #509041=CARTESIAN_POINT('',(34.123,13.2875,0.)); #509042=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #509043=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #509044=CARTESIAN_POINT('',(34.123,13.2875,0.)); #509045=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.)); #509046=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #509047=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #509048=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #509049=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #509050=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #509051=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.)); #509052=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #509053=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #509054=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #509055=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #509056=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #509057=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.)); #509058=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #509059=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #509060=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #509061=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #509062=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #509063=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.)); #509064=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #509065=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #509066=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #509067=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #509068=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #509069=CARTESIAN_POINT('Origin',(34.377,13.2875,0.)); #509070=CARTESIAN_POINT('',(34.377,13.2875,0.)); #509071=CARTESIAN_POINT('',(34.377,13.2875,0.)); #509072=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #509073=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #509074=CARTESIAN_POINT('',(34.377,13.2875,0.)); #509075=CARTESIAN_POINT('Origin',(34.377,12.990106,0.)); #509076=CARTESIAN_POINT('',(34.377,12.990106,0.)); #509077=CARTESIAN_POINT('',(34.377,12.990106,0.)); #509078=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #509079=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #509080=CARTESIAN_POINT('',(34.377,12.990106,0.)); #509081=CARTESIAN_POINT('Origin',(34.854819,12.512288,0.)); #509082=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #509083=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #509084=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #509085=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #509086=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #509087=CARTESIAN_POINT('Origin',(34.873103,12.518228,0.)); #509088=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #509089=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #509090=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #509091=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #509092=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #509093=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #509094=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #509095=CARTESIAN_POINT('Origin',(34.9375,12.25,0.035)); #509096=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.035)); #509097=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.)); #509098=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #509099=CARTESIAN_POINT('',(41.11,13.0029,0.)); #509100=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #509101=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #509102=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #509103=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #509104=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #509105=CARTESIAN_POINT('',(42.36,14.25,0.)); #509106=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #509107=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #509108=CARTESIAN_POINT('',(42.36,14.25,-200.)); #509109=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #509110=CARTESIAN_POINT('Origin',(41.271644,12.7279,0.)); #509111=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #509112=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #509113=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #509114=CARTESIAN_POINT('',(41.314397,12.734672,0.035)); #509115=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #509116=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #509117=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #509118=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #509119=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #509120=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #509121=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #509122=CARTESIAN_POINT('',(41.144438,12.748047,0.035)); #509123=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.035)); #509124=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #509125=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #509126=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #509127=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #509128=CARTESIAN_POINT('',(41.332681,13.265188,0.035)); #509129=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.035)); #509130=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #509131=CARTESIAN_POINT('Origin',(42.237,14.169506,0.)); #509132=CARTESIAN_POINT('',(42.237,14.169506,0.)); #509133=CARTESIAN_POINT('',(42.237,14.169506,0.)); #509134=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #509135=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #509136=CARTESIAN_POINT('',(42.237,14.169506,0.)); #509137=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #509138=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #509139=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #509140=CARTESIAN_POINT('',(42.605563,14.504853,0.035)); #509141=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.035)); #509142=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #509143=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #509144=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #509145=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #509146=CARTESIAN_POINT('',(42.415131,13.988425,0.035)); #509147=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.035)); #509148=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #509149=CARTESIAN_POINT('Origin',(41.512287,13.085581,0.)); #509150=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #509151=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #509152=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #509153=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #509154=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #509155=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #509156=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #509157=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.035)); #509158=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.035)); #509159=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.)); #509160=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #509161=CARTESIAN_POINT('',(34.11,8.25,0.)); #509162=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #509163=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #509164=CARTESIAN_POINT('',(34.11,8.25,-200.)); #509165=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #509166=CARTESIAN_POINT('Origin',(34.271644,7.975,0.)); #509167=CARTESIAN_POINT('',(34.271644,7.975,0.)); #509168=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #509169=CARTESIAN_POINT('',(34.271644,7.975,0.)); #509170=CARTESIAN_POINT('',(34.314397,7.9817719,0.035)); #509171=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #509172=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #509173=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #509174=CARTESIAN_POINT('',(34.271644,7.975,0.)); #509175=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #509176=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #509177=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #509178=CARTESIAN_POINT('',(34.123,8.4939281,0.035)); #509179=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.035)); #509180=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #509181=CARTESIAN_POINT('Origin',(34.123,10.240281,0.)); #509182=CARTESIAN_POINT('',(34.123,10.240281,0.)); #509183=CARTESIAN_POINT('',(34.123,10.240281,0.)); #509184=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #509185=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #509186=CARTESIAN_POINT('',(34.123,10.240281,0.)); #509187=CARTESIAN_POINT('Origin',(32.660197,11.703084,0.)); #509188=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #509189=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #509190=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #509191=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #509192=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #509193=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #509194=CARTESIAN_POINT('',(32.623,11.792888,0.)); #509195=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #509196=CARTESIAN_POINT('',(32.623,11.792888,0.035)); #509197=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.035)); #509198=CARTESIAN_POINT('',(32.623,11.792888,0.)); #509199=CARTESIAN_POINT('Origin',(32.623,13.2875,0.)); #509200=CARTESIAN_POINT('',(32.623,13.2875,0.)); #509201=CARTESIAN_POINT('',(32.623,13.2875,0.)); #509202=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #509203=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #509204=CARTESIAN_POINT('',(32.623,13.2875,0.)); #509205=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.)); #509206=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #509207=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #509208=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #509209=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #509210=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #509211=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.)); #509212=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #509213=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #509214=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #509215=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #509216=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #509217=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.)); #509218=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #509219=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #509220=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #509221=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #509222=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #509223=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.)); #509224=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #509225=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #509226=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #509227=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #509228=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #509229=CARTESIAN_POINT('Origin',(32.877,13.2875,0.)); #509230=CARTESIAN_POINT('',(32.877,13.2875,0.)); #509231=CARTESIAN_POINT('',(32.877,13.2875,0.)); #509232=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #509233=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #509234=CARTESIAN_POINT('',(32.877,13.2875,0.)); #509235=CARTESIAN_POINT('Origin',(32.877,11.845494,0.)); #509236=CARTESIAN_POINT('',(32.877,11.845494,0.)); #509237=CARTESIAN_POINT('',(32.877,11.845494,0.)); #509238=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #509239=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #509240=CARTESIAN_POINT('',(32.877,11.845494,0.)); #509241=CARTESIAN_POINT('Origin',(34.339803,10.382691,0.)); #509242=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #509243=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #509244=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #509245=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #509246=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #509247=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #509248=CARTESIAN_POINT('',(34.377,10.292888,0.)); #509249=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #509250=CARTESIAN_POINT('',(34.377,10.292888,0.035)); #509251=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.035)); #509252=CARTESIAN_POINT('',(34.377,10.292888,0.)); #509253=CARTESIAN_POINT('Origin',(34.377,8.4939281,0.)); #509254=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #509255=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #509256=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #509257=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #509258=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #509259=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #509260=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #509261=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.035)); #509262=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.035)); #509263=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.)); #509264=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #509265=CARTESIAN_POINT('',(33.61,6.2426,0.)); #509266=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #509267=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #509268=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #509269=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #509270=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #509271=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #509272=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #509273=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #509274=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #509275=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #509276=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #509277=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #509278=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #509279=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #509280=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #509281=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #509282=CARTESIAN_POINT('',(33.623,6.4865281,0.035)); #509283=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.035)); #509284=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #509285=CARTESIAN_POINT('Origin',(33.623,7.6899938,0.)); #509286=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #509287=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #509288=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #509289=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #509290=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #509291=CARTESIAN_POINT('Origin',(33.410197,7.9027969,0.)); #509292=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #509293=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #509294=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #509295=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #509296=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #509297=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #509298=CARTESIAN_POINT('',(33.373,7.9926,0.)); #509299=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #509300=CARTESIAN_POINT('',(33.373,7.9926,0.035)); #509301=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.035)); #509302=CARTESIAN_POINT('',(33.373,7.9926,0.)); #509303=CARTESIAN_POINT('Origin',(33.373,10.283169,0.)); #509304=CARTESIAN_POINT('',(33.373,10.283169,0.)); #509305=CARTESIAN_POINT('',(33.373,10.283169,0.)); #509306=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #509307=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #509308=CARTESIAN_POINT('',(33.373,10.283169,0.)); #509309=CARTESIAN_POINT('Origin',(32.160197,11.495972,0.)); #509310=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #509311=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #509312=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #509313=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #509314=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #509315=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #509316=CARTESIAN_POINT('',(32.123,11.585775,0.)); #509317=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #509318=CARTESIAN_POINT('',(32.123,11.585775,0.035)); #509319=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.035)); #509320=CARTESIAN_POINT('',(32.123,11.585775,0.)); #509321=CARTESIAN_POINT('Origin',(32.123,13.2875,0.)); #509322=CARTESIAN_POINT('',(32.123,13.2875,0.)); #509323=CARTESIAN_POINT('',(32.123,13.2875,0.)); #509324=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #509325=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #509326=CARTESIAN_POINT('',(32.123,13.2875,0.)); #509327=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.)); #509328=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #509329=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #509330=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #509331=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #509332=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #509333=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.)); #509334=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #509335=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #509336=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #509337=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #509338=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #509339=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.)); #509340=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #509341=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #509342=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #509343=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #509344=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #509345=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.)); #509346=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #509347=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #509348=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #509349=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #509350=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #509351=CARTESIAN_POINT('Origin',(32.377,13.2875,0.)); #509352=CARTESIAN_POINT('',(32.377,13.2875,0.)); #509353=CARTESIAN_POINT('',(32.377,13.2875,0.)); #509354=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #509355=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #509356=CARTESIAN_POINT('',(32.377,13.2875,0.)); #509357=CARTESIAN_POINT('Origin',(32.377,11.638381,0.)); #509358=CARTESIAN_POINT('',(32.377,11.638381,0.)); #509359=CARTESIAN_POINT('',(32.377,11.638381,0.)); #509360=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #509361=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #509362=CARTESIAN_POINT('',(32.377,11.638381,0.)); #509363=CARTESIAN_POINT('Origin',(33.589803,10.425578,0.)); #509364=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #509365=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #509366=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #509367=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #509368=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #509369=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #509370=CARTESIAN_POINT('',(33.627,10.335775,0.)); #509371=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #509372=CARTESIAN_POINT('',(33.627,10.335775,0.035)); #509373=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.035)); #509374=CARTESIAN_POINT('',(33.627,10.335775,0.)); #509375=CARTESIAN_POINT('Origin',(33.627,8.0452062,0.)); #509376=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #509377=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #509378=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #509379=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #509380=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #509381=CARTESIAN_POINT('Origin',(33.839803,7.8324031,0.)); #509382=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #509383=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #509384=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #509385=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #509386=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #509387=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #509388=CARTESIAN_POINT('',(33.877,7.7426,0.)); #509389=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #509390=CARTESIAN_POINT('',(33.877,7.7426,0.035)); #509391=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.035)); #509392=CARTESIAN_POINT('',(33.877,7.7426,0.)); #509393=CARTESIAN_POINT('Origin',(33.877,6.4865281,0.)); #509394=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #509395=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #509396=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #509397=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #509398=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #509399=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #509400=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #509401=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.035)); #509402=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.035)); #509403=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.)); #509404=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.)); #509405=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #509406=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #509407=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #509408=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #509409=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #509410=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #509411=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #509412=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #509413=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.)); #509414=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #509415=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #509416=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #509417=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #509418=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #509419=CARTESIAN_POINT('Origin',(30.2875,15.123,0.)); #509420=CARTESIAN_POINT('',(30.2875,15.123,0.)); #509421=CARTESIAN_POINT('',(30.2875,15.123,0.)); #509422=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #509423=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #509424=CARTESIAN_POINT('',(30.2875,15.123,0.)); #509425=CARTESIAN_POINT('Origin',(29.064325,15.123,0.)); #509426=CARTESIAN_POINT('',(29.064325,15.123,0.)); #509427=CARTESIAN_POINT('',(29.064325,15.123,0.)); #509428=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #509429=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #509430=CARTESIAN_POINT('',(29.064325,15.123,0.)); #509431=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #509432=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #509433=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #509434=CARTESIAN_POINT('',(28.974522,15.160197,0.035)); #509435=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.035)); #509436=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #509437=CARTESIAN_POINT('Origin',(26.410197,17.724522,0.)); #509438=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #509439=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #509440=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #509441=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #509442=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #509443=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #509444=CARTESIAN_POINT('',(26.373,17.814325,0.)); #509445=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #509446=CARTESIAN_POINT('',(26.373,17.814325,0.035)); #509447=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.035)); #509448=CARTESIAN_POINT('',(26.373,17.814325,0.)); #509449=CARTESIAN_POINT('Origin',(26.373,19.572394,0.)); #509450=CARTESIAN_POINT('',(26.373,19.572394,0.)); #509451=CARTESIAN_POINT('',(26.373,19.572394,0.)); #509452=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #509453=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #509454=CARTESIAN_POINT('',(26.373,19.572394,0.)); #509455=CARTESIAN_POINT('Origin',(25.822394,20.123,0.)); #509456=CARTESIAN_POINT('',(25.822394,20.123,0.)); #509457=CARTESIAN_POINT('',(25.822394,20.123,0.)); #509458=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #509459=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #509460=CARTESIAN_POINT('',(25.822394,20.123,0.)); #509461=CARTESIAN_POINT('Origin',(22.512,20.123,0.)); #509462=CARTESIAN_POINT('',(22.512,20.123,0.)); #509463=CARTESIAN_POINT('',(22.512,20.123,0.)); #509464=CARTESIAN_POINT('',(22.512,20.123,0.035)); #509465=CARTESIAN_POINT('',(22.512,20.123,0.035)); #509466=CARTESIAN_POINT('',(22.512,20.123,0.)); #509467=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #509468=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #509469=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #509470=CARTESIAN_POINT('',(22.422197,20.160197,0.035)); #509471=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.035)); #509472=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #509473=CARTESIAN_POINT('Origin',(22.026894,20.5555,0.)); #509474=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #509475=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #509476=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #509477=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #509478=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #509479=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.)); #509480=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #509481=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #509482=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #509483=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #509484=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #509485=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.)); #509486=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #509487=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #509488=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #509489=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #509490=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #509491=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.)); #509492=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #509493=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #509494=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #509495=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #509496=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #509497=CARTESIAN_POINT('Origin',(22.1811,20.760506,0.)); #509498=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #509499=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #509500=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #509501=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #509502=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #509503=CARTESIAN_POINT('Origin',(22.564606,20.377,0.)); #509504=CARTESIAN_POINT('',(22.564606,20.377,0.)); #509505=CARTESIAN_POINT('',(22.564606,20.377,0.)); #509506=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #509507=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #509508=CARTESIAN_POINT('',(22.564606,20.377,0.)); #509509=CARTESIAN_POINT('Origin',(25.875,20.377,0.)); #509510=CARTESIAN_POINT('',(25.875,20.377,0.)); #509511=CARTESIAN_POINT('',(25.875,20.377,0.)); #509512=CARTESIAN_POINT('',(25.875,20.377,0.035)); #509513=CARTESIAN_POINT('',(25.875,20.377,0.035)); #509514=CARTESIAN_POINT('',(25.875,20.377,0.)); #509515=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #509516=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #509517=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #509518=CARTESIAN_POINT('',(25.964803,20.339803,0.035)); #509519=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.035)); #509520=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #509521=CARTESIAN_POINT('Origin',(26.589803,19.714803,0.)); #509522=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #509523=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #509524=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #509525=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #509526=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #509527=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #509528=CARTESIAN_POINT('',(26.627,19.625,0.)); #509529=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #509530=CARTESIAN_POINT('',(26.627,19.625,0.035)); #509531=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.035)); #509532=CARTESIAN_POINT('',(26.627,19.625,0.)); #509533=CARTESIAN_POINT('Origin',(26.627,17.866931,0.)); #509534=CARTESIAN_POINT('',(26.627,17.866931,0.)); #509535=CARTESIAN_POINT('',(26.627,17.866931,0.)); #509536=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #509537=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #509538=CARTESIAN_POINT('',(26.627,17.866931,0.)); #509539=CARTESIAN_POINT('Origin',(29.116931,15.377,0.)); #509540=CARTESIAN_POINT('',(29.116931,15.377,0.)); #509541=CARTESIAN_POINT('',(29.116931,15.377,0.)); #509542=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #509543=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #509544=CARTESIAN_POINT('',(29.116931,15.377,0.)); #509545=CARTESIAN_POINT('Origin',(30.2875,15.377,0.)); #509546=CARTESIAN_POINT('',(30.2875,15.377,0.)); #509547=CARTESIAN_POINT('',(30.2875,15.377,0.)); #509548=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #509549=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #509550=CARTESIAN_POINT('',(30.2875,15.377,0.)); #509551=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.)); #509552=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #509553=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #509554=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #509555=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #509556=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #509557=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.)); #509558=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #509559=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #509560=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.035)); #509561=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.)); #509562=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #509563=CARTESIAN_POINT('',(34.61,55.,0.)); #509564=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #509565=CARTESIAN_POINT('',(34.61,55.,0.0349999999999895)); #509566=CARTESIAN_POINT('',(34.61,55.,-200.)); #509567=CARTESIAN_POINT('Origin',(34.75,55.,0.0349999999999895)); #509568=CARTESIAN_POINT('Origin',(34.771644,54.725,0.)); #509569=CARTESIAN_POINT('',(34.771644,54.725,0.)); #509570=CARTESIAN_POINT('',(34.814397,54.731772,0.)); #509571=CARTESIAN_POINT('',(34.771644,54.725,0.)); #509572=CARTESIAN_POINT('',(34.814397,54.731772,0.035)); #509573=CARTESIAN_POINT('',(34.814397,54.731772,0.)); #509574=CARTESIAN_POINT('',(34.771644,54.725,0.035)); #509575=CARTESIAN_POINT('',(34.771644,54.725,0.035)); #509576=CARTESIAN_POINT('',(34.771644,54.725,0.)); #509577=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.)); #509578=CARTESIAN_POINT('',(34.623,55.243928,0.)); #509579=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.)); #509580=CARTESIAN_POINT('',(34.623,55.243928,0.035)); #509581=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.035)); #509582=CARTESIAN_POINT('',(34.623,55.243928,0.)); #509583=CARTESIAN_POINT('Origin',(34.623,55.572394,0.)); #509584=CARTESIAN_POINT('',(34.623,55.572394,0.)); #509585=CARTESIAN_POINT('',(34.623,55.572394,0.)); #509586=CARTESIAN_POINT('',(34.623,55.572394,0.035)); #509587=CARTESIAN_POINT('',(34.623,55.572394,0.035)); #509588=CARTESIAN_POINT('',(34.623,55.572394,0.)); #509589=CARTESIAN_POINT('Origin',(34.285197,55.910197,0.)); #509590=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #509591=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #509592=CARTESIAN_POINT('',(34.285197,55.910197,0.035)); #509593=CARTESIAN_POINT('',(34.285197,55.910197,0.035)); #509594=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #509595=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.)); #509596=CARTESIAN_POINT('',(34.248,56.,0.)); #509597=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.)); #509598=CARTESIAN_POINT('',(34.248,56.,0.035)); #509599=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.035)); #509600=CARTESIAN_POINT('',(34.248,56.,0.)); #509601=CARTESIAN_POINT('Origin',(34.248,58.625,0.)); #509602=CARTESIAN_POINT('',(34.248,58.625,0.)); #509603=CARTESIAN_POINT('',(34.248,58.625,0.)); #509604=CARTESIAN_POINT('',(34.248,58.625,0.035)); #509605=CARTESIAN_POINT('',(34.248,58.625,0.035)); #509606=CARTESIAN_POINT('',(34.248,58.625,0.)); #509607=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #509608=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #509609=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #509610=CARTESIAN_POINT('',(34.285197,58.714803,0.035)); #509611=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.035)); #509612=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #509613=CARTESIAN_POINT('Origin',(35.160197,59.589803,0.)); #509614=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #509615=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #509616=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #509617=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #509618=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #509619=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #509620=CARTESIAN_POINT('',(35.25,59.627,0.)); #509621=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #509622=CARTESIAN_POINT('',(35.25,59.627,0.035)); #509623=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.035)); #509624=CARTESIAN_POINT('',(35.25,59.627,0.)); #509625=CARTESIAN_POINT('Origin',(36.435394,59.627,0.)); #509626=CARTESIAN_POINT('',(36.435394,59.627,0.)); #509627=CARTESIAN_POINT('',(36.435394,59.627,0.)); #509628=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #509629=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #509630=CARTESIAN_POINT('',(36.435394,59.627,0.)); #509631=CARTESIAN_POINT('Origin',(36.8189,60.010506,0.)); #509632=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #509633=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #509634=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #509635=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #509636=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #509637=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.)); #509638=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #509639=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #509640=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #509641=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #509642=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #509643=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.)); #509644=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #509645=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #509646=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #509647=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #509648=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #509649=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.)); #509650=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #509651=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #509652=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #509653=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #509654=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #509655=CARTESIAN_POINT('Origin',(36.973106,59.8055,0.)); #509656=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #509657=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #509658=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #509659=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #509660=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #509661=CARTESIAN_POINT('Origin',(36.577803,59.410197,0.)); #509662=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #509663=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #509664=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #509665=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #509666=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #509667=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #509668=CARTESIAN_POINT('',(36.488,59.373,0.)); #509669=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #509670=CARTESIAN_POINT('',(36.488,59.373,0.035)); #509671=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.035)); #509672=CARTESIAN_POINT('',(36.488,59.373,0.)); #509673=CARTESIAN_POINT('Origin',(35.302606,59.373,0.)); #509674=CARTESIAN_POINT('',(35.302606,59.373,0.)); #509675=CARTESIAN_POINT('',(35.302606,59.373,0.)); #509676=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #509677=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #509678=CARTESIAN_POINT('',(35.302606,59.373,0.)); #509679=CARTESIAN_POINT('Origin',(34.502,58.572394,0.)); #509680=CARTESIAN_POINT('',(34.502,58.572394,0.)); #509681=CARTESIAN_POINT('',(34.502,58.572394,0.)); #509682=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #509683=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #509684=CARTESIAN_POINT('',(34.502,58.572394,0.)); #509685=CARTESIAN_POINT('Origin',(34.502,56.052606,0.)); #509686=CARTESIAN_POINT('',(34.502,56.052606,0.)); #509687=CARTESIAN_POINT('',(34.502,56.052606,0.)); #509688=CARTESIAN_POINT('',(34.502,56.052606,0.035)); #509689=CARTESIAN_POINT('',(34.502,56.052606,0.035)); #509690=CARTESIAN_POINT('',(34.502,56.052606,0.)); #509691=CARTESIAN_POINT('Origin',(34.839803,55.714803,0.)); #509692=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #509693=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #509694=CARTESIAN_POINT('',(34.839803,55.714803,0.035)); #509695=CARTESIAN_POINT('',(34.839803,55.714803,0.035)); #509696=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #509697=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.)); #509698=CARTESIAN_POINT('',(34.877,55.625,0.)); #509699=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.)); #509700=CARTESIAN_POINT('',(34.877,55.625,0.035)); #509701=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.035)); #509702=CARTESIAN_POINT('',(34.877,55.625,0.)); #509703=CARTESIAN_POINT('Origin',(34.877,55.243928,0.)); #509704=CARTESIAN_POINT('',(34.877,55.243928,0.)); #509705=CARTESIAN_POINT('',(34.877,55.243928,0.)); #509706=CARTESIAN_POINT('',(34.877,55.243928,0.035)); #509707=CARTESIAN_POINT('',(34.877,55.243928,0.035)); #509708=CARTESIAN_POINT('',(34.877,55.243928,0.)); #509709=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.)); #509710=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.)); #509711=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.035)); #509712=CARTESIAN_POINT('Origin',(35.3601048831759,58.1739023429893,0.035)); #509713=CARTESIAN_POINT('Origin',(35.3601048831759,58.1739023429893,0.)); #509714=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #509715=CARTESIAN_POINT('',(39.11,15.75,0.)); #509716=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #509717=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #509718=CARTESIAN_POINT('',(39.11,15.75,-200.)); #509719=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #509720=CARTESIAN_POINT('Origin',(39.271644,15.475,0.)); #509721=CARTESIAN_POINT('',(39.271644,15.475,0.)); #509722=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #509723=CARTESIAN_POINT('',(39.271644,15.475,0.)); #509724=CARTESIAN_POINT('',(39.314397,15.481772,0.035)); #509725=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #509726=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #509727=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #509728=CARTESIAN_POINT('',(39.271644,15.475,0.)); #509729=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #509730=CARTESIAN_POINT('',(39.006072,15.623,0.)); #509731=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #509732=CARTESIAN_POINT('',(39.006072,15.623,0.035)); #509733=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.035)); #509734=CARTESIAN_POINT('',(39.006072,15.623,0.)); #509735=CARTESIAN_POINT('Origin',(37.7125,15.623,0.)); #509736=CARTESIAN_POINT('',(37.7125,15.623,0.)); #509737=CARTESIAN_POINT('',(37.7125,15.623,0.)); #509738=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #509739=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #509740=CARTESIAN_POINT('',(37.7125,15.623,0.)); #509741=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.)); #509742=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #509743=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #509744=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #509745=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #509746=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #509747=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.)); #509748=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #509749=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #509750=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #509751=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #509752=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #509753=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.)); #509754=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #509755=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #509756=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #509757=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #509758=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #509759=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.)); #509760=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #509761=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #509762=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #509763=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #509764=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #509765=CARTESIAN_POINT('Origin',(37.7125,15.877,0.)); #509766=CARTESIAN_POINT('',(37.7125,15.877,0.)); #509767=CARTESIAN_POINT('',(37.7125,15.877,0.)); #509768=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #509769=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #509770=CARTESIAN_POINT('',(37.7125,15.877,0.)); #509771=CARTESIAN_POINT('Origin',(39.006072,15.877,0.)); #509772=CARTESIAN_POINT('',(39.006072,15.877,0.)); #509773=CARTESIAN_POINT('',(39.006072,15.877,0.)); #509774=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #509775=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #509776=CARTESIAN_POINT('',(39.006072,15.877,0.)); #509777=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #509778=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #509779=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #509780=CARTESIAN_POINT('',(39.185603,16.018228,0.035)); #509781=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.035)); #509782=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #509783=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #509784=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #509785=CARTESIAN_POINT('Origin',(39.25,15.75,0.035)); #509786=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.035)); #509787=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.)); #509788=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.)); #509789=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #509790=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #509791=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #509792=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #509793=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #509794=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #509795=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #509796=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #509797=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.)); #509798=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #509799=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #509800=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #509801=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #509802=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #509803=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.)); #509804=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #509805=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #509806=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #509807=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #509808=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #509809=CARTESIAN_POINT('Origin',(35.123,20.7125,0.)); #509810=CARTESIAN_POINT('',(35.123,20.7125,0.)); #509811=CARTESIAN_POINT('',(35.123,20.7125,0.)); #509812=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #509813=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #509814=CARTESIAN_POINT('',(35.123,20.7125,0.)); #509815=CARTESIAN_POINT('Origin',(35.123,21.908988,0.)); #509816=CARTESIAN_POINT('',(35.123,21.908988,0.)); #509817=CARTESIAN_POINT('',(35.123,21.908988,0.)); #509818=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #509819=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #509820=CARTESIAN_POINT('',(35.123,21.908988,0.)); #509821=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #509822=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #509823=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #509824=CARTESIAN_POINT('',(35.160197,21.998791,0.035)); #509825=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.035)); #509826=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #509827=CARTESIAN_POINT('Origin',(37.998,24.836594,0.)); #509828=CARTESIAN_POINT('',(37.998,24.836594,0.)); #509829=CARTESIAN_POINT('',(37.998,24.836594,0.)); #509830=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #509831=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #509832=CARTESIAN_POINT('',(37.998,24.836594,0.)); #509833=CARTESIAN_POINT('Origin',(37.998,30.811125,0.)); #509834=CARTESIAN_POINT('',(37.998,30.811125,0.)); #509835=CARTESIAN_POINT('',(37.998,30.811125,0.)); #509836=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #509837=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #509838=CARTESIAN_POINT('',(37.998,30.811125,0.)); #509839=CARTESIAN_POINT('Origin',(36.722697,32.086428,0.)); #509840=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #509841=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #509842=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #509843=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #509844=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #509845=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #509846=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #509847=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #509848=CARTESIAN_POINT('',(36.6855,32.176231,0.035)); #509849=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.035)); #509850=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #509851=CARTESIAN_POINT('Origin',(36.6855,34.501825,0.)); #509852=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #509853=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #509854=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #509855=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #509856=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #509857=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #509858=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #509859=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #509860=CARTESIAN_POINT('',(36.722697,34.591628,0.035)); #509861=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.035)); #509862=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #509863=CARTESIAN_POINT('Origin',(38.220872,36.089803,0.)); #509864=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #509865=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #509866=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #509867=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #509868=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #509869=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #509870=CARTESIAN_POINT('',(38.310675,36.127,0.)); #509871=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #509872=CARTESIAN_POINT('',(38.310675,36.127,0.035)); #509873=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.035)); #509874=CARTESIAN_POINT('',(38.310675,36.127,0.)); #509875=CARTESIAN_POINT('Origin',(40.258069,36.127,0.)); #509876=CARTESIAN_POINT('',(40.258069,36.127,0.)); #509877=CARTESIAN_POINT('',(40.258069,36.127,0.)); #509878=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #509879=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #509880=CARTESIAN_POINT('',(40.258069,36.127,0.)); #509881=CARTESIAN_POINT('Origin',(43.708872,39.577803,0.)); #509882=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #509883=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #509884=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #509885=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #509886=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #509887=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #509888=CARTESIAN_POINT('',(43.798675,39.615,0.)); #509889=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #509890=CARTESIAN_POINT('',(43.798675,39.615,0.035)); #509891=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.035)); #509892=CARTESIAN_POINT('',(43.798675,39.615,0.)); #509893=CARTESIAN_POINT('Origin',(47.197394,39.615,0.)); #509894=CARTESIAN_POINT('',(47.197394,39.615,0.)); #509895=CARTESIAN_POINT('',(47.197394,39.615,0.)); #509896=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #509897=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #509898=CARTESIAN_POINT('',(47.197394,39.615,0.)); #509899=CARTESIAN_POINT('Origin',(47.5555,39.973106,0.)); #509900=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #509901=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #509902=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #509903=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #509904=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #509905=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.)); #509906=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #509907=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #509908=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #509909=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #509910=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #509911=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.)); #509912=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #509913=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #509914=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #509915=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #509916=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #509917=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.)); #509918=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #509919=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #509920=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #509921=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #509922=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #509923=CARTESIAN_POINT('Origin',(47.760506,39.8189,0.)); #509924=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #509925=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #509926=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #509927=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #509928=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #509929=CARTESIAN_POINT('Origin',(47.339803,39.398197,0.)); #509930=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #509931=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #509932=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #509933=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #509934=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #509935=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #509936=CARTESIAN_POINT('',(47.25,39.361,0.)); #509937=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #509938=CARTESIAN_POINT('',(47.25,39.361,0.035)); #509939=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.035)); #509940=CARTESIAN_POINT('',(47.25,39.361,0.)); #509941=CARTESIAN_POINT('Origin',(43.851281,39.361,0.)); #509942=CARTESIAN_POINT('',(43.851281,39.361,0.)); #509943=CARTESIAN_POINT('',(43.851281,39.361,0.)); #509944=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #509945=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #509946=CARTESIAN_POINT('',(43.851281,39.361,0.)); #509947=CARTESIAN_POINT('Origin',(40.400478,35.910197,0.)); #509948=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #509949=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #509950=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #509951=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #509952=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #509953=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #509954=CARTESIAN_POINT('',(40.310675,35.873,0.)); #509955=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #509956=CARTESIAN_POINT('',(40.310675,35.873,0.035)); #509957=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.035)); #509958=CARTESIAN_POINT('',(40.310675,35.873,0.)); #509959=CARTESIAN_POINT('Origin',(38.363281,35.873,0.)); #509960=CARTESIAN_POINT('',(38.363281,35.873,0.)); #509961=CARTESIAN_POINT('',(38.363281,35.873,0.)); #509962=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #509963=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #509964=CARTESIAN_POINT('',(38.363281,35.873,0.)); #509965=CARTESIAN_POINT('Origin',(36.9395,34.449219,0.)); #509966=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #509967=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #509968=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #509969=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #509970=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #509971=CARTESIAN_POINT('Origin',(36.9395,32.228838,0.)); #509972=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #509973=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #509974=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #509975=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #509976=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #509977=CARTESIAN_POINT('Origin',(38.214803,30.953534,0.)); #509978=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #509979=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #509980=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #509981=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #509982=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #509983=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #509984=CARTESIAN_POINT('',(38.252,30.863731,0.)); #509985=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #509986=CARTESIAN_POINT('',(38.252,30.863731,0.035)); #509987=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.035)); #509988=CARTESIAN_POINT('',(38.252,30.863731,0.)); #509989=CARTESIAN_POINT('Origin',(38.252,24.783988,0.)); #509990=CARTESIAN_POINT('',(38.252,24.783988,0.)); #509991=CARTESIAN_POINT('',(38.252,24.783988,0.)); #509992=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #509993=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #509994=CARTESIAN_POINT('',(38.252,24.783988,0.)); #509995=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #509996=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #509997=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #509998=CARTESIAN_POINT('',(38.214803,24.694184,0.035)); #509999=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.035)); #510000=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #510001=CARTESIAN_POINT('Origin',(35.377,21.856381,0.)); #510002=CARTESIAN_POINT('',(35.377,21.856381,0.)); #510003=CARTESIAN_POINT('',(35.377,21.856381,0.)); #510004=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #510005=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #510006=CARTESIAN_POINT('',(35.377,21.856381,0.)); #510007=CARTESIAN_POINT('Origin',(35.377,20.7125,0.)); #510008=CARTESIAN_POINT('',(35.377,20.7125,0.)); #510009=CARTESIAN_POINT('',(35.377,20.7125,0.)); #510010=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #510011=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #510012=CARTESIAN_POINT('',(35.377,20.7125,0.)); #510013=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.)); #510014=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #510015=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #510016=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.035)); #510017=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.)); #510018=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.)); #510019=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #510020=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #510021=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #510022=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #510023=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #510024=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #510025=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #510026=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #510027=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.)); #510028=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #510029=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #510030=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #510031=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #510032=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #510033=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.)); #510034=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #510035=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #510036=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #510037=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #510038=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #510039=CARTESIAN_POINT('Origin',(31.623,20.7125,0.)); #510040=CARTESIAN_POINT('',(31.623,20.7125,0.)); #510041=CARTESIAN_POINT('',(31.623,20.7125,0.)); #510042=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #510043=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #510044=CARTESIAN_POINT('',(31.623,20.7125,0.)); #510045=CARTESIAN_POINT('Origin',(31.623,21.856381,0.)); #510046=CARTESIAN_POINT('',(31.623,21.856381,0.)); #510047=CARTESIAN_POINT('',(31.623,21.856381,0.)); #510048=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #510049=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #510050=CARTESIAN_POINT('',(31.623,21.856381,0.)); #510051=CARTESIAN_POINT('Origin',(29.785197,23.694184,0.)); #510052=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #510053=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #510054=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #510055=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #510056=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #510057=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #510058=CARTESIAN_POINT('',(29.748,23.783988,0.)); #510059=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #510060=CARTESIAN_POINT('',(29.748,23.783988,0.035)); #510061=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.035)); #510062=CARTESIAN_POINT('',(29.748,23.783988,0.)); #510063=CARTESIAN_POINT('Origin',(29.748,31.125,0.)); #510064=CARTESIAN_POINT('',(29.748,31.125,0.)); #510065=CARTESIAN_POINT('',(29.748,31.125,0.)); #510066=CARTESIAN_POINT('',(29.748,31.125,0.035)); #510067=CARTESIAN_POINT('',(29.748,31.125,0.035)); #510068=CARTESIAN_POINT('',(29.748,31.125,0.)); #510069=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #510070=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #510071=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #510072=CARTESIAN_POINT('',(29.785197,31.214803,0.035)); #510073=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.035)); #510074=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #510075=CARTESIAN_POINT('Origin',(31.0605,32.490106,0.)); #510076=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #510077=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #510078=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #510079=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #510080=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #510081=CARTESIAN_POINT('Origin',(31.0605,34.449219,0.)); #510082=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #510083=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #510084=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #510085=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #510086=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #510087=CARTESIAN_POINT('Origin',(29.636719,35.873,0.)); #510088=CARTESIAN_POINT('',(29.636719,35.873,0.)); #510089=CARTESIAN_POINT('',(29.636719,35.873,0.)); #510090=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #510091=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #510092=CARTESIAN_POINT('',(29.636719,35.873,0.)); #510093=CARTESIAN_POINT('Origin',(27.689325,35.873,0.)); #510094=CARTESIAN_POINT('',(27.689325,35.873,0.)); #510095=CARTESIAN_POINT('',(27.689325,35.873,0.)); #510096=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #510097=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #510098=CARTESIAN_POINT('',(27.689325,35.873,0.)); #510099=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #510100=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #510101=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #510102=CARTESIAN_POINT('',(27.599522,35.910197,0.035)); #510103=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.035)); #510104=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #510105=CARTESIAN_POINT('Origin',(24.148719,39.361,0.)); #510106=CARTESIAN_POINT('',(24.148719,39.361,0.)); #510107=CARTESIAN_POINT('',(24.148719,39.361,0.)); #510108=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #510109=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #510110=CARTESIAN_POINT('',(24.148719,39.361,0.)); #510111=CARTESIAN_POINT('Origin',(20.75,39.361,0.)); #510112=CARTESIAN_POINT('',(20.75,39.361,0.)); #510113=CARTESIAN_POINT('',(20.75,39.361,0.)); #510114=CARTESIAN_POINT('',(20.75,39.361,0.035)); #510115=CARTESIAN_POINT('',(20.75,39.361,0.035)); #510116=CARTESIAN_POINT('',(20.75,39.361,0.)); #510117=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #510118=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #510119=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #510120=CARTESIAN_POINT('',(20.660197,39.398197,0.035)); #510121=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.035)); #510122=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #510123=CARTESIAN_POINT('Origin',(20.239494,39.8189,0.)); #510124=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #510125=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #510126=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #510127=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #510128=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #510129=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.)); #510130=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #510131=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #510132=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #510133=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #510134=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #510135=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.)); #510136=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #510137=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #510138=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #510139=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #510140=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #510141=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.)); #510142=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #510143=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #510144=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #510145=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #510146=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #510147=CARTESIAN_POINT('Origin',(20.4445,39.973106,0.)); #510148=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #510149=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #510150=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #510151=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #510152=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #510153=CARTESIAN_POINT('Origin',(20.802606,39.615,0.)); #510154=CARTESIAN_POINT('',(20.802606,39.615,0.)); #510155=CARTESIAN_POINT('',(20.802606,39.615,0.)); #510156=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #510157=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #510158=CARTESIAN_POINT('',(20.802606,39.615,0.)); #510159=CARTESIAN_POINT('Origin',(24.201325,39.615,0.)); #510160=CARTESIAN_POINT('',(24.201325,39.615,0.)); #510161=CARTESIAN_POINT('',(24.201325,39.615,0.)); #510162=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #510163=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #510164=CARTESIAN_POINT('',(24.201325,39.615,0.)); #510165=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #510166=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #510167=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #510168=CARTESIAN_POINT('',(24.291128,39.577803,0.035)); #510169=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.035)); #510170=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #510171=CARTESIAN_POINT('Origin',(27.741931,36.127,0.)); #510172=CARTESIAN_POINT('',(27.741931,36.127,0.)); #510173=CARTESIAN_POINT('',(27.741931,36.127,0.)); #510174=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #510175=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #510176=CARTESIAN_POINT('',(27.741931,36.127,0.)); #510177=CARTESIAN_POINT('Origin',(29.689325,36.127,0.)); #510178=CARTESIAN_POINT('',(29.689325,36.127,0.)); #510179=CARTESIAN_POINT('',(29.689325,36.127,0.)); #510180=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #510181=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #510182=CARTESIAN_POINT('',(29.689325,36.127,0.)); #510183=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #510184=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #510185=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #510186=CARTESIAN_POINT('',(29.779128,36.089803,0.035)); #510187=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.035)); #510188=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #510189=CARTESIAN_POINT('Origin',(31.277303,34.591628,0.)); #510190=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #510191=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #510192=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #510193=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #510194=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #510195=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #510196=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #510197=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #510198=CARTESIAN_POINT('',(31.3145,34.501825,0.035)); #510199=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.035)); #510200=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #510201=CARTESIAN_POINT('Origin',(31.3145,32.4375,0.)); #510202=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #510203=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #510204=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #510205=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #510206=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #510207=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #510208=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #510209=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #510210=CARTESIAN_POINT('',(31.277303,32.347697,0.035)); #510211=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.035)); #510212=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #510213=CARTESIAN_POINT('Origin',(30.002,31.072394,0.)); #510214=CARTESIAN_POINT('',(30.002,31.072394,0.)); #510215=CARTESIAN_POINT('',(30.002,31.072394,0.)); #510216=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #510217=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #510218=CARTESIAN_POINT('',(30.002,31.072394,0.)); #510219=CARTESIAN_POINT('Origin',(30.002,23.836594,0.)); #510220=CARTESIAN_POINT('',(30.002,23.836594,0.)); #510221=CARTESIAN_POINT('',(30.002,23.836594,0.)); #510222=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #510223=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #510224=CARTESIAN_POINT('',(30.002,23.836594,0.)); #510225=CARTESIAN_POINT('Origin',(31.839803,21.998791,0.)); #510226=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #510227=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #510228=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #510229=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #510230=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #510231=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #510232=CARTESIAN_POINT('',(31.877,21.908988,0.)); #510233=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #510234=CARTESIAN_POINT('',(31.877,21.908988,0.035)); #510235=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.035)); #510236=CARTESIAN_POINT('',(31.877,21.908988,0.)); #510237=CARTESIAN_POINT('Origin',(31.877,20.7125,0.)); #510238=CARTESIAN_POINT('',(31.877,20.7125,0.)); #510239=CARTESIAN_POINT('',(31.877,20.7125,0.)); #510240=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #510241=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #510242=CARTESIAN_POINT('',(31.877,20.7125,0.)); #510243=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.)); #510244=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #510245=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #510246=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.035)); #510247=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.)); #510248=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.)); #510249=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #510250=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #510251=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #510252=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #510253=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #510254=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #510255=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #510256=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #510257=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.)); #510258=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #510259=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #510260=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #510261=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #510262=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #510263=CARTESIAN_POINT('Origin',(30.2875,14.123,0.)); #510264=CARTESIAN_POINT('',(30.2875,14.123,0.)); #510265=CARTESIAN_POINT('',(30.2875,14.123,0.)); #510266=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #510267=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #510268=CARTESIAN_POINT('',(30.2875,14.123,0.)); #510269=CARTESIAN_POINT('Origin',(29.00365,14.123,0.)); #510270=CARTESIAN_POINT('',(29.00365,14.123,0.)); #510271=CARTESIAN_POINT('',(29.00365,14.123,0.)); #510272=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #510273=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #510274=CARTESIAN_POINT('',(29.00365,14.123,0.)); #510275=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #510276=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #510277=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #510278=CARTESIAN_POINT('',(28.913847,14.160197,0.035)); #510279=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.035)); #510280=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #510281=CARTESIAN_POINT('Origin',(25.951044,17.123,0.)); #510282=CARTESIAN_POINT('',(25.951044,17.123,0.)); #510283=CARTESIAN_POINT('',(25.951044,17.123,0.)); #510284=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #510285=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #510286=CARTESIAN_POINT('',(25.951044,17.123,0.)); #510287=CARTESIAN_POINT('Origin',(22.512,17.123,0.)); #510288=CARTESIAN_POINT('',(22.512,17.123,0.)); #510289=CARTESIAN_POINT('',(22.512,17.123,0.)); #510290=CARTESIAN_POINT('',(22.512,17.123,0.035)); #510291=CARTESIAN_POINT('',(22.512,17.123,0.035)); #510292=CARTESIAN_POINT('',(22.512,17.123,0.)); #510293=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #510294=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #510295=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #510296=CARTESIAN_POINT('',(22.422197,17.160197,0.035)); #510297=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.035)); #510298=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #510299=CARTESIAN_POINT('Origin',(22.026894,17.5555,0.)); #510300=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #510301=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #510302=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #510303=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #510304=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #510305=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.)); #510306=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #510307=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #510308=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #510309=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #510310=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #510311=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.)); #510312=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #510313=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #510314=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #510315=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #510316=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #510317=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.)); #510318=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #510319=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #510320=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #510321=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #510322=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #510323=CARTESIAN_POINT('Origin',(22.1811,17.760506,0.)); #510324=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #510325=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #510326=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #510327=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #510328=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #510329=CARTESIAN_POINT('Origin',(22.564606,17.377,0.)); #510330=CARTESIAN_POINT('',(22.564606,17.377,0.)); #510331=CARTESIAN_POINT('',(22.564606,17.377,0.)); #510332=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #510333=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #510334=CARTESIAN_POINT('',(22.564606,17.377,0.)); #510335=CARTESIAN_POINT('Origin',(26.00365,17.377,0.)); #510336=CARTESIAN_POINT('',(26.00365,17.377,0.)); #510337=CARTESIAN_POINT('',(26.00365,17.377,0.)); #510338=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #510339=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #510340=CARTESIAN_POINT('',(26.00365,17.377,0.)); #510341=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #510342=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #510343=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #510344=CARTESIAN_POINT('',(26.093453,17.339803,0.035)); #510345=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.035)); #510346=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #510347=CARTESIAN_POINT('Origin',(29.056256,14.377,0.)); #510348=CARTESIAN_POINT('',(29.056256,14.377,0.)); #510349=CARTESIAN_POINT('',(29.056256,14.377,0.)); #510350=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #510351=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #510352=CARTESIAN_POINT('',(29.056256,14.377,0.)); #510353=CARTESIAN_POINT('Origin',(30.2875,14.377,0.)); #510354=CARTESIAN_POINT('',(30.2875,14.377,0.)); #510355=CARTESIAN_POINT('',(30.2875,14.377,0.)); #510356=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #510357=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #510358=CARTESIAN_POINT('',(30.2875,14.377,0.)); #510359=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.)); #510360=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #510361=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #510362=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #510363=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #510364=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #510365=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.)); #510366=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #510367=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #510368=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.035)); #510369=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.)); #510370=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #510371=CARTESIAN_POINT('',(30.36,10.5,0.)); #510372=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #510373=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #510374=CARTESIAN_POINT('',(30.36,10.5,-200.)); #510375=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #510376=CARTESIAN_POINT('Origin',(22.564606,14.377,0.)); #510377=CARTESIAN_POINT('',(22.564606,14.377,0.)); #510378=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #510379=CARTESIAN_POINT('',(22.564606,14.377,0.)); #510380=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #510381=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #510382=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #510383=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #510384=CARTESIAN_POINT('',(22.564606,14.377,0.)); #510385=CARTESIAN_POINT('Origin',(26.00365,14.377,0.)); #510386=CARTESIAN_POINT('',(26.00365,14.377,0.)); #510387=CARTESIAN_POINT('',(26.00365,14.377,0.)); #510388=CARTESIAN_POINT('',(26.00365,14.377,0.035)); #510389=CARTESIAN_POINT('',(26.00365,14.377,0.035)); #510390=CARTESIAN_POINT('',(26.00365,14.377,0.)); #510391=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.)); #510392=CARTESIAN_POINT('',(26.015147,14.376094,0.)); #510393=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.)); #510394=CARTESIAN_POINT('',(26.015147,14.376094,0.035)); #510395=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.035)); #510396=CARTESIAN_POINT('',(26.015147,14.376094,0.)); #510397=CARTESIAN_POINT('Origin',(26.020578,14.374819,0.)); #510398=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #510399=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #510400=CARTESIAN_POINT('',(26.020578,14.374819,0.035)); #510401=CARTESIAN_POINT('',(26.020578,14.374819,0.035)); #510402=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #510403=CARTESIAN_POINT('Origin',(26.035834,14.372853,0.)); #510404=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #510405=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #510406=CARTESIAN_POINT('',(26.035834,14.372853,0.035)); #510407=CARTESIAN_POINT('',(26.035834,14.372853,0.035)); #510408=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #510409=CARTESIAN_POINT('Origin',(26.062088,14.362756,0.)); #510410=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #510411=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #510412=CARTESIAN_POINT('',(26.062088,14.362756,0.035)); #510413=CARTESIAN_POINT('',(26.062088,14.362756,0.035)); #510414=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #510415=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.)); #510416=CARTESIAN_POINT('',(26.107769,14.321838,0.)); #510417=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.)); #510418=CARTESIAN_POINT('',(26.107769,14.321838,0.035)); #510419=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.035)); #510420=CARTESIAN_POINT('',(26.107769,14.321838,0.)); #510421=CARTESIAN_POINT('Origin',(29.802606,10.627,0.)); #510422=CARTESIAN_POINT('',(29.802606,10.627,0.)); #510423=CARTESIAN_POINT('',(29.802606,10.627,0.)); #510424=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #510425=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #510426=CARTESIAN_POINT('',(29.802606,10.627,0.)); #510427=CARTESIAN_POINT('Origin',(30.256072,10.627,0.)); #510428=CARTESIAN_POINT('',(30.256072,10.627,0.)); #510429=CARTESIAN_POINT('',(30.256072,10.627,0.)); #510430=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #510431=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #510432=CARTESIAN_POINT('',(30.256072,10.627,0.)); #510433=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.)); #510434=CARTESIAN_POINT('',(30.754853,10.605563,0.)); #510435=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.)); #510436=CARTESIAN_POINT('',(30.754853,10.605563,0.035)); #510437=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.035)); #510438=CARTESIAN_POINT('',(30.754853,10.605563,0.)); #510439=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.)); #510440=CARTESIAN_POINT('',(30.256072,10.373,0.)); #510441=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.)); #510442=CARTESIAN_POINT('',(30.256072,10.373,0.035)); #510443=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.035)); #510444=CARTESIAN_POINT('',(30.256072,10.373,0.)); #510445=CARTESIAN_POINT('Origin',(29.75,10.373,0.)); #510446=CARTESIAN_POINT('',(29.75,10.373,0.)); #510447=CARTESIAN_POINT('',(29.75,10.373,0.)); #510448=CARTESIAN_POINT('',(29.75,10.373,0.035)); #510449=CARTESIAN_POINT('',(29.75,10.373,0.035)); #510450=CARTESIAN_POINT('',(29.75,10.373,0.)); #510451=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #510452=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #510453=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #510454=CARTESIAN_POINT('',(29.660197,10.410197,0.035)); #510455=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.035)); #510456=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #510457=CARTESIAN_POINT('Origin',(25.947394,14.123,0.)); #510458=CARTESIAN_POINT('',(25.947394,14.123,0.)); #510459=CARTESIAN_POINT('',(25.947394,14.123,0.)); #510460=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #510461=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #510462=CARTESIAN_POINT('',(25.947394,14.123,0.)); #510463=CARTESIAN_POINT('Origin',(22.512,14.123,0.)); #510464=CARTESIAN_POINT('',(22.512,14.123,0.)); #510465=CARTESIAN_POINT('',(22.512,14.123,0.)); #510466=CARTESIAN_POINT('',(22.512,14.123,0.035)); #510467=CARTESIAN_POINT('',(22.512,14.123,0.035)); #510468=CARTESIAN_POINT('',(22.512,14.123,0.)); #510469=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #510470=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #510471=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #510472=CARTESIAN_POINT('',(22.422197,14.160197,0.035)); #510473=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.035)); #510474=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #510475=CARTESIAN_POINT('Origin',(22.026894,14.5555,0.)); #510476=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #510477=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #510478=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #510479=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #510480=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #510481=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.)); #510482=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #510483=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #510484=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #510485=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #510486=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #510487=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.)); #510488=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #510489=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #510490=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #510491=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #510492=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #510493=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.)); #510494=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #510495=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #510496=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #510497=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #510498=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #510499=CARTESIAN_POINT('Origin',(22.1811,14.760506,0.)); #510500=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #510501=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #510502=CARTESIAN_POINT('Origin',(26.037465277654,13.160107415761,0.035)); #510503=CARTESIAN_POINT('Origin',(26.037465277654,13.160107415761,0.)); #510504=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #510505=CARTESIAN_POINT('',(36.36,10.5,0.)); #510506=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #510507=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #510508=CARTESIAN_POINT('',(36.36,10.5,-200.)); #510509=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #510510=CARTESIAN_POINT('Origin',(36.521644,10.225,0.)); #510511=CARTESIAN_POINT('',(36.521644,10.225,0.)); #510512=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #510513=CARTESIAN_POINT('',(36.521644,10.225,0.)); #510514=CARTESIAN_POINT('',(36.564397,10.231772,0.035)); #510515=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #510516=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #510517=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #510518=CARTESIAN_POINT('',(36.521644,10.225,0.)); #510519=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #510520=CARTESIAN_POINT('',(36.373,10.743928,0.)); #510521=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #510522=CARTESIAN_POINT('',(36.373,10.743928,0.035)); #510523=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.035)); #510524=CARTESIAN_POINT('',(36.373,10.743928,0.)); #510525=CARTESIAN_POINT('Origin',(36.373,12.697394,0.)); #510526=CARTESIAN_POINT('',(36.373,12.697394,0.)); #510527=CARTESIAN_POINT('',(36.373,12.697394,0.)); #510528=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #510529=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #510530=CARTESIAN_POINT('',(36.373,12.697394,0.)); #510531=CARTESIAN_POINT('Origin',(36.160197,12.910197,0.)); #510532=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #510533=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #510534=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #510535=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #510536=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #510537=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #510538=CARTESIAN_POINT('',(36.123,13.,0.)); #510539=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #510540=CARTESIAN_POINT('',(36.123,13.,0.035)); #510541=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.035)); #510542=CARTESIAN_POINT('',(36.123,13.,0.)); #510543=CARTESIAN_POINT('Origin',(36.123,13.2875,0.)); #510544=CARTESIAN_POINT('',(36.123,13.2875,0.)); #510545=CARTESIAN_POINT('',(36.123,13.2875,0.)); #510546=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #510547=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #510548=CARTESIAN_POINT('',(36.123,13.2875,0.)); #510549=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.)); #510550=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #510551=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #510552=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #510553=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #510554=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #510555=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.)); #510556=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #510557=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #510558=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #510559=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #510560=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #510561=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.)); #510562=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #510563=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #510564=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #510565=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #510566=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #510567=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.)); #510568=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #510569=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #510570=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #510571=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #510572=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #510573=CARTESIAN_POINT('Origin',(36.377,13.2875,0.)); #510574=CARTESIAN_POINT('',(36.377,13.2875,0.)); #510575=CARTESIAN_POINT('',(36.377,13.2875,0.)); #510576=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #510577=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #510578=CARTESIAN_POINT('',(36.377,13.2875,0.)); #510579=CARTESIAN_POINT('Origin',(36.377,13.052606,0.)); #510580=CARTESIAN_POINT('',(36.377,13.052606,0.)); #510581=CARTESIAN_POINT('',(36.377,13.052606,0.)); #510582=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #510583=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #510584=CARTESIAN_POINT('',(36.377,13.052606,0.)); #510585=CARTESIAN_POINT('Origin',(36.589803,12.839803,0.)); #510586=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #510587=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #510588=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #510589=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #510590=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #510591=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #510592=CARTESIAN_POINT('',(36.627,12.75,0.)); #510593=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #510594=CARTESIAN_POINT('',(36.627,12.75,0.035)); #510595=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.035)); #510596=CARTESIAN_POINT('',(36.627,12.75,0.)); #510597=CARTESIAN_POINT('Origin',(36.627,10.743928,0.)); #510598=CARTESIAN_POINT('',(36.627,10.743928,0.)); #510599=CARTESIAN_POINT('',(36.627,10.743928,0.)); #510600=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #510601=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #510602=CARTESIAN_POINT('',(36.627,10.743928,0.)); #510603=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #510604=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #510605=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.035)); #510606=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.035)); #510607=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.)); #510608=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #510609=CARTESIAN_POINT('',(38.61,36.75,0.)); #510610=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #510611=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #510612=CARTESIAN_POINT('',(38.61,36.75,-200.)); #510613=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #510614=CARTESIAN_POINT('Origin',(38.771644,36.475,0.)); #510615=CARTESIAN_POINT('',(38.771644,36.475,0.)); #510616=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #510617=CARTESIAN_POINT('',(38.771644,36.475,0.)); #510618=CARTESIAN_POINT('',(38.814397,36.481772,0.035)); #510619=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #510620=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #510621=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #510622=CARTESIAN_POINT('',(38.771644,36.475,0.)); #510623=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #510624=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #510625=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #510626=CARTESIAN_POINT('',(38.495147,36.644438,0.035)); #510627=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.035)); #510628=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #510629=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #510630=CARTESIAN_POINT('',(38.993928,36.877,0.)); #510631=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #510632=CARTESIAN_POINT('',(38.993928,36.877,0.035)); #510633=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.035)); #510634=CARTESIAN_POINT('',(38.993928,36.877,0.)); #510635=CARTESIAN_POINT('Origin',(40.477731,36.877,0.)); #510636=CARTESIAN_POINT('',(40.477731,36.877,0.)); #510637=CARTESIAN_POINT('',(40.477731,36.877,0.)); #510638=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #510639=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #510640=CARTESIAN_POINT('',(40.477731,36.877,0.)); #510641=CARTESIAN_POINT('Origin',(43.5555,39.954769,0.)); #510642=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #510643=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #510644=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #510645=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #510646=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #510647=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.)); #510648=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #510649=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #510650=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #510651=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #510652=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #510653=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.)); #510654=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #510655=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #510656=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #510657=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #510658=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #510659=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.)); #510660=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #510661=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #510662=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #510663=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #510664=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #510665=CARTESIAN_POINT('Origin',(43.778844,39.8189,0.)); #510666=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #510667=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #510668=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #510669=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #510670=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #510671=CARTESIAN_POINT('Origin',(40.620141,36.660197,0.)); #510672=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #510673=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #510674=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #510675=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #510676=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #510677=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #510678=CARTESIAN_POINT('',(40.530338,36.623,0.)); #510679=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #510680=CARTESIAN_POINT('',(40.530338,36.623,0.035)); #510681=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.035)); #510682=CARTESIAN_POINT('',(40.530338,36.623,0.)); #510683=CARTESIAN_POINT('Origin',(38.993928,36.623,0.)); #510684=CARTESIAN_POINT('',(38.993928,36.623,0.)); #510685=CARTESIAN_POINT('',(38.993928,36.623,0.)); #510686=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #510687=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #510688=CARTESIAN_POINT('',(38.993928,36.623,0.)); #510689=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #510690=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #510691=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.035)); #510692=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.035)); #510693=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.)); #510694=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #510695=CARTESIAN_POINT('',(37.0475,36.75,0.)); #510696=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #510697=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #510698=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #510699=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #510700=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.)); #510701=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #510702=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #510703=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #510704=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #510705=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #510706=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #510707=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #510708=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #510709=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.)); #510710=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #510711=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #510712=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #510713=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #510714=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #510715=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.)); #510716=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #510717=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #510718=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #510719=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #510720=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #510721=CARTESIAN_POINT('Origin',(33.623,20.7125,0.)); #510722=CARTESIAN_POINT('',(33.623,20.7125,0.)); #510723=CARTESIAN_POINT('',(33.623,20.7125,0.)); #510724=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #510725=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #510726=CARTESIAN_POINT('',(33.623,20.7125,0.)); #510727=CARTESIAN_POINT('Origin',(33.623,22.,0.)); #510728=CARTESIAN_POINT('',(33.623,22.,0.)); #510729=CARTESIAN_POINT('',(33.623,22.,0.)); #510730=CARTESIAN_POINT('',(33.623,22.,0.035)); #510731=CARTESIAN_POINT('',(33.623,22.,0.035)); #510732=CARTESIAN_POINT('',(33.623,22.,0.)); #510733=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #510734=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #510735=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #510736=CARTESIAN_POINT('',(33.660197,22.089803,0.035)); #510737=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.035)); #510738=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #510739=CARTESIAN_POINT('Origin',(34.9355,23.365106,0.)); #510740=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #510741=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #510742=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #510743=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #510744=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #510745=CARTESIAN_POINT('Origin',(34.9355,34.625,0.)); #510746=CARTESIAN_POINT('',(34.9355,34.625,0.)); #510747=CARTESIAN_POINT('',(34.9355,34.625,0.)); #510748=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #510749=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #510750=CARTESIAN_POINT('',(34.9355,34.625,0.)); #510751=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #510752=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #510753=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #510754=CARTESIAN_POINT('',(34.972697,34.714803,0.035)); #510755=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.035)); #510756=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #510757=CARTESIAN_POINT('Origin',(36.925213,36.667319,0.)); #510758=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #510759=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #510760=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #510761=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #510762=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #510763=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #510764=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #510765=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #510766=CARTESIAN_POINT('',(37.293063,37.004853,0.035)); #510767=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.035)); #510768=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #510769=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #510770=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #510771=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #510772=CARTESIAN_POINT('',(37.104819,36.487713,0.035)); #510773=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.035)); #510774=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #510775=CARTESIAN_POINT('Origin',(35.1895,34.572394,0.)); #510776=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #510777=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #510778=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #510779=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #510780=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #510781=CARTESIAN_POINT('Origin',(35.1895,23.3125,0.)); #510782=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #510783=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #510784=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #510785=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #510786=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #510787=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #510788=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #510789=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #510790=CARTESIAN_POINT('',(35.152303,23.222697,0.035)); #510791=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.035)); #510792=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #510793=CARTESIAN_POINT('Origin',(33.877,21.947394,0.)); #510794=CARTESIAN_POINT('',(33.877,21.947394,0.)); #510795=CARTESIAN_POINT('',(33.877,21.947394,0.)); #510796=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #510797=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #510798=CARTESIAN_POINT('',(33.877,21.947394,0.)); #510799=CARTESIAN_POINT('Origin',(33.877,20.7125,0.)); #510800=CARTESIAN_POINT('',(33.877,20.7125,0.)); #510801=CARTESIAN_POINT('',(33.877,20.7125,0.)); #510802=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #510803=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #510804=CARTESIAN_POINT('',(33.877,20.7125,0.)); #510805=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.)); #510806=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #510807=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #510808=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.035)); #510809=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.)); #510810=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #510811=CARTESIAN_POINT('',(29.11,36.75,0.)); #510812=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #510813=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #510814=CARTESIAN_POINT('',(29.11,36.75,-200.)); #510815=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #510816=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #510817=CARTESIAN_POINT('',(29.271644,36.475,0.)); #510818=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #510819=CARTESIAN_POINT('',(29.271644,36.475,0.)); #510820=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #510821=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #510822=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #510823=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #510824=CARTESIAN_POINT('',(29.271644,36.475,0.)); #510825=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #510826=CARTESIAN_POINT('',(29.006072,36.623,0.)); #510827=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #510828=CARTESIAN_POINT('',(29.006072,36.623,0.035)); #510829=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.035)); #510830=CARTESIAN_POINT('',(29.006072,36.623,0.)); #510831=CARTESIAN_POINT('Origin',(27.469663,36.623,0.)); #510832=CARTESIAN_POINT('',(27.469663,36.623,0.)); #510833=CARTESIAN_POINT('',(27.469663,36.623,0.)); #510834=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #510835=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #510836=CARTESIAN_POINT('',(27.469663,36.623,0.)); #510837=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #510838=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #510839=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #510840=CARTESIAN_POINT('',(27.379859,36.660197,0.035)); #510841=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.035)); #510842=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #510843=CARTESIAN_POINT('Origin',(24.221156,39.8189,0.)); #510844=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #510845=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #510846=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #510847=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #510848=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #510849=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.)); #510850=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #510851=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #510852=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #510853=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #510854=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #510855=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.)); #510856=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #510857=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #510858=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #510859=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #510860=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #510861=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.)); #510862=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #510863=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #510864=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #510865=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #510866=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #510867=CARTESIAN_POINT('Origin',(24.4445,39.954769,0.)); #510868=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #510869=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #510870=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #510871=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #510872=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #510873=CARTESIAN_POINT('Origin',(27.522269,36.877,0.)); #510874=CARTESIAN_POINT('',(27.522269,36.877,0.)); #510875=CARTESIAN_POINT('',(27.522269,36.877,0.)); #510876=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #510877=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #510878=CARTESIAN_POINT('',(27.522269,36.877,0.)); #510879=CARTESIAN_POINT('Origin',(29.006072,36.877,0.)); #510880=CARTESIAN_POINT('',(29.006072,36.877,0.)); #510881=CARTESIAN_POINT('',(29.006072,36.877,0.)); #510882=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #510883=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #510884=CARTESIAN_POINT('',(29.006072,36.877,0.)); #510885=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #510886=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #510887=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #510888=CARTESIAN_POINT('',(29.185603,37.018228,0.035)); #510889=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.035)); #510890=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #510891=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #510892=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #510893=CARTESIAN_POINT('Origin',(29.25,36.75,0.035)); #510894=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.035)); #510895=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.)); #510896=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #510897=CARTESIAN_POINT('',(30.6725,36.75,0.)); #510898=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #510899=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #510900=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #510901=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #510902=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.)); #510903=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #510904=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #510905=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #510906=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #510907=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #510908=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #510909=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #510910=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #510911=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.)); #510912=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #510913=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #510914=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #510915=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #510916=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #510917=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.)); #510918=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #510919=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #510920=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #510921=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #510922=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #510923=CARTESIAN_POINT('Origin',(33.123,20.7125,0.)); #510924=CARTESIAN_POINT('',(33.123,20.7125,0.)); #510925=CARTESIAN_POINT('',(33.123,20.7125,0.)); #510926=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #510927=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #510928=CARTESIAN_POINT('',(33.123,20.7125,0.)); #510929=CARTESIAN_POINT('Origin',(33.123,21.947394,0.)); #510930=CARTESIAN_POINT('',(33.123,21.947394,0.)); #510931=CARTESIAN_POINT('',(33.123,21.947394,0.)); #510932=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #510933=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #510934=CARTESIAN_POINT('',(33.123,21.947394,0.)); #510935=CARTESIAN_POINT('Origin',(32.847697,22.222697,0.)); #510936=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #510937=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #510938=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #510939=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #510940=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #510941=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #510942=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #510943=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #510944=CARTESIAN_POINT('',(32.8105,22.3125,0.035)); #510945=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.035)); #510946=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #510947=CARTESIAN_POINT('Origin',(32.8105,34.572394,0.)); #510948=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #510949=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #510950=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #510951=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #510952=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #510953=CARTESIAN_POINT('Origin',(30.895181,36.487713,0.)); #510954=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #510955=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #510956=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #510957=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #510958=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #510959=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #510960=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #510961=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #510962=CARTESIAN_POINT('',(30.557647,36.855563,0.035)); #510963=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.035)); #510964=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #510965=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #510966=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #510967=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #510968=CARTESIAN_POINT('',(31.074788,36.667319,0.035)); #510969=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.035)); #510970=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #510971=CARTESIAN_POINT('Origin',(33.027303,34.714803,0.)); #510972=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #510973=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #510974=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #510975=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #510976=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #510977=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #510978=CARTESIAN_POINT('',(33.0645,34.625,0.)); #510979=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #510980=CARTESIAN_POINT('',(33.0645,34.625,0.035)); #510981=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.035)); #510982=CARTESIAN_POINT('',(33.0645,34.625,0.)); #510983=CARTESIAN_POINT('Origin',(33.0645,22.365106,0.)); #510984=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #510985=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #510986=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #510987=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #510988=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #510989=CARTESIAN_POINT('Origin',(33.339803,22.089803,0.)); #510990=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #510991=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #510992=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #510993=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #510994=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #510995=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #510996=CARTESIAN_POINT('',(33.377,22.,0.)); #510997=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #510998=CARTESIAN_POINT('',(33.377,22.,0.035)); #510999=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.035)); #511000=CARTESIAN_POINT('',(33.377,22.,0.)); #511001=CARTESIAN_POINT('Origin',(33.377,20.7125,0.)); #511002=CARTESIAN_POINT('',(33.377,20.7125,0.)); #511003=CARTESIAN_POINT('',(33.377,20.7125,0.)); #511004=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #511005=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #511006=CARTESIAN_POINT('',(33.377,20.7125,0.)); #511007=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.)); #511008=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #511009=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #511010=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.035)); #511011=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.)); #511012=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #511013=CARTESIAN_POINT('',(39.11,18.25,0.)); #511014=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #511015=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #511016=CARTESIAN_POINT('',(39.11,18.25,-200.)); #511017=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #511018=CARTESIAN_POINT('Origin',(39.271644,17.975,0.)); #511019=CARTESIAN_POINT('',(39.271644,17.975,0.)); #511020=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #511021=CARTESIAN_POINT('',(39.271644,17.975,0.)); #511022=CARTESIAN_POINT('',(39.314397,17.981772,0.035)); #511023=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #511024=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #511025=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #511026=CARTESIAN_POINT('',(39.271644,17.975,0.)); #511027=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #511028=CARTESIAN_POINT('',(39.006072,18.123,0.)); #511029=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #511030=CARTESIAN_POINT('',(39.006072,18.123,0.035)); #511031=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.035)); #511032=CARTESIAN_POINT('',(39.006072,18.123,0.)); #511033=CARTESIAN_POINT('Origin',(37.7125,18.123,0.)); #511034=CARTESIAN_POINT('',(37.7125,18.123,0.)); #511035=CARTESIAN_POINT('',(37.7125,18.123,0.)); #511036=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #511037=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #511038=CARTESIAN_POINT('',(37.7125,18.123,0.)); #511039=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.)); #511040=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #511041=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #511042=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #511043=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #511044=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #511045=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.)); #511046=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #511047=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #511048=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #511049=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #511050=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #511051=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.)); #511052=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #511053=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #511054=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #511055=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #511056=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #511057=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.)); #511058=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #511059=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #511060=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #511061=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #511062=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #511063=CARTESIAN_POINT('Origin',(37.7125,18.377,0.)); #511064=CARTESIAN_POINT('',(37.7125,18.377,0.)); #511065=CARTESIAN_POINT('',(37.7125,18.377,0.)); #511066=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #511067=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #511068=CARTESIAN_POINT('',(37.7125,18.377,0.)); #511069=CARTESIAN_POINT('Origin',(39.006072,18.377,0.)); #511070=CARTESIAN_POINT('',(39.006072,18.377,0.)); #511071=CARTESIAN_POINT('',(39.006072,18.377,0.)); #511072=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #511073=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #511074=CARTESIAN_POINT('',(39.006072,18.377,0.)); #511075=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #511076=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #511077=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #511078=CARTESIAN_POINT('',(39.185603,18.518228,0.035)); #511079=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.035)); #511080=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #511081=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #511082=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #511083=CARTESIAN_POINT('Origin',(39.25,18.25,0.035)); #511084=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.035)); #511085=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.)); #511086=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #511087=CARTESIAN_POINT('',(42.36,16.,0.)); #511088=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #511089=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #511090=CARTESIAN_POINT('',(42.36,16.,-200.)); #511091=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #511092=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #511093=CARTESIAN_POINT('',(42.521644,15.725,0.)); #511094=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #511095=CARTESIAN_POINT('',(42.521644,15.725,0.)); #511096=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #511097=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #511098=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #511099=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #511100=CARTESIAN_POINT('',(42.521644,15.725,0.)); #511101=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #511102=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #511103=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #511104=CARTESIAN_POINT('',(42.245147,15.894438,0.035)); #511105=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.035)); #511106=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #511107=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #511108=CARTESIAN_POINT('',(42.743928,16.127,0.)); #511109=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #511110=CARTESIAN_POINT('',(42.743928,16.127,0.035)); #511111=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.035)); #511112=CARTESIAN_POINT('',(42.743928,16.127,0.)); #511113=CARTESIAN_POINT('Origin',(43.1,16.127,0.)); #511114=CARTESIAN_POINT('',(43.1,16.127,0.)); #511115=CARTESIAN_POINT('',(43.1,16.127,0.)); #511116=CARTESIAN_POINT('',(43.1,16.127,0.035)); #511117=CARTESIAN_POINT('',(43.1,16.127,0.035)); #511118=CARTESIAN_POINT('',(43.1,16.127,0.)); #511119=CARTESIAN_POINT('Origin',(43.1,16.225,0.)); #511120=CARTESIAN_POINT('',(43.1,16.225,0.)); #511121=CARTESIAN_POINT('',(43.1,16.225,0.)); #511122=CARTESIAN_POINT('',(43.1,16.225,0.035)); #511123=CARTESIAN_POINT('',(43.1,16.225,0.035)); #511124=CARTESIAN_POINT('',(43.1,16.225,0.)); #511125=CARTESIAN_POINT('Origin',(43.9,16.225,0.)); #511126=CARTESIAN_POINT('',(43.9,16.225,0.)); #511127=CARTESIAN_POINT('',(43.9,16.225,0.)); #511128=CARTESIAN_POINT('',(43.9,16.225,0.035)); #511129=CARTESIAN_POINT('',(43.9,16.225,0.035)); #511130=CARTESIAN_POINT('',(43.9,16.225,0.)); #511131=CARTESIAN_POINT('Origin',(43.9,15.825,0.)); #511132=CARTESIAN_POINT('',(43.9,15.825,0.)); #511133=CARTESIAN_POINT('',(43.9,15.825,0.)); #511134=CARTESIAN_POINT('',(43.9,15.825,0.035)); #511135=CARTESIAN_POINT('',(43.9,15.825,0.035)); #511136=CARTESIAN_POINT('',(43.9,15.825,0.)); #511137=CARTESIAN_POINT('Origin',(43.1,15.825,0.)); #511138=CARTESIAN_POINT('',(43.1,15.825,0.)); #511139=CARTESIAN_POINT('',(43.1,15.825,0.)); #511140=CARTESIAN_POINT('',(43.1,15.825,0.035)); #511141=CARTESIAN_POINT('',(43.1,15.825,0.035)); #511142=CARTESIAN_POINT('',(43.1,15.825,0.)); #511143=CARTESIAN_POINT('Origin',(43.1,15.873,0.)); #511144=CARTESIAN_POINT('',(43.1,15.873,0.)); #511145=CARTESIAN_POINT('',(43.1,15.873,0.)); #511146=CARTESIAN_POINT('',(43.1,15.873,0.035)); #511147=CARTESIAN_POINT('',(43.1,15.873,0.035)); #511148=CARTESIAN_POINT('',(43.1,15.873,0.)); #511149=CARTESIAN_POINT('Origin',(42.743928,15.873,0.)); #511150=CARTESIAN_POINT('',(42.743928,15.873,0.)); #511151=CARTESIAN_POINT('',(42.743928,15.873,0.)); #511152=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #511153=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #511154=CARTESIAN_POINT('',(42.743928,15.873,0.)); #511155=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #511156=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #511157=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.035)); #511158=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.035)); #511159=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.)); #511160=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #511161=CARTESIAN_POINT('',(23.765,49.75,0.)); #511162=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #511163=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #511164=CARTESIAN_POINT('',(23.765,49.75,-200.)); #511165=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #511166=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.)); #511167=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #511168=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #511169=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #511170=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #511171=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #511172=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #511173=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #511174=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #511175=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.)); #511176=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #511177=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #511178=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #511179=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #511180=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #511181=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.)); #511182=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #511183=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #511184=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #511185=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #511186=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #511187=CARTESIAN_POINT('Origin',(20.251494,42.1811,0.)); #511188=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #511189=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #511190=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #511191=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #511192=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #511193=CARTESIAN_POINT('Origin',(20.660197,42.589803,0.)); #511194=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #511195=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #511196=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #511197=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #511198=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #511199=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #511200=CARTESIAN_POINT('',(20.75,42.627,0.)); #511201=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #511202=CARTESIAN_POINT('',(20.75,42.627,0.035)); #511203=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.035)); #511204=CARTESIAN_POINT('',(20.75,42.627,0.)); #511205=CARTESIAN_POINT('Origin',(24.197394,42.627,0.)); #511206=CARTESIAN_POINT('',(24.197394,42.627,0.)); #511207=CARTESIAN_POINT('',(24.197394,42.627,0.)); #511208=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #511209=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #511210=CARTESIAN_POINT('',(24.197394,42.627,0.)); #511211=CARTESIAN_POINT('Origin',(24.873,43.302606,0.)); #511212=CARTESIAN_POINT('',(24.873,43.302606,0.)); #511213=CARTESIAN_POINT('',(24.873,43.302606,0.)); #511214=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #511215=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #511216=CARTESIAN_POINT('',(24.873,43.302606,0.)); #511217=CARTESIAN_POINT('Origin',(24.873,49.197394,0.)); #511218=CARTESIAN_POINT('',(24.873,49.197394,0.)); #511219=CARTESIAN_POINT('',(24.873,49.197394,0.)); #511220=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #511221=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #511222=CARTESIAN_POINT('',(24.873,49.197394,0.)); #511223=CARTESIAN_POINT('Origin',(24.447394,49.623,0.)); #511224=CARTESIAN_POINT('',(24.447394,49.623,0.)); #511225=CARTESIAN_POINT('',(24.447394,49.623,0.)); #511226=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #511227=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #511228=CARTESIAN_POINT('',(24.447394,49.623,0.)); #511229=CARTESIAN_POINT('Origin',(24.148928,49.623,0.)); #511230=CARTESIAN_POINT('',(24.148928,49.623,0.)); #511231=CARTESIAN_POINT('',(24.148928,49.623,0.)); #511232=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #511233=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #511234=CARTESIAN_POINT('',(24.148928,49.623,0.)); #511235=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #511236=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #511237=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #511238=CARTESIAN_POINT('',(23.650147,49.644438,0.035)); #511239=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.035)); #511240=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #511241=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #511242=CARTESIAN_POINT('',(24.148928,49.877,0.)); #511243=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #511244=CARTESIAN_POINT('',(24.148928,49.877,0.035)); #511245=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.035)); #511246=CARTESIAN_POINT('',(24.148928,49.877,0.)); #511247=CARTESIAN_POINT('Origin',(24.5,49.877,0.)); #511248=CARTESIAN_POINT('',(24.5,49.877,0.)); #511249=CARTESIAN_POINT('',(24.5,49.877,0.)); #511250=CARTESIAN_POINT('',(24.5,49.877,0.035)); #511251=CARTESIAN_POINT('',(24.5,49.877,0.035)); #511252=CARTESIAN_POINT('',(24.5,49.877,0.)); #511253=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #511254=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #511255=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #511256=CARTESIAN_POINT('',(24.589803,49.839803,0.035)); #511257=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.035)); #511258=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #511259=CARTESIAN_POINT('Origin',(25.089803,49.339803,0.)); #511260=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #511261=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #511262=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #511263=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #511264=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #511265=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #511266=CARTESIAN_POINT('',(25.127,49.25,0.)); #511267=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #511268=CARTESIAN_POINT('',(25.127,49.25,0.035)); #511269=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.035)); #511270=CARTESIAN_POINT('',(25.127,49.25,0.)); #511271=CARTESIAN_POINT('Origin',(25.127,43.25,0.)); #511272=CARTESIAN_POINT('',(25.127,43.25,0.)); #511273=CARTESIAN_POINT('',(25.127,43.25,0.)); #511274=CARTESIAN_POINT('',(25.127,43.25,0.035)); #511275=CARTESIAN_POINT('',(25.127,43.25,0.035)); #511276=CARTESIAN_POINT('',(25.127,43.25,0.)); #511277=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #511278=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #511279=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #511280=CARTESIAN_POINT('',(25.089803,43.160197,0.035)); #511281=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.035)); #511282=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #511283=CARTESIAN_POINT('Origin',(24.339803,42.410197,0.)); #511284=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #511285=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #511286=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #511287=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #511288=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #511289=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #511290=CARTESIAN_POINT('',(24.25,42.373,0.)); #511291=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #511292=CARTESIAN_POINT('',(24.25,42.373,0.035)); #511293=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.035)); #511294=CARTESIAN_POINT('',(24.25,42.373,0.)); #511295=CARTESIAN_POINT('Origin',(20.802606,42.373,0.)); #511296=CARTESIAN_POINT('',(20.802606,42.373,0.)); #511297=CARTESIAN_POINT('',(20.802606,42.373,0.)); #511298=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #511299=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #511300=CARTESIAN_POINT('',(20.802606,42.373,0.)); #511301=CARTESIAN_POINT('Origin',(20.4445,42.014894,0.)); #511302=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #511303=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #511304=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.035)); #511305=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.)); #511306=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #511307=CARTESIAN_POINT('',(23.765,58.75,0.)); #511308=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #511309=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #511310=CARTESIAN_POINT('',(23.765,58.75,-200.)); #511311=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #511312=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.)); #511313=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #511314=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #511315=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #511316=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #511317=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #511318=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #511319=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #511320=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #511321=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.)); #511322=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #511323=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #511324=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #511325=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #511326=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #511327=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.)); #511328=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #511329=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #511330=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #511331=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #511332=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #511333=CARTESIAN_POINT('Origin',(24.281831,42.1811,0.)); #511334=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #511335=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #511336=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #511337=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #511338=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #511339=CARTESIAN_POINT('Origin',(25.248,43.147269,0.)); #511340=CARTESIAN_POINT('',(25.248,43.147269,0.)); #511341=CARTESIAN_POINT('',(25.248,43.147269,0.)); #511342=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #511343=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #511344=CARTESIAN_POINT('',(25.248,43.147269,0.)); #511345=CARTESIAN_POINT('Origin',(25.248,57.822394,0.)); #511346=CARTESIAN_POINT('',(25.248,57.822394,0.)); #511347=CARTESIAN_POINT('',(25.248,57.822394,0.)); #511348=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #511349=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #511350=CARTESIAN_POINT('',(25.248,57.822394,0.)); #511351=CARTESIAN_POINT('Origin',(24.447394,58.623,0.)); #511352=CARTESIAN_POINT('',(24.447394,58.623,0.)); #511353=CARTESIAN_POINT('',(24.447394,58.623,0.)); #511354=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #511355=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #511356=CARTESIAN_POINT('',(24.447394,58.623,0.)); #511357=CARTESIAN_POINT('Origin',(24.148928,58.623,0.)); #511358=CARTESIAN_POINT('',(24.148928,58.623,0.)); #511359=CARTESIAN_POINT('',(24.148928,58.623,0.)); #511360=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #511361=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #511362=CARTESIAN_POINT('',(24.148928,58.623,0.)); #511363=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #511364=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #511365=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #511366=CARTESIAN_POINT('',(23.650147,58.644438,0.035)); #511367=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.035)); #511368=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #511369=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #511370=CARTESIAN_POINT('',(24.148928,58.877,0.)); #511371=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #511372=CARTESIAN_POINT('',(24.148928,58.877,0.035)); #511373=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.035)); #511374=CARTESIAN_POINT('',(24.148928,58.877,0.)); #511375=CARTESIAN_POINT('Origin',(24.5,58.877,0.)); #511376=CARTESIAN_POINT('',(24.5,58.877,0.)); #511377=CARTESIAN_POINT('',(24.5,58.877,0.)); #511378=CARTESIAN_POINT('',(24.5,58.877,0.035)); #511379=CARTESIAN_POINT('',(24.5,58.877,0.035)); #511380=CARTESIAN_POINT('',(24.5,58.877,0.)); #511381=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #511382=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #511383=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #511384=CARTESIAN_POINT('',(24.589803,58.839803,0.035)); #511385=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.035)); #511386=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #511387=CARTESIAN_POINT('Origin',(25.464803,57.964803,0.)); #511388=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #511389=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #511390=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #511391=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #511392=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #511393=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #511394=CARTESIAN_POINT('',(25.502,57.875,0.)); #511395=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #511396=CARTESIAN_POINT('',(25.502,57.875,0.035)); #511397=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.035)); #511398=CARTESIAN_POINT('',(25.502,57.875,0.)); #511399=CARTESIAN_POINT('Origin',(25.502,43.094663,0.)); #511400=CARTESIAN_POINT('',(25.502,43.094663,0.)); #511401=CARTESIAN_POINT('',(25.502,43.094663,0.)); #511402=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #511403=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #511404=CARTESIAN_POINT('',(25.502,43.094663,0.)); #511405=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #511406=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #511407=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #511408=CARTESIAN_POINT('',(25.464803,43.004859,0.035)); #511409=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.035)); #511410=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #511411=CARTESIAN_POINT('Origin',(24.4445,41.984556,0.)); #511412=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #511413=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #511414=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.035)); #511415=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.)); #511416=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #511417=CARTESIAN_POINT('',(47.765,49.75,0.)); #511418=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #511419=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #511420=CARTESIAN_POINT('',(47.765,49.75,-200.)); #511421=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #511422=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.)); #511423=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #511424=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #511425=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #511426=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #511427=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #511428=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #511429=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #511430=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #511431=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.)); #511432=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #511433=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #511434=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #511435=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #511436=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #511437=CARTESIAN_POINT('Origin',(47.5555,42.026894,0.)); #511438=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #511439=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #511440=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #511441=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #511442=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #511443=CARTESIAN_POINT('Origin',(47.209394,42.373,0.)); #511444=CARTESIAN_POINT('',(47.209394,42.373,0.)); #511445=CARTESIAN_POINT('',(47.209394,42.373,0.)); #511446=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #511447=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #511448=CARTESIAN_POINT('',(47.209394,42.373,0.)); #511449=CARTESIAN_POINT('Origin',(43.75,42.373,0.)); #511450=CARTESIAN_POINT('',(43.75,42.373,0.)); #511451=CARTESIAN_POINT('',(43.75,42.373,0.)); #511452=CARTESIAN_POINT('',(43.75,42.373,0.035)); #511453=CARTESIAN_POINT('',(43.75,42.373,0.035)); #511454=CARTESIAN_POINT('',(43.75,42.373,0.)); #511455=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #511456=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #511457=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #511458=CARTESIAN_POINT('',(43.660197,42.410197,0.035)); #511459=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.035)); #511460=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #511461=CARTESIAN_POINT('Origin',(42.910197,43.160197,0.)); #511462=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #511463=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #511464=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #511465=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #511466=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #511467=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #511468=CARTESIAN_POINT('',(42.873,43.25,0.)); #511469=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #511470=CARTESIAN_POINT('',(42.873,43.25,0.035)); #511471=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.035)); #511472=CARTESIAN_POINT('',(42.873,43.25,0.)); #511473=CARTESIAN_POINT('Origin',(42.873,49.25,0.)); #511474=CARTESIAN_POINT('',(42.873,49.25,0.)); #511475=CARTESIAN_POINT('',(42.873,49.25,0.)); #511476=CARTESIAN_POINT('',(42.873,49.25,0.035)); #511477=CARTESIAN_POINT('',(42.873,49.25,0.035)); #511478=CARTESIAN_POINT('',(42.873,49.25,0.)); #511479=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #511480=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #511481=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #511482=CARTESIAN_POINT('',(42.910197,49.339803,0.035)); #511483=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.035)); #511484=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #511485=CARTESIAN_POINT('Origin',(43.410197,49.839803,0.)); #511486=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #511487=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #511488=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #511489=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #511490=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #511491=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #511492=CARTESIAN_POINT('',(43.5,49.877,0.)); #511493=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #511494=CARTESIAN_POINT('',(43.5,49.877,0.035)); #511495=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.035)); #511496=CARTESIAN_POINT('',(43.5,49.877,0.)); #511497=CARTESIAN_POINT('Origin',(47.661072,49.877,0.)); #511498=CARTESIAN_POINT('',(47.661072,49.877,0.)); #511499=CARTESIAN_POINT('',(47.661072,49.877,0.)); #511500=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #511501=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #511502=CARTESIAN_POINT('',(47.661072,49.877,0.)); #511503=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #511504=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #511505=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #511506=CARTESIAN_POINT('',(48.159853,49.855563,0.035)); #511507=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.035)); #511508=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #511509=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #511510=CARTESIAN_POINT('',(47.661072,49.623,0.)); #511511=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #511512=CARTESIAN_POINT('',(47.661072,49.623,0.035)); #511513=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.035)); #511514=CARTESIAN_POINT('',(47.661072,49.623,0.)); #511515=CARTESIAN_POINT('Origin',(43.552606,49.623,0.)); #511516=CARTESIAN_POINT('',(43.552606,49.623,0.)); #511517=CARTESIAN_POINT('',(43.552606,49.623,0.)); #511518=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #511519=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #511520=CARTESIAN_POINT('',(43.552606,49.623,0.)); #511521=CARTESIAN_POINT('Origin',(43.127,49.197394,0.)); #511522=CARTESIAN_POINT('',(43.127,49.197394,0.)); #511523=CARTESIAN_POINT('',(43.127,49.197394,0.)); #511524=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #511525=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #511526=CARTESIAN_POINT('',(43.127,49.197394,0.)); #511527=CARTESIAN_POINT('Origin',(43.127,43.302606,0.)); #511528=CARTESIAN_POINT('',(43.127,43.302606,0.)); #511529=CARTESIAN_POINT('',(43.127,43.302606,0.)); #511530=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #511531=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #511532=CARTESIAN_POINT('',(43.127,43.302606,0.)); #511533=CARTESIAN_POINT('Origin',(43.802606,42.627,0.)); #511534=CARTESIAN_POINT('',(43.802606,42.627,0.)); #511535=CARTESIAN_POINT('',(43.802606,42.627,0.)); #511536=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #511537=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #511538=CARTESIAN_POINT('',(43.802606,42.627,0.)); #511539=CARTESIAN_POINT('Origin',(47.262,42.627,0.)); #511540=CARTESIAN_POINT('',(47.262,42.627,0.)); #511541=CARTESIAN_POINT('',(47.262,42.627,0.)); #511542=CARTESIAN_POINT('',(47.262,42.627,0.035)); #511543=CARTESIAN_POINT('',(47.262,42.627,0.035)); #511544=CARTESIAN_POINT('',(47.262,42.627,0.)); #511545=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #511546=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #511547=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #511548=CARTESIAN_POINT('',(47.351803,42.589803,0.035)); #511549=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.035)); #511550=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #511551=CARTESIAN_POINT('Origin',(47.760506,42.1811,0.)); #511552=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #511553=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #511554=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #511555=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #511556=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #511557=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.)); #511558=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #511559=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #511560=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.035)); #511561=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.)); #511562=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.)); #511563=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #511564=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #511565=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #511566=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #511567=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #511568=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #511569=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #511570=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #511571=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.)); #511572=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #511573=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #511574=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #511575=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #511576=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #511577=CARTESIAN_POINT('Origin',(30.2875,18.623,0.)); #511578=CARTESIAN_POINT('',(30.2875,18.623,0.)); #511579=CARTESIAN_POINT('',(30.2875,18.623,0.)); #511580=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #511581=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #511582=CARTESIAN_POINT('',(30.2875,18.623,0.)); #511583=CARTESIAN_POINT('Origin',(29.689325,18.623,0.)); #511584=CARTESIAN_POINT('',(29.689325,18.623,0.)); #511585=CARTESIAN_POINT('',(29.689325,18.623,0.)); #511586=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #511587=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #511588=CARTESIAN_POINT('',(29.689325,18.623,0.)); #511589=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #511590=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #511591=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #511592=CARTESIAN_POINT('',(29.599522,18.660197,0.035)); #511593=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.035)); #511594=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #511595=CARTESIAN_POINT('Origin',(28.285197,19.974522,0.)); #511596=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #511597=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #511598=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #511599=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #511600=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #511601=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #511602=CARTESIAN_POINT('',(28.248,20.064325,0.)); #511603=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #511604=CARTESIAN_POINT('',(28.248,20.064325,0.035)); #511605=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.035)); #511606=CARTESIAN_POINT('',(28.248,20.064325,0.)); #511607=CARTESIAN_POINT('Origin',(28.248,24.852731,0.)); #511608=CARTESIAN_POINT('',(28.248,24.852731,0.)); #511609=CARTESIAN_POINT('',(28.248,24.852731,0.)); #511610=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #511611=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #511612=CARTESIAN_POINT('',(28.248,24.852731,0.)); #511613=CARTESIAN_POINT('Origin',(27.227731,25.873,0.)); #511614=CARTESIAN_POINT('',(27.227731,25.873,0.)); #511615=CARTESIAN_POINT('',(27.227731,25.873,0.)); #511616=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #511617=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #511618=CARTESIAN_POINT('',(27.227731,25.873,0.)); #511619=CARTESIAN_POINT('Origin',(24.1811,25.873,0.)); #511620=CARTESIAN_POINT('',(24.1811,25.873,0.)); #511621=CARTESIAN_POINT('',(24.1811,25.873,0.)); #511622=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #511623=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #511624=CARTESIAN_POINT('',(24.1811,25.873,0.)); #511625=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.)); #511626=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #511627=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #511628=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #511629=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #511630=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #511631=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.)); #511632=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #511633=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #511634=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #511635=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #511636=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #511637=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.)); #511638=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #511639=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #511640=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #511641=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #511642=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #511643=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.)); #511644=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #511645=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #511646=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #511647=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #511648=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #511649=CARTESIAN_POINT('Origin',(24.1811,26.127,0.)); #511650=CARTESIAN_POINT('',(24.1811,26.127,0.)); #511651=CARTESIAN_POINT('',(24.1811,26.127,0.)); #511652=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #511653=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #511654=CARTESIAN_POINT('',(24.1811,26.127,0.)); #511655=CARTESIAN_POINT('Origin',(27.280338,26.127,0.)); #511656=CARTESIAN_POINT('',(27.280338,26.127,0.)); #511657=CARTESIAN_POINT('',(27.280338,26.127,0.)); #511658=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #511659=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #511660=CARTESIAN_POINT('',(27.280338,26.127,0.)); #511661=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #511662=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #511663=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #511664=CARTESIAN_POINT('',(27.370141,26.089803,0.035)); #511665=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.035)); #511666=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #511667=CARTESIAN_POINT('Origin',(28.464803,24.995141,0.)); #511668=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #511669=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #511670=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #511671=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #511672=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #511673=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #511674=CARTESIAN_POINT('',(28.502,24.905338,0.)); #511675=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #511676=CARTESIAN_POINT('',(28.502,24.905338,0.035)); #511677=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.035)); #511678=CARTESIAN_POINT('',(28.502,24.905338,0.)); #511679=CARTESIAN_POINT('Origin',(28.502,20.116931,0.)); #511680=CARTESIAN_POINT('',(28.502,20.116931,0.)); #511681=CARTESIAN_POINT('',(28.502,20.116931,0.)); #511682=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #511683=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #511684=CARTESIAN_POINT('',(28.502,20.116931,0.)); #511685=CARTESIAN_POINT('Origin',(29.741931,18.877,0.)); #511686=CARTESIAN_POINT('',(29.741931,18.877,0.)); #511687=CARTESIAN_POINT('',(29.741931,18.877,0.)); #511688=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #511689=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #511690=CARTESIAN_POINT('',(29.741931,18.877,0.)); #511691=CARTESIAN_POINT('Origin',(30.2875,18.877,0.)); #511692=CARTESIAN_POINT('',(30.2875,18.877,0.)); #511693=CARTESIAN_POINT('',(30.2875,18.877,0.)); #511694=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #511695=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #511696=CARTESIAN_POINT('',(30.2875,18.877,0.)); #511697=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.)); #511698=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #511699=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #511700=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #511701=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #511702=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #511703=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.)); #511704=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #511705=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #511706=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.035)); #511707=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.)); #511708=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #511709=CARTESIAN_POINT('',(30.622,60.25,0.)); #511710=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #511711=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #511712=CARTESIAN_POINT('',(30.622,60.25,-200.)); #511713=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #511714=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.)); #511715=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #511716=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #511717=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #511718=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #511719=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #511720=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #511721=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #511722=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #511723=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.)); #511724=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #511725=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #511726=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #511727=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #511728=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #511729=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.)); #511730=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #511731=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #511732=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #511733=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #511734=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #511735=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.)); #511736=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #511737=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #511738=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #511739=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #511740=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #511741=CARTESIAN_POINT('',(33.11,55.,0.)); #511742=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #511743=CARTESIAN_POINT('',(33.11,55.,0.0349999999999895)); #511744=CARTESIAN_POINT('',(33.11,55.,-200.)); #511745=CARTESIAN_POINT('Origin',(33.25,55.,0.0349999999999895)); #511746=CARTESIAN_POINT('Origin',(33.271644,54.725,0.)); #511747=CARTESIAN_POINT('',(33.271644,54.725,0.)); #511748=CARTESIAN_POINT('',(33.314397,54.731772,0.)); #511749=CARTESIAN_POINT('',(33.271644,54.725,0.)); #511750=CARTESIAN_POINT('',(33.314397,54.731772,0.035)); #511751=CARTESIAN_POINT('',(33.314397,54.731772,0.)); #511752=CARTESIAN_POINT('',(33.271644,54.725,0.035)); #511753=CARTESIAN_POINT('',(33.271644,54.725,0.035)); #511754=CARTESIAN_POINT('',(33.271644,54.725,0.)); #511755=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.)); #511756=CARTESIAN_POINT('',(33.123,55.243928,0.)); #511757=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.)); #511758=CARTESIAN_POINT('',(33.123,55.243928,0.035)); #511759=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.035)); #511760=CARTESIAN_POINT('',(33.123,55.243928,0.)); #511761=CARTESIAN_POINT('Origin',(33.123,55.625,0.)); #511762=CARTESIAN_POINT('',(33.123,55.625,0.)); #511763=CARTESIAN_POINT('',(33.123,55.625,0.)); #511764=CARTESIAN_POINT('',(33.123,55.625,0.035)); #511765=CARTESIAN_POINT('',(33.123,55.625,0.035)); #511766=CARTESIAN_POINT('',(33.123,55.625,0.)); #511767=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.)); #511768=CARTESIAN_POINT('',(33.160197,55.714803,0.)); #511769=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.)); #511770=CARTESIAN_POINT('',(33.160197,55.714803,0.035)); #511771=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.035)); #511772=CARTESIAN_POINT('',(33.160197,55.714803,0.)); #511773=CARTESIAN_POINT('Origin',(33.498,56.052606,0.)); #511774=CARTESIAN_POINT('',(33.498,56.052606,0.)); #511775=CARTESIAN_POINT('',(33.498,56.052606,0.)); #511776=CARTESIAN_POINT('',(33.498,56.052606,0.035)); #511777=CARTESIAN_POINT('',(33.498,56.052606,0.035)); #511778=CARTESIAN_POINT('',(33.498,56.052606,0.)); #511779=CARTESIAN_POINT('Origin',(33.498,58.572394,0.)); #511780=CARTESIAN_POINT('',(33.498,58.572394,0.)); #511781=CARTESIAN_POINT('',(33.498,58.572394,0.)); #511782=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #511783=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #511784=CARTESIAN_POINT('',(33.498,58.572394,0.)); #511785=CARTESIAN_POINT('Origin',(32.697394,59.373,0.)); #511786=CARTESIAN_POINT('',(32.697394,59.373,0.)); #511787=CARTESIAN_POINT('',(32.697394,59.373,0.)); #511788=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #511789=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #511790=CARTESIAN_POINT('',(32.697394,59.373,0.)); #511791=CARTESIAN_POINT('Origin',(29.988,59.373,0.)); #511792=CARTESIAN_POINT('',(29.988,59.373,0.)); #511793=CARTESIAN_POINT('',(29.988,59.373,0.)); #511794=CARTESIAN_POINT('',(29.988,59.373,0.035)); #511795=CARTESIAN_POINT('',(29.988,59.373,0.035)); #511796=CARTESIAN_POINT('',(29.988,59.373,0.)); #511797=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #511798=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #511799=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #511800=CARTESIAN_POINT('',(29.898197,59.410197,0.035)); #511801=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.035)); #511802=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #511803=CARTESIAN_POINT('Origin',(29.502894,59.8055,0.)); #511804=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #511805=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #511806=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #511807=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #511808=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #511809=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.)); #511810=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #511811=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #511812=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #511813=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #511814=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #511815=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.)); #511816=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #511817=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #511818=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #511819=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #511820=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #511821=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.)); #511822=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #511823=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #511824=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #511825=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #511826=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #511827=CARTESIAN_POINT('Origin',(29.6571,60.010506,0.)); #511828=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #511829=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #511830=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #511831=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #511832=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #511833=CARTESIAN_POINT('Origin',(30.040606,59.627,0.)); #511834=CARTESIAN_POINT('',(30.040606,59.627,0.)); #511835=CARTESIAN_POINT('',(30.040606,59.627,0.)); #511836=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #511837=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #511838=CARTESIAN_POINT('',(30.040606,59.627,0.)); #511839=CARTESIAN_POINT('Origin',(32.75,59.627,0.)); #511840=CARTESIAN_POINT('',(32.75,59.627,0.)); #511841=CARTESIAN_POINT('',(32.75,59.627,0.)); #511842=CARTESIAN_POINT('',(32.75,59.627,0.035)); #511843=CARTESIAN_POINT('',(32.75,59.627,0.035)); #511844=CARTESIAN_POINT('',(32.75,59.627,0.)); #511845=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #511846=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #511847=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #511848=CARTESIAN_POINT('',(32.839803,59.589803,0.035)); #511849=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.035)); #511850=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #511851=CARTESIAN_POINT('Origin',(33.714803,58.714803,0.)); #511852=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #511853=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #511854=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #511855=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #511856=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #511857=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #511858=CARTESIAN_POINT('',(33.752,58.625,0.)); #511859=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #511860=CARTESIAN_POINT('',(33.752,58.625,0.035)); #511861=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.035)); #511862=CARTESIAN_POINT('',(33.752,58.625,0.)); #511863=CARTESIAN_POINT('Origin',(33.752,56.,0.)); #511864=CARTESIAN_POINT('',(33.752,56.,0.)); #511865=CARTESIAN_POINT('',(33.752,56.,0.)); #511866=CARTESIAN_POINT('',(33.752,56.,0.035)); #511867=CARTESIAN_POINT('',(33.752,56.,0.035)); #511868=CARTESIAN_POINT('',(33.752,56.,0.)); #511869=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.)); #511870=CARTESIAN_POINT('',(33.714803,55.910197,0.)); #511871=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.)); #511872=CARTESIAN_POINT('',(33.714803,55.910197,0.035)); #511873=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.035)); #511874=CARTESIAN_POINT('',(33.714803,55.910197,0.)); #511875=CARTESIAN_POINT('Origin',(33.377,55.572394,0.)); #511876=CARTESIAN_POINT('',(33.377,55.572394,0.)); #511877=CARTESIAN_POINT('',(33.377,55.572394,0.)); #511878=CARTESIAN_POINT('',(33.377,55.572394,0.035)); #511879=CARTESIAN_POINT('',(33.377,55.572394,0.035)); #511880=CARTESIAN_POINT('',(33.377,55.572394,0.)); #511881=CARTESIAN_POINT('Origin',(33.377,55.243928,0.)); #511882=CARTESIAN_POINT('',(33.377,55.243928,0.)); #511883=CARTESIAN_POINT('',(33.377,55.243928,0.)); #511884=CARTESIAN_POINT('',(33.377,55.243928,0.035)); #511885=CARTESIAN_POINT('',(33.377,55.243928,0.035)); #511886=CARTESIAN_POINT('',(33.377,55.243928,0.)); #511887=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.)); #511888=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.)); #511889=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.035)); #511890=CARTESIAN_POINT('Origin',(32.0511275290298,58.3686037152481,0.035)); #511891=CARTESIAN_POINT('Origin',(32.0511275290298,58.3686037152481,0.)); #511892=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #511893=CARTESIAN_POINT('',(39.11,16.5,0.)); #511894=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #511895=CARTESIAN_POINT('',(39.11,16.5,0.0349999999999895)); #511896=CARTESIAN_POINT('',(39.11,16.5,-200.)); #511897=CARTESIAN_POINT('Origin',(39.25,16.5,0.0349999999999895)); #511898=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.)); #511899=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #511900=CARTESIAN_POINT('',(37.7125,16.123,0.)); #511901=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #511902=CARTESIAN_POINT('',(37.7125,16.123,0.035)); #511903=CARTESIAN_POINT('',(37.7125,16.123,0.)); #511904=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #511905=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #511906=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #511907=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.)); #511908=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #511909=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #511910=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #511911=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #511912=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #511913=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.)); #511914=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #511915=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #511916=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #511917=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #511918=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #511919=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.)); #511920=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #511921=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #511922=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #511923=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #511924=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #511925=CARTESIAN_POINT('Origin',(37.7125,16.377,0.)); #511926=CARTESIAN_POINT('',(37.7125,16.377,0.)); #511927=CARTESIAN_POINT('',(37.7125,16.377,0.)); #511928=CARTESIAN_POINT('',(37.7125,16.377,0.035)); #511929=CARTESIAN_POINT('',(37.7125,16.377,0.035)); #511930=CARTESIAN_POINT('',(37.7125,16.377,0.)); #511931=CARTESIAN_POINT('Origin',(38.197394,16.377,0.)); #511932=CARTESIAN_POINT('',(38.197394,16.377,0.)); #511933=CARTESIAN_POINT('',(38.197394,16.377,0.)); #511934=CARTESIAN_POINT('',(38.197394,16.377,0.035)); #511935=CARTESIAN_POINT('',(38.197394,16.377,0.035)); #511936=CARTESIAN_POINT('',(38.197394,16.377,0.)); #511937=CARTESIAN_POINT('Origin',(38.410197,16.589803,0.)); #511938=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #511939=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #511940=CARTESIAN_POINT('',(38.410197,16.589803,0.035)); #511941=CARTESIAN_POINT('',(38.410197,16.589803,0.035)); #511942=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #511943=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.)); #511944=CARTESIAN_POINT('',(38.5,16.627,0.)); #511945=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.)); #511946=CARTESIAN_POINT('',(38.5,16.627,0.035)); #511947=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.035)); #511948=CARTESIAN_POINT('',(38.5,16.627,0.)); #511949=CARTESIAN_POINT('Origin',(39.006072,16.627,0.)); #511950=CARTESIAN_POINT('',(39.006072,16.627,0.)); #511951=CARTESIAN_POINT('',(39.006072,16.627,0.)); #511952=CARTESIAN_POINT('',(39.006072,16.627,0.035)); #511953=CARTESIAN_POINT('',(39.006072,16.627,0.035)); #511954=CARTESIAN_POINT('',(39.006072,16.627,0.)); #511955=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.)); #511956=CARTESIAN_POINT('',(39.504853,16.605563,0.)); #511957=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.)); #511958=CARTESIAN_POINT('',(39.504853,16.605563,0.035)); #511959=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.035)); #511960=CARTESIAN_POINT('',(39.504853,16.605563,0.)); #511961=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.)); #511962=CARTESIAN_POINT('',(39.006072,16.373,0.)); #511963=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.)); #511964=CARTESIAN_POINT('',(39.006072,16.373,0.035)); #511965=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.035)); #511966=CARTESIAN_POINT('',(39.006072,16.373,0.)); #511967=CARTESIAN_POINT('Origin',(38.552606,16.373,0.)); #511968=CARTESIAN_POINT('',(38.552606,16.373,0.)); #511969=CARTESIAN_POINT('',(38.552606,16.373,0.)); #511970=CARTESIAN_POINT('',(38.552606,16.373,0.035)); #511971=CARTESIAN_POINT('',(38.552606,16.373,0.035)); #511972=CARTESIAN_POINT('',(38.552606,16.373,0.)); #511973=CARTESIAN_POINT('Origin',(38.339803,16.160197,0.)); #511974=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #511975=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #511976=CARTESIAN_POINT('',(38.339803,16.160197,0.035)); #511977=CARTESIAN_POINT('',(38.339803,16.160197,0.035)); #511978=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #511979=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.)); #511980=CARTESIAN_POINT('',(38.25,16.123,0.)); #511981=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.)); #511982=CARTESIAN_POINT('',(38.25,16.123,0.035)); #511983=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.035)); #511984=CARTESIAN_POINT('',(38.25,16.123,0.)); #511985=CARTESIAN_POINT('Origin',(37.7125,16.123,0.)); #511986=CARTESIAN_POINT('',(37.7125,16.123,0.)); #511987=CARTESIAN_POINT('',(37.7125,16.123,0.035)); #511988=CARTESIAN_POINT('Origin',(38.3266703856477,16.3730172453431,0.035)); #511989=CARTESIAN_POINT('Origin',(38.3266703856477,16.3730172453431,0.)); #511990=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #511991=CARTESIAN_POINT('',(41.86,36.,0.)); #511992=CARTESIAN_POINT('Origin',(42.,36.,0.)); #511993=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #511994=CARTESIAN_POINT('',(41.86,36.,-200.)); #511995=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #511996=CARTESIAN_POINT('Origin',(52.1811,50.627,0.)); #511997=CARTESIAN_POINT('',(52.1811,50.627,0.)); #511998=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #511999=CARTESIAN_POINT('',(52.1811,50.627,0.)); #512000=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #512001=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #512002=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #512003=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #512004=CARTESIAN_POINT('',(52.1811,50.627,0.)); #512005=CARTESIAN_POINT('Origin',(53.8189,50.627,0.)); #512006=CARTESIAN_POINT('',(53.8189,50.627,0.)); #512007=CARTESIAN_POINT('',(53.8189,50.627,0.)); #512008=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #512009=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #512010=CARTESIAN_POINT('',(53.8189,50.627,0.)); #512011=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.)); #512012=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #512013=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #512014=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #512015=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #512016=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #512017=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.)); #512018=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #512019=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #512020=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #512021=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #512022=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #512023=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.)); #512024=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #512025=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #512026=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #512027=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #512028=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #512029=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.)); #512030=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #512031=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #512032=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #512033=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #512034=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #512035=CARTESIAN_POINT('Origin',(53.8189,50.373,0.)); #512036=CARTESIAN_POINT('',(53.8189,50.373,0.)); #512037=CARTESIAN_POINT('',(53.8189,50.373,0.)); #512038=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #512039=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #512040=CARTESIAN_POINT('',(53.8189,50.373,0.)); #512041=CARTESIAN_POINT('Origin',(53.302606,50.373,0.)); #512042=CARTESIAN_POINT('',(53.302606,50.373,0.)); #512043=CARTESIAN_POINT('',(53.302606,50.373,0.)); #512044=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #512045=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #512046=CARTESIAN_POINT('',(53.302606,50.373,0.)); #512047=CARTESIAN_POINT('Origin',(53.127,50.197394,0.)); #512048=CARTESIAN_POINT('',(53.127,50.197394,0.)); #512049=CARTESIAN_POINT('',(53.127,50.197394,0.)); #512050=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #512051=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #512052=CARTESIAN_POINT('',(53.127,50.197394,0.)); #512053=CARTESIAN_POINT('Origin',(53.127,46.,0.)); #512054=CARTESIAN_POINT('',(53.127,46.,0.)); #512055=CARTESIAN_POINT('',(53.127,46.,0.)); #512056=CARTESIAN_POINT('',(53.127,46.,0.035)); #512057=CARTESIAN_POINT('',(53.127,46.,0.035)); #512058=CARTESIAN_POINT('',(53.127,46.,0.)); #512059=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #512060=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #512061=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #512062=CARTESIAN_POINT('',(53.089803,45.910197,0.035)); #512063=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.035)); #512064=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #512065=CARTESIAN_POINT('Origin',(52.589803,45.410197,0.)); #512066=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #512067=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #512068=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #512069=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #512070=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #512071=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #512072=CARTESIAN_POINT('',(52.5,45.373,0.)); #512073=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #512074=CARTESIAN_POINT('',(52.5,45.373,0.035)); #512075=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.035)); #512076=CARTESIAN_POINT('',(52.5,45.373,0.)); #512077=CARTESIAN_POINT('Origin',(50.052606,45.373,0.)); #512078=CARTESIAN_POINT('',(50.052606,45.373,0.)); #512079=CARTESIAN_POINT('',(50.052606,45.373,0.)); #512080=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #512081=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #512082=CARTESIAN_POINT('',(50.052606,45.373,0.)); #512083=CARTESIAN_POINT('Origin',(49.252,44.572394,0.)); #512084=CARTESIAN_POINT('',(49.252,44.572394,0.)); #512085=CARTESIAN_POINT('',(49.252,44.572394,0.)); #512086=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #512087=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #512088=CARTESIAN_POINT('',(49.252,44.572394,0.)); #512089=CARTESIAN_POINT('Origin',(49.252,39.344663,0.)); #512090=CARTESIAN_POINT('',(49.252,39.344663,0.)); #512091=CARTESIAN_POINT('',(49.252,39.344663,0.)); #512092=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #512093=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #512094=CARTESIAN_POINT('',(49.252,39.344663,0.)); #512095=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #512096=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #512097=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #512098=CARTESIAN_POINT('',(49.214803,39.254859,0.035)); #512099=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.035)); #512100=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #512101=CARTESIAN_POINT('Origin',(48.620141,38.660197,0.)); #512102=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #512103=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #512104=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #512105=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #512106=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #512107=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #512108=CARTESIAN_POINT('',(48.530338,38.623,0.)); #512109=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #512110=CARTESIAN_POINT('',(48.530338,38.623,0.035)); #512111=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.035)); #512112=CARTESIAN_POINT('',(48.530338,38.623,0.)); #512113=CARTESIAN_POINT('Origin',(44.173956,38.623,0.)); #512114=CARTESIAN_POINT('',(44.173956,38.623,0.)); #512115=CARTESIAN_POINT('',(44.173956,38.623,0.)); #512116=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #512117=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #512118=CARTESIAN_POINT('',(44.173956,38.623,0.)); #512119=CARTESIAN_POINT('Origin',(42.127,36.576044,0.)); #512120=CARTESIAN_POINT('',(42.127,36.576044,0.)); #512121=CARTESIAN_POINT('',(42.127,36.576044,0.)); #512122=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #512123=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #512124=CARTESIAN_POINT('',(42.127,36.576044,0.)); #512125=CARTESIAN_POINT('Origin',(42.127,36.243928,0.)); #512126=CARTESIAN_POINT('',(42.127,36.243928,0.)); #512127=CARTESIAN_POINT('',(42.127,36.243928,0.)); #512128=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #512129=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #512130=CARTESIAN_POINT('',(42.127,36.243928,0.)); #512131=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #512132=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #512133=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #512134=CARTESIAN_POINT('',(42.105563,35.745147,0.035)); #512135=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.035)); #512136=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #512137=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #512138=CARTESIAN_POINT('',(41.873,36.243928,0.)); #512139=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #512140=CARTESIAN_POINT('',(41.873,36.243928,0.035)); #512141=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.035)); #512142=CARTESIAN_POINT('',(41.873,36.243928,0.)); #512143=CARTESIAN_POINT('Origin',(41.873,36.62865,0.)); #512144=CARTESIAN_POINT('',(41.873,36.62865,0.)); #512145=CARTESIAN_POINT('',(41.873,36.62865,0.)); #512146=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #512147=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #512148=CARTESIAN_POINT('',(41.873,36.62865,0.)); #512149=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #512150=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #512151=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #512152=CARTESIAN_POINT('',(41.910197,36.718453,0.035)); #512153=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.035)); #512154=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #512155=CARTESIAN_POINT('Origin',(44.031547,38.839803,0.)); #512156=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #512157=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #512158=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #512159=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #512160=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #512161=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #512162=CARTESIAN_POINT('',(44.12135,38.877,0.)); #512163=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #512164=CARTESIAN_POINT('',(44.12135,38.877,0.035)); #512165=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.035)); #512166=CARTESIAN_POINT('',(44.12135,38.877,0.)); #512167=CARTESIAN_POINT('Origin',(48.477731,38.877,0.)); #512168=CARTESIAN_POINT('',(48.477731,38.877,0.)); #512169=CARTESIAN_POINT('',(48.477731,38.877,0.)); #512170=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #512171=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #512172=CARTESIAN_POINT('',(48.477731,38.877,0.)); #512173=CARTESIAN_POINT('Origin',(48.998,39.397269,0.)); #512174=CARTESIAN_POINT('',(48.998,39.397269,0.)); #512175=CARTESIAN_POINT('',(48.998,39.397269,0.)); #512176=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #512177=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #512178=CARTESIAN_POINT('',(48.998,39.397269,0.)); #512179=CARTESIAN_POINT('Origin',(48.998,44.625,0.)); #512180=CARTESIAN_POINT('',(48.998,44.625,0.)); #512181=CARTESIAN_POINT('',(48.998,44.625,0.)); #512182=CARTESIAN_POINT('',(48.998,44.625,0.035)); #512183=CARTESIAN_POINT('',(48.998,44.625,0.035)); #512184=CARTESIAN_POINT('',(48.998,44.625,0.)); #512185=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #512186=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #512187=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #512188=CARTESIAN_POINT('',(49.035197,44.714803,0.035)); #512189=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.035)); #512190=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #512191=CARTESIAN_POINT('Origin',(49.910197,45.589803,0.)); #512192=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #512193=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #512194=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #512195=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #512196=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #512197=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #512198=CARTESIAN_POINT('',(50.,45.627,0.)); #512199=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #512200=CARTESIAN_POINT('',(50.,45.627,0.035)); #512201=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.035)); #512202=CARTESIAN_POINT('',(50.,45.627,0.)); #512203=CARTESIAN_POINT('Origin',(52.447394,45.627,0.)); #512204=CARTESIAN_POINT('',(52.447394,45.627,0.)); #512205=CARTESIAN_POINT('',(52.447394,45.627,0.)); #512206=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #512207=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #512208=CARTESIAN_POINT('',(52.447394,45.627,0.)); #512209=CARTESIAN_POINT('Origin',(52.873,46.052606,0.)); #512210=CARTESIAN_POINT('',(52.873,46.052606,0.)); #512211=CARTESIAN_POINT('',(52.873,46.052606,0.)); #512212=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #512213=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #512214=CARTESIAN_POINT('',(52.873,46.052606,0.)); #512215=CARTESIAN_POINT('Origin',(52.873,50.197394,0.)); #512216=CARTESIAN_POINT('',(52.873,50.197394,0.)); #512217=CARTESIAN_POINT('',(52.873,50.197394,0.)); #512218=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #512219=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #512220=CARTESIAN_POINT('',(52.873,50.197394,0.)); #512221=CARTESIAN_POINT('Origin',(52.697394,50.373,0.)); #512222=CARTESIAN_POINT('',(52.697394,50.373,0.)); #512223=CARTESIAN_POINT('',(52.697394,50.373,0.)); #512224=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #512225=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #512226=CARTESIAN_POINT('',(52.697394,50.373,0.)); #512227=CARTESIAN_POINT('Origin',(52.1811,50.373,0.)); #512228=CARTESIAN_POINT('',(52.1811,50.373,0.)); #512229=CARTESIAN_POINT('',(52.1811,50.373,0.)); #512230=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #512231=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #512232=CARTESIAN_POINT('',(52.1811,50.373,0.)); #512233=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.)); #512234=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #512235=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #512236=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #512237=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #512238=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #512239=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.)); #512240=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #512241=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #512242=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #512243=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #512244=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #512245=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.)); #512246=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #512247=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #512248=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #512249=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #512250=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #512251=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.)); #512252=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #512253=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #512254=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.035)); #512255=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.)); #512256=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #512257=CARTESIAN_POINT('',(41.86,31.,0.)); #512258=CARTESIAN_POINT('Origin',(42.,31.,0.)); #512259=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #512260=CARTESIAN_POINT('',(41.86,31.,-200.)); #512261=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #512262=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.)); #512263=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #512264=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #512265=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #512266=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #512267=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #512268=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #512269=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #512270=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #512271=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.)); #512272=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #512273=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #512274=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #512275=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #512276=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #512277=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.)); #512278=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #512279=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #512280=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #512281=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #512282=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #512283=CARTESIAN_POINT('Origin',(36.123,20.7125,0.)); #512284=CARTESIAN_POINT('',(36.123,20.7125,0.)); #512285=CARTESIAN_POINT('',(36.123,20.7125,0.)); #512286=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #512287=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #512288=CARTESIAN_POINT('',(36.123,20.7125,0.)); #512289=CARTESIAN_POINT('Origin',(36.123,21.848313,0.)); #512290=CARTESIAN_POINT('',(36.123,21.848313,0.)); #512291=CARTESIAN_POINT('',(36.123,21.848313,0.)); #512292=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #512293=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #512294=CARTESIAN_POINT('',(36.123,21.848313,0.)); #512295=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #512296=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #512297=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #512298=CARTESIAN_POINT('',(36.160197,21.938116,0.035)); #512299=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.035)); #512300=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #512301=CARTESIAN_POINT('Origin',(38.748,24.525919,0.)); #512302=CARTESIAN_POINT('',(38.748,24.525919,0.)); #512303=CARTESIAN_POINT('',(38.748,24.525919,0.)); #512304=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #512305=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #512306=CARTESIAN_POINT('',(38.748,24.525919,0.)); #512307=CARTESIAN_POINT('Origin',(38.748,26.155338,0.)); #512308=CARTESIAN_POINT('',(38.748,26.155338,0.)); #512309=CARTESIAN_POINT('',(38.748,26.155338,0.)); #512310=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #512311=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #512312=CARTESIAN_POINT('',(38.748,26.155338,0.)); #512313=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #512314=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #512315=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #512316=CARTESIAN_POINT('',(38.785197,26.245141,0.035)); #512317=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.035)); #512318=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #512319=CARTESIAN_POINT('Origin',(41.873,29.332944,0.)); #512320=CARTESIAN_POINT('',(41.873,29.332944,0.)); #512321=CARTESIAN_POINT('',(41.873,29.332944,0.)); #512322=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #512323=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #512324=CARTESIAN_POINT('',(41.873,29.332944,0.)); #512325=CARTESIAN_POINT('Origin',(41.873,30.756072,0.)); #512326=CARTESIAN_POINT('',(41.873,30.756072,0.)); #512327=CARTESIAN_POINT('',(41.873,30.756072,0.)); #512328=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #512329=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #512330=CARTESIAN_POINT('',(41.873,30.756072,0.)); #512331=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #512332=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #512333=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #512334=CARTESIAN_POINT('',(41.894438,31.254853,0.035)); #512335=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.035)); #512336=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #512337=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #512338=CARTESIAN_POINT('',(42.127,30.756072,0.)); #512339=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #512340=CARTESIAN_POINT('',(42.127,30.756072,0.035)); #512341=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.035)); #512342=CARTESIAN_POINT('',(42.127,30.756072,0.)); #512343=CARTESIAN_POINT('Origin',(42.127,29.280338,0.)); #512344=CARTESIAN_POINT('',(42.127,29.280338,0.)); #512345=CARTESIAN_POINT('',(42.127,29.280338,0.)); #512346=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #512347=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #512348=CARTESIAN_POINT('',(42.127,29.280338,0.)); #512349=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #512350=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #512351=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #512352=CARTESIAN_POINT('',(42.089803,29.190534,0.035)); #512353=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.035)); #512354=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #512355=CARTESIAN_POINT('Origin',(39.002,26.102731,0.)); #512356=CARTESIAN_POINT('',(39.002,26.102731,0.)); #512357=CARTESIAN_POINT('',(39.002,26.102731,0.)); #512358=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #512359=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #512360=CARTESIAN_POINT('',(39.002,26.102731,0.)); #512361=CARTESIAN_POINT('Origin',(39.002,24.473313,0.)); #512362=CARTESIAN_POINT('',(39.002,24.473313,0.)); #512363=CARTESIAN_POINT('',(39.002,24.473313,0.)); #512364=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #512365=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #512366=CARTESIAN_POINT('',(39.002,24.473313,0.)); #512367=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #512368=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #512369=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #512370=CARTESIAN_POINT('',(38.964803,24.383509,0.035)); #512371=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.035)); #512372=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #512373=CARTESIAN_POINT('Origin',(36.377,21.795706,0.)); #512374=CARTESIAN_POINT('',(36.377,21.795706,0.)); #512375=CARTESIAN_POINT('',(36.377,21.795706,0.)); #512376=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #512377=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #512378=CARTESIAN_POINT('',(36.377,21.795706,0.)); #512379=CARTESIAN_POINT('Origin',(36.377,20.7125,0.)); #512380=CARTESIAN_POINT('',(36.377,20.7125,0.)); #512381=CARTESIAN_POINT('',(36.377,20.7125,0.)); #512382=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #512383=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #512384=CARTESIAN_POINT('',(36.377,20.7125,0.)); #512385=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.)); #512386=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #512387=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #512388=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.035)); #512389=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.)); #512390=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #512391=CARTESIAN_POINT('',(34.622,60.25,0.)); #512392=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #512393=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #512394=CARTESIAN_POINT('',(34.622,60.25,-200.)); #512395=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #512396=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.)); #512397=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #512398=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #512399=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #512400=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #512401=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #512402=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #512403=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #512404=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #512405=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.)); #512406=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #512407=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #512408=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #512409=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #512410=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #512411=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.)); #512412=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #512413=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #512414=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #512415=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #512416=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #512417=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.)); #512418=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #512419=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #512420=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #512421=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #512422=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #512423=CARTESIAN_POINT('',(38.622,60.25,0.)); #512424=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #512425=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #512426=CARTESIAN_POINT('',(38.622,60.25,-200.)); #512427=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #512428=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.)); #512429=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #512430=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #512431=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #512432=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #512433=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #512434=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #512435=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #512436=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #512437=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.)); #512438=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #512439=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #512440=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #512441=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #512442=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #512443=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.)); #512444=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #512445=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #512446=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #512447=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #512448=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #512449=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.)); #512450=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #512451=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #512452=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #512453=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #512454=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #512455=CARTESIAN_POINT('',(33.9225,12.25,0.)); #512456=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #512457=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #512458=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #512459=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #512460=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #512461=CARTESIAN_POINT('',(34.084144,11.975,0.)); #512462=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #512463=CARTESIAN_POINT('',(34.084144,11.975,0.)); #512464=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #512465=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #512466=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #512467=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #512468=CARTESIAN_POINT('',(34.084144,11.975,0.)); #512469=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #512470=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #512471=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #512472=CARTESIAN_POINT('',(33.800213,12.332681,0.035)); #512473=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.035)); #512474=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #512475=CARTESIAN_POINT('Origin',(33.660197,12.472697,0.)); #512476=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #512477=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #512478=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #512479=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #512480=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #512481=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #512482=CARTESIAN_POINT('',(33.623,12.5625,0.)); #512483=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #512484=CARTESIAN_POINT('',(33.623,12.5625,0.035)); #512485=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.035)); #512486=CARTESIAN_POINT('',(33.623,12.5625,0.)); #512487=CARTESIAN_POINT('Origin',(33.623,13.2875,0.)); #512488=CARTESIAN_POINT('',(33.623,13.2875,0.)); #512489=CARTESIAN_POINT('',(33.623,13.2875,0.)); #512490=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #512491=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #512492=CARTESIAN_POINT('',(33.623,13.2875,0.)); #512493=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.)); #512494=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #512495=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #512496=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #512497=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #512498=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #512499=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.)); #512500=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #512501=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #512502=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #512503=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #512504=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #512505=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.)); #512506=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #512507=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #512508=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #512509=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #512510=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #512511=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.)); #512512=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #512513=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #512514=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #512515=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #512516=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #512517=CARTESIAN_POINT('Origin',(33.877,13.2875,0.)); #512518=CARTESIAN_POINT('',(33.877,13.2875,0.)); #512519=CARTESIAN_POINT('',(33.877,13.2875,0.)); #512520=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #512521=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #512522=CARTESIAN_POINT('',(33.877,13.2875,0.)); #512523=CARTESIAN_POINT('Origin',(33.877,12.615106,0.)); #512524=CARTESIAN_POINT('',(33.877,12.615106,0.)); #512525=CARTESIAN_POINT('',(33.877,12.615106,0.)); #512526=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #512527=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #512528=CARTESIAN_POINT('',(33.877,12.615106,0.)); #512529=CARTESIAN_POINT('Origin',(33.979819,12.512288,0.)); #512530=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #512531=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #512532=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #512533=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #512534=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #512535=CARTESIAN_POINT('Origin',(33.998103,12.518228,0.)); #512536=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #512537=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #512538=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #512539=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #512540=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #512541=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #512542=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #512543=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #512544=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.035)); #512545=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.)); #512546=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #512547=CARTESIAN_POINT('',(31.035,25.25,0.)); #512548=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #512549=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #512550=CARTESIAN_POINT('',(31.035,25.25,-200.)); #512551=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #512552=CARTESIAN_POINT('Origin',(31.536844,24.5,0.)); #512553=CARTESIAN_POINT('',(31.536844,24.5,0.)); #512554=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #512555=CARTESIAN_POINT('',(31.536844,24.5,0.)); #512556=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #512557=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #512558=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #512559=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #512560=CARTESIAN_POINT('',(31.536844,24.5,0.)); #512561=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #512562=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #512563=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #512564=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #512565=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #512566=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #512567=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #512568=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #512569=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #512570=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #512571=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #512572=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #512573=CARTESIAN_POINT('',(33.86,23.125,0.)); #512574=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #512575=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #512576=CARTESIAN_POINT('',(33.86,23.125,-200.)); #512577=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #512578=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #512579=CARTESIAN_POINT('',(33.535,25.25,0.)); #512580=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #512581=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #512582=CARTESIAN_POINT('',(33.535,25.25,-200.)); #512583=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #512584=CARTESIAN_POINT('Origin',(34.021644,22.85,0.)); #512585=CARTESIAN_POINT('',(34.021644,22.85,0.)); #512586=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #512587=CARTESIAN_POINT('',(34.021644,22.85,0.)); #512588=CARTESIAN_POINT('',(34.064397,22.856772,0.035)); #512589=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #512590=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #512591=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #512592=CARTESIAN_POINT('',(34.021644,22.85,0.)); #512593=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #512594=CARTESIAN_POINT('',(33.873,23.368928,0.)); #512595=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #512596=CARTESIAN_POINT('',(33.873,23.368928,0.035)); #512597=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.035)); #512598=CARTESIAN_POINT('',(33.873,23.368928,0.)); #512599=CARTESIAN_POINT('Origin',(33.873,24.510569,0.)); #512600=CARTESIAN_POINT('',(33.873,24.510569,0.)); #512601=CARTESIAN_POINT('',(33.873,24.510569,0.)); #512602=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #512603=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #512604=CARTESIAN_POINT('',(33.873,24.510569,0.)); #512605=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #512606=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #512607=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #512608=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #512609=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.035)); #512610=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #512611=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #512612=CARTESIAN_POINT('',(34.127,24.510569,0.)); #512613=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #512614=CARTESIAN_POINT('',(34.127,24.510569,0.035)); #512615=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.035)); #512616=CARTESIAN_POINT('',(34.127,24.510569,0.)); #512617=CARTESIAN_POINT('Origin',(34.127,23.368928,0.)); #512618=CARTESIAN_POINT('',(34.127,23.368928,0.)); #512619=CARTESIAN_POINT('',(34.127,23.368928,0.)); #512620=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #512621=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #512622=CARTESIAN_POINT('',(34.127,23.368928,0.)); #512623=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #512624=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #512625=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.035)); #512626=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.035)); #512627=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.)); #512628=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #512629=CARTESIAN_POINT('',(36.035,25.25,0.)); #512630=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #512631=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #512632=CARTESIAN_POINT('',(36.035,25.25,-200.)); #512633=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #512634=CARTESIAN_POINT('Origin',(36.536844,24.5,0.)); #512635=CARTESIAN_POINT('',(36.536844,24.5,0.)); #512636=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #512637=CARTESIAN_POINT('',(36.536844,24.5,0.)); #512638=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #512639=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #512640=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #512641=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #512642=CARTESIAN_POINT('',(36.536844,24.5,0.)); #512643=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #512644=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #512645=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #512646=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #512647=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #512648=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #512649=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #512650=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #512651=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #512652=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #512653=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #512654=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #512655=CARTESIAN_POINT('',(29.625,45.,0.)); #512656=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #512657=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #512658=CARTESIAN_POINT('',(29.625,45.,-200.)); #512659=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #512660=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #512661=CARTESIAN_POINT('',(31.09,44.1,0.)); #512662=CARTESIAN_POINT('',(31.09,45.9,0.)); #512663=CARTESIAN_POINT('',(31.09,44.1,0.)); #512664=CARTESIAN_POINT('',(31.09,45.9,0.035)); #512665=CARTESIAN_POINT('',(31.09,45.9,0.)); #512666=CARTESIAN_POINT('',(31.09,44.1,0.035)); #512667=CARTESIAN_POINT('',(31.09,44.1,0.035)); #512668=CARTESIAN_POINT('',(31.09,44.1,0.)); #512669=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #512670=CARTESIAN_POINT('',(29.29,44.1,0.)); #512671=CARTESIAN_POINT('',(29.29,44.1,0.)); #512672=CARTESIAN_POINT('',(29.29,44.1,0.035)); #512673=CARTESIAN_POINT('',(29.29,44.1,0.035)); #512674=CARTESIAN_POINT('',(29.29,44.1,0.)); #512675=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #512676=CARTESIAN_POINT('',(29.29,45.9,0.)); #512677=CARTESIAN_POINT('',(29.29,45.9,0.)); #512678=CARTESIAN_POINT('',(29.29,45.9,0.035)); #512679=CARTESIAN_POINT('',(29.29,45.9,0.035)); #512680=CARTESIAN_POINT('',(29.29,45.9,0.)); #512681=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #512682=CARTESIAN_POINT('',(31.09,45.9,0.)); #512683=CARTESIAN_POINT('',(31.09,45.9,0.035)); #512684=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #512685=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #512686=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #512687=CARTESIAN_POINT('',(25.86,36.,0.)); #512688=CARTESIAN_POINT('Origin',(26.,36.,0.)); #512689=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #512690=CARTESIAN_POINT('',(25.86,36.,-200.)); #512691=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #512692=CARTESIAN_POINT('Origin',(14.1811,50.627,0.)); #512693=CARTESIAN_POINT('',(14.1811,50.627,0.)); #512694=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #512695=CARTESIAN_POINT('',(14.1811,50.627,0.)); #512696=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #512697=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #512698=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #512699=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #512700=CARTESIAN_POINT('',(14.1811,50.627,0.)); #512701=CARTESIAN_POINT('Origin',(15.8189,50.627,0.)); #512702=CARTESIAN_POINT('',(15.8189,50.627,0.)); #512703=CARTESIAN_POINT('',(15.8189,50.627,0.)); #512704=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #512705=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #512706=CARTESIAN_POINT('',(15.8189,50.627,0.)); #512707=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.)); #512708=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #512709=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #512710=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #512711=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #512712=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #512713=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.)); #512714=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #512715=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #512716=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #512717=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #512718=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #512719=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.)); #512720=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #512721=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #512722=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #512723=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #512724=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #512725=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.)); #512726=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #512727=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #512728=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #512729=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #512730=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #512731=CARTESIAN_POINT('Origin',(15.8189,50.373,0.)); #512732=CARTESIAN_POINT('',(15.8189,50.373,0.)); #512733=CARTESIAN_POINT('',(15.8189,50.373,0.)); #512734=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #512735=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #512736=CARTESIAN_POINT('',(15.8189,50.373,0.)); #512737=CARTESIAN_POINT('Origin',(15.302606,50.373,0.)); #512738=CARTESIAN_POINT('',(15.302606,50.373,0.)); #512739=CARTESIAN_POINT('',(15.302606,50.373,0.)); #512740=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #512741=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #512742=CARTESIAN_POINT('',(15.302606,50.373,0.)); #512743=CARTESIAN_POINT('Origin',(15.127,50.197394,0.)); #512744=CARTESIAN_POINT('',(15.127,50.197394,0.)); #512745=CARTESIAN_POINT('',(15.127,50.197394,0.)); #512746=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #512747=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #512748=CARTESIAN_POINT('',(15.127,50.197394,0.)); #512749=CARTESIAN_POINT('Origin',(15.127,46.052606,0.)); #512750=CARTESIAN_POINT('',(15.127,46.052606,0.)); #512751=CARTESIAN_POINT('',(15.127,46.052606,0.)); #512752=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #512753=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #512754=CARTESIAN_POINT('',(15.127,46.052606,0.)); #512755=CARTESIAN_POINT('Origin',(15.552606,45.627,0.)); #512756=CARTESIAN_POINT('',(15.552606,45.627,0.)); #512757=CARTESIAN_POINT('',(15.552606,45.627,0.)); #512758=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #512759=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #512760=CARTESIAN_POINT('',(15.552606,45.627,0.)); #512761=CARTESIAN_POINT('Origin',(18.,45.627,0.)); #512762=CARTESIAN_POINT('',(18.,45.627,0.)); #512763=CARTESIAN_POINT('',(18.,45.627,0.)); #512764=CARTESIAN_POINT('',(18.,45.627,0.035)); #512765=CARTESIAN_POINT('',(18.,45.627,0.035)); #512766=CARTESIAN_POINT('',(18.,45.627,0.)); #512767=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #512768=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #512769=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #512770=CARTESIAN_POINT('',(18.089803,45.589803,0.035)); #512771=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.035)); #512772=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #512773=CARTESIAN_POINT('Origin',(18.964803,44.714803,0.)); #512774=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #512775=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #512776=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #512777=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #512778=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #512779=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #512780=CARTESIAN_POINT('',(19.002,44.625,0.)); #512781=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #512782=CARTESIAN_POINT('',(19.002,44.625,0.035)); #512783=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.035)); #512784=CARTESIAN_POINT('',(19.002,44.625,0.)); #512785=CARTESIAN_POINT('Origin',(19.002,39.397269,0.)); #512786=CARTESIAN_POINT('',(19.002,39.397269,0.)); #512787=CARTESIAN_POINT('',(19.002,39.397269,0.)); #512788=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #512789=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #512790=CARTESIAN_POINT('',(19.002,39.397269,0.)); #512791=CARTESIAN_POINT('Origin',(19.522269,38.877,0.)); #512792=CARTESIAN_POINT('',(19.522269,38.877,0.)); #512793=CARTESIAN_POINT('',(19.522269,38.877,0.)); #512794=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #512795=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #512796=CARTESIAN_POINT('',(19.522269,38.877,0.)); #512797=CARTESIAN_POINT('Origin',(23.87865,38.877,0.)); #512798=CARTESIAN_POINT('',(23.87865,38.877,0.)); #512799=CARTESIAN_POINT('',(23.87865,38.877,0.)); #512800=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #512801=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #512802=CARTESIAN_POINT('',(23.87865,38.877,0.)); #512803=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #512804=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #512805=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #512806=CARTESIAN_POINT('',(23.968453,38.839803,0.035)); #512807=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.035)); #512808=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #512809=CARTESIAN_POINT('Origin',(26.089803,36.718453,0.)); #512810=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #512811=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #512812=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #512813=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #512814=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #512815=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #512816=CARTESIAN_POINT('',(26.127,36.62865,0.)); #512817=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #512818=CARTESIAN_POINT('',(26.127,36.62865,0.035)); #512819=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.035)); #512820=CARTESIAN_POINT('',(26.127,36.62865,0.)); #512821=CARTESIAN_POINT('Origin',(26.127,36.243928,0.)); #512822=CARTESIAN_POINT('',(26.127,36.243928,0.)); #512823=CARTESIAN_POINT('',(26.127,36.243928,0.)); #512824=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #512825=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #512826=CARTESIAN_POINT('',(26.127,36.243928,0.)); #512827=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #512828=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #512829=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #512830=CARTESIAN_POINT('',(26.105563,35.745147,0.035)); #512831=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.035)); #512832=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #512833=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #512834=CARTESIAN_POINT('',(25.873,36.243928,0.)); #512835=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #512836=CARTESIAN_POINT('',(25.873,36.243928,0.035)); #512837=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.035)); #512838=CARTESIAN_POINT('',(25.873,36.243928,0.)); #512839=CARTESIAN_POINT('Origin',(25.873,36.576044,0.)); #512840=CARTESIAN_POINT('',(25.873,36.576044,0.)); #512841=CARTESIAN_POINT('',(25.873,36.576044,0.)); #512842=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #512843=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #512844=CARTESIAN_POINT('',(25.873,36.576044,0.)); #512845=CARTESIAN_POINT('Origin',(23.826044,38.623,0.)); #512846=CARTESIAN_POINT('',(23.826044,38.623,0.)); #512847=CARTESIAN_POINT('',(23.826044,38.623,0.)); #512848=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #512849=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #512850=CARTESIAN_POINT('',(23.826044,38.623,0.)); #512851=CARTESIAN_POINT('Origin',(19.469663,38.623,0.)); #512852=CARTESIAN_POINT('',(19.469663,38.623,0.)); #512853=CARTESIAN_POINT('',(19.469663,38.623,0.)); #512854=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #512855=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #512856=CARTESIAN_POINT('',(19.469663,38.623,0.)); #512857=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #512858=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #512859=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #512860=CARTESIAN_POINT('',(19.379859,38.660197,0.035)); #512861=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.035)); #512862=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #512863=CARTESIAN_POINT('Origin',(18.785197,39.254859,0.)); #512864=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #512865=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #512866=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #512867=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #512868=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #512869=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #512870=CARTESIAN_POINT('',(18.748,39.344663,0.)); #512871=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #512872=CARTESIAN_POINT('',(18.748,39.344663,0.035)); #512873=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.035)); #512874=CARTESIAN_POINT('',(18.748,39.344663,0.)); #512875=CARTESIAN_POINT('Origin',(18.748,44.572394,0.)); #512876=CARTESIAN_POINT('',(18.748,44.572394,0.)); #512877=CARTESIAN_POINT('',(18.748,44.572394,0.)); #512878=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #512879=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #512880=CARTESIAN_POINT('',(18.748,44.572394,0.)); #512881=CARTESIAN_POINT('Origin',(17.947394,45.373,0.)); #512882=CARTESIAN_POINT('',(17.947394,45.373,0.)); #512883=CARTESIAN_POINT('',(17.947394,45.373,0.)); #512884=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #512885=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #512886=CARTESIAN_POINT('',(17.947394,45.373,0.)); #512887=CARTESIAN_POINT('Origin',(15.5,45.373,0.)); #512888=CARTESIAN_POINT('',(15.5,45.373,0.)); #512889=CARTESIAN_POINT('',(15.5,45.373,0.)); #512890=CARTESIAN_POINT('',(15.5,45.373,0.035)); #512891=CARTESIAN_POINT('',(15.5,45.373,0.035)); #512892=CARTESIAN_POINT('',(15.5,45.373,0.)); #512893=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #512894=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #512895=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #512896=CARTESIAN_POINT('',(15.410197,45.410197,0.035)); #512897=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.035)); #512898=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #512899=CARTESIAN_POINT('Origin',(14.910197,45.910197,0.)); #512900=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #512901=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #512902=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #512903=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #512904=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #512905=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #512906=CARTESIAN_POINT('',(14.873,46.,0.)); #512907=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #512908=CARTESIAN_POINT('',(14.873,46.,0.035)); #512909=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.035)); #512910=CARTESIAN_POINT('',(14.873,46.,0.)); #512911=CARTESIAN_POINT('Origin',(14.873,50.197394,0.)); #512912=CARTESIAN_POINT('',(14.873,50.197394,0.)); #512913=CARTESIAN_POINT('',(14.873,50.197394,0.)); #512914=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #512915=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #512916=CARTESIAN_POINT('',(14.873,50.197394,0.)); #512917=CARTESIAN_POINT('Origin',(14.697394,50.373,0.)); #512918=CARTESIAN_POINT('',(14.697394,50.373,0.)); #512919=CARTESIAN_POINT('',(14.697394,50.373,0.)); #512920=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #512921=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #512922=CARTESIAN_POINT('',(14.697394,50.373,0.)); #512923=CARTESIAN_POINT('Origin',(14.1811,50.373,0.)); #512924=CARTESIAN_POINT('',(14.1811,50.373,0.)); #512925=CARTESIAN_POINT('',(14.1811,50.373,0.)); #512926=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #512927=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #512928=CARTESIAN_POINT('',(14.1811,50.373,0.)); #512929=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.)); #512930=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #512931=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #512932=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #512933=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #512934=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #512935=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.)); #512936=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #512937=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #512938=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #512939=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #512940=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #512941=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.)); #512942=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #512943=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #512944=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #512945=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #512946=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #512947=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.)); #512948=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #512949=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #512950=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.035)); #512951=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.)); #512952=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #512953=CARTESIAN_POINT('',(25.86,31.,0.)); #512954=CARTESIAN_POINT('Origin',(26.,31.,0.)); #512955=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #512956=CARTESIAN_POINT('',(25.86,31.,-200.)); #512957=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #512958=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.)); #512959=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #512960=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #512961=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #512962=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #512963=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #512964=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #512965=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #512966=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #512967=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.)); #512968=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #512969=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #512970=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #512971=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #512972=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #512973=CARTESIAN_POINT('Origin',(30.2875,19.623,0.)); #512974=CARTESIAN_POINT('',(30.2875,19.623,0.)); #512975=CARTESIAN_POINT('',(30.2875,19.623,0.)); #512976=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #512977=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #512978=CARTESIAN_POINT('',(30.2875,19.623,0.)); #512979=CARTESIAN_POINT('Origin',(29.75,19.623,0.)); #512980=CARTESIAN_POINT('',(29.75,19.623,0.)); #512981=CARTESIAN_POINT('',(29.75,19.623,0.)); #512982=CARTESIAN_POINT('',(29.75,19.623,0.035)); #512983=CARTESIAN_POINT('',(29.75,19.623,0.035)); #512984=CARTESIAN_POINT('',(29.75,19.623,0.)); #512985=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #512986=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #512987=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #512988=CARTESIAN_POINT('',(29.660197,19.660197,0.035)); #512989=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.035)); #512990=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #512991=CARTESIAN_POINT('Origin',(29.035197,20.285197,0.)); #512992=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #512993=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #512994=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #512995=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #512996=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #512997=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #512998=CARTESIAN_POINT('',(28.998,20.375,0.)); #512999=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #513000=CARTESIAN_POINT('',(28.998,20.375,0.035)); #513001=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.035)); #513002=CARTESIAN_POINT('',(28.998,20.375,0.)); #513003=CARTESIAN_POINT('Origin',(28.998,26.102731,0.)); #513004=CARTESIAN_POINT('',(28.998,26.102731,0.)); #513005=CARTESIAN_POINT('',(28.998,26.102731,0.)); #513006=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #513007=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #513008=CARTESIAN_POINT('',(28.998,26.102731,0.)); #513009=CARTESIAN_POINT('Origin',(25.910197,29.190534,0.)); #513010=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #513011=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #513012=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #513013=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #513014=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #513015=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #513016=CARTESIAN_POINT('',(25.873,29.280338,0.)); #513017=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #513018=CARTESIAN_POINT('',(25.873,29.280338,0.035)); #513019=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.035)); #513020=CARTESIAN_POINT('',(25.873,29.280338,0.)); #513021=CARTESIAN_POINT('Origin',(25.873,30.756072,0.)); #513022=CARTESIAN_POINT('',(25.873,30.756072,0.)); #513023=CARTESIAN_POINT('',(25.873,30.756072,0.)); #513024=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #513025=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #513026=CARTESIAN_POINT('',(25.873,30.756072,0.)); #513027=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #513028=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #513029=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #513030=CARTESIAN_POINT('',(25.894438,31.254853,0.035)); #513031=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.035)); #513032=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #513033=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #513034=CARTESIAN_POINT('',(26.127,30.756072,0.)); #513035=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #513036=CARTESIAN_POINT('',(26.127,30.756072,0.035)); #513037=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.035)); #513038=CARTESIAN_POINT('',(26.127,30.756072,0.)); #513039=CARTESIAN_POINT('Origin',(26.127,29.332944,0.)); #513040=CARTESIAN_POINT('',(26.127,29.332944,0.)); #513041=CARTESIAN_POINT('',(26.127,29.332944,0.)); #513042=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #513043=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #513044=CARTESIAN_POINT('',(26.127,29.332944,0.)); #513045=CARTESIAN_POINT('Origin',(29.214803,26.245141,0.)); #513046=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #513047=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #513048=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #513049=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #513050=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #513051=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #513052=CARTESIAN_POINT('',(29.252,26.155338,0.)); #513053=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #513054=CARTESIAN_POINT('',(29.252,26.155338,0.035)); #513055=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.035)); #513056=CARTESIAN_POINT('',(29.252,26.155338,0.)); #513057=CARTESIAN_POINT('Origin',(29.252,20.427606,0.)); #513058=CARTESIAN_POINT('',(29.252,20.427606,0.)); #513059=CARTESIAN_POINT('',(29.252,20.427606,0.)); #513060=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #513061=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #513062=CARTESIAN_POINT('',(29.252,20.427606,0.)); #513063=CARTESIAN_POINT('Origin',(29.802606,19.877,0.)); #513064=CARTESIAN_POINT('',(29.802606,19.877,0.)); #513065=CARTESIAN_POINT('',(29.802606,19.877,0.)); #513066=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #513067=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #513068=CARTESIAN_POINT('',(29.802606,19.877,0.)); #513069=CARTESIAN_POINT('Origin',(30.2875,19.877,0.)); #513070=CARTESIAN_POINT('',(30.2875,19.877,0.)); #513071=CARTESIAN_POINT('',(30.2875,19.877,0.)); #513072=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #513073=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #513074=CARTESIAN_POINT('',(30.2875,19.877,0.)); #513075=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.)); #513076=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #513077=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #513078=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #513079=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #513080=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #513081=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.)); #513082=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #513083=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #513084=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.035)); #513085=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.)); #513086=CARTESIAN_POINT('Origin',(31.4311,52.5555,0.)); #513087=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #513088=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #513089=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #513090=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #513091=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #513092=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #513093=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #513094=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #513095=CARTESIAN_POINT('Origin',(30.5929,52.5555,0.)); #513096=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #513097=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #513098=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #513099=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #513100=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #513101=CARTESIAN_POINT('Origin',(30.5929,53.4445,0.)); #513102=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #513103=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #513104=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #513105=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #513106=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #513107=CARTESIAN_POINT('Origin',(30.885,53.4445,0.)); #513108=CARTESIAN_POINT('',(30.885,53.4445,0.)); #513109=CARTESIAN_POINT('',(30.885,53.4445,0.)); #513110=CARTESIAN_POINT('',(30.885,53.4445,0.035)); #513111=CARTESIAN_POINT('',(30.885,53.4445,0.035)); #513112=CARTESIAN_POINT('',(30.885,53.4445,0.)); #513113=CARTESIAN_POINT('Origin',(30.885,56.5555,0.)); #513114=CARTESIAN_POINT('',(30.885,56.5555,0.)); #513115=CARTESIAN_POINT('',(30.885,56.5555,0.)); #513116=CARTESIAN_POINT('',(30.885,56.5555,0.035)); #513117=CARTESIAN_POINT('',(30.885,56.5555,0.035)); #513118=CARTESIAN_POINT('',(30.885,56.5555,0.)); #513119=CARTESIAN_POINT('Origin',(30.5929,56.5555,0.)); #513120=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #513121=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #513122=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #513123=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #513124=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #513125=CARTESIAN_POINT('Origin',(30.5929,57.4445,0.)); #513126=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #513127=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #513128=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #513129=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #513130=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #513131=CARTESIAN_POINT('Origin',(31.4311,57.4445,0.)); #513132=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #513133=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #513134=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #513135=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #513136=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #513137=CARTESIAN_POINT('Origin',(31.4311,56.5555,0.)); #513138=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #513139=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #513140=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #513141=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #513142=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #513143=CARTESIAN_POINT('Origin',(31.139,56.5555,0.)); #513144=CARTESIAN_POINT('',(31.139,56.5555,0.)); #513145=CARTESIAN_POINT('',(31.139,56.5555,0.)); #513146=CARTESIAN_POINT('',(31.139,56.5555,0.035)); #513147=CARTESIAN_POINT('',(31.139,56.5555,0.035)); #513148=CARTESIAN_POINT('',(31.139,56.5555,0.)); #513149=CARTESIAN_POINT('Origin',(31.139,53.4445,0.)); #513150=CARTESIAN_POINT('',(31.139,53.4445,0.)); #513151=CARTESIAN_POINT('',(31.139,53.4445,0.)); #513152=CARTESIAN_POINT('',(31.139,53.4445,0.035)); #513153=CARTESIAN_POINT('',(31.139,53.4445,0.035)); #513154=CARTESIAN_POINT('',(31.139,53.4445,0.)); #513155=CARTESIAN_POINT('Origin',(31.4311,53.4445,0.)); #513156=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #513157=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #513158=CARTESIAN_POINT('Origin',(31.012,55.,0.035)); #513159=CARTESIAN_POINT('Origin',(31.012,55.,0.)); #513160=CARTESIAN_POINT('Origin',(37.4071,52.5555,0.)); #513161=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #513162=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #513163=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #513164=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #513165=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #513166=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #513167=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #513168=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #513169=CARTESIAN_POINT('Origin',(36.5689,52.5555,0.)); #513170=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #513171=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #513172=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #513173=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #513174=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #513175=CARTESIAN_POINT('Origin',(36.5689,53.4445,0.)); #513176=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #513177=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #513178=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #513179=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #513180=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #513181=CARTESIAN_POINT('Origin',(36.861,53.4445,0.)); #513182=CARTESIAN_POINT('',(36.861,53.4445,0.)); #513183=CARTESIAN_POINT('',(36.861,53.4445,0.)); #513184=CARTESIAN_POINT('',(36.861,53.4445,0.035)); #513185=CARTESIAN_POINT('',(36.861,53.4445,0.035)); #513186=CARTESIAN_POINT('',(36.861,53.4445,0.)); #513187=CARTESIAN_POINT('Origin',(36.861,56.5555,0.)); #513188=CARTESIAN_POINT('',(36.861,56.5555,0.)); #513189=CARTESIAN_POINT('',(36.861,56.5555,0.)); #513190=CARTESIAN_POINT('',(36.861,56.5555,0.035)); #513191=CARTESIAN_POINT('',(36.861,56.5555,0.035)); #513192=CARTESIAN_POINT('',(36.861,56.5555,0.)); #513193=CARTESIAN_POINT('Origin',(36.5689,56.5555,0.)); #513194=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #513195=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #513196=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #513197=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #513198=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #513199=CARTESIAN_POINT('Origin',(36.5689,57.4445,0.)); #513200=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #513201=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #513202=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #513203=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #513204=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #513205=CARTESIAN_POINT('Origin',(37.4071,57.4445,0.)); #513206=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #513207=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #513208=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #513209=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #513210=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #513211=CARTESIAN_POINT('Origin',(37.4071,56.5555,0.)); #513212=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #513213=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #513214=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #513215=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #513216=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #513217=CARTESIAN_POINT('Origin',(37.115,56.5555,0.)); #513218=CARTESIAN_POINT('',(37.115,56.5555,0.)); #513219=CARTESIAN_POINT('',(37.115,56.5555,0.)); #513220=CARTESIAN_POINT('',(37.115,56.5555,0.035)); #513221=CARTESIAN_POINT('',(37.115,56.5555,0.035)); #513222=CARTESIAN_POINT('',(37.115,56.5555,0.)); #513223=CARTESIAN_POINT('Origin',(37.115,53.4445,0.)); #513224=CARTESIAN_POINT('',(37.115,53.4445,0.)); #513225=CARTESIAN_POINT('',(37.115,53.4445,0.)); #513226=CARTESIAN_POINT('',(37.115,53.4445,0.035)); #513227=CARTESIAN_POINT('',(37.115,53.4445,0.035)); #513228=CARTESIAN_POINT('',(37.115,53.4445,0.)); #513229=CARTESIAN_POINT('Origin',(37.4071,53.4445,0.)); #513230=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #513231=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #513232=CARTESIAN_POINT('Origin',(36.988,55.,0.035)); #513233=CARTESIAN_POINT('Origin',(36.988,55.,0.)); #513234=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #513235=CARTESIAN_POINT('',(47.765,58.75,0.)); #513236=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #513237=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #513238=CARTESIAN_POINT('',(47.765,58.75,-200.)); #513239=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #513240=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.)); #513241=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #513242=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #513243=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #513244=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #513245=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #513246=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #513247=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #513248=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #513249=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.)); #513250=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #513251=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #513252=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #513253=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #513254=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #513255=CARTESIAN_POINT('Origin',(43.5555,41.984556,0.)); #513256=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #513257=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #513258=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #513259=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #513260=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #513261=CARTESIAN_POINT('Origin',(42.535197,43.004859,0.)); #513262=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #513263=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #513264=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #513265=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #513266=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #513267=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #513268=CARTESIAN_POINT('',(42.498,43.094663,0.)); #513269=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #513270=CARTESIAN_POINT('',(42.498,43.094663,0.035)); #513271=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.035)); #513272=CARTESIAN_POINT('',(42.498,43.094663,0.)); #513273=CARTESIAN_POINT('Origin',(42.498,57.875,0.)); #513274=CARTESIAN_POINT('',(42.498,57.875,0.)); #513275=CARTESIAN_POINT('',(42.498,57.875,0.)); #513276=CARTESIAN_POINT('',(42.498,57.875,0.035)); #513277=CARTESIAN_POINT('',(42.498,57.875,0.035)); #513278=CARTESIAN_POINT('',(42.498,57.875,0.)); #513279=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #513280=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #513281=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #513282=CARTESIAN_POINT('',(42.535197,57.964803,0.035)); #513283=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.035)); #513284=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #513285=CARTESIAN_POINT('Origin',(43.410197,58.839803,0.)); #513286=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #513287=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #513288=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #513289=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #513290=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #513291=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #513292=CARTESIAN_POINT('',(43.5,58.877,0.)); #513293=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #513294=CARTESIAN_POINT('',(43.5,58.877,0.035)); #513295=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.035)); #513296=CARTESIAN_POINT('',(43.5,58.877,0.)); #513297=CARTESIAN_POINT('Origin',(47.661072,58.877,0.)); #513298=CARTESIAN_POINT('',(47.661072,58.877,0.)); #513299=CARTESIAN_POINT('',(47.661072,58.877,0.)); #513300=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #513301=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #513302=CARTESIAN_POINT('',(47.661072,58.877,0.)); #513303=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #513304=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #513305=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #513306=CARTESIAN_POINT('',(48.159853,58.855563,0.035)); #513307=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.035)); #513308=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #513309=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #513310=CARTESIAN_POINT('',(47.661072,58.623,0.)); #513311=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #513312=CARTESIAN_POINT('',(47.661072,58.623,0.035)); #513313=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.035)); #513314=CARTESIAN_POINT('',(47.661072,58.623,0.)); #513315=CARTESIAN_POINT('Origin',(43.552606,58.623,0.)); #513316=CARTESIAN_POINT('',(43.552606,58.623,0.)); #513317=CARTESIAN_POINT('',(43.552606,58.623,0.)); #513318=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #513319=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #513320=CARTESIAN_POINT('',(43.552606,58.623,0.)); #513321=CARTESIAN_POINT('Origin',(42.752,57.822394,0.)); #513322=CARTESIAN_POINT('',(42.752,57.822394,0.)); #513323=CARTESIAN_POINT('',(42.752,57.822394,0.)); #513324=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #513325=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #513326=CARTESIAN_POINT('',(42.752,57.822394,0.)); #513327=CARTESIAN_POINT('Origin',(42.752,43.147269,0.)); #513328=CARTESIAN_POINT('',(42.752,43.147269,0.)); #513329=CARTESIAN_POINT('',(42.752,43.147269,0.)); #513330=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #513331=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #513332=CARTESIAN_POINT('',(42.752,43.147269,0.)); #513333=CARTESIAN_POINT('Origin',(43.718169,42.1811,0.)); #513334=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #513335=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #513336=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #513337=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #513338=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #513339=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.)); #513340=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #513341=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #513342=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.035)); #513343=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.)); #513344=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #513345=CARTESIAN_POINT('',(37.36,21.25,0.)); #513346=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #513347=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #513348=CARTESIAN_POINT('',(37.36,21.25,-200.)); #513349=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #513350=CARTESIAN_POINT('Origin',(37.521644,20.975,0.)); #513351=CARTESIAN_POINT('',(37.521644,20.975,0.)); #513352=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #513353=CARTESIAN_POINT('',(37.521644,20.975,0.)); #513354=CARTESIAN_POINT('',(37.564397,20.981772,0.035)); #513355=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #513356=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #513357=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #513358=CARTESIAN_POINT('',(37.521644,20.975,0.)); #513359=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #513360=CARTESIAN_POINT('',(37.373,21.493928,0.)); #513361=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #513362=CARTESIAN_POINT('',(37.373,21.493928,0.035)); #513363=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.035)); #513364=CARTESIAN_POINT('',(37.373,21.493928,0.)); #513365=CARTESIAN_POINT('Origin',(37.373,22.567975,0.)); #513366=CARTESIAN_POINT('',(37.373,22.567975,0.)); #513367=CARTESIAN_POINT('',(37.373,22.567975,0.)); #513368=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #513369=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #513370=CARTESIAN_POINT('',(37.373,22.567975,0.)); #513371=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #513372=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #513373=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #513374=CARTESIAN_POINT('',(37.410197,22.657778,0.035)); #513375=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.035)); #513376=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #513377=CARTESIAN_POINT('Origin',(38.592222,23.839803,0.)); #513378=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #513379=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #513380=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #513381=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #513382=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #513383=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #513384=CARTESIAN_POINT('',(38.682025,23.877,0.)); #513385=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #513386=CARTESIAN_POINT('',(38.682025,23.877,0.035)); #513387=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.035)); #513388=CARTESIAN_POINT('',(38.682025,23.877,0.)); #513389=CARTESIAN_POINT('Origin',(44.959394,23.877,0.)); #513390=CARTESIAN_POINT('',(44.959394,23.877,0.)); #513391=CARTESIAN_POINT('',(44.959394,23.877,0.)); #513392=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #513393=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #513394=CARTESIAN_POINT('',(44.959394,23.877,0.)); #513395=CARTESIAN_POINT('Origin',(45.635,24.552606,0.)); #513396=CARTESIAN_POINT('',(45.635,24.552606,0.)); #513397=CARTESIAN_POINT('',(45.635,24.552606,0.)); #513398=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #513399=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #513400=CARTESIAN_POINT('',(45.635,24.552606,0.)); #513401=CARTESIAN_POINT('Origin',(45.635,25.5555,0.)); #513402=CARTESIAN_POINT('',(45.635,25.5555,0.)); #513403=CARTESIAN_POINT('',(45.635,25.5555,0.)); #513404=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #513405=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #513406=CARTESIAN_POINT('',(45.635,25.5555,0.)); #513407=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.)); #513408=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #513409=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #513410=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #513411=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #513412=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #513413=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.)); #513414=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #513415=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #513416=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #513417=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #513418=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #513419=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.)); #513420=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #513421=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #513422=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #513423=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #513424=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #513425=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.)); #513426=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #513427=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #513428=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #513429=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #513430=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #513431=CARTESIAN_POINT('Origin',(45.889,25.5555,0.)); #513432=CARTESIAN_POINT('',(45.889,25.5555,0.)); #513433=CARTESIAN_POINT('',(45.889,25.5555,0.)); #513434=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #513435=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #513436=CARTESIAN_POINT('',(45.889,25.5555,0.)); #513437=CARTESIAN_POINT('Origin',(45.889,24.5,0.)); #513438=CARTESIAN_POINT('',(45.889,24.5,0.)); #513439=CARTESIAN_POINT('',(45.889,24.5,0.)); #513440=CARTESIAN_POINT('',(45.889,24.5,0.035)); #513441=CARTESIAN_POINT('',(45.889,24.5,0.035)); #513442=CARTESIAN_POINT('',(45.889,24.5,0.)); #513443=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #513444=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #513445=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #513446=CARTESIAN_POINT('',(45.851803,24.410197,0.035)); #513447=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.035)); #513448=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #513449=CARTESIAN_POINT('Origin',(45.101803,23.660197,0.)); #513450=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #513451=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #513452=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #513453=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #513454=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #513455=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #513456=CARTESIAN_POINT('',(45.012,23.623,0.)); #513457=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #513458=CARTESIAN_POINT('',(45.012,23.623,0.035)); #513459=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.035)); #513460=CARTESIAN_POINT('',(45.012,23.623,0.)); #513461=CARTESIAN_POINT('Origin',(38.734631,23.623,0.)); #513462=CARTESIAN_POINT('',(38.734631,23.623,0.)); #513463=CARTESIAN_POINT('',(38.734631,23.623,0.)); #513464=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #513465=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #513466=CARTESIAN_POINT('',(38.734631,23.623,0.)); #513467=CARTESIAN_POINT('Origin',(37.627,22.515369,0.)); #513468=CARTESIAN_POINT('',(37.627,22.515369,0.)); #513469=CARTESIAN_POINT('',(37.627,22.515369,0.)); #513470=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #513471=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #513472=CARTESIAN_POINT('',(37.627,22.515369,0.)); #513473=CARTESIAN_POINT('Origin',(37.627,21.493928,0.)); #513474=CARTESIAN_POINT('',(37.627,21.493928,0.)); #513475=CARTESIAN_POINT('',(37.627,21.493928,0.)); #513476=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #513477=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #513478=CARTESIAN_POINT('',(37.627,21.493928,0.)); #513479=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #513480=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #513481=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.035)); #513482=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.035)); #513483=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.)); #513484=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #513485=CARTESIAN_POINT('',(30.86,13.,0.)); #513486=CARTESIAN_POINT('Origin',(31.,13.,0.)); #513487=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #513488=CARTESIAN_POINT('',(30.86,13.,-200.)); #513489=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #513490=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #513491=CARTESIAN_POINT('',(31.021644,12.725,0.)); #513492=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #513493=CARTESIAN_POINT('',(31.021644,12.725,0.)); #513494=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #513495=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #513496=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #513497=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #513498=CARTESIAN_POINT('',(31.021644,12.725,0.)); #513499=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #513500=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #513501=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #513502=CARTESIAN_POINT('',(30.894438,12.745147,0.035)); #513503=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.035)); #513504=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #513505=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #513506=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #513507=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #513508=CARTESIAN_POINT('',(31.082681,13.262288,0.035)); #513509=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.035)); #513510=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #513511=CARTESIAN_POINT('Origin',(31.1125,13.292106,0.)); #513512=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #513513=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #513514=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #513515=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #513516=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #513517=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.)); #513518=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #513519=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #513520=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #513521=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #513522=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #513523=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.)); #513524=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #513525=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #513526=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #513527=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #513528=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #513529=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.)); #513530=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #513531=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #513532=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #513533=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #513534=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #513535=CARTESIAN_POINT('Origin',(31.377,13.2875,0.)); #513536=CARTESIAN_POINT('',(31.377,13.2875,0.)); #513537=CARTESIAN_POINT('',(31.377,13.2875,0.)); #513538=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #513539=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #513540=CARTESIAN_POINT('',(31.377,13.2875,0.)); #513541=CARTESIAN_POINT('Origin',(31.377,13.25,0.)); #513542=CARTESIAN_POINT('',(31.377,13.25,0.)); #513543=CARTESIAN_POINT('',(31.377,13.25,0.)); #513544=CARTESIAN_POINT('',(31.377,13.25,0.035)); #513545=CARTESIAN_POINT('',(31.377,13.25,0.035)); #513546=CARTESIAN_POINT('',(31.377,13.25,0.)); #513547=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #513548=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #513549=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #513550=CARTESIAN_POINT('',(31.339803,13.160197,0.035)); #513551=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.035)); #513552=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #513553=CARTESIAN_POINT('Origin',(31.262288,13.082681,0.)); #513554=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #513555=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #513556=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #513557=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #513558=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #513559=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #513560=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #513561=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.035)); #513562=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.035)); #513563=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.)); #513564=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.)); #513565=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #513566=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #513567=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #513568=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #513569=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #513570=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #513571=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #513572=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #513573=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.)); #513574=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #513575=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #513576=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #513577=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #513578=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #513579=CARTESIAN_POINT('Origin',(30.2875,17.623,0.)); #513580=CARTESIAN_POINT('',(30.2875,17.623,0.)); #513581=CARTESIAN_POINT('',(30.2875,17.623,0.)); #513582=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #513583=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #513584=CARTESIAN_POINT('',(30.2875,17.623,0.)); #513585=CARTESIAN_POINT('Origin',(30.158988,17.623,0.)); #513586=CARTESIAN_POINT('',(30.158988,17.623,0.)); #513587=CARTESIAN_POINT('',(30.158988,17.623,0.)); #513588=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #513589=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #513590=CARTESIAN_POINT('',(30.158988,17.623,0.)); #513591=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #513592=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #513593=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #513594=CARTESIAN_POINT('',(30.069184,17.660197,0.035)); #513595=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.035)); #513596=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #513597=CARTESIAN_POINT('Origin',(27.910197,19.819184,0.)); #513598=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #513599=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #513600=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #513601=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #513602=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #513603=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #513604=CARTESIAN_POINT('',(27.873,19.908988,0.)); #513605=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #513606=CARTESIAN_POINT('',(27.873,19.908988,0.035)); #513607=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.035)); #513608=CARTESIAN_POINT('',(27.873,19.908988,0.)); #513609=CARTESIAN_POINT('Origin',(27.873,24.697394,0.)); #513610=CARTESIAN_POINT('',(27.873,24.697394,0.)); #513611=CARTESIAN_POINT('',(27.873,24.697394,0.)); #513612=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #513613=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #513614=CARTESIAN_POINT('',(27.873,24.697394,0.)); #513615=CARTESIAN_POINT('Origin',(27.447394,25.123,0.)); #513616=CARTESIAN_POINT('',(27.447394,25.123,0.)); #513617=CARTESIAN_POINT('',(27.447394,25.123,0.)); #513618=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #513619=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #513620=CARTESIAN_POINT('',(27.447394,25.123,0.)); #513621=CARTESIAN_POINT('Origin',(23.25,25.123,0.)); #513622=CARTESIAN_POINT('',(23.25,25.123,0.)); #513623=CARTESIAN_POINT('',(23.25,25.123,0.)); #513624=CARTESIAN_POINT('',(23.25,25.123,0.035)); #513625=CARTESIAN_POINT('',(23.25,25.123,0.035)); #513626=CARTESIAN_POINT('',(23.25,25.123,0.)); #513627=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #513628=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #513629=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #513630=CARTESIAN_POINT('',(23.160197,25.160197,0.035)); #513631=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.035)); #513632=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #513633=CARTESIAN_POINT('Origin',(22.910197,25.410197,0.)); #513634=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #513635=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #513636=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #513637=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #513638=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #513639=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #513640=CARTESIAN_POINT('',(22.873,25.5,0.)); #513641=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #513642=CARTESIAN_POINT('',(22.873,25.5,0.035)); #513643=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.035)); #513644=CARTESIAN_POINT('',(22.873,25.5,0.)); #513645=CARTESIAN_POINT('Origin',(22.873,27.447394,0.)); #513646=CARTESIAN_POINT('',(22.873,27.447394,0.)); #513647=CARTESIAN_POINT('',(22.873,27.447394,0.)); #513648=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #513649=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #513650=CARTESIAN_POINT('',(22.873,27.447394,0.)); #513651=CARTESIAN_POINT('Origin',(22.209394,28.111,0.)); #513652=CARTESIAN_POINT('',(22.209394,28.111,0.)); #513653=CARTESIAN_POINT('',(22.209394,28.111,0.)); #513654=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #513655=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #513656=CARTESIAN_POINT('',(22.209394,28.111,0.)); #513657=CARTESIAN_POINT('Origin',(21.4445,28.111,0.)); #513658=CARTESIAN_POINT('',(21.4445,28.111,0.)); #513659=CARTESIAN_POINT('',(21.4445,28.111,0.)); #513660=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #513661=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #513662=CARTESIAN_POINT('',(21.4445,28.111,0.)); #513663=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.)); #513664=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #513665=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #513666=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #513667=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #513668=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #513669=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.)); #513670=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #513671=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #513672=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #513673=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #513674=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #513675=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.)); #513676=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #513677=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #513678=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #513679=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #513680=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #513681=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.)); #513682=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #513683=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #513684=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #513685=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #513686=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #513687=CARTESIAN_POINT('Origin',(21.4445,28.365,0.)); #513688=CARTESIAN_POINT('',(21.4445,28.365,0.)); #513689=CARTESIAN_POINT('',(21.4445,28.365,0.)); #513690=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #513691=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #513692=CARTESIAN_POINT('',(21.4445,28.365,0.)); #513693=CARTESIAN_POINT('Origin',(22.262,28.365,0.)); #513694=CARTESIAN_POINT('',(22.262,28.365,0.)); #513695=CARTESIAN_POINT('',(22.262,28.365,0.)); #513696=CARTESIAN_POINT('',(22.262,28.365,0.035)); #513697=CARTESIAN_POINT('',(22.262,28.365,0.035)); #513698=CARTESIAN_POINT('',(22.262,28.365,0.)); #513699=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #513700=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #513701=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #513702=CARTESIAN_POINT('',(22.351803,28.327803,0.035)); #513703=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.035)); #513704=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #513705=CARTESIAN_POINT('Origin',(23.089803,27.589803,0.)); #513706=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #513707=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #513708=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #513709=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #513710=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #513711=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #513712=CARTESIAN_POINT('',(23.127,27.5,0.)); #513713=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #513714=CARTESIAN_POINT('',(23.127,27.5,0.035)); #513715=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.035)); #513716=CARTESIAN_POINT('',(23.127,27.5,0.)); #513717=CARTESIAN_POINT('Origin',(23.127,25.552606,0.)); #513718=CARTESIAN_POINT('',(23.127,25.552606,0.)); #513719=CARTESIAN_POINT('',(23.127,25.552606,0.)); #513720=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #513721=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #513722=CARTESIAN_POINT('',(23.127,25.552606,0.)); #513723=CARTESIAN_POINT('Origin',(23.302606,25.377,0.)); #513724=CARTESIAN_POINT('',(23.302606,25.377,0.)); #513725=CARTESIAN_POINT('',(23.302606,25.377,0.)); #513726=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #513727=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #513728=CARTESIAN_POINT('',(23.302606,25.377,0.)); #513729=CARTESIAN_POINT('Origin',(27.5,25.377,0.)); #513730=CARTESIAN_POINT('',(27.5,25.377,0.)); #513731=CARTESIAN_POINT('',(27.5,25.377,0.)); #513732=CARTESIAN_POINT('',(27.5,25.377,0.035)); #513733=CARTESIAN_POINT('',(27.5,25.377,0.035)); #513734=CARTESIAN_POINT('',(27.5,25.377,0.)); #513735=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #513736=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #513737=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #513738=CARTESIAN_POINT('',(27.589803,25.339803,0.035)); #513739=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.035)); #513740=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #513741=CARTESIAN_POINT('Origin',(28.089803,24.839803,0.)); #513742=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #513743=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #513744=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #513745=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #513746=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #513747=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #513748=CARTESIAN_POINT('',(28.127,24.75,0.)); #513749=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #513750=CARTESIAN_POINT('',(28.127,24.75,0.035)); #513751=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.035)); #513752=CARTESIAN_POINT('',(28.127,24.75,0.)); #513753=CARTESIAN_POINT('Origin',(28.127,19.961594,0.)); #513754=CARTESIAN_POINT('',(28.127,19.961594,0.)); #513755=CARTESIAN_POINT('',(28.127,19.961594,0.)); #513756=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #513757=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #513758=CARTESIAN_POINT('',(28.127,19.961594,0.)); #513759=CARTESIAN_POINT('Origin',(30.211594,17.877,0.)); #513760=CARTESIAN_POINT('',(30.211594,17.877,0.)); #513761=CARTESIAN_POINT('',(30.211594,17.877,0.)); #513762=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #513763=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #513764=CARTESIAN_POINT('',(30.211594,17.877,0.)); #513765=CARTESIAN_POINT('Origin',(30.2875,17.877,0.)); #513766=CARTESIAN_POINT('',(30.2875,17.877,0.)); #513767=CARTESIAN_POINT('',(30.2875,17.877,0.)); #513768=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #513769=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #513770=CARTESIAN_POINT('',(30.2875,17.877,0.)); #513771=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.)); #513772=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #513773=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #513774=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #513775=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #513776=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #513777=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.)); #513778=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #513779=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #513780=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.035)); #513781=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.)); #513782=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #513783=CARTESIAN_POINT('',(24.86,26.625,0.)); #513784=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #513785=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #513786=CARTESIAN_POINT('',(24.86,26.625,-200.)); #513787=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #513788=CARTESIAN_POINT('Origin',(25.021644,26.35,0.)); #513789=CARTESIAN_POINT('',(25.021644,26.35,0.)); #513790=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #513791=CARTESIAN_POINT('',(25.021644,26.35,0.)); #513792=CARTESIAN_POINT('',(25.064397,26.356772,0.035)); #513793=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #513794=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #513795=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #513796=CARTESIAN_POINT('',(25.021644,26.35,0.)); #513797=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #513798=CARTESIAN_POINT('',(24.873,26.868928,0.)); #513799=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #513800=CARTESIAN_POINT('',(24.873,26.868928,0.035)); #513801=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.035)); #513802=CARTESIAN_POINT('',(24.873,26.868928,0.)); #513803=CARTESIAN_POINT('Origin',(24.873,27.8189,0.)); #513804=CARTESIAN_POINT('',(24.873,27.8189,0.)); #513805=CARTESIAN_POINT('',(24.873,27.8189,0.)); #513806=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #513807=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #513808=CARTESIAN_POINT('',(24.873,27.8189,0.)); #513809=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.)); #513810=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #513811=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #513812=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #513813=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #513814=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #513815=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.)); #513816=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #513817=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #513818=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #513819=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #513820=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #513821=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.)); #513822=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #513823=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #513824=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #513825=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #513826=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #513827=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.)); #513828=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #513829=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #513830=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #513831=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #513832=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #513833=CARTESIAN_POINT('Origin',(25.127,27.8189,0.)); #513834=CARTESIAN_POINT('',(25.127,27.8189,0.)); #513835=CARTESIAN_POINT('',(25.127,27.8189,0.)); #513836=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #513837=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #513838=CARTESIAN_POINT('',(25.127,27.8189,0.)); #513839=CARTESIAN_POINT('Origin',(25.127,26.868928,0.)); #513840=CARTESIAN_POINT('',(25.127,26.868928,0.)); #513841=CARTESIAN_POINT('',(25.127,26.868928,0.)); #513842=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #513843=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #513844=CARTESIAN_POINT('',(25.127,26.868928,0.)); #513845=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #513846=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #513847=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.035)); #513848=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.035)); #513849=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.)); #513850=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #513851=CARTESIAN_POINT('',(27.11,24.375,0.)); #513852=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #513853=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #513854=CARTESIAN_POINT('',(27.11,24.375,-200.)); #513855=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #513856=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #513857=CARTESIAN_POINT('',(27.622,19.,0.)); #513858=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #513859=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #513860=CARTESIAN_POINT('',(27.622,19.,-200.)); #513861=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #513862=CARTESIAN_POINT('Origin',(27.123,18.125,0.)); #513863=CARTESIAN_POINT('',(27.123,18.125,0.)); #513864=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #513865=CARTESIAN_POINT('',(27.123,18.125,0.)); #513866=CARTESIAN_POINT('',(27.123603,18.1196,0.035)); #513867=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #513868=CARTESIAN_POINT('',(27.123,18.125,0.035)); #513869=CARTESIAN_POINT('',(27.123,18.125,0.035)); #513870=CARTESIAN_POINT('',(27.123,18.125,0.)); #513871=CARTESIAN_POINT('Origin',(27.123,24.131072,0.)); #513872=CARTESIAN_POINT('',(27.123,24.131072,0.)); #513873=CARTESIAN_POINT('',(27.123,24.131072,0.)); #513874=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #513875=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #513876=CARTESIAN_POINT('',(27.123,24.131072,0.)); #513877=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #513878=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #513879=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #513880=CARTESIAN_POINT('',(27.144438,24.629853,0.035)); #513881=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.035)); #513882=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #513883=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #513884=CARTESIAN_POINT('',(27.377,24.131072,0.)); #513885=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #513886=CARTESIAN_POINT('',(27.377,24.131072,0.035)); #513887=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.035)); #513888=CARTESIAN_POINT('',(27.377,24.131072,0.)); #513889=CARTESIAN_POINT('Origin',(27.377,19.302606,0.)); #513890=CARTESIAN_POINT('',(27.377,19.302606,0.)); #513891=CARTESIAN_POINT('',(27.377,19.302606,0.)); #513892=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #513893=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #513894=CARTESIAN_POINT('',(27.377,19.302606,0.)); #513895=CARTESIAN_POINT('Origin',(27.53045,19.149156,0.)); #513896=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #513897=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #513898=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #513899=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #513900=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #513901=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #513902=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #513903=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #513904=CARTESIAN_POINT('',(27.9972,19.144131,0.035)); #513905=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.035)); #513906=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #513907=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #513908=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #513909=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #513910=CARTESIAN_POINT('',(27.53045,18.850844,0.035)); #513911=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.035)); #513912=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #513913=CARTESIAN_POINT('Origin',(27.377,18.697394,0.)); #513914=CARTESIAN_POINT('',(27.377,18.697394,0.)); #513915=CARTESIAN_POINT('',(27.377,18.697394,0.)); #513916=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #513917=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #513918=CARTESIAN_POINT('',(27.377,18.697394,0.)); #513919=CARTESIAN_POINT('Origin',(27.377,18.177606,0.)); #513920=CARTESIAN_POINT('',(27.377,18.177606,0.)); #513921=CARTESIAN_POINT('',(27.377,18.177606,0.)); #513922=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #513923=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #513924=CARTESIAN_POINT('',(27.377,18.177606,0.)); #513925=CARTESIAN_POINT('Origin',(29.177606,16.377,0.)); #513926=CARTESIAN_POINT('',(29.177606,16.377,0.)); #513927=CARTESIAN_POINT('',(29.177606,16.377,0.)); #513928=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #513929=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #513930=CARTESIAN_POINT('',(29.177606,16.377,0.)); #513931=CARTESIAN_POINT('Origin',(30.2875,16.377,0.)); #513932=CARTESIAN_POINT('',(30.2875,16.377,0.)); #513933=CARTESIAN_POINT('',(30.2875,16.377,0.)); #513934=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #513935=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #513936=CARTESIAN_POINT('',(30.2875,16.377,0.)); #513937=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.)); #513938=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #513939=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #513940=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #513941=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #513942=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #513943=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.)); #513944=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #513945=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #513946=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #513947=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #513948=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #513949=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.)); #513950=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #513951=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #513952=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #513953=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #513954=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #513955=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.)); #513956=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #513957=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #513958=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #513959=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #513960=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #513961=CARTESIAN_POINT('Origin',(30.2875,16.123,0.)); #513962=CARTESIAN_POINT('',(30.2875,16.123,0.)); #513963=CARTESIAN_POINT('',(30.2875,16.123,0.)); #513964=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #513965=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #513966=CARTESIAN_POINT('',(30.2875,16.123,0.)); #513967=CARTESIAN_POINT('Origin',(29.125,16.123,0.)); #513968=CARTESIAN_POINT('',(29.125,16.123,0.)); #513969=CARTESIAN_POINT('',(29.125,16.123,0.)); #513970=CARTESIAN_POINT('',(29.125,16.123,0.035)); #513971=CARTESIAN_POINT('',(29.125,16.123,0.035)); #513972=CARTESIAN_POINT('',(29.125,16.123,0.)); #513973=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #513974=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #513975=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #513976=CARTESIAN_POINT('',(29.035197,16.160197,0.035)); #513977=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.035)); #513978=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #513979=CARTESIAN_POINT('Origin',(27.160197,18.035197,0.)); #513980=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #513981=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #513982=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #513983=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #513984=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #513985=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #513986=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #513987=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.035)); #513988=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.035)); #513989=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.)); #513990=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.)); #513991=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #513992=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #513993=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #513994=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #513995=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #513996=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #513997=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #513998=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #513999=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.)); #514000=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #514001=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #514002=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #514003=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #514004=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #514005=CARTESIAN_POINT('Origin',(30.2875,14.623,0.)); #514006=CARTESIAN_POINT('',(30.2875,14.623,0.)); #514007=CARTESIAN_POINT('',(30.2875,14.623,0.)); #514008=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #514009=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #514010=CARTESIAN_POINT('',(30.2875,14.623,0.)); #514011=CARTESIAN_POINT('Origin',(29.033988,14.623,0.)); #514012=CARTESIAN_POINT('',(29.033988,14.623,0.)); #514013=CARTESIAN_POINT('',(29.033988,14.623,0.)); #514014=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #514015=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #514016=CARTESIAN_POINT('',(29.033988,14.623,0.)); #514017=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #514018=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #514019=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #514020=CARTESIAN_POINT('',(28.944184,14.660197,0.035)); #514021=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.035)); #514022=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #514023=CARTESIAN_POINT('Origin',(26.048881,17.5555,0.)); #514024=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #514025=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #514026=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #514027=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #514028=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #514029=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.)); #514030=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #514031=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #514032=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #514033=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #514034=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #514035=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.)); #514036=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #514037=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #514038=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #514039=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #514040=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #514041=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.)); #514042=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #514043=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #514044=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #514045=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #514046=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #514047=CARTESIAN_POINT('Origin',(26.1811,17.782494,0.)); #514048=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #514049=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #514050=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #514051=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #514052=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #514053=CARTESIAN_POINT('Origin',(29.086594,14.877,0.)); #514054=CARTESIAN_POINT('',(29.086594,14.877,0.)); #514055=CARTESIAN_POINT('',(29.086594,14.877,0.)); #514056=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #514057=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #514058=CARTESIAN_POINT('',(29.086594,14.877,0.)); #514059=CARTESIAN_POINT('Origin',(30.2875,14.877,0.)); #514060=CARTESIAN_POINT('',(30.2875,14.877,0.)); #514061=CARTESIAN_POINT('',(30.2875,14.877,0.)); #514062=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #514063=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #514064=CARTESIAN_POINT('',(30.2875,14.877,0.)); #514065=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.)); #514066=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #514067=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #514068=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #514069=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #514070=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #514071=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.)); #514072=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #514073=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #514074=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.035)); #514075=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.)); #514076=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #514077=CARTESIAN_POINT('',(14.36,21.75,0.)); #514078=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #514079=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #514080=CARTESIAN_POINT('',(14.36,21.75,-200.)); #514081=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #514082=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #514083=CARTESIAN_POINT('',(14.36,21.,0.)); #514084=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #514085=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #514086=CARTESIAN_POINT('',(14.36,21.,-200.)); #514087=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #514088=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #514089=CARTESIAN_POINT('',(15.86,20.25,0.)); #514090=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #514091=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #514092=CARTESIAN_POINT('',(15.86,20.25,-200.)); #514093=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #514094=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #514095=CARTESIAN_POINT('',(14.36,20.25,0.)); #514096=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #514097=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #514098=CARTESIAN_POINT('',(14.36,20.25,-200.)); #514099=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #514100=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #514101=CARTESIAN_POINT('',(15.11,20.25,0.)); #514102=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #514103=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #514104=CARTESIAN_POINT('',(15.11,20.25,-200.)); #514105=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #514106=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #514107=CARTESIAN_POINT('',(15.11,21.75,0.)); #514108=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #514109=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #514110=CARTESIAN_POINT('',(15.11,21.75,-200.)); #514111=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #514112=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #514113=CARTESIAN_POINT('',(15.86,21.75,0.)); #514114=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #514115=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #514116=CARTESIAN_POINT('',(15.86,21.75,-200.)); #514117=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #514118=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #514119=CARTESIAN_POINT('',(15.86,21.,0.)); #514120=CARTESIAN_POINT('Origin',(16.,21.,0.)); #514121=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #514122=CARTESIAN_POINT('',(15.86,21.,-200.)); #514123=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #514124=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #514125=CARTESIAN_POINT('',(15.285,34.,0.)); #514126=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #514127=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #514128=CARTESIAN_POINT('',(15.285,34.,-200.)); #514129=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #514130=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #514131=CARTESIAN_POINT('',(21.235,34.,0.)); #514132=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #514133=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #514134=CARTESIAN_POINT('',(21.235,34.,-200.)); #514135=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #514136=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #514137=CARTESIAN_POINT('',(15.11,21.,0.)); #514138=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #514139=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #514140=CARTESIAN_POINT('',(15.11,21.,-200.)); #514141=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #514142=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.)); #514143=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #514144=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #514145=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #514146=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #514147=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #514148=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #514149=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #514150=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #514151=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.)); #514152=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #514153=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #514154=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #514155=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #514156=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #514157=CARTESIAN_POINT('Origin',(30.2875,19.123,0.)); #514158=CARTESIAN_POINT('',(30.2875,19.123,0.)); #514159=CARTESIAN_POINT('',(30.2875,19.123,0.)); #514160=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #514161=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #514162=CARTESIAN_POINT('',(30.2875,19.123,0.)); #514163=CARTESIAN_POINT('Origin',(29.719663,19.123,0.)); #514164=CARTESIAN_POINT('',(29.719663,19.123,0.)); #514165=CARTESIAN_POINT('',(29.719663,19.123,0.)); #514166=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #514167=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #514168=CARTESIAN_POINT('',(29.719663,19.123,0.)); #514169=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #514170=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #514171=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #514172=CARTESIAN_POINT('',(29.629859,19.160197,0.035)); #514173=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.035)); #514174=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #514175=CARTESIAN_POINT('Origin',(28.660197,20.129859,0.)); #514176=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #514177=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #514178=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #514179=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #514180=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #514181=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #514182=CARTESIAN_POINT('',(28.623,20.219663,0.)); #514183=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #514184=CARTESIAN_POINT('',(28.623,20.219663,0.035)); #514185=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.035)); #514186=CARTESIAN_POINT('',(28.623,20.219663,0.)); #514187=CARTESIAN_POINT('Origin',(28.623,25.947394,0.)); #514188=CARTESIAN_POINT('',(28.623,25.947394,0.)); #514189=CARTESIAN_POINT('',(28.623,25.947394,0.)); #514190=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #514191=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #514192=CARTESIAN_POINT('',(28.623,25.947394,0.)); #514193=CARTESIAN_POINT('Origin',(25.697394,28.873,0.)); #514194=CARTESIAN_POINT('',(25.697394,28.873,0.)); #514195=CARTESIAN_POINT('',(25.697394,28.873,0.)); #514196=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #514197=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #514198=CARTESIAN_POINT('',(25.697394,28.873,0.)); #514199=CARTESIAN_POINT('Origin',(20.375,28.873,0.)); #514200=CARTESIAN_POINT('',(20.375,28.873,0.)); #514201=CARTESIAN_POINT('',(20.375,28.873,0.)); #514202=CARTESIAN_POINT('',(20.375,28.873,0.035)); #514203=CARTESIAN_POINT('',(20.375,28.873,0.035)); #514204=CARTESIAN_POINT('',(20.375,28.873,0.)); #514205=CARTESIAN_POINT('Origin',(20.375,27.635022,0.)); #514206=CARTESIAN_POINT('',(20.375,27.635022,0.)); #514207=CARTESIAN_POINT('',(20.375,27.635022,0.)); #514208=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #514209=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #514210=CARTESIAN_POINT('',(20.375,27.635022,0.)); #514211=CARTESIAN_POINT('Origin',(20.376459,27.625825,0.)); #514212=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #514213=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #514214=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #514215=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #514216=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #514217=CARTESIAN_POINT('Origin',(20.382984,27.625,0.)); #514218=CARTESIAN_POINT('',(20.382984,27.625,0.)); #514219=CARTESIAN_POINT('',(20.382984,27.625,0.)); #514220=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #514221=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #514222=CARTESIAN_POINT('',(20.382984,27.625,0.)); #514223=CARTESIAN_POINT('Origin',(21.614978,27.625,0.)); #514224=CARTESIAN_POINT('',(21.614978,27.625,0.)); #514225=CARTESIAN_POINT('',(21.614978,27.625,0.)); #514226=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #514227=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #514228=CARTESIAN_POINT('',(21.614978,27.625,0.)); #514229=CARTESIAN_POINT('Origin',(21.624175,27.626459,0.)); #514230=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #514231=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #514232=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #514233=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #514234=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #514235=CARTESIAN_POINT('Origin',(21.625,27.632984,0.)); #514236=CARTESIAN_POINT('',(21.625,27.632984,0.)); #514237=CARTESIAN_POINT('',(21.625,27.632984,0.)); #514238=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #514239=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #514240=CARTESIAN_POINT('',(21.625,27.632984,0.)); #514241=CARTESIAN_POINT('Origin',(21.625,27.8824,0.)); #514242=CARTESIAN_POINT('',(21.625,27.8824,0.)); #514243=CARTESIAN_POINT('',(21.625,27.8824,0.)); #514244=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #514245=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #514246=CARTESIAN_POINT('',(21.625,27.8824,0.)); #514247=CARTESIAN_POINT('Origin',(21.633538,27.928181,0.)); #514248=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #514249=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #514250=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #514251=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #514252=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #514253=CARTESIAN_POINT('Origin',(21.660778,27.970759,0.)); #514254=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #514255=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #514256=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #514257=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #514258=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #514259=CARTESIAN_POINT('Origin',(21.702466,27.999341,0.)); #514260=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #514261=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #514262=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #514263=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #514264=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #514265=CARTESIAN_POINT('Origin',(21.752,28.0094,0.)); #514266=CARTESIAN_POINT('',(21.752,28.0094,0.)); #514267=CARTESIAN_POINT('',(21.752,28.0094,0.)); #514268=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #514269=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #514270=CARTESIAN_POINT('',(21.752,28.0094,0.)); #514271=CARTESIAN_POINT('Origin',(22.114706,28.0094,0.)); #514272=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #514273=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #514274=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #514275=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #514276=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #514277=CARTESIAN_POINT('Origin',(22.162369,28.000116,0.)); #514278=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #514279=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #514280=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #514281=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #514282=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #514283=CARTESIAN_POINT('Origin',(22.204509,27.972203,0.)); #514284=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #514285=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #514286=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #514287=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #514288=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #514289=CARTESIAN_POINT('Origin',(22.734203,27.442509,0.)); #514290=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #514291=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #514292=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #514293=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #514294=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #514295=CARTESIAN_POINT('Origin',(22.761341,27.402241,0.)); #514296=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #514297=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #514298=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #514299=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #514300=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #514301=CARTESIAN_POINT('Origin',(22.7714,27.352706,0.)); #514302=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #514303=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #514304=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #514305=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #514306=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #514307=CARTESIAN_POINT('Origin',(22.7714,25.627,0.)); #514308=CARTESIAN_POINT('',(22.7714,25.627,0.)); #514309=CARTESIAN_POINT('',(22.7714,25.627,0.)); #514310=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #514311=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #514312=CARTESIAN_POINT('',(22.7714,25.627,0.)); #514313=CARTESIAN_POINT('Origin',(22.762863,25.581219,0.)); #514314=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #514315=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #514316=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #514317=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #514318=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #514319=CARTESIAN_POINT('Origin',(22.735622,25.538641,0.)); #514320=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #514321=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #514322=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #514323=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #514324=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #514325=CARTESIAN_POINT('Origin',(22.693934,25.510059,0.)); #514326=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #514327=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #514328=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #514329=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #514330=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #514331=CARTESIAN_POINT('Origin',(22.6444,25.5,0.)); #514332=CARTESIAN_POINT('',(22.6444,25.5,0.)); #514333=CARTESIAN_POINT('',(22.6444,25.5,0.)); #514334=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #514335=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #514336=CARTESIAN_POINT('',(22.6444,25.5,0.)); #514337=CARTESIAN_POINT('Origin',(20.758994,25.5,0.)); #514338=CARTESIAN_POINT('',(20.758994,25.5,0.)); #514339=CARTESIAN_POINT('',(20.758994,25.5,0.)); #514340=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #514341=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #514342=CARTESIAN_POINT('',(20.758994,25.5,0.)); #514343=CARTESIAN_POINT('Origin',(20.749975,25.498716,0.)); #514344=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #514345=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #514346=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #514347=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #514348=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #514349=CARTESIAN_POINT('Origin',(20.744347,25.494347,0.)); #514350=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #514351=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #514352=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #514353=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #514354=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #514355=CARTESIAN_POINT('Origin',(19.506366,24.256366,0.)); #514356=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #514357=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #514358=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #514359=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #514360=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #514361=CARTESIAN_POINT('Origin',(19.500891,24.249072,0.)); #514362=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #514363=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #514364=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #514365=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #514366=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #514367=CARTESIAN_POINT('Origin',(19.5,24.242016,0.)); #514368=CARTESIAN_POINT('',(19.5,24.242016,0.)); #514369=CARTESIAN_POINT('',(19.5,24.242016,0.)); #514370=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #514371=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #514372=CARTESIAN_POINT('',(19.5,24.242016,0.)); #514373=CARTESIAN_POINT('Origin',(19.5,20.552606,0.)); #514374=CARTESIAN_POINT('',(19.5,20.552606,0.)); #514375=CARTESIAN_POINT('',(19.5,20.552606,0.)); #514376=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #514377=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #514378=CARTESIAN_POINT('',(19.5,20.552606,0.)); #514379=CARTESIAN_POINT('Origin',(19.490716,20.504944,0.)); #514380=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #514381=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #514382=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #514383=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #514384=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #514385=CARTESIAN_POINT('Origin',(19.462803,20.462803,0.)); #514386=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #514387=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #514388=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #514389=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #514390=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #514391=CARTESIAN_POINT('Origin',(18.287197,19.287197,0.)); #514392=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #514393=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #514394=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #514395=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #514396=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #514397=CARTESIAN_POINT('Origin',(18.246928,19.260059,0.)); #514398=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #514399=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #514400=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #514401=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #514402=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #514403=CARTESIAN_POINT('Origin',(18.197394,19.25,0.)); #514404=CARTESIAN_POINT('',(18.197394,19.25,0.)); #514405=CARTESIAN_POINT('',(18.197394,19.25,0.)); #514406=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #514407=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #514408=CARTESIAN_POINT('',(18.197394,19.25,0.)); #514409=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #514410=CARTESIAN_POINT('',(14.302606,19.25,0.)); #514411=CARTESIAN_POINT('',(14.302606,19.25,0.)); #514412=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #514413=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #514414=CARTESIAN_POINT('',(14.302606,19.25,0.)); #514415=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #514416=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #514417=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #514418=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #514419=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #514420=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #514421=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #514422=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #514423=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #514424=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #514425=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #514426=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #514427=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #514428=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #514429=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #514430=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #514431=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #514432=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #514433=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #514434=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #514435=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #514436=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #514437=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #514438=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #514439=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #514440=CARTESIAN_POINT('',(14.,19.552606,0.)); #514441=CARTESIAN_POINT('',(14.,19.552606,0.)); #514442=CARTESIAN_POINT('',(14.,19.552606,0.035)); #514443=CARTESIAN_POINT('',(14.,19.552606,0.035)); #514444=CARTESIAN_POINT('',(14.,19.552606,0.)); #514445=CARTESIAN_POINT('Origin',(14.,37.993891,0.)); #514446=CARTESIAN_POINT('',(14.,37.993891,0.)); #514447=CARTESIAN_POINT('',(14.,37.993891,0.)); #514448=CARTESIAN_POINT('',(14.,37.993891,0.035)); #514449=CARTESIAN_POINT('',(14.,37.993891,0.035)); #514450=CARTESIAN_POINT('',(14.,37.993891,0.)); #514451=CARTESIAN_POINT('Origin',(13.999603,37.998013,0.)); #514452=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #514453=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #514454=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #514455=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #514456=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #514457=CARTESIAN_POINT('Origin',(13.999091,37.999566,0.)); #514458=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #514459=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #514460=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #514461=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #514462=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #514463=CARTESIAN_POINT('Origin',(13.994891,38.006131,0.)); #514464=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #514465=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #514466=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #514467=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #514468=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #514469=CARTESIAN_POINT('Origin',(10.279434,42.464678,0.)); #514470=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #514471=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #514472=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #514473=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #514474=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #514475=CARTESIAN_POINT('Origin',(10.260059,42.496447,0.)); #514476=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #514477=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #514478=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #514479=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #514480=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #514481=CARTESIAN_POINT('Origin',(10.25,42.545981,0.)); #514482=CARTESIAN_POINT('',(10.25,42.545981,0.)); #514483=CARTESIAN_POINT('',(10.25,42.545981,0.)); #514484=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #514485=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #514486=CARTESIAN_POINT('',(10.25,42.545981,0.)); #514487=CARTESIAN_POINT('Origin',(10.25,49.572394,0.)); #514488=CARTESIAN_POINT('',(10.25,49.572394,0.)); #514489=CARTESIAN_POINT('',(10.25,49.572394,0.)); #514490=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #514491=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #514492=CARTESIAN_POINT('',(10.25,49.572394,0.)); #514493=CARTESIAN_POINT('Origin',(10.259284,49.620056,0.)); #514494=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #514495=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #514496=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #514497=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #514498=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #514499=CARTESIAN_POINT('Origin',(10.287197,49.662197,0.)); #514500=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #514501=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #514502=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #514503=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #514504=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #514505=CARTESIAN_POINT('Origin',(11.587803,50.962803,0.)); #514506=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #514507=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #514508=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #514509=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #514510=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #514511=CARTESIAN_POINT('Origin',(11.628072,50.989941,0.)); #514512=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #514513=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #514514=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #514515=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #514516=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #514517=CARTESIAN_POINT('Origin',(11.677606,51.,0.)); #514518=CARTESIAN_POINT('',(11.677606,51.,0.)); #514519=CARTESIAN_POINT('',(11.677606,51.,0.)); #514520=CARTESIAN_POINT('',(11.677606,51.,0.035)); #514521=CARTESIAN_POINT('',(11.677606,51.,0.035)); #514522=CARTESIAN_POINT('',(11.677606,51.,0.)); #514523=CARTESIAN_POINT('Origin',(13.0635,51.,0.)); #514524=CARTESIAN_POINT('',(13.0635,51.,0.)); #514525=CARTESIAN_POINT('',(13.0635,51.,0.)); #514526=CARTESIAN_POINT('',(13.0635,51.,0.035)); #514527=CARTESIAN_POINT('',(13.0635,51.,0.035)); #514528=CARTESIAN_POINT('',(13.0635,51.,0.)); #514529=CARTESIAN_POINT('Origin',(13.109281,50.991463,0.)); #514530=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #514531=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #514532=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #514533=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #514534=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #514535=CARTESIAN_POINT('Origin',(13.151859,50.964222,0.)); #514536=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #514537=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #514538=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #514539=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #514540=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #514541=CARTESIAN_POINT('Origin',(13.180441,50.922534,0.)); #514542=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #514543=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #514544=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #514545=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #514546=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #514547=CARTESIAN_POINT('Origin',(13.1905,50.873,0.)); #514548=CARTESIAN_POINT('',(13.1905,50.873,0.)); #514549=CARTESIAN_POINT('',(13.1905,50.873,0.)); #514550=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #514551=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #514552=CARTESIAN_POINT('',(13.1905,50.873,0.)); #514553=CARTESIAN_POINT('Origin',(13.1905,49.992375,0.)); #514554=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #514555=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #514556=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #514557=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #514558=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #514559=CARTESIAN_POINT('Origin',(13.279775,49.9031,0.)); #514560=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #514561=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #514562=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #514563=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #514564=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #514565=CARTESIAN_POINT('Origin',(14.244225,49.9031,0.)); #514566=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #514567=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #514568=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #514569=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #514570=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #514571=CARTESIAN_POINT('Origin',(14.3335,49.992375,0.)); #514572=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #514573=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #514574=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #514575=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #514576=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #514577=CARTESIAN_POINT('Origin',(14.3335,50.1444,0.)); #514578=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #514579=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #514580=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #514581=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #514582=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #514583=CARTESIAN_POINT('Origin',(14.342037,50.190181,0.)); #514584=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #514585=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #514586=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #514587=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #514588=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #514589=CARTESIAN_POINT('Origin',(14.369278,50.232759,0.)); #514590=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #514591=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #514592=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #514593=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #514594=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #514595=CARTESIAN_POINT('Origin',(14.410966,50.261341,0.)); #514596=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #514597=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #514598=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #514599=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #514600=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #514601=CARTESIAN_POINT('Origin',(14.4605,50.2714,0.)); #514602=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #514603=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #514604=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #514605=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #514606=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #514607=CARTESIAN_POINT('Origin',(14.602706,50.2714,0.)); #514608=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #514609=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #514610=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #514611=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #514612=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #514613=CARTESIAN_POINT('Origin',(14.650369,50.262116,0.)); #514614=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #514615=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #514616=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #514617=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #514618=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #514619=CARTESIAN_POINT('Origin',(14.692509,50.234203,0.)); #514620=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #514621=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #514622=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #514623=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #514624=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #514625=CARTESIAN_POINT('Origin',(14.734203,50.192509,0.)); #514626=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #514627=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #514628=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #514629=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #514630=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #514631=CARTESIAN_POINT('Origin',(14.761341,50.152241,0.)); #514632=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #514633=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #514634=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #514635=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #514636=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #514637=CARTESIAN_POINT('Origin',(14.7714,50.102706,0.)); #514638=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #514639=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #514640=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #514641=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #514642=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #514643=CARTESIAN_POINT('Origin',(14.7714,45.905313,0.)); #514644=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #514645=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #514646=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #514647=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #514648=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #514649=CARTESIAN_POINT('Origin',(15.405313,45.2714,0.)); #514650=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #514651=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #514652=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #514653=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #514654=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #514655=CARTESIAN_POINT('Origin',(17.852706,45.2714,0.)); #514656=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #514657=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #514658=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #514659=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #514660=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #514661=CARTESIAN_POINT('Origin',(17.900369,45.262116,0.)); #514662=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #514663=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #514664=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #514665=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #514666=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #514667=CARTESIAN_POINT('Origin',(17.942509,45.234203,0.)); #514668=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #514669=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #514670=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #514671=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #514672=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #514673=CARTESIAN_POINT('Origin',(18.609203,44.567509,0.)); #514674=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #514675=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #514676=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #514677=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #514678=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #514679=CARTESIAN_POINT('Origin',(18.636341,44.527241,0.)); #514680=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #514681=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #514682=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #514683=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #514684=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #514685=CARTESIAN_POINT('Origin',(18.6464,44.477706,0.)); #514686=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #514687=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #514688=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #514689=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #514690=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #514691=CARTESIAN_POINT('Origin',(18.6464,39.249975,0.)); #514692=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #514693=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #514694=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #514695=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #514696=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #514697=CARTESIAN_POINT('Origin',(19.374975,38.5214,0.)); #514698=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #514699=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #514700=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #514701=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #514702=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #514703=CARTESIAN_POINT('Origin',(23.731356,38.5214,0.)); #514704=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #514705=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #514706=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #514707=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #514708=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #514709=CARTESIAN_POINT('Origin',(23.779019,38.512116,0.)); #514710=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #514711=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #514712=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #514713=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #514714=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #514715=CARTESIAN_POINT('Origin',(23.821159,38.484203,0.)); #514716=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #514717=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #514718=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #514719=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #514720=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #514721=CARTESIAN_POINT('Origin',(25.734203,36.571159,0.)); #514722=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #514723=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #514724=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #514725=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #514726=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #514727=CARTESIAN_POINT('Origin',(25.761341,36.530891,0.)); #514728=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #514729=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #514730=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #514731=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #514732=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #514733=CARTESIAN_POINT('Origin',(25.7714,36.481356,0.)); #514734=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #514735=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #514736=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #514737=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #514738=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #514739=CARTESIAN_POINT('Origin',(25.7714,36.428441,0.)); #514740=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #514741=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #514742=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #514743=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #514744=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #514745=CARTESIAN_POINT('Origin',(25.762116,36.380778,0.)); #514746=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #514747=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #514748=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #514749=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #514750=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #514751=CARTESIAN_POINT('Origin',(25.734203,36.338638,0.)); #514752=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #514753=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #514754=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #514755=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #514756=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #514757=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #514758=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #514759=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #514760=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #514761=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #514762=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #514763=CARTESIAN_POINT('Origin',(25.5726,36.127,0.)); #514764=CARTESIAN_POINT('',(25.5726,36.127,0.)); #514765=CARTESIAN_POINT('',(25.5726,36.127,0.)); #514766=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #514767=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #514768=CARTESIAN_POINT('',(25.5726,36.127,0.)); #514769=CARTESIAN_POINT('Origin',(25.564063,36.081219,0.)); #514770=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #514771=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #514772=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #514773=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #514774=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #514775=CARTESIAN_POINT('Origin',(25.536822,36.038641,0.)); #514776=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #514777=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #514778=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #514779=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #514780=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #514781=CARTESIAN_POINT('Origin',(25.495134,36.010059,0.)); #514782=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #514783=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #514784=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #514785=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #514786=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #514787=CARTESIAN_POINT('Origin',(25.4456,36.,0.)); #514788=CARTESIAN_POINT('',(25.4456,36.,0.)); #514789=CARTESIAN_POINT('',(25.4456,36.,0.)); #514790=CARTESIAN_POINT('',(25.4456,36.,0.035)); #514791=CARTESIAN_POINT('',(25.4456,36.,0.035)); #514792=CARTESIAN_POINT('',(25.4456,36.,0.)); #514793=CARTESIAN_POINT('Origin',(24.008994,36.,0.)); #514794=CARTESIAN_POINT('',(24.008994,36.,0.)); #514795=CARTESIAN_POINT('',(24.008994,36.,0.)); #514796=CARTESIAN_POINT('',(24.008994,36.,0.035)); #514797=CARTESIAN_POINT('',(24.008994,36.,0.035)); #514798=CARTESIAN_POINT('',(24.008994,36.,0.)); #514799=CARTESIAN_POINT('Origin',(23.999975,35.998716,0.)); #514800=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #514801=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #514802=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #514803=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #514804=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #514805=CARTESIAN_POINT('Origin',(23.994347,35.994347,0.)); #514806=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #514807=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #514808=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #514809=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #514810=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #514811=CARTESIAN_POINT('Origin',(23.006366,35.006366,0.)); #514812=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #514813=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #514814=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #514815=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #514816=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #514817=CARTESIAN_POINT('Origin',(23.000891,34.999072,0.)); #514818=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #514819=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #514820=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #514821=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #514822=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #514823=CARTESIAN_POINT('Origin',(23.,34.992016,0.)); #514824=CARTESIAN_POINT('',(23.,34.992016,0.)); #514825=CARTESIAN_POINT('',(23.,34.992016,0.)); #514826=CARTESIAN_POINT('',(23.,34.992016,0.035)); #514827=CARTESIAN_POINT('',(23.,34.992016,0.035)); #514828=CARTESIAN_POINT('',(23.,34.992016,0.)); #514829=CARTESIAN_POINT('Origin',(23.,31.802606,0.)); #514830=CARTESIAN_POINT('',(23.,31.802606,0.)); #514831=CARTESIAN_POINT('',(23.,31.802606,0.)); #514832=CARTESIAN_POINT('',(23.,31.802606,0.035)); #514833=CARTESIAN_POINT('',(23.,31.802606,0.035)); #514834=CARTESIAN_POINT('',(23.,31.802606,0.)); #514835=CARTESIAN_POINT('Origin',(22.990716,31.754944,0.)); #514836=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #514837=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #514838=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #514839=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #514840=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #514841=CARTESIAN_POINT('Origin',(22.962803,31.712803,0.)); #514842=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #514843=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #514844=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #514845=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #514846=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #514847=CARTESIAN_POINT('Origin',(21.662197,30.412197,0.)); #514848=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #514849=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #514850=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #514851=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #514852=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #514853=CARTESIAN_POINT('Origin',(21.621928,30.385059,0.)); #514854=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #514855=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #514856=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #514857=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #514858=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #514859=CARTESIAN_POINT('Origin',(21.572394,30.375,0.)); #514860=CARTESIAN_POINT('',(21.572394,30.375,0.)); #514861=CARTESIAN_POINT('',(21.572394,30.375,0.)); #514862=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #514863=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #514864=CARTESIAN_POINT('',(21.572394,30.375,0.)); #514865=CARTESIAN_POINT('Origin',(20.385022,30.375,0.)); #514866=CARTESIAN_POINT('',(20.385022,30.375,0.)); #514867=CARTESIAN_POINT('',(20.385022,30.375,0.)); #514868=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #514869=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #514870=CARTESIAN_POINT('',(20.385022,30.375,0.)); #514871=CARTESIAN_POINT('Origin',(20.375825,30.373541,0.)); #514872=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #514873=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #514874=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #514875=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #514876=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #514877=CARTESIAN_POINT('Origin',(20.375,30.367016,0.)); #514878=CARTESIAN_POINT('',(20.375,30.367016,0.)); #514879=CARTESIAN_POINT('',(20.375,30.367016,0.)); #514880=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #514881=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #514882=CARTESIAN_POINT('',(20.375,30.367016,0.)); #514883=CARTESIAN_POINT('Origin',(20.375,29.127,0.)); #514884=CARTESIAN_POINT('',(20.375,29.127,0.)); #514885=CARTESIAN_POINT('',(20.375,29.127,0.)); #514886=CARTESIAN_POINT('',(20.375,29.127,0.035)); #514887=CARTESIAN_POINT('',(20.375,29.127,0.035)); #514888=CARTESIAN_POINT('',(20.375,29.127,0.)); #514889=CARTESIAN_POINT('Origin',(25.75,29.127,0.)); #514890=CARTESIAN_POINT('',(25.75,29.127,0.)); #514891=CARTESIAN_POINT('',(25.75,29.127,0.)); #514892=CARTESIAN_POINT('',(25.75,29.127,0.035)); #514893=CARTESIAN_POINT('',(25.75,29.127,0.035)); #514894=CARTESIAN_POINT('',(25.75,29.127,0.)); #514895=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #514896=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #514897=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #514898=CARTESIAN_POINT('',(25.839803,29.089803,0.035)); #514899=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.035)); #514900=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #514901=CARTESIAN_POINT('Origin',(28.839803,26.089803,0.)); #514902=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #514903=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #514904=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #514905=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #514906=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #514907=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #514908=CARTESIAN_POINT('',(28.877,26.,0.)); #514909=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #514910=CARTESIAN_POINT('',(28.877,26.,0.035)); #514911=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.035)); #514912=CARTESIAN_POINT('',(28.877,26.,0.)); #514913=CARTESIAN_POINT('Origin',(28.877,20.272269,0.)); #514914=CARTESIAN_POINT('',(28.877,20.272269,0.)); #514915=CARTESIAN_POINT('',(28.877,20.272269,0.)); #514916=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #514917=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #514918=CARTESIAN_POINT('',(28.877,20.272269,0.)); #514919=CARTESIAN_POINT('Origin',(29.772269,19.377,0.)); #514920=CARTESIAN_POINT('',(29.772269,19.377,0.)); #514921=CARTESIAN_POINT('',(29.772269,19.377,0.)); #514922=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #514923=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #514924=CARTESIAN_POINT('',(29.772269,19.377,0.)); #514925=CARTESIAN_POINT('Origin',(30.2875,19.377,0.)); #514926=CARTESIAN_POINT('',(30.2875,19.377,0.)); #514927=CARTESIAN_POINT('',(30.2875,19.377,0.)); #514928=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #514929=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #514930=CARTESIAN_POINT('',(30.2875,19.377,0.)); #514931=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.)); #514932=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #514933=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #514934=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #514935=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #514936=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #514937=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.)); #514938=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #514939=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #514940=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.035)); #514941=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.)); #514942=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #514943=CARTESIAN_POINT('',(31.035,27.75,0.)); #514944=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #514945=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #514946=CARTESIAN_POINT('',(31.035,27.75,-200.)); #514947=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #514948=CARTESIAN_POINT('Origin',(31.536844,27.,0.)); #514949=CARTESIAN_POINT('',(31.536844,27.,0.)); #514950=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #514951=CARTESIAN_POINT('',(31.536844,27.,0.)); #514952=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #514953=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #514954=CARTESIAN_POINT('',(31.536844,27.,0.035)); #514955=CARTESIAN_POINT('',(31.536844,27.,0.035)); #514956=CARTESIAN_POINT('',(31.536844,27.,0.)); #514957=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #514958=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #514959=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #514960=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #514961=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #514962=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #514963=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #514964=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #514965=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #514966=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #514967=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #514968=CARTESIAN_POINT('Origin',(33.696416,58.909663,0.)); #514969=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #514970=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #514971=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #514972=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #514973=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #514974=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #514975=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #514976=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #514977=CARTESIAN_POINT('Origin',(33.666603,58.915222,0.)); #514978=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #514979=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #514980=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #514981=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #514982=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #514983=CARTESIAN_POINT('Origin',(33.641319,58.931972,0.)); #514984=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #514985=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #514986=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #514987=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #514988=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #514989=CARTESIAN_POINT('Origin',(33.050272,59.523019,0.)); #514990=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #514991=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #514992=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #514993=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #514994=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #514995=CARTESIAN_POINT('Origin',(33.034972,59.544956,0.)); #514996=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #514997=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #514998=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #514999=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #515000=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #515001=CARTESIAN_POINT('Origin',(33.027991,59.574472,0.)); #515002=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #515003=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #515004=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #515005=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #515006=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #515007=CARTESIAN_POINT('Origin',(33.033075,59.604369,0.)); #515008=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #515009=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #515010=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #515011=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #515012=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #515013=CARTESIAN_POINT('Origin',(33.049419,59.629916,0.)); #515014=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #515015=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #515016=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #515017=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #515018=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #515019=CARTESIAN_POINT('Origin',(33.074431,59.647066,0.)); #515020=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #515021=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #515022=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #515023=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #515024=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #515025=CARTESIAN_POINT('Origin',(33.104153,59.6531,0.)); #515026=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #515027=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #515028=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #515029=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #515030=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #515031=CARTESIAN_POINT('Origin',(33.480047,59.6531,0.)); #515032=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #515033=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #515034=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #515035=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #515036=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #515037=CARTESIAN_POINT('Origin',(33.508644,59.647531,0.)); #515038=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #515039=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #515040=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #515041=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #515042=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #515043=CARTESIAN_POINT('Origin',(33.533928,59.630781,0.)); #515044=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #515045=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #515046=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #515047=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #515048=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #515049=CARTESIAN_POINT('Origin',(33.749081,59.415628,0.)); #515050=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #515051=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #515052=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #515053=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #515054=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #515055=CARTESIAN_POINT('Origin',(33.765366,59.391469,0.)); #515056=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #515057=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #515058=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #515059=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #515060=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #515061=CARTESIAN_POINT('Origin',(33.7714,59.361747,0.)); #515062=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #515063=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #515064=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #515065=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #515066=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #515067=CARTESIAN_POINT('Origin',(33.7714,58.985853,0.)); #515068=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #515069=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #515070=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #515071=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #515072=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #515073=CARTESIAN_POINT('Origin',(33.766706,58.959522,0.)); #515074=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #515075=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #515076=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #515077=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #515078=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #515079=CARTESIAN_POINT('Origin',(33.750772,58.933716,0.)); #515080=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #515081=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #515082=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #515083=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #515084=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #515085=CARTESIAN_POINT('Origin',(33.726034,58.916172,0.)); #515086=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #515087=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #515088=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.035)); #515089=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.)); #515090=CARTESIAN_POINT('Origin',(26.446416,29.19,0.)); #515091=CARTESIAN_POINT('',(26.446416,29.19,0.)); #515092=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #515093=CARTESIAN_POINT('',(26.446416,29.19,0.)); #515094=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #515095=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #515096=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #515097=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #515098=CARTESIAN_POINT('',(26.446416,29.19,0.)); #515099=CARTESIAN_POINT('Origin',(26.416603,29.195559,0.)); #515100=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #515101=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #515102=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #515103=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #515104=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #515105=CARTESIAN_POINT('Origin',(26.391319,29.212309,0.)); #515106=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #515107=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #515108=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #515109=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #515110=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #515111=CARTESIAN_POINT('Origin',(26.250919,29.352709,0.)); #515112=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #515113=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #515114=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #515115=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #515116=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #515117=CARTESIAN_POINT('Origin',(26.234634,29.376869,0.)); #515118=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #515119=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #515120=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #515121=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #515122=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #515123=CARTESIAN_POINT('Origin',(26.2286,29.406591,0.)); #515124=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #515125=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #515126=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #515127=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #515128=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #515129=CARTESIAN_POINT('Origin',(26.2286,30.592603,0.)); #515130=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #515131=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #515132=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #515133=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #515134=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #515135=CARTESIAN_POINT('Origin',(26.234169,30.6212,0.)); #515136=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #515137=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #515138=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #515139=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #515140=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #515141=CARTESIAN_POINT('Origin',(26.250919,30.646484,0.)); #515142=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #515143=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #515144=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #515145=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #515146=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #515147=CARTESIAN_POINT('Origin',(26.321119,30.716684,0.)); #515148=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #515149=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #515150=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #515151=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #515152=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #515153=CARTESIAN_POINT('Origin',(26.344166,30.732484,0.)); #515154=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #515155=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #515156=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #515157=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #515158=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #515159=CARTESIAN_POINT('Origin',(26.373784,30.738994,0.)); #515160=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #515161=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #515162=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #515163=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #515164=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #515165=CARTESIAN_POINT('Origin',(26.403597,30.733434,0.)); #515166=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #515167=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #515168=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #515169=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #515170=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #515171=CARTESIAN_POINT('Origin',(26.428881,30.716684,0.)); #515172=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #515173=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #515174=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #515175=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #515176=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #515177=CARTESIAN_POINT('Origin',(26.499081,30.646484,0.)); #515178=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #515179=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #515180=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #515181=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #515182=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #515183=CARTESIAN_POINT('Origin',(26.515366,30.622325,0.)); #515184=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #515185=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #515186=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #515187=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #515188=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #515189=CARTESIAN_POINT('Origin',(26.5214,30.592603,0.)); #515190=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #515191=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #515192=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #515193=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #515194=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #515195=CARTESIAN_POINT('Origin',(26.5214,29.266191,0.)); #515196=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #515197=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #515198=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #515199=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #515200=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #515201=CARTESIAN_POINT('Origin',(26.516706,29.239859,0.)); #515202=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #515203=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #515204=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #515205=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #515206=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #515207=CARTESIAN_POINT('Origin',(26.500772,29.214053,0.)); #515208=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #515209=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #515210=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #515211=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #515212=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #515213=CARTESIAN_POINT('Origin',(26.476034,29.196509,0.)); #515214=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #515215=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #515216=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.035)); #515217=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.)); #515218=CARTESIAN_POINT('Origin',(28.983003,36.2286,0.)); #515219=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #515220=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #515221=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #515222=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #515223=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #515224=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #515225=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #515226=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #515227=CARTESIAN_POINT('Origin',(27.815578,36.2286,0.)); #515228=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #515229=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #515230=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #515231=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #515232=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #515233=CARTESIAN_POINT('Origin',(27.786981,36.234169,0.)); #515234=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #515235=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #515236=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #515237=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #515238=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #515239=CARTESIAN_POINT('Origin',(27.761697,36.250919,0.)); #515240=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #515241=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #515242=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #515243=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #515244=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #515245=CARTESIAN_POINT('Origin',(27.621297,36.391319,0.)); #515246=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #515247=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #515248=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #515249=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #515250=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #515251=CARTESIAN_POINT('Origin',(27.605997,36.413256,0.)); #515252=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #515253=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #515254=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #515255=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #515256=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #515257=CARTESIAN_POINT('Origin',(27.599016,36.442772,0.)); #515258=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #515259=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #515260=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #515261=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #515262=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #515263=CARTESIAN_POINT('Origin',(27.6041,36.472669,0.)); #515264=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #515265=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #515266=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #515267=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #515268=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #515269=CARTESIAN_POINT('Origin',(27.620444,36.498216,0.)); #515270=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #515271=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #515272=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #515273=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #515274=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #515275=CARTESIAN_POINT('Origin',(27.645456,36.515366,0.)); #515276=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #515277=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #515278=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #515279=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #515280=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #515281=CARTESIAN_POINT('Origin',(27.675178,36.5214,0.)); #515282=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #515283=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #515284=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #515285=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #515286=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #515287=CARTESIAN_POINT('Origin',(28.842603,36.5214,0.)); #515288=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #515289=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #515290=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #515291=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #515292=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #515293=CARTESIAN_POINT('Origin',(28.8712,36.515831,0.)); #515294=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #515295=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #515296=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #515297=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #515298=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #515299=CARTESIAN_POINT('Origin',(28.896484,36.499081,0.)); #515300=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #515301=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #515302=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #515303=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #515304=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #515305=CARTESIAN_POINT('Origin',(29.036884,36.358681,0.)); #515306=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #515307=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #515308=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #515309=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #515310=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #515311=CARTESIAN_POINT('Origin',(29.052184,36.336744,0.)); #515312=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #515313=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #515314=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #515315=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #515316=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #515317=CARTESIAN_POINT('Origin',(29.059166,36.307228,0.)); #515318=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #515319=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #515320=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #515321=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #515322=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #515323=CARTESIAN_POINT('Origin',(29.054081,36.277331,0.)); #515324=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #515325=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #515326=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #515327=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #515328=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #515329=CARTESIAN_POINT('Origin',(29.037738,36.251784,0.)); #515330=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #515331=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #515332=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #515333=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #515334=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #515335=CARTESIAN_POINT('Origin',(29.012725,36.234634,0.)); #515336=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #515337=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #515338=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.035)); #515339=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.)); #515340=CARTESIAN_POINT('Origin',(41.557228,29.190028,0.)); #515341=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #515342=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #515343=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #515344=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #515345=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #515346=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #515347=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #515348=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #515349=CARTESIAN_POINT('Origin',(41.527331,29.195113,0.)); #515350=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #515351=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #515352=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #515353=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #515354=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #515355=CARTESIAN_POINT('Origin',(41.501784,29.211456,0.)); #515356=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #515357=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #515358=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #515359=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #515360=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #515361=CARTESIAN_POINT('Origin',(41.484634,29.236469,0.)); #515362=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #515363=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #515364=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #515365=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #515366=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #515367=CARTESIAN_POINT('Origin',(41.4786,29.266191,0.)); #515368=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #515369=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #515370=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #515371=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #515372=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #515373=CARTESIAN_POINT('Origin',(41.4786,30.592603,0.)); #515374=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #515375=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #515376=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #515377=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #515378=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #515379=CARTESIAN_POINT('Origin',(41.484169,30.6212,0.)); #515380=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #515381=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #515382=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #515383=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #515384=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #515385=CARTESIAN_POINT('Origin',(41.500919,30.646484,0.)); #515386=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #515387=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #515388=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #515389=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #515390=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #515391=CARTESIAN_POINT('Origin',(41.571119,30.716684,0.)); #515392=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #515393=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #515394=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #515395=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #515396=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #515397=CARTESIAN_POINT('Origin',(41.594166,30.732484,0.)); #515398=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #515399=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #515400=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #515401=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #515402=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #515403=CARTESIAN_POINT('Origin',(41.623784,30.738994,0.)); #515404=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #515405=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #515406=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #515407=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #515408=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #515409=CARTESIAN_POINT('Origin',(41.653597,30.733434,0.)); #515410=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #515411=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #515412=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #515413=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #515414=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #515415=CARTESIAN_POINT('Origin',(41.678881,30.716684,0.)); #515416=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #515417=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #515418=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #515419=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #515420=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #515421=CARTESIAN_POINT('Origin',(41.749081,30.646484,0.)); #515422=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #515423=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #515424=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #515425=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #515426=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #515427=CARTESIAN_POINT('Origin',(41.765366,30.622325,0.)); #515428=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #515429=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #515430=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #515431=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #515432=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #515433=CARTESIAN_POINT('Origin',(41.7714,30.592603,0.)); #515434=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #515435=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #515436=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #515437=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #515438=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #515439=CARTESIAN_POINT('Origin',(41.7714,29.406591,0.)); #515440=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #515441=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #515442=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #515443=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #515444=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #515445=CARTESIAN_POINT('Origin',(41.765831,29.377994,0.)); #515446=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #515447=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #515448=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #515449=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #515450=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #515451=CARTESIAN_POINT('Origin',(41.749081,29.352709,0.)); #515452=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #515453=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #515454=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #515455=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #515456=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #515457=CARTESIAN_POINT('Origin',(41.608681,29.212309,0.)); #515458=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #515459=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #515460=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #515461=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #515462=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #515463=CARTESIAN_POINT('Origin',(41.586744,29.197009,0.)); #515464=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #515465=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #515466=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.035)); #515467=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.)); #515468=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #515469=CARTESIAN_POINT('',(39.86,38.75,0.)); #515470=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #515471=CARTESIAN_POINT('',(39.86,38.75,0.0349999999999895)); #515472=CARTESIAN_POINT('',(39.86,38.75,-200.)); #515473=CARTESIAN_POINT('Origin',(40.,38.75,0.0349999999999895)); #515474=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #515475=CARTESIAN_POINT('',(39.86,39.5,0.)); #515476=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #515477=CARTESIAN_POINT('',(39.86,39.5,0.0349999999999895)); #515478=CARTESIAN_POINT('',(39.86,39.5,-200.)); #515479=CARTESIAN_POINT('Origin',(40.,39.5,0.0349999999999895)); #515480=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #515481=CARTESIAN_POINT('',(39.86,38.,0.)); #515482=CARTESIAN_POINT('Origin',(40.,38.,0.)); #515483=CARTESIAN_POINT('',(39.86,38.,0.0349999999999895)); #515484=CARTESIAN_POINT('',(39.86,38.,-200.)); #515485=CARTESIAN_POINT('Origin',(40.,38.,0.0349999999999895)); #515486=CARTESIAN_POINT('Origin',(40.404084,36.9786,0.)); #515487=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #515488=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #515489=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #515490=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #515491=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #515492=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #515493=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #515494=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #515495=CARTESIAN_POINT('Origin',(39.157397,36.9786,0.)); #515496=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #515497=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #515498=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #515499=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #515500=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #515501=CARTESIAN_POINT('Origin',(39.1288,36.984169,0.)); #515502=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #515503=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #515504=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #515505=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #515506=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #515507=CARTESIAN_POINT('Origin',(39.103516,37.000919,0.)); #515508=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #515509=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #515510=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #515511=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #515512=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #515513=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #515514=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #515515=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #515516=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #515517=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #515518=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #515519=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #515520=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #515521=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #515522=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #515523=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #515524=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #515525=CARTESIAN_POINT('Origin',(38.554281,37.158716,0.)); #515526=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #515527=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #515528=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #515529=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #515530=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #515531=CARTESIAN_POINT('Origin',(38.532344,37.143416,0.)); #515532=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #515533=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #515534=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #515535=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #515536=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #515537=CARTESIAN_POINT('Origin',(38.502828,37.136434,0.)); #515538=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #515539=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #515540=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #515541=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #515542=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #515543=CARTESIAN_POINT('Origin',(38.472931,37.141519,0.)); #515544=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #515545=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #515546=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #515547=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #515548=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #515549=CARTESIAN_POINT('Origin',(38.447384,37.157863,0.)); #515550=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #515551=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #515552=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #515553=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #515554=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #515555=CARTESIAN_POINT('Origin',(38.430234,37.182875,0.)); #515556=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #515557=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #515558=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #515559=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #515560=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #515561=CARTESIAN_POINT('Origin',(38.4242,37.212597,0.)); #515562=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #515563=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #515564=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #515565=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #515566=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #515567=CARTESIAN_POINT('Origin',(38.4242,37.307347,0.)); #515568=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #515569=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #515570=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #515571=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #515572=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #515573=CARTESIAN_POINT('Origin',(38.429769,37.335944,0.)); #515574=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #515575=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #515576=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #515577=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #515578=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #515579=CARTESIAN_POINT('Origin',(38.446519,37.361228,0.)); #515580=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #515581=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #515582=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #515583=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #515584=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #515585=CARTESIAN_POINT('Origin',(38.466072,37.380781,0.)); #515586=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #515587=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #515588=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #515589=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #515590=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #515591=CARTESIAN_POINT('Origin',(38.490231,37.397066,0.)); #515592=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #515593=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #515594=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #515595=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #515596=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #515597=CARTESIAN_POINT('Origin',(38.519953,37.4031,0.)); #515598=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #515599=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #515600=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #515601=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #515602=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #515603=CARTESIAN_POINT('Origin',(39.244228,37.4031,0.)); #515604=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #515605=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #515606=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #515607=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #515608=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #515609=CARTESIAN_POINT('Origin',(39.3335,37.492372,0.)); #515610=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #515611=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #515612=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #515613=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #515614=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #515615=CARTESIAN_POINT('Origin',(39.3335,38.507628,0.)); #515616=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #515617=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #515618=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #515619=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #515620=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #515621=CARTESIAN_POINT('Origin',(39.244228,38.5969,0.)); #515622=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #515623=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #515624=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #515625=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #515626=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #515627=CARTESIAN_POINT('Origin',(38.342153,38.5969,0.)); #515628=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #515629=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #515630=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #515631=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #515632=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #515633=CARTESIAN_POINT('Origin',(38.315822,38.601594,0.)); #515634=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #515635=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #515636=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #515637=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #515638=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #515639=CARTESIAN_POINT('Origin',(38.290016,38.617528,0.)); #515640=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #515641=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #515642=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #515643=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #515644=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #515645=CARTESIAN_POINT('Origin',(38.272472,38.642266,0.)); #515646=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #515647=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #515648=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #515649=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #515650=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #515651=CARTESIAN_POINT('Origin',(38.265963,38.671884,0.)); #515652=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #515653=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #515654=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #515655=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #515656=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #515657=CARTESIAN_POINT('Origin',(38.271522,38.701697,0.)); #515658=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #515659=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #515660=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #515661=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #515662=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #515663=CARTESIAN_POINT('Origin',(38.288272,38.726981,0.)); #515664=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #515665=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #515666=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #515667=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #515668=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #515669=CARTESIAN_POINT('Origin',(42.310372,42.749081,0.)); #515670=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #515671=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #515672=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #515673=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #515674=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #515675=CARTESIAN_POINT('Origin',(42.334531,42.765366,0.)); #515676=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #515677=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #515678=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #515679=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #515680=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #515681=CARTESIAN_POINT('Origin',(42.339706,42.766416,0.)); #515682=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #515683=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #515684=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #515685=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #515686=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #515687=CARTESIAN_POINT('Origin',(42.43095,42.857659,0.)); #515688=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #515689=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #515690=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #515691=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #515692=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #515693=CARTESIAN_POINT('Origin',(42.453997,42.873459,0.)); #515694=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #515695=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #515696=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #515697=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #515698=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #515699=CARTESIAN_POINT('Origin',(42.483616,42.879969,0.)); #515700=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #515701=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #515702=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #515703=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #515704=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #515705=CARTESIAN_POINT('Origin',(42.513428,42.874409,0.)); #515706=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #515707=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #515708=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #515709=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #515710=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #515711=CARTESIAN_POINT('Origin',(42.538713,42.857659,0.)); #515712=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #515713=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #515714=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #515715=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #515716=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #515717=CARTESIAN_POINT('Origin',(43.380781,42.015591,0.)); #515718=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #515719=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #515720=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #515721=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #515722=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #515723=CARTESIAN_POINT('Origin',(43.397066,41.991431,0.)); #515724=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #515725=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #515726=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #515727=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #515728=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #515729=CARTESIAN_POINT('Origin',(43.4031,41.961709,0.)); #515730=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #515731=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #515732=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #515733=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #515734=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #515735=CARTESIAN_POINT('Origin',(43.4031,41.279772,0.)); #515736=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #515737=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #515738=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #515739=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #515740=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #515741=CARTESIAN_POINT('Origin',(43.492372,41.1905,0.)); #515742=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #515743=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #515744=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #515745=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #515746=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #515747=CARTESIAN_POINT('Origin',(44.507628,41.1905,0.)); #515748=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #515749=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #515750=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #515751=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #515752=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #515753=CARTESIAN_POINT('Origin',(44.5969,41.279772,0.)); #515754=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #515755=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #515756=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #515757=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #515758=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #515759=CARTESIAN_POINT('Origin',(44.5969,42.1952,0.)); #515760=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #515761=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #515762=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #515763=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #515764=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #515765=CARTESIAN_POINT('Origin',(44.602022,42.222669,0.)); #515766=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #515767=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #515768=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #515769=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #515770=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #515771=CARTESIAN_POINT('Origin',(44.618366,42.248216,0.)); #515772=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #515773=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #515774=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #515775=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #515776=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #515777=CARTESIAN_POINT('Origin',(44.643378,42.265366,0.)); #515778=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #515779=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #515780=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #515781=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #515782=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #515783=CARTESIAN_POINT('Origin',(44.6731,42.2714,0.)); #515784=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #515785=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #515786=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #515787=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #515788=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #515789=CARTESIAN_POINT('Origin',(47.135747,42.2714,0.)); #515790=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #515791=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #515792=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #515793=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #515794=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #515795=CARTESIAN_POINT('Origin',(47.164344,42.265831,0.)); #515796=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #515797=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #515798=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #515799=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #515800=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #515801=CARTESIAN_POINT('Origin',(47.189628,42.249081,0.)); #515802=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #515803=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #515804=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #515805=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #515806=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #515807=CARTESIAN_POINT('Origin',(47.380781,42.057928,0.)); #515808=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #515809=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #515810=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #515811=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #515812=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #515813=CARTESIAN_POINT('Origin',(47.397066,42.033769,0.)); #515814=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #515815=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #515816=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #515817=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #515818=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #515819=CARTESIAN_POINT('Origin',(47.4031,42.004047,0.)); #515820=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #515821=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #515822=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #515823=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #515824=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #515825=CARTESIAN_POINT('Origin',(47.4031,41.279772,0.)); #515826=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #515827=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #515828=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #515829=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #515830=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #515831=CARTESIAN_POINT('Origin',(47.492372,41.1905,0.)); #515832=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #515833=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #515834=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #515835=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #515836=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #515837=CARTESIAN_POINT('Origin',(48.4452,41.1905,0.)); #515838=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #515839=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #515840=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #515841=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #515842=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #515843=CARTESIAN_POINT('Origin',(48.472669,41.185378,0.)); #515844=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #515845=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #515846=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #515847=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #515848=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #515849=CARTESIAN_POINT('Origin',(48.498216,41.169034,0.)); #515850=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #515851=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #515852=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #515853=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #515854=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #515855=CARTESIAN_POINT('Origin',(48.515366,41.144022,0.)); #515856=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #515857=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #515858=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #515859=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #515860=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #515861=CARTESIAN_POINT('Origin',(48.5214,41.1143,0.)); #515862=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #515863=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #515864=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #515865=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #515866=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #515867=CARTESIAN_POINT('Origin',(48.5214,40.8857,0.)); #515868=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #515869=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #515870=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #515871=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #515872=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #515873=CARTESIAN_POINT('Origin',(48.516278,40.858231,0.)); #515874=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #515875=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #515876=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #515877=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #515878=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #515879=CARTESIAN_POINT('Origin',(48.499934,40.832684,0.)); #515880=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #515881=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #515882=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #515883=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #515884=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #515885=CARTESIAN_POINT('Origin',(48.474922,40.815534,0.)); #515886=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #515887=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #515888=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #515889=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #515890=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #515891=CARTESIAN_POINT('Origin',(48.4452,40.8095,0.)); #515892=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #515893=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #515894=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #515895=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #515896=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #515897=CARTESIAN_POINT('Origin',(47.492372,40.8095,0.)); #515898=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #515899=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #515900=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #515901=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #515902=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #515903=CARTESIAN_POINT('Origin',(47.4031,40.720228,0.)); #515904=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #515905=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #515906=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #515907=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #515908=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #515909=CARTESIAN_POINT('Origin',(47.4031,39.995953,0.)); #515910=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #515911=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #515912=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #515913=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #515914=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #515915=CARTESIAN_POINT('Origin',(47.397531,39.967356,0.)); #515916=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #515917=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #515918=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #515919=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #515920=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #515921=CARTESIAN_POINT('Origin',(47.380781,39.942072,0.)); #515922=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #515923=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #515924=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #515925=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #515926=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #515927=CARTESIAN_POINT('Origin',(47.177628,39.738919,0.)); #515928=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #515929=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #515930=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #515931=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #515932=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #515933=CARTESIAN_POINT('Origin',(47.153469,39.722634,0.)); #515934=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #515935=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #515936=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #515937=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #515938=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #515939=CARTESIAN_POINT('Origin',(47.123747,39.7166,0.)); #515940=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #515941=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #515942=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #515943=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #515944=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #515945=CARTESIAN_POINT('Origin',(44.6731,39.7166,0.)); #515946=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #515947=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #515948=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #515949=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #515950=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #515951=CARTESIAN_POINT('Origin',(44.645631,39.721722,0.)); #515952=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #515953=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #515954=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #515955=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #515956=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #515957=CARTESIAN_POINT('Origin',(44.620084,39.738066,0.)); #515958=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #515959=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #515960=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #515961=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #515962=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #515963=CARTESIAN_POINT('Origin',(44.602934,39.763078,0.)); #515964=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #515965=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #515966=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #515967=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #515968=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #515969=CARTESIAN_POINT('Origin',(44.5969,39.7928,0.)); #515970=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #515971=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #515972=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #515973=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #515974=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #515975=CARTESIAN_POINT('Origin',(44.5969,40.720228,0.)); #515976=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #515977=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #515978=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #515979=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #515980=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #515981=CARTESIAN_POINT('Origin',(44.507628,40.8095,0.)); #515982=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #515983=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #515984=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #515985=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #515986=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #515987=CARTESIAN_POINT('Origin',(43.492372,40.8095,0.)); #515988=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #515989=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #515990=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #515991=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #515992=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #515993=CARTESIAN_POINT('Origin',(43.4031,40.720228,0.)); #515994=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #515995=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #515996=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #515997=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #515998=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #515999=CARTESIAN_POINT('Origin',(43.4031,39.977616,0.)); #516000=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #516001=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #516002=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #516003=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #516004=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #516005=CARTESIAN_POINT('Origin',(43.397531,39.949019,0.)); #516006=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #516007=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #516008=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #516009=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #516010=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #516011=CARTESIAN_POINT('Origin',(43.380781,39.923734,0.)); #516012=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #516013=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #516014=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #516015=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #516016=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #516017=CARTESIAN_POINT('Origin',(40.457966,37.000919,0.)); #516018=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #516019=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #516020=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #516021=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #516022=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #516023=CARTESIAN_POINT('Origin',(40.433806,36.984634,0.)); #516024=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #516025=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #516026=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.035)); #516027=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.)); #516028=CARTESIAN_POINT('Origin',(38.123747,16.4786,0.)); #516029=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #516030=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #516031=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #516032=CARTESIAN_POINT('',(38.153469,16.484634,0.035)); #516033=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #516034=CARTESIAN_POINT('',(38.123747,16.4786,0.035)); #516035=CARTESIAN_POINT('',(38.123747,16.4786,0.035)); #516036=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #516037=CARTESIAN_POINT('Origin',(37.9411,16.4786,0.)); #516038=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #516039=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #516040=CARTESIAN_POINT('',(37.9411,16.4786,0.035)); #516041=CARTESIAN_POINT('',(37.9411,16.4786,0.035)); #516042=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #516043=CARTESIAN_POINT('Origin',(37.913631,16.483722,0.)); #516044=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #516045=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #516046=CARTESIAN_POINT('',(37.913631,16.483722,0.035)); #516047=CARTESIAN_POINT('',(37.913631,16.483722,0.035)); #516048=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #516049=CARTESIAN_POINT('Origin',(37.888084,16.500066,0.)); #516050=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #516051=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #516052=CARTESIAN_POINT('',(37.888084,16.500066,0.035)); #516053=CARTESIAN_POINT('',(37.888084,16.500066,0.035)); #516054=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #516055=CARTESIAN_POINT('Origin',(37.870934,16.525078,0.)); #516056=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #516057=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #516058=CARTESIAN_POINT('',(37.870934,16.525078,0.035)); #516059=CARTESIAN_POINT('',(37.870934,16.525078,0.035)); #516060=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #516061=CARTESIAN_POINT('Origin',(37.8649,16.5548,0.)); #516062=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #516063=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #516064=CARTESIAN_POINT('',(37.8649,16.5548,0.035)); #516065=CARTESIAN_POINT('',(37.8649,16.5548,0.035)); #516066=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #516067=CARTESIAN_POINT('Origin',(37.8649,16.952697,0.)); #516068=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #516069=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #516070=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #516071=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #516072=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #516073=CARTESIAN_POINT('Origin',(37.853609,16.969166,0.)); #516074=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #516075=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #516076=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #516077=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #516078=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #516079=CARTESIAN_POINT('Origin',(37.8471,16.998784,0.)); #516080=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #516081=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #516082=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #516083=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #516084=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #516085=CARTESIAN_POINT('Origin',(37.852659,17.028597,0.)); #516086=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #516087=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #516088=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #516089=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #516090=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #516091=CARTESIAN_POINT('Origin',(37.8649,17.047075,0.)); #516092=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #516093=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #516094=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #516095=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #516096=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #516097=CARTESIAN_POINT('Origin',(37.8649,17.4452,0.)); #516098=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #516099=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #516100=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #516101=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #516102=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #516103=CARTESIAN_POINT('Origin',(37.870022,17.472669,0.)); #516104=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #516105=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #516106=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #516107=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #516108=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #516109=CARTESIAN_POINT('Origin',(37.886366,17.498216,0.)); #516110=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #516111=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #516112=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #516113=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #516114=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #516115=CARTESIAN_POINT('Origin',(37.911378,17.515366,0.)); #516116=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #516117=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #516118=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #516119=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #516120=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #516121=CARTESIAN_POINT('Origin',(37.9411,17.5214,0.)); #516122=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #516123=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #516124=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #516125=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #516126=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #516127=CARTESIAN_POINT('Origin',(38.123747,17.5214,0.)); #516128=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #516129=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #516130=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #516131=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #516132=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #516133=CARTESIAN_POINT('Origin',(38.152344,17.515831,0.)); #516134=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #516135=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #516136=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #516137=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #516138=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #516139=CARTESIAN_POINT('Origin',(38.177628,17.499081,0.)); #516140=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #516141=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #516142=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #516143=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #516144=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #516145=CARTESIAN_POINT('Origin',(38.405309,17.2714,0.)); #516146=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #516147=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #516148=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #516149=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #516150=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #516151=CARTESIAN_POINT('Origin',(38.842603,17.2714,0.)); #516152=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #516153=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #516154=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #516155=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #516156=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #516157=CARTESIAN_POINT('Origin',(38.8712,17.265831,0.)); #516158=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #516159=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #516160=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #516161=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #516162=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #516163=CARTESIAN_POINT('Origin',(38.896484,17.249081,0.)); #516164=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #516165=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #516166=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #516167=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #516168=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #516169=CARTESIAN_POINT('Origin',(39.091684,17.053881,0.)); #516170=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #516171=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #516172=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #516173=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #516174=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #516175=CARTESIAN_POINT('Origin',(39.107484,17.030834,0.)); #516176=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #516177=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #516178=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #516179=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #516180=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #516181=CARTESIAN_POINT('Origin',(39.113994,17.001216,0.)); #516182=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #516183=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #516184=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #516185=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #516186=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #516187=CARTESIAN_POINT('Origin',(39.108434,16.971403,0.)); #516188=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #516189=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #516190=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #516191=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #516192=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #516193=CARTESIAN_POINT('Origin',(39.091684,16.946119,0.)); #516194=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #516195=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #516196=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #516197=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #516198=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #516199=CARTESIAN_POINT('Origin',(38.896484,16.750919,0.)); #516200=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #516201=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #516202=CARTESIAN_POINT('',(38.896484,16.750919,0.035)); #516203=CARTESIAN_POINT('',(38.896484,16.750919,0.035)); #516204=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #516205=CARTESIAN_POINT('Origin',(38.872325,16.734634,0.)); #516206=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #516207=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #516208=CARTESIAN_POINT('',(38.872325,16.734634,0.035)); #516209=CARTESIAN_POINT('',(38.872325,16.734634,0.035)); #516210=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #516211=CARTESIAN_POINT('Origin',(38.842603,16.7286,0.)); #516212=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #516213=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #516214=CARTESIAN_POINT('',(38.842603,16.7286,0.035)); #516215=CARTESIAN_POINT('',(38.842603,16.7286,0.035)); #516216=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #516217=CARTESIAN_POINT('Origin',(38.405309,16.7286,0.)); #516218=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #516219=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #516220=CARTESIAN_POINT('',(38.405309,16.7286,0.035)); #516221=CARTESIAN_POINT('',(38.405309,16.7286,0.035)); #516222=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #516223=CARTESIAN_POINT('Origin',(38.177628,16.500919,0.)); #516224=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #516225=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #516226=CARTESIAN_POINT('',(38.177628,16.500919,0.035)); #516227=CARTESIAN_POINT('',(38.177628,16.500919,0.035)); #516228=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #516229=CARTESIAN_POINT('Origin',(38.153469,16.484634,0.)); #516230=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #516231=CARTESIAN_POINT('',(38.153469,16.484634,0.035)); #516232=CARTESIAN_POINT('Origin',(38.3560814325145,16.9999994065418,0.035)); #516233=CARTESIAN_POINT('Origin',(38.3560814325145,16.9999994065418,0.)); #516234=CARTESIAN_POINT('Origin',(30.182228,29.934491,0.)); #516235=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #516236=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #516237=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #516238=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #516239=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #516240=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #516241=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #516242=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #516243=CARTESIAN_POINT('Origin',(30.152331,29.939575,0.)); #516244=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #516245=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #516246=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #516247=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #516248=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #516249=CARTESIAN_POINT('Origin',(30.126784,29.955919,0.)); #516250=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #516251=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #516252=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #516253=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #516254=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #516255=CARTESIAN_POINT('Origin',(30.109634,29.980931,0.)); #516256=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #516257=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #516258=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #516259=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #516260=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #516261=CARTESIAN_POINT('Origin',(30.1036,30.010653,0.)); #516262=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #516263=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #516264=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #516265=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #516266=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #516267=CARTESIAN_POINT('Origin',(30.1036,30.998747,0.)); #516268=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #516269=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #516270=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #516271=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #516272=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #516273=CARTESIAN_POINT('Origin',(30.109169,31.027344,0.)); #516274=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #516275=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #516276=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #516277=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #516278=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #516279=CARTESIAN_POINT('Origin',(30.125919,31.052628,0.)); #516280=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #516281=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #516282=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #516283=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #516284=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #516285=CARTESIAN_POINT('Origin',(30.720819,31.647528,0.)); #516286=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #516287=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #516288=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #516289=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #516290=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #516291=CARTESIAN_POINT('Origin',(30.742756,31.662828,0.)); #516292=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #516293=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #516294=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #516295=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #516296=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #516297=CARTESIAN_POINT('Origin',(30.772272,31.669809,0.)); #516298=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #516299=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #516300=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #516301=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #516302=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #516303=CARTESIAN_POINT('Origin',(30.802169,31.664725,0.)); #516304=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #516305=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #516306=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #516307=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #516308=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #516309=CARTESIAN_POINT('Origin',(30.827716,31.648381,0.)); #516310=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #516311=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #516312=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #516313=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #516314=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #516315=CARTESIAN_POINT('Origin',(30.844866,31.623369,0.)); #516316=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #516317=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #516318=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #516319=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #516320=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #516321=CARTESIAN_POINT('Origin',(30.8509,31.593647,0.)); #516322=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #516323=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #516324=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #516325=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #516326=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #516327=CARTESIAN_POINT('Origin',(30.8509,30.605553,0.)); #516328=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #516329=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #516330=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #516331=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #516332=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #516333=CARTESIAN_POINT('Origin',(30.845331,30.576956,0.)); #516334=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #516335=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #516336=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #516337=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #516338=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #516339=CARTESIAN_POINT('Origin',(30.828581,30.551672,0.)); #516340=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #516341=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #516342=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #516343=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #516344=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #516345=CARTESIAN_POINT('Origin',(30.233681,29.956772,0.)); #516346=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #516347=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #516348=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #516349=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #516350=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #516351=CARTESIAN_POINT('Origin',(30.211744,29.941472,0.)); #516352=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #516353=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #516354=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.035)); #516355=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.)); #516356=CARTESIAN_POINT('Origin',(38.842603,17.7286,0.)); #516357=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #516358=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #516359=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #516360=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #516361=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #516362=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #516363=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #516364=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #516365=CARTESIAN_POINT('Origin',(38.626253,17.7286,0.)); #516366=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #516367=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #516368=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #516369=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #516370=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #516371=CARTESIAN_POINT('Origin',(38.597656,17.734169,0.)); #516372=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #516373=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #516374=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #516375=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #516376=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #516377=CARTESIAN_POINT('Origin',(38.572372,17.750919,0.)); #516378=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #516379=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #516380=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #516381=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #516382=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #516383=CARTESIAN_POINT('Origin',(38.431972,17.891319,0.)); #516384=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #516385=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #516386=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #516387=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #516388=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #516389=CARTESIAN_POINT('Origin',(38.416672,17.913256,0.)); #516390=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #516391=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #516392=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #516393=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #516394=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #516395=CARTESIAN_POINT('Origin',(38.409691,17.942772,0.)); #516396=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #516397=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #516398=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #516399=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #516400=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #516401=CARTESIAN_POINT('Origin',(38.414775,17.972669,0.)); #516402=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #516403=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #516404=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #516405=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #516406=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #516407=CARTESIAN_POINT('Origin',(38.431119,17.998216,0.)); #516408=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #516409=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #516410=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #516411=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #516412=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #516413=CARTESIAN_POINT('Origin',(38.456131,18.015366,0.)); #516414=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #516415=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #516416=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #516417=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #516418=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #516419=CARTESIAN_POINT('Origin',(38.485853,18.0214,0.)); #516420=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #516421=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #516422=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #516423=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #516424=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #516425=CARTESIAN_POINT('Origin',(38.842603,18.0214,0.)); #516426=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #516427=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #516428=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #516429=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #516430=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #516431=CARTESIAN_POINT('Origin',(38.8712,18.015831,0.)); #516432=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #516433=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #516434=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #516435=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #516436=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #516437=CARTESIAN_POINT('Origin',(38.896484,17.999081,0.)); #516438=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #516439=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #516440=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #516441=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #516442=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #516443=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #516444=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #516445=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #516446=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #516447=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #516448=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #516449=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #516450=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #516451=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #516452=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #516453=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #516454=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #516455=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #516456=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #516457=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #516458=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #516459=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #516460=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #516461=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #516462=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #516463=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #516464=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #516465=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #516466=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #516467=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #516468=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #516469=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #516470=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #516471=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #516472=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #516473=CARTESIAN_POINT('Origin',(38.896484,17.750919,0.)); #516474=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #516475=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #516476=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #516477=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #516478=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #516479=CARTESIAN_POINT('Origin',(38.872325,17.734634,0.)); #516480=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #516481=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #516482=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.035)); #516483=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.)); #516484=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #516485=CARTESIAN_POINT('',(40.86,25.25,0.)); #516486=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #516487=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #516488=CARTESIAN_POINT('',(40.86,25.25,-200.)); #516489=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #516490=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #516491=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #516492=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #516493=CARTESIAN_POINT('',(40.7828720988787,34.565,0.0350000000000072)); #516494=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #516495=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #516496=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #516497=CARTESIAN_POINT('',(40.7828720988787,34.565,0.)); #516498=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #516499=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #516500=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #516501=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #516502=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #516503=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #516504=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #516505=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #516506=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #516507=CARTESIAN_POINT('',(40.4828720534613,33.435,0.0350000000000072)); #516508=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #516509=CARTESIAN_POINT('',(40.4828720534613,33.435,0.)); #516510=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #516511=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #516512=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #516513=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #516514=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #516515=CARTESIAN_POINT('',(43.235,34.,0.)); #516516=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #516517=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #516518=CARTESIAN_POINT('',(43.235,34.,-200.)); #516519=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #516520=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #516521=CARTESIAN_POINT('',(40.86,24.5,0.)); #516522=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #516523=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #516524=CARTESIAN_POINT('',(40.86,24.5,-200.)); #516525=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #516526=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #516527=CARTESIAN_POINT('',(40.86,26.,0.)); #516528=CARTESIAN_POINT('Origin',(41.,26.,0.)); #516529=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #516530=CARTESIAN_POINT('',(40.86,26.,-200.)); #516531=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #516532=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #516533=CARTESIAN_POINT('',(41.61,25.25,0.)); #516534=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #516535=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #516536=CARTESIAN_POINT('',(41.61,25.25,-200.)); #516537=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #516538=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #516539=CARTESIAN_POINT('',(41.61,26.,0.)); #516540=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #516541=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #516542=CARTESIAN_POINT('',(41.61,26.,-200.)); #516543=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #516544=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #516545=CARTESIAN_POINT('',(42.36,24.5,0.)); #516546=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #516547=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #516548=CARTESIAN_POINT('',(42.36,24.5,-200.)); #516549=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #516550=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #516551=CARTESIAN_POINT('',(41.61,24.5,0.)); #516552=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #516553=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #516554=CARTESIAN_POINT('',(41.61,24.5,-200.)); #516555=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #516556=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #516557=CARTESIAN_POINT('',(42.36,25.25,0.)); #516558=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #516559=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #516560=CARTESIAN_POINT('',(42.36,25.25,-200.)); #516561=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #516562=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #516563=CARTESIAN_POINT('',(42.36,26.,0.)); #516564=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #516565=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #516566=CARTESIAN_POINT('',(42.36,26.,-200.)); #516567=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #516568=CARTESIAN_POINT('Origin',(46.444419,33.0226,0.)); #516569=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #516570=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #516571=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #516572=CARTESIAN_POINT('',(46.055581,33.0226,0.035)); #516573=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #516574=CARTESIAN_POINT('',(46.444419,33.0226,0.035)); #516575=CARTESIAN_POINT('',(46.444419,33.0226,0.035)); #516576=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #516577=CARTESIAN_POINT('Origin',(46.803653,33.1714,0.)); #516578=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #516579=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #516580=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #516581=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #516582=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #516583=CARTESIAN_POINT('Origin',(47.0786,33.446347,0.)); #516584=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #516585=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #516586=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #516587=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #516588=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #516589=CARTESIAN_POINT('Origin',(47.2274,33.805581,0.)); #516590=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #516591=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #516592=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #516593=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #516594=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #516595=CARTESIAN_POINT('Origin',(47.2274,34.194419,0.)); #516596=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #516597=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #516598=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #516599=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #516600=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #516601=CARTESIAN_POINT('Origin',(47.0786,34.553653,0.)); #516602=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #516603=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #516604=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #516605=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #516606=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #516607=CARTESIAN_POINT('Origin',(46.803653,34.8286,0.)); #516608=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #516609=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #516610=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #516611=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #516612=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #516613=CARTESIAN_POINT('Origin',(46.444419,34.9774,0.)); #516614=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #516615=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #516616=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #516617=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #516618=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #516619=CARTESIAN_POINT('Origin',(46.055581,34.9774,0.)); #516620=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #516621=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #516622=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #516623=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #516624=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #516625=CARTESIAN_POINT('Origin',(45.696347,34.8286,0.)); #516626=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #516627=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #516628=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #516629=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #516630=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #516631=CARTESIAN_POINT('Origin',(45.4214,34.553653,0.)); #516632=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #516633=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #516634=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #516635=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #516636=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #516637=CARTESIAN_POINT('Origin',(45.2726,34.194419,0.)); #516638=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #516639=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #516640=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #516641=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #516642=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #516643=CARTESIAN_POINT('Origin',(45.2726,33.805581,0.)); #516644=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #516645=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #516646=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #516647=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #516648=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #516649=CARTESIAN_POINT('Origin',(45.4214,33.446347,0.)); #516650=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #516651=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #516652=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #516653=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #516654=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #516655=CARTESIAN_POINT('Origin',(45.696347,33.1714,0.)); #516656=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #516657=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #516658=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #516659=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #516660=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #516661=CARTESIAN_POINT('Origin',(46.055581,33.0226,0.)); #516662=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #516663=CARTESIAN_POINT('',(46.055581,33.0226,0.035)); #516664=CARTESIAN_POINT('Origin',(39.0722,19.9786,0.)); #516665=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #516666=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #516667=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #516668=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #516669=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #516670=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #516671=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #516672=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #516673=CARTESIAN_POINT('Origin',(37.868491,19.9786,0.)); #516674=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #516675=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #516676=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #516677=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #516678=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #516679=CARTESIAN_POINT('Origin',(37.839894,19.984169,0.)); #516680=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #516681=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #516682=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #516683=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #516684=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #516685=CARTESIAN_POINT('Origin',(37.814609,20.000919,0.)); #516686=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #516687=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #516688=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #516689=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #516690=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #516691=CARTESIAN_POINT('Origin',(37.775628,20.0399,0.)); #516692=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #516693=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #516694=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #516695=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #516696=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #516697=CARTESIAN_POINT('Origin',(37.7048,20.0399,0.)); #516698=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #516699=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #516700=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #516701=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #516702=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #516703=CARTESIAN_POINT('Origin',(37.677331,20.045022,0.)); #516704=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #516705=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #516706=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #516707=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #516708=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #516709=CARTESIAN_POINT('Origin',(37.651784,20.061366,0.)); #516710=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #516711=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #516712=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #516713=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #516714=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #516715=CARTESIAN_POINT('Origin',(37.634634,20.086378,0.)); #516716=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #516717=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #516718=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #516719=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #516720=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #516721=CARTESIAN_POINT('Origin',(37.6286,20.1161,0.)); #516722=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #516723=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #516724=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #516725=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #516726=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #516727=CARTESIAN_POINT('Origin',(37.6286,20.219691,0.)); #516728=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #516729=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #516730=CARTESIAN_POINT('',(37.6286,20.219691,0.035)); #516731=CARTESIAN_POINT('',(37.6286,20.219691,0.035)); #516732=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #516733=CARTESIAN_POINT('Origin',(37.219691,20.6286,0.)); #516734=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #516735=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #516736=CARTESIAN_POINT('',(37.219691,20.6286,0.035)); #516737=CARTESIAN_POINT('',(37.219691,20.6286,0.035)); #516738=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #516739=CARTESIAN_POINT('Origin',(37.1161,20.6286,0.)); #516740=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #516741=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #516742=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #516743=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #516744=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #516745=CARTESIAN_POINT('Origin',(37.088631,20.633722,0.)); #516746=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #516747=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #516748=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #516749=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #516750=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #516751=CARTESIAN_POINT('Origin',(37.063084,20.650066,0.)); #516752=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #516753=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #516754=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #516755=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #516756=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #516757=CARTESIAN_POINT('Origin',(37.045934,20.675078,0.)); #516758=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #516759=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #516760=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #516761=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #516762=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #516763=CARTESIAN_POINT('Origin',(37.0399,20.7048,0.)); #516764=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #516765=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #516766=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #516767=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #516768=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #516769=CARTESIAN_POINT('Origin',(37.0399,20.775628,0.)); #516770=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #516771=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #516772=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #516773=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #516774=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #516775=CARTESIAN_POINT('Origin',(36.950628,20.8649,0.)); #516776=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #516777=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #516778=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #516779=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #516780=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #516781=CARTESIAN_POINT('Origin',(36.5548,20.8649,0.)); #516782=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #516783=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #516784=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #516785=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #516786=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #516787=CARTESIAN_POINT('Origin',(36.527331,20.870022,0.)); #516788=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #516789=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #516790=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #516791=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #516792=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #516793=CARTESIAN_POINT('Origin',(36.501784,20.886366,0.)); #516794=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #516795=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #516796=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #516797=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #516798=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #516799=CARTESIAN_POINT('Origin',(36.484634,20.911378,0.)); #516800=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #516801=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #516802=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #516803=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #516804=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #516805=CARTESIAN_POINT('Origin',(36.4786,20.9411,0.)); #516806=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #516807=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #516808=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #516809=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #516810=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #516811=CARTESIAN_POINT('Origin',(36.4786,21.722059,0.)); #516812=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #516813=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #516814=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #516815=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #516816=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #516817=CARTESIAN_POINT('Origin',(36.484169,21.750656,0.)); #516818=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #516819=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #516820=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #516821=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #516822=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #516823=CARTESIAN_POINT('Origin',(36.500919,21.775941,0.)); #516824=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #516825=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #516826=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #516827=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #516828=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #516829=CARTESIAN_POINT('Origin',(37.141319,22.416341,0.)); #516830=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #516831=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #516832=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #516833=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #516834=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #516835=CARTESIAN_POINT('Origin',(37.163256,22.431641,0.)); #516836=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #516837=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #516838=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #516839=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #516840=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #516841=CARTESIAN_POINT('Origin',(37.192772,22.438622,0.)); #516842=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #516843=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #516844=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #516845=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #516846=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #516847=CARTESIAN_POINT('Origin',(37.222669,22.433537,0.)); #516848=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #516849=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #516850=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #516851=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #516852=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #516853=CARTESIAN_POINT('Origin',(37.248216,22.417194,0.)); #516854=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #516855=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #516856=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #516857=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #516858=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #516859=CARTESIAN_POINT('Origin',(37.265366,22.392181,0.)); #516860=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #516861=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #516862=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #516863=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #516864=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #516865=CARTESIAN_POINT('Origin',(37.2714,22.362459,0.)); #516866=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #516867=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #516868=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #516869=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #516870=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #516871=CARTESIAN_POINT('Origin',(37.2714,21.657397,0.)); #516872=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #516873=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #516874=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #516875=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #516876=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #516877=CARTESIAN_POINT('Origin',(37.265831,21.6288,0.)); #516878=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #516879=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #516880=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #516881=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #516882=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #516883=CARTESIAN_POINT('Origin',(37.249081,21.603516,0.)); #516884=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #516885=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #516886=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #516887=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #516888=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #516889=CARTESIAN_POINT('Origin',(37.0726,21.427034,0.)); #516890=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #516891=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #516892=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #516893=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #516894=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #516895=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #516896=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #516897=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #516898=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #516899=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #516900=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #516901=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #516902=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #516903=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #516904=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #516905=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #516906=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #516907=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #516908=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #516909=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #516910=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #516911=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #516912=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #516913=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #516914=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #516915=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #516916=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #516917=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #516918=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #516919=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #516920=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #516921=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #516922=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #516923=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #516924=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #516925=CARTESIAN_POINT('Origin',(37.750919,21.603516,0.)); #516926=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #516927=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #516928=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #516929=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #516930=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #516931=CARTESIAN_POINT('Origin',(37.734634,21.627675,0.)); #516932=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #516933=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #516934=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #516935=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #516936=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #516937=CARTESIAN_POINT('Origin',(37.7286,21.657397,0.)); #516938=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #516939=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #516940=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #516941=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #516942=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #516943=CARTESIAN_POINT('Origin',(37.7286,22.441722,0.)); #516944=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #516945=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #516946=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #516947=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #516948=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #516949=CARTESIAN_POINT('Origin',(37.734169,22.470319,0.)); #516950=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #516951=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #516952=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #516953=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #516954=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #516955=CARTESIAN_POINT('Origin',(37.750919,22.495603,0.)); #516956=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #516957=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #516958=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #516959=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #516960=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #516961=CARTESIAN_POINT('Origin',(38.754397,23.499081,0.)); #516962=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #516963=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #516964=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #516965=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #516966=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #516967=CARTESIAN_POINT('Origin',(38.778556,23.515366,0.)); #516968=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #516969=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #516970=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #516971=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #516972=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #516973=CARTESIAN_POINT('Origin',(38.808278,23.5214,0.)); #516974=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #516975=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #516976=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #516977=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #516978=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #516979=CARTESIAN_POINT('Origin',(45.106691,23.5214,0.)); #516980=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #516981=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #516982=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #516983=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #516984=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #516985=CARTESIAN_POINT('Origin',(45.9906,24.405309,0.)); #516986=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #516987=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #516988=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #516989=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #516990=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #516991=CARTESIAN_POINT('Origin',(45.9906,25.3269,0.)); #516992=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #516993=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #516994=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #516995=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #516996=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #516997=CARTESIAN_POINT('Origin',(45.995722,25.354369,0.)); #516998=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #516999=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #517000=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #517001=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #517002=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #517003=CARTESIAN_POINT('Origin',(46.012066,25.379916,0.)); #517004=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #517005=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #517006=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #517007=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #517008=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #517009=CARTESIAN_POINT('Origin',(46.037078,25.397066,0.)); #517010=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #517011=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #517012=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #517013=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #517014=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #517015=CARTESIAN_POINT('Origin',(46.0668,25.4031,0.)); #517016=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #517017=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #517018=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #517019=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #517020=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #517021=CARTESIAN_POINT('Origin',(46.244228,25.4031,0.)); #517022=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #517023=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #517024=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #517025=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #517026=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #517027=CARTESIAN_POINT('Origin',(46.3335,25.492372,0.)); #517028=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #517029=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #517030=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #517031=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #517032=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #517033=CARTESIAN_POINT('Origin',(46.3335,26.507628,0.)); #517034=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #517035=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #517036=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #517037=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #517038=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #517039=CARTESIAN_POINT('Origin',(46.244228,26.5969,0.)); #517040=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #517041=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #517042=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #517043=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #517044=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #517045=CARTESIAN_POINT('Origin',(45.279772,26.5969,0.)); #517046=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #517047=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #517048=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #517049=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #517050=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #517051=CARTESIAN_POINT('Origin',(45.1905,26.507628,0.)); #517052=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #517053=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #517054=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #517055=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #517056=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #517057=CARTESIAN_POINT('Origin',(45.1905,25.492372,0.)); #517058=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #517059=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #517060=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #517061=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #517062=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #517063=CARTESIAN_POINT('Origin',(45.279772,25.4031,0.)); #517064=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #517065=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #517066=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #517067=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #517068=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #517069=CARTESIAN_POINT('Origin',(45.4572,25.4031,0.)); #517070=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #517071=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #517072=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #517073=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #517074=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #517075=CARTESIAN_POINT('Origin',(45.484669,25.397978,0.)); #517076=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #517077=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #517078=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #517079=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #517080=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #517081=CARTESIAN_POINT('Origin',(45.510216,25.381634,0.)); #517082=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #517083=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #517084=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #517085=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #517086=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #517087=CARTESIAN_POINT('Origin',(45.527366,25.356622,0.)); #517088=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #517089=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #517090=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #517091=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #517092=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #517093=CARTESIAN_POINT('Origin',(45.5334,25.3269,0.)); #517094=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #517095=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #517096=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #517097=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #517098=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #517099=CARTESIAN_POINT('Origin',(45.5334,24.626253,0.)); #517100=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #517101=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #517102=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #517103=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #517104=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #517105=CARTESIAN_POINT('Origin',(45.527831,24.597656,0.)); #517106=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #517107=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #517108=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #517109=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #517110=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #517111=CARTESIAN_POINT('Origin',(45.511081,24.572372,0.)); #517112=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #517113=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #517114=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #517115=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #517116=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #517117=CARTESIAN_POINT('Origin',(44.939628,24.000919,0.)); #517118=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #517119=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #517120=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #517121=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #517122=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #517123=CARTESIAN_POINT('Origin',(44.915469,23.984634,0.)); #517124=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #517125=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #517126=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #517127=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #517128=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #517129=CARTESIAN_POINT('Origin',(44.885747,23.9786,0.)); #517130=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #517131=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #517132=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #517133=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #517134=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #517135=CARTESIAN_POINT('Origin',(38.887541,23.9786,0.)); #517136=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #517137=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #517138=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #517139=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #517140=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #517141=CARTESIAN_POINT('Origin',(38.861209,23.983294,0.)); #517142=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #517143=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #517144=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #517145=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #517146=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #517147=CARTESIAN_POINT('Origin',(38.835403,23.999228,0.)); #517148=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #517149=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #517150=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #517151=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #517152=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #517153=CARTESIAN_POINT('Origin',(38.817859,24.023966,0.)); #517154=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #517155=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #517156=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #517157=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #517158=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #517159=CARTESIAN_POINT('Origin',(38.81135,24.053584,0.)); #517160=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #517161=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #517162=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #517163=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #517164=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #517165=CARTESIAN_POINT('Origin',(38.816909,24.083397,0.)); #517166=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #517167=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #517168=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #517169=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #517170=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #517171=CARTESIAN_POINT('Origin',(38.833659,24.108681,0.)); #517172=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #517173=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #517174=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #517175=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #517176=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #517177=CARTESIAN_POINT('Origin',(39.1036,24.378622,0.)); #517178=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #517179=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #517180=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #517181=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #517182=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #517183=CARTESIAN_POINT('Origin',(39.1036,26.029084,0.)); #517184=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #517185=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #517186=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #517187=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #517188=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #517189=CARTESIAN_POINT('Origin',(39.109169,26.057681,0.)); #517190=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #517191=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #517192=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #517193=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #517194=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #517195=CARTESIAN_POINT('Origin',(39.125919,26.082966,0.)); #517196=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #517197=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #517198=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #517199=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #517200=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #517201=CARTESIAN_POINT('Origin',(40.192519,27.149566,0.)); #517202=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #517203=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #517204=CARTESIAN_POINT('',(40.192519,27.149566,0.035)); #517205=CARTESIAN_POINT('',(40.192519,27.149566,0.035)); #517206=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #517207=CARTESIAN_POINT('Origin',(40.214456,27.164866,0.)); #517208=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #517209=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #517210=CARTESIAN_POINT('',(40.214456,27.164866,0.035)); #517211=CARTESIAN_POINT('',(40.214456,27.164866,0.035)); #517212=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #517213=CARTESIAN_POINT('Origin',(40.243972,27.171847,0.)); #517214=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #517215=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #517216=CARTESIAN_POINT('',(40.243972,27.171847,0.035)); #517217=CARTESIAN_POINT('',(40.243972,27.171847,0.035)); #517218=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #517219=CARTESIAN_POINT('Origin',(40.273869,27.166763,0.)); #517220=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #517221=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #517222=CARTESIAN_POINT('',(40.273869,27.166763,0.035)); #517223=CARTESIAN_POINT('',(40.273869,27.166763,0.035)); #517224=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #517225=CARTESIAN_POINT('Origin',(40.299416,27.150419,0.)); #517226=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #517227=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #517228=CARTESIAN_POINT('',(40.299416,27.150419,0.035)); #517229=CARTESIAN_POINT('',(40.299416,27.150419,0.035)); #517230=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #517231=CARTESIAN_POINT('Origin',(40.316566,27.125406,0.)); #517232=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #517233=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #517234=CARTESIAN_POINT('',(40.316566,27.125406,0.035)); #517235=CARTESIAN_POINT('',(40.316566,27.125406,0.035)); #517236=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #517237=CARTESIAN_POINT('Origin',(40.3226,27.095684,0.)); #517238=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #517239=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #517240=CARTESIAN_POINT('',(40.3226,27.095684,0.035)); #517241=CARTESIAN_POINT('',(40.3226,27.095684,0.035)); #517242=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #517243=CARTESIAN_POINT('Origin',(40.3226,26.822966,0.)); #517244=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #517245=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #517246=CARTESIAN_POINT('',(40.3226,26.822966,0.035)); #517247=CARTESIAN_POINT('',(40.3226,26.822966,0.035)); #517248=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #517249=CARTESIAN_POINT('Origin',(40.572966,26.5726,0.)); #517250=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #517251=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #517252=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #517253=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #517254=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #517255=CARTESIAN_POINT('Origin',(40.927034,26.5726,0.)); #517256=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #517257=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #517258=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #517259=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #517260=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #517261=CARTESIAN_POINT('Origin',(41.1774,26.822966,0.)); #517262=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #517263=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #517264=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #517265=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #517266=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #517267=CARTESIAN_POINT('Origin',(41.1774,27.072547,0.)); #517268=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #517269=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #517270=CARTESIAN_POINT('',(41.1774,27.072547,0.035)); #517271=CARTESIAN_POINT('',(41.1774,27.072547,0.035)); #517272=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #517273=CARTESIAN_POINT('Origin',(41.182969,27.101144,0.)); #517274=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #517275=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #517276=CARTESIAN_POINT('',(41.182969,27.101144,0.035)); #517277=CARTESIAN_POINT('',(41.182969,27.101144,0.035)); #517278=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #517279=CARTESIAN_POINT('Origin',(41.199719,27.126428,0.)); #517280=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #517281=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #517282=CARTESIAN_POINT('',(41.199719,27.126428,0.035)); #517283=CARTESIAN_POINT('',(41.199719,27.126428,0.035)); #517284=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #517285=CARTESIAN_POINT('Origin',(42.123572,28.050281,0.)); #517286=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #517287=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #517288=CARTESIAN_POINT('',(42.123572,28.050281,0.035)); #517289=CARTESIAN_POINT('',(42.123572,28.050281,0.035)); #517290=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #517291=CARTESIAN_POINT('Origin',(42.147731,28.066566,0.)); #517292=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #517293=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #517294=CARTESIAN_POINT('',(42.147731,28.066566,0.035)); #517295=CARTESIAN_POINT('',(42.147731,28.066566,0.035)); #517296=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #517297=CARTESIAN_POINT('Origin',(42.177453,28.0726,0.)); #517298=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #517299=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #517300=CARTESIAN_POINT('',(42.177453,28.0726,0.035)); #517301=CARTESIAN_POINT('',(42.177453,28.0726,0.035)); #517302=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #517303=CARTESIAN_POINT('Origin',(42.427034,28.0726,0.)); #517304=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #517305=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #517306=CARTESIAN_POINT('',(42.427034,28.0726,0.035)); #517307=CARTESIAN_POINT('',(42.427034,28.0726,0.035)); #517308=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #517309=CARTESIAN_POINT('Origin',(42.6774,28.322966,0.)); #517310=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #517311=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #517312=CARTESIAN_POINT('',(42.6774,28.322966,0.035)); #517313=CARTESIAN_POINT('',(42.6774,28.322966,0.035)); #517314=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #517315=CARTESIAN_POINT('Origin',(42.6774,28.677034,0.)); #517316=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #517317=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #517318=CARTESIAN_POINT('',(42.6774,28.677034,0.035)); #517319=CARTESIAN_POINT('',(42.6774,28.677034,0.035)); #517320=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #517321=CARTESIAN_POINT('Origin',(42.427034,28.9274,0.)); #517322=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #517323=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #517324=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #517325=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #517326=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #517327=CARTESIAN_POINT('Origin',(42.154316,28.9274,0.)); #517328=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #517329=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #517330=CARTESIAN_POINT('',(42.154316,28.9274,0.035)); #517331=CARTESIAN_POINT('',(42.154316,28.9274,0.035)); #517332=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #517333=CARTESIAN_POINT('Origin',(42.127984,28.932094,0.)); #517334=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #517335=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #517336=CARTESIAN_POINT('',(42.127984,28.932094,0.035)); #517337=CARTESIAN_POINT('',(42.127984,28.932094,0.035)); #517338=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #517339=CARTESIAN_POINT('Origin',(42.102178,28.948028,0.)); #517340=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #517341=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #517342=CARTESIAN_POINT('',(42.102178,28.948028,0.035)); #517343=CARTESIAN_POINT('',(42.102178,28.948028,0.035)); #517344=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #517345=CARTESIAN_POINT('Origin',(42.084634,28.972766,0.)); #517346=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #517347=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #517348=CARTESIAN_POINT('',(42.084634,28.972766,0.035)); #517349=CARTESIAN_POINT('',(42.084634,28.972766,0.035)); #517350=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #517351=CARTESIAN_POINT('Origin',(42.078125,29.002384,0.)); #517352=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #517353=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #517354=CARTESIAN_POINT('',(42.078125,29.002384,0.035)); #517355=CARTESIAN_POINT('',(42.078125,29.002384,0.035)); #517356=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #517357=CARTESIAN_POINT('Origin',(42.083684,29.032197,0.)); #517358=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #517359=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #517360=CARTESIAN_POINT('',(42.083684,29.032197,0.035)); #517361=CARTESIAN_POINT('',(42.083684,29.032197,0.035)); #517362=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #517363=CARTESIAN_POINT('Origin',(42.100434,29.057481,0.)); #517364=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #517365=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #517366=CARTESIAN_POINT('',(42.100434,29.057481,0.035)); #517367=CARTESIAN_POINT('',(42.100434,29.057481,0.035)); #517368=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #517369=CARTESIAN_POINT('Origin',(42.2286,29.185647,0.)); #517370=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #517371=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #517372=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #517373=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #517374=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #517375=CARTESIAN_POINT('Origin',(42.2286,30.592603,0.)); #517376=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #517377=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #517378=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #517379=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #517380=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #517381=CARTESIAN_POINT('Origin',(42.234169,30.6212,0.)); #517382=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #517383=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #517384=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #517385=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #517386=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #517387=CARTESIAN_POINT('Origin',(42.250919,30.646484,0.)); #517388=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #517389=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #517390=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #517391=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #517392=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #517393=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #517394=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #517395=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #517396=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #517397=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #517398=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #517399=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #517400=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #517401=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #517402=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #517403=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #517404=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #517405=CARTESIAN_POINT('Origin',(42.177034,31.4274,0.)); #517406=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #517407=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #517408=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #517409=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #517410=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #517411=CARTESIAN_POINT('Origin',(41.822966,31.4274,0.)); #517412=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #517413=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #517414=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #517415=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #517416=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #517417=CARTESIAN_POINT('Origin',(41.678881,31.283316,0.)); #517418=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #517419=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #517420=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #517421=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #517422=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #517423=CARTESIAN_POINT('Origin',(41.655834,31.267516,0.)); #517424=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #517425=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #517426=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #517427=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #517428=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #517429=CARTESIAN_POINT('Origin',(41.626216,31.261006,0.)); #517430=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #517431=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #517432=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #517433=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #517434=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #517435=CARTESIAN_POINT('Origin',(41.596403,31.266566,0.)); #517436=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #517437=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #517438=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #517439=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #517440=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #517441=CARTESIAN_POINT('Origin',(41.571119,31.283316,0.)); #517442=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #517443=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #517444=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #517445=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #517446=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #517447=CARTESIAN_POINT('Origin',(41.427034,31.4274,0.)); #517448=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #517449=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #517450=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #517451=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #517452=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #517453=CARTESIAN_POINT('Origin',(41.072966,31.4274,0.)); #517454=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #517455=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #517456=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #517457=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #517458=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #517459=CARTESIAN_POINT('Origin',(40.8226,31.177034,0.)); #517460=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #517461=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #517462=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #517463=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #517464=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #517465=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #517466=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #517467=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #517468=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #517469=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #517470=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #517471=CARTESIAN_POINT('Origin',(40.999081,30.646484,0.)); #517472=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #517473=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #517474=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #517475=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #517476=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #517477=CARTESIAN_POINT('Origin',(41.015366,30.622325,0.)); #517478=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #517479=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #517480=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #517481=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #517482=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #517483=CARTESIAN_POINT('Origin',(41.0214,30.592603,0.)); #517484=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #517485=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #517486=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #517487=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #517488=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #517489=CARTESIAN_POINT('Origin',(41.0214,29.186928,0.)); #517490=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #517491=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #517492=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #517493=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #517494=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #517495=CARTESIAN_POINT('Origin',(41.015831,29.158331,0.)); #517496=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #517497=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #517498=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #517499=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #517500=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #517501=CARTESIAN_POINT('Origin',(40.999081,29.133047,0.)); #517502=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #517503=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #517504=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #517505=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #517506=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #517507=CARTESIAN_POINT('Origin',(38.483681,26.617647,0.)); #517508=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #517509=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #517510=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #517511=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #517512=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #517513=CARTESIAN_POINT('Origin',(38.461744,26.602347,0.)); #517514=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #517515=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #517516=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #517517=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #517518=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #517519=CARTESIAN_POINT('Origin',(38.432228,26.595366,0.)); #517520=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #517521=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #517522=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #517523=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #517524=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #517525=CARTESIAN_POINT('Origin',(38.402331,26.60045,0.)); #517526=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #517527=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #517528=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #517529=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #517530=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #517531=CARTESIAN_POINT('Origin',(38.376784,26.616794,0.)); #517532=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #517533=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #517534=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #517535=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #517536=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #517537=CARTESIAN_POINT('Origin',(38.359634,26.641806,0.)); #517538=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #517539=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #517540=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #517541=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #517542=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #517543=CARTESIAN_POINT('Origin',(38.3536,26.671528,0.)); #517544=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #517545=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #517546=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #517547=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #517548=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #517549=CARTESIAN_POINT('Origin',(38.3536,30.958422,0.)); #517550=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #517551=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #517552=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #517553=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #517554=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #517555=CARTESIAN_POINT('Origin',(37.063419,32.248603,0.)); #517556=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #517557=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #517558=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #517559=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #517560=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #517561=CARTESIAN_POINT('Origin',(37.047134,32.272762,0.)); #517562=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #517563=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #517564=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #517565=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #517566=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #517567=CARTESIAN_POINT('Origin',(37.0411,32.302484,0.)); #517568=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #517569=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #517570=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #517571=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #517572=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #517573=CARTESIAN_POINT('Origin',(37.0411,34.375572,0.)); #517574=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #517575=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #517576=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #517577=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #517578=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #517579=CARTESIAN_POINT('Origin',(37.046669,34.404169,0.)); #517580=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #517581=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #517582=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #517583=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #517584=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #517585=CARTESIAN_POINT('Origin',(37.063419,34.429453,0.)); #517586=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #517587=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #517588=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #517589=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #517590=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #517591=CARTESIAN_POINT('Origin',(38.383047,35.749081,0.)); #517592=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #517593=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #517594=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #517595=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #517596=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #517597=CARTESIAN_POINT('Origin',(38.407206,35.765366,0.)); #517598=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #517599=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #517600=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #517601=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #517602=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #517603=CARTESIAN_POINT('Origin',(38.436928,35.7714,0.)); #517604=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #517605=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #517606=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #517607=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #517608=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #517609=CARTESIAN_POINT('Origin',(40.405366,35.7714,0.)); #517610=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #517611=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #517612=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #517613=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #517614=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #517615=CARTESIAN_POINT('Origin',(40.692519,36.058553,0.)); #517616=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #517617=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #517618=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #517619=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #517620=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #517621=CARTESIAN_POINT('Origin',(40.714456,36.073853,0.)); #517622=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #517623=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #517624=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #517625=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #517626=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #517627=CARTESIAN_POINT('Origin',(40.743972,36.080834,0.)); #517628=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #517629=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #517630=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #517631=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #517632=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #517633=CARTESIAN_POINT('Origin',(40.773869,36.07575,0.)); #517634=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #517635=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #517636=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #517637=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #517638=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #517639=CARTESIAN_POINT('Origin',(40.799416,36.059406,0.)); #517640=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #517641=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #517642=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #517643=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #517644=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #517645=CARTESIAN_POINT('Origin',(40.816566,36.034394,0.)); #517646=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #517647=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #517648=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #517649=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #517650=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #517651=CARTESIAN_POINT('Origin',(40.8226,36.004672,0.)); #517652=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #517653=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #517654=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #517655=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #517656=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #517657=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #517658=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #517659=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #517660=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #517661=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #517662=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #517663=CARTESIAN_POINT('Origin',(41.072966,35.5726,0.)); #517664=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #517665=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #517666=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #517667=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #517668=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #517669=CARTESIAN_POINT('Origin',(41.427034,35.5726,0.)); #517670=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #517671=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #517672=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #517673=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #517674=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #517675=CARTESIAN_POINT('Origin',(41.571119,35.716684,0.)); #517676=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #517677=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #517678=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #517679=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #517680=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #517681=CARTESIAN_POINT('Origin',(41.594166,35.732484,0.)); #517682=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #517683=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #517684=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #517685=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #517686=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #517687=CARTESIAN_POINT('Origin',(41.623784,35.738994,0.)); #517688=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #517689=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #517690=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #517691=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #517692=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #517693=CARTESIAN_POINT('Origin',(41.653597,35.733434,0.)); #517694=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #517695=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #517696=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #517697=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #517698=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #517699=CARTESIAN_POINT('Origin',(41.678881,35.716684,0.)); #517700=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #517701=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #517702=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #517703=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #517704=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #517705=CARTESIAN_POINT('Origin',(41.822966,35.5726,0.)); #517706=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #517707=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #517708=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #517709=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #517710=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #517711=CARTESIAN_POINT('Origin',(42.177034,35.5726,0.)); #517712=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #517713=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #517714=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #517715=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #517716=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #517717=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #517718=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #517719=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #517720=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #517721=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #517722=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #517723=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #517724=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #517725=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #517726=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #517727=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #517728=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #517729=CARTESIAN_POINT('Origin',(42.250919,36.353516,0.)); #517730=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #517731=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #517732=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #517733=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #517734=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #517735=CARTESIAN_POINT('Origin',(42.234634,36.377675,0.)); #517736=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #517737=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #517738=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #517739=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #517740=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #517741=CARTESIAN_POINT('Origin',(42.2286,36.407397,0.)); #517742=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #517743=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #517744=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #517745=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #517746=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #517747=CARTESIAN_POINT('Origin',(42.2286,36.502397,0.)); #517748=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #517749=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #517750=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #517751=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #517752=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #517753=CARTESIAN_POINT('Origin',(42.234169,36.530994,0.)); #517754=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #517755=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #517756=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #517757=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #517758=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #517759=CARTESIAN_POINT('Origin',(42.250919,36.556278,0.)); #517760=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #517761=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #517762=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #517763=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #517764=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #517765=CARTESIAN_POINT('Origin',(44.193722,38.499081,0.)); #517766=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #517767=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #517768=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #517769=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #517770=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #517771=CARTESIAN_POINT('Origin',(44.217881,38.515366,0.)); #517772=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #517773=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #517774=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #517775=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #517776=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #517777=CARTESIAN_POINT('Origin',(44.247603,38.5214,0.)); #517778=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #517779=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #517780=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #517781=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #517782=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #517783=CARTESIAN_POINT('Origin',(48.3222,38.5214,0.)); #517784=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #517785=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #517786=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #517787=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #517788=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #517789=CARTESIAN_POINT('Origin',(48.349669,38.516278,0.)); #517790=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #517791=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #517792=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #517793=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #517794=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #517795=CARTESIAN_POINT('Origin',(48.375216,38.499934,0.)); #517796=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #517797=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #517798=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #517799=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #517800=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #517801=CARTESIAN_POINT('Origin',(48.392366,38.474922,0.)); #517802=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #517803=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #517804=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #517805=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #517806=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #517807=CARTESIAN_POINT('Origin',(48.3984,38.4452,0.)); #517808=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #517809=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #517810=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #517811=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #517812=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #517813=CARTESIAN_POINT('Origin',(48.3984,23.57365,0.)); #517814=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #517815=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #517816=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #517817=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #517818=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #517819=CARTESIAN_POINT('Origin',(48.392831,23.545053,0.)); #517820=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #517821=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #517822=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #517823=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #517824=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #517825=CARTESIAN_POINT('Origin',(48.376081,23.519769,0.)); #517826=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #517827=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #517828=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #517829=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #517830=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #517831=CARTESIAN_POINT('Origin',(47.230231,22.373919,0.)); #517832=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #517833=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #517834=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #517835=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #517836=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #517837=CARTESIAN_POINT('Origin',(47.206072,22.357634,0.)); #517838=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #517839=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #517840=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #517841=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #517842=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #517843=CARTESIAN_POINT('Origin',(47.17635,22.3516,0.)); #517844=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #517845=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #517846=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #517847=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #517848=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #517849=CARTESIAN_POINT('Origin',(40.504047,22.3516,0.)); #517850=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #517851=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #517852=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #517853=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #517854=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #517855=CARTESIAN_POINT('Origin',(40.478691,22.3489,0.)); #517856=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #517857=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #517858=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #517859=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #517860=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #517861=CARTESIAN_POINT('Origin',(40.458337,22.342194,0.)); #517862=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #517863=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #517864=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #517865=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #517866=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #517867=CARTESIAN_POINT('Origin',(40.438803,22.330731,0.)); #517868=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #517869=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #517870=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #517871=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #517872=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #517873=CARTESIAN_POINT('Origin',(40.426134,22.319822,0.)); #517874=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #517875=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #517876=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #517877=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #517878=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #517879=CARTESIAN_POINT('Origin',(39.181022,21.074709,0.)); #517880=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #517881=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #517882=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #517883=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #517884=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #517885=CARTESIAN_POINT('Origin',(39.165003,21.054869,0.)); #517886=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #517887=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #517888=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #517889=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #517890=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #517891=CARTESIAN_POINT('Origin',(39.155353,21.035728,0.)); #517892=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #517893=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #517894=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #517895=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #517896=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #517897=CARTESIAN_POINT('Origin',(39.149641,21.013816,0.)); #517898=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #517899=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #517900=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #517901=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #517902=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #517903=CARTESIAN_POINT('Origin',(39.1484,20.997153,0.)); #517904=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #517905=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #517906=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #517907=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #517908=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #517909=CARTESIAN_POINT('Origin',(39.1484,20.0548,0.)); #517910=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #517911=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #517912=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #517913=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #517914=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #517915=CARTESIAN_POINT('Origin',(39.143278,20.027331,0.)); #517916=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #517917=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #517918=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #517919=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #517920=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #517921=CARTESIAN_POINT('Origin',(39.126934,20.001784,0.)); #517922=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #517923=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #517924=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #517925=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #517926=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #517927=CARTESIAN_POINT('Origin',(39.101922,19.984634,0.)); #517928=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #517929=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #517930=CARTESIAN_POINT('Origin',(42.41574415234,27.8916757443844,0.035)); #517931=CARTESIAN_POINT('Origin',(42.41574415234,27.8916757443844,0.)); #517932=CARTESIAN_POINT('Origin',(38.842603,15.9786,0.)); #517933=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #517934=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #517935=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #517936=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #517937=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #517938=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #517939=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #517940=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #517941=CARTESIAN_POINT('Origin',(38.485853,15.9786,0.)); #517942=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #517943=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #517944=CARTESIAN_POINT('',(38.485853,15.9786,0.035)); #517945=CARTESIAN_POINT('',(38.485853,15.9786,0.035)); #517946=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #517947=CARTESIAN_POINT('Origin',(38.459522,15.983294,0.)); #517948=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #517949=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #517950=CARTESIAN_POINT('',(38.459522,15.983294,0.035)); #517951=CARTESIAN_POINT('',(38.459522,15.983294,0.035)); #517952=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #517953=CARTESIAN_POINT('Origin',(38.433716,15.999228,0.)); #517954=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #517955=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #517956=CARTESIAN_POINT('',(38.433716,15.999228,0.035)); #517957=CARTESIAN_POINT('',(38.433716,15.999228,0.035)); #517958=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #517959=CARTESIAN_POINT('Origin',(38.416172,16.023966,0.)); #517960=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #517961=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #517962=CARTESIAN_POINT('',(38.416172,16.023966,0.035)); #517963=CARTESIAN_POINT('',(38.416172,16.023966,0.035)); #517964=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #517965=CARTESIAN_POINT('Origin',(38.409663,16.053584,0.)); #517966=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #517967=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #517968=CARTESIAN_POINT('',(38.409663,16.053584,0.035)); #517969=CARTESIAN_POINT('',(38.409663,16.053584,0.035)); #517970=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #517971=CARTESIAN_POINT('Origin',(38.415222,16.083397,0.)); #517972=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #517973=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #517974=CARTESIAN_POINT('',(38.415222,16.083397,0.035)); #517975=CARTESIAN_POINT('',(38.415222,16.083397,0.035)); #517976=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #517977=CARTESIAN_POINT('Origin',(38.431972,16.108681,0.)); #517978=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #517979=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #517980=CARTESIAN_POINT('',(38.431972,16.108681,0.035)); #517981=CARTESIAN_POINT('',(38.431972,16.108681,0.035)); #517982=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #517983=CARTESIAN_POINT('Origin',(38.572372,16.249081,0.)); #517984=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #517985=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #517986=CARTESIAN_POINT('',(38.572372,16.249081,0.035)); #517987=CARTESIAN_POINT('',(38.572372,16.249081,0.035)); #517988=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #517989=CARTESIAN_POINT('Origin',(38.596531,16.265366,0.)); #517990=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #517991=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #517992=CARTESIAN_POINT('',(38.596531,16.265366,0.035)); #517993=CARTESIAN_POINT('',(38.596531,16.265366,0.035)); #517994=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #517995=CARTESIAN_POINT('Origin',(38.626253,16.2714,0.)); #517996=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #517997=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #517998=CARTESIAN_POINT('',(38.626253,16.2714,0.035)); #517999=CARTESIAN_POINT('',(38.626253,16.2714,0.035)); #518000=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #518001=CARTESIAN_POINT('Origin',(38.842603,16.2714,0.)); #518002=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #518003=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #518004=CARTESIAN_POINT('',(38.842603,16.2714,0.035)); #518005=CARTESIAN_POINT('',(38.842603,16.2714,0.035)); #518006=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #518007=CARTESIAN_POINT('Origin',(38.8712,16.265831,0.)); #518008=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #518009=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #518010=CARTESIAN_POINT('',(38.8712,16.265831,0.035)); #518011=CARTESIAN_POINT('',(38.8712,16.265831,0.035)); #518012=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #518013=CARTESIAN_POINT('Origin',(38.896484,16.249081,0.)); #518014=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #518015=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #518016=CARTESIAN_POINT('',(38.896484,16.249081,0.035)); #518017=CARTESIAN_POINT('',(38.896484,16.249081,0.035)); #518018=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #518019=CARTESIAN_POINT('Origin',(38.966684,16.178881,0.)); #518020=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #518021=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #518022=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #518023=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #518024=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #518025=CARTESIAN_POINT('Origin',(38.982484,16.155834,0.)); #518026=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #518027=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #518028=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #518029=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #518030=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #518031=CARTESIAN_POINT('Origin',(38.988994,16.126216,0.)); #518032=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #518033=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #518034=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #518035=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #518036=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #518037=CARTESIAN_POINT('Origin',(38.983434,16.096403,0.)); #518038=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #518039=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #518040=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #518041=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #518042=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #518043=CARTESIAN_POINT('Origin',(38.966684,16.071119,0.)); #518044=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #518045=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #518046=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #518047=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #518048=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #518049=CARTESIAN_POINT('Origin',(38.896484,16.000919,0.)); #518050=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #518051=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #518052=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #518053=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #518054=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #518055=CARTESIAN_POINT('Origin',(38.872325,15.984634,0.)); #518056=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #518057=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #518058=CARTESIAN_POINT('Origin',(38.7007434002236,16.1124083912077,0.035)); #518059=CARTESIAN_POINT('Origin',(38.7007434002236,16.1124083912077,0.)); #518060=CARTESIAN_POINT('Origin',(37.821416,29.934463,0.)); #518061=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #518062=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #518063=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #518064=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #518065=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #518066=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #518067=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #518068=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #518069=CARTESIAN_POINT('Origin',(37.791603,29.940022,0.)); #518070=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #518071=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #518072=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #518073=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #518074=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #518075=CARTESIAN_POINT('Origin',(37.766319,29.956772,0.)); #518076=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #518077=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #518078=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #518079=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #518080=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #518081=CARTESIAN_POINT('Origin',(37.171419,30.551672,0.)); #518082=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #518083=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #518084=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #518085=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #518086=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #518087=CARTESIAN_POINT('Origin',(37.155134,30.575831,0.)); #518088=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #518089=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #518090=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #518091=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #518092=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #518093=CARTESIAN_POINT('Origin',(37.1491,30.605553,0.)); #518094=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #518095=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #518096=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #518097=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #518098=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #518099=CARTESIAN_POINT('Origin',(37.1491,31.332378,0.)); #518100=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #518101=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #518102=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #518103=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #518104=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #518105=CARTESIAN_POINT('Origin',(37.153794,31.358709,0.)); #518106=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #518107=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #518108=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #518109=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #518110=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #518111=CARTESIAN_POINT('Origin',(37.169728,31.384516,0.)); #518112=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #518113=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #518114=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #518115=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #518116=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #518117=CARTESIAN_POINT('Origin',(37.194466,31.402059,0.)); #518118=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #518119=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #518120=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #518121=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #518122=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #518123=CARTESIAN_POINT('Origin',(37.224084,31.408569,0.)); #518124=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #518125=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #518126=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #518127=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #518128=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #518129=CARTESIAN_POINT('Origin',(37.253897,31.403009,0.)); #518130=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #518131=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #518132=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #518133=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #518134=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #518135=CARTESIAN_POINT('Origin',(37.279181,31.386259,0.)); #518136=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #518137=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #518138=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #518139=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #518140=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #518141=CARTESIAN_POINT('Origin',(37.874081,30.791359,0.)); #518142=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #518143=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #518144=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #518145=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #518146=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #518147=CARTESIAN_POINT('Origin',(37.890366,30.7672,0.)); #518148=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #518149=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #518150=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #518151=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #518152=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #518153=CARTESIAN_POINT('Origin',(37.8964,30.737478,0.)); #518154=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #518155=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #518156=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #518157=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #518158=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #518159=CARTESIAN_POINT('Origin',(37.8964,30.010653,0.)); #518160=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #518161=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #518162=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #518163=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #518164=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #518165=CARTESIAN_POINT('Origin',(37.891706,29.984322,0.)); #518166=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #518167=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #518168=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #518169=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #518170=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #518171=CARTESIAN_POINT('Origin',(37.875772,29.958516,0.)); #518172=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #518173=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #518174=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #518175=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #518176=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #518177=CARTESIAN_POINT('Origin',(37.851034,29.940972,0.)); #518178=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #518179=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #518180=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.035)); #518181=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.)); #518182=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #518183=CARTESIAN_POINT('',(33.11,48.5,0.)); #518184=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #518185=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #518186=CARTESIAN_POINT('',(33.11,48.5,-200.)); #518187=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #518188=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #518189=CARTESIAN_POINT('',(33.11,50.,0.)); #518190=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #518191=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #518192=CARTESIAN_POINT('',(33.11,50.,-200.)); #518193=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #518194=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #518195=CARTESIAN_POINT('',(33.11,49.25,0.)); #518196=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #518197=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #518198=CARTESIAN_POINT('',(33.11,49.25,-200.)); #518199=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #518200=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #518201=CARTESIAN_POINT('',(11.785,34.,0.)); #518202=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #518203=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #518204=CARTESIAN_POINT('',(11.785,34.,-200.)); #518205=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #518206=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #518207=CARTESIAN_POINT('',(34.61,48.5,0.)); #518208=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #518209=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #518210=CARTESIAN_POINT('',(34.61,48.5,-200.)); #518211=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #518212=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #518213=CARTESIAN_POINT('',(33.86,48.5,0.)); #518214=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #518215=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #518216=CARTESIAN_POINT('',(33.86,48.5,-200.)); #518217=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #518218=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #518219=CARTESIAN_POINT('',(34.61,50.,0.)); #518220=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #518221=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #518222=CARTESIAN_POINT('',(34.61,50.,-200.)); #518223=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #518224=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #518225=CARTESIAN_POINT('',(33.86,50.,0.)); #518226=CARTESIAN_POINT('Origin',(34.,50.,0.)); #518227=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #518228=CARTESIAN_POINT('',(33.86,50.,-200.)); #518229=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #518230=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #518231=CARTESIAN_POINT('',(34.61,49.25,0.)); #518232=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #518233=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #518234=CARTESIAN_POINT('',(34.61,49.25,-200.)); #518235=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #518236=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #518237=CARTESIAN_POINT('',(33.86,49.25,0.)); #518238=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #518239=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #518240=CARTESIAN_POINT('',(33.86,49.25,-200.)); #518241=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #518242=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #518243=CARTESIAN_POINT('',(10.11,33.25,0.)); #518244=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #518245=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #518246=CARTESIAN_POINT('',(10.11,33.25,-200.)); #518247=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #518248=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #518249=CARTESIAN_POINT('',(9.36,33.25,0.)); #518250=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #518251=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #518252=CARTESIAN_POINT('',(9.36,33.25,-200.)); #518253=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #518254=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #518255=CARTESIAN_POINT('',(10.11,34.,0.)); #518256=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #518257=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #518258=CARTESIAN_POINT('',(10.11,34.,-200.)); #518259=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #518260=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #518261=CARTESIAN_POINT('',(9.36,34.,0.)); #518262=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #518263=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #518264=CARTESIAN_POINT('',(9.36,34.,-200.)); #518265=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #518266=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #518267=CARTESIAN_POINT('',(57.61,33.25,0.)); #518268=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #518269=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #518270=CARTESIAN_POINT('',(57.61,33.25,-200.)); #518271=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #518272=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #518273=CARTESIAN_POINT('',(61.86,33.25,0.)); #518274=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #518275=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #518276=CARTESIAN_POINT('',(61.86,33.25,-200.)); #518277=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #518278=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #518279=CARTESIAN_POINT('',(57.61,34.,0.)); #518280=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #518281=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #518282=CARTESIAN_POINT('',(57.61,34.,-200.)); #518283=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #518284=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #518285=CARTESIAN_POINT('',(57.61,34.75,0.)); #518286=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #518287=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #518288=CARTESIAN_POINT('',(57.61,34.75,-200.)); #518289=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #518290=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #518291=CARTESIAN_POINT('',(61.11,33.25,0.)); #518292=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #518293=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #518294=CARTESIAN_POINT('',(61.11,33.25,-200.)); #518295=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #518296=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #518297=CARTESIAN_POINT('',(58.36,33.25,0.)); #518298=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #518299=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #518300=CARTESIAN_POINT('',(58.36,33.25,-200.)); #518301=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #518302=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #518303=CARTESIAN_POINT('',(58.36,34.,0.)); #518304=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #518305=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #518306=CARTESIAN_POINT('',(58.36,34.,-200.)); #518307=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #518308=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #518309=CARTESIAN_POINT('',(58.36,34.75,0.)); #518310=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #518311=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #518312=CARTESIAN_POINT('',(58.36,34.75,-200.)); #518313=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #518314=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #518315=CARTESIAN_POINT('',(61.11,34.75,0.)); #518316=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #518317=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #518318=CARTESIAN_POINT('',(61.11,34.75,-200.)); #518319=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #518320=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #518321=CARTESIAN_POINT('',(61.11,34.,0.)); #518322=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #518323=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #518324=CARTESIAN_POINT('',(61.11,34.,-200.)); #518325=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #518326=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #518327=CARTESIAN_POINT('',(61.86,34.75,0.)); #518328=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #518329=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #518330=CARTESIAN_POINT('',(61.86,34.75,-200.)); #518331=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #518332=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #518333=CARTESIAN_POINT('',(61.86,34.,0.)); #518334=CARTESIAN_POINT('Origin',(62.,34.,0.)); #518335=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #518336=CARTESIAN_POINT('',(61.86,34.,-200.)); #518337=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #518338=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #518339=CARTESIAN_POINT('',(6.36,34.75,0.)); #518340=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #518341=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #518342=CARTESIAN_POINT('',(6.36,34.75,-200.)); #518343=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #518344=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #518345=CARTESIAN_POINT('',(6.36,34.,0.)); #518346=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #518347=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #518348=CARTESIAN_POINT('',(6.36,34.,-200.)); #518349=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #518350=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #518351=CARTESIAN_POINT('',(7.11,33.25,0.)); #518352=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #518353=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #518354=CARTESIAN_POINT('',(7.11,33.25,-200.)); #518355=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #518356=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #518357=CARTESIAN_POINT('',(6.36,33.25,0.)); #518358=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #518359=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #518360=CARTESIAN_POINT('',(6.36,33.25,-200.)); #518361=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #518362=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #518363=CARTESIAN_POINT('',(9.36,34.75,0.)); #518364=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #518365=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #518366=CARTESIAN_POINT('',(9.36,34.75,-200.)); #518367=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #518368=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #518369=CARTESIAN_POINT('',(10.11,34.75,0.)); #518370=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #518371=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #518372=CARTESIAN_POINT('',(10.11,34.75,-200.)); #518373=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #518374=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #518375=CARTESIAN_POINT('',(7.11,34.75,0.)); #518376=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #518377=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #518378=CARTESIAN_POINT('',(7.11,34.75,-200.)); #518379=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #518380=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #518381=CARTESIAN_POINT('',(7.11,34.,0.)); #518382=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #518383=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #518384=CARTESIAN_POINT('',(7.11,34.,-200.)); #518385=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #518386=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #518387=CARTESIAN_POINT('',(55.285,34.,0.)); #518388=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #518389=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #518390=CARTESIAN_POINT('',(55.285,34.,-200.)); #518391=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #518392=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #518393=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #518394=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #518395=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #518396=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #518397=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #518398=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #518399=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #518400=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #518401=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #518402=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #518403=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #518404=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #518405=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #518406=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #518407=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #518408=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #518409=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #518410=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #518411=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #518412=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #518413=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #518414=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #518415=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #518416=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #518417=CARTESIAN_POINT('',(30.685,7.6,0.)); #518418=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #518419=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #518420=CARTESIAN_POINT('',(30.685,7.6,-200.)); #518421=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #518422=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #518423=CARTESIAN_POINT('',(37.085,7.1,0.)); #518424=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #518425=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #518426=CARTESIAN_POINT('',(37.085,7.1,-200.)); #518427=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #518428=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #518429=CARTESIAN_POINT('',(37.085,7.6,0.)); #518430=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #518431=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #518432=CARTESIAN_POINT('',(37.085,7.6,-200.)); #518433=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #518434=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #518435=CARTESIAN_POINT('',(30.685,7.1,0.)); #518436=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #518437=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #518438=CARTESIAN_POINT('',(30.685,7.1,-200.)); #518439=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #518440=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #518441=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #518442=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #518443=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #518444=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #518445=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #518446=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #518447=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #518448=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #518449=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #518450=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #518451=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #518452=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #518453=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #518454=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #518455=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #518456=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #518457=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #518458=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #518459=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #518460=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #518461=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #518462=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #518463=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #518464=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #518465=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #518466=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #518467=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #518468=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #518469=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #518470=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #518471=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #518472=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #518473=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #518474=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #518475=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #518476=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #518477=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #518478=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #518479=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #518480=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #518481=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #518482=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #518483=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #518484=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #518485=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #518486=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #518487=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #518488=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #518489=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #518490=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #518491=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #518492=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #518493=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #518494=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #518495=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #518496=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #518497=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #518498=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #518499=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #518500=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #518501=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #518502=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #518503=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #518504=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #518505=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #518506=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #518507=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #518508=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #518509=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #518510=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #518511=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #518512=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #518513=CARTESIAN_POINT('',(55.11,21.75,0.)); #518514=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #518515=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #518516=CARTESIAN_POINT('',(55.11,21.75,-200.)); #518517=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #518518=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #518519=CARTESIAN_POINT('',(55.11,21.,0.)); #518520=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #518521=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #518522=CARTESIAN_POINT('',(55.11,21.,-200.)); #518523=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #518524=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #518525=CARTESIAN_POINT('',(37.245,45.,0.)); #518526=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #518527=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #518528=CARTESIAN_POINT('',(37.245,45.,-200.)); #518529=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #518530=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #518531=CARTESIAN_POINT('',(12.61,21.,0.)); #518532=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #518533=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #518534=CARTESIAN_POINT('',(12.61,21.,-200.)); #518535=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #518536=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #518537=CARTESIAN_POINT('',(55.86,20.25,0.)); #518538=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #518539=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #518540=CARTESIAN_POINT('',(55.86,20.25,-200.)); #518541=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #518542=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #518543=CARTESIAN_POINT('',(55.86,21.75,0.)); #518544=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #518545=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #518546=CARTESIAN_POINT('',(55.86,21.75,-200.)); #518547=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #518548=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #518549=CARTESIAN_POINT('',(55.86,21.,0.)); #518550=CARTESIAN_POINT('Origin',(56.,21.,0.)); #518551=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #518552=CARTESIAN_POINT('',(55.86,21.,-200.)); #518553=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #518554=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #518555=CARTESIAN_POINT('',(54.36,20.25,0.)); #518556=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #518557=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #518558=CARTESIAN_POINT('',(54.36,20.25,-200.)); #518559=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #518560=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #518561=CARTESIAN_POINT('',(55.11,20.25,0.)); #518562=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #518563=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #518564=CARTESIAN_POINT('',(55.11,20.25,-200.)); #518565=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #518566=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #518567=CARTESIAN_POINT('',(54.36,21.,0.)); #518568=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #518569=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #518570=CARTESIAN_POINT('',(54.36,21.,-200.)); #518571=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #518572=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #518573=CARTESIAN_POINT('',(54.36,21.75,0.)); #518574=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #518575=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #518576=CARTESIAN_POINT('',(54.36,21.75,-200.)); #518577=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #518578=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #518579=CARTESIAN_POINT('',(11.86,21.,0.)); #518580=CARTESIAN_POINT('Origin',(12.,21.,0.)); #518581=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #518582=CARTESIAN_POINT('',(11.86,21.,-200.)); #518583=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #518584=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #518585=CARTESIAN_POINT('',(11.86,20.25,0.)); #518586=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #518587=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #518588=CARTESIAN_POINT('',(11.86,20.25,-200.)); #518589=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #518590=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #518591=CARTESIAN_POINT('',(12.61,21.75,0.)); #518592=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #518593=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #518594=CARTESIAN_POINT('',(12.61,21.75,-200.)); #518595=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #518596=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #518597=CARTESIAN_POINT('',(12.61,20.25,0.)); #518598=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #518599=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #518600=CARTESIAN_POINT('',(12.61,20.25,-200.)); #518601=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #518602=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #518603=CARTESIAN_POINT('',(13.36,21.75,0.)); #518604=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #518605=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #518606=CARTESIAN_POINT('',(13.36,21.75,-200.)); #518607=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #518608=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #518609=CARTESIAN_POINT('',(11.86,21.75,0.)); #518610=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #518611=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #518612=CARTESIAN_POINT('',(11.86,21.75,-200.)); #518613=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #518614=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #518615=CARTESIAN_POINT('',(13.36,21.,0.)); #518616=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #518617=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #518618=CARTESIAN_POINT('',(13.36,21.,-200.)); #518619=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #518620=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #518621=CARTESIAN_POINT('',(13.36,20.25,0.)); #518622=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #518623=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #518624=CARTESIAN_POINT('',(13.36,20.25,-200.)); #518625=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #518626=CARTESIAN_POINT('Origin',(31.153128,43.9476,0.)); #518627=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #518628=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #518629=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #518630=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #518631=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #518632=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #518633=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #518634=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #518635=CARTESIAN_POINT('Origin',(31.2424,44.036872,0.)); #518636=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #518637=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #518638=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #518639=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #518640=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #518641=CARTESIAN_POINT('Origin',(31.2424,45.963128,0.)); #518642=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #518643=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #518644=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #518645=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #518646=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #518647=CARTESIAN_POINT('Origin',(31.153128,46.0524,0.)); #518648=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #518649=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #518650=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #518651=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #518652=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #518653=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #518654=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #518655=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #518656=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #518657=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #518658=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #518659=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #518660=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #518661=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #518662=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #518663=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #518664=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #518665=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #518666=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #518667=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #518668=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #518669=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #518670=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #518671=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #518672=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #518673=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #518674=CARTESIAN_POINT('Origin',(32.939338,43.9476,0.)); #518675=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #518676=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #518677=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #518678=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #518679=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #518680=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #518681=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #518682=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #518683=CARTESIAN_POINT('Origin',(33.326138,44.107819,0.)); #518684=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #518685=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #518686=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #518687=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #518688=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #518689=CARTESIAN_POINT('Origin',(33.622181,44.403863,0.)); #518690=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #518691=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #518692=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #518693=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #518694=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #518695=CARTESIAN_POINT('Origin',(33.7824,44.790663,0.)); #518696=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #518697=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #518698=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #518699=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #518700=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #518701=CARTESIAN_POINT('Origin',(33.7824,45.209338,0.)); #518702=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #518703=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #518704=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #518705=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #518706=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #518707=CARTESIAN_POINT('Origin',(33.622181,45.596138,0.)); #518708=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #518709=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #518710=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #518711=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #518712=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #518713=CARTESIAN_POINT('Origin',(33.326138,45.892181,0.)); #518714=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #518715=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #518716=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #518717=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #518718=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #518719=CARTESIAN_POINT('Origin',(32.939338,46.0524,0.)); #518720=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #518721=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #518722=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #518723=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #518724=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #518725=CARTESIAN_POINT('Origin',(32.520663,46.0524,0.)); #518726=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #518727=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #518728=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #518729=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #518730=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #518731=CARTESIAN_POINT('Origin',(32.133862,45.892181,0.)); #518732=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #518733=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #518734=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #518735=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #518736=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #518737=CARTESIAN_POINT('Origin',(31.837819,45.596138,0.)); #518738=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #518739=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #518740=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #518741=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #518742=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #518743=CARTESIAN_POINT('Origin',(31.6776,45.209338,0.)); #518744=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #518745=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #518746=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #518747=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #518748=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #518749=CARTESIAN_POINT('Origin',(31.6776,44.790663,0.)); #518750=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #518751=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #518752=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #518753=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #518754=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #518755=CARTESIAN_POINT('Origin',(31.837819,44.403863,0.)); #518756=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #518757=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #518758=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #518759=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #518760=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #518761=CARTESIAN_POINT('Origin',(32.133862,44.107819,0.)); #518762=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #518763=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #518764=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #518765=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #518766=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #518767=CARTESIAN_POINT('Origin',(32.520663,43.9476,0.)); #518768=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #518769=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #518770=CARTESIAN_POINT('Origin',(35.479337,43.9476,0.)); #518771=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #518772=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #518773=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #518774=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #518775=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #518776=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #518777=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #518778=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #518779=CARTESIAN_POINT('Origin',(35.866138,44.107819,0.)); #518780=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #518781=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #518782=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #518783=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #518784=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #518785=CARTESIAN_POINT('Origin',(36.162181,44.403863,0.)); #518786=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #518787=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #518788=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #518789=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #518790=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #518791=CARTESIAN_POINT('Origin',(36.3224,44.790663,0.)); #518792=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #518793=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #518794=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #518795=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #518796=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #518797=CARTESIAN_POINT('Origin',(36.3224,45.209338,0.)); #518798=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #518799=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #518800=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #518801=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #518802=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #518803=CARTESIAN_POINT('Origin',(36.162181,45.596138,0.)); #518804=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #518805=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #518806=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #518807=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #518808=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #518809=CARTESIAN_POINT('Origin',(35.866138,45.892181,0.)); #518810=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #518811=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #518812=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #518813=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #518814=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #518815=CARTESIAN_POINT('Origin',(35.479337,46.0524,0.)); #518816=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #518817=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #518818=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #518819=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #518820=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #518821=CARTESIAN_POINT('Origin',(35.060662,46.0524,0.)); #518822=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #518823=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #518824=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #518825=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #518826=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #518827=CARTESIAN_POINT('Origin',(34.673862,45.892181,0.)); #518828=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #518829=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #518830=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #518831=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #518832=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #518833=CARTESIAN_POINT('Origin',(34.377819,45.596138,0.)); #518834=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #518835=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #518836=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #518837=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #518838=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #518839=CARTESIAN_POINT('Origin',(34.2176,45.209338,0.)); #518840=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #518841=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #518842=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #518843=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #518844=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #518845=CARTESIAN_POINT('Origin',(34.2176,44.790663,0.)); #518846=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #518847=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #518848=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #518849=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #518850=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #518851=CARTESIAN_POINT('Origin',(34.377819,44.403863,0.)); #518852=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #518853=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #518854=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #518855=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #518856=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #518857=CARTESIAN_POINT('Origin',(34.673862,44.107819,0.)); #518858=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #518859=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #518860=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #518861=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #518862=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #518863=CARTESIAN_POINT('Origin',(35.060662,43.9476,0.)); #518864=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #518865=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #518866=CARTESIAN_POINT('Origin',(37.470228,52.4031,0.)); #518867=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #518868=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #518869=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #518870=CARTESIAN_POINT('',(36.505772,52.4031,0.035)); #518871=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #518872=CARTESIAN_POINT('',(37.470228,52.4031,0.035)); #518873=CARTESIAN_POINT('',(37.470228,52.4031,0.035)); #518874=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #518875=CARTESIAN_POINT('Origin',(37.5595,52.492372,0.)); #518876=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #518877=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #518878=CARTESIAN_POINT('',(37.5595,52.492372,0.035)); #518879=CARTESIAN_POINT('',(37.5595,52.492372,0.035)); #518880=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #518881=CARTESIAN_POINT('Origin',(37.5595,53.507628,0.)); #518882=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #518883=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #518884=CARTESIAN_POINT('',(37.5595,53.507628,0.035)); #518885=CARTESIAN_POINT('',(37.5595,53.507628,0.035)); #518886=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #518887=CARTESIAN_POINT('Origin',(37.470228,53.5969,0.)); #518888=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #518889=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #518890=CARTESIAN_POINT('',(37.470228,53.5969,0.035)); #518891=CARTESIAN_POINT('',(37.470228,53.5969,0.035)); #518892=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #518893=CARTESIAN_POINT('Origin',(37.2928,53.5969,0.)); #518894=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #518895=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #518896=CARTESIAN_POINT('',(37.2928,53.5969,0.035)); #518897=CARTESIAN_POINT('',(37.2928,53.5969,0.035)); #518898=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #518899=CARTESIAN_POINT('Origin',(37.265331,53.602022,0.)); #518900=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #518901=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #518902=CARTESIAN_POINT('',(37.265331,53.602022,0.035)); #518903=CARTESIAN_POINT('',(37.265331,53.602022,0.035)); #518904=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #518905=CARTESIAN_POINT('Origin',(37.239784,53.618366,0.)); #518906=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #518907=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #518908=CARTESIAN_POINT('',(37.239784,53.618366,0.035)); #518909=CARTESIAN_POINT('',(37.239784,53.618366,0.035)); #518910=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #518911=CARTESIAN_POINT('Origin',(37.222634,53.643378,0.)); #518912=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #518913=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #518914=CARTESIAN_POINT('',(37.222634,53.643378,0.035)); #518915=CARTESIAN_POINT('',(37.222634,53.643378,0.035)); #518916=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #518917=CARTESIAN_POINT('Origin',(37.2166,53.6731,0.)); #518918=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #518919=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #518920=CARTESIAN_POINT('',(37.2166,53.6731,0.035)); #518921=CARTESIAN_POINT('',(37.2166,53.6731,0.035)); #518922=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #518923=CARTESIAN_POINT('Origin',(37.2166,56.3269,0.)); #518924=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #518925=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #518926=CARTESIAN_POINT('',(37.2166,56.3269,0.035)); #518927=CARTESIAN_POINT('',(37.2166,56.3269,0.035)); #518928=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #518929=CARTESIAN_POINT('Origin',(37.221722,56.354369,0.)); #518930=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #518931=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #518932=CARTESIAN_POINT('',(37.221722,56.354369,0.035)); #518933=CARTESIAN_POINT('',(37.221722,56.354369,0.035)); #518934=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #518935=CARTESIAN_POINT('Origin',(37.238066,56.379916,0.)); #518936=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #518937=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #518938=CARTESIAN_POINT('',(37.238066,56.379916,0.035)); #518939=CARTESIAN_POINT('',(37.238066,56.379916,0.035)); #518940=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #518941=CARTESIAN_POINT('Origin',(37.263078,56.397066,0.)); #518942=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #518943=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #518944=CARTESIAN_POINT('',(37.263078,56.397066,0.035)); #518945=CARTESIAN_POINT('',(37.263078,56.397066,0.035)); #518946=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #518947=CARTESIAN_POINT('Origin',(37.2928,56.4031,0.)); #518948=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #518949=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #518950=CARTESIAN_POINT('',(37.2928,56.4031,0.035)); #518951=CARTESIAN_POINT('',(37.2928,56.4031,0.035)); #518952=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #518953=CARTESIAN_POINT('Origin',(37.470228,56.4031,0.)); #518954=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #518955=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #518956=CARTESIAN_POINT('',(37.470228,56.4031,0.035)); #518957=CARTESIAN_POINT('',(37.470228,56.4031,0.035)); #518958=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #518959=CARTESIAN_POINT('Origin',(37.5595,56.492372,0.)); #518960=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #518961=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #518962=CARTESIAN_POINT('',(37.5595,56.492372,0.035)); #518963=CARTESIAN_POINT('',(37.5595,56.492372,0.035)); #518964=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #518965=CARTESIAN_POINT('Origin',(37.5595,57.507628,0.)); #518966=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #518967=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #518968=CARTESIAN_POINT('',(37.5595,57.507628,0.035)); #518969=CARTESIAN_POINT('',(37.5595,57.507628,0.035)); #518970=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #518971=CARTESIAN_POINT('Origin',(37.470228,57.5969,0.)); #518972=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #518973=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #518974=CARTESIAN_POINT('',(37.470228,57.5969,0.035)); #518975=CARTESIAN_POINT('',(37.470228,57.5969,0.035)); #518976=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #518977=CARTESIAN_POINT('Origin',(36.505772,57.5969,0.)); #518978=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #518979=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #518980=CARTESIAN_POINT('',(36.505772,57.5969,0.035)); #518981=CARTESIAN_POINT('',(36.505772,57.5969,0.035)); #518982=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #518983=CARTESIAN_POINT('Origin',(36.4165,57.507628,0.)); #518984=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #518985=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #518986=CARTESIAN_POINT('',(36.4165,57.507628,0.035)); #518987=CARTESIAN_POINT('',(36.4165,57.507628,0.035)); #518988=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #518989=CARTESIAN_POINT('Origin',(36.4165,56.492372,0.)); #518990=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #518991=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #518992=CARTESIAN_POINT('',(36.4165,56.492372,0.035)); #518993=CARTESIAN_POINT('',(36.4165,56.492372,0.035)); #518994=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #518995=CARTESIAN_POINT('Origin',(36.505772,56.4031,0.)); #518996=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #518997=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #518998=CARTESIAN_POINT('',(36.505772,56.4031,0.035)); #518999=CARTESIAN_POINT('',(36.505772,56.4031,0.035)); #519000=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #519001=CARTESIAN_POINT('Origin',(36.6832,56.4031,0.)); #519002=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #519003=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #519004=CARTESIAN_POINT('',(36.6832,56.4031,0.035)); #519005=CARTESIAN_POINT('',(36.6832,56.4031,0.035)); #519006=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #519007=CARTESIAN_POINT('Origin',(36.710669,56.397978,0.)); #519008=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #519009=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #519010=CARTESIAN_POINT('',(36.710669,56.397978,0.035)); #519011=CARTESIAN_POINT('',(36.710669,56.397978,0.035)); #519012=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #519013=CARTESIAN_POINT('Origin',(36.736216,56.381634,0.)); #519014=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #519015=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #519016=CARTESIAN_POINT('',(36.736216,56.381634,0.035)); #519017=CARTESIAN_POINT('',(36.736216,56.381634,0.035)); #519018=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #519019=CARTESIAN_POINT('Origin',(36.753366,56.356622,0.)); #519020=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #519021=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #519022=CARTESIAN_POINT('',(36.753366,56.356622,0.035)); #519023=CARTESIAN_POINT('',(36.753366,56.356622,0.035)); #519024=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #519025=CARTESIAN_POINT('Origin',(36.7594,56.3269,0.)); #519026=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #519027=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #519028=CARTESIAN_POINT('',(36.7594,56.3269,0.035)); #519029=CARTESIAN_POINT('',(36.7594,56.3269,0.035)); #519030=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #519031=CARTESIAN_POINT('Origin',(36.7594,53.6731,0.)); #519032=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #519033=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #519034=CARTESIAN_POINT('',(36.7594,53.6731,0.035)); #519035=CARTESIAN_POINT('',(36.7594,53.6731,0.035)); #519036=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #519037=CARTESIAN_POINT('Origin',(36.754278,53.645631,0.)); #519038=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #519039=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #519040=CARTESIAN_POINT('',(36.754278,53.645631,0.035)); #519041=CARTESIAN_POINT('',(36.754278,53.645631,0.035)); #519042=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #519043=CARTESIAN_POINT('Origin',(36.737934,53.620084,0.)); #519044=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #519045=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #519046=CARTESIAN_POINT('',(36.737934,53.620084,0.035)); #519047=CARTESIAN_POINT('',(36.737934,53.620084,0.035)); #519048=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #519049=CARTESIAN_POINT('Origin',(36.712922,53.602934,0.)); #519050=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #519051=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #519052=CARTESIAN_POINT('',(36.712922,53.602934,0.035)); #519053=CARTESIAN_POINT('',(36.712922,53.602934,0.035)); #519054=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #519055=CARTESIAN_POINT('Origin',(36.6832,53.5969,0.)); #519056=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #519057=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #519058=CARTESIAN_POINT('',(36.6832,53.5969,0.035)); #519059=CARTESIAN_POINT('',(36.6832,53.5969,0.035)); #519060=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #519061=CARTESIAN_POINT('Origin',(36.505772,53.5969,0.)); #519062=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #519063=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #519064=CARTESIAN_POINT('',(36.505772,53.5969,0.035)); #519065=CARTESIAN_POINT('',(36.505772,53.5969,0.035)); #519066=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #519067=CARTESIAN_POINT('Origin',(36.4165,53.507628,0.)); #519068=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #519069=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #519070=CARTESIAN_POINT('',(36.4165,53.507628,0.035)); #519071=CARTESIAN_POINT('',(36.4165,53.507628,0.035)); #519072=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #519073=CARTESIAN_POINT('Origin',(36.4165,52.492372,0.)); #519074=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #519075=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #519076=CARTESIAN_POINT('',(36.4165,52.492372,0.035)); #519077=CARTESIAN_POINT('',(36.4165,52.492372,0.035)); #519078=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #519079=CARTESIAN_POINT('Origin',(36.505772,52.4031,0.)); #519080=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #519081=CARTESIAN_POINT('',(36.505772,52.4031,0.035)); #519082=CARTESIAN_POINT('Origin',(31.494228,52.4031,0.)); #519083=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #519084=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #519085=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #519086=CARTESIAN_POINT('',(30.529772,52.4031,0.035)); #519087=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #519088=CARTESIAN_POINT('',(31.494228,52.4031,0.035)); #519089=CARTESIAN_POINT('',(31.494228,52.4031,0.035)); #519090=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #519091=CARTESIAN_POINT('Origin',(31.5835,52.492372,0.)); #519092=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #519093=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #519094=CARTESIAN_POINT('',(31.5835,52.492372,0.035)); #519095=CARTESIAN_POINT('',(31.5835,52.492372,0.035)); #519096=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #519097=CARTESIAN_POINT('Origin',(31.5835,53.507628,0.)); #519098=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #519099=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #519100=CARTESIAN_POINT('',(31.5835,53.507628,0.035)); #519101=CARTESIAN_POINT('',(31.5835,53.507628,0.035)); #519102=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #519103=CARTESIAN_POINT('Origin',(31.494228,53.5969,0.)); #519104=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #519105=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #519106=CARTESIAN_POINT('',(31.494228,53.5969,0.035)); #519107=CARTESIAN_POINT('',(31.494228,53.5969,0.035)); #519108=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #519109=CARTESIAN_POINT('Origin',(31.3168,53.5969,0.)); #519110=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #519111=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #519112=CARTESIAN_POINT('',(31.3168,53.5969,0.035)); #519113=CARTESIAN_POINT('',(31.3168,53.5969,0.035)); #519114=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #519115=CARTESIAN_POINT('Origin',(31.289331,53.602022,0.)); #519116=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #519117=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #519118=CARTESIAN_POINT('',(31.289331,53.602022,0.035)); #519119=CARTESIAN_POINT('',(31.289331,53.602022,0.035)); #519120=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #519121=CARTESIAN_POINT('Origin',(31.263784,53.618366,0.)); #519122=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #519123=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #519124=CARTESIAN_POINT('',(31.263784,53.618366,0.035)); #519125=CARTESIAN_POINT('',(31.263784,53.618366,0.035)); #519126=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #519127=CARTESIAN_POINT('Origin',(31.246634,53.643378,0.)); #519128=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #519129=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #519130=CARTESIAN_POINT('',(31.246634,53.643378,0.035)); #519131=CARTESIAN_POINT('',(31.246634,53.643378,0.035)); #519132=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #519133=CARTESIAN_POINT('Origin',(31.2406,53.6731,0.)); #519134=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #519135=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #519136=CARTESIAN_POINT('',(31.2406,53.6731,0.035)); #519137=CARTESIAN_POINT('',(31.2406,53.6731,0.035)); #519138=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #519139=CARTESIAN_POINT('Origin',(31.2406,56.3269,0.)); #519140=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #519141=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #519142=CARTESIAN_POINT('',(31.2406,56.3269,0.035)); #519143=CARTESIAN_POINT('',(31.2406,56.3269,0.035)); #519144=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #519145=CARTESIAN_POINT('Origin',(31.245722,56.354369,0.)); #519146=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #519147=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #519148=CARTESIAN_POINT('',(31.245722,56.354369,0.035)); #519149=CARTESIAN_POINT('',(31.245722,56.354369,0.035)); #519150=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #519151=CARTESIAN_POINT('Origin',(31.262066,56.379916,0.)); #519152=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #519153=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #519154=CARTESIAN_POINT('',(31.262066,56.379916,0.035)); #519155=CARTESIAN_POINT('',(31.262066,56.379916,0.035)); #519156=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #519157=CARTESIAN_POINT('Origin',(31.287078,56.397066,0.)); #519158=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #519159=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #519160=CARTESIAN_POINT('',(31.287078,56.397066,0.035)); #519161=CARTESIAN_POINT('',(31.287078,56.397066,0.035)); #519162=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #519163=CARTESIAN_POINT('Origin',(31.3168,56.4031,0.)); #519164=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #519165=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #519166=CARTESIAN_POINT('',(31.3168,56.4031,0.035)); #519167=CARTESIAN_POINT('',(31.3168,56.4031,0.035)); #519168=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #519169=CARTESIAN_POINT('Origin',(31.494228,56.4031,0.)); #519170=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #519171=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #519172=CARTESIAN_POINT('',(31.494228,56.4031,0.035)); #519173=CARTESIAN_POINT('',(31.494228,56.4031,0.035)); #519174=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #519175=CARTESIAN_POINT('Origin',(31.5835,56.492372,0.)); #519176=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #519177=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #519178=CARTESIAN_POINT('',(31.5835,56.492372,0.035)); #519179=CARTESIAN_POINT('',(31.5835,56.492372,0.035)); #519180=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #519181=CARTESIAN_POINT('Origin',(31.5835,57.507628,0.)); #519182=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #519183=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #519184=CARTESIAN_POINT('',(31.5835,57.507628,0.035)); #519185=CARTESIAN_POINT('',(31.5835,57.507628,0.035)); #519186=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #519187=CARTESIAN_POINT('Origin',(31.494228,57.5969,0.)); #519188=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #519189=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #519190=CARTESIAN_POINT('',(31.494228,57.5969,0.035)); #519191=CARTESIAN_POINT('',(31.494228,57.5969,0.035)); #519192=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #519193=CARTESIAN_POINT('Origin',(30.529772,57.5969,0.)); #519194=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #519195=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #519196=CARTESIAN_POINT('',(30.529772,57.5969,0.035)); #519197=CARTESIAN_POINT('',(30.529772,57.5969,0.035)); #519198=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #519199=CARTESIAN_POINT('Origin',(30.4405,57.507628,0.)); #519200=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #519201=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #519202=CARTESIAN_POINT('',(30.4405,57.507628,0.035)); #519203=CARTESIAN_POINT('',(30.4405,57.507628,0.035)); #519204=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #519205=CARTESIAN_POINT('Origin',(30.4405,56.492372,0.)); #519206=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #519207=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #519208=CARTESIAN_POINT('',(30.4405,56.492372,0.035)); #519209=CARTESIAN_POINT('',(30.4405,56.492372,0.035)); #519210=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #519211=CARTESIAN_POINT('Origin',(30.529772,56.4031,0.)); #519212=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #519213=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #519214=CARTESIAN_POINT('',(30.529772,56.4031,0.035)); #519215=CARTESIAN_POINT('',(30.529772,56.4031,0.035)); #519216=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #519217=CARTESIAN_POINT('Origin',(30.7072,56.4031,0.)); #519218=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #519219=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #519220=CARTESIAN_POINT('',(30.7072,56.4031,0.035)); #519221=CARTESIAN_POINT('',(30.7072,56.4031,0.035)); #519222=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #519223=CARTESIAN_POINT('Origin',(30.734669,56.397978,0.)); #519224=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #519225=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #519226=CARTESIAN_POINT('',(30.734669,56.397978,0.035)); #519227=CARTESIAN_POINT('',(30.734669,56.397978,0.035)); #519228=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #519229=CARTESIAN_POINT('Origin',(30.760216,56.381634,0.)); #519230=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #519231=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #519232=CARTESIAN_POINT('',(30.760216,56.381634,0.035)); #519233=CARTESIAN_POINT('',(30.760216,56.381634,0.035)); #519234=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #519235=CARTESIAN_POINT('Origin',(30.777366,56.356622,0.)); #519236=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #519237=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #519238=CARTESIAN_POINT('',(30.777366,56.356622,0.035)); #519239=CARTESIAN_POINT('',(30.777366,56.356622,0.035)); #519240=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #519241=CARTESIAN_POINT('Origin',(30.7834,56.3269,0.)); #519242=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #519243=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #519244=CARTESIAN_POINT('',(30.7834,56.3269,0.035)); #519245=CARTESIAN_POINT('',(30.7834,56.3269,0.035)); #519246=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #519247=CARTESIAN_POINT('Origin',(30.7834,53.6731,0.)); #519248=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #519249=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #519250=CARTESIAN_POINT('',(30.7834,53.6731,0.035)); #519251=CARTESIAN_POINT('',(30.7834,53.6731,0.035)); #519252=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #519253=CARTESIAN_POINT('Origin',(30.778278,53.645631,0.)); #519254=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #519255=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #519256=CARTESIAN_POINT('',(30.778278,53.645631,0.035)); #519257=CARTESIAN_POINT('',(30.778278,53.645631,0.035)); #519258=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #519259=CARTESIAN_POINT('Origin',(30.761934,53.620084,0.)); #519260=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #519261=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #519262=CARTESIAN_POINT('',(30.761934,53.620084,0.035)); #519263=CARTESIAN_POINT('',(30.761934,53.620084,0.035)); #519264=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #519265=CARTESIAN_POINT('Origin',(30.736922,53.602934,0.)); #519266=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #519267=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #519268=CARTESIAN_POINT('',(30.736922,53.602934,0.035)); #519269=CARTESIAN_POINT('',(30.736922,53.602934,0.035)); #519270=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #519271=CARTESIAN_POINT('Origin',(30.7072,53.5969,0.)); #519272=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #519273=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #519274=CARTESIAN_POINT('',(30.7072,53.5969,0.035)); #519275=CARTESIAN_POINT('',(30.7072,53.5969,0.035)); #519276=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #519277=CARTESIAN_POINT('Origin',(30.529772,53.5969,0.)); #519278=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #519279=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #519280=CARTESIAN_POINT('',(30.529772,53.5969,0.035)); #519281=CARTESIAN_POINT('',(30.529772,53.5969,0.035)); #519282=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #519283=CARTESIAN_POINT('Origin',(30.4405,53.507628,0.)); #519284=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #519285=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #519286=CARTESIAN_POINT('',(30.4405,53.507628,0.035)); #519287=CARTESIAN_POINT('',(30.4405,53.507628,0.035)); #519288=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #519289=CARTESIAN_POINT('Origin',(30.4405,52.492372,0.)); #519290=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #519291=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #519292=CARTESIAN_POINT('',(30.4405,52.492372,0.035)); #519293=CARTESIAN_POINT('',(30.4405,52.492372,0.035)); #519294=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #519295=CARTESIAN_POINT('Origin',(30.529772,52.4031,0.)); #519296=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #519297=CARTESIAN_POINT('',(30.529772,52.4031,0.035)); #519298=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #519299=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #519300=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #519301=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #519302=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #519303=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #519304=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #519305=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #519306=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #519307=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #519308=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #519309=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #519310=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #519311=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #519312=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #519313=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #519314=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #519315=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #519316=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #519317=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #519318=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #519319=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #519320=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #519321=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #519322=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #519323=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #519324=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #519325=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #519326=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #519327=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #519328=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #519329=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #519330=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #519331=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #519332=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #519333=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #519334=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #519335=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #519336=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #519337=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #519338=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #519339=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #519340=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #519341=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #519342=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #519343=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #519344=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #519345=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #519346=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #519347=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #519348=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #519349=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #519350=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #519351=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #519352=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #519353=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #519354=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #519355=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #519356=CARTESIAN_POINT('',(36.765678,5.555,0.)); #519357=CARTESIAN_POINT('',(36.765678,5.555,0.)); #519358=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #519359=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #519360=CARTESIAN_POINT('',(36.765678,5.555,0.)); #519361=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #519362=CARTESIAN_POINT('',(37.014322,5.555,0.)); #519363=CARTESIAN_POINT('',(37.014322,5.555,0.)); #519364=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #519365=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #519366=CARTESIAN_POINT('',(37.014322,5.555,0.)); #519367=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #519368=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #519369=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #519370=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #519371=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #519372=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #519373=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #519374=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #519375=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #519376=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #519377=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #519378=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #519379=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #519380=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #519381=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #519382=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #519383=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #519384=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #519385=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #519386=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #519387=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #519388=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #519389=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #519390=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #519391=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #519392=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #519393=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #519394=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #519395=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #519396=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #519397=CARTESIAN_POINT('Origin',(37.244034,6.70985,0.)); #519398=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #519399=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #519400=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #519401=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #519402=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #519403=CARTESIAN_POINT('Origin',(37.014322,6.805,0.)); #519404=CARTESIAN_POINT('',(37.014322,6.805,0.)); #519405=CARTESIAN_POINT('',(37.014322,6.805,0.)); #519406=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #519407=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #519408=CARTESIAN_POINT('',(37.014322,6.805,0.)); #519409=CARTESIAN_POINT('Origin',(36.9278,6.805,0.)); #519410=CARTESIAN_POINT('',(36.9278,6.805,0.)); #519411=CARTESIAN_POINT('',(36.9278,6.805,0.)); #519412=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #519413=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #519414=CARTESIAN_POINT('',(36.9278,6.805,0.)); #519415=CARTESIAN_POINT('Origin',(36.900331,6.8101219,0.)); #519416=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #519417=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #519418=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #519419=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #519420=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #519421=CARTESIAN_POINT('Origin',(36.874784,6.8264656,0.)); #519422=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #519423=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #519424=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #519425=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #519426=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #519427=CARTESIAN_POINT('Origin',(36.857634,6.8514781,0.)); #519428=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #519429=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #519430=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #519431=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #519432=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #519433=CARTESIAN_POINT('Origin',(36.8516,6.8812,0.)); #519434=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #519435=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #519436=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #519437=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #519438=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #519439=CARTESIAN_POINT('Origin',(36.8516,7.8222,0.)); #519440=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #519441=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #519442=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #519443=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #519444=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #519445=CARTESIAN_POINT('Origin',(36.856722,7.8496688,0.)); #519446=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #519447=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #519448=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #519449=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #519450=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #519451=CARTESIAN_POINT('Origin',(36.873066,7.8752156,0.)); #519452=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #519453=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #519454=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #519455=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #519456=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #519457=CARTESIAN_POINT('Origin',(36.898078,7.8923656,0.)); #519458=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #519459=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #519460=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #519461=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #519462=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #519463=CARTESIAN_POINT('Origin',(36.9278,7.8984,0.)); #519464=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #519465=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #519466=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #519467=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #519468=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #519469=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #519470=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #519471=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #519472=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #519473=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #519474=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #519475=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #519476=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #519477=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #519478=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #519479=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #519480=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #519481=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #519482=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #519483=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #519484=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #519485=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #519486=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #519487=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #519488=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #519489=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #519490=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #519491=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #519492=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #519493=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #519494=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #519495=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #519496=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #519497=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #519498=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #519499=CARTESIAN_POINT('Origin',(37.769769,8.3760813,0.)); #519500=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #519501=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #519502=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #519503=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #519504=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #519505=CARTESIAN_POINT('Origin',(37.793928,8.3923656,0.)); #519506=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #519507=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #519508=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #519509=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #519510=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #519511=CARTESIAN_POINT('Origin',(37.82365,8.3984,0.)); #519512=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #519513=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #519514=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #519515=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #519516=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #519517=CARTESIAN_POINT('Origin',(42.745953,8.3984,0.)); #519518=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #519519=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #519520=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #519521=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #519522=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #519523=CARTESIAN_POINT('Origin',(42.771309,8.4011,0.)); #519524=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #519525=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #519526=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #519527=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #519528=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #519529=CARTESIAN_POINT('Origin',(42.791663,8.4078063,0.)); #519530=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #519531=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #519532=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #519533=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #519534=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #519535=CARTESIAN_POINT('Origin',(42.811197,8.4192688,0.)); #519536=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #519537=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #519538=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #519539=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #519540=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #519541=CARTESIAN_POINT('Origin',(42.823866,8.4301781,0.)); #519542=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #519543=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #519544=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #519545=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #519546=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #519547=CARTESIAN_POINT('Origin',(45.068978,10.675291,0.)); #519548=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #519549=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #519550=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #519551=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #519552=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #519553=CARTESIAN_POINT('Origin',(45.084997,10.695131,0.)); #519554=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #519555=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #519556=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #519557=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #519558=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #519559=CARTESIAN_POINT('Origin',(45.094647,10.714272,0.)); #519560=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #519561=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #519562=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #519563=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #519564=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #519565=CARTESIAN_POINT('Origin',(45.100359,10.736184,0.)); #519566=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #519567=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #519568=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #519569=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #519570=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #519571=CARTESIAN_POINT('Origin',(45.1016,10.752847,0.)); #519572=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #519573=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #519574=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #519575=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #519576=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #519577=CARTESIAN_POINT('Origin',(45.1016,15.3222,0.)); #519578=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #519579=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #519580=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #519581=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #519582=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #519583=CARTESIAN_POINT('Origin',(45.106722,15.349669,0.)); #519584=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #519585=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #519586=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #519587=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #519588=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #519589=CARTESIAN_POINT('Origin',(45.123066,15.375216,0.)); #519590=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #519591=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #519592=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #519593=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #519594=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #519595=CARTESIAN_POINT('Origin',(45.148078,15.392366,0.)); #519596=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #519597=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #519598=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #519599=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #519600=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #519601=CARTESIAN_POINT('Origin',(45.1778,15.3984,0.)); #519602=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #519603=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #519604=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #519605=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #519606=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #519607=CARTESIAN_POINT('Origin',(49.995953,15.3984,0.)); #519608=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #519609=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #519610=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #519611=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #519612=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #519613=CARTESIAN_POINT('Origin',(50.021309,15.4011,0.)); #519614=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #519615=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #519616=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #519617=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #519618=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #519619=CARTESIAN_POINT('Origin',(50.041663,15.407806,0.)); #519620=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #519621=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #519622=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #519623=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #519624=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #519625=CARTESIAN_POINT('Origin',(50.061197,15.419269,0.)); #519626=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #519627=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #519628=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #519629=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #519630=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #519631=CARTESIAN_POINT('Origin',(50.073866,15.430178,0.)); #519632=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #519633=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #519634=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #519635=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #519636=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #519637=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #519638=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #519639=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #519640=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #519641=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #519642=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #519643=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #519644=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #519645=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #519646=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #519647=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #519648=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #519649=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #519650=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #519651=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #519652=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #519653=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #519654=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #519655=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #519656=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #519657=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #519658=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #519659=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #519660=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #519661=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #519662=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #519663=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #519664=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #519665=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #519666=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #519667=CARTESIAN_POINT('Origin',(54.1016,37.92635,0.)); #519668=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #519669=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #519670=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #519671=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #519672=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #519673=CARTESIAN_POINT('Origin',(54.107169,37.954947,0.)); #519674=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #519675=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #519676=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #519677=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #519678=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #519679=CARTESIAN_POINT('Origin',(54.123919,37.980231,0.)); #519680=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #519681=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #519682=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #519683=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #519684=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #519685=CARTESIAN_POINT('Origin',(57.818978,41.675291,0.)); #519686=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #519687=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #519688=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #519689=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #519690=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #519691=CARTESIAN_POINT('Origin',(57.834997,41.695131,0.)); #519692=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #519693=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #519694=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #519695=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #519696=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #519697=CARTESIAN_POINT('Origin',(57.844647,41.714272,0.)); #519698=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #519699=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #519700=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #519701=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #519702=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #519703=CARTESIAN_POINT('Origin',(57.850359,41.736184,0.)); #519704=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #519705=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #519706=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #519707=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #519708=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #519709=CARTESIAN_POINT('Origin',(57.8516,41.752847,0.)); #519710=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #519711=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #519712=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #519713=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #519714=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #519715=CARTESIAN_POINT('Origin',(57.8516,49.495953,0.)); #519716=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #519717=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #519718=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #519719=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #519720=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #519721=CARTESIAN_POINT('Origin',(57.8489,49.521309,0.)); #519722=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #519723=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #519724=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #519725=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #519726=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #519727=CARTESIAN_POINT('Origin',(57.842775,49.539897,0.)); #519728=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #519729=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #519730=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #519731=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #519732=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #519733=CARTESIAN_POINT('Origin',(57.821575,49.572347,0.)); #519734=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #519735=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #519736=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #519737=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #519738=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #519739=CARTESIAN_POINT('Origin',(56.478234,51.06495,0.)); #519740=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #519741=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #519742=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #519743=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #519744=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #519745=CARTESIAN_POINT('Origin',(56.459256,51.082,0.)); #519746=CARTESIAN_POINT('',(56.459256,51.082,0.)); #519747=CARTESIAN_POINT('',(56.459256,51.082,0.)); #519748=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #519749=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #519750=CARTESIAN_POINT('',(56.459256,51.082,0.)); #519751=CARTESIAN_POINT('Origin',(56.440656,51.092641,0.)); #519752=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #519753=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #519754=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #519755=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #519756=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #519757=CARTESIAN_POINT('Origin',(56.420031,51.099187,0.)); #519758=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #519759=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #519760=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #519761=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #519762=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #519763=CARTESIAN_POINT('Origin',(56.39615,51.1016,0.)); #519764=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #519765=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #519766=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #519767=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #519768=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #519769=CARTESIAN_POINT('Origin',(53.754047,51.1016,0.)); #519770=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #519771=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #519772=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #519773=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #519774=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #519775=CARTESIAN_POINT('Origin',(53.728691,51.0989,0.)); #519776=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #519777=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #519778=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #519779=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #519780=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #519781=CARTESIAN_POINT('Origin',(53.708338,51.092194,0.)); #519782=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #519783=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #519784=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #519785=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #519786=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #519787=CARTESIAN_POINT('Origin',(53.689856,51.08135,0.)); #519788=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #519789=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #519790=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #519791=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #519792=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #519793=CARTESIAN_POINT('Origin',(53.674063,51.06685,0.)); #519794=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #519795=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #519796=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #519797=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #519798=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #519799=CARTESIAN_POINT('Origin',(53.661694,51.049369,0.)); #519800=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #519801=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #519802=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #519803=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #519804=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #519805=CARTESIAN_POINT('Origin',(53.653922,51.031172,0.)); #519806=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #519807=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #519808=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #519809=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #519810=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #519811=CARTESIAN_POINT('Origin',(53.6484,50.994316,0.)); #519812=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #519813=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #519814=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #519815=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #519816=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #519817=CARTESIAN_POINT('Origin',(53.6484,50.8048,0.)); #519818=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #519819=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #519820=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #519821=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #519822=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #519823=CARTESIAN_POINT('Origin',(53.643278,50.777331,0.)); #519824=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #519825=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #519826=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #519827=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #519828=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #519829=CARTESIAN_POINT('Origin',(53.626934,50.751784,0.)); #519830=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #519831=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #519832=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #519833=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #519834=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #519835=CARTESIAN_POINT('Origin',(53.601922,50.734634,0.)); #519836=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #519837=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #519838=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #519839=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #519840=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #519841=CARTESIAN_POINT('Origin',(53.5722,50.7286,0.)); #519842=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #519843=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #519844=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #519845=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #519846=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #519847=CARTESIAN_POINT('Origin',(52.4278,50.7286,0.)); #519848=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #519849=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #519850=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #519851=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #519852=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #519853=CARTESIAN_POINT('Origin',(52.400331,50.733722,0.)); #519854=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #519855=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #519856=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #519857=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #519858=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #519859=CARTESIAN_POINT('Origin',(52.374784,50.750066,0.)); #519860=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #519861=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #519862=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #519863=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #519864=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #519865=CARTESIAN_POINT('Origin',(52.357634,50.775078,0.)); #519866=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #519867=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #519868=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #519869=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #519870=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #519871=CARTESIAN_POINT('Origin',(52.3516,50.8048,0.)); #519872=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #519873=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #519874=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #519875=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #519876=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #519877=CARTESIAN_POINT('Origin',(52.3516,50.995953,0.)); #519878=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #519879=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #519880=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #519881=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #519882=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #519883=CARTESIAN_POINT('Origin',(52.3489,51.021309,0.)); #519884=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #519885=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #519886=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #519887=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #519888=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #519889=CARTESIAN_POINT('Origin',(52.342194,51.041663,0.)); #519890=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #519891=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #519892=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #519893=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #519894=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #519895=CARTESIAN_POINT('Origin',(52.33135,51.060144,0.)); #519896=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #519897=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #519898=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #519899=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #519900=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #519901=CARTESIAN_POINT('Origin',(52.31685,51.075938,0.)); #519902=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #519903=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #519904=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #519905=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #519906=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #519907=CARTESIAN_POINT('Origin',(52.299369,51.088306,0.)); #519908=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #519909=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #519910=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #519911=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #519912=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #519913=CARTESIAN_POINT('Origin',(52.281172,51.096078,0.)); #519914=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #519915=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #519916=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #519917=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #519918=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #519919=CARTESIAN_POINT('Origin',(52.244316,51.1016,0.)); #519920=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #519921=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #519922=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #519923=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #519924=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #519925=CARTESIAN_POINT('Origin',(49.8028,51.1016,0.)); #519926=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #519927=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #519928=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #519929=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #519930=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #519931=CARTESIAN_POINT('Origin',(49.775331,51.106722,0.)); #519932=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #519933=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #519934=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #519935=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #519936=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #519937=CARTESIAN_POINT('Origin',(49.749784,51.123066,0.)); #519938=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #519939=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #519940=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #519941=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #519942=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #519943=CARTESIAN_POINT('Origin',(49.732634,51.148078,0.)); #519944=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #519945=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #519946=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #519947=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #519948=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #519949=CARTESIAN_POINT('Origin',(49.7266,51.1778,0.)); #519950=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #519951=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #519952=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #519953=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #519954=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #519955=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #519956=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #519957=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #519958=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #519959=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #519960=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #519961=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #519962=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #519963=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #519964=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #519965=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #519966=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #519967=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #519968=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #519969=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #519970=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #519971=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #519972=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #519973=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #519974=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #519975=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #519976=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #519977=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #519978=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #519979=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #519980=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #519981=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #519982=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #519983=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #519984=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #519985=CARTESIAN_POINT('Origin',(48.317944,58.450747,0.)); #519986=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #519987=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #519988=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #519989=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #519990=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #519991=CARTESIAN_POINT('Origin',(48.302144,58.473794,0.)); #519992=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #519993=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #519994=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #519995=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #519996=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #519997=CARTESIAN_POINT('Origin',(48.295634,58.503413,0.)); #519998=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #519999=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #520000=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #520001=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #520002=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #520003=CARTESIAN_POINT('Origin',(48.301194,58.533225,0.)); #520004=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #520005=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #520006=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #520007=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #520008=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #520009=CARTESIAN_POINT('Origin',(48.317944,58.558509,0.)); #520010=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #520011=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #520012=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #520013=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #520014=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #520015=CARTESIAN_POINT('Origin',(48.3324,58.572966,0.)); #520016=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #520017=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #520018=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #520019=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #520020=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #520021=CARTESIAN_POINT('Origin',(48.3324,58.927034,0.)); #520022=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #520023=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #520024=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #520025=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #520026=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #520027=CARTESIAN_POINT('Origin',(48.082034,59.1774,0.)); #520028=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #520029=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #520030=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #520031=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #520032=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #520033=CARTESIAN_POINT('Origin',(47.727966,59.1774,0.)); #520034=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #520035=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #520036=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #520037=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #520038=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #520039=CARTESIAN_POINT('Origin',(47.551484,59.000919,0.)); #520040=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #520041=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #520042=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #520043=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #520044=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #520045=CARTESIAN_POINT('Origin',(47.527325,58.984634,0.)); #520046=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #520047=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #520048=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #520049=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #520050=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #520051=CARTESIAN_POINT('Origin',(47.497603,58.9786,0.)); #520052=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #520053=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #520054=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #520055=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #520056=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #520057=CARTESIAN_POINT('Origin',(43.405309,58.9786,0.)); #520058=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #520059=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #520060=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #520061=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #520062=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #520063=CARTESIAN_POINT('Origin',(42.3964,57.969691,0.)); #520064=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #520065=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #520066=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #520067=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #520068=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #520069=CARTESIAN_POINT('Origin',(42.3964,52.110853,0.)); #520070=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #520071=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #520072=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #520073=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #520074=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #520075=CARTESIAN_POINT('Origin',(42.391706,52.084522,0.)); #520076=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #520077=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #520078=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #520079=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #520080=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #520081=CARTESIAN_POINT('Origin',(42.375772,52.058716,0.)); #520082=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #520083=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #520084=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #520085=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #520086=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #520087=CARTESIAN_POINT('Origin',(42.351034,52.041172,0.)); #520088=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #520089=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #520090=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #520091=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #520092=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #520093=CARTESIAN_POINT('Origin',(42.321416,52.034663,0.)); #520094=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #520095=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #520096=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #520097=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #520098=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #520099=CARTESIAN_POINT('Origin',(42.291603,52.040222,0.)); #520100=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #520101=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #520102=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #520103=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #520104=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #520105=CARTESIAN_POINT('Origin',(42.266319,52.056972,0.)); #520106=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #520107=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #520108=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #520109=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #520110=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #520111=CARTESIAN_POINT('Origin',(41.094691,53.2286,0.)); #520112=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #520113=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #520114=CARTESIAN_POINT('',(41.094691,53.2286,0.035)); #520115=CARTESIAN_POINT('',(41.094691,53.2286,0.035)); #520116=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #520117=CARTESIAN_POINT('Origin',(39.1597,53.2286,0.)); #520118=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #520119=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #520120=CARTESIAN_POINT('',(39.1597,53.2286,0.035)); #520121=CARTESIAN_POINT('',(39.1597,53.2286,0.035)); #520122=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #520123=CARTESIAN_POINT('Origin',(39.132231,53.233722,0.)); #520124=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #520125=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #520126=CARTESIAN_POINT('',(39.132231,53.233722,0.035)); #520127=CARTESIAN_POINT('',(39.132231,53.233722,0.035)); #520128=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #520129=CARTESIAN_POINT('Origin',(39.106684,53.250066,0.)); #520130=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #520131=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #520132=CARTESIAN_POINT('',(39.106684,53.250066,0.035)); #520133=CARTESIAN_POINT('',(39.106684,53.250066,0.035)); #520134=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #520135=CARTESIAN_POINT('Origin',(39.089534,53.275078,0.)); #520136=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #520137=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #520138=CARTESIAN_POINT('',(39.089534,53.275078,0.035)); #520139=CARTESIAN_POINT('',(39.089534,53.275078,0.035)); #520140=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #520141=CARTESIAN_POINT('Origin',(39.0835,53.3048,0.)); #520142=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #520143=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #520144=CARTESIAN_POINT('',(39.0835,53.3048,0.035)); #520145=CARTESIAN_POINT('',(39.0835,53.3048,0.035)); #520146=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #520147=CARTESIAN_POINT('Origin',(39.0835,53.507628,0.)); #520148=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #520149=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #520150=CARTESIAN_POINT('',(39.0835,53.507628,0.035)); #520151=CARTESIAN_POINT('',(39.0835,53.507628,0.035)); #520152=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #520153=CARTESIAN_POINT('Origin',(38.994228,53.5969,0.)); #520154=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #520155=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #520156=CARTESIAN_POINT('',(38.994228,53.5969,0.035)); #520157=CARTESIAN_POINT('',(38.994228,53.5969,0.035)); #520158=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #520159=CARTESIAN_POINT('Origin',(38.029772,53.5969,0.)); #520160=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #520161=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #520162=CARTESIAN_POINT('',(38.029772,53.5969,0.035)); #520163=CARTESIAN_POINT('',(38.029772,53.5969,0.035)); #520164=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #520165=CARTESIAN_POINT('Origin',(37.9405,53.507628,0.)); #520166=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #520167=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #520168=CARTESIAN_POINT('',(37.9405,53.507628,0.035)); #520169=CARTESIAN_POINT('',(37.9405,53.507628,0.035)); #520170=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #520171=CARTESIAN_POINT('Origin',(37.9405,52.492372,0.)); #520172=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #520173=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #520174=CARTESIAN_POINT('',(37.9405,52.492372,0.035)); #520175=CARTESIAN_POINT('',(37.9405,52.492372,0.035)); #520176=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #520177=CARTESIAN_POINT('Origin',(38.029772,52.4031,0.)); #520178=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #520179=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #520180=CARTESIAN_POINT('',(38.029772,52.4031,0.035)); #520181=CARTESIAN_POINT('',(38.029772,52.4031,0.035)); #520182=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #520183=CARTESIAN_POINT('Origin',(38.994228,52.4031,0.)); #520184=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #520185=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #520186=CARTESIAN_POINT('',(38.994228,52.4031,0.035)); #520187=CARTESIAN_POINT('',(38.994228,52.4031,0.035)); #520188=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #520189=CARTESIAN_POINT('Origin',(39.0835,52.492372,0.)); #520190=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #520191=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #520192=CARTESIAN_POINT('',(39.0835,52.492372,0.035)); #520193=CARTESIAN_POINT('',(39.0835,52.492372,0.035)); #520194=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #520195=CARTESIAN_POINT('Origin',(39.0835,52.6952,0.)); #520196=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #520197=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #520198=CARTESIAN_POINT('',(39.0835,52.6952,0.035)); #520199=CARTESIAN_POINT('',(39.0835,52.6952,0.035)); #520200=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #520201=CARTESIAN_POINT('Origin',(39.088622,52.722669,0.)); #520202=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #520203=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #520204=CARTESIAN_POINT('',(39.088622,52.722669,0.035)); #520205=CARTESIAN_POINT('',(39.088622,52.722669,0.035)); #520206=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #520207=CARTESIAN_POINT('Origin',(39.104966,52.748216,0.)); #520208=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #520209=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #520210=CARTESIAN_POINT('',(39.104966,52.748216,0.035)); #520211=CARTESIAN_POINT('',(39.104966,52.748216,0.035)); #520212=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #520213=CARTESIAN_POINT('Origin',(39.129978,52.765366,0.)); #520214=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #520215=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #520216=CARTESIAN_POINT('',(39.129978,52.765366,0.035)); #520217=CARTESIAN_POINT('',(39.129978,52.765366,0.035)); #520218=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #520219=CARTESIAN_POINT('Origin',(39.1597,52.7714,0.)); #520220=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #520221=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #520222=CARTESIAN_POINT('',(39.1597,52.7714,0.035)); #520223=CARTESIAN_POINT('',(39.1597,52.7714,0.035)); #520224=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #520225=CARTESIAN_POINT('Origin',(40.873747,52.7714,0.)); #520226=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #520227=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #520228=CARTESIAN_POINT('',(40.873747,52.7714,0.035)); #520229=CARTESIAN_POINT('',(40.873747,52.7714,0.035)); #520230=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #520231=CARTESIAN_POINT('Origin',(40.902344,52.765831,0.)); #520232=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #520233=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #520234=CARTESIAN_POINT('',(40.902344,52.765831,0.035)); #520235=CARTESIAN_POINT('',(40.902344,52.765831,0.035)); #520236=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #520237=CARTESIAN_POINT('Origin',(40.927628,52.749081,0.)); #520238=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #520239=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #520240=CARTESIAN_POINT('',(40.927628,52.749081,0.035)); #520241=CARTESIAN_POINT('',(40.927628,52.749081,0.035)); #520242=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #520243=CARTESIAN_POINT('Origin',(41.999081,51.677628,0.)); #520244=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #520245=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #520246=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #520247=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #520248=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #520249=CARTESIAN_POINT('Origin',(42.015366,51.653469,0.)); #520250=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #520251=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #520252=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #520253=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #520254=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #520255=CARTESIAN_POINT('Origin',(42.0214,51.623747,0.)); #520256=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #520257=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #520258=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #520259=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #520260=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #520261=CARTESIAN_POINT('Origin',(42.0214,43.138253,0.)); #520262=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #520263=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #520264=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #520265=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #520266=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #520267=CARTESIAN_POINT('Origin',(42.015831,43.109656,0.)); #520268=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #520269=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #520270=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #520271=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #520272=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #520273=CARTESIAN_POINT('Origin',(41.999081,43.084372,0.)); #520274=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #520275=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #520276=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #520277=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #520278=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #520279=CARTESIAN_POINT('Origin',(37.533928,38.619219,0.)); #520280=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #520281=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #520282=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #520283=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #520284=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #520285=CARTESIAN_POINT('Origin',(37.509769,38.602934,0.)); #520286=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #520287=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #520288=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #520289=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #520290=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #520291=CARTESIAN_POINT('Origin',(37.480047,38.5969,0.)); #520292=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #520293=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #520294=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #520295=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #520296=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #520297=CARTESIAN_POINT('Origin',(36.755772,38.5969,0.)); #520298=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #520299=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #520300=CARTESIAN_POINT('',(36.755772,38.5969,0.035)); #520301=CARTESIAN_POINT('',(36.755772,38.5969,0.035)); #520302=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #520303=CARTESIAN_POINT('Origin',(36.6665,38.507628,0.)); #520304=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #520305=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #520306=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #520307=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #520308=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #520309=CARTESIAN_POINT('Origin',(36.6665,37.492372,0.)); #520310=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #520311=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #520312=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #520313=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #520314=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #520315=CARTESIAN_POINT('Origin',(36.755772,37.4031,0.)); #520316=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #520317=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #520318=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #520319=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #520320=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #520321=CARTESIAN_POINT('Origin',(37.480047,37.4031,0.)); #520322=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #520323=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #520324=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #520325=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #520326=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #520327=CARTESIAN_POINT('Origin',(37.508644,37.397531,0.)); #520328=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #520329=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #520330=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #520331=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #520332=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #520333=CARTESIAN_POINT('Origin',(37.533928,37.380781,0.)); #520334=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #520335=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #520336=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #520337=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #520338=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #520339=CARTESIAN_POINT('Origin',(37.549581,37.365128,0.)); #520340=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #520341=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #520342=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #520343=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #520344=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #520345=CARTESIAN_POINT('Origin',(37.565866,37.340969,0.)); #520346=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #520347=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #520348=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #520349=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #520350=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #520351=CARTESIAN_POINT('Origin',(37.5719,37.311247,0.)); #520352=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #520353=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #520354=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #520355=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #520356=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #520357=CARTESIAN_POINT('Origin',(37.5719,37.153997,0.)); #520358=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #520359=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #520360=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #520361=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #520362=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #520363=CARTESIAN_POINT('Origin',(37.567206,37.127666,0.)); #520364=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #520365=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #520366=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #520367=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #520368=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #520369=CARTESIAN_POINT('Origin',(37.551272,37.101859,0.)); #520370=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #520371=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #520372=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #520373=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #520374=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #520375=CARTESIAN_POINT('Origin',(37.526534,37.084316,0.)); #520376=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #520377=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #520378=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #520379=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #520380=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #520381=CARTESIAN_POINT('Origin',(37.496916,37.077806,0.)); #520382=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #520383=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #520384=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #520385=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #520386=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #520387=CARTESIAN_POINT('Origin',(37.467103,37.083366,0.)); #520388=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #520389=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #520390=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #520391=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #520392=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #520393=CARTESIAN_POINT('Origin',(37.441819,37.100116,0.)); #520394=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #520395=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #520396=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #520397=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #520398=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #520399=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #520400=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #520401=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #520402=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #520403=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #520404=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #520405=CARTESIAN_POINT('Origin',(37.010466,37.1774,0.)); #520406=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #520407=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #520408=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #520409=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #520410=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #520411=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #520412=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #520413=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #520414=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #520415=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #520416=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #520417=CARTESIAN_POINT('Origin',(36.7601,36.677453,0.)); #520418=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #520419=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #520420=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #520421=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #520422=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #520423=CARTESIAN_POINT('Origin',(36.754531,36.648856,0.)); #520424=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #520425=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #520426=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #520427=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #520428=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #520429=CARTESIAN_POINT('Origin',(36.737781,36.623572,0.)); #520430=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #520431=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #520432=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #520433=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #520434=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #520435=CARTESIAN_POINT('Origin',(34.8339,34.719691,0.)); #520436=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #520437=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #520438=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #520439=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #520440=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #520441=CARTESIAN_POINT('Origin',(34.8339,25.876247,0.)); #520442=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #520443=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #520444=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #520445=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #520446=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #520447=CARTESIAN_POINT('Origin',(34.829206,25.849916,0.)); #520448=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #520449=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #520450=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #520451=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #520452=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #520453=CARTESIAN_POINT('Origin',(34.813272,25.824109,0.)); #520454=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #520455=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #520456=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #520457=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #520458=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #520459=CARTESIAN_POINT('Origin',(34.788534,25.806566,0.)); #520460=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #520461=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #520462=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #520463=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #520464=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #520465=CARTESIAN_POINT('Origin',(34.758916,25.800056,0.)); #520466=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #520467=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #520468=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #520469=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #520470=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #520471=CARTESIAN_POINT('Origin',(34.729103,25.805616,0.)); #520472=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #520473=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #520474=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #520475=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #520476=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #520477=CARTESIAN_POINT('Origin',(34.703819,25.822366,0.)); #520478=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #520479=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #520480=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #520481=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #520482=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #520483=CARTESIAN_POINT('Origin',(34.511169,26.015016,0.)); #520484=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #520485=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #520486=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #520487=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #520488=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #520489=CARTESIAN_POINT('Origin',(34.1795,26.1524,0.)); #520490=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #520491=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #520492=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #520493=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #520494=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #520495=CARTESIAN_POINT('Origin',(33.8205,26.1524,0.)); #520496=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #520497=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #520498=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #520499=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #520500=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #520501=CARTESIAN_POINT('Origin',(33.488831,26.015016,0.)); #520502=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #520503=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #520504=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #520505=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #520506=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #520507=CARTESIAN_POINT('Origin',(33.296181,25.822366,0.)); #520508=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #520509=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #520510=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #520511=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #520512=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #520513=CARTESIAN_POINT('Origin',(33.274244,25.807066,0.)); #520514=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #520515=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #520516=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #520517=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #520518=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #520519=CARTESIAN_POINT('Origin',(33.244728,25.800084,0.)); #520520=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #520521=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #520522=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #520523=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #520524=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #520525=CARTESIAN_POINT('Origin',(33.214831,25.805169,0.)); #520526=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #520527=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #520528=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #520529=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #520530=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #520531=CARTESIAN_POINT('Origin',(33.189284,25.821513,0.)); #520532=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #520533=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #520534=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #520535=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #520536=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #520537=CARTESIAN_POINT('Origin',(33.172134,25.846525,0.)); #520538=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #520539=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #520540=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #520541=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #520542=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #520543=CARTESIAN_POINT('Origin',(33.1661,25.876247,0.)); #520544=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #520545=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #520546=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #520547=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #520548=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #520549=CARTESIAN_POINT('Origin',(33.1661,34.719691,0.)); #520550=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #520551=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #520552=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #520553=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #520554=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #520555=CARTESIAN_POINT('Origin',(31.262219,36.623572,0.)); #520556=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #520557=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #520558=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #520559=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #520560=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #520561=CARTESIAN_POINT('Origin',(31.245934,36.647731,0.)); #520562=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #520563=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #520564=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #520565=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #520566=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #520567=CARTESIAN_POINT('Origin',(31.2399,36.677453,0.)); #520568=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #520569=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #520570=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #520571=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #520572=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #520573=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #520574=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #520575=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #520576=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #520577=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #520578=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #520579=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #520580=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #520581=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #520582=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #520583=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #520584=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #520585=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #520586=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #520587=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #520588=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #520589=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #520590=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #520591=CARTESIAN_POINT('Origin',(30.558181,37.100116,0.)); #520592=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #520593=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #520594=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #520595=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #520596=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #520597=CARTESIAN_POINT('Origin',(30.536244,37.084816,0.)); #520598=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #520599=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #520600=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #520601=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #520602=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #520603=CARTESIAN_POINT('Origin',(30.506728,37.077834,0.)); #520604=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #520605=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #520606=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #520607=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #520608=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #520609=CARTESIAN_POINT('Origin',(30.476831,37.082919,0.)); #520610=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #520611=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #520612=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #520613=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #520614=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #520615=CARTESIAN_POINT('Origin',(30.451284,37.099263,0.)); #520616=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #520617=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #520618=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #520619=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #520620=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #520621=CARTESIAN_POINT('Origin',(30.434134,37.124275,0.)); #520622=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #520623=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #520624=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #520625=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #520626=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #520627=CARTESIAN_POINT('Origin',(30.4281,37.153997,0.)); #520628=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #520629=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #520630=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #520631=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #520632=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #520633=CARTESIAN_POINT('Origin',(30.4281,37.311247,0.)); #520634=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #520635=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #520636=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #520637=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #520638=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #520639=CARTESIAN_POINT('Origin',(30.433669,37.339844,0.)); #520640=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #520641=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #520642=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #520643=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #520644=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #520645=CARTESIAN_POINT('Origin',(30.450419,37.365128,0.)); #520646=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #520647=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #520648=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #520649=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #520650=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #520651=CARTESIAN_POINT('Origin',(30.466072,37.380781,0.)); #520652=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #520653=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #520654=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #520655=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #520656=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #520657=CARTESIAN_POINT('Origin',(30.490231,37.397066,0.)); #520658=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #520659=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #520660=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #520661=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #520662=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #520663=CARTESIAN_POINT('Origin',(30.519953,37.4031,0.)); #520664=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #520665=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #520666=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #520667=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #520668=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #520669=CARTESIAN_POINT('Origin',(31.244228,37.4031,0.)); #520670=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #520671=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #520672=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #520673=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #520674=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #520675=CARTESIAN_POINT('Origin',(31.3335,37.492372,0.)); #520676=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #520677=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #520678=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #520679=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #520680=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #520681=CARTESIAN_POINT('Origin',(31.3335,38.507628,0.)); #520682=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #520683=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #520684=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #520685=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #520686=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #520687=CARTESIAN_POINT('Origin',(31.244228,38.5969,0.)); #520688=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #520689=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #520690=CARTESIAN_POINT('',(31.244228,38.5969,0.035)); #520691=CARTESIAN_POINT('',(31.244228,38.5969,0.035)); #520692=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #520693=CARTESIAN_POINT('Origin',(30.519953,38.5969,0.)); #520694=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #520695=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #520696=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #520697=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #520698=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #520699=CARTESIAN_POINT('Origin',(30.491356,38.602469,0.)); #520700=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #520701=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #520702=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #520703=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #520704=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #520705=CARTESIAN_POINT('Origin',(30.466072,38.619219,0.)); #520706=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #520707=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #520708=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #520709=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #520710=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #520711=CARTESIAN_POINT('Origin',(26.000919,43.084372,0.)); #520712=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #520713=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #520714=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #520715=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #520716=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #520717=CARTESIAN_POINT('Origin',(25.984634,43.108531,0.)); #520718=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #520719=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #520720=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #520721=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #520722=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #520723=CARTESIAN_POINT('Origin',(25.9786,43.138253,0.)); #520724=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #520725=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #520726=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #520727=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #520728=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #520729=CARTESIAN_POINT('Origin',(25.9786,51.623747,0.)); #520730=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #520731=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #520732=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #520733=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #520734=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #520735=CARTESIAN_POINT('Origin',(25.984169,51.652344,0.)); #520736=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #520737=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #520738=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #520739=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #520740=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #520741=CARTESIAN_POINT('Origin',(26.000919,51.677628,0.)); #520742=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #520743=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #520744=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #520745=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #520746=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #520747=CARTESIAN_POINT('Origin',(27.072372,52.749081,0.)); #520748=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #520749=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #520750=CARTESIAN_POINT('',(27.072372,52.749081,0.035)); #520751=CARTESIAN_POINT('',(27.072372,52.749081,0.035)); #520752=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #520753=CARTESIAN_POINT('Origin',(27.096531,52.765366,0.)); #520754=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #520755=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #520756=CARTESIAN_POINT('',(27.096531,52.765366,0.035)); #520757=CARTESIAN_POINT('',(27.096531,52.765366,0.035)); #520758=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #520759=CARTESIAN_POINT('Origin',(27.126253,52.7714,0.)); #520760=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #520761=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #520762=CARTESIAN_POINT('',(27.126253,52.7714,0.035)); #520763=CARTESIAN_POINT('',(27.126253,52.7714,0.035)); #520764=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #520765=CARTESIAN_POINT('Origin',(28.8403,52.7714,0.)); #520766=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #520767=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #520768=CARTESIAN_POINT('',(28.8403,52.7714,0.035)); #520769=CARTESIAN_POINT('',(28.8403,52.7714,0.035)); #520770=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #520771=CARTESIAN_POINT('Origin',(28.867769,52.766278,0.)); #520772=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #520773=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #520774=CARTESIAN_POINT('',(28.867769,52.766278,0.035)); #520775=CARTESIAN_POINT('',(28.867769,52.766278,0.035)); #520776=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #520777=CARTESIAN_POINT('Origin',(28.893316,52.749934,0.)); #520778=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #520779=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #520780=CARTESIAN_POINT('',(28.893316,52.749934,0.035)); #520781=CARTESIAN_POINT('',(28.893316,52.749934,0.035)); #520782=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #520783=CARTESIAN_POINT('Origin',(28.910466,52.724922,0.)); #520784=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #520785=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #520786=CARTESIAN_POINT('',(28.910466,52.724922,0.035)); #520787=CARTESIAN_POINT('',(28.910466,52.724922,0.035)); #520788=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #520789=CARTESIAN_POINT('Origin',(28.9165,52.6952,0.)); #520790=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #520791=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #520792=CARTESIAN_POINT('',(28.9165,52.6952,0.035)); #520793=CARTESIAN_POINT('',(28.9165,52.6952,0.035)); #520794=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #520795=CARTESIAN_POINT('Origin',(28.9165,52.492372,0.)); #520796=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #520797=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #520798=CARTESIAN_POINT('',(28.9165,52.492372,0.035)); #520799=CARTESIAN_POINT('',(28.9165,52.492372,0.035)); #520800=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #520801=CARTESIAN_POINT('Origin',(29.005772,52.4031,0.)); #520802=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #520803=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #520804=CARTESIAN_POINT('',(29.005772,52.4031,0.035)); #520805=CARTESIAN_POINT('',(29.005772,52.4031,0.035)); #520806=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #520807=CARTESIAN_POINT('Origin',(29.970228,52.4031,0.)); #520808=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #520809=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #520810=CARTESIAN_POINT('',(29.970228,52.4031,0.035)); #520811=CARTESIAN_POINT('',(29.970228,52.4031,0.035)); #520812=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #520813=CARTESIAN_POINT('Origin',(30.0595,52.492372,0.)); #520814=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #520815=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #520816=CARTESIAN_POINT('',(30.0595,52.492372,0.035)); #520817=CARTESIAN_POINT('',(30.0595,52.492372,0.035)); #520818=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #520819=CARTESIAN_POINT('Origin',(30.0595,53.507628,0.)); #520820=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #520821=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #520822=CARTESIAN_POINT('',(30.0595,53.507628,0.035)); #520823=CARTESIAN_POINT('',(30.0595,53.507628,0.035)); #520824=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #520825=CARTESIAN_POINT('Origin',(29.970228,53.5969,0.)); #520826=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #520827=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #520828=CARTESIAN_POINT('',(29.970228,53.5969,0.035)); #520829=CARTESIAN_POINT('',(29.970228,53.5969,0.035)); #520830=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #520831=CARTESIAN_POINT('Origin',(29.005772,53.5969,0.)); #520832=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #520833=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #520834=CARTESIAN_POINT('',(29.005772,53.5969,0.035)); #520835=CARTESIAN_POINT('',(29.005772,53.5969,0.035)); #520836=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #520837=CARTESIAN_POINT('Origin',(28.9165,53.507628,0.)); #520838=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #520839=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #520840=CARTESIAN_POINT('',(28.9165,53.507628,0.035)); #520841=CARTESIAN_POINT('',(28.9165,53.507628,0.035)); #520842=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #520843=CARTESIAN_POINT('Origin',(28.9165,53.3048,0.)); #520844=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #520845=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #520846=CARTESIAN_POINT('',(28.9165,53.3048,0.035)); #520847=CARTESIAN_POINT('',(28.9165,53.3048,0.035)); #520848=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #520849=CARTESIAN_POINT('Origin',(28.911378,53.277331,0.)); #520850=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #520851=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #520852=CARTESIAN_POINT('',(28.911378,53.277331,0.035)); #520853=CARTESIAN_POINT('',(28.911378,53.277331,0.035)); #520854=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #520855=CARTESIAN_POINT('Origin',(28.895034,53.251784,0.)); #520856=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #520857=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #520858=CARTESIAN_POINT('',(28.895034,53.251784,0.035)); #520859=CARTESIAN_POINT('',(28.895034,53.251784,0.035)); #520860=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #520861=CARTESIAN_POINT('Origin',(28.870022,53.234634,0.)); #520862=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #520863=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #520864=CARTESIAN_POINT('',(28.870022,53.234634,0.035)); #520865=CARTESIAN_POINT('',(28.870022,53.234634,0.035)); #520866=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #520867=CARTESIAN_POINT('Origin',(28.8403,53.2286,0.)); #520868=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #520869=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #520870=CARTESIAN_POINT('',(28.8403,53.2286,0.035)); #520871=CARTESIAN_POINT('',(28.8403,53.2286,0.035)); #520872=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #520873=CARTESIAN_POINT('Origin',(26.905309,53.2286,0.)); #520874=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #520875=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #520876=CARTESIAN_POINT('',(26.905309,53.2286,0.035)); #520877=CARTESIAN_POINT('',(26.905309,53.2286,0.035)); #520878=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #520879=CARTESIAN_POINT('Origin',(25.733681,52.056972,0.)); #520880=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #520881=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #520882=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #520883=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #520884=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #520885=CARTESIAN_POINT('Origin',(25.711744,52.041672,0.)); #520886=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #520887=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #520888=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #520889=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #520890=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #520891=CARTESIAN_POINT('Origin',(25.682228,52.034691,0.)); #520892=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #520893=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #520894=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #520895=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #520896=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #520897=CARTESIAN_POINT('Origin',(25.652331,52.039775,0.)); #520898=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #520899=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #520900=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #520901=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #520902=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #520903=CARTESIAN_POINT('Origin',(25.626784,52.056119,0.)); #520904=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #520905=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #520906=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #520907=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #520908=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #520909=CARTESIAN_POINT('Origin',(25.609634,52.081131,0.)); #520910=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #520911=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #520912=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #520913=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #520914=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #520915=CARTESIAN_POINT('Origin',(25.6036,52.110853,0.)); #520916=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #520917=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #520918=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #520919=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #520920=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #520921=CARTESIAN_POINT('Origin',(25.6036,57.969691,0.)); #520922=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #520923=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #520924=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #520925=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #520926=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #520927=CARTESIAN_POINT('Origin',(24.594691,58.9786,0.)); #520928=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #520929=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #520930=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #520931=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #520932=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #520933=CARTESIAN_POINT('Origin',(24.312397,58.9786,0.)); #520934=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #520935=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #520936=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #520937=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #520938=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #520939=CARTESIAN_POINT('Origin',(24.2838,58.984169,0.)); #520940=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #520941=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #520942=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #520943=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #520944=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #520945=CARTESIAN_POINT('Origin',(24.258516,59.000919,0.)); #520946=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #520947=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #520948=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #520949=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #520950=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #520951=CARTESIAN_POINT('Origin',(24.082034,59.1774,0.)); #520952=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #520953=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #520954=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #520955=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #520956=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #520957=CARTESIAN_POINT('Origin',(23.727966,59.1774,0.)); #520958=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #520959=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #520960=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #520961=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #520962=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #520963=CARTESIAN_POINT('Origin',(23.477425,58.926859,0.)); #520964=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #520965=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #520966=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #520967=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #520968=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #520969=CARTESIAN_POINT('Origin',(23.472478,58.900331,0.)); #520970=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #520971=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #520972=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #520973=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #520974=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #520975=CARTESIAN_POINT('Origin',(23.456134,58.874784,0.)); #520976=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #520977=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #520978=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #520979=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #520980=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #520981=CARTESIAN_POINT('Origin',(23.431122,58.857634,0.)); #520982=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #520983=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #520984=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #520985=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #520986=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #520987=CARTESIAN_POINT('Origin',(23.4014,58.8516,0.)); #520988=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #520989=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #520990=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #520991=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #520992=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #520993=CARTESIAN_POINT('Origin',(20.129047,58.8516,0.)); #520994=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #520995=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #520996=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #520997=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #520998=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #520999=CARTESIAN_POINT('Origin',(20.103691,58.8489,0.)); #521000=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #521001=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #521002=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #521003=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #521004=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #521005=CARTESIAN_POINT('Origin',(20.083338,58.842194,0.)); #521006=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #521007=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #521008=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #521009=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #521010=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #521011=CARTESIAN_POINT('Origin',(20.063803,58.830731,0.)); #521012=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #521013=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #521014=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #521015=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #521016=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #521017=CARTESIAN_POINT('Origin',(20.051134,58.819822,0.)); #521018=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #521019=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #521020=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #521021=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #521022=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #521023=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #521024=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #521025=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #521026=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #521027=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #521028=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #521029=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #521030=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #521031=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #521032=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #521033=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #521034=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #521035=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #521036=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #521037=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #521038=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #521039=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #521040=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #521041=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #521042=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #521043=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #521044=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #521045=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #521046=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #521047=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #521048=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #521049=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #521050=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #521051=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #521052=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #521053=CARTESIAN_POINT('Origin',(18.2734,51.1778,0.)); #521054=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #521055=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #521056=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #521057=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #521058=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #521059=CARTESIAN_POINT('Origin',(18.268278,51.150331,0.)); #521060=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #521061=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #521062=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #521063=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #521064=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #521065=CARTESIAN_POINT('Origin',(18.251934,51.124784,0.)); #521066=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #521067=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #521068=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #521069=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #521070=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #521071=CARTESIAN_POINT('Origin',(18.226922,51.107634,0.)); #521072=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #521073=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #521074=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #521075=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #521076=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #521077=CARTESIAN_POINT('Origin',(18.1972,51.1016,0.)); #521078=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #521079=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #521080=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #521081=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #521082=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #521083=CARTESIAN_POINT('Origin',(15.754047,51.1016,0.)); #521084=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #521085=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #521086=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #521087=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #521088=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #521089=CARTESIAN_POINT('Origin',(15.728691,51.0989,0.)); #521090=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #521091=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #521092=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #521093=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #521094=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #521095=CARTESIAN_POINT('Origin',(15.708338,51.092194,0.)); #521096=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #521097=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #521098=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #521099=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #521100=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #521101=CARTESIAN_POINT('Origin',(15.689856,51.08135,0.)); #521102=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #521103=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #521104=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #521105=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #521106=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #521107=CARTESIAN_POINT('Origin',(15.674063,51.06685,0.)); #521108=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #521109=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #521110=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #521111=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #521112=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #521113=CARTESIAN_POINT('Origin',(15.661694,51.049369,0.)); #521114=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #521115=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #521116=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #521117=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #521118=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #521119=CARTESIAN_POINT('Origin',(15.653922,51.031172,0.)); #521120=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #521121=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #521122=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #521123=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #521124=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #521125=CARTESIAN_POINT('Origin',(15.6484,50.994316,0.)); #521126=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #521127=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #521128=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #521129=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #521130=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #521131=CARTESIAN_POINT('Origin',(15.6484,50.8048,0.)); #521132=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #521133=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #521134=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #521135=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #521136=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #521137=CARTESIAN_POINT('Origin',(15.643278,50.777331,0.)); #521138=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #521139=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #521140=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #521141=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #521142=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #521143=CARTESIAN_POINT('Origin',(15.626934,50.751784,0.)); #521144=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #521145=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #521146=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #521147=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #521148=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #521149=CARTESIAN_POINT('Origin',(15.601922,50.734634,0.)); #521150=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #521151=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #521152=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #521153=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #521154=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #521155=CARTESIAN_POINT('Origin',(15.5722,50.7286,0.)); #521156=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #521157=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #521158=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #521159=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #521160=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #521161=CARTESIAN_POINT('Origin',(14.4278,50.7286,0.)); #521162=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #521163=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #521164=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #521165=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #521166=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #521167=CARTESIAN_POINT('Origin',(14.400331,50.733722,0.)); #521168=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #521169=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #521170=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #521171=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #521172=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #521173=CARTESIAN_POINT('Origin',(14.374784,50.750066,0.)); #521174=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #521175=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #521176=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #521177=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #521178=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #521179=CARTESIAN_POINT('Origin',(14.357634,50.775078,0.)); #521180=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #521181=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #521182=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #521183=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #521184=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #521185=CARTESIAN_POINT('Origin',(14.3516,50.8048,0.)); #521186=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #521187=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #521188=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #521189=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #521190=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #521191=CARTESIAN_POINT('Origin',(14.3516,50.995953,0.)); #521192=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #521193=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #521194=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #521195=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #521196=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #521197=CARTESIAN_POINT('Origin',(14.3489,51.021309,0.)); #521198=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #521199=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #521200=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #521201=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #521202=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #521203=CARTESIAN_POINT('Origin',(14.342194,51.041663,0.)); #521204=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #521205=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #521206=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #521207=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #521208=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #521209=CARTESIAN_POINT('Origin',(14.33135,51.060144,0.)); #521210=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #521211=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #521212=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #521213=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #521214=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #521215=CARTESIAN_POINT('Origin',(14.31685,51.075938,0.)); #521216=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #521217=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #521218=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #521219=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #521220=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #521221=CARTESIAN_POINT('Origin',(14.299369,51.088306,0.)); #521222=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #521223=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #521224=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #521225=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #521226=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #521227=CARTESIAN_POINT('Origin',(14.281172,51.096078,0.)); #521228=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #521229=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #521230=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #521231=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #521232=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #521233=CARTESIAN_POINT('Origin',(14.244316,51.1016,0.)); #521234=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #521235=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #521236=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #521237=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #521238=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #521239=CARTESIAN_POINT('Origin',(11.629047,51.1016,0.)); #521240=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #521241=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #521242=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #521243=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #521244=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #521245=CARTESIAN_POINT('Origin',(11.603691,51.0989,0.)); #521246=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #521247=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #521248=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #521249=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #521250=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #521251=CARTESIAN_POINT('Origin',(11.583338,51.092194,0.)); #521252=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #521253=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #521254=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #521255=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #521256=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #521257=CARTESIAN_POINT('Origin',(11.563803,51.080731,0.)); #521258=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #521259=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #521260=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #521261=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #521262=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #521263=CARTESIAN_POINT('Origin',(11.551134,51.069822,0.)); #521264=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #521265=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #521266=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #521267=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #521268=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #521269=CARTESIAN_POINT('Origin',(10.181022,49.699709,0.)); #521270=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #521271=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #521272=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #521273=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #521274=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #521275=CARTESIAN_POINT('Origin',(10.165003,49.679869,0.)); #521276=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #521277=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #521278=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #521279=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #521280=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #521281=CARTESIAN_POINT('Origin',(10.155353,49.660728,0.)); #521282=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #521283=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #521284=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #521285=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #521286=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #521287=CARTESIAN_POINT('Origin',(10.149641,49.638816,0.)); #521288=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #521289=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #521290=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #521291=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #521292=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #521293=CARTESIAN_POINT('Origin',(10.1484,49.622153,0.)); #521294=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #521295=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #521296=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #521297=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #521298=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #521299=CARTESIAN_POINT('Origin',(10.1484,42.504047,0.)); #521300=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #521301=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #521302=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #521303=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #521304=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #521305=CARTESIAN_POINT('Origin',(10.1511,42.478691,0.)); #521306=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #521307=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #521308=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #521309=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #521310=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #521311=CARTESIAN_POINT('Origin',(10.157456,42.459403,0.)); #521312=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #521313=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #521314=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #521315=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #521316=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #521317=CARTESIAN_POINT('Origin',(10.175256,42.430984,0.)); #521318=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #521319=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #521320=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #521321=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #521322=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #521323=CARTESIAN_POINT('Origin',(13.880738,37.984406,0.)); #521324=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #521325=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #521326=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #521327=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #521328=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #521329=CARTESIAN_POINT('Origin',(13.892366,37.965347,0.)); #521330=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #521331=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #521332=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #521333=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #521334=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #521335=CARTESIAN_POINT('Origin',(13.8984,37.935625,0.)); #521336=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #521337=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #521338=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #521339=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #521340=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #521341=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #521342=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #521343=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #521344=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #521345=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #521346=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #521347=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #521348=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #521349=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #521350=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #521351=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #521352=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #521353=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #521354=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #521355=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #521356=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #521357=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #521358=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #521359=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #521360=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #521361=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #521362=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #521363=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #521364=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #521365=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #521366=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #521367=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #521368=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #521369=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #521370=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #521371=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #521372=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #521373=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #521374=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #521375=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #521376=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #521377=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #521378=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #521379=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #521380=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #521381=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #521382=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #521383=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #521384=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #521385=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #521386=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #521387=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #521388=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #521389=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #521390=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #521391=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #521392=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #521393=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #521394=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #521395=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #521396=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #521397=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #521398=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #521399=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #521400=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #521401=CARTESIAN_POINT('Origin',(18.245953,19.1484,0.)); #521402=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #521403=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #521404=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #521405=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #521406=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #521407=CARTESIAN_POINT('Origin',(18.271309,19.1511,0.)); #521408=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #521409=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #521410=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #521411=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #521412=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #521413=CARTESIAN_POINT('Origin',(18.291663,19.157806,0.)); #521414=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #521415=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #521416=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #521417=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #521418=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #521419=CARTESIAN_POINT('Origin',(18.311197,19.169269,0.)); #521420=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #521421=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #521422=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #521423=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #521424=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #521425=CARTESIAN_POINT('Origin',(18.323866,19.180178,0.)); #521426=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #521427=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #521428=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #521429=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #521430=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #521431=CARTESIAN_POINT('Origin',(19.568978,20.425291,0.)); #521432=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #521433=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #521434=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #521435=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #521436=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #521437=CARTESIAN_POINT('Origin',(19.584997,20.445131,0.)); #521438=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #521439=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #521440=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #521441=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #521442=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #521443=CARTESIAN_POINT('Origin',(19.594647,20.464272,0.)); #521444=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #521445=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #521446=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #521447=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #521448=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #521449=CARTESIAN_POINT('Origin',(19.600359,20.486184,0.)); #521450=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #521451=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #521452=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #521453=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #521454=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #521455=CARTESIAN_POINT('Origin',(19.6016,20.502847,0.)); #521456=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #521457=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #521458=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #521459=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #521460=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #521461=CARTESIAN_POINT('Origin',(19.6016,24.17635,0.)); #521462=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #521463=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #521464=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #521465=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #521466=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #521467=CARTESIAN_POINT('Origin',(19.607169,24.204947,0.)); #521468=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #521469=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #521470=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #521471=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #521472=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #521473=CARTESIAN_POINT('Origin',(19.623919,24.230231,0.)); #521474=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #521475=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #521476=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #521477=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #521478=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #521479=CARTESIAN_POINT('Origin',(20.769769,25.376081,0.)); #521480=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #521481=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #521482=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #521483=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #521484=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #521485=CARTESIAN_POINT('Origin',(20.793928,25.392366,0.)); #521486=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #521487=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #521488=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #521489=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #521490=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #521491=CARTESIAN_POINT('Origin',(20.82365,25.3984,0.)); #521492=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #521493=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #521494=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #521495=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #521496=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #521497=CARTESIAN_POINT('Origin',(22.746747,25.3984,0.)); #521498=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #521499=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #521500=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #521501=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #521502=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #521503=CARTESIAN_POINT('Origin',(22.775344,25.392831,0.)); #521504=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #521505=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #521506=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #521507=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #521508=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #521509=CARTESIAN_POINT('Origin',(22.800628,25.376081,0.)); #521510=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #521511=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #521512=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #521513=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #521514=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #521515=CARTESIAN_POINT('Origin',(23.155309,25.0214,0.)); #521516=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #521517=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #521518=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #521519=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #521520=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #521521=CARTESIAN_POINT('Origin',(27.373747,25.0214,0.)); #521522=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #521523=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #521524=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #521525=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #521526=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #521527=CARTESIAN_POINT('Origin',(27.402344,25.015831,0.)); #521528=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #521529=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #521530=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #521531=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #521532=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #521533=CARTESIAN_POINT('Origin',(27.427628,24.999081,0.)); #521534=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #521535=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #521536=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #521537=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #521538=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #521539=CARTESIAN_POINT('Origin',(27.494228,24.932481,0.)); #521540=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #521541=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #521542=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #521543=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #521544=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #521545=CARTESIAN_POINT('Origin',(27.509528,24.910544,0.)); #521546=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #521547=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #521548=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #521549=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #521550=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #521551=CARTESIAN_POINT('Origin',(27.516509,24.881028,0.)); #521552=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #521553=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #521554=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #521555=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #521556=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #521557=CARTESIAN_POINT('Origin',(27.511425,24.851131,0.)); #521558=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #521559=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #521560=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #521561=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #521562=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #521563=CARTESIAN_POINT('Origin',(27.495081,24.825584,0.)); #521564=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #521565=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #521566=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #521567=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #521568=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #521569=CARTESIAN_POINT('Origin',(27.470069,24.808434,0.)); #521570=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #521571=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #521572=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #521573=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #521574=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #521575=CARTESIAN_POINT('Origin',(27.440347,24.8024,0.)); #521576=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #521577=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #521578=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #521579=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #521580=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #521581=CARTESIAN_POINT('Origin',(27.072966,24.8024,0.)); #521582=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #521583=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #521584=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #521585=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #521586=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #521587=CARTESIAN_POINT('Origin',(26.8226,24.552034,0.)); #521588=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #521589=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #521590=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #521591=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #521592=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #521593=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #521594=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #521595=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #521596=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #521597=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #521598=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #521599=CARTESIAN_POINT('Origin',(26.999081,24.021484,0.)); #521600=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #521601=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #521602=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #521603=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #521604=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #521605=CARTESIAN_POINT('Origin',(27.015366,23.997325,0.)); #521606=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #521607=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #521608=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #521609=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #521610=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #521611=CARTESIAN_POINT('Origin',(27.0214,23.967603,0.)); #521612=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #521613=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #521614=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #521615=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #521616=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #521617=CARTESIAN_POINT('Origin',(27.0214,20.222453,0.)); #521618=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #521619=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #521620=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #521621=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #521622=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #521623=CARTESIAN_POINT('Origin',(27.016706,20.196122,0.)); #521624=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #521625=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #521626=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #521627=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #521628=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #521629=CARTESIAN_POINT('Origin',(27.000772,20.170316,0.)); #521630=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #521631=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #521632=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #521633=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #521634=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #521635=CARTESIAN_POINT('Origin',(26.976034,20.152772,0.)); #521636=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #521637=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #521638=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #521639=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #521640=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #521641=CARTESIAN_POINT('Origin',(26.946416,20.146263,0.)); #521642=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #521643=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #521644=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #521645=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #521646=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #521647=CARTESIAN_POINT('Origin',(26.916603,20.151822,0.)); #521648=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #521649=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #521650=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #521651=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #521652=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #521653=CARTESIAN_POINT('Origin',(26.891319,20.168572,0.)); #521654=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #521655=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #521656=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #521657=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #521658=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #521659=CARTESIAN_POINT('Origin',(26.355819,20.704072,0.)); #521660=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #521661=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #521662=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #521663=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #521664=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #521665=CARTESIAN_POINT('Origin',(26.339534,20.728231,0.)); #521666=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #521667=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #521668=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #521669=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #521670=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #521671=CARTESIAN_POINT('Origin',(26.3335,20.757953,0.)); #521672=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #521673=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #521674=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #521675=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #521676=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #521677=CARTESIAN_POINT('Origin',(26.3335,21.507628,0.)); #521678=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #521679=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #521680=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #521681=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #521682=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #521683=CARTESIAN_POINT('Origin',(26.244228,21.5969,0.)); #521684=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #521685=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #521686=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #521687=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #521688=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #521689=CARTESIAN_POINT('Origin',(25.279772,21.5969,0.)); #521690=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #521691=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #521692=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #521693=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #521694=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #521695=CARTESIAN_POINT('Origin',(25.1905,21.507628,0.)); #521696=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #521697=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #521698=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #521699=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #521700=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #521701=CARTESIAN_POINT('Origin',(25.1905,20.5548,0.)); #521702=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #521703=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #521704=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #521705=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #521706=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #521707=CARTESIAN_POINT('Origin',(25.185378,20.527331,0.)); #521708=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #521709=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #521710=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #521711=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #521712=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #521713=CARTESIAN_POINT('Origin',(25.169034,20.501784,0.)); #521714=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #521715=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #521716=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #521717=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #521718=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #521719=CARTESIAN_POINT('Origin',(25.144022,20.484634,0.)); #521720=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #521721=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #521722=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #521723=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #521724=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #521725=CARTESIAN_POINT('Origin',(25.1143,20.4786,0.)); #521726=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #521727=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #521728=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #521729=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #521730=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #521731=CARTESIAN_POINT('Origin',(22.638253,20.4786,0.)); #521732=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #521733=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #521734=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #521735=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #521736=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #521737=CARTESIAN_POINT('Origin',(22.609656,20.484169,0.)); #521738=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #521739=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #521740=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #521741=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #521742=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #521743=CARTESIAN_POINT('Origin',(22.584372,20.500919,0.)); #521744=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #521745=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #521746=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #521747=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #521748=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #521749=CARTESIAN_POINT('Origin',(22.355819,20.729472,0.)); #521750=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #521751=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #521752=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #521753=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #521754=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #521755=CARTESIAN_POINT('Origin',(22.339534,20.753631,0.)); #521756=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #521757=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #521758=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #521759=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #521760=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #521761=CARTESIAN_POINT('Origin',(22.3335,20.783353,0.)); #521762=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #521763=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #521764=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #521765=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #521766=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #521767=CARTESIAN_POINT('Origin',(22.3335,21.507628,0.)); #521768=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #521769=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #521770=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #521771=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #521772=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #521773=CARTESIAN_POINT('Origin',(22.244228,21.5969,0.)); #521774=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #521775=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #521776=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #521777=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #521778=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #521779=CARTESIAN_POINT('Origin',(21.279772,21.5969,0.)); #521780=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #521781=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #521782=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #521783=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #521784=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #521785=CARTESIAN_POINT('Origin',(21.1905,21.507628,0.)); #521786=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #521787=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #521788=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #521789=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #521790=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #521791=CARTESIAN_POINT('Origin',(21.1905,20.492372,0.)); #521792=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #521793=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #521794=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #521795=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #521796=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #521797=CARTESIAN_POINT('Origin',(21.279772,20.4031,0.)); #521798=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #521799=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #521800=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #521801=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #521802=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #521803=CARTESIAN_POINT('Origin',(22.004047,20.4031,0.)); #521804=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #521805=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #521806=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #521807=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #521808=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #521809=CARTESIAN_POINT('Origin',(22.032644,20.397531,0.)); #521810=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #521811=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #521812=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #521813=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #521814=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #521815=CARTESIAN_POINT('Origin',(22.057928,20.380781,0.)); #521816=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #521817=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #521818=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #521819=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #521820=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #521821=CARTESIAN_POINT('Origin',(22.417309,20.0214,0.)); #521822=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #521823=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #521824=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #521825=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #521826=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #521827=CARTESIAN_POINT('Origin',(25.748747,20.0214,0.)); #521828=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #521829=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #521830=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #521831=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #521832=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #521833=CARTESIAN_POINT('Origin',(25.777344,20.015831,0.)); #521834=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #521835=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #521836=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #521837=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #521838=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #521839=CARTESIAN_POINT('Origin',(25.802628,19.999081,0.)); #521840=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #521841=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #521842=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #521843=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #521844=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #521845=CARTESIAN_POINT('Origin',(26.249081,19.552628,0.)); #521846=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #521847=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #521848=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #521849=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #521850=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #521851=CARTESIAN_POINT('Origin',(26.265366,19.528469,0.)); #521852=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #521853=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #521854=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #521855=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #521856=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #521857=CARTESIAN_POINT('Origin',(26.2714,19.498747,0.)); #521858=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #521859=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #521860=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #521861=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #521862=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #521863=CARTESIAN_POINT('Origin',(26.2714,18.6731,0.)); #521864=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #521865=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #521866=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #521867=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #521868=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #521869=CARTESIAN_POINT('Origin',(26.266278,18.645631,0.)); #521870=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #521871=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #521872=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #521873=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #521874=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #521875=CARTESIAN_POINT('Origin',(26.249934,18.620084,0.)); #521876=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #521877=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #521878=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #521879=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #521880=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #521881=CARTESIAN_POINT('Origin',(26.224922,18.602934,0.)); #521882=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #521883=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #521884=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #521885=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #521886=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #521887=CARTESIAN_POINT('Origin',(26.1952,18.5969,0.)); #521888=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #521889=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #521890=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #521891=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #521892=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #521893=CARTESIAN_POINT('Origin',(25.279772,18.5969,0.)); #521894=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #521895=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #521896=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #521897=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #521898=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #521899=CARTESIAN_POINT('Origin',(25.1905,18.507628,0.)); #521900=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #521901=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #521902=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #521903=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #521904=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #521905=CARTESIAN_POINT('Origin',(25.1905,17.5548,0.)); #521906=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #521907=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #521908=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #521909=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #521910=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #521911=CARTESIAN_POINT('Origin',(25.185378,17.527331,0.)); #521912=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #521913=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #521914=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #521915=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #521916=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #521917=CARTESIAN_POINT('Origin',(25.169034,17.501784,0.)); #521918=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #521919=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #521920=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #521921=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #521922=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #521923=CARTESIAN_POINT('Origin',(25.144022,17.484634,0.)); #521924=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #521925=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #521926=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #521927=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #521928=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #521929=CARTESIAN_POINT('Origin',(25.1143,17.4786,0.)); #521930=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #521931=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #521932=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #521933=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #521934=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #521935=CARTESIAN_POINT('Origin',(22.638253,17.4786,0.)); #521936=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #521937=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #521938=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #521939=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #521940=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #521941=CARTESIAN_POINT('Origin',(22.609656,17.484169,0.)); #521942=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #521943=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #521944=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #521945=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #521946=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #521947=CARTESIAN_POINT('Origin',(22.584372,17.500919,0.)); #521948=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #521949=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #521950=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #521951=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #521952=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #521953=CARTESIAN_POINT('Origin',(22.355819,17.729472,0.)); #521954=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #521955=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #521956=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #521957=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #521958=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #521959=CARTESIAN_POINT('Origin',(22.339534,17.753631,0.)); #521960=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #521961=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #521962=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #521963=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #521964=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #521965=CARTESIAN_POINT('Origin',(22.3335,17.783353,0.)); #521966=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #521967=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #521968=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #521969=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #521970=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #521971=CARTESIAN_POINT('Origin',(22.3335,18.507628,0.)); #521972=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #521973=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #521974=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #521975=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #521976=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #521977=CARTESIAN_POINT('Origin',(22.244228,18.5969,0.)); #521978=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #521979=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #521980=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #521981=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #521982=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #521983=CARTESIAN_POINT('Origin',(21.279772,18.5969,0.)); #521984=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #521985=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #521986=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #521987=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #521988=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #521989=CARTESIAN_POINT('Origin',(21.1905,18.507628,0.)); #521990=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #521991=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #521992=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #521993=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #521994=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #521995=CARTESIAN_POINT('Origin',(21.1905,17.492372,0.)); #521996=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #521997=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #521998=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #521999=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #522000=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #522001=CARTESIAN_POINT('Origin',(21.279772,17.4031,0.)); #522002=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #522003=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #522004=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #522005=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #522006=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #522007=CARTESIAN_POINT('Origin',(22.004047,17.4031,0.)); #522008=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #522009=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #522010=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #522011=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #522012=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #522013=CARTESIAN_POINT('Origin',(22.032644,17.397531,0.)); #522014=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #522015=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #522016=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #522017=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #522018=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #522019=CARTESIAN_POINT('Origin',(22.057928,17.380781,0.)); #522020=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #522021=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #522022=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #522023=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #522024=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #522025=CARTESIAN_POINT('Origin',(22.417309,17.0214,0.)); #522026=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #522027=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #522028=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #522029=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #522030=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #522031=CARTESIAN_POINT('Origin',(25.877397,17.0214,0.)); #522032=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #522033=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #522034=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #522035=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #522036=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #522037=CARTESIAN_POINT('Origin',(25.905994,17.015831,0.)); #522038=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #522039=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #522040=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #522041=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #522042=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #522043=CARTESIAN_POINT('Origin',(25.931278,16.999081,0.)); #522044=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #522045=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #522046=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #522047=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #522048=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #522049=CARTESIAN_POINT('Origin',(28.908959,14.0214,0.)); #522050=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #522051=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #522052=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #522053=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #522054=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #522055=CARTESIAN_POINT('Origin',(30.131509,14.0214,0.)); #522056=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #522057=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #522058=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #522059=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #522060=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #522061=CARTESIAN_POINT('Origin',(30.160106,14.015831,0.)); #522062=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #522063=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #522064=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #522065=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #522066=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #522067=CARTESIAN_POINT('Origin',(30.185391,13.999081,0.)); #522068=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #522069=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #522070=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #522071=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #522072=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #522073=CARTESIAN_POINT('Origin',(30.224372,13.9601,0.)); #522074=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #522075=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #522076=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #522077=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #522078=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #522079=CARTESIAN_POINT('Origin',(30.8839,13.9601,0.)); #522080=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #522081=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #522082=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #522083=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #522084=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #522085=CARTESIAN_POINT('Origin',(30.911369,13.954978,0.)); #522086=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #522087=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #522088=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #522089=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #522090=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #522091=CARTESIAN_POINT('Origin',(30.936916,13.938634,0.)); #522092=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #522093=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #522094=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #522095=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #522096=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #522097=CARTESIAN_POINT('Origin',(30.954066,13.913622,0.)); #522098=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #522099=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #522100=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #522101=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #522102=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #522103=CARTESIAN_POINT('Origin',(30.9601,13.8839,0.)); #522104=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #522105=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #522106=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #522107=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #522108=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #522109=CARTESIAN_POINT('Origin',(30.9601,13.5036,0.)); #522110=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #522111=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #522112=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #522113=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #522114=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #522115=CARTESIAN_POINT('Origin',(30.954978,13.476131,0.)); #522116=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #522117=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #522118=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #522119=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #522120=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #522121=CARTESIAN_POINT('Origin',(30.938634,13.450584,0.)); #522122=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #522123=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #522124=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #522125=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #522126=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #522127=CARTESIAN_POINT('Origin',(30.913622,13.433434,0.)); #522128=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #522129=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #522130=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #522131=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #522132=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #522133=CARTESIAN_POINT('Origin',(30.8839,13.4274,0.)); #522134=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #522135=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #522136=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #522137=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #522138=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #522139=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #522140=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #522141=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #522142=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #522143=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #522144=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #522145=CARTESIAN_POINT('Origin',(30.5726,13.177034,0.)); #522146=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #522147=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #522148=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #522149=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #522150=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #522151=CARTESIAN_POINT('Origin',(30.5726,12.822966,0.)); #522152=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #522153=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #522154=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #522155=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #522156=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #522157=CARTESIAN_POINT('Origin',(30.822966,12.5726,0.)); #522158=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #522159=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #522160=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #522161=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #522162=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #522163=CARTESIAN_POINT('Origin',(31.177034,12.5726,0.)); #522164=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #522165=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #522166=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #522167=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #522168=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #522169=CARTESIAN_POINT('Origin',(31.391319,12.786884,0.)); #522170=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #522171=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #522172=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #522173=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #522174=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #522175=CARTESIAN_POINT('Origin',(31.413256,12.802184,0.)); #522176=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #522177=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #522178=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #522179=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #522180=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #522181=CARTESIAN_POINT('Origin',(31.442772,12.809166,0.)); #522182=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #522183=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #522184=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #522185=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #522186=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #522187=CARTESIAN_POINT('Origin',(31.472669,12.804081,0.)); #522188=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #522189=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #522190=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #522191=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #522192=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #522193=CARTESIAN_POINT('Origin',(31.498216,12.787738,0.)); #522194=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #522195=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #522196=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #522197=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #522198=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #522199=CARTESIAN_POINT('Origin',(31.515366,12.762725,0.)); #522200=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #522201=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #522202=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #522203=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #522204=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #522205=CARTESIAN_POINT('Origin',(31.5214,12.733003,0.)); #522206=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #522207=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #522208=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #522209=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #522210=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #522211=CARTESIAN_POINT('Origin',(31.5214,11.4278,0.)); #522212=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #522213=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #522214=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #522215=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #522216=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #522217=CARTESIAN_POINT('Origin',(31.516278,11.400331,0.)); #522218=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #522219=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #522220=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #522221=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #522222=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #522223=CARTESIAN_POINT('Origin',(31.499934,11.374784,0.)); #522224=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #522225=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #522226=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #522227=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #522228=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #522229=CARTESIAN_POINT('Origin',(31.474922,11.357634,0.)); #522230=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #522231=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #522232=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #522233=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #522234=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #522235=CARTESIAN_POINT('Origin',(31.4452,11.3516,0.)); #522236=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #522237=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #522238=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #522239=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #522240=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #522241=CARTESIAN_POINT('Origin',(31.0036,11.3516,0.)); #522242=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #522243=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #522244=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #522245=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #522246=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #522247=CARTESIAN_POINT('Origin',(30.976131,11.356722,0.)); #522248=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #522249=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #522250=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #522251=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #522252=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #522253=CARTESIAN_POINT('Origin',(30.950584,11.373066,0.)); #522254=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #522255=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #522256=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #522257=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #522258=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #522259=CARTESIAN_POINT('Origin',(30.933434,11.398078,0.)); #522260=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #522261=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #522262=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #522263=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #522264=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #522265=CARTESIAN_POINT('Origin',(30.927594,11.426841,0.)); #522266=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #522267=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #522268=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #522269=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #522270=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #522271=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #522272=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #522273=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #522274=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #522275=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #522276=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #522277=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #522278=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #522279=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #522280=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #522281=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #522282=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #522283=CARTESIAN_POINT('Origin',(30.146484,11.500919,0.)); #522284=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #522285=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #522286=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #522287=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #522288=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #522289=CARTESIAN_POINT('Origin',(30.122325,11.484634,0.)); #522290=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #522291=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #522292=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #522293=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #522294=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #522295=CARTESIAN_POINT('Origin',(30.092603,11.4786,0.)); #522296=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #522297=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #522298=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #522299=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #522300=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #522301=CARTESIAN_POINT('Origin',(29.660241,11.4786,0.)); #522302=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #522303=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #522304=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #522305=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #522306=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #522307=CARTESIAN_POINT('Origin',(29.631644,11.484169,0.)); #522308=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #522309=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #522310=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #522311=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #522312=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #522313=CARTESIAN_POINT('Origin',(29.606359,11.500919,0.)); #522314=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #522315=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #522316=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #522317=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #522318=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #522319=CARTESIAN_POINT('Origin',(26.355819,14.751459,0.)); #522320=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #522321=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #522322=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #522323=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #522324=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #522325=CARTESIAN_POINT('Origin',(26.339534,14.775619,0.)); #522326=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #522327=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #522328=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #522329=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #522330=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #522331=CARTESIAN_POINT('Origin',(26.3335,14.805341,0.)); #522332=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #522333=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #522334=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #522335=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #522336=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #522337=CARTESIAN_POINT('Origin',(26.3335,15.507628,0.)); #522338=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #522339=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #522340=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #522341=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #522342=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #522343=CARTESIAN_POINT('Origin',(26.244228,15.5969,0.)); #522344=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #522345=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #522346=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #522347=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #522348=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #522349=CARTESIAN_POINT('Origin',(25.279772,15.5969,0.)); #522350=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #522351=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #522352=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #522353=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #522354=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #522355=CARTESIAN_POINT('Origin',(25.1905,15.507628,0.)); #522356=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #522357=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #522358=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #522359=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #522360=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #522361=CARTESIAN_POINT('Origin',(25.1905,14.5548,0.)); #522362=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #522363=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #522364=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #522365=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #522366=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #522367=CARTESIAN_POINT('Origin',(25.185378,14.527331,0.)); #522368=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #522369=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #522370=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #522371=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #522372=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #522373=CARTESIAN_POINT('Origin',(25.169034,14.501784,0.)); #522374=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #522375=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #522376=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #522377=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #522378=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #522379=CARTESIAN_POINT('Origin',(25.144022,14.484634,0.)); #522380=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #522381=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #522382=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #522383=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #522384=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #522385=CARTESIAN_POINT('Origin',(25.1143,14.4786,0.)); #522386=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #522387=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #522388=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #522389=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #522390=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #522391=CARTESIAN_POINT('Origin',(22.638253,14.4786,0.)); #522392=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #522393=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #522394=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #522395=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #522396=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #522397=CARTESIAN_POINT('Origin',(22.609656,14.484169,0.)); #522398=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #522399=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #522400=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #522401=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #522402=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #522403=CARTESIAN_POINT('Origin',(22.584372,14.500919,0.)); #522404=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #522405=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #522406=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #522407=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #522408=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #522409=CARTESIAN_POINT('Origin',(22.355819,14.729472,0.)); #522410=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #522411=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #522412=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #522413=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #522414=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #522415=CARTESIAN_POINT('Origin',(22.339534,14.753631,0.)); #522416=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #522417=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #522418=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #522419=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #522420=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #522421=CARTESIAN_POINT('Origin',(22.3335,14.783353,0.)); #522422=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #522423=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #522424=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #522425=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #522426=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #522427=CARTESIAN_POINT('Origin',(22.3335,15.507628,0.)); #522428=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #522429=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #522430=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #522431=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #522432=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #522433=CARTESIAN_POINT('Origin',(22.244228,15.5969,0.)); #522434=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #522435=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #522436=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #522437=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #522438=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #522439=CARTESIAN_POINT('Origin',(21.279772,15.5969,0.)); #522440=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #522441=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #522442=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #522443=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #522444=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #522445=CARTESIAN_POINT('Origin',(21.1905,15.507628,0.)); #522446=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #522447=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #522448=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #522449=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #522450=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #522451=CARTESIAN_POINT('Origin',(21.1905,14.492372,0.)); #522452=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #522453=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #522454=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #522455=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #522456=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #522457=CARTESIAN_POINT('Origin',(21.279772,14.4031,0.)); #522458=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #522459=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #522460=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #522461=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #522462=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #522463=CARTESIAN_POINT('Origin',(22.004047,14.4031,0.)); #522464=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #522465=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #522466=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #522467=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #522468=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #522469=CARTESIAN_POINT('Origin',(22.032644,14.397531,0.)); #522470=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #522471=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #522472=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #522473=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #522474=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #522475=CARTESIAN_POINT('Origin',(22.057928,14.380781,0.)); #522476=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #522477=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #522478=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #522479=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #522480=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #522481=CARTESIAN_POINT('Origin',(22.417309,14.0214,0.)); #522482=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #522483=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #522484=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #522485=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #522486=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #522487=CARTESIAN_POINT('Origin',(25.873747,14.0214,0.)); #522488=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #522489=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #522490=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #522491=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #522492=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #522493=CARTESIAN_POINT('Origin',(25.902344,14.015831,0.)); #522494=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #522495=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #522496=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #522497=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #522498=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #522499=CARTESIAN_POINT('Origin',(25.927628,13.999081,0.)); #522500=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #522501=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #522502=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #522503=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #522504=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #522505=CARTESIAN_POINT('Origin',(29.655309,10.2714,0.)); #522506=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #522507=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #522508=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #522509=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #522510=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #522511=CARTESIAN_POINT('Origin',(30.0722,10.2714,0.)); #522512=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #522513=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #522514=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #522515=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #522516=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #522517=CARTESIAN_POINT('Origin',(30.099669,10.266278,0.)); #522518=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #522519=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #522520=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #522521=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #522522=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #522523=CARTESIAN_POINT('Origin',(30.125216,10.249934,0.)); #522524=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #522525=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #522526=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #522527=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #522528=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #522529=CARTESIAN_POINT('Origin',(30.142366,10.224922,0.)); #522530=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #522531=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #522532=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #522533=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #522534=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #522535=CARTESIAN_POINT('Origin',(30.1484,10.1952,0.)); #522536=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #522537=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #522538=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #522539=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #522540=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #522541=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #522542=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #522543=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #522544=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #522545=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #522546=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #522547=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #522548=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #522549=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #522550=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #522551=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #522552=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #522553=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #522554=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #522555=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #522556=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #522557=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #522558=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #522559=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #522560=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #522561=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #522562=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #522563=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #522564=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #522565=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #522566=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #522567=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #522568=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #522569=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #522570=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #522571=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #522572=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #522573=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #522574=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #522575=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #522576=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #522577=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #522578=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #522579=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #522580=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #522581=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #522582=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #522583=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #522584=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #522585=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #522586=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #522587=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #522588=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #522589=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #522590=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #522591=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #522592=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #522593=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #522594=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #522595=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #522596=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #522597=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #522598=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #522599=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #522600=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #522601=CARTESIAN_POINT('Origin',(31.0722,7.8984,0.)); #522602=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #522603=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #522604=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #522605=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #522606=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #522607=CARTESIAN_POINT('Origin',(31.099669,7.8932781,0.)); #522608=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #522609=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #522610=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #522611=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #522612=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #522613=CARTESIAN_POINT('Origin',(31.125216,7.8769344,0.)); #522614=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #522615=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #522616=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #522617=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #522618=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #522619=CARTESIAN_POINT('Origin',(31.142366,7.8519219,0.)); #522620=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #522621=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #522622=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #522623=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #522624=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #522625=CARTESIAN_POINT('Origin',(31.1484,7.8222,0.)); #522626=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #522627=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #522628=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #522629=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #522630=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #522631=CARTESIAN_POINT('Origin',(31.1484,6.8812,0.)); #522632=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #522633=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #522634=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #522635=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #522636=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #522637=CARTESIAN_POINT('Origin',(31.143278,6.8537313,0.)); #522638=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #522639=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #522640=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #522641=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #522642=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #522643=CARTESIAN_POINT('Origin',(31.126934,6.8281844,0.)); #522644=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #522645=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #522646=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #522647=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #522648=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #522649=CARTESIAN_POINT('Origin',(31.101922,6.8110344,0.)); #522650=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #522651=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #522652=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #522653=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #522654=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #522655=CARTESIAN_POINT('Origin',(31.0722,6.805,0.)); #522656=CARTESIAN_POINT('',(31.0722,6.805,0.)); #522657=CARTESIAN_POINT('',(31.0722,6.805,0.)); #522658=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #522659=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #522660=CARTESIAN_POINT('',(31.0722,6.805,0.)); #522661=CARTESIAN_POINT('Origin',(30.985678,6.805,0.)); #522662=CARTESIAN_POINT('',(30.985678,6.805,0.)); #522663=CARTESIAN_POINT('',(30.985678,6.805,0.)); #522664=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #522665=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #522666=CARTESIAN_POINT('',(30.985678,6.805,0.)); #522667=CARTESIAN_POINT('Origin',(30.755966,6.70985,0.)); #522668=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #522669=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #522670=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #522671=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #522672=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #522673=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #522674=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #522675=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #522676=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #522677=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #522678=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #522679=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #522680=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #522681=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #522682=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #522683=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #522684=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #522685=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #522686=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #522687=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #522688=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #522689=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #522690=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #522691=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #522692=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #522693=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #522694=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #522695=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #522696=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #522697=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #522698=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #522699=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #522700=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #522701=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #522702=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #522703=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #522704=CARTESIAN_POINT('',(30.985678,5.555,0.)); #522705=CARTESIAN_POINT('',(30.985678,5.555,0.)); #522706=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #522707=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #522708=CARTESIAN_POINT('',(30.985678,5.555,0.)); #522709=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #522710=CARTESIAN_POINT('',(31.234322,5.555,0.)); #522711=CARTESIAN_POINT('',(31.234322,5.555,0.)); #522712=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #522713=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #522714=CARTESIAN_POINT('',(31.234322,5.555,0.)); #522715=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #522716=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #522717=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #522718=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #522719=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #522720=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #522721=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #522722=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #522723=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #522724=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #522725=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #522726=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #522727=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #522728=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #522729=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #522730=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #522731=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #522732=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #522733=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #522734=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #522735=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #522736=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #522737=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #522738=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #522739=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #522740=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #522741=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #522742=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #522743=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #522744=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #522745=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #522746=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #522747=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #522748=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #522749=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #522750=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #522751=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #522752=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #522753=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #522754=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #522755=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #522756=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #522757=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #522758=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #522759=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #522760=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #522761=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #522762=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #522763=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #522764=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #522765=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #522766=CARTESIAN_POINT('Origin',(33.427034,54.5726,0.)); #522767=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #522768=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #522769=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #522770=CARTESIAN_POINT('',(33.072966,54.5726,0.035)); #522771=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #522772=CARTESIAN_POINT('',(33.427034,54.5726,0.035)); #522773=CARTESIAN_POINT('',(33.427034,54.5726,0.035)); #522774=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #522775=CARTESIAN_POINT('Origin',(33.571119,54.716684,0.)); #522776=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #522777=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #522778=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #522779=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #522780=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #522781=CARTESIAN_POINT('Origin',(33.594166,54.732484,0.)); #522782=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #522783=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #522784=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #522785=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #522786=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #522787=CARTESIAN_POINT('Origin',(33.623784,54.738994,0.)); #522788=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #522789=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #522790=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #522791=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #522792=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #522793=CARTESIAN_POINT('Origin',(33.653597,54.733434,0.)); #522794=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #522795=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #522796=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #522797=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #522798=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #522799=CARTESIAN_POINT('Origin',(33.678881,54.716684,0.)); #522800=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #522801=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #522802=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #522803=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #522804=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #522805=CARTESIAN_POINT('Origin',(33.822966,54.5726,0.)); #522806=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #522807=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #522808=CARTESIAN_POINT('',(33.822966,54.5726,0.035)); #522809=CARTESIAN_POINT('',(33.822966,54.5726,0.035)); #522810=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #522811=CARTESIAN_POINT('Origin',(34.177034,54.5726,0.)); #522812=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #522813=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #522814=CARTESIAN_POINT('',(34.177034,54.5726,0.035)); #522815=CARTESIAN_POINT('',(34.177034,54.5726,0.035)); #522816=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #522817=CARTESIAN_POINT('Origin',(34.321119,54.716684,0.)); #522818=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #522819=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #522820=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #522821=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #522822=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #522823=CARTESIAN_POINT('Origin',(34.344166,54.732484,0.)); #522824=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #522825=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #522826=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #522827=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #522828=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #522829=CARTESIAN_POINT('Origin',(34.373784,54.738994,0.)); #522830=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #522831=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #522832=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #522833=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #522834=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #522835=CARTESIAN_POINT('Origin',(34.403597,54.733434,0.)); #522836=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #522837=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #522838=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #522839=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #522840=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #522841=CARTESIAN_POINT('Origin',(34.428881,54.716684,0.)); #522842=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #522843=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #522844=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #522845=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #522846=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #522847=CARTESIAN_POINT('Origin',(34.572966,54.5726,0.)); #522848=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #522849=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #522850=CARTESIAN_POINT('',(34.572966,54.5726,0.035)); #522851=CARTESIAN_POINT('',(34.572966,54.5726,0.035)); #522852=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #522853=CARTESIAN_POINT('Origin',(34.927034,54.5726,0.)); #522854=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #522855=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #522856=CARTESIAN_POINT('',(34.927034,54.5726,0.035)); #522857=CARTESIAN_POINT('',(34.927034,54.5726,0.035)); #522858=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #522859=CARTESIAN_POINT('Origin',(35.1774,54.822966,0.)); #522860=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #522861=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #522862=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #522863=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #522864=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #522865=CARTESIAN_POINT('Origin',(35.1774,55.177034,0.)); #522866=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #522867=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #522868=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #522869=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #522870=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #522871=CARTESIAN_POINT('Origin',(35.000919,55.353516,0.)); #522872=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #522873=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #522874=CARTESIAN_POINT('',(35.000919,55.353516,0.035)); #522875=CARTESIAN_POINT('',(35.000919,55.353516,0.035)); #522876=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #522877=CARTESIAN_POINT('Origin',(34.984634,55.377675,0.)); #522878=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #522879=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #522880=CARTESIAN_POINT('',(34.984634,55.377675,0.035)); #522881=CARTESIAN_POINT('',(34.984634,55.377675,0.035)); #522882=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #522883=CARTESIAN_POINT('Origin',(34.9786,55.407397,0.)); #522884=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #522885=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #522886=CARTESIAN_POINT('',(34.9786,55.407397,0.035)); #522887=CARTESIAN_POINT('',(34.9786,55.407397,0.035)); #522888=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #522889=CARTESIAN_POINT('Origin',(34.9786,55.719691,0.)); #522890=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #522891=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #522892=CARTESIAN_POINT('',(34.9786,55.719691,0.035)); #522893=CARTESIAN_POINT('',(34.9786,55.719691,0.035)); #522894=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #522895=CARTESIAN_POINT('Origin',(34.625919,56.072372,0.)); #522896=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #522897=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #522898=CARTESIAN_POINT('',(34.625919,56.072372,0.035)); #522899=CARTESIAN_POINT('',(34.625919,56.072372,0.035)); #522900=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #522901=CARTESIAN_POINT('Origin',(34.609634,56.096531,0.)); #522902=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #522903=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #522904=CARTESIAN_POINT('',(34.609634,56.096531,0.035)); #522905=CARTESIAN_POINT('',(34.609634,56.096531,0.035)); #522906=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #522907=CARTESIAN_POINT('Origin',(34.6036,56.126253,0.)); #522908=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #522909=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #522910=CARTESIAN_POINT('',(34.6036,56.126253,0.035)); #522911=CARTESIAN_POINT('',(34.6036,56.126253,0.035)); #522912=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #522913=CARTESIAN_POINT('Origin',(34.6036,58.498747,0.)); #522914=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #522915=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #522916=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #522917=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #522918=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #522919=CARTESIAN_POINT('Origin',(34.609169,58.527344,0.)); #522920=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #522921=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #522922=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #522923=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #522924=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #522925=CARTESIAN_POINT('Origin',(34.625919,58.552628,0.)); #522926=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #522927=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #522928=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #522929=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #522930=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #522931=CARTESIAN_POINT('Origin',(35.322372,59.249081,0.)); #522932=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #522933=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #522934=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #522935=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #522936=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #522937=CARTESIAN_POINT('Origin',(35.346531,59.265366,0.)); #522938=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #522939=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #522940=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #522941=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #522942=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #522943=CARTESIAN_POINT('Origin',(35.376253,59.2714,0.)); #522944=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #522945=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #522946=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #522947=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #522948=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #522949=CARTESIAN_POINT('Origin',(36.582691,59.2714,0.)); #522950=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #522951=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #522952=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #522953=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #522954=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #522955=CARTESIAN_POINT('Origin',(36.942072,59.630781,0.)); #522956=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #522957=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #522958=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #522959=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #522960=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #522961=CARTESIAN_POINT('Origin',(36.966231,59.647066,0.)); #522962=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #522963=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #522964=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #522965=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #522966=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #522967=CARTESIAN_POINT('Origin',(36.995953,59.6531,0.)); #522968=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #522969=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #522970=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #522971=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #522972=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #522973=CARTESIAN_POINT('Origin',(37.720228,59.6531,0.)); #522974=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #522975=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #522976=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #522977=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #522978=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #522979=CARTESIAN_POINT('Origin',(37.8095,59.742372,0.)); #522980=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #522981=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #522982=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #522983=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #522984=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #522985=CARTESIAN_POINT('Origin',(37.8095,60.757628,0.)); #522986=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #522987=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #522988=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #522989=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #522990=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #522991=CARTESIAN_POINT('Origin',(37.720228,60.8469,0.)); #522992=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #522993=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #522994=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #522995=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #522996=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #522997=CARTESIAN_POINT('Origin',(36.755772,60.8469,0.)); #522998=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #522999=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #523000=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #523001=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #523002=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #523003=CARTESIAN_POINT('Origin',(36.6665,60.757628,0.)); #523004=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #523005=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #523006=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #523007=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #523008=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #523009=CARTESIAN_POINT('Origin',(36.6665,60.033353,0.)); #523010=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #523011=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #523012=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #523013=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #523014=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #523015=CARTESIAN_POINT('Origin',(36.660931,60.004756,0.)); #523016=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #523017=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #523018=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #523019=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #523020=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #523021=CARTESIAN_POINT('Origin',(36.644181,59.979472,0.)); #523022=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #523023=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #523024=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #523025=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #523026=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #523027=CARTESIAN_POINT('Origin',(36.415628,59.750919,0.)); #523028=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #523029=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #523030=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #523031=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #523032=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #523033=CARTESIAN_POINT('Origin',(36.391469,59.734634,0.)); #523034=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #523035=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #523036=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #523037=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #523038=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #523039=CARTESIAN_POINT('Origin',(36.361747,59.7286,0.)); #523040=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #523041=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #523042=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #523043=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #523044=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #523045=CARTESIAN_POINT('Origin',(35.4097,59.7286,0.)); #523046=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #523047=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #523048=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #523049=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #523050=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #523051=CARTESIAN_POINT('Origin',(35.382231,59.733722,0.)); #523052=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #523053=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #523054=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #523055=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #523056=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #523057=CARTESIAN_POINT('Origin',(35.356684,59.750066,0.)); #523058=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #523059=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #523060=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #523061=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #523062=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #523063=CARTESIAN_POINT('Origin',(35.339534,59.775078,0.)); #523064=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #523065=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #523066=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #523067=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #523068=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #523069=CARTESIAN_POINT('Origin',(35.3335,59.8048,0.)); #523070=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #523071=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #523072=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #523073=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #523074=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #523075=CARTESIAN_POINT('Origin',(35.3335,60.757628,0.)); #523076=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #523077=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #523078=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #523079=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #523080=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #523081=CARTESIAN_POINT('Origin',(35.244228,60.8469,0.)); #523082=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #523083=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #523084=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #523085=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #523086=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #523087=CARTESIAN_POINT('Origin',(34.279772,60.8469,0.)); #523088=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #523089=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #523090=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #523091=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #523092=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #523093=CARTESIAN_POINT('Origin',(34.1905,60.757628,0.)); #523094=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #523095=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #523096=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #523097=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #523098=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #523099=CARTESIAN_POINT('Origin',(34.1905,59.804753,0.)); #523100=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #523101=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #523102=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #523103=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #523104=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #523105=CARTESIAN_POINT('Origin',(34.185806,59.778422,0.)); #523106=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #523107=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #523108=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #523109=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #523110=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #523111=CARTESIAN_POINT('Origin',(34.169872,59.752616,0.)); #523112=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #523113=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #523114=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #523115=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #523116=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #523117=CARTESIAN_POINT('Origin',(34.145134,59.735072,0.)); #523118=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #523119=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #523120=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #523121=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #523122=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #523123=CARTESIAN_POINT('Origin',(34.115516,59.728563,0.)); #523124=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #523125=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #523126=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #523127=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #523128=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #523129=CARTESIAN_POINT('Origin',(34.085703,59.734122,0.)); #523130=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #523131=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #523132=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #523133=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #523134=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #523135=CARTESIAN_POINT('Origin',(34.060419,59.750872,0.)); #523136=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #523137=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #523138=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #523139=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #523140=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #523141=CARTESIAN_POINT('Origin',(33.831819,59.979472,0.)); #523142=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #523143=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #523144=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #523145=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #523146=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #523147=CARTESIAN_POINT('Origin',(33.815534,60.003631,0.)); #523148=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #523149=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #523150=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #523151=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #523152=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #523153=CARTESIAN_POINT('Origin',(33.8095,60.033353,0.)); #523154=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #523155=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #523156=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #523157=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #523158=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #523159=CARTESIAN_POINT('Origin',(33.8095,60.757628,0.)); #523160=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #523161=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #523162=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #523163=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #523164=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #523165=CARTESIAN_POINT('Origin',(33.720228,60.8469,0.)); #523166=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #523167=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #523168=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #523169=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #523170=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #523171=CARTESIAN_POINT('Origin',(32.755772,60.8469,0.)); #523172=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #523173=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #523174=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #523175=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #523176=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #523177=CARTESIAN_POINT('Origin',(32.6665,60.757628,0.)); #523178=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #523179=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #523180=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #523181=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #523182=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #523183=CARTESIAN_POINT('Origin',(32.6665,59.8048,0.)); #523184=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #523185=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #523186=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #523187=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #523188=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #523189=CARTESIAN_POINT('Origin',(32.661378,59.777331,0.)); #523190=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #523191=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #523192=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #523193=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #523194=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #523195=CARTESIAN_POINT('Origin',(32.645034,59.751784,0.)); #523196=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #523197=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #523198=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #523199=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #523200=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #523201=CARTESIAN_POINT('Origin',(32.620022,59.734634,0.)); #523202=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #523203=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #523204=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #523205=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #523206=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #523207=CARTESIAN_POINT('Origin',(32.5903,59.7286,0.)); #523208=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #523209=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #523210=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #523211=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #523212=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #523213=CARTESIAN_POINT('Origin',(31.4097,59.7286,0.)); #523214=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #523215=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #523216=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #523217=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #523218=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #523219=CARTESIAN_POINT('Origin',(31.382231,59.733722,0.)); #523220=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #523221=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #523222=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #523223=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #523224=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #523225=CARTESIAN_POINT('Origin',(31.356684,59.750066,0.)); #523226=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #523227=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #523228=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #523229=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #523230=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #523231=CARTESIAN_POINT('Origin',(31.339534,59.775078,0.)); #523232=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #523233=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #523234=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #523235=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #523236=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #523237=CARTESIAN_POINT('Origin',(31.3335,59.8048,0.)); #523238=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #523239=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #523240=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #523241=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #523242=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #523243=CARTESIAN_POINT('Origin',(31.3335,60.757628,0.)); #523244=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #523245=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #523246=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #523247=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #523248=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #523249=CARTESIAN_POINT('Origin',(31.244228,60.8469,0.)); #523250=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #523251=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #523252=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #523253=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #523254=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #523255=CARTESIAN_POINT('Origin',(30.279772,60.8469,0.)); #523256=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #523257=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #523258=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #523259=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #523260=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #523261=CARTESIAN_POINT('Origin',(30.1905,60.757628,0.)); #523262=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #523263=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #523264=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #523265=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #523266=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #523267=CARTESIAN_POINT('Origin',(30.1905,59.8048,0.)); #523268=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #523269=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #523270=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #523271=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #523272=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #523273=CARTESIAN_POINT('Origin',(30.185378,59.777331,0.)); #523274=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #523275=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #523276=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #523277=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #523278=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #523279=CARTESIAN_POINT('Origin',(30.169034,59.751784,0.)); #523280=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #523281=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #523282=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #523283=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #523284=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #523285=CARTESIAN_POINT('Origin',(30.144022,59.734634,0.)); #523286=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #523287=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #523288=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #523289=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #523290=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #523291=CARTESIAN_POINT('Origin',(30.1143,59.7286,0.)); #523292=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #523293=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #523294=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #523295=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #523296=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #523297=CARTESIAN_POINT('Origin',(30.085656,59.734169,0.)); #523298=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #523299=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #523300=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #523301=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #523302=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #523303=CARTESIAN_POINT('Origin',(30.060372,59.750919,0.)); #523304=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #523305=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #523306=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #523307=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #523308=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #523309=CARTESIAN_POINT('Origin',(29.831819,59.979472,0.)); #523310=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #523311=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #523312=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #523313=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #523314=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #523315=CARTESIAN_POINT('Origin',(29.815534,60.003631,0.)); #523316=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #523317=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #523318=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #523319=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #523320=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #523321=CARTESIAN_POINT('Origin',(29.8095,60.033353,0.)); #523322=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #523323=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #523324=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #523325=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #523326=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #523327=CARTESIAN_POINT('Origin',(29.8095,60.757628,0.)); #523328=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #523329=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #523330=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #523331=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #523332=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #523333=CARTESIAN_POINT('Origin',(29.720228,60.8469,0.)); #523334=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #523335=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #523336=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #523337=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #523338=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #523339=CARTESIAN_POINT('Origin',(28.755772,60.8469,0.)); #523340=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #523341=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #523342=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #523343=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #523344=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #523345=CARTESIAN_POINT('Origin',(28.6665,60.757628,0.)); #523346=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #523347=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #523348=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #523349=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #523350=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #523351=CARTESIAN_POINT('Origin',(28.6665,59.742372,0.)); #523352=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #523353=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #523354=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #523355=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #523356=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #523357=CARTESIAN_POINT('Origin',(28.755772,59.6531,0.)); #523358=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #523359=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #523360=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #523361=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #523362=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #523363=CARTESIAN_POINT('Origin',(29.480047,59.6531,0.)); #523364=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #523365=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #523366=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #523367=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #523368=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #523369=CARTESIAN_POINT('Origin',(29.508644,59.647531,0.)); #523370=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #523371=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #523372=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #523373=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #523374=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #523375=CARTESIAN_POINT('Origin',(29.533928,59.630781,0.)); #523376=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #523377=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #523378=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #523379=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #523380=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #523381=CARTESIAN_POINT('Origin',(29.893309,59.2714,0.)); #523382=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #523383=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #523384=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #523385=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #523386=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #523387=CARTESIAN_POINT('Origin',(32.623747,59.2714,0.)); #523388=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #523389=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #523390=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #523391=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #523392=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #523393=CARTESIAN_POINT('Origin',(32.652344,59.265831,0.)); #523394=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #523395=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #523396=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #523397=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #523398=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #523399=CARTESIAN_POINT('Origin',(32.677628,59.249081,0.)); #523400=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #523401=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #523402=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #523403=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #523404=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #523405=CARTESIAN_POINT('Origin',(33.374081,58.552628,0.)); #523406=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #523407=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #523408=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #523409=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #523410=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #523411=CARTESIAN_POINT('Origin',(33.390366,58.528469,0.)); #523412=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #523413=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #523414=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #523415=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #523416=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #523417=CARTESIAN_POINT('Origin',(33.3964,58.498747,0.)); #523418=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #523419=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #523420=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #523421=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #523422=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #523423=CARTESIAN_POINT('Origin',(33.3964,56.126253,0.)); #523424=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #523425=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #523426=CARTESIAN_POINT('',(33.3964,56.126253,0.035)); #523427=CARTESIAN_POINT('',(33.3964,56.126253,0.035)); #523428=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #523429=CARTESIAN_POINT('Origin',(33.390831,56.097656,0.)); #523430=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #523431=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #523432=CARTESIAN_POINT('',(33.390831,56.097656,0.035)); #523433=CARTESIAN_POINT('',(33.390831,56.097656,0.035)); #523434=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #523435=CARTESIAN_POINT('Origin',(33.374081,56.072372,0.)); #523436=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #523437=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #523438=CARTESIAN_POINT('',(33.374081,56.072372,0.035)); #523439=CARTESIAN_POINT('',(33.374081,56.072372,0.035)); #523440=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #523441=CARTESIAN_POINT('Origin',(33.0214,55.719691,0.)); #523442=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #523443=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #523444=CARTESIAN_POINT('',(33.0214,55.719691,0.035)); #523445=CARTESIAN_POINT('',(33.0214,55.719691,0.035)); #523446=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #523447=CARTESIAN_POINT('Origin',(33.0214,55.407397,0.)); #523448=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #523449=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #523450=CARTESIAN_POINT('',(33.0214,55.407397,0.035)); #523451=CARTESIAN_POINT('',(33.0214,55.407397,0.035)); #523452=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #523453=CARTESIAN_POINT('Origin',(33.015831,55.3788,0.)); #523454=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #523455=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #523456=CARTESIAN_POINT('',(33.015831,55.3788,0.035)); #523457=CARTESIAN_POINT('',(33.015831,55.3788,0.035)); #523458=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #523459=CARTESIAN_POINT('Origin',(32.999081,55.353516,0.)); #523460=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #523461=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #523462=CARTESIAN_POINT('',(32.999081,55.353516,0.035)); #523463=CARTESIAN_POINT('',(32.999081,55.353516,0.035)); #523464=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #523465=CARTESIAN_POINT('Origin',(32.8226,55.177034,0.)); #523466=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #523467=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #523468=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #523469=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #523470=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #523471=CARTESIAN_POINT('Origin',(32.8226,54.822966,0.)); #523472=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #523473=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #523474=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #523475=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #523476=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #523477=CARTESIAN_POINT('Origin',(33.072966,54.5726,0.)); #523478=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #523479=CARTESIAN_POINT('',(33.072966,54.5726,0.035)); #523480=CARTESIAN_POINT('Origin',(39.244228,59.6531,0.)); #523481=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #523482=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #523483=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #523484=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #523485=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #523486=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #523487=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #523488=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #523489=CARTESIAN_POINT('Origin',(39.3335,59.742372,0.)); #523490=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #523491=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #523492=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #523493=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #523494=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #523495=CARTESIAN_POINT('Origin',(39.3335,60.757628,0.)); #523496=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #523497=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #523498=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #523499=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #523500=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #523501=CARTESIAN_POINT('Origin',(39.244228,60.8469,0.)); #523502=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #523503=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #523504=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #523505=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #523506=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #523507=CARTESIAN_POINT('Origin',(38.279772,60.8469,0.)); #523508=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #523509=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #523510=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #523511=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #523512=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #523513=CARTESIAN_POINT('Origin',(38.1905,60.757628,0.)); #523514=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #523515=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #523516=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #523517=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #523518=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #523519=CARTESIAN_POINT('Origin',(38.1905,59.742372,0.)); #523520=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #523521=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #523522=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #523523=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #523524=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #523525=CARTESIAN_POINT('Origin',(38.279772,59.6531,0.)); #523526=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #523527=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #523528=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #523529=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #523530=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #523531=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #523532=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #523533=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #523534=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #523535=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #523536=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #523537=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #523538=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #523539=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #523540=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #523541=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #523542=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #523543=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #523544=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #523545=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #523546=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #523547=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #523548=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #523549=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #523550=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #523551=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #523552=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #523553=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #523554=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #523555=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #523556=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #523557=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #523558=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #523559=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #523560=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #523561=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #523562=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #523563=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #523564=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #523565=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #523566=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #523567=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #523568=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #523569=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #523570=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #523571=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #523572=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #523573=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #523574=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #523575=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #523576=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #523577=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #523578=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #523579=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #523580=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #523581=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #523582=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #523583=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #523584=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #523585=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #523586=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #523587=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #523588=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #523589=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #523590=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #523591=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #523592=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #523593=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #523594=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #523595=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #523596=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #523597=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #523598=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #523599=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #523600=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #523601=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #523602=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #523603=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #523604=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #523605=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #523606=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #523607=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #523608=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #523609=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #523610=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #523611=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #523612=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #523613=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #523614=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #523615=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #523616=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #523617=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #523618=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #523619=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #523620=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #523621=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #523622=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #523623=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #523624=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #523625=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #523626=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #523627=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #523628=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #523629=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #523630=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #523631=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #523632=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #523633=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #523634=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #523635=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #523636=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #523637=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #523638=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #523639=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #523640=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #523641=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #523642=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #523643=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #523644=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #523645=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #523646=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #523647=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #523648=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #523649=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #523650=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #523651=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #523652=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #523653=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #523654=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #523655=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #523656=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #523657=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #523658=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #523659=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #523660=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #523661=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #523662=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #523663=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #523664=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #523665=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #523666=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #523667=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #523668=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #523669=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #523670=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #523671=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #523672=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #523673=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #523674=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #523675=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #523676=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #523677=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #523678=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #523679=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #523680=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #523681=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #523682=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #523683=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #523684=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #523685=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #523686=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #523687=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #523688=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #523689=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #523690=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #523691=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #523692=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #523693=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #523694=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #523695=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #523696=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #523697=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #523698=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #523699=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #523700=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #523701=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #523702=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #523703=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #523704=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #523705=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #523706=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #523707=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #523708=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #523709=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #523710=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #523711=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #523712=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #523713=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #523714=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #523715=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #523716=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #523717=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #523718=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #523719=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #523720=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #523721=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #523722=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #523723=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #523724=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #523725=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #523726=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #523727=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #523728=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #523729=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #523730=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #523731=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #523732=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #523733=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #523734=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #523735=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #523736=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #523737=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #523738=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #523739=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #523740=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #523741=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #523742=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #523743=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #523744=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #523745=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #523746=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #523747=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #523748=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #523749=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #523750=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #523751=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #523752=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #523753=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #523754=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #523755=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #523756=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #523757=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #523758=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #523759=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #523760=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #523761=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #523762=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #523763=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #523764=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #523765=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #523766=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #523767=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #523768=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #523769=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #523770=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #523771=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #523772=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #523773=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #523774=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #523775=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #523776=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #523777=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #523778=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #523779=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #523780=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #523781=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #523782=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #523783=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #523784=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #523785=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #523786=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #523787=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #523788=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #523789=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #523790=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #523791=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #523792=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #523793=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #523794=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #523795=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #523796=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #523797=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #523798=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #523799=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #523800=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #523801=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #523802=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #523803=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #523804=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #523805=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #523806=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #523807=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #523808=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #523809=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #523810=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #523811=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #523812=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #523813=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #523814=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #523815=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #523816=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #523817=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #523818=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #523819=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #523820=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #523821=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #523822=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #523823=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #523824=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #523825=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #523826=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #523827=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #523828=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #523829=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #523830=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #523831=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #523832=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #523833=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #523834=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #523835=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #523836=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #523837=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #523838=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #523839=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #523840=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #523841=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #523842=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #523843=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #523844=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #523845=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #523846=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #523847=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #523848=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #523849=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #523850=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #523851=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #523852=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #523853=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #523854=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #523855=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #523856=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #523857=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #523858=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #523859=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #523860=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #523861=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #523862=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #523863=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #523864=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #523865=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #523866=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #523867=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #523868=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #523869=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #523870=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #523871=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #523872=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #523873=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #523874=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #523875=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #523876=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #523877=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #523878=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #523879=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #523880=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #523881=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #523882=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #523883=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #523884=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #523885=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #523886=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #523887=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #523888=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #523889=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #523890=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #523891=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #523892=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #523893=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #523894=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #523895=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #523896=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #523897=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #523898=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #523899=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #523900=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #523901=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #523902=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #523903=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #523904=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #523905=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #523906=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #523907=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #523908=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #523909=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #523910=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #523911=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #523912=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #523913=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #523914=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #523915=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #523916=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #523917=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #523918=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #523919=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #523920=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #523921=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #523922=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #523923=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #523924=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #523925=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #523926=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #523927=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #523928=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #523929=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #523930=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #523931=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #523932=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #523933=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #523934=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #523935=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #523936=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #523937=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #523938=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #523939=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #523940=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #523941=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #523942=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #523943=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #523944=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #523945=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #523946=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #523947=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #523948=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #523949=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #523950=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #523951=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #523952=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #523953=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #523954=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #523955=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #523956=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #523957=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #523958=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #523959=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #523960=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #523961=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #523962=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #523963=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #523964=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #523965=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #523966=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #523967=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #523968=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #523969=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #523970=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #523971=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #523972=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #523973=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #523974=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #523975=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #523976=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #523977=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #523978=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #523979=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #523980=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #523981=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #523982=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #523983=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #523984=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #523985=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #523986=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #523987=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #523988=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #523989=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #523990=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #523991=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #523992=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #523993=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #523994=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #523995=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #523996=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #523997=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #523998=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #523999=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #524000=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #524001=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #524002=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #524003=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #524004=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #524005=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #524006=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #524007=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #524008=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #524009=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #524010=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #524011=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #524012=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #524013=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #524014=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #524015=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #524016=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #524017=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #524018=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #524019=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #524020=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #524021=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #524022=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #524023=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #524024=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #524025=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #524026=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #524027=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #524028=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #524029=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #524030=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #524031=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #524032=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #524033=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #524034=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #524035=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #524036=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #524037=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #524038=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #524039=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #524040=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #524041=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #524042=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #524043=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #524044=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #524045=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #524046=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #524047=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #524048=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #524049=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #524050=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #524051=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #524052=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #524053=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #524054=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #524055=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #524056=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #524057=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #524058=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #524059=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #524060=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #524061=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #524062=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #524063=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #524064=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #524065=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #524066=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #524067=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #524068=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #524069=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #524070=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #524071=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #524072=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #524073=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #524074=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #524075=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #524076=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #524077=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #524078=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #524079=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #524080=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #524081=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #524082=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #524083=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #524084=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #524085=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #524086=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #524087=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #524088=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #524089=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #524090=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #524091=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #524092=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #524093=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #524094=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #524095=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #524096=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #524097=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #524098=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #524099=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #524100=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #524101=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #524102=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #524103=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #524104=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #524105=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #524106=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #524107=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #524108=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #524109=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #524110=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #524111=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #524112=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #524113=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #524114=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #524115=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #524116=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #524117=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #524118=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #524119=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #524120=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #524121=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #524122=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #524123=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #524124=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #524125=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #524126=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #524127=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #524128=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #524129=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #524130=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #524131=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #524132=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #524133=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #524134=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #524135=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #524136=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #524137=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #524138=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #524139=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #524140=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #524141=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #524142=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #524143=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #524144=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #524145=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #524146=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #524147=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #524148=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #524149=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #524150=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #524151=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #524152=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #524153=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #524154=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #524155=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #524156=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #524157=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #524158=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #524159=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #524160=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #524161=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #524162=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #524163=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #524164=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #524165=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #524166=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #524167=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #524168=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #524169=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #524170=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #524171=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #524172=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #524173=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #524174=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #524175=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #524176=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #524177=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #524178=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #524179=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #524180=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #524181=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #524182=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #524183=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #524184=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #524185=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #524186=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #524187=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #524188=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #524189=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #524190=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #524191=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #524192=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #524193=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #524194=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #524195=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #524196=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #524197=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #524198=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #524199=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #524200=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #524201=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #524202=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #524203=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #524204=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #524205=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #524206=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #524207=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #524208=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #524209=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #524210=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #524211=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #524212=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #524213=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #524214=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #524215=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #524216=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #524217=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #524218=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #524219=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #524220=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #524221=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #524222=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #524223=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #524224=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #524225=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #524226=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #524227=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #524228=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #524229=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #524230=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #524231=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #524232=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #524233=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #524234=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #524235=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #524236=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #524237=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #524238=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #524239=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #524240=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #524241=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #524242=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #524243=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #524244=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #524245=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #524246=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #524247=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #524248=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #524249=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #524250=CARTESIAN_POINT('Origin',(34.376216,55.261006,0.)); #524251=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #524252=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #524253=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #524254=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #524255=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #524256=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #524257=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #524258=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #524259=CARTESIAN_POINT('Origin',(34.346403,55.266566,0.)); #524260=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #524261=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #524262=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #524263=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #524264=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #524265=CARTESIAN_POINT('Origin',(34.321119,55.283316,0.)); #524266=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #524267=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #524268=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #524269=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #524270=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #524271=CARTESIAN_POINT('Origin',(34.250919,55.353516,0.)); #524272=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #524273=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #524274=CARTESIAN_POINT('',(34.250919,55.353516,0.035)); #524275=CARTESIAN_POINT('',(34.250919,55.353516,0.035)); #524276=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #524277=CARTESIAN_POINT('Origin',(34.234634,55.377675,0.)); #524278=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #524279=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #524280=CARTESIAN_POINT('',(34.234634,55.377675,0.035)); #524281=CARTESIAN_POINT('',(34.234634,55.377675,0.035)); #524282=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #524283=CARTESIAN_POINT('Origin',(34.2286,55.407397,0.)); #524284=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #524285=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #524286=CARTESIAN_POINT('',(34.2286,55.407397,0.035)); #524287=CARTESIAN_POINT('',(34.2286,55.407397,0.035)); #524288=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #524289=CARTESIAN_POINT('Origin',(34.2286,55.639147,0.)); #524290=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #524291=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #524292=CARTESIAN_POINT('',(34.2286,55.639147,0.035)); #524293=CARTESIAN_POINT('',(34.2286,55.639147,0.035)); #524294=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #524295=CARTESIAN_POINT('Origin',(34.233294,55.665478,0.)); #524296=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #524297=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #524298=CARTESIAN_POINT('',(34.233294,55.665478,0.035)); #524299=CARTESIAN_POINT('',(34.233294,55.665478,0.035)); #524300=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #524301=CARTESIAN_POINT('Origin',(34.249228,55.691284,0.)); #524302=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #524303=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #524304=CARTESIAN_POINT('',(34.249228,55.691284,0.035)); #524305=CARTESIAN_POINT('',(34.249228,55.691284,0.035)); #524306=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #524307=CARTESIAN_POINT('Origin',(34.273966,55.708828,0.)); #524308=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #524309=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #524310=CARTESIAN_POINT('',(34.273966,55.708828,0.035)); #524311=CARTESIAN_POINT('',(34.273966,55.708828,0.035)); #524312=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #524313=CARTESIAN_POINT('Origin',(34.303584,55.715338,0.)); #524314=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #524315=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #524316=CARTESIAN_POINT('',(34.303584,55.715338,0.035)); #524317=CARTESIAN_POINT('',(34.303584,55.715338,0.035)); #524318=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #524319=CARTESIAN_POINT('Origin',(34.333397,55.709778,0.)); #524320=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #524321=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #524322=CARTESIAN_POINT('',(34.333397,55.709778,0.035)); #524323=CARTESIAN_POINT('',(34.333397,55.709778,0.035)); #524324=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #524325=CARTESIAN_POINT('Origin',(34.358681,55.693028,0.)); #524326=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #524327=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #524328=CARTESIAN_POINT('',(34.358681,55.693028,0.035)); #524329=CARTESIAN_POINT('',(34.358681,55.693028,0.035)); #524330=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #524331=CARTESIAN_POINT('Origin',(34.499081,55.552628,0.)); #524332=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #524333=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #524334=CARTESIAN_POINT('',(34.499081,55.552628,0.035)); #524335=CARTESIAN_POINT('',(34.499081,55.552628,0.035)); #524336=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #524337=CARTESIAN_POINT('Origin',(34.515366,55.528469,0.)); #524338=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #524339=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #524340=CARTESIAN_POINT('',(34.515366,55.528469,0.035)); #524341=CARTESIAN_POINT('',(34.515366,55.528469,0.035)); #524342=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #524343=CARTESIAN_POINT('Origin',(34.5214,55.498747,0.)); #524344=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #524345=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #524346=CARTESIAN_POINT('',(34.5214,55.498747,0.035)); #524347=CARTESIAN_POINT('',(34.5214,55.498747,0.035)); #524348=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #524349=CARTESIAN_POINT('Origin',(34.5214,55.407397,0.)); #524350=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #524351=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #524352=CARTESIAN_POINT('',(34.5214,55.407397,0.035)); #524353=CARTESIAN_POINT('',(34.5214,55.407397,0.035)); #524354=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #524355=CARTESIAN_POINT('Origin',(34.515831,55.3788,0.)); #524356=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #524357=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #524358=CARTESIAN_POINT('',(34.515831,55.3788,0.035)); #524359=CARTESIAN_POINT('',(34.515831,55.3788,0.035)); #524360=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #524361=CARTESIAN_POINT('Origin',(34.499081,55.353516,0.)); #524362=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #524363=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #524364=CARTESIAN_POINT('',(34.499081,55.353516,0.035)); #524365=CARTESIAN_POINT('',(34.499081,55.353516,0.035)); #524366=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #524367=CARTESIAN_POINT('Origin',(34.428881,55.283316,0.)); #524368=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #524369=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #524370=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #524371=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #524372=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #524373=CARTESIAN_POINT('Origin',(34.405834,55.267516,0.)); #524374=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #524375=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #524376=CARTESIAN_POINT('Origin',(34.359776144893,55.4864610160314,0.035)); #524377=CARTESIAN_POINT('Origin',(34.359776144893,55.4864610160314,0.)); #524378=CARTESIAN_POINT('Origin',(34.506028,23.108491,0.)); #524379=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #524380=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #524381=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #524382=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #524383=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #524384=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #524385=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #524386=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #524387=CARTESIAN_POINT('Origin',(34.476131,23.113575,0.)); #524388=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #524389=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #524390=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #524391=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #524392=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #524393=CARTESIAN_POINT('Origin',(34.450584,23.129919,0.)); #524394=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #524395=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #524396=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #524397=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #524398=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #524399=CARTESIAN_POINT('Origin',(34.433434,23.154931,0.)); #524400=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #524401=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #524402=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #524403=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #524404=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #524405=CARTESIAN_POINT('Origin',(34.4274,23.184653,0.)); #524406=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #524407=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #524408=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #524409=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #524410=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #524411=CARTESIAN_POINT('Origin',(34.4274,23.302034,0.)); #524412=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #524413=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #524414=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #524415=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #524416=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #524417=CARTESIAN_POINT('Origin',(34.250919,23.478516,0.)); #524418=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #524419=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #524420=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #524421=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #524422=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #524423=CARTESIAN_POINT('Origin',(34.234634,23.502675,0.)); #524424=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #524425=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #524426=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #524427=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #524428=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #524429=CARTESIAN_POINT('Origin',(34.2286,23.532397,0.)); #524430=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #524431=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #524432=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #524433=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #524434=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #524435=CARTESIAN_POINT('Origin',(34.2286,24.317025,0.)); #524436=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #524437=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #524438=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #524439=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #524440=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #524441=CARTESIAN_POINT('Origin',(34.233944,24.345059,0.)); #524442=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #524443=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #524444=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #524445=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #524446=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #524447=CARTESIAN_POINT('Origin',(34.250491,24.370475,0.)); #524448=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #524449=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #524450=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #524451=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #524452=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #524453=CARTESIAN_POINT('Origin',(34.275641,24.387425,0.)); #524454=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #524455=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #524456=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #524457=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #524458=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #524459=CARTESIAN_POINT('Origin',(34.511169,24.484984,0.)); #524460=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #524461=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #524462=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #524463=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #524464=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #524465=CARTESIAN_POINT('Origin',(34.703819,24.677634,0.)); #524466=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #524467=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #524468=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #524469=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #524470=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #524471=CARTESIAN_POINT('Origin',(34.725756,24.692934,0.)); #524472=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #524473=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #524474=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #524475=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #524476=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #524477=CARTESIAN_POINT('Origin',(34.755272,24.699916,0.)); #524478=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #524479=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #524480=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #524481=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #524482=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #524483=CARTESIAN_POINT('Origin',(34.785169,24.694831,0.)); #524484=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #524485=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #524486=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #524487=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #524488=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #524489=CARTESIAN_POINT('Origin',(34.810716,24.678488,0.)); #524490=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #524491=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #524492=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #524493=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #524494=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #524495=CARTESIAN_POINT('Origin',(34.827866,24.653475,0.)); #524496=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #524497=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #524498=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #524499=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #524500=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #524501=CARTESIAN_POINT('Origin',(34.8339,24.623753,0.)); #524502=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #524503=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #524504=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #524505=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #524506=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #524507=CARTESIAN_POINT('Origin',(34.8339,23.438753,0.)); #524508=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #524509=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #524510=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #524511=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #524512=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #524513=CARTESIAN_POINT('Origin',(34.828331,23.410156,0.)); #524514=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #524515=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #524516=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #524517=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #524518=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #524519=CARTESIAN_POINT('Origin',(34.811581,23.384872,0.)); #524520=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #524521=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #524522=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #524523=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #524524=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #524525=CARTESIAN_POINT('Origin',(34.557481,23.130772,0.)); #524526=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #524527=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #524528=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #524529=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #524530=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #524531=CARTESIAN_POINT('Origin',(34.535544,23.115472,0.)); #524532=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #524533=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #524534=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.035)); #524535=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.)); #524536=CARTESIAN_POINT('Origin',(36.095,31.7493,0.)); #524537=CARTESIAN_POINT('',(36.095,31.7493,0.)); #524538=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #524539=CARTESIAN_POINT('',(36.095,31.7493,0.)); #524540=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #524541=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #524542=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #524543=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #524544=CARTESIAN_POINT('',(36.095,31.7493,0.)); #524545=CARTESIAN_POINT('Origin',(35.7423,31.7493,0.)); #524546=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #524547=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #524548=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #524549=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #524550=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #524551=CARTESIAN_POINT('Origin',(35.714831,31.754422,0.)); #524552=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #524553=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #524554=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #524555=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #524556=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #524557=CARTESIAN_POINT('Origin',(35.689284,31.770766,0.)); #524558=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #524559=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #524560=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #524561=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #524562=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #524563=CARTESIAN_POINT('Origin',(35.672134,31.795778,0.)); #524564=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #524565=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #524566=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #524567=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #524568=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #524569=CARTESIAN_POINT('Origin',(35.6661,31.8255,0.)); #524570=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #524571=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #524572=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #524573=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #524574=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #524575=CARTESIAN_POINT('Origin',(35.6661,34.061247,0.)); #524576=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #524577=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #524578=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #524579=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #524580=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #524581=CARTESIAN_POINT('Origin',(35.671669,34.089844,0.)); #524582=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #524583=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #524584=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #524585=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #524586=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #524587=CARTESIAN_POINT('Origin',(35.688419,34.115128,0.)); #524588=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #524589=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #524590=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #524591=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #524592=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #524593=CARTESIAN_POINT('Origin',(36.041119,34.467828,0.)); #524594=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #524595=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #524596=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #524597=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #524598=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #524599=CARTESIAN_POINT('Origin',(36.063056,34.483128,0.)); #524600=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #524601=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #524602=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #524603=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #524604=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #524605=CARTESIAN_POINT('Origin',(36.092572,34.490109,0.)); #524606=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #524607=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #524608=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #524609=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #524610=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #524611=CARTESIAN_POINT('Origin',(36.122469,34.485025,0.)); #524612=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #524613=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #524614=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #524615=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #524616=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #524617=CARTESIAN_POINT('Origin',(36.148016,34.468681,0.)); #524618=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #524619=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #524620=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #524621=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #524622=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #524623=CARTESIAN_POINT('Origin',(36.165166,34.443669,0.)); #524624=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #524625=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #524626=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #524627=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #524628=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #524629=CARTESIAN_POINT('Origin',(36.1712,34.413947,0.)); #524630=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #524631=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #524632=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #524633=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #524634=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #524635=CARTESIAN_POINT('Origin',(36.1712,31.8255,0.)); #524636=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #524637=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #524638=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #524639=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #524640=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #524641=CARTESIAN_POINT('Origin',(36.166078,31.798031,0.)); #524642=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #524643=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #524644=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #524645=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #524646=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #524647=CARTESIAN_POINT('Origin',(36.149734,31.772484,0.)); #524648=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #524649=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #524650=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #524651=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #524652=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #524653=CARTESIAN_POINT('Origin',(36.124722,31.755334,0.)); #524654=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #524655=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #524656=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.035)); #524657=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.)); #524658=CARTESIAN_POINT('Origin',(35.744728,23.286516,0.)); #524659=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #524660=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #524661=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #524662=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #524663=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #524664=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #524665=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #524666=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #524667=CARTESIAN_POINT('Origin',(35.714831,23.2916,0.)); #524668=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #524669=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #524670=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #524671=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #524672=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #524673=CARTESIAN_POINT('Origin',(35.689284,23.307944,0.)); #524674=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #524675=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #524676=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #524677=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #524678=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #524679=CARTESIAN_POINT('Origin',(35.672134,23.332956,0.)); #524680=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #524681=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #524682=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #524683=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #524684=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #524685=CARTESIAN_POINT('Origin',(35.6661,23.362678,0.)); #524686=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #524687=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #524688=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #524689=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #524690=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #524691=CARTESIAN_POINT('Origin',(35.6661,24.623753,0.)); #524692=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #524693=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #524694=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #524695=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #524696=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #524697=CARTESIAN_POINT('Origin',(35.670794,24.650084,0.)); #524698=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #524699=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #524700=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #524701=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #524702=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #524703=CARTESIAN_POINT('Origin',(35.686728,24.675891,0.)); #524704=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #524705=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #524706=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #524707=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #524708=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #524709=CARTESIAN_POINT('Origin',(35.711466,24.693434,0.)); #524710=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #524711=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #524712=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #524713=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #524714=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #524715=CARTESIAN_POINT('Origin',(35.741084,24.699944,0.)); #524716=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #524717=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #524718=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #524719=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #524720=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #524721=CARTESIAN_POINT('Origin',(35.770897,24.694384,0.)); #524722=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #524723=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #524724=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #524725=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #524726=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #524727=CARTESIAN_POINT('Origin',(35.796181,24.677634,0.)); #524728=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #524729=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #524730=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #524731=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #524732=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #524733=CARTESIAN_POINT('Origin',(35.988831,24.484984,0.)); #524734=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #524735=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #524736=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #524737=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #524738=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #524739=CARTESIAN_POINT('Origin',(36.3205,24.3476,0.)); #524740=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #524741=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #524742=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #524743=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #524744=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #524745=CARTESIAN_POINT('Origin',(36.651022,24.3476,0.)); #524746=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #524747=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #524748=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #524749=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #524750=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #524751=CARTESIAN_POINT('Origin',(36.677353,24.342906,0.)); #524752=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #524753=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #524754=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #524755=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #524756=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #524757=CARTESIAN_POINT('Origin',(36.703159,24.326972,0.)); #524758=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #524759=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #524760=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #524761=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #524762=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #524763=CARTESIAN_POINT('Origin',(36.720703,24.302234,0.)); #524764=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #524765=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #524766=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #524767=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #524768=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #524769=CARTESIAN_POINT('Origin',(36.727213,24.272616,0.)); #524770=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #524771=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #524772=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #524773=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #524774=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #524775=CARTESIAN_POINT('Origin',(36.721653,24.242803,0.)); #524776=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #524777=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #524778=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #524779=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #524780=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #524781=CARTESIAN_POINT('Origin',(36.704903,24.217519,0.)); #524782=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #524783=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #524784=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #524785=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #524786=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #524787=CARTESIAN_POINT('Origin',(35.796181,23.308797,0.)); #524788=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #524789=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #524790=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #524791=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #524792=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #524793=CARTESIAN_POINT('Origin',(35.774244,23.293497,0.)); #524794=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #524795=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #524796=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.035)); #524797=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.)); #524798=CARTESIAN_POINT('Origin',(30.001216,37.592863,0.)); #524799=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #524800=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #524801=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #524802=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #524803=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #524804=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #524805=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #524806=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #524807=CARTESIAN_POINT('Origin',(29.971403,37.598422,0.)); #524808=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #524809=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #524810=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #524811=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #524812=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #524813=CARTESIAN_POINT('Origin',(29.946119,37.615172,0.)); #524814=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #524815=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #524816=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #524817=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #524818=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #524819=CARTESIAN_POINT('Origin',(29.831819,37.729472,0.)); #524820=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #524821=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #524822=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #524823=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #524824=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #524825=CARTESIAN_POINT('Origin',(29.815534,37.753631,0.)); #524826=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #524827=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #524828=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #524829=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #524830=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #524831=CARTESIAN_POINT('Origin',(29.8095,37.783353,0.)); #524832=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #524833=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #524834=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #524835=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #524836=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #524837=CARTESIAN_POINT('Origin',(29.8095,38.445247,0.)); #524838=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #524839=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #524840=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #524841=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #524842=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #524843=CARTESIAN_POINT('Origin',(29.814194,38.471578,0.)); #524844=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #524845=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #524846=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #524847=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #524848=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #524849=CARTESIAN_POINT('Origin',(29.830128,38.497384,0.)); #524850=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #524851=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #524852=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #524853=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #524854=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #524855=CARTESIAN_POINT('Origin',(29.854866,38.514928,0.)); #524856=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #524857=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #524858=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #524859=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #524860=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #524861=CARTESIAN_POINT('Origin',(29.884484,38.521438,0.)); #524862=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #524863=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #524864=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #524865=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #524866=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #524867=CARTESIAN_POINT('Origin',(29.914297,38.515878,0.)); #524868=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #524869=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #524870=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #524871=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #524872=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #524873=CARTESIAN_POINT('Origin',(29.939581,38.499128,0.)); #524874=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #524875=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #524876=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #524877=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #524878=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #524879=CARTESIAN_POINT('Origin',(30.168181,38.270528,0.)); #524880=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #524881=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #524882=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #524883=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #524884=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #524885=CARTESIAN_POINT('Origin',(30.184466,38.246369,0.)); #524886=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #524887=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #524888=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #524889=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #524890=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #524891=CARTESIAN_POINT('Origin',(30.1905,38.216647,0.)); #524892=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #524893=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #524894=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #524895=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #524896=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #524897=CARTESIAN_POINT('Origin',(30.1905,37.783353,0.)); #524898=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #524899=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #524900=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #524901=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #524902=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #524903=CARTESIAN_POINT('Origin',(30.184931,37.754756,0.)); #524904=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #524905=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #524906=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #524907=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #524908=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #524909=CARTESIAN_POINT('Origin',(30.168181,37.729472,0.)); #524910=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #524911=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #524912=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #524913=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #524914=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #524915=CARTESIAN_POINT('Origin',(30.053881,37.615172,0.)); #524916=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #524917=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #524918=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #524919=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #524920=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #524921=CARTESIAN_POINT('Origin',(30.030834,37.599372,0.)); #524922=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #524923=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #524924=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.035)); #524925=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.)); #524926=CARTESIAN_POINT('Origin',(32.258916,22.286487,0.)); #524927=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #524928=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #524929=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #524930=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #524931=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #524932=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #524933=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #524934=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #524935=CARTESIAN_POINT('Origin',(32.229103,22.292047,0.)); #524936=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #524937=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #524938=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #524939=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #524940=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #524941=CARTESIAN_POINT('Origin',(32.203819,22.308797,0.)); #524942=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #524943=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #524944=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #524945=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #524946=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #524947=CARTESIAN_POINT('Origin',(30.500919,24.011697,0.)); #524948=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #524949=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #524950=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #524951=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #524952=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #524953=CARTESIAN_POINT('Origin',(30.484634,24.035856,0.)); #524954=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #524955=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #524956=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #524957=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #524958=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #524959=CARTESIAN_POINT('Origin',(30.4786,24.065578,0.)); #524960=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #524961=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #524962=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #524963=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #524964=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #524965=CARTESIAN_POINT('Origin',(30.4786,24.974709,0.)); #524966=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #524967=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #524968=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #524969=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #524970=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #524971=CARTESIAN_POINT('Origin',(30.483087,25.000472,0.)); #524972=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #524973=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #524974=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #524975=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #524976=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #524977=CARTESIAN_POINT('Origin',(30.498816,25.0264,0.)); #524978=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #524979=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #524980=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #524981=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #524982=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #524983=CARTESIAN_POINT('Origin',(30.523409,25.044144,0.)); #524984=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #524985=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #524986=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #524987=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #524988=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #524989=CARTESIAN_POINT('Origin',(30.552978,25.050888,0.)); #524990=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #524991=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #524992=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #524993=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #524994=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #524995=CARTESIAN_POINT('Origin',(30.582834,25.045566,0.)); #524996=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #524997=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #524998=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #524999=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #525000=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #525001=CARTESIAN_POINT('Origin',(30.60825,25.029019,0.)); #525002=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #525003=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #525004=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #525005=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #525006=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #525007=CARTESIAN_POINT('Origin',(30.6252,25.003869,0.)); #525008=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #525009=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #525010=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #525011=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #525012=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #525013=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #525014=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #525015=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #525016=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #525017=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #525018=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #525019=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #525020=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #525021=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #525022=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #525023=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #525024=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #525025=CARTESIAN_POINT('Origin',(31.3205,24.3476,0.)); #525026=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #525027=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #525028=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #525029=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #525030=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #525031=CARTESIAN_POINT('Origin',(31.6795,24.3476,0.)); #525032=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #525033=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #525034=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #525035=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #525036=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #525037=CARTESIAN_POINT('Origin',(32.011169,24.484984,0.)); #525038=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #525039=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #525040=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #525041=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #525042=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #525043=CARTESIAN_POINT('Origin',(32.203819,24.677634,0.)); #525044=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #525045=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #525046=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #525047=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #525048=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #525049=CARTESIAN_POINT('Origin',(32.225756,24.692934,0.)); #525050=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #525051=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #525052=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #525053=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #525054=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #525055=CARTESIAN_POINT('Origin',(32.255272,24.699916,0.)); #525056=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #525057=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #525058=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #525059=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #525060=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #525061=CARTESIAN_POINT('Origin',(32.285169,24.694831,0.)); #525062=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #525063=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #525064=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #525065=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #525066=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #525067=CARTESIAN_POINT('Origin',(32.310716,24.678488,0.)); #525068=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #525069=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #525070=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #525071=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #525072=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #525073=CARTESIAN_POINT('Origin',(32.327866,24.653475,0.)); #525074=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #525075=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #525076=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #525077=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #525078=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #525079=CARTESIAN_POINT('Origin',(32.3339,24.623753,0.)); #525080=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #525081=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #525082=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #525083=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #525084=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #525085=CARTESIAN_POINT('Origin',(32.3339,22.362678,0.)); #525086=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #525087=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #525088=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #525089=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #525090=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #525091=CARTESIAN_POINT('Origin',(32.329206,22.336347,0.)); #525092=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #525093=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #525094=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #525095=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #525096=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #525097=CARTESIAN_POINT('Origin',(32.313272,22.310541,0.)); #525098=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #525099=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #525100=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #525101=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #525102=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #525103=CARTESIAN_POINT('Origin',(32.288534,22.292997,0.)); #525104=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #525105=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #525106=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.035)); #525107=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.)); #525108=CARTESIAN_POINT('Origin',(32.2577,31.7493,0.)); #525109=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #525110=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #525111=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #525112=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #525113=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #525114=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #525115=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #525116=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #525117=CARTESIAN_POINT('Origin',(31.905,31.7493,0.)); #525118=CARTESIAN_POINT('',(31.905,31.7493,0.)); #525119=CARTESIAN_POINT('',(31.905,31.7493,0.)); #525120=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #525121=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #525122=CARTESIAN_POINT('',(31.905,31.7493,0.)); #525123=CARTESIAN_POINT('Origin',(31.877531,31.754422,0.)); #525124=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #525125=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #525126=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #525127=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #525128=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #525129=CARTESIAN_POINT('Origin',(31.851984,31.770766,0.)); #525130=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #525131=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #525132=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #525133=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #525134=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #525135=CARTESIAN_POINT('Origin',(31.834834,31.795778,0.)); #525136=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #525137=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #525138=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #525139=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #525140=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #525141=CARTESIAN_POINT('Origin',(31.8288,31.8255,0.)); #525142=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #525143=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #525144=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #525145=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #525146=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #525147=CARTESIAN_POINT('Origin',(31.8288,34.413947,0.)); #525148=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #525149=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #525150=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #525151=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #525152=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #525153=CARTESIAN_POINT('Origin',(31.833494,34.440278,0.)); #525154=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #525155=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #525156=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #525157=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #525158=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #525159=CARTESIAN_POINT('Origin',(31.849428,34.466084,0.)); #525160=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #525161=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #525162=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #525163=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #525164=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #525165=CARTESIAN_POINT('Origin',(31.874166,34.483628,0.)); #525166=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #525167=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #525168=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #525169=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #525170=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #525171=CARTESIAN_POINT('Origin',(31.903784,34.490138,0.)); #525172=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #525173=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #525174=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #525175=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #525176=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #525177=CARTESIAN_POINT('Origin',(31.933597,34.484578,0.)); #525178=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #525179=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #525180=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #525181=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #525182=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #525183=CARTESIAN_POINT('Origin',(31.958881,34.467828,0.)); #525184=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #525185=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #525186=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #525187=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #525188=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #525189=CARTESIAN_POINT('Origin',(32.311581,34.115128,0.)); #525190=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #525191=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #525192=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #525193=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #525194=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #525195=CARTESIAN_POINT('Origin',(32.327866,34.090969,0.)); #525196=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #525197=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #525198=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #525199=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #525200=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #525201=CARTESIAN_POINT('Origin',(32.3339,34.061247,0.)); #525202=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #525203=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #525204=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #525205=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #525206=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #525207=CARTESIAN_POINT('Origin',(32.3339,31.8255,0.)); #525208=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #525209=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #525210=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #525211=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #525212=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #525213=CARTESIAN_POINT('Origin',(32.328778,31.798031,0.)); #525214=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #525215=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #525216=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #525217=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #525218=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #525219=CARTESIAN_POINT('Origin',(32.312434,31.772484,0.)); #525220=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #525221=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #525222=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #525223=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #525224=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #525225=CARTESIAN_POINT('Origin',(32.287422,31.755334,0.)); #525226=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #525227=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #525228=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.035)); #525229=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.)); #525230=CARTESIAN_POINT('Origin',(33.501216,22.104862,0.)); #525231=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #525232=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #525233=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #525234=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #525235=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #525236=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #525237=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #525238=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #525239=CARTESIAN_POINT('Origin',(33.471403,22.110422,0.)); #525240=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #525241=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #525242=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #525243=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #525244=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #525245=CARTESIAN_POINT('Origin',(33.446119,22.127172,0.)); #525246=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #525247=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #525248=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #525249=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #525250=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #525251=CARTESIAN_POINT('Origin',(33.188419,22.384872,0.)); #525252=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #525253=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #525254=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #525255=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #525256=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #525257=CARTESIAN_POINT('Origin',(33.172134,22.409031,0.)); #525258=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #525259=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #525260=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #525261=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #525262=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #525263=CARTESIAN_POINT('Origin',(33.1661,22.438753,0.)); #525264=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #525265=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #525266=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #525267=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #525268=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #525269=CARTESIAN_POINT('Origin',(33.1661,24.623753,0.)); #525270=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #525271=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #525272=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #525273=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #525274=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #525275=CARTESIAN_POINT('Origin',(33.170794,24.650084,0.)); #525276=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #525277=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #525278=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #525279=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #525280=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #525281=CARTESIAN_POINT('Origin',(33.186728,24.675891,0.)); #525282=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #525283=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #525284=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #525285=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #525286=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #525287=CARTESIAN_POINT('Origin',(33.211466,24.693434,0.)); #525288=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #525289=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #525290=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #525291=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #525292=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #525293=CARTESIAN_POINT('Origin',(33.241084,24.699944,0.)); #525294=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #525295=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #525296=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #525297=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #525298=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #525299=CARTESIAN_POINT('Origin',(33.270897,24.694384,0.)); #525300=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #525301=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #525302=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #525303=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #525304=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #525305=CARTESIAN_POINT('Origin',(33.296181,24.677634,0.)); #525306=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #525307=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #525308=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #525309=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #525310=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #525311=CARTESIAN_POINT('Origin',(33.488831,24.484984,0.)); #525312=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #525313=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #525314=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #525315=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #525316=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #525317=CARTESIAN_POINT('Origin',(33.724359,24.387425,0.)); #525318=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #525319=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #525320=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #525321=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #525322=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #525323=CARTESIAN_POINT('Origin',(33.748216,24.371759,0.)); #525324=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #525325=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #525326=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #525327=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #525328=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #525329=CARTESIAN_POINT('Origin',(33.765366,24.346747,0.)); #525330=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #525331=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #525332=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #525333=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #525334=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #525335=CARTESIAN_POINT('Origin',(33.7714,24.317025,0.)); #525336=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #525337=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #525338=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #525339=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #525340=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #525341=CARTESIAN_POINT('Origin',(33.7714,23.532397,0.)); #525342=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #525343=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #525344=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #525345=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #525346=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #525347=CARTESIAN_POINT('Origin',(33.765831,23.5038,0.)); #525348=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #525349=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #525350=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #525351=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #525352=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #525353=CARTESIAN_POINT('Origin',(33.749081,23.478516,0.)); #525354=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #525355=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #525356=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #525357=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #525358=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #525359=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #525360=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #525361=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #525362=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #525363=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #525364=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #525365=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #525366=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #525367=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #525368=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #525369=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #525370=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #525371=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #525372=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #525373=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #525374=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #525375=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #525376=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #525377=CARTESIAN_POINT('Origin',(33.940347,22.6976,0.)); #525378=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #525379=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #525380=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #525381=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #525382=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #525383=CARTESIAN_POINT('Origin',(33.966678,22.692906,0.)); #525384=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #525385=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #525386=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #525387=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #525388=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #525389=CARTESIAN_POINT('Origin',(33.992484,22.676972,0.)); #525390=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #525391=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #525392=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #525393=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #525394=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #525395=CARTESIAN_POINT('Origin',(34.010028,22.652234,0.)); #525396=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #525397=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #525398=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #525399=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #525400=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #525401=CARTESIAN_POINT('Origin',(34.016537,22.622616,0.)); #525402=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #525403=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #525404=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #525405=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #525406=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #525407=CARTESIAN_POINT('Origin',(34.010978,22.592803,0.)); #525408=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #525409=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #525410=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #525411=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #525412=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #525413=CARTESIAN_POINT('Origin',(33.994228,22.567519,0.)); #525414=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #525415=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #525416=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #525417=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #525418=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #525419=CARTESIAN_POINT('Origin',(33.553881,22.127172,0.)); #525420=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #525421=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #525422=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #525423=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #525424=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #525425=CARTESIAN_POINT('Origin',(33.530834,22.111372,0.)); #525426=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #525427=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #525428=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.035)); #525429=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.)); #525430=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #525431=CARTESIAN_POINT('',(27.86,38.75,0.)); #525432=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #525433=CARTESIAN_POINT('',(27.86,38.75,0.0349999999999895)); #525434=CARTESIAN_POINT('',(27.86,38.75,-200.)); #525435=CARTESIAN_POINT('Origin',(28.,38.75,0.0349999999999895)); #525436=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #525437=CARTESIAN_POINT('',(27.86,38.,0.)); #525438=CARTESIAN_POINT('Origin',(28.,38.,0.)); #525439=CARTESIAN_POINT('',(27.86,38.,0.0349999999999895)); #525440=CARTESIAN_POINT('',(27.86,38.,-200.)); #525441=CARTESIAN_POINT('Origin',(28.,38.,0.0349999999999895)); #525442=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #525443=CARTESIAN_POINT('',(27.86,39.5,0.)); #525444=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #525445=CARTESIAN_POINT('',(27.86,39.5,0.0349999999999895)); #525446=CARTESIAN_POINT('',(27.86,39.5,-200.)); #525447=CARTESIAN_POINT('Origin',(28.,39.5,0.0349999999999895)); #525448=CARTESIAN_POINT('Origin',(28.842603,36.9786,0.)); #525449=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #525450=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #525451=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #525452=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #525453=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #525454=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #525455=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #525456=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #525457=CARTESIAN_POINT('Origin',(27.595916,36.9786,0.)); #525458=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #525459=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #525460=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #525461=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #525462=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #525463=CARTESIAN_POINT('Origin',(27.567319,36.984169,0.)); #525464=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #525465=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #525466=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #525467=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #525468=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #525469=CARTESIAN_POINT('Origin',(27.542034,37.000919,0.)); #525470=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #525471=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #525472=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #525473=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #525474=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #525475=CARTESIAN_POINT('Origin',(24.619219,39.923734,0.)); #525476=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #525477=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #525478=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #525479=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #525480=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #525481=CARTESIAN_POINT('Origin',(24.602934,39.947894,0.)); #525482=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #525483=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #525484=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #525485=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #525486=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #525487=CARTESIAN_POINT('Origin',(24.5969,39.977616,0.)); #525488=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #525489=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #525490=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #525491=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #525492=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #525493=CARTESIAN_POINT('Origin',(24.5969,40.720228,0.)); #525494=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #525495=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #525496=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #525497=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #525498=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #525499=CARTESIAN_POINT('Origin',(24.507628,40.8095,0.)); #525500=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #525501=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #525502=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #525503=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #525504=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #525505=CARTESIAN_POINT('Origin',(23.492372,40.8095,0.)); #525506=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #525507=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #525508=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #525509=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #525510=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #525511=CARTESIAN_POINT('Origin',(23.4031,40.720228,0.)); #525512=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #525513=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #525514=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #525515=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #525516=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #525517=CARTESIAN_POINT('Origin',(23.4031,39.7928,0.)); #525518=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #525519=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #525520=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #525521=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #525522=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #525523=CARTESIAN_POINT('Origin',(23.397978,39.765331,0.)); #525524=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #525525=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #525526=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #525527=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #525528=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #525529=CARTESIAN_POINT('Origin',(23.381634,39.739784,0.)); #525530=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #525531=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #525532=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #525533=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #525534=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #525535=CARTESIAN_POINT('Origin',(23.356622,39.722634,0.)); #525536=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #525537=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #525538=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #525539=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #525540=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #525541=CARTESIAN_POINT('Origin',(23.3269,39.7166,0.)); #525542=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #525543=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #525544=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #525545=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #525546=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #525547=CARTESIAN_POINT('Origin',(20.876253,39.7166,0.)); #525548=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #525549=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #525550=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #525551=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #525552=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #525553=CARTESIAN_POINT('Origin',(20.847656,39.722169,0.)); #525554=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #525555=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #525556=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #525557=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #525558=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #525559=CARTESIAN_POINT('Origin',(20.822372,39.738919,0.)); #525560=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #525561=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #525562=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #525563=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #525564=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #525565=CARTESIAN_POINT('Origin',(20.619219,39.942072,0.)); #525566=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #525567=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #525568=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #525569=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #525570=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #525571=CARTESIAN_POINT('Origin',(20.602934,39.966231,0.)); #525572=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #525573=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #525574=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #525575=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #525576=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #525577=CARTESIAN_POINT('Origin',(20.5969,39.995953,0.)); #525578=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #525579=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #525580=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #525581=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #525582=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #525583=CARTESIAN_POINT('Origin',(20.5969,40.720228,0.)); #525584=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #525585=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #525586=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #525587=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #525588=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #525589=CARTESIAN_POINT('Origin',(20.507628,40.8095,0.)); #525590=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #525591=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #525592=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #525593=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #525594=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #525595=CARTESIAN_POINT('Origin',(19.5548,40.8095,0.)); #525596=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #525597=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #525598=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #525599=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #525600=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #525601=CARTESIAN_POINT('Origin',(19.527331,40.814622,0.)); #525602=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #525603=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #525604=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #525605=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #525606=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #525607=CARTESIAN_POINT('Origin',(19.501784,40.830966,0.)); #525608=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #525609=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #525610=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #525611=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #525612=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #525613=CARTESIAN_POINT('Origin',(19.484634,40.855978,0.)); #525614=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #525615=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #525616=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #525617=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #525618=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #525619=CARTESIAN_POINT('Origin',(19.4786,40.8857,0.)); #525620=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #525621=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #525622=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #525623=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #525624=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #525625=CARTESIAN_POINT('Origin',(19.4786,41.1143,0.)); #525626=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #525627=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #525628=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #525629=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #525630=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #525631=CARTESIAN_POINT('Origin',(19.483722,41.141769,0.)); #525632=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #525633=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #525634=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #525635=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #525636=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #525637=CARTESIAN_POINT('Origin',(19.500066,41.167316,0.)); #525638=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #525639=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #525640=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #525641=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #525642=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #525643=CARTESIAN_POINT('Origin',(19.525078,41.184466,0.)); #525644=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #525645=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #525646=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #525647=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #525648=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #525649=CARTESIAN_POINT('Origin',(19.5548,41.1905,0.)); #525650=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #525651=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #525652=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #525653=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #525654=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #525655=CARTESIAN_POINT('Origin',(20.507628,41.1905,0.)); #525656=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #525657=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #525658=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #525659=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #525660=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #525661=CARTESIAN_POINT('Origin',(20.5969,41.279772,0.)); #525662=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #525663=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #525664=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #525665=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #525666=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #525667=CARTESIAN_POINT('Origin',(20.5969,41.992047,0.)); #525668=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #525669=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #525670=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #525671=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #525672=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #525673=CARTESIAN_POINT('Origin',(20.602469,42.020644,0.)); #525674=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #525675=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #525676=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #525677=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #525678=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #525679=CARTESIAN_POINT('Origin',(20.619219,42.045928,0.)); #525680=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #525681=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #525682=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #525683=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #525684=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #525685=CARTESIAN_POINT('Origin',(20.822372,42.249081,0.)); #525686=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #525687=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #525688=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #525689=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #525690=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #525691=CARTESIAN_POINT('Origin',(20.846531,42.265366,0.)); #525692=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #525693=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #525694=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #525695=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #525696=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #525697=CARTESIAN_POINT('Origin',(20.876253,42.2714,0.)); #525698=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #525699=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #525700=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #525701=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #525702=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #525703=CARTESIAN_POINT('Origin',(23.3269,42.2714,0.)); #525704=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #525705=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #525706=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #525707=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #525708=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #525709=CARTESIAN_POINT('Origin',(23.354369,42.266278,0.)); #525710=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #525711=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #525712=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #525713=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #525714=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #525715=CARTESIAN_POINT('Origin',(23.379916,42.249934,0.)); #525716=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #525717=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #525718=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #525719=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #525720=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #525721=CARTESIAN_POINT('Origin',(23.397066,42.224922,0.)); #525722=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #525723=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #525724=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #525725=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #525726=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #525727=CARTESIAN_POINT('Origin',(23.4031,42.1952,0.)); #525728=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #525729=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #525730=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #525731=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #525732=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #525733=CARTESIAN_POINT('Origin',(23.4031,41.279772,0.)); #525734=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #525735=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #525736=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #525737=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #525738=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #525739=CARTESIAN_POINT('Origin',(23.492372,41.1905,0.)); #525740=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #525741=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #525742=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #525743=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #525744=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #525745=CARTESIAN_POINT('Origin',(24.507628,41.1905,0.)); #525746=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #525747=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #525748=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #525749=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #525750=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #525751=CARTESIAN_POINT('Origin',(24.5969,41.279772,0.)); #525752=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #525753=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #525754=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #525755=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #525756=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #525757=CARTESIAN_POINT('Origin',(24.5969,41.961709,0.)); #525758=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #525759=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #525760=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #525761=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #525762=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #525763=CARTESIAN_POINT('Origin',(24.602469,41.990306,0.)); #525764=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #525765=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #525766=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #525767=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #525768=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #525769=CARTESIAN_POINT('Origin',(24.619219,42.015591,0.)); #525770=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #525771=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #525772=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #525773=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #525774=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #525775=CARTESIAN_POINT('Origin',(25.467288,42.863659,0.)); #525776=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #525777=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #525778=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #525779=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #525780=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #525781=CARTESIAN_POINT('Origin',(25.490334,42.879459,0.)); #525782=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #525783=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #525784=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #525785=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #525786=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #525787=CARTESIAN_POINT('Origin',(25.519953,42.885969,0.)); #525788=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #525789=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #525790=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #525791=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #525792=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #525793=CARTESIAN_POINT('Origin',(25.549766,42.880409,0.)); #525794=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #525795=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #525796=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #525797=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #525798=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #525799=CARTESIAN_POINT('Origin',(25.57505,42.863659,0.)); #525800=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #525801=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #525802=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #525803=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #525804=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #525805=CARTESIAN_POINT('Origin',(29.711728,38.726981,0.)); #525806=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #525807=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #525808=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #525809=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #525810=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #525811=CARTESIAN_POINT('Origin',(29.727028,38.705044,0.)); #525812=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #525813=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #525814=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #525815=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #525816=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #525817=CARTESIAN_POINT('Origin',(29.734009,38.675528,0.)); #525818=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #525819=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #525820=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #525821=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #525822=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #525823=CARTESIAN_POINT('Origin',(29.728925,38.645631,0.)); #525824=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #525825=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #525826=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #525827=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #525828=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #525829=CARTESIAN_POINT('Origin',(29.712581,38.620084,0.)); #525830=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #525831=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #525832=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #525833=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #525834=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #525835=CARTESIAN_POINT('Origin',(29.687569,38.602934,0.)); #525836=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #525837=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #525838=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #525839=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #525840=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #525841=CARTESIAN_POINT('Origin',(29.657847,38.5969,0.)); #525842=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #525843=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #525844=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #525845=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #525846=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #525847=CARTESIAN_POINT('Origin',(28.755772,38.5969,0.)); #525848=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #525849=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #525850=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #525851=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #525852=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #525853=CARTESIAN_POINT('Origin',(28.6665,38.507628,0.)); #525854=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #525855=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #525856=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #525857=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #525858=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #525859=CARTESIAN_POINT('Origin',(28.6665,37.492372,0.)); #525860=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #525861=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #525862=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #525863=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #525864=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #525865=CARTESIAN_POINT('Origin',(28.755772,37.4031,0.)); #525866=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #525867=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #525868=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #525869=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #525870=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #525871=CARTESIAN_POINT('Origin',(29.480047,37.4031,0.)); #525872=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #525873=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #525874=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #525875=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #525876=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #525877=CARTESIAN_POINT('Origin',(29.508644,37.397531,0.)); #525878=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #525879=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #525880=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #525881=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #525882=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #525883=CARTESIAN_POINT('Origin',(29.533928,37.380781,0.)); #525884=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #525885=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #525886=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #525887=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #525888=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #525889=CARTESIAN_POINT('Origin',(29.561581,37.353128,0.)); #525890=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #525891=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #525892=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #525893=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #525894=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #525895=CARTESIAN_POINT('Origin',(29.577866,37.328969,0.)); #525896=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #525897=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #525898=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #525899=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #525900=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #525901=CARTESIAN_POINT('Origin',(29.5839,37.299247,0.)); #525902=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #525903=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #525904=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #525905=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #525906=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #525907=CARTESIAN_POINT('Origin',(29.5839,37.204497,0.)); #525908=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #525909=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #525910=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #525911=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #525912=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #525913=CARTESIAN_POINT('Origin',(29.579206,37.178166,0.)); #525914=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #525915=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #525916=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #525917=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #525918=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #525919=CARTESIAN_POINT('Origin',(29.563272,37.152359,0.)); #525920=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #525921=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #525922=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #525923=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #525924=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #525925=CARTESIAN_POINT('Origin',(29.538534,37.134816,0.)); #525926=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #525927=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #525928=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #525929=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #525930=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #525931=CARTESIAN_POINT('Origin',(29.508916,37.128306,0.)); #525932=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #525933=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #525934=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #525935=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #525936=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #525937=CARTESIAN_POINT('Origin',(29.479103,37.133866,0.)); #525938=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #525939=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #525940=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #525941=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #525942=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #525943=CARTESIAN_POINT('Origin',(29.453819,37.150616,0.)); #525944=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #525945=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #525946=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #525947=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #525948=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #525949=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #525950=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #525951=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #525952=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #525953=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #525954=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #525955=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #525956=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #525957=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #525958=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #525959=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #525960=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #525961=CARTESIAN_POINT('Origin',(28.896484,37.000919,0.)); #525962=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #525963=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #525964=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #525965=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #525966=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #525967=CARTESIAN_POINT('Origin',(28.872325,36.984634,0.)); #525968=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #525969=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #525970=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.035)); #525971=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.)); #525972=CARTESIAN_POINT('Origin',(30.131509,19.9786,0.)); #525973=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #525974=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #525975=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #525976=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #525977=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #525978=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #525979=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #525980=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #525981=CARTESIAN_POINT('Origin',(29.876253,19.9786,0.)); #525982=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #525983=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #525984=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #525985=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #525986=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #525987=CARTESIAN_POINT('Origin',(29.847656,19.984169,0.)); #525988=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #525989=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #525990=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #525991=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #525992=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #525993=CARTESIAN_POINT('Origin',(29.822372,20.000919,0.)); #525994=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #525995=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #525996=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #525997=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #525998=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #525999=CARTESIAN_POINT('Origin',(29.375919,20.447372,0.)); #526000=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #526001=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #526002=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #526003=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #526004=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #526005=CARTESIAN_POINT('Origin',(29.359634,20.471531,0.)); #526006=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #526007=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #526008=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #526009=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #526010=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #526011=CARTESIAN_POINT('Origin',(29.3536,20.501253,0.)); #526012=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #526013=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #526014=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #526015=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #526016=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #526017=CARTESIAN_POINT('Origin',(29.3536,23.267797,0.)); #526018=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #526019=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #526020=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #526021=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #526022=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #526023=CARTESIAN_POINT('Origin',(29.358294,23.294128,0.)); #526024=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #526025=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #526026=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #526027=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #526028=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #526029=CARTESIAN_POINT('Origin',(29.374228,23.319934,0.)); #526030=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #526031=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #526032=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #526033=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #526034=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #526035=CARTESIAN_POINT('Origin',(29.398966,23.337478,0.)); #526036=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #526037=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #526038=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #526039=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #526040=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #526041=CARTESIAN_POINT('Origin',(29.428584,23.343988,0.)); #526042=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #526043=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #526044=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #526045=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #526046=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #526047=CARTESIAN_POINT('Origin',(29.458397,23.338428,0.)); #526048=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #526049=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #526050=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #526051=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #526052=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #526053=CARTESIAN_POINT('Origin',(29.483681,23.321678,0.)); #526054=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #526055=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #526056=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #526057=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #526058=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #526059=CARTESIAN_POINT('Origin',(30.999081,21.806278,0.)); #526060=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #526061=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #526062=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #526063=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #526064=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #526065=CARTESIAN_POINT('Origin',(31.015366,21.782119,0.)); #526066=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #526067=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #526068=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #526069=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #526070=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #526071=CARTESIAN_POINT('Origin',(31.0214,21.752397,0.)); #526072=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #526073=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #526074=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #526075=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #526076=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #526077=CARTESIAN_POINT('Origin',(31.0214,20.868491,0.)); #526078=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #526079=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #526080=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #526081=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #526082=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #526083=CARTESIAN_POINT('Origin',(31.015831,20.839894,0.)); #526084=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #526085=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #526086=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #526087=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #526088=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #526089=CARTESIAN_POINT('Origin',(30.999081,20.814609,0.)); #526090=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #526091=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #526092=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #526093=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #526094=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #526095=CARTESIAN_POINT('Origin',(30.9601,20.775628,0.)); #526096=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #526097=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #526098=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #526099=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #526100=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #526101=CARTESIAN_POINT('Origin',(30.9601,20.1161,0.)); #526102=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #526103=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #526104=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #526105=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #526106=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #526107=CARTESIAN_POINT('Origin',(30.954978,20.088631,0.)); #526108=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #526109=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #526110=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #526111=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #526112=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #526113=CARTESIAN_POINT('Origin',(30.938634,20.063084,0.)); #526114=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #526115=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #526116=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #526117=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #526118=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #526119=CARTESIAN_POINT('Origin',(30.913622,20.045934,0.)); #526120=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #526121=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #526122=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #526123=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #526124=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #526125=CARTESIAN_POINT('Origin',(30.8839,20.0399,0.)); #526126=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #526127=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #526128=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #526129=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #526130=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #526131=CARTESIAN_POINT('Origin',(30.224372,20.0399,0.)); #526132=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #526133=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #526134=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #526135=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #526136=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #526137=CARTESIAN_POINT('Origin',(30.185391,20.000919,0.)); #526138=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #526139=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #526140=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #526141=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #526142=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #526143=CARTESIAN_POINT('Origin',(30.161231,19.984634,0.)); #526144=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #526145=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #526146=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.035)); #526147=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.)); #526148=CARTESIAN_POINT('Origin',(31.2954,31.7493,0.)); #526149=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #526150=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #526151=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #526152=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #526153=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #526154=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #526155=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #526156=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #526157=CARTESIAN_POINT('Origin',(31.006553,31.7493,0.)); #526158=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #526159=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #526160=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #526161=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #526162=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #526163=CARTESIAN_POINT('Origin',(30.980222,31.753994,0.)); #526164=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #526165=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #526166=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #526167=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #526168=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #526169=CARTESIAN_POINT('Origin',(30.954416,31.769928,0.)); #526170=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #526171=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #526172=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #526173=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #526174=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #526175=CARTESIAN_POINT('Origin',(30.936872,31.794666,0.)); #526176=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #526177=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #526178=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #526179=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #526180=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #526181=CARTESIAN_POINT('Origin',(30.930363,31.824284,0.)); #526182=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #526183=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #526184=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #526185=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #526186=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #526187=CARTESIAN_POINT('Origin',(30.935922,31.854097,0.)); #526188=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #526189=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #526190=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #526191=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #526192=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #526193=CARTESIAN_POINT('Origin',(30.952672,31.879381,0.)); #526194=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #526195=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #526196=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #526197=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #526198=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #526199=CARTESIAN_POINT('Origin',(31.241519,32.168228,0.)); #526200=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #526201=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #526202=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #526203=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #526204=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #526205=CARTESIAN_POINT('Origin',(31.263456,32.183528,0.)); #526206=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #526207=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #526208=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #526209=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #526210=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #526211=CARTESIAN_POINT('Origin',(31.292972,32.190509,0.)); #526212=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #526213=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #526214=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #526215=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #526216=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #526217=CARTESIAN_POINT('Origin',(31.322869,32.185425,0.)); #526218=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #526219=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #526220=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #526221=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #526222=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #526223=CARTESIAN_POINT('Origin',(31.348416,32.169081,0.)); #526224=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #526225=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #526226=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #526227=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #526228=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #526229=CARTESIAN_POINT('Origin',(31.365566,32.144069,0.)); #526230=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #526231=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #526232=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #526233=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #526234=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #526235=CARTESIAN_POINT('Origin',(31.3716,32.114347,0.)); #526236=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #526237=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #526238=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #526239=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #526240=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #526241=CARTESIAN_POINT('Origin',(31.3716,31.8255,0.)); #526242=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #526243=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #526244=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #526245=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #526246=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #526247=CARTESIAN_POINT('Origin',(31.366478,31.798031,0.)); #526248=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #526249=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #526250=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #526251=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #526252=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #526253=CARTESIAN_POINT('Origin',(31.350134,31.772484,0.)); #526254=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #526255=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #526256=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #526257=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #526258=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #526259=CARTESIAN_POINT('Origin',(31.325122,31.755334,0.)); #526260=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #526261=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #526262=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.035)); #526263=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.)); #526264=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #526265=CARTESIAN_POINT('',(32.36,18.5,0.)); #526266=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #526267=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #526268=CARTESIAN_POINT('',(32.36,18.5,-200.)); #526269=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #526270=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #526271=CARTESIAN_POINT('',(33.11,18.5,0.)); #526272=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #526273=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #526274=CARTESIAN_POINT('',(33.11,18.5,-200.)); #526275=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #526276=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #526277=CARTESIAN_POINT('',(34.61,18.5,0.)); #526278=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #526279=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #526280=CARTESIAN_POINT('',(34.61,18.5,-200.)); #526281=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #526282=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #526283=CARTESIAN_POINT('',(33.86,18.5,0.)); #526284=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #526285=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #526286=CARTESIAN_POINT('',(33.86,18.5,-200.)); #526287=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #526288=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #526289=CARTESIAN_POINT('',(34.61,16.25,0.)); #526290=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #526291=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #526292=CARTESIAN_POINT('',(34.61,16.25,-200.)); #526293=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #526294=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #526295=CARTESIAN_POINT('',(31.61,18.5,0.)); #526296=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #526297=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #526298=CARTESIAN_POINT('',(31.61,18.5,-200.)); #526299=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #526300=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #526301=CARTESIAN_POINT('',(33.11,16.25,0.)); #526302=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #526303=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #526304=CARTESIAN_POINT('',(33.11,16.25,-200.)); #526305=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #526306=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #526307=CARTESIAN_POINT('',(31.61,19.25,0.)); #526308=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #526309=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #526310=CARTESIAN_POINT('',(31.61,19.25,-200.)); #526311=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #526312=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #526313=CARTESIAN_POINT('',(35.36,17.75,0.)); #526314=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #526315=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #526316=CARTESIAN_POINT('',(35.36,17.75,-200.)); #526317=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #526318=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #526319=CARTESIAN_POINT('',(35.36,18.5,0.)); #526320=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #526321=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #526322=CARTESIAN_POINT('',(35.36,18.5,-200.)); #526323=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #526324=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #526325=CARTESIAN_POINT('',(34.61,14.75,0.)); #526326=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #526327=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #526328=CARTESIAN_POINT('',(34.61,14.75,-200.)); #526329=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #526330=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #526331=CARTESIAN_POINT('',(34.61,17.,0.)); #526332=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #526333=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #526334=CARTESIAN_POINT('',(34.61,17.,-200.)); #526335=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #526336=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #526337=CARTESIAN_POINT('',(35.36,14.75,0.)); #526338=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #526339=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #526340=CARTESIAN_POINT('',(35.36,14.75,-200.)); #526341=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #526342=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #526343=CARTESIAN_POINT('',(36.11,15.5,0.)); #526344=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #526345=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #526346=CARTESIAN_POINT('',(36.11,15.5,-200.)); #526347=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #526348=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #526349=CARTESIAN_POINT('',(33.86,17.75,0.)); #526350=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #526351=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #526352=CARTESIAN_POINT('',(33.86,17.75,-200.)); #526353=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #526354=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #526355=CARTESIAN_POINT('',(36.11,14.75,0.)); #526356=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #526357=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #526358=CARTESIAN_POINT('',(36.11,14.75,-200.)); #526359=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #526360=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #526361=CARTESIAN_POINT('',(36.11,17.75,0.)); #526362=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #526363=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #526364=CARTESIAN_POINT('',(36.11,17.75,-200.)); #526365=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #526366=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #526367=CARTESIAN_POINT('',(36.11,18.5,0.)); #526368=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #526369=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #526370=CARTESIAN_POINT('',(36.11,18.5,-200.)); #526371=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #526372=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #526373=CARTESIAN_POINT('',(36.11,17.,0.)); #526374=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #526375=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #526376=CARTESIAN_POINT('',(36.11,17.,-200.)); #526377=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #526378=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #526379=CARTESIAN_POINT('',(33.86,17.,0.)); #526380=CARTESIAN_POINT('Origin',(34.,17.,0.)); #526381=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #526382=CARTESIAN_POINT('',(33.86,17.,-200.)); #526383=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #526384=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #526385=CARTESIAN_POINT('',(36.11,16.25,0.)); #526386=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #526387=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #526388=CARTESIAN_POINT('',(36.11,16.25,-200.)); #526389=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #526390=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #526391=CARTESIAN_POINT('',(31.61,14.75,0.)); #526392=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #526393=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #526394=CARTESIAN_POINT('',(31.61,14.75,-200.)); #526395=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #526396=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #526397=CARTESIAN_POINT('',(33.11,17.,0.)); #526398=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #526399=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #526400=CARTESIAN_POINT('',(33.11,17.,-200.)); #526401=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #526402=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #526403=CARTESIAN_POINT('',(32.36,14.75,0.)); #526404=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #526405=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #526406=CARTESIAN_POINT('',(32.36,14.75,-200.)); #526407=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #526408=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #526409=CARTESIAN_POINT('',(33.86,14.75,0.)); #526410=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #526411=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #526412=CARTESIAN_POINT('',(33.86,14.75,-200.)); #526413=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #526414=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #526415=CARTESIAN_POINT('',(33.86,16.25,0.)); #526416=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #526417=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #526418=CARTESIAN_POINT('',(33.86,16.25,-200.)); #526419=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #526420=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #526421=CARTESIAN_POINT('',(33.11,14.75,0.)); #526422=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #526423=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #526424=CARTESIAN_POINT('',(33.11,14.75,-200.)); #526425=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #526426=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #526427=CARTESIAN_POINT('',(31.61,16.25,0.)); #526428=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #526429=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #526430=CARTESIAN_POINT('',(31.61,16.25,-200.)); #526431=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #526432=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #526433=CARTESIAN_POINT('',(34.61,17.75,0.)); #526434=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #526435=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #526436=CARTESIAN_POINT('',(34.61,17.75,-200.)); #526437=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #526438=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #526439=CARTESIAN_POINT('',(31.61,15.5,0.)); #526440=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #526441=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #526442=CARTESIAN_POINT('',(31.61,15.5,-200.)); #526443=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #526444=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #526445=CARTESIAN_POINT('',(31.61,17.,0.)); #526446=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #526447=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #526448=CARTESIAN_POINT('',(31.61,17.,-200.)); #526449=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #526450=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #526451=CARTESIAN_POINT('',(33.11,17.75,0.)); #526452=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #526453=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #526454=CARTESIAN_POINT('',(33.11,17.75,-200.)); #526455=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #526456=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #526457=CARTESIAN_POINT('',(31.61,17.75,0.)); #526458=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #526459=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #526460=CARTESIAN_POINT('',(31.61,17.75,-200.)); #526461=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #526462=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #526463=CARTESIAN_POINT('',(35.36,15.5,0.)); #526464=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #526465=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #526466=CARTESIAN_POINT('',(35.36,15.5,-200.)); #526467=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #526468=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #526469=CARTESIAN_POINT('',(34.61,15.5,0.)); #526470=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #526471=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #526472=CARTESIAN_POINT('',(34.61,15.5,-200.)); #526473=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #526474=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #526475=CARTESIAN_POINT('',(33.11,15.5,0.)); #526476=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #526477=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #526478=CARTESIAN_POINT('',(33.11,15.5,-200.)); #526479=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #526480=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #526481=CARTESIAN_POINT('',(33.86,15.5,0.)); #526482=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #526483=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #526484=CARTESIAN_POINT('',(33.86,15.5,-200.)); #526485=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #526486=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #526487=CARTESIAN_POINT('',(32.36,17.,0.)); #526488=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #526489=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #526490=CARTESIAN_POINT('',(32.36,17.,-200.)); #526491=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #526492=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #526493=CARTESIAN_POINT('',(32.36,17.75,0.)); #526494=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #526495=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #526496=CARTESIAN_POINT('',(32.36,17.75,-200.)); #526497=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #526498=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #526499=CARTESIAN_POINT('',(32.36,16.25,0.)); #526500=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #526501=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #526502=CARTESIAN_POINT('',(32.36,16.25,-200.)); #526503=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #526504=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #526505=CARTESIAN_POINT('',(32.36,15.5,0.)); #526506=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #526507=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #526508=CARTESIAN_POINT('',(32.36,15.5,-200.)); #526509=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #526510=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #526511=CARTESIAN_POINT('',(33.11,19.25,0.)); #526512=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #526513=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #526514=CARTESIAN_POINT('',(33.11,19.25,-200.)); #526515=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #526516=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #526517=CARTESIAN_POINT('',(32.36,19.25,0.)); #526518=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #526519=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #526520=CARTESIAN_POINT('',(32.36,19.25,-200.)); #526521=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #526522=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #526523=CARTESIAN_POINT('',(35.36,16.25,0.)); #526524=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #526525=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #526526=CARTESIAN_POINT('',(35.36,16.25,-200.)); #526527=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #526528=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #526529=CARTESIAN_POINT('',(35.36,17.,0.)); #526530=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #526531=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #526532=CARTESIAN_POINT('',(35.36,17.,-200.)); #526533=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #526534=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #526535=CARTESIAN_POINT('',(34.61,19.25,0.)); #526536=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #526537=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #526538=CARTESIAN_POINT('',(34.61,19.25,-200.)); #526539=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #526540=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #526541=CARTESIAN_POINT('',(33.86,19.25,0.)); #526542=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #526543=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #526544=CARTESIAN_POINT('',(33.86,19.25,-200.)); #526545=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #526546=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #526547=CARTESIAN_POINT('',(35.36,19.25,0.)); #526548=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #526549=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #526550=CARTESIAN_POINT('',(35.36,19.25,-200.)); #526551=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #526552=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #526553=CARTESIAN_POINT('',(36.11,19.25,0.)); #526554=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #526555=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #526556=CARTESIAN_POINT('',(36.11,19.25,-200.)); #526557=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #526558=CARTESIAN_POINT('Origin',(36.501216,14.0471,0.)); #526559=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #526560=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #526561=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #526562=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #526563=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #526564=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #526565=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #526566=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #526567=CARTESIAN_POINT('Origin',(36.471403,14.052659,0.)); #526568=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #526569=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #526570=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #526571=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #526572=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #526573=CARTESIAN_POINT('Origin',(36.452925,14.0649,0.)); #526574=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #526575=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #526576=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #526577=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #526578=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #526579=CARTESIAN_POINT('Origin',(36.047303,14.0649,0.)); #526580=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #526581=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #526582=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #526583=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #526584=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #526585=CARTESIAN_POINT('Origin',(36.030834,14.053609,0.)); #526586=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #526587=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #526588=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #526589=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #526590=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #526591=CARTESIAN_POINT('Origin',(36.001216,14.0471,0.)); #526592=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #526593=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #526594=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #526595=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #526596=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #526597=CARTESIAN_POINT('Origin',(35.971403,14.052659,0.)); #526598=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #526599=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #526600=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #526601=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #526602=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #526603=CARTESIAN_POINT('Origin',(35.952925,14.0649,0.)); #526604=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #526605=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #526606=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #526607=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #526608=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #526609=CARTESIAN_POINT('Origin',(35.547303,14.0649,0.)); #526610=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #526611=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #526612=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #526613=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #526614=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #526615=CARTESIAN_POINT('Origin',(35.530834,14.053609,0.)); #526616=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #526617=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #526618=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #526619=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #526620=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #526621=CARTESIAN_POINT('Origin',(35.501216,14.0471,0.)); #526622=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #526623=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #526624=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #526625=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #526626=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #526627=CARTESIAN_POINT('Origin',(35.471403,14.052659,0.)); #526628=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #526629=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #526630=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #526631=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #526632=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #526633=CARTESIAN_POINT('Origin',(35.452925,14.0649,0.)); #526634=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #526635=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #526636=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #526637=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #526638=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #526639=CARTESIAN_POINT('Origin',(35.047303,14.0649,0.)); #526640=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #526641=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #526642=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #526643=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #526644=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #526645=CARTESIAN_POINT('Origin',(35.030834,14.053609,0.)); #526646=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #526647=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #526648=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #526649=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #526650=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #526651=CARTESIAN_POINT('Origin',(35.001216,14.0471,0.)); #526652=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #526653=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #526654=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #526655=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #526656=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #526657=CARTESIAN_POINT('Origin',(34.971403,14.052659,0.)); #526658=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #526659=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #526660=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #526661=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #526662=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #526663=CARTESIAN_POINT('Origin',(34.952925,14.0649,0.)); #526664=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #526665=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #526666=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #526667=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #526668=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #526669=CARTESIAN_POINT('Origin',(34.547303,14.0649,0.)); #526670=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #526671=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #526672=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #526673=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #526674=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #526675=CARTESIAN_POINT('Origin',(34.530834,14.053609,0.)); #526676=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #526677=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #526678=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #526679=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #526680=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #526681=CARTESIAN_POINT('Origin',(34.501216,14.0471,0.)); #526682=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #526683=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #526684=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #526685=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #526686=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #526687=CARTESIAN_POINT('Origin',(34.471403,14.052659,0.)); #526688=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #526689=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #526690=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #526691=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #526692=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #526693=CARTESIAN_POINT('Origin',(34.452925,14.0649,0.)); #526694=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #526695=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #526696=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #526697=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #526698=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #526699=CARTESIAN_POINT('Origin',(34.047303,14.0649,0.)); #526700=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #526701=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #526702=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #526703=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #526704=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #526705=CARTESIAN_POINT('Origin',(34.030834,14.053609,0.)); #526706=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #526707=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #526708=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #526709=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #526710=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #526711=CARTESIAN_POINT('Origin',(34.001216,14.0471,0.)); #526712=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #526713=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #526714=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #526715=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #526716=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #526717=CARTESIAN_POINT('Origin',(33.971403,14.052659,0.)); #526718=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #526719=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #526720=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #526721=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #526722=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #526723=CARTESIAN_POINT('Origin',(33.952925,14.0649,0.)); #526724=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #526725=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #526726=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #526727=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #526728=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #526729=CARTESIAN_POINT('Origin',(33.547303,14.0649,0.)); #526730=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #526731=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #526732=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #526733=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #526734=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #526735=CARTESIAN_POINT('Origin',(33.530834,14.053609,0.)); #526736=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #526737=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #526738=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #526739=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #526740=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #526741=CARTESIAN_POINT('Origin',(33.501216,14.0471,0.)); #526742=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #526743=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #526744=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #526745=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #526746=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #526747=CARTESIAN_POINT('Origin',(33.471403,14.052659,0.)); #526748=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #526749=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #526750=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #526751=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #526752=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #526753=CARTESIAN_POINT('Origin',(33.452925,14.0649,0.)); #526754=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #526755=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #526756=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #526757=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #526758=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #526759=CARTESIAN_POINT('Origin',(33.047303,14.0649,0.)); #526760=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #526761=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #526762=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #526763=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #526764=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #526765=CARTESIAN_POINT('Origin',(33.030834,14.053609,0.)); #526766=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #526767=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #526768=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #526769=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #526770=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #526771=CARTESIAN_POINT('Origin',(33.001216,14.0471,0.)); #526772=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #526773=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #526774=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #526775=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #526776=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #526777=CARTESIAN_POINT('Origin',(32.971403,14.052659,0.)); #526778=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #526779=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #526780=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #526781=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #526782=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #526783=CARTESIAN_POINT('Origin',(32.952925,14.0649,0.)); #526784=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #526785=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #526786=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #526787=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #526788=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #526789=CARTESIAN_POINT('Origin',(32.547303,14.0649,0.)); #526790=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #526791=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #526792=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #526793=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #526794=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #526795=CARTESIAN_POINT('Origin',(32.530834,14.053609,0.)); #526796=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #526797=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #526798=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #526799=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #526800=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #526801=CARTESIAN_POINT('Origin',(32.501216,14.0471,0.)); #526802=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #526803=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #526804=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #526805=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #526806=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #526807=CARTESIAN_POINT('Origin',(32.471403,14.052659,0.)); #526808=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #526809=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #526810=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #526811=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #526812=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #526813=CARTESIAN_POINT('Origin',(32.452925,14.0649,0.)); #526814=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #526815=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #526816=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #526817=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #526818=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #526819=CARTESIAN_POINT('Origin',(32.047303,14.0649,0.)); #526820=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #526821=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #526822=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #526823=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #526824=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #526825=CARTESIAN_POINT('Origin',(32.030834,14.053609,0.)); #526826=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #526827=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #526828=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #526829=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #526830=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #526831=CARTESIAN_POINT('Origin',(32.001216,14.0471,0.)); #526832=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #526833=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #526834=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #526835=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #526836=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #526837=CARTESIAN_POINT('Origin',(31.971403,14.052659,0.)); #526838=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #526839=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #526840=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #526841=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #526842=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #526843=CARTESIAN_POINT('Origin',(31.952925,14.0649,0.)); #526844=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #526845=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #526846=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #526847=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #526848=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #526849=CARTESIAN_POINT('Origin',(31.547303,14.0649,0.)); #526850=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #526851=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #526852=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #526853=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #526854=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #526855=CARTESIAN_POINT('Origin',(31.530834,14.053609,0.)); #526856=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #526857=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #526858=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #526859=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #526860=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #526861=CARTESIAN_POINT('Origin',(31.501216,14.0471,0.)); #526862=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #526863=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #526864=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #526865=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #526866=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #526867=CARTESIAN_POINT('Origin',(31.471403,14.052659,0.)); #526868=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #526869=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #526870=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #526871=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #526872=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #526873=CARTESIAN_POINT('Origin',(31.452925,14.0649,0.)); #526874=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #526875=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #526876=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #526877=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #526878=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #526879=CARTESIAN_POINT('Origin',(31.1411,14.0649,0.)); #526880=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #526881=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #526882=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #526883=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #526884=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #526885=CARTESIAN_POINT('Origin',(31.113631,14.070022,0.)); #526886=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #526887=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #526888=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #526889=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #526890=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #526891=CARTESIAN_POINT('Origin',(31.088084,14.086366,0.)); #526892=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #526893=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #526894=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #526895=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #526896=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #526897=CARTESIAN_POINT('Origin',(31.070934,14.111378,0.)); #526898=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #526899=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #526900=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #526901=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #526902=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #526903=CARTESIAN_POINT('Origin',(31.0649,14.1411,0.)); #526904=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #526905=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #526906=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #526907=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #526908=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #526909=CARTESIAN_POINT('Origin',(31.0649,14.452697,0.)); #526910=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #526911=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #526912=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #526913=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #526914=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #526915=CARTESIAN_POINT('Origin',(31.053609,14.469166,0.)); #526916=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #526917=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #526918=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #526919=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #526920=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #526921=CARTESIAN_POINT('Origin',(31.0471,14.498784,0.)); #526922=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #526923=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #526924=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #526925=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #526926=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #526927=CARTESIAN_POINT('Origin',(31.052659,14.528597,0.)); #526928=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #526929=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #526930=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #526931=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #526932=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #526933=CARTESIAN_POINT('Origin',(31.0649,14.547075,0.)); #526934=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #526935=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #526936=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #526937=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #526938=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #526939=CARTESIAN_POINT('Origin',(31.0649,14.952697,0.)); #526940=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #526941=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #526942=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #526943=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #526944=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #526945=CARTESIAN_POINT('Origin',(31.053609,14.969166,0.)); #526946=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #526947=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #526948=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #526949=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #526950=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #526951=CARTESIAN_POINT('Origin',(31.0471,14.998784,0.)); #526952=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #526953=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #526954=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #526955=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #526956=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #526957=CARTESIAN_POINT('Origin',(31.052659,15.028597,0.)); #526958=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #526959=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #526960=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #526961=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #526962=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #526963=CARTESIAN_POINT('Origin',(31.0649,15.047075,0.)); #526964=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #526965=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #526966=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #526967=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #526968=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #526969=CARTESIAN_POINT('Origin',(31.0649,15.452697,0.)); #526970=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #526971=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #526972=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #526973=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #526974=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #526975=CARTESIAN_POINT('Origin',(31.053609,15.469166,0.)); #526976=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #526977=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #526978=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #526979=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #526980=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #526981=CARTESIAN_POINT('Origin',(31.0471,15.498784,0.)); #526982=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #526983=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #526984=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #526985=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #526986=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #526987=CARTESIAN_POINT('Origin',(31.052659,15.528597,0.)); #526988=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #526989=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #526990=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #526991=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #526992=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #526993=CARTESIAN_POINT('Origin',(31.0649,15.547075,0.)); #526994=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #526995=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #526996=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #526997=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #526998=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #526999=CARTESIAN_POINT('Origin',(31.0649,15.952697,0.)); #527000=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #527001=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #527002=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #527003=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #527004=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #527005=CARTESIAN_POINT('Origin',(31.053609,15.969166,0.)); #527006=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #527007=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #527008=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #527009=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #527010=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #527011=CARTESIAN_POINT('Origin',(31.0471,15.998784,0.)); #527012=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #527013=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #527014=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #527015=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #527016=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #527017=CARTESIAN_POINT('Origin',(31.052659,16.028597,0.)); #527018=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #527019=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #527020=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #527021=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #527022=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #527023=CARTESIAN_POINT('Origin',(31.0649,16.047075,0.)); #527024=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #527025=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #527026=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #527027=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #527028=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #527029=CARTESIAN_POINT('Origin',(31.0649,16.452697,0.)); #527030=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #527031=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #527032=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #527033=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #527034=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #527035=CARTESIAN_POINT('Origin',(31.053609,16.469166,0.)); #527036=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #527037=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #527038=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #527039=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #527040=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #527041=CARTESIAN_POINT('Origin',(31.0471,16.498784,0.)); #527042=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #527043=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #527044=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #527045=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #527046=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #527047=CARTESIAN_POINT('Origin',(31.052659,16.528597,0.)); #527048=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #527049=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #527050=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #527051=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #527052=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #527053=CARTESIAN_POINT('Origin',(31.0649,16.547075,0.)); #527054=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #527055=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #527056=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #527057=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #527058=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #527059=CARTESIAN_POINT('Origin',(31.0649,16.950628,0.)); #527060=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #527061=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #527062=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #527063=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #527064=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #527065=CARTESIAN_POINT('Origin',(30.975628,17.0399,0.)); #527066=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #527067=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #527068=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #527069=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #527070=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #527071=CARTESIAN_POINT('Origin',(30.224372,17.0399,0.)); #527072=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #527073=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #527074=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #527075=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #527076=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #527077=CARTESIAN_POINT('Origin',(30.1351,16.950628,0.)); #527078=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #527079=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #527080=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #527081=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #527082=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #527083=CARTESIAN_POINT('Origin',(30.1351,16.5548,0.)); #527084=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #527085=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #527086=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #527087=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #527088=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #527089=CARTESIAN_POINT('Origin',(30.129978,16.527331,0.)); #527090=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #527091=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #527092=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #527093=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #527094=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #527095=CARTESIAN_POINT('Origin',(30.113634,16.501784,0.)); #527096=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #527097=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #527098=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #527099=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #527100=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #527101=CARTESIAN_POINT('Origin',(30.088622,16.484634,0.)); #527102=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #527103=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #527104=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #527105=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #527106=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #527107=CARTESIAN_POINT('Origin',(30.0589,16.4786,0.)); #527108=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #527109=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #527110=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #527111=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #527112=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #527113=CARTESIAN_POINT('Origin',(29.251253,16.4786,0.)); #527114=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #527115=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #527116=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #527117=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #527118=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #527119=CARTESIAN_POINT('Origin',(29.222656,16.484169,0.)); #527120=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #527121=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #527122=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #527123=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #527124=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #527125=CARTESIAN_POINT('Origin',(29.197372,16.500919,0.)); #527126=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #527127=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #527128=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #527129=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #527130=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #527131=CARTESIAN_POINT('Origin',(27.500919,18.197372,0.)); #527132=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #527133=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #527134=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #527135=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #527136=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #527137=CARTESIAN_POINT('Origin',(27.484634,18.221531,0.)); #527138=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #527139=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #527140=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #527141=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #527142=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #527143=CARTESIAN_POINT('Origin',(27.4786,18.251253,0.)); #527144=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #527145=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #527146=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #527147=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #527148=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #527149=CARTESIAN_POINT('Origin',(27.4786,18.4964,0.)); #527150=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #527151=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #527152=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #527153=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #527154=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #527155=CARTESIAN_POINT('Origin',(27.483722,18.523869,0.)); #527156=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #527157=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #527158=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #527159=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #527160=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #527161=CARTESIAN_POINT('Origin',(27.500066,18.549416,0.)); #527162=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #527163=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #527164=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #527165=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #527166=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #527167=CARTESIAN_POINT('Origin',(27.525078,18.566566,0.)); #527168=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #527169=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #527170=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #527171=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #527172=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #527173=CARTESIAN_POINT('Origin',(27.5548,18.5726,0.)); #527174=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #527175=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #527176=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #527177=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #527178=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #527179=CARTESIAN_POINT('Origin',(27.939034,18.5726,0.)); #527180=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #527181=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #527182=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #527183=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #527184=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #527185=CARTESIAN_POINT('Origin',(28.1894,18.822966,0.)); #527186=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #527187=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #527188=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #527189=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #527190=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #527191=CARTESIAN_POINT('Origin',(28.1894,19.177034,0.)); #527192=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #527193=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #527194=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #527195=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #527196=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #527197=CARTESIAN_POINT('Origin',(27.939034,19.4274,0.)); #527198=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #527199=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #527200=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #527201=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #527202=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #527203=CARTESIAN_POINT('Origin',(27.5548,19.4274,0.)); #527204=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #527205=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #527206=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #527207=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #527208=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #527209=CARTESIAN_POINT('Origin',(27.527331,19.432522,0.)); #527210=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #527211=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #527212=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #527213=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #527214=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #527215=CARTESIAN_POINT('Origin',(27.501784,19.448866,0.)); #527216=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #527217=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #527218=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #527219=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #527220=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #527221=CARTESIAN_POINT('Origin',(27.484634,19.473878,0.)); #527222=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #527223=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #527224=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #527225=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #527226=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #527227=CARTESIAN_POINT('Origin',(27.4786,19.5036,0.)); #527228=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #527229=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #527230=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #527231=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #527232=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #527233=CARTESIAN_POINT('Origin',(27.4786,23.967603,0.)); #527234=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #527235=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #527236=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #527237=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #527238=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #527239=CARTESIAN_POINT('Origin',(27.484169,23.9962,0.)); #527240=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #527241=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #527242=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #527243=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #527244=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #527245=CARTESIAN_POINT('Origin',(27.500919,24.021484,0.)); #527246=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #527247=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #527248=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #527249=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #527250=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #527251=CARTESIAN_POINT('Origin',(27.641319,24.161884,0.)); #527252=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #527253=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #527254=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #527255=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #527256=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #527257=CARTESIAN_POINT('Origin',(27.663256,24.177184,0.)); #527258=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #527259=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #527260=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #527261=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #527262=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #527263=CARTESIAN_POINT('Origin',(27.692772,24.184166,0.)); #527264=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #527265=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #527266=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #527267=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #527268=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #527269=CARTESIAN_POINT('Origin',(27.722669,24.179081,0.)); #527270=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #527271=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #527272=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #527273=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #527274=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #527275=CARTESIAN_POINT('Origin',(27.748216,24.162738,0.)); #527276=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #527277=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #527278=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #527279=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #527280=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #527281=CARTESIAN_POINT('Origin',(27.765366,24.137725,0.)); #527282=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #527283=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #527284=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #527285=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #527286=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #527287=CARTESIAN_POINT('Origin',(27.7714,24.108003,0.)); #527288=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #527289=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #527290=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #527291=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #527292=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #527293=CARTESIAN_POINT('Origin',(27.7714,19.814297,0.)); #527294=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #527295=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #527296=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #527297=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #527298=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #527299=CARTESIAN_POINT('Origin',(30.064297,17.5214,0.)); #527300=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #527301=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #527302=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #527303=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #527304=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #527305=CARTESIAN_POINT('Origin',(30.131509,17.5214,0.)); #527306=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #527307=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #527308=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #527309=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #527310=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #527311=CARTESIAN_POINT('Origin',(30.160106,17.515831,0.)); #527312=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #527313=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #527314=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #527315=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #527316=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #527317=CARTESIAN_POINT('Origin',(30.185391,17.499081,0.)); #527318=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #527319=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #527320=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #527321=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #527322=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #527323=CARTESIAN_POINT('Origin',(30.224372,17.4601,0.)); #527324=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #527325=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #527326=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #527327=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #527328=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #527329=CARTESIAN_POINT('Origin',(30.975628,17.4601,0.)); #527330=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #527331=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #527332=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #527333=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #527334=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #527335=CARTESIAN_POINT('Origin',(31.0649,17.549372,0.)); #527336=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #527337=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #527338=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #527339=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #527340=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #527341=CARTESIAN_POINT('Origin',(31.0649,17.952697,0.)); #527342=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #527343=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #527344=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #527345=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #527346=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #527347=CARTESIAN_POINT('Origin',(31.053609,17.969166,0.)); #527348=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #527349=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #527350=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #527351=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #527352=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #527353=CARTESIAN_POINT('Origin',(31.0471,17.998784,0.)); #527354=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #527355=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #527356=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #527357=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #527358=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #527359=CARTESIAN_POINT('Origin',(31.052659,18.028597,0.)); #527360=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #527361=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #527362=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #527363=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #527364=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #527365=CARTESIAN_POINT('Origin',(31.0649,18.047075,0.)); #527366=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #527367=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #527368=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #527369=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #527370=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #527371=CARTESIAN_POINT('Origin',(31.0649,18.452697,0.)); #527372=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #527373=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #527374=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #527375=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #527376=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #527377=CARTESIAN_POINT('Origin',(31.053609,18.469166,0.)); #527378=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #527379=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #527380=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #527381=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #527382=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #527383=CARTESIAN_POINT('Origin',(31.0471,18.498784,0.)); #527384=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #527385=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #527386=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #527387=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #527388=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #527389=CARTESIAN_POINT('Origin',(31.052659,18.528597,0.)); #527390=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #527391=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #527392=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #527393=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #527394=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #527395=CARTESIAN_POINT('Origin',(31.0649,18.547075,0.)); #527396=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #527397=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #527398=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #527399=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #527400=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #527401=CARTESIAN_POINT('Origin',(31.0649,18.952697,0.)); #527402=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #527403=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #527404=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #527405=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #527406=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #527407=CARTESIAN_POINT('Origin',(31.053609,18.969166,0.)); #527408=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #527409=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #527410=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #527411=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #527412=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #527413=CARTESIAN_POINT('Origin',(31.0471,18.998784,0.)); #527414=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #527415=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #527416=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #527417=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #527418=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #527419=CARTESIAN_POINT('Origin',(31.052659,19.028597,0.)); #527420=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #527421=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #527422=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #527423=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #527424=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #527425=CARTESIAN_POINT('Origin',(31.0649,19.047075,0.)); #527426=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #527427=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #527428=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #527429=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #527430=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #527431=CARTESIAN_POINT('Origin',(31.0649,19.452697,0.)); #527432=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #527433=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #527434=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #527435=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #527436=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #527437=CARTESIAN_POINT('Origin',(31.053609,19.469166,0.)); #527438=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #527439=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #527440=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #527441=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #527442=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #527443=CARTESIAN_POINT('Origin',(31.0471,19.498784,0.)); #527444=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #527445=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #527446=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #527447=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #527448=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #527449=CARTESIAN_POINT('Origin',(31.052659,19.528597,0.)); #527450=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #527451=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #527452=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #527453=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #527454=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #527455=CARTESIAN_POINT('Origin',(31.0649,19.547075,0.)); #527456=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #527457=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #527458=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #527459=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #527460=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #527461=CARTESIAN_POINT('Origin',(31.0649,19.8589,0.)); #527462=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #527463=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #527464=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #527465=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #527466=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #527467=CARTESIAN_POINT('Origin',(31.070022,19.886369,0.)); #527468=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #527469=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #527470=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #527471=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #527472=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #527473=CARTESIAN_POINT('Origin',(31.086366,19.911916,0.)); #527474=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #527475=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #527476=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #527477=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #527478=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #527479=CARTESIAN_POINT('Origin',(31.111378,19.929066,0.)); #527480=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #527481=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #527482=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #527483=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #527484=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #527485=CARTESIAN_POINT('Origin',(31.1411,19.9351,0.)); #527486=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #527487=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #527488=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #527489=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #527490=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #527491=CARTESIAN_POINT('Origin',(31.452697,19.9351,0.)); #527492=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #527493=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #527494=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #527495=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #527496=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #527497=CARTESIAN_POINT('Origin',(31.469166,19.946391,0.)); #527498=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #527499=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #527500=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #527501=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #527502=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #527503=CARTESIAN_POINT('Origin',(31.498784,19.9529,0.)); #527504=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #527505=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #527506=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #527507=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #527508=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #527509=CARTESIAN_POINT('Origin',(31.528597,19.947341,0.)); #527510=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #527511=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #527512=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #527513=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #527514=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #527515=CARTESIAN_POINT('Origin',(31.547075,19.9351,0.)); #527516=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #527517=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #527518=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #527519=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #527520=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #527521=CARTESIAN_POINT('Origin',(31.952697,19.9351,0.)); #527522=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #527523=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #527524=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #527525=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #527526=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #527527=CARTESIAN_POINT('Origin',(31.969166,19.946391,0.)); #527528=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #527529=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #527530=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #527531=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #527532=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #527533=CARTESIAN_POINT('Origin',(31.998784,19.9529,0.)); #527534=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #527535=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #527536=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #527537=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #527538=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #527539=CARTESIAN_POINT('Origin',(32.028597,19.947341,0.)); #527540=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #527541=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #527542=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #527543=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #527544=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #527545=CARTESIAN_POINT('Origin',(32.047075,19.9351,0.)); #527546=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #527547=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #527548=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #527549=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #527550=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #527551=CARTESIAN_POINT('Origin',(32.452697,19.9351,0.)); #527552=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #527553=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #527554=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #527555=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #527556=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #527557=CARTESIAN_POINT('Origin',(32.469166,19.946391,0.)); #527558=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #527559=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #527560=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #527561=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #527562=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #527563=CARTESIAN_POINT('Origin',(32.498784,19.9529,0.)); #527564=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #527565=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #527566=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #527567=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #527568=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #527569=CARTESIAN_POINT('Origin',(32.528597,19.947341,0.)); #527570=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #527571=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #527572=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #527573=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #527574=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #527575=CARTESIAN_POINT('Origin',(32.547075,19.9351,0.)); #527576=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #527577=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #527578=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #527579=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #527580=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #527581=CARTESIAN_POINT('Origin',(32.952697,19.9351,0.)); #527582=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #527583=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #527584=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #527585=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #527586=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #527587=CARTESIAN_POINT('Origin',(32.969166,19.946391,0.)); #527588=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #527589=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #527590=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #527591=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #527592=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #527593=CARTESIAN_POINT('Origin',(32.998784,19.9529,0.)); #527594=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #527595=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #527596=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #527597=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #527598=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #527599=CARTESIAN_POINT('Origin',(33.028597,19.947341,0.)); #527600=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #527601=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #527602=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #527603=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #527604=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #527605=CARTESIAN_POINT('Origin',(33.047075,19.9351,0.)); #527606=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #527607=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #527608=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #527609=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #527610=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #527611=CARTESIAN_POINT('Origin',(33.452697,19.9351,0.)); #527612=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #527613=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #527614=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #527615=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #527616=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #527617=CARTESIAN_POINT('Origin',(33.469166,19.946391,0.)); #527618=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #527619=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #527620=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #527621=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #527622=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #527623=CARTESIAN_POINT('Origin',(33.498784,19.9529,0.)); #527624=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #527625=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #527626=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #527627=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #527628=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #527629=CARTESIAN_POINT('Origin',(33.528597,19.947341,0.)); #527630=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #527631=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #527632=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #527633=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #527634=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #527635=CARTESIAN_POINT('Origin',(33.547075,19.9351,0.)); #527636=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #527637=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #527638=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #527639=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #527640=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #527641=CARTESIAN_POINT('Origin',(33.952697,19.9351,0.)); #527642=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #527643=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #527644=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #527645=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #527646=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #527647=CARTESIAN_POINT('Origin',(33.969166,19.946391,0.)); #527648=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #527649=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #527650=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #527651=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #527652=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #527653=CARTESIAN_POINT('Origin',(33.998784,19.9529,0.)); #527654=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #527655=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #527656=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #527657=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #527658=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #527659=CARTESIAN_POINT('Origin',(34.028597,19.947341,0.)); #527660=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #527661=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #527662=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #527663=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #527664=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #527665=CARTESIAN_POINT('Origin',(34.047075,19.9351,0.)); #527666=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #527667=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #527668=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #527669=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #527670=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #527671=CARTESIAN_POINT('Origin',(34.452697,19.9351,0.)); #527672=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #527673=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #527674=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #527675=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #527676=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #527677=CARTESIAN_POINT('Origin',(34.469166,19.946391,0.)); #527678=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #527679=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #527680=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #527681=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #527682=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #527683=CARTESIAN_POINT('Origin',(34.498784,19.9529,0.)); #527684=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #527685=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #527686=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #527687=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #527688=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #527689=CARTESIAN_POINT('Origin',(34.528597,19.947341,0.)); #527690=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #527691=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #527692=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #527693=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #527694=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #527695=CARTESIAN_POINT('Origin',(34.547075,19.9351,0.)); #527696=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #527697=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #527698=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #527699=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #527700=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #527701=CARTESIAN_POINT('Origin',(34.952697,19.9351,0.)); #527702=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #527703=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #527704=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #527705=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #527706=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #527707=CARTESIAN_POINT('Origin',(34.969166,19.946391,0.)); #527708=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #527709=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #527710=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #527711=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #527712=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #527713=CARTESIAN_POINT('Origin',(34.998784,19.9529,0.)); #527714=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #527715=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #527716=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #527717=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #527718=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #527719=CARTESIAN_POINT('Origin',(35.028597,19.947341,0.)); #527720=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #527721=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #527722=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #527723=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #527724=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #527725=CARTESIAN_POINT('Origin',(35.047075,19.9351,0.)); #527726=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #527727=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #527728=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #527729=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #527730=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #527731=CARTESIAN_POINT('Origin',(35.452697,19.9351,0.)); #527732=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #527733=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #527734=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #527735=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #527736=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #527737=CARTESIAN_POINT('Origin',(35.469166,19.946391,0.)); #527738=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #527739=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #527740=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #527741=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #527742=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #527743=CARTESIAN_POINT('Origin',(35.498784,19.9529,0.)); #527744=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #527745=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #527746=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #527747=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #527748=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #527749=CARTESIAN_POINT('Origin',(35.528597,19.947341,0.)); #527750=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #527751=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #527752=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #527753=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #527754=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #527755=CARTESIAN_POINT('Origin',(35.547075,19.9351,0.)); #527756=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #527757=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #527758=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #527759=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #527760=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #527761=CARTESIAN_POINT('Origin',(35.952697,19.9351,0.)); #527762=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #527763=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #527764=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #527765=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #527766=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #527767=CARTESIAN_POINT('Origin',(35.969166,19.946391,0.)); #527768=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #527769=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #527770=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #527771=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #527772=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #527773=CARTESIAN_POINT('Origin',(35.998784,19.9529,0.)); #527774=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #527775=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #527776=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #527777=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #527778=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #527779=CARTESIAN_POINT('Origin',(36.028597,19.947341,0.)); #527780=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #527781=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #527782=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #527783=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #527784=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #527785=CARTESIAN_POINT('Origin',(36.047075,19.9351,0.)); #527786=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #527787=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #527788=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #527789=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #527790=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #527791=CARTESIAN_POINT('Origin',(36.452697,19.9351,0.)); #527792=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #527793=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #527794=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #527795=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #527796=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #527797=CARTESIAN_POINT('Origin',(36.469166,19.946391,0.)); #527798=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #527799=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #527800=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #527801=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #527802=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #527803=CARTESIAN_POINT('Origin',(36.498784,19.9529,0.)); #527804=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #527805=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #527806=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #527807=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #527808=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #527809=CARTESIAN_POINT('Origin',(36.528597,19.947341,0.)); #527810=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #527811=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #527812=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #527813=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #527814=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #527815=CARTESIAN_POINT('Origin',(36.547075,19.9351,0.)); #527816=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #527817=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #527818=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #527819=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #527820=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #527821=CARTESIAN_POINT('Origin',(36.8589,19.9351,0.)); #527822=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #527823=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #527824=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #527825=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #527826=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #527827=CARTESIAN_POINT('Origin',(36.886369,19.929978,0.)); #527828=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #527829=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #527830=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #527831=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #527832=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #527833=CARTESIAN_POINT('Origin',(36.911916,19.913634,0.)); #527834=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #527835=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #527836=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #527837=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #527838=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #527839=CARTESIAN_POINT('Origin',(36.929066,19.888622,0.)); #527840=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #527841=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #527842=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #527843=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #527844=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #527845=CARTESIAN_POINT('Origin',(36.9351,19.8589,0.)); #527846=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #527847=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #527848=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #527849=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #527850=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #527851=CARTESIAN_POINT('Origin',(36.9351,19.549372,0.)); #527852=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #527853=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #527854=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #527855=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #527856=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #527857=CARTESIAN_POINT('Origin',(37.024372,19.4601,0.)); #527858=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #527859=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #527860=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #527861=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #527862=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #527863=CARTESIAN_POINT('Origin',(37.775628,19.4601,0.)); #527864=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #527865=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #527866=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #527867=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #527868=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #527869=CARTESIAN_POINT('Origin',(37.814609,19.499081,0.)); #527870=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #527871=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #527872=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #527873=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #527874=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #527875=CARTESIAN_POINT('Origin',(37.838769,19.515366,0.)); #527876=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #527877=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #527878=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #527879=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #527880=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #527881=CARTESIAN_POINT('Origin',(37.868491,19.5214,0.)); #527882=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #527883=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #527884=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #527885=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #527886=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #527887=CARTESIAN_POINT('Origin',(38.983003,19.5214,0.)); #527888=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #527889=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #527890=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #527891=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #527892=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #527893=CARTESIAN_POINT('Origin',(39.009334,19.516706,0.)); #527894=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #527895=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #527896=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #527897=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #527898=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #527899=CARTESIAN_POINT('Origin',(39.035141,19.500772,0.)); #527900=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #527901=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #527902=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #527903=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #527904=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #527905=CARTESIAN_POINT('Origin',(39.052684,19.476034,0.)); #527906=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #527907=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #527908=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #527909=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #527910=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #527911=CARTESIAN_POINT('Origin',(39.059194,19.446416,0.)); #527912=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #527913=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #527914=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #527915=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #527916=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #527917=CARTESIAN_POINT('Origin',(39.053634,19.416603,0.)); #527918=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #527919=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #527920=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #527921=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #527922=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #527923=CARTESIAN_POINT('Origin',(39.036884,19.391319,0.)); #527924=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #527925=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #527926=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #527927=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #527928=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #527929=CARTESIAN_POINT('Origin',(38.896484,19.250919,0.)); #527930=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #527931=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #527932=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #527933=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #527934=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #527935=CARTESIAN_POINT('Origin',(38.872325,19.234634,0.)); #527936=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #527937=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #527938=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #527939=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #527940=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #527941=CARTESIAN_POINT('Origin',(38.842603,19.2286,0.)); #527942=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #527943=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #527944=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #527945=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #527946=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #527947=CARTESIAN_POINT('Origin',(38.405309,19.2286,0.)); #527948=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #527949=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #527950=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #527951=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #527952=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #527953=CARTESIAN_POINT('Origin',(38.177628,19.000919,0.)); #527954=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #527955=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #527956=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #527957=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #527958=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #527959=CARTESIAN_POINT('Origin',(38.153469,18.984634,0.)); #527960=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #527961=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #527962=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #527963=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #527964=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #527965=CARTESIAN_POINT('Origin',(38.123747,18.9786,0.)); #527966=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #527967=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #527968=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #527969=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #527970=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #527971=CARTESIAN_POINT('Origin',(37.868491,18.9786,0.)); #527972=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #527973=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #527974=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #527975=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #527976=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #527977=CARTESIAN_POINT('Origin',(37.839894,18.984169,0.)); #527978=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #527979=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #527980=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #527981=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #527982=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #527983=CARTESIAN_POINT('Origin',(37.814609,19.000919,0.)); #527984=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #527985=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #527986=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #527987=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #527988=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #527989=CARTESIAN_POINT('Origin',(37.775628,19.0399,0.)); #527990=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #527991=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #527992=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #527993=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #527994=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #527995=CARTESIAN_POINT('Origin',(37.024372,19.0399,0.)); #527996=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #527997=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #527998=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #527999=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #528000=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #528001=CARTESIAN_POINT('Origin',(36.9351,18.950628,0.)); #528002=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #528003=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #528004=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #528005=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #528006=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #528007=CARTESIAN_POINT('Origin',(36.9351,18.547303,0.)); #528008=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #528009=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #528010=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #528011=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #528012=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #528013=CARTESIAN_POINT('Origin',(36.946391,18.530834,0.)); #528014=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #528015=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #528016=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #528017=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #528018=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #528019=CARTESIAN_POINT('Origin',(36.9529,18.501216,0.)); #528020=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #528021=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #528022=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #528023=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #528024=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #528025=CARTESIAN_POINT('Origin',(36.947341,18.471403,0.)); #528026=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #528027=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #528028=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #528029=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #528030=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #528031=CARTESIAN_POINT('Origin',(36.9351,18.452925,0.)); #528032=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #528033=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #528034=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #528035=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #528036=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #528037=CARTESIAN_POINT('Origin',(36.9351,18.047303,0.)); #528038=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #528039=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #528040=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #528041=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #528042=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #528043=CARTESIAN_POINT('Origin',(36.946391,18.030834,0.)); #528044=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #528045=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #528046=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #528047=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #528048=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #528049=CARTESIAN_POINT('Origin',(36.9529,18.001216,0.)); #528050=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #528051=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #528052=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #528053=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #528054=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #528055=CARTESIAN_POINT('Origin',(36.947341,17.971403,0.)); #528056=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #528057=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #528058=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #528059=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #528060=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #528061=CARTESIAN_POINT('Origin',(36.9351,17.952925,0.)); #528062=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #528063=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #528064=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #528065=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #528066=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #528067=CARTESIAN_POINT('Origin',(36.9351,17.547303,0.)); #528068=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #528069=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #528070=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #528071=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #528072=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #528073=CARTESIAN_POINT('Origin',(36.946391,17.530834,0.)); #528074=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #528075=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #528076=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #528077=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #528078=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #528079=CARTESIAN_POINT('Origin',(36.9529,17.501216,0.)); #528080=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #528081=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #528082=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #528083=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #528084=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #528085=CARTESIAN_POINT('Origin',(36.947341,17.471403,0.)); #528086=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #528087=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #528088=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #528089=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #528090=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #528091=CARTESIAN_POINT('Origin',(36.9351,17.452925,0.)); #528092=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #528093=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #528094=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #528095=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #528096=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #528097=CARTESIAN_POINT('Origin',(36.9351,17.047303,0.)); #528098=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #528099=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #528100=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #528101=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #528102=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #528103=CARTESIAN_POINT('Origin',(36.946391,17.030834,0.)); #528104=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #528105=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #528106=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #528107=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #528108=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #528109=CARTESIAN_POINT('Origin',(36.9529,17.001216,0.)); #528110=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #528111=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #528112=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #528113=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #528114=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #528115=CARTESIAN_POINT('Origin',(36.947341,16.971403,0.)); #528116=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #528117=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #528118=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #528119=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #528120=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #528121=CARTESIAN_POINT('Origin',(36.9351,16.952925,0.)); #528122=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #528123=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #528124=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #528125=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #528126=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #528127=CARTESIAN_POINT('Origin',(36.9351,16.547303,0.)); #528128=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #528129=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #528130=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #528131=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #528132=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #528133=CARTESIAN_POINT('Origin',(36.946391,16.530834,0.)); #528134=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #528135=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #528136=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #528137=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #528138=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #528139=CARTESIAN_POINT('Origin',(36.9529,16.501216,0.)); #528140=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #528141=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #528142=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #528143=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #528144=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #528145=CARTESIAN_POINT('Origin',(36.947341,16.471403,0.)); #528146=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #528147=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #528148=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #528149=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #528150=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #528151=CARTESIAN_POINT('Origin',(36.9351,16.452925,0.)); #528152=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #528153=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #528154=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #528155=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #528156=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #528157=CARTESIAN_POINT('Origin',(36.9351,16.047303,0.)); #528158=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #528159=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #528160=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #528161=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #528162=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #528163=CARTESIAN_POINT('Origin',(36.946391,16.030834,0.)); #528164=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #528165=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #528166=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #528167=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #528168=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #528169=CARTESIAN_POINT('Origin',(36.9529,16.001216,0.)); #528170=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #528171=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #528172=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #528173=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #528174=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #528175=CARTESIAN_POINT('Origin',(36.947341,15.971403,0.)); #528176=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #528177=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #528178=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #528179=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #528180=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #528181=CARTESIAN_POINT('Origin',(36.9351,15.952925,0.)); #528182=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #528183=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #528184=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #528185=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #528186=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #528187=CARTESIAN_POINT('Origin',(36.9351,15.547303,0.)); #528188=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #528189=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #528190=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #528191=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #528192=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #528193=CARTESIAN_POINT('Origin',(36.946391,15.530834,0.)); #528194=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #528195=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #528196=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #528197=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #528198=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #528199=CARTESIAN_POINT('Origin',(36.9529,15.501216,0.)); #528200=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #528201=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #528202=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #528203=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #528204=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #528205=CARTESIAN_POINT('Origin',(36.947341,15.471403,0.)); #528206=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #528207=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #528208=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #528209=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #528210=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #528211=CARTESIAN_POINT('Origin',(36.9351,15.452925,0.)); #528212=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #528213=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #528214=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #528215=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #528216=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #528217=CARTESIAN_POINT('Origin',(36.9351,15.047303,0.)); #528218=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #528219=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #528220=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #528221=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #528222=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #528223=CARTESIAN_POINT('Origin',(36.946391,15.030834,0.)); #528224=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #528225=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #528226=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #528227=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #528228=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #528229=CARTESIAN_POINT('Origin',(36.9529,15.001216,0.)); #528230=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #528231=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #528232=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #528233=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #528234=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #528235=CARTESIAN_POINT('Origin',(36.947341,14.971403,0.)); #528236=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #528237=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #528238=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #528239=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #528240=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #528241=CARTESIAN_POINT('Origin',(36.9351,14.952925,0.)); #528242=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #528243=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #528244=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #528245=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #528246=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #528247=CARTESIAN_POINT('Origin',(36.9351,14.547303,0.)); #528248=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #528249=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #528250=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #528251=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #528252=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #528253=CARTESIAN_POINT('Origin',(36.946391,14.530834,0.)); #528254=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #528255=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #528256=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #528257=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #528258=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #528259=CARTESIAN_POINT('Origin',(36.9529,14.501216,0.)); #528260=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #528261=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #528262=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #528263=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #528264=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #528265=CARTESIAN_POINT('Origin',(36.947341,14.471403,0.)); #528266=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #528267=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #528268=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #528269=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #528270=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #528271=CARTESIAN_POINT('Origin',(36.9351,14.452925,0.)); #528272=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #528273=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #528274=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #528275=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #528276=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #528277=CARTESIAN_POINT('Origin',(36.9351,14.169181,0.)); #528278=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #528279=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #528280=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #528281=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #528282=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #528283=CARTESIAN_POINT('Origin',(36.933344,14.152916,0.)); #528284=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #528285=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #528286=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #528287=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #528288=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #528289=CARTESIAN_POINT('Origin',(36.921103,14.125169,0.)); #528290=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #528291=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #528292=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #528293=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #528294=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #528295=CARTESIAN_POINT('Origin',(36.911691,14.111866,0.)); #528296=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #528297=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #528298=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #528299=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #528300=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #528301=CARTESIAN_POINT('Origin',(36.911397,14.111178,0.)); #528302=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #528303=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #528304=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #528305=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #528306=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #528307=CARTESIAN_POINT('Origin',(36.896053,14.088084,0.)); #528308=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #528309=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #528310=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #528311=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #528312=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #528313=CARTESIAN_POINT('Origin',(36.871041,14.070934,0.)); #528314=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #528315=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #528316=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #528317=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #528318=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #528319=CARTESIAN_POINT('Origin',(36.841319,14.0649,0.)); #528320=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #528321=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #528322=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #528323=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #528324=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #528325=CARTESIAN_POINT('Origin',(36.547303,14.0649,0.)); #528326=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #528327=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #528328=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #528329=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #528330=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #528331=CARTESIAN_POINT('Origin',(36.530834,14.053609,0.)); #528332=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #528333=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #528334=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.035)); #528335=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.)); #528336=CARTESIAN_POINT('Origin',(38.001216,37.592863,0.)); #528337=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #528338=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #528339=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #528340=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #528341=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #528342=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #528343=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #528344=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #528345=CARTESIAN_POINT('Origin',(37.971403,37.598422,0.)); #528346=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #528347=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #528348=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #528349=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #528350=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #528351=CARTESIAN_POINT('Origin',(37.946119,37.615172,0.)); #528352=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #528353=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #528354=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #528355=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #528356=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #528357=CARTESIAN_POINT('Origin',(37.831819,37.729472,0.)); #528358=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #528359=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #528360=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #528361=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #528362=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #528363=CARTESIAN_POINT('Origin',(37.815534,37.753631,0.)); #528364=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #528365=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #528366=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #528367=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #528368=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #528369=CARTESIAN_POINT('Origin',(37.8095,37.783353,0.)); #528370=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #528371=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #528372=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #528373=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #528374=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #528375=CARTESIAN_POINT('Origin',(37.8095,38.216647,0.)); #528376=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #528377=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #528378=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #528379=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #528380=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #528381=CARTESIAN_POINT('Origin',(37.815069,38.245244,0.)); #528382=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #528383=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #528384=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #528385=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #528386=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #528387=CARTESIAN_POINT('Origin',(37.831819,38.270528,0.)); #528388=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #528389=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #528390=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #528391=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #528392=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #528393=CARTESIAN_POINT('Origin',(38.060419,38.499128,0.)); #528394=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #528395=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #528396=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #528397=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #528398=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #528399=CARTESIAN_POINT('Origin',(38.082356,38.514428,0.)); #528400=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #528401=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #528402=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #528403=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #528404=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #528405=CARTESIAN_POINT('Origin',(38.111872,38.521409,0.)); #528406=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #528407=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #528408=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #528409=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #528410=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #528411=CARTESIAN_POINT('Origin',(38.141769,38.516325,0.)); #528412=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #528413=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #528414=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #528415=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #528416=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #528417=CARTESIAN_POINT('Origin',(38.167316,38.499981,0.)); #528418=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #528419=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #528420=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #528421=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #528422=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #528423=CARTESIAN_POINT('Origin',(38.184466,38.474969,0.)); #528424=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #528425=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #528426=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #528427=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #528428=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #528429=CARTESIAN_POINT('Origin',(38.1905,38.445247,0.)); #528430=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #528431=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #528432=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #528433=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #528434=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #528435=CARTESIAN_POINT('Origin',(38.1905,37.783353,0.)); #528436=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #528437=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #528438=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #528439=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #528440=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #528441=CARTESIAN_POINT('Origin',(38.184931,37.754756,0.)); #528442=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #528443=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #528444=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #528445=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #528446=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #528447=CARTESIAN_POINT('Origin',(38.168181,37.729472,0.)); #528448=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #528449=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #528450=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #528451=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #528452=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #528453=CARTESIAN_POINT('Origin',(38.053881,37.615172,0.)); #528454=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #528455=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #528456=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #528457=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #528458=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #528459=CARTESIAN_POINT('Origin',(38.030834,37.599372,0.)); #528460=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #528461=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #528462=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.035)); #528463=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.)); #528464=CARTESIAN_POINT('Origin',(38.842603,18.4786,0.)); #528465=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #528466=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #528467=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #528468=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #528469=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #528470=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #528471=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #528472=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #528473=CARTESIAN_POINT('Origin',(38.485853,18.4786,0.)); #528474=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #528475=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #528476=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #528477=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #528478=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #528479=CARTESIAN_POINT('Origin',(38.459522,18.483294,0.)); #528480=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #528481=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #528482=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #528483=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #528484=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #528485=CARTESIAN_POINT('Origin',(38.433716,18.499228,0.)); #528486=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #528487=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #528488=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #528489=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #528490=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #528491=CARTESIAN_POINT('Origin',(38.416172,18.523966,0.)); #528492=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #528493=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #528494=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #528495=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #528496=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #528497=CARTESIAN_POINT('Origin',(38.409663,18.553584,0.)); #528498=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #528499=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #528500=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #528501=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #528502=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #528503=CARTESIAN_POINT('Origin',(38.415222,18.583397,0.)); #528504=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #528505=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #528506=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #528507=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #528508=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #528509=CARTESIAN_POINT('Origin',(38.431972,18.608681,0.)); #528510=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #528511=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #528512=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #528513=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #528514=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #528515=CARTESIAN_POINT('Origin',(38.572372,18.749081,0.)); #528516=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #528517=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #528518=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #528519=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #528520=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #528521=CARTESIAN_POINT('Origin',(38.596531,18.765366,0.)); #528522=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #528523=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #528524=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #528525=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #528526=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #528527=CARTESIAN_POINT('Origin',(38.626253,18.7714,0.)); #528528=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #528529=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #528530=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #528531=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #528532=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #528533=CARTESIAN_POINT('Origin',(38.842603,18.7714,0.)); #528534=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #528535=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #528536=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #528537=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #528538=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #528539=CARTESIAN_POINT('Origin',(38.8712,18.765831,0.)); #528540=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #528541=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #528542=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #528543=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #528544=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #528545=CARTESIAN_POINT('Origin',(38.896484,18.749081,0.)); #528546=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #528547=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #528548=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #528549=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #528550=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #528551=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #528552=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #528553=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #528554=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #528555=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #528556=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #528557=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #528558=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #528559=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #528560=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #528561=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #528562=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #528563=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #528564=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #528565=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #528566=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #528567=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #528568=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #528569=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #528570=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #528571=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #528572=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #528573=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #528574=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #528575=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #528576=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #528577=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #528578=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #528579=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #528580=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #528581=CARTESIAN_POINT('Origin',(38.896484,18.500919,0.)); #528582=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #528583=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #528584=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #528585=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #528586=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #528587=CARTESIAN_POINT('Origin',(38.872325,18.484634,0.)); #528588=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #528589=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #528590=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.035)); #528591=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.)); #528592=CARTESIAN_POINT('Origin',(36.732178,31.7493,0.)); #528593=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #528594=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #528595=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #528596=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #528597=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #528598=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #528599=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #528600=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #528601=CARTESIAN_POINT('Origin',(36.7046,31.7493,0.)); #528602=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #528603=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #528604=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #528605=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #528606=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #528607=CARTESIAN_POINT('Origin',(36.677131,31.754422,0.)); #528608=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #528609=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #528610=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #528611=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #528612=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #528613=CARTESIAN_POINT('Origin',(36.651584,31.770766,0.)); #528614=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #528615=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #528616=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #528617=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #528618=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #528619=CARTESIAN_POINT('Origin',(36.634434,31.795778,0.)); #528620=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #528621=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #528622=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #528623=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #528624=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #528625=CARTESIAN_POINT('Origin',(36.6284,31.8255,0.)); #528626=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #528627=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #528628=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #528629=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #528630=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #528631=CARTESIAN_POINT('Origin',(36.6284,31.853078,0.)); #528632=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #528633=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #528634=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #528635=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #528636=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #528637=CARTESIAN_POINT('Origin',(36.633094,31.879409,0.)); #528638=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #528639=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #528640=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #528641=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #528642=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #528643=CARTESIAN_POINT('Origin',(36.649028,31.905216,0.)); #528644=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #528645=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #528646=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #528647=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #528648=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #528649=CARTESIAN_POINT('Origin',(36.673766,31.922759,0.)); #528650=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #528651=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #528652=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #528653=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #528654=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #528655=CARTESIAN_POINT('Origin',(36.703384,31.929269,0.)); #528656=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #528657=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #528658=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #528659=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #528660=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #528661=CARTESIAN_POINT('Origin',(36.733197,31.923709,0.)); #528662=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #528663=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #528664=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #528665=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #528666=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #528667=CARTESIAN_POINT('Origin',(36.758481,31.906959,0.)); #528668=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #528669=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #528670=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #528671=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #528672=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #528673=CARTESIAN_POINT('Origin',(36.786059,31.879381,0.)); #528674=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #528675=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #528676=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #528677=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #528678=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #528679=CARTESIAN_POINT('Origin',(36.801359,31.857444,0.)); #528680=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #528681=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #528682=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #528683=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #528684=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #528685=CARTESIAN_POINT('Origin',(36.808341,31.827928,0.)); #528686=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #528687=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #528688=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #528689=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #528690=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #528691=CARTESIAN_POINT('Origin',(36.803256,31.798031,0.)); #528692=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #528693=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #528694=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #528695=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #528696=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #528697=CARTESIAN_POINT('Origin',(36.786912,31.772484,0.)); #528698=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #528699=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #528700=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #528701=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #528702=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #528703=CARTESIAN_POINT('Origin',(36.7619,31.755334,0.)); #528704=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #528705=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #528706=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.035)); #528707=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.)); #528708=CARTESIAN_POINT('Origin',(30.060116,18.20495,0.)); #528709=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #528710=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #528711=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #528712=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #528713=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #528714=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #528715=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #528716=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #528717=CARTESIAN_POINT('Origin',(30.030303,18.210509,0.)); #528718=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #528719=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #528720=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #528721=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #528722=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #528723=CARTESIAN_POINT('Origin',(30.005019,18.227259,0.)); #528724=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #528725=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #528726=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #528727=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #528728=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #528729=CARTESIAN_POINT('Origin',(29.840959,18.391319,0.)); #528730=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #528731=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #528732=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #528733=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #528734=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #528735=CARTESIAN_POINT('Origin',(29.825659,18.413256,0.)); #528736=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #528737=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #528738=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #528739=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #528740=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #528741=CARTESIAN_POINT('Origin',(29.818678,18.442772,0.)); #528742=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #528743=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #528744=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #528745=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #528746=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #528747=CARTESIAN_POINT('Origin',(29.823763,18.472669,0.)); #528748=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #528749=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #528750=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #528751=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #528752=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #528753=CARTESIAN_POINT('Origin',(29.840106,18.498216,0.)); #528754=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #528755=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #528756=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #528757=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #528758=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #528759=CARTESIAN_POINT('Origin',(29.865119,18.515366,0.)); #528760=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #528761=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #528762=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #528763=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #528764=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #528765=CARTESIAN_POINT('Origin',(29.894841,18.5214,0.)); #528766=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #528767=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #528768=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #528769=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #528770=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #528771=CARTESIAN_POINT('Origin',(30.0589,18.5214,0.)); #528772=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #528773=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #528774=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #528775=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #528776=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #528777=CARTESIAN_POINT('Origin',(30.086369,18.516278,0.)); #528778=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #528779=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #528780=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #528781=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #528782=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #528783=CARTESIAN_POINT('Origin',(30.111916,18.499934,0.)); #528784=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #528785=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #528786=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #528787=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #528788=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #528789=CARTESIAN_POINT('Origin',(30.129066,18.474922,0.)); #528790=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #528791=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #528792=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #528793=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #528794=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #528795=CARTESIAN_POINT('Origin',(30.1351,18.4452,0.)); #528796=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #528797=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #528798=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #528799=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #528800=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #528801=CARTESIAN_POINT('Origin',(30.1351,18.281141,0.)); #528802=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #528803=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #528804=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #528805=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #528806=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #528807=CARTESIAN_POINT('Origin',(30.130406,18.254809,0.)); #528808=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #528809=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #528810=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #528811=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #528812=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #528813=CARTESIAN_POINT('Origin',(30.114472,18.229003,0.)); #528814=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #528815=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #528816=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #528817=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #528818=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #528819=CARTESIAN_POINT('Origin',(30.089734,18.211459,0.)); #528820=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #528821=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #528822=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.035)); #528823=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.)); #528824=CARTESIAN_POINT('Origin',(34.307228,58.909691,0.)); #528825=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #528826=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #528827=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #528828=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #528829=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #528830=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #528831=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #528832=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #528833=CARTESIAN_POINT('Origin',(34.277331,58.914775,0.)); #528834=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #528835=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #528836=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #528837=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #528838=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #528839=CARTESIAN_POINT('Origin',(34.251784,58.931119,0.)); #528840=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #528841=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #528842=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #528843=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #528844=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #528845=CARTESIAN_POINT('Origin',(34.234634,58.956131,0.)); #528846=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #528847=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #528848=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #528849=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #528850=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #528851=CARTESIAN_POINT('Origin',(34.2286,58.985853,0.)); #528852=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #528853=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #528854=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #528855=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #528856=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #528857=CARTESIAN_POINT('Origin',(34.2286,59.5769,0.)); #528858=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #528859=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #528860=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #528861=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #528862=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #528863=CARTESIAN_POINT('Origin',(34.233722,59.604369,0.)); #528864=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #528865=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #528866=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #528867=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #528868=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #528869=CARTESIAN_POINT('Origin',(34.250066,59.629916,0.)); #528870=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #528871=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #528872=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #528873=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #528874=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #528875=CARTESIAN_POINT('Origin',(34.275078,59.647066,0.)); #528876=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #528877=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #528878=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #528879=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #528880=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #528881=CARTESIAN_POINT('Origin',(34.3048,59.6531,0.)); #528882=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #528883=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #528884=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #528885=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #528886=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #528887=CARTESIAN_POINT('Origin',(34.895847,59.6531,0.)); #528888=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #528889=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #528890=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #528891=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #528892=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #528893=CARTESIAN_POINT('Origin',(34.922178,59.648406,0.)); #528894=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #528895=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #528896=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #528897=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #528898=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #528899=CARTESIAN_POINT('Origin',(34.947984,59.632472,0.)); #528900=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #528901=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #528902=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #528903=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #528904=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #528905=CARTESIAN_POINT('Origin',(34.965528,59.607734,0.)); #528906=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #528907=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #528908=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #528909=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #528910=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #528911=CARTESIAN_POINT('Origin',(34.972037,59.578116,0.)); #528912=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #528913=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #528914=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #528915=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #528916=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #528917=CARTESIAN_POINT('Origin',(34.966478,59.548303,0.)); #528918=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #528919=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #528920=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #528921=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #528922=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #528923=CARTESIAN_POINT('Origin',(34.949728,59.523019,0.)); #528924=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #528925=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #528926=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #528927=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #528928=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #528929=CARTESIAN_POINT('Origin',(34.358681,58.931972,0.)); #528930=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #528931=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #528932=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #528933=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #528934=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #528935=CARTESIAN_POINT('Origin',(34.336744,58.916672,0.)); #528936=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #528937=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #528938=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.035)); #528939=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.)); #528940=CARTESIAN_POINT('Origin',(28.446416,25.19,0.)); #528941=CARTESIAN_POINT('',(28.446416,25.19,0.)); #528942=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #528943=CARTESIAN_POINT('',(28.446416,25.19,0.)); #528944=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #528945=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #528946=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #528947=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #528948=CARTESIAN_POINT('',(28.446416,25.19,0.)); #528949=CARTESIAN_POINT('Origin',(28.416603,25.195559,0.)); #528950=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #528951=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #528952=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #528953=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #528954=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #528955=CARTESIAN_POINT('Origin',(28.391319,25.212309,0.)); #528956=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #528957=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #528958=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #528959=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #528960=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #528961=CARTESIAN_POINT('Origin',(27.375028,26.2286,0.)); #528962=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #528963=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #528964=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #528965=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #528966=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #528967=CARTESIAN_POINT('Origin',(25.391997,26.2286,0.)); #528968=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #528969=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #528970=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #528971=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #528972=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #528973=CARTESIAN_POINT('Origin',(25.365666,26.233294,0.)); #528974=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #528975=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #528976=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #528977=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #528978=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #528979=CARTESIAN_POINT('Origin',(25.339859,26.249228,0.)); #528980=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #528981=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #528982=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #528983=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #528984=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #528985=CARTESIAN_POINT('Origin',(25.322316,26.273966,0.)); #528986=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #528987=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #528988=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #528989=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #528990=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #528991=CARTESIAN_POINT('Origin',(25.315806,26.303584,0.)); #528992=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #528993=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #528994=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #528995=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #528996=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #528997=CARTESIAN_POINT('Origin',(25.321366,26.333397,0.)); #528998=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #528999=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #529000=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #529001=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #529002=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #529003=CARTESIAN_POINT('Origin',(25.338116,26.358681,0.)); #529004=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #529005=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #529006=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #529007=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #529008=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #529009=CARTESIAN_POINT('Origin',(25.4274,26.447966,0.)); #529010=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #529011=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #529012=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #529013=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #529014=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #529015=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #529016=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #529017=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #529018=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #529019=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #529020=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #529021=CARTESIAN_POINT('Origin',(25.250919,26.978516,0.)); #529022=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #529023=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #529024=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #529025=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #529026=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #529027=CARTESIAN_POINT('Origin',(25.234634,27.002675,0.)); #529028=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #529029=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #529030=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #529031=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #529032=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #529033=CARTESIAN_POINT('Origin',(25.2286,27.032397,0.)); #529034=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #529035=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #529036=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #529037=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #529038=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #529039=CARTESIAN_POINT('Origin',(25.2286,27.5903,0.)); #529040=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #529041=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #529042=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #529043=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #529044=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #529045=CARTESIAN_POINT('Origin',(25.233722,27.617769,0.)); #529046=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #529047=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #529048=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #529049=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #529050=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #529051=CARTESIAN_POINT('Origin',(25.250066,27.643316,0.)); #529052=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #529053=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #529054=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #529055=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #529056=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #529057=CARTESIAN_POINT('Origin',(25.275078,27.660466,0.)); #529058=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #529059=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #529060=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #529061=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #529062=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #529063=CARTESIAN_POINT('Origin',(25.3048,27.6665,0.)); #529064=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #529065=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #529066=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #529067=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #529068=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #529069=CARTESIAN_POINT('Origin',(25.507628,27.6665,0.)); #529070=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #529071=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #529072=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #529073=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #529074=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #529075=CARTESIAN_POINT('Origin',(25.5969,27.755772,0.)); #529076=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #529077=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #529078=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #529079=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #529080=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #529081=CARTESIAN_POINT('Origin',(25.5969,28.645847,0.)); #529082=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #529083=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #529084=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #529085=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #529086=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #529087=CARTESIAN_POINT('Origin',(25.601594,28.672178,0.)); #529088=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #529089=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #529090=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #529091=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #529092=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #529093=CARTESIAN_POINT('Origin',(25.617528,28.697984,0.)); #529094=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #529095=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #529096=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #529097=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #529098=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #529099=CARTESIAN_POINT('Origin',(25.642266,28.715528,0.)); #529100=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #529101=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #529102=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #529103=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #529104=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #529105=CARTESIAN_POINT('Origin',(25.671884,28.722038,0.)); #529106=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #529107=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #529108=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #529109=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #529110=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #529111=CARTESIAN_POINT('Origin',(25.701697,28.716478,0.)); #529112=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #529113=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #529114=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #529115=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #529116=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #529117=CARTESIAN_POINT('Origin',(25.726981,28.699728,0.)); #529118=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #529119=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #529120=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #529121=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #529122=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #529123=CARTESIAN_POINT('Origin',(28.499081,25.927628,0.)); #529124=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #529125=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #529126=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #529127=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #529128=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #529129=CARTESIAN_POINT('Origin',(28.515366,25.903469,0.)); #529130=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #529131=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #529132=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #529133=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #529134=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #529135=CARTESIAN_POINT('Origin',(28.5214,25.873747,0.)); #529136=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #529137=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #529138=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #529139=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #529140=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #529141=CARTESIAN_POINT('Origin',(28.5214,25.266191,0.)); #529142=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #529143=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #529144=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #529145=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #529146=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #529147=CARTESIAN_POINT('Origin',(28.516706,25.239859,0.)); #529148=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #529149=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #529150=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #529151=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #529152=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #529153=CARTESIAN_POINT('Origin',(28.500772,25.214053,0.)); #529154=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #529155=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #529156=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #529157=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #529158=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #529159=CARTESIAN_POINT('Origin',(28.476034,25.196509,0.)); #529160=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #529161=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #529162=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.035)); #529163=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.)); #529164=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #529165=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #529166=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #529167=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #529168=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #529169=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #529170=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #529171=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #529172=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #529173=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #529174=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #529175=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #529176=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #529177=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #529178=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #529179=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #529180=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #529181=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #529182=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #529183=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #529184=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #529185=CARTESIAN_POINT('Origin',(26.250919,36.353516,0.)); #529186=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #529187=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #529188=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #529189=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #529190=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #529191=CARTESIAN_POINT('Origin',(26.234634,36.377675,0.)); #529192=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #529193=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #529194=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #529195=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #529196=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #529197=CARTESIAN_POINT('Origin',(26.2286,36.407397,0.)); #529198=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #529199=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #529200=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #529201=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #529202=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #529203=CARTESIAN_POINT('Origin',(26.2286,36.423134,0.)); #529204=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #529205=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #529206=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #529207=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #529208=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #529209=CARTESIAN_POINT('Origin',(26.233294,36.449466,0.)); #529210=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #529211=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #529212=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #529213=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #529214=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #529215=CARTESIAN_POINT('Origin',(26.249228,36.475272,0.)); #529216=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #529217=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #529218=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #529219=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #529220=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #529221=CARTESIAN_POINT('Origin',(26.273966,36.492816,0.)); #529222=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #529223=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #529224=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #529225=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #529226=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #529227=CARTESIAN_POINT('Origin',(26.303584,36.499325,0.)); #529228=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #529229=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #529230=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #529231=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #529232=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #529233=CARTESIAN_POINT('Origin',(26.333397,36.493766,0.)); #529234=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #529235=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #529236=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #529237=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #529238=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #529239=CARTESIAN_POINT('Origin',(26.358681,36.477016,0.)); #529240=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #529241=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #529242=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #529243=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #529244=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #529245=CARTESIAN_POINT('Origin',(26.43675,36.398947,0.)); #529246=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #529247=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #529248=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #529249=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #529250=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #529251=CARTESIAN_POINT('Origin',(26.45255,36.3759,0.)); #529252=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #529253=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #529254=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #529255=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #529256=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #529257=CARTESIAN_POINT('Origin',(26.459059,36.346281,0.)); #529258=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #529259=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #529260=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #529261=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #529262=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #529263=CARTESIAN_POINT('Origin',(26.4535,36.316469,0.)); #529264=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #529265=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #529266=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #529267=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #529268=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #529269=CARTESIAN_POINT('Origin',(26.43675,36.291184,0.)); #529270=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #529271=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #529272=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #529273=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #529274=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #529275=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #529276=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #529277=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #529278=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #529279=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #529280=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #529281=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #529282=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #529283=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #529284=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.035)); #529285=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.)); #529286=CARTESIAN_POINT('Origin',(24.608003,26.2286,0.)); #529287=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #529288=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #529289=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #529290=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #529291=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #529292=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #529293=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #529294=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #529295=CARTESIAN_POINT('Origin',(24.4097,26.2286,0.)); #529296=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #529297=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #529298=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #529299=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #529300=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #529301=CARTESIAN_POINT('Origin',(24.382231,26.233722,0.)); #529302=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #529303=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #529304=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #529305=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #529306=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #529307=CARTESIAN_POINT('Origin',(24.356684,26.250066,0.)); #529308=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #529309=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #529310=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #529311=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #529312=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #529313=CARTESIAN_POINT('Origin',(24.339534,26.275078,0.)); #529314=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #529315=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #529316=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #529317=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #529318=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #529319=CARTESIAN_POINT('Origin',(24.3335,26.3048,0.)); #529320=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #529321=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #529322=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #529323=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #529324=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #529325=CARTESIAN_POINT('Origin',(24.3335,26.507628,0.)); #529326=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #529327=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #529328=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #529329=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #529330=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #529331=CARTESIAN_POINT('Origin',(24.244228,26.5969,0.)); #529332=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #529333=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #529334=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #529335=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #529336=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #529337=CARTESIAN_POINT('Origin',(23.3048,26.5969,0.)); #529338=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #529339=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #529340=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #529341=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #529342=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #529343=CARTESIAN_POINT('Origin',(23.277331,26.602022,0.)); #529344=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #529345=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #529346=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #529347=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #529348=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #529349=CARTESIAN_POINT('Origin',(23.251784,26.618366,0.)); #529350=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #529351=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #529352=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #529353=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #529354=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #529355=CARTESIAN_POINT('Origin',(23.234634,26.643378,0.)); #529356=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #529357=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #529358=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #529359=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #529360=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #529361=CARTESIAN_POINT('Origin',(23.2286,26.6731,0.)); #529362=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #529363=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #529364=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #529365=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #529366=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #529367=CARTESIAN_POINT('Origin',(23.2286,27.594691,0.)); #529368=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #529369=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #529370=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #529371=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #529372=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #529373=CARTESIAN_POINT('Origin',(22.356691,28.4666,0.)); #529374=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #529375=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #529376=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #529377=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #529378=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #529379=CARTESIAN_POINT('Origin',(21.6731,28.4666,0.)); #529380=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #529381=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #529382=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #529383=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #529384=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #529385=CARTESIAN_POINT('Origin',(21.645631,28.471722,0.)); #529386=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #529387=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #529388=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #529389=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #529390=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #529391=CARTESIAN_POINT('Origin',(21.620084,28.488066,0.)); #529392=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #529393=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #529394=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #529395=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #529396=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #529397=CARTESIAN_POINT('Origin',(21.602934,28.513078,0.)); #529398=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #529399=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #529400=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #529401=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #529402=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #529403=CARTESIAN_POINT('Origin',(21.5969,28.5428,0.)); #529404=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #529405=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #529406=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #529407=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #529408=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #529409=CARTESIAN_POINT('Origin',(21.5969,28.6952,0.)); #529410=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #529411=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #529412=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #529413=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #529414=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #529415=CARTESIAN_POINT('Origin',(21.602022,28.722669,0.)); #529416=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #529417=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #529418=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #529419=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #529420=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #529421=CARTESIAN_POINT('Origin',(21.618366,28.748216,0.)); #529422=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #529423=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #529424=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #529425=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #529426=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #529427=CARTESIAN_POINT('Origin',(21.643378,28.765366,0.)); #529428=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #529429=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #529430=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #529431=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #529432=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #529433=CARTESIAN_POINT('Origin',(21.6731,28.7714,0.)); #529434=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #529435=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #529436=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #529437=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #529438=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #529439=CARTESIAN_POINT('Origin',(24.3269,28.7714,0.)); #529440=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #529441=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #529442=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #529443=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #529444=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #529445=CARTESIAN_POINT('Origin',(24.354369,28.766278,0.)); #529446=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #529447=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #529448=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #529449=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #529450=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #529451=CARTESIAN_POINT('Origin',(24.379916,28.749934,0.)); #529452=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #529453=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #529454=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #529455=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #529456=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #529457=CARTESIAN_POINT('Origin',(24.397066,28.724922,0.)); #529458=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #529459=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #529460=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #529461=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #529462=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #529463=CARTESIAN_POINT('Origin',(24.4031,28.6952,0.)); #529464=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #529465=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #529466=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #529467=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #529468=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #529469=CARTESIAN_POINT('Origin',(24.4031,27.755772,0.)); #529470=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #529471=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #529472=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #529473=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #529474=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #529475=CARTESIAN_POINT('Origin',(24.492372,27.6665,0.)); #529476=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #529477=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #529478=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #529479=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #529480=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #529481=CARTESIAN_POINT('Origin',(24.6952,27.6665,0.)); #529482=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #529483=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #529484=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #529485=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #529486=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #529487=CARTESIAN_POINT('Origin',(24.722669,27.661378,0.)); #529488=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #529489=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #529490=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #529491=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #529492=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #529493=CARTESIAN_POINT('Origin',(24.748216,27.645034,0.)); #529494=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #529495=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #529496=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #529497=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #529498=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #529499=CARTESIAN_POINT('Origin',(24.765366,27.620022,0.)); #529500=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #529501=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #529502=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #529503=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #529504=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #529505=CARTESIAN_POINT('Origin',(24.7714,27.5903,0.)); #529506=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #529507=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #529508=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #529509=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #529510=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #529511=CARTESIAN_POINT('Origin',(24.7714,27.032397,0.)); #529512=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #529513=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #529514=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #529515=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #529516=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #529517=CARTESIAN_POINT('Origin',(24.765831,27.0038,0.)); #529518=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #529519=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #529520=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #529521=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #529522=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #529523=CARTESIAN_POINT('Origin',(24.749081,26.978516,0.)); #529524=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #529525=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #529526=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #529527=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #529528=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #529529=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #529530=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #529531=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #529532=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #529533=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #529534=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #529535=CARTESIAN_POINT('Origin',(24.5726,26.447966,0.)); #529536=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #529537=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #529538=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #529539=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #529540=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #529541=CARTESIAN_POINT('Origin',(24.661884,26.358681,0.)); #529542=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #529543=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #529544=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #529545=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #529546=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #529547=CARTESIAN_POINT('Origin',(24.677184,26.336744,0.)); #529548=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #529549=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #529550=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #529551=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #529552=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #529553=CARTESIAN_POINT('Origin',(24.684166,26.307228,0.)); #529554=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #529555=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #529556=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #529557=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #529558=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #529559=CARTESIAN_POINT('Origin',(24.679081,26.277331,0.)); #529560=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #529561=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #529562=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #529563=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #529564=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #529565=CARTESIAN_POINT('Origin',(24.662738,26.251784,0.)); #529566=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #529567=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #529568=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #529569=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #529570=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #529571=CARTESIAN_POINT('Origin',(24.637725,26.234634,0.)); #529572=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #529573=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #529574=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.035)); #529575=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.)); #529576=CARTESIAN_POINT('Origin',(20.377147,39.3536,0.)); #529577=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #529578=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #529579=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #529580=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #529581=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #529582=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #529583=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #529584=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #529585=CARTESIAN_POINT('Origin',(19.751253,39.3536,0.)); #529586=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #529587=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #529588=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #529589=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #529590=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #529591=CARTESIAN_POINT('Origin',(19.722656,39.359169,0.)); #529592=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #529593=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #529594=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #529595=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #529596=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #529597=CARTESIAN_POINT('Origin',(19.697372,39.375919,0.)); #529598=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #529599=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #529600=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #529601=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #529602=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #529603=CARTESIAN_POINT('Origin',(19.536872,39.536419,0.)); #529604=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #529605=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #529606=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #529607=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #529608=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #529609=CARTESIAN_POINT('Origin',(19.521572,39.558356,0.)); #529610=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #529611=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #529612=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #529613=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #529614=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #529615=CARTESIAN_POINT('Origin',(19.514591,39.587872,0.)); #529616=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #529617=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #529618=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #529619=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #529620=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #529621=CARTESIAN_POINT('Origin',(19.519675,39.617769,0.)); #529622=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #529623=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #529624=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #529625=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #529626=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #529627=CARTESIAN_POINT('Origin',(19.536019,39.643316,0.)); #529628=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #529629=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #529630=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #529631=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #529632=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #529633=CARTESIAN_POINT('Origin',(19.561031,39.660466,0.)); #529634=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #529635=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #529636=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #529637=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #529638=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #529639=CARTESIAN_POINT('Origin',(19.590753,39.6665,0.)); #529640=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #529641=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #529642=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #529643=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #529644=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #529645=CARTESIAN_POINT('Origin',(20.216647,39.6665,0.)); #529646=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #529647=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #529648=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #529649=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #529650=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #529651=CARTESIAN_POINT('Origin',(20.245244,39.660931,0.)); #529652=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #529653=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #529654=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #529655=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #529656=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #529657=CARTESIAN_POINT('Origin',(20.270528,39.644181,0.)); #529658=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #529659=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #529660=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #529661=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #529662=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #529663=CARTESIAN_POINT('Origin',(20.431028,39.483681,0.)); #529664=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #529665=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #529666=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #529667=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #529668=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #529669=CARTESIAN_POINT('Origin',(20.446328,39.461744,0.)); #529670=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #529671=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #529672=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #529673=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #529674=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #529675=CARTESIAN_POINT('Origin',(20.453309,39.432228,0.)); #529676=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #529677=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #529678=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #529679=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #529680=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #529681=CARTESIAN_POINT('Origin',(20.448225,39.402331,0.)); #529682=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #529683=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #529684=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #529685=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #529686=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #529687=CARTESIAN_POINT('Origin',(20.431881,39.376784,0.)); #529688=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #529689=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #529690=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #529691=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #529692=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #529693=CARTESIAN_POINT('Origin',(20.406869,39.359634,0.)); #529694=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #529695=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #529696=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.035)); #529697=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.)); #529698=CARTESIAN_POINT('Origin',(37.447022,27.949113,0.)); #529699=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #529700=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #529701=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #529702=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #529703=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #529704=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #529705=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #529706=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #529707=CARTESIAN_POINT('Origin',(37.417166,27.954434,0.)); #529708=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #529709=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #529710=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #529711=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #529712=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #529713=CARTESIAN_POINT('Origin',(37.39175,27.970981,0.)); #529714=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #529715=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #529716=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #529717=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #529718=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #529719=CARTESIAN_POINT('Origin',(37.3748,27.996131,0.)); #529720=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #529721=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #529722=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #529723=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #529724=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #529725=CARTESIAN_POINT('Origin',(37.265016,28.261169,0.)); #529726=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #529727=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #529728=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #529729=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #529730=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #529731=CARTESIAN_POINT('Origin',(37.011169,28.515016,0.)); #529732=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #529733=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #529734=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #529735=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #529736=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #529737=CARTESIAN_POINT('Origin',(36.6795,28.6524,0.)); #529738=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #529739=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #529740=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #529741=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #529742=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #529743=CARTESIAN_POINT('Origin',(36.3205,28.6524,0.)); #529744=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #529745=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #529746=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #529747=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #529748=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #529749=CARTESIAN_POINT('Origin',(35.988831,28.515016,0.)); #529750=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #529751=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #529752=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #529753=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #529754=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #529755=CARTESIAN_POINT('Origin',(35.796181,28.322366,0.)); #529756=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #529757=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #529758=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #529759=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #529760=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #529761=CARTESIAN_POINT('Origin',(35.774244,28.307066,0.)); #529762=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #529763=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #529764=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #529765=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #529766=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #529767=CARTESIAN_POINT('Origin',(35.744728,28.300084,0.)); #529768=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #529769=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #529770=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #529771=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #529772=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #529773=CARTESIAN_POINT('Origin',(35.714831,28.305169,0.)); #529774=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #529775=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #529776=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #529777=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #529778=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #529779=CARTESIAN_POINT('Origin',(35.689284,28.321513,0.)); #529780=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #529781=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #529782=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #529783=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #529784=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #529785=CARTESIAN_POINT('Origin',(35.672134,28.346525,0.)); #529786=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #529787=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #529788=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #529789=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #529790=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #529791=CARTESIAN_POINT('Origin',(35.6661,28.376247,0.)); #529792=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #529793=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #529794=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #529795=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #529796=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #529797=CARTESIAN_POINT('Origin',(35.6661,30.1745,0.)); #529798=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #529799=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #529800=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #529801=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #529802=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #529803=CARTESIAN_POINT('Origin',(35.671222,30.201969,0.)); #529804=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #529805=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #529806=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #529807=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #529808=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #529809=CARTESIAN_POINT('Origin',(35.687566,30.227516,0.)); #529810=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #529811=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #529812=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #529813=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #529814=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #529815=CARTESIAN_POINT('Origin',(35.712578,30.244666,0.)); #529816=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #529817=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #529818=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #529819=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #529820=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #529821=CARTESIAN_POINT('Origin',(35.7423,30.2507,0.)); #529822=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #529823=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #529824=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #529825=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #529826=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #529827=CARTESIAN_POINT('Origin',(36.794247,30.2507,0.)); #529828=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #529829=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #529830=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #529831=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #529832=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #529833=CARTESIAN_POINT('Origin',(36.822844,30.245131,0.)); #529834=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #529835=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #529836=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #529837=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #529838=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #529839=CARTESIAN_POINT('Origin',(36.848128,30.228381,0.)); #529840=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #529841=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #529842=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #529843=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #529844=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #529845=CARTESIAN_POINT('Origin',(37.499081,29.577428,0.)); #529846=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #529847=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #529848=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #529849=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #529850=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #529851=CARTESIAN_POINT('Origin',(37.515366,29.553269,0.)); #529852=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #529853=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #529854=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #529855=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #529856=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #529857=CARTESIAN_POINT('Origin',(37.5214,29.523547,0.)); #529858=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #529859=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #529860=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #529861=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #529862=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #529863=CARTESIAN_POINT('Origin',(37.5214,28.025291,0.)); #529864=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #529865=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #529866=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #529867=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #529868=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #529869=CARTESIAN_POINT('Origin',(37.516913,27.999528,0.)); #529870=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #529871=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #529872=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #529873=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #529874=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #529875=CARTESIAN_POINT('Origin',(37.501184,27.9736,0.)); #529876=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #529877=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #529878=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #529879=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #529880=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #529881=CARTESIAN_POINT('Origin',(37.476591,27.955856,0.)); #529882=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #529883=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #529884=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.035)); #529885=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.)); #529886=CARTESIAN_POINT('Origin',(40.184422,36.2286,0.)); #529887=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #529888=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #529889=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #529890=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #529891=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #529892=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #529893=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #529894=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #529895=CARTESIAN_POINT('Origin',(39.016997,36.2286,0.)); #529896=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #529897=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #529898=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #529899=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #529900=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #529901=CARTESIAN_POINT('Origin',(38.990666,36.233294,0.)); #529902=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #529903=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #529904=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #529905=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #529906=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #529907=CARTESIAN_POINT('Origin',(38.964859,36.249228,0.)); #529908=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #529909=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #529910=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #529911=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #529912=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #529913=CARTESIAN_POINT('Origin',(38.947316,36.273966,0.)); #529914=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #529915=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #529916=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #529917=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #529918=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #529919=CARTESIAN_POINT('Origin',(38.940806,36.303584,0.)); #529920=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #529921=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #529922=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #529923=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #529924=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #529925=CARTESIAN_POINT('Origin',(38.946366,36.333397,0.)); #529926=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #529927=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #529928=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #529929=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #529930=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #529931=CARTESIAN_POINT('Origin',(38.963116,36.358681,0.)); #529932=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #529933=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #529934=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #529935=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #529936=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #529937=CARTESIAN_POINT('Origin',(39.103516,36.499081,0.)); #529938=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #529939=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #529940=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #529941=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #529942=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #529943=CARTESIAN_POINT('Origin',(39.127675,36.515366,0.)); #529944=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #529945=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #529946=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #529947=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #529948=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #529949=CARTESIAN_POINT('Origin',(39.157397,36.5214,0.)); #529950=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #529951=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #529952=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #529953=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #529954=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #529955=CARTESIAN_POINT('Origin',(40.324822,36.5214,0.)); #529956=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #529957=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #529958=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #529959=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #529960=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #529961=CARTESIAN_POINT('Origin',(40.351153,36.516706,0.)); #529962=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #529963=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #529964=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #529965=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #529966=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #529967=CARTESIAN_POINT('Origin',(40.376959,36.500772,0.)); #529968=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #529969=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #529970=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #529971=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #529972=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #529973=CARTESIAN_POINT('Origin',(40.394503,36.476034,0.)); #529974=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #529975=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #529976=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #529977=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #529978=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #529979=CARTESIAN_POINT('Origin',(40.401013,36.446416,0.)); #529980=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #529981=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #529982=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #529983=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #529984=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #529985=CARTESIAN_POINT('Origin',(40.395453,36.416603,0.)); #529986=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #529987=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #529988=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #529989=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #529990=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #529991=CARTESIAN_POINT('Origin',(40.378703,36.391319,0.)); #529992=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #529993=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #529994=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #529995=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #529996=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #529997=CARTESIAN_POINT('Origin',(40.238303,36.250919,0.)); #529998=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #529999=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #530000=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #530001=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #530002=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #530003=CARTESIAN_POINT('Origin',(40.214144,36.234634,0.)); #530004=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #530005=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #530006=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.035)); #530007=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.)); #530008=CARTESIAN_POINT('Origin',(30.557228,27.949128,0.)); #530009=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #530010=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #530011=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #530012=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #530013=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #530014=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #530015=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #530016=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #530017=CARTESIAN_POINT('Origin',(30.527331,27.954213,0.)); #530018=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #530019=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #530020=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #530021=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #530022=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #530023=CARTESIAN_POINT('Origin',(30.501784,27.970556,0.)); #530024=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #530025=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #530026=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #530027=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #530028=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #530029=CARTESIAN_POINT('Origin',(30.484634,27.995569,0.)); #530030=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #530031=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #530032=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #530033=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #530034=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #530035=CARTESIAN_POINT('Origin',(30.4786,28.025291,0.)); #530036=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #530037=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #530038=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #530039=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #530040=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #530041=CARTESIAN_POINT('Origin',(30.4786,29.523547,0.)); #530042=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #530043=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #530044=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #530045=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #530046=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #530047=CARTESIAN_POINT('Origin',(30.484169,29.552144,0.)); #530048=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #530049=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #530050=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #530051=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #530052=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #530053=CARTESIAN_POINT('Origin',(30.500919,29.577428,0.)); #530054=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #530055=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #530056=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #530057=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #530058=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #530059=CARTESIAN_POINT('Origin',(31.151872,30.228381,0.)); #530060=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #530061=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #530062=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #530063=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #530064=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #530065=CARTESIAN_POINT('Origin',(31.176031,30.244666,0.)); #530066=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #530067=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #530068=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #530069=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #530070=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #530071=CARTESIAN_POINT('Origin',(31.205753,30.2507,0.)); #530072=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #530073=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #530074=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #530075=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #530076=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #530077=CARTESIAN_POINT('Origin',(32.2577,30.2507,0.)); #530078=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #530079=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #530080=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #530081=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #530082=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #530083=CARTESIAN_POINT('Origin',(32.285169,30.245578,0.)); #530084=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #530085=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #530086=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #530087=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #530088=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #530089=CARTESIAN_POINT('Origin',(32.310716,30.229234,0.)); #530090=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #530091=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #530092=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #530093=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #530094=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #530095=CARTESIAN_POINT('Origin',(32.327866,30.204222,0.)); #530096=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #530097=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #530098=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #530099=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #530100=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #530101=CARTESIAN_POINT('Origin',(32.3339,30.1745,0.)); #530102=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #530103=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #530104=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #530105=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #530106=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #530107=CARTESIAN_POINT('Origin',(32.3339,28.376247,0.)); #530108=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #530109=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #530110=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #530111=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #530112=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #530113=CARTESIAN_POINT('Origin',(32.329206,28.349916,0.)); #530114=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #530115=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #530116=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #530117=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #530118=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #530119=CARTESIAN_POINT('Origin',(32.313272,28.324109,0.)); #530120=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #530121=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #530122=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #530123=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #530124=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #530125=CARTESIAN_POINT('Origin',(32.288534,28.306566,0.)); #530126=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #530127=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #530128=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #530129=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #530130=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #530131=CARTESIAN_POINT('Origin',(32.258916,28.300056,0.)); #530132=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #530133=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #530134=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #530135=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #530136=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #530137=CARTESIAN_POINT('Origin',(32.229103,28.305616,0.)); #530138=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #530139=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #530140=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #530141=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #530142=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #530143=CARTESIAN_POINT('Origin',(32.203819,28.322366,0.)); #530144=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #530145=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #530146=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #530147=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #530148=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #530149=CARTESIAN_POINT('Origin',(32.011169,28.515016,0.)); #530150=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #530151=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #530152=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #530153=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #530154=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #530155=CARTESIAN_POINT('Origin',(31.6795,28.6524,0.)); #530156=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #530157=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #530158=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #530159=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #530160=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #530161=CARTESIAN_POINT('Origin',(31.3205,28.6524,0.)); #530162=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #530163=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #530164=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #530165=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #530166=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #530167=CARTESIAN_POINT('Origin',(30.988831,28.515016,0.)); #530168=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #530169=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #530170=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #530171=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #530172=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #530173=CARTESIAN_POINT('Origin',(30.734984,28.261169,0.)); #530174=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #530175=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #530176=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #530177=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #530178=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #530179=CARTESIAN_POINT('Origin',(30.6252,27.996131,0.)); #530180=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #530181=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #530182=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #530183=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #530184=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #530185=CARTESIAN_POINT('Origin',(30.611197,27.974047,0.)); #530186=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #530187=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #530188=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #530189=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #530190=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #530191=CARTESIAN_POINT('Origin',(30.586744,27.956109,0.)); #530192=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #530193=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #530194=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.035)); #530195=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.)); #530196=CARTESIAN_POINT('Origin',(33.626216,55.261006,0.)); #530197=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #530198=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #530199=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #530200=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #530201=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #530202=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #530203=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #530204=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #530205=CARTESIAN_POINT('Origin',(33.596403,55.266566,0.)); #530206=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #530207=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #530208=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #530209=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #530210=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #530211=CARTESIAN_POINT('Origin',(33.571119,55.283316,0.)); #530212=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #530213=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #530214=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #530215=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #530216=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #530217=CARTESIAN_POINT('Origin',(33.500919,55.353516,0.)); #530218=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #530219=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #530220=CARTESIAN_POINT('',(33.500919,55.353516,0.035)); #530221=CARTESIAN_POINT('',(33.500919,55.353516,0.035)); #530222=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #530223=CARTESIAN_POINT('Origin',(33.484634,55.377675,0.)); #530224=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #530225=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #530226=CARTESIAN_POINT('',(33.484634,55.377675,0.035)); #530227=CARTESIAN_POINT('',(33.484634,55.377675,0.035)); #530228=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #530229=CARTESIAN_POINT('Origin',(33.4786,55.407397,0.)); #530230=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #530231=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #530232=CARTESIAN_POINT('',(33.4786,55.407397,0.035)); #530233=CARTESIAN_POINT('',(33.4786,55.407397,0.035)); #530234=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #530235=CARTESIAN_POINT('Origin',(33.4786,55.498747,0.)); #530236=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #530237=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #530238=CARTESIAN_POINT('',(33.4786,55.498747,0.035)); #530239=CARTESIAN_POINT('',(33.4786,55.498747,0.035)); #530240=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #530241=CARTESIAN_POINT('Origin',(33.484169,55.527344,0.)); #530242=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #530243=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #530244=CARTESIAN_POINT('',(33.484169,55.527344,0.035)); #530245=CARTESIAN_POINT('',(33.484169,55.527344,0.035)); #530246=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #530247=CARTESIAN_POINT('Origin',(33.500919,55.552628,0.)); #530248=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #530249=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #530250=CARTESIAN_POINT('',(33.500919,55.552628,0.035)); #530251=CARTESIAN_POINT('',(33.500919,55.552628,0.035)); #530252=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #530253=CARTESIAN_POINT('Origin',(33.641319,55.693028,0.)); #530254=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #530255=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #530256=CARTESIAN_POINT('',(33.641319,55.693028,0.035)); #530257=CARTESIAN_POINT('',(33.641319,55.693028,0.035)); #530258=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #530259=CARTESIAN_POINT('Origin',(33.663256,55.708328,0.)); #530260=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #530261=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #530262=CARTESIAN_POINT('',(33.663256,55.708328,0.035)); #530263=CARTESIAN_POINT('',(33.663256,55.708328,0.035)); #530264=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #530265=CARTESIAN_POINT('Origin',(33.692772,55.715309,0.)); #530266=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #530267=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #530268=CARTESIAN_POINT('',(33.692772,55.715309,0.035)); #530269=CARTESIAN_POINT('',(33.692772,55.715309,0.035)); #530270=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #530271=CARTESIAN_POINT('Origin',(33.722669,55.710225,0.)); #530272=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #530273=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #530274=CARTESIAN_POINT('',(33.722669,55.710225,0.035)); #530275=CARTESIAN_POINT('',(33.722669,55.710225,0.035)); #530276=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #530277=CARTESIAN_POINT('Origin',(33.748216,55.693881,0.)); #530278=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #530279=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #530280=CARTESIAN_POINT('',(33.748216,55.693881,0.035)); #530281=CARTESIAN_POINT('',(33.748216,55.693881,0.035)); #530282=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #530283=CARTESIAN_POINT('Origin',(33.765366,55.668869,0.)); #530284=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #530285=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #530286=CARTESIAN_POINT('',(33.765366,55.668869,0.035)); #530287=CARTESIAN_POINT('',(33.765366,55.668869,0.035)); #530288=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #530289=CARTESIAN_POINT('Origin',(33.7714,55.639147,0.)); #530290=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #530291=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #530292=CARTESIAN_POINT('',(33.7714,55.639147,0.035)); #530293=CARTESIAN_POINT('',(33.7714,55.639147,0.035)); #530294=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #530295=CARTESIAN_POINT('Origin',(33.7714,55.407397,0.)); #530296=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #530297=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #530298=CARTESIAN_POINT('',(33.7714,55.407397,0.035)); #530299=CARTESIAN_POINT('',(33.7714,55.407397,0.035)); #530300=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #530301=CARTESIAN_POINT('Origin',(33.765831,55.3788,0.)); #530302=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #530303=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #530304=CARTESIAN_POINT('',(33.765831,55.3788,0.035)); #530305=CARTESIAN_POINT('',(33.765831,55.3788,0.035)); #530306=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #530307=CARTESIAN_POINT('Origin',(33.749081,55.353516,0.)); #530308=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #530309=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #530310=CARTESIAN_POINT('',(33.749081,55.353516,0.035)); #530311=CARTESIAN_POINT('',(33.749081,55.353516,0.035)); #530312=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #530313=CARTESIAN_POINT('Origin',(33.678881,55.283316,0.)); #530314=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #530315=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #530316=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #530317=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #530318=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #530319=CARTESIAN_POINT('Origin',(33.655834,55.267516,0.)); #530320=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #530321=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #530322=CARTESIAN_POINT('Origin',(33.6402186190606,55.4864651826395,0.035)); #530323=CARTESIAN_POINT('Origin',(33.6402186190606,55.4864651826395,0.)); #530324=CARTESIAN_POINT('Origin',(30.557228,25.449128,0.)); #530325=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #530326=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #530327=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #530328=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #530329=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #530330=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #530331=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #530332=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #530333=CARTESIAN_POINT('Origin',(30.527331,25.454213,0.)); #530334=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #530335=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #530336=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #530337=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #530338=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #530339=CARTESIAN_POINT('Origin',(30.501784,25.470556,0.)); #530340=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #530341=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #530342=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #530343=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #530344=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #530345=CARTESIAN_POINT('Origin',(30.484634,25.495569,0.)); #530346=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #530347=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #530348=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #530349=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #530350=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #530351=CARTESIAN_POINT('Origin',(30.4786,25.525291,0.)); #530352=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #530353=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #530354=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #530355=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #530356=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #530357=CARTESIAN_POINT('Origin',(30.4786,27.474709,0.)); #530358=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #530359=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #530360=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #530361=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #530362=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #530363=CARTESIAN_POINT('Origin',(30.483087,27.500472,0.)); #530364=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #530365=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #530366=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #530367=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #530368=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #530369=CARTESIAN_POINT('Origin',(30.498816,27.5264,0.)); #530370=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #530371=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #530372=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #530373=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #530374=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #530375=CARTESIAN_POINT('Origin',(30.523409,27.544144,0.)); #530376=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #530377=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #530378=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #530379=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #530380=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #530381=CARTESIAN_POINT('Origin',(30.552978,27.550888,0.)); #530382=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #530383=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #530384=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #530385=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #530386=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #530387=CARTESIAN_POINT('Origin',(30.582834,27.545566,0.)); #530388=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #530389=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #530390=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #530391=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #530392=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #530393=CARTESIAN_POINT('Origin',(30.60825,27.529019,0.)); #530394=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #530395=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #530396=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #530397=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #530398=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #530399=CARTESIAN_POINT('Origin',(30.6252,27.503869,0.)); #530400=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #530401=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #530402=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #530403=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #530404=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #530405=CARTESIAN_POINT('Origin',(30.734984,27.238831,0.)); #530406=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #530407=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #530408=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #530409=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #530410=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #530411=CARTESIAN_POINT('Origin',(30.988831,26.984984,0.)); #530412=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #530413=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #530414=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #530415=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #530416=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #530417=CARTESIAN_POINT('Origin',(31.3205,26.8476,0.)); #530418=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #530419=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #530420=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #530421=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #530422=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #530423=CARTESIAN_POINT('Origin',(31.6795,26.8476,0.)); #530424=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #530425=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #530426=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #530427=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #530428=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #530429=CARTESIAN_POINT('Origin',(32.011169,26.984984,0.)); #530430=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #530431=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #530432=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #530433=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #530434=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #530435=CARTESIAN_POINT('Origin',(32.203819,27.177634,0.)); #530436=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #530437=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #530438=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #530439=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #530440=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #530441=CARTESIAN_POINT('Origin',(32.225756,27.192934,0.)); #530442=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #530443=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #530444=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #530445=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #530446=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #530447=CARTESIAN_POINT('Origin',(32.255272,27.199916,0.)); #530448=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #530449=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #530450=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #530451=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #530452=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #530453=CARTESIAN_POINT('Origin',(32.285169,27.194831,0.)); #530454=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #530455=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #530456=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #530457=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #530458=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #530459=CARTESIAN_POINT('Origin',(32.310716,27.178488,0.)); #530460=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #530461=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #530462=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #530463=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #530464=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #530465=CARTESIAN_POINT('Origin',(32.327866,27.153475,0.)); #530466=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #530467=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #530468=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #530469=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #530470=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #530471=CARTESIAN_POINT('Origin',(32.3339,27.123753,0.)); #530472=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #530473=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #530474=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #530475=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #530476=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #530477=CARTESIAN_POINT('Origin',(32.3339,25.876247,0.)); #530478=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #530479=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #530480=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #530481=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #530482=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #530483=CARTESIAN_POINT('Origin',(32.329206,25.849916,0.)); #530484=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #530485=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #530486=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #530487=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #530488=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #530489=CARTESIAN_POINT('Origin',(32.313272,25.824109,0.)); #530490=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #530491=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #530492=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #530493=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #530494=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #530495=CARTESIAN_POINT('Origin',(32.288534,25.806566,0.)); #530496=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #530497=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #530498=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #530499=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #530500=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #530501=CARTESIAN_POINT('Origin',(32.258916,25.800056,0.)); #530502=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #530503=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #530504=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #530505=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #530506=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #530507=CARTESIAN_POINT('Origin',(32.229103,25.805616,0.)); #530508=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #530509=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #530510=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #530511=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #530512=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #530513=CARTESIAN_POINT('Origin',(32.203819,25.822366,0.)); #530514=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #530515=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #530516=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #530517=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #530518=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #530519=CARTESIAN_POINT('Origin',(32.011169,26.015016,0.)); #530520=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #530521=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #530522=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #530523=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #530524=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #530525=CARTESIAN_POINT('Origin',(31.6795,26.1524,0.)); #530526=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #530527=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #530528=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #530529=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #530530=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #530531=CARTESIAN_POINT('Origin',(31.3205,26.1524,0.)); #530532=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #530533=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #530534=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #530535=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #530536=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #530537=CARTESIAN_POINT('Origin',(30.988831,26.015016,0.)); #530538=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #530539=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #530540=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #530541=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #530542=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #530543=CARTESIAN_POINT('Origin',(30.734984,25.761169,0.)); #530544=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #530545=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #530546=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #530547=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #530548=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #530549=CARTESIAN_POINT('Origin',(30.6252,25.496131,0.)); #530550=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #530551=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #530552=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #530553=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #530554=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #530555=CARTESIAN_POINT('Origin',(30.611197,25.474047,0.)); #530556=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #530557=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #530558=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #530559=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #530560=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #530561=CARTESIAN_POINT('Origin',(30.586744,25.456109,0.)); #530562=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #530563=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #530564=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.035)); #530565=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.)); #530566=CARTESIAN_POINT('Origin',(41.626216,36.261006,0.)); #530567=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #530568=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #530569=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #530570=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #530571=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #530572=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #530573=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #530574=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #530575=CARTESIAN_POINT('Origin',(41.596403,36.266566,0.)); #530576=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #530577=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #530578=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #530579=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #530580=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #530581=CARTESIAN_POINT('Origin',(41.571119,36.283316,0.)); #530582=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #530583=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #530584=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #530585=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #530586=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #530587=CARTESIAN_POINT('Origin',(41.56325,36.291184,0.)); #530588=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #530589=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #530590=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #530591=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #530592=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #530593=CARTESIAN_POINT('Origin',(41.54745,36.314231,0.)); #530594=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #530595=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #530596=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #530597=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #530598=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #530599=CARTESIAN_POINT('Origin',(41.540941,36.34385,0.)); #530600=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #530601=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #530602=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #530603=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #530604=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #530605=CARTESIAN_POINT('Origin',(41.5465,36.373663,0.)); #530606=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #530607=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #530608=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #530609=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #530610=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #530611=CARTESIAN_POINT('Origin',(41.56325,36.398947,0.)); #530612=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #530613=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #530614=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #530615=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #530616=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #530617=CARTESIAN_POINT('Origin',(41.641319,36.477016,0.)); #530618=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #530619=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #530620=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #530621=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #530622=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #530623=CARTESIAN_POINT('Origin',(41.663256,36.492316,0.)); #530624=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #530625=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #530626=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #530627=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #530628=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #530629=CARTESIAN_POINT('Origin',(41.692772,36.499297,0.)); #530630=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #530631=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #530632=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #530633=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #530634=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #530635=CARTESIAN_POINT('Origin',(41.722669,36.494213,0.)); #530636=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #530637=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #530638=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #530639=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #530640=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #530641=CARTESIAN_POINT('Origin',(41.748216,36.477869,0.)); #530642=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #530643=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #530644=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #530645=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #530646=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #530647=CARTESIAN_POINT('Origin',(41.765366,36.452856,0.)); #530648=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #530649=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #530650=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #530651=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #530652=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #530653=CARTESIAN_POINT('Origin',(41.7714,36.423134,0.)); #530654=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #530655=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #530656=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #530657=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #530658=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #530659=CARTESIAN_POINT('Origin',(41.7714,36.407397,0.)); #530660=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #530661=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #530662=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #530663=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #530664=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #530665=CARTESIAN_POINT('Origin',(41.765831,36.3788,0.)); #530666=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #530667=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #530668=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #530669=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #530670=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #530671=CARTESIAN_POINT('Origin',(41.749081,36.353516,0.)); #530672=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #530673=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #530674=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #530675=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #530676=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #530677=CARTESIAN_POINT('Origin',(41.678881,36.283316,0.)); #530678=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #530679=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #530680=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #530681=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #530682=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #530683=CARTESIAN_POINT('Origin',(41.655834,36.267516,0.)); #530684=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #530685=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #530686=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.035)); #530687=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.)); #530688=CARTESIAN_POINT('Origin',(40.822547,27.4274,0.)); #530689=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #530690=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #530691=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #530692=CARTESIAN_POINT('',(40.852269,27.433434,0.035)); #530693=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #530694=CARTESIAN_POINT('',(40.822547,27.4274,0.035)); #530695=CARTESIAN_POINT('',(40.822547,27.4274,0.035)); #530696=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #530697=CARTESIAN_POINT('Origin',(40.654316,27.4274,0.)); #530698=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #530699=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #530700=CARTESIAN_POINT('',(40.654316,27.4274,0.035)); #530701=CARTESIAN_POINT('',(40.654316,27.4274,0.035)); #530702=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #530703=CARTESIAN_POINT('Origin',(40.627984,27.432094,0.)); #530704=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #530705=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #530706=CARTESIAN_POINT('',(40.627984,27.432094,0.035)); #530707=CARTESIAN_POINT('',(40.627984,27.432094,0.035)); #530708=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #530709=CARTESIAN_POINT('Origin',(40.602178,27.448028,0.)); #530710=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #530711=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #530712=CARTESIAN_POINT('',(40.602178,27.448028,0.035)); #530713=CARTESIAN_POINT('',(40.602178,27.448028,0.035)); #530714=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #530715=CARTESIAN_POINT('Origin',(40.584634,27.472766,0.)); #530716=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #530717=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #530718=CARTESIAN_POINT('',(40.584634,27.472766,0.035)); #530719=CARTESIAN_POINT('',(40.584634,27.472766,0.035)); #530720=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #530721=CARTESIAN_POINT('Origin',(40.578125,27.502384,0.)); #530722=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #530723=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #530724=CARTESIAN_POINT('',(40.578125,27.502384,0.035)); #530725=CARTESIAN_POINT('',(40.578125,27.502384,0.035)); #530726=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #530727=CARTESIAN_POINT('Origin',(40.583684,27.532197,0.)); #530728=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #530729=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #530730=CARTESIAN_POINT('',(40.583684,27.532197,0.035)); #530731=CARTESIAN_POINT('',(40.583684,27.532197,0.035)); #530732=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #530733=CARTESIAN_POINT('Origin',(40.600434,27.557481,0.)); #530734=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #530735=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #530736=CARTESIAN_POINT('',(40.600434,27.557481,0.035)); #530737=CARTESIAN_POINT('',(40.600434,27.557481,0.035)); #530738=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #530739=CARTESIAN_POINT('Origin',(41.692519,28.649566,0.)); #530740=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #530741=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #530742=CARTESIAN_POINT('',(41.692519,28.649566,0.035)); #530743=CARTESIAN_POINT('',(41.692519,28.649566,0.035)); #530744=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #530745=CARTESIAN_POINT('Origin',(41.714456,28.664866,0.)); #530746=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #530747=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #530748=CARTESIAN_POINT('',(41.714456,28.664866,0.035)); #530749=CARTESIAN_POINT('',(41.714456,28.664866,0.035)); #530750=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #530751=CARTESIAN_POINT('Origin',(41.743972,28.671847,0.)); #530752=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #530753=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #530754=CARTESIAN_POINT('',(41.743972,28.671847,0.035)); #530755=CARTESIAN_POINT('',(41.743972,28.671847,0.035)); #530756=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #530757=CARTESIAN_POINT('Origin',(41.773869,28.666763,0.)); #530758=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #530759=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #530760=CARTESIAN_POINT('',(41.773869,28.666763,0.035)); #530761=CARTESIAN_POINT('',(41.773869,28.666763,0.035)); #530762=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #530763=CARTESIAN_POINT('Origin',(41.799416,28.650419,0.)); #530764=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #530765=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #530766=CARTESIAN_POINT('',(41.799416,28.650419,0.035)); #530767=CARTESIAN_POINT('',(41.799416,28.650419,0.035)); #530768=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #530769=CARTESIAN_POINT('Origin',(41.816566,28.625406,0.)); #530770=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #530771=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #530772=CARTESIAN_POINT('',(41.816566,28.625406,0.035)); #530773=CARTESIAN_POINT('',(41.816566,28.625406,0.035)); #530774=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #530775=CARTESIAN_POINT('Origin',(41.8226,28.595684,0.)); #530776=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #530777=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #530778=CARTESIAN_POINT('',(41.8226,28.595684,0.035)); #530779=CARTESIAN_POINT('',(41.8226,28.595684,0.035)); #530780=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #530781=CARTESIAN_POINT('Origin',(41.8226,28.427453,0.)); #530782=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #530783=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #530784=CARTESIAN_POINT('',(41.8226,28.427453,0.035)); #530785=CARTESIAN_POINT('',(41.8226,28.427453,0.035)); #530786=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #530787=CARTESIAN_POINT('Origin',(41.817031,28.398856,0.)); #530788=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #530789=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #530790=CARTESIAN_POINT('',(41.817031,28.398856,0.035)); #530791=CARTESIAN_POINT('',(41.817031,28.398856,0.035)); #530792=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #530793=CARTESIAN_POINT('Origin',(41.800281,28.373572,0.)); #530794=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #530795=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #530796=CARTESIAN_POINT('',(41.800281,28.373572,0.035)); #530797=CARTESIAN_POINT('',(41.800281,28.373572,0.035)); #530798=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #530799=CARTESIAN_POINT('Origin',(40.876428,27.449719,0.)); #530800=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #530801=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #530802=CARTESIAN_POINT('',(40.876428,27.449719,0.035)); #530803=CARTESIAN_POINT('',(40.876428,27.449719,0.035)); #530804=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #530805=CARTESIAN_POINT('Origin',(40.852269,27.433434,0.)); #530806=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #530807=CARTESIAN_POINT('',(40.852269,27.433434,0.035)); #530808=CARTESIAN_POINT('Origin',(41.2369530188224,28.0130457246143,0.035)); #530809=CARTESIAN_POINT('Origin',(41.2369530188224,28.0130457246143,0.)); #530810=CARTESIAN_POINT('Origin',(48.248747,39.3536,0.)); #530811=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #530812=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #530813=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #530814=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #530815=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #530816=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #530817=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #530818=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #530819=CARTESIAN_POINT('Origin',(47.622853,39.3536,0.)); #530820=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #530821=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #530822=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #530823=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #530824=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #530825=CARTESIAN_POINT('Origin',(47.596522,39.358294,0.)); #530826=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #530827=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #530828=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #530829=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #530830=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #530831=CARTESIAN_POINT('Origin',(47.570716,39.374228,0.)); #530832=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #530833=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #530834=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #530835=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #530836=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #530837=CARTESIAN_POINT('Origin',(47.553172,39.398966,0.)); #530838=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #530839=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #530840=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #530841=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #530842=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #530843=CARTESIAN_POINT('Origin',(47.546663,39.428584,0.)); #530844=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #530845=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #530846=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #530847=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #530848=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #530849=CARTESIAN_POINT('Origin',(47.552222,39.458397,0.)); #530850=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #530851=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #530852=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #530853=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #530854=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #530855=CARTESIAN_POINT('Origin',(47.568972,39.483681,0.)); #530856=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #530857=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #530858=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #530859=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #530860=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #530861=CARTESIAN_POINT('Origin',(47.729472,39.644181,0.)); #530862=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #530863=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #530864=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #530865=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #530866=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #530867=CARTESIAN_POINT('Origin',(47.753631,39.660466,0.)); #530868=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #530869=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #530870=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #530871=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #530872=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #530873=CARTESIAN_POINT('Origin',(47.783353,39.6665,0.)); #530874=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #530875=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #530876=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #530877=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #530878=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #530879=CARTESIAN_POINT('Origin',(48.409247,39.6665,0.)); #530880=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #530881=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #530882=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #530883=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #530884=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #530885=CARTESIAN_POINT('Origin',(48.435578,39.661806,0.)); #530886=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #530887=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #530888=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #530889=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #530890=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #530891=CARTESIAN_POINT('Origin',(48.461384,39.645872,0.)); #530892=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #530893=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #530894=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #530895=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #530896=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #530897=CARTESIAN_POINT('Origin',(48.478928,39.621134,0.)); #530898=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #530899=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #530900=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #530901=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #530902=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #530903=CARTESIAN_POINT('Origin',(48.485438,39.591516,0.)); #530904=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #530905=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #530906=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #530907=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #530908=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #530909=CARTESIAN_POINT('Origin',(48.479878,39.561703,0.)); #530910=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #530911=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #530912=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #530913=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #530914=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #530915=CARTESIAN_POINT('Origin',(48.463128,39.536419,0.)); #530916=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #530917=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #530918=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #530919=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #530920=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #530921=CARTESIAN_POINT('Origin',(48.302628,39.375919,0.)); #530922=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #530923=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #530924=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #530925=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #530926=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #530927=CARTESIAN_POINT('Origin',(48.278469,39.359634,0.)); #530928=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #530929=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #530930=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.035)); #530931=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.)); #530932=CARTESIAN_POINT('Origin',(37.447022,25.449113,0.)); #530933=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #530934=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #530935=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #530936=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #530937=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #530938=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #530939=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #530940=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #530941=CARTESIAN_POINT('Origin',(37.417166,25.454434,0.)); #530942=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #530943=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #530944=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #530945=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #530946=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #530947=CARTESIAN_POINT('Origin',(37.39175,25.470981,0.)); #530948=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #530949=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #530950=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #530951=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #530952=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #530953=CARTESIAN_POINT('Origin',(37.3748,25.496131,0.)); #530954=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #530955=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #530956=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #530957=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #530958=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #530959=CARTESIAN_POINT('Origin',(37.265016,25.761169,0.)); #530960=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #530961=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #530962=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #530963=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #530964=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #530965=CARTESIAN_POINT('Origin',(37.011169,26.015016,0.)); #530966=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #530967=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #530968=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #530969=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #530970=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #530971=CARTESIAN_POINT('Origin',(36.6795,26.1524,0.)); #530972=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #530973=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #530974=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #530975=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #530976=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #530977=CARTESIAN_POINT('Origin',(36.3205,26.1524,0.)); #530978=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #530979=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #530980=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #530981=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #530982=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #530983=CARTESIAN_POINT('Origin',(35.988831,26.015016,0.)); #530984=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #530985=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #530986=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #530987=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #530988=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #530989=CARTESIAN_POINT('Origin',(35.796181,25.822366,0.)); #530990=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #530991=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #530992=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #530993=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #530994=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #530995=CARTESIAN_POINT('Origin',(35.774244,25.807066,0.)); #530996=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #530997=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #530998=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #530999=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #531000=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #531001=CARTESIAN_POINT('Origin',(35.744728,25.800084,0.)); #531002=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #531003=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #531004=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #531005=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #531006=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #531007=CARTESIAN_POINT('Origin',(35.714831,25.805169,0.)); #531008=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #531009=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #531010=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #531011=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #531012=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #531013=CARTESIAN_POINT('Origin',(35.689284,25.821513,0.)); #531014=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #531015=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #531016=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #531017=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #531018=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #531019=CARTESIAN_POINT('Origin',(35.672134,25.846525,0.)); #531020=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #531021=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #531022=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #531023=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #531024=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #531025=CARTESIAN_POINT('Origin',(35.6661,25.876247,0.)); #531026=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #531027=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #531028=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #531029=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #531030=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #531031=CARTESIAN_POINT('Origin',(35.6661,27.123753,0.)); #531032=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #531033=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #531034=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #531035=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #531036=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #531037=CARTESIAN_POINT('Origin',(35.670794,27.150084,0.)); #531038=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #531039=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #531040=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #531041=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #531042=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #531043=CARTESIAN_POINT('Origin',(35.686728,27.175891,0.)); #531044=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #531045=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #531046=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #531047=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #531048=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #531049=CARTESIAN_POINT('Origin',(35.711466,27.193434,0.)); #531050=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #531051=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #531052=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #531053=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #531054=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #531055=CARTESIAN_POINT('Origin',(35.741084,27.199944,0.)); #531056=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #531057=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #531058=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #531059=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #531060=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #531061=CARTESIAN_POINT('Origin',(35.770897,27.194384,0.)); #531062=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #531063=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #531064=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #531065=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #531066=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #531067=CARTESIAN_POINT('Origin',(35.796181,27.177634,0.)); #531068=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #531069=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #531070=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #531071=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #531072=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #531073=CARTESIAN_POINT('Origin',(35.988831,26.984984,0.)); #531074=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #531075=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #531076=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #531077=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #531078=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #531079=CARTESIAN_POINT('Origin',(36.3205,26.8476,0.)); #531080=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #531081=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #531082=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #531083=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #531084=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #531085=CARTESIAN_POINT('Origin',(36.6795,26.8476,0.)); #531086=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #531087=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #531088=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #531089=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #531090=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #531091=CARTESIAN_POINT('Origin',(37.011169,26.984984,0.)); #531092=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #531093=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #531094=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #531095=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #531096=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #531097=CARTESIAN_POINT('Origin',(37.265016,27.238831,0.)); #531098=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #531099=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #531100=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #531101=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #531102=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #531103=CARTESIAN_POINT('Origin',(37.3748,27.503869,0.)); #531104=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #531105=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #531106=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #531107=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #531108=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #531109=CARTESIAN_POINT('Origin',(37.388803,27.525953,0.)); #531110=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #531111=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #531112=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #531113=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #531114=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #531115=CARTESIAN_POINT('Origin',(37.413256,27.543891,0.)); #531116=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #531117=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #531118=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #531119=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #531120=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #531121=CARTESIAN_POINT('Origin',(37.442772,27.550872,0.)); #531122=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #531123=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #531124=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #531125=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #531126=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #531127=CARTESIAN_POINT('Origin',(37.472669,27.545788,0.)); #531128=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #531129=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #531130=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #531131=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #531132=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #531133=CARTESIAN_POINT('Origin',(37.498216,27.529444,0.)); #531134=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #531135=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #531136=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #531137=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #531138=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #531139=CARTESIAN_POINT('Origin',(37.515366,27.504431,0.)); #531140=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #531141=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #531142=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #531143=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #531144=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #531145=CARTESIAN_POINT('Origin',(37.5214,27.474709,0.)); #531146=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #531147=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #531148=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #531149=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #531150=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #531151=CARTESIAN_POINT('Origin',(37.5214,25.525291,0.)); #531152=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #531153=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #531154=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #531155=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #531156=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #531157=CARTESIAN_POINT('Origin',(37.516913,25.499528,0.)); #531158=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #531159=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #531160=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #531161=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #531162=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #531163=CARTESIAN_POINT('Origin',(37.501184,25.4736,0.)); #531164=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #531165=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #531166=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #531167=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #531168=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #531169=CARTESIAN_POINT('Origin',(37.476591,25.455856,0.)); #531170=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #531171=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #531172=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.035)); #531173=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.)); #531174=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #531175=CARTESIAN_POINT('',(23.735,34.,0.)); #531176=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #531177=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #531178=CARTESIAN_POINT('',(23.735,34.,-200.)); #531179=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #531180=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #531181=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #531182=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #531183=CARTESIAN_POINT('',(27.8709143749371,34.565,0.0350000000000072)); #531184=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #531185=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #531186=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #531187=CARTESIAN_POINT('',(27.8709143749371,34.565,0.)); #531188=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #531189=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #531190=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #531191=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #531192=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #531193=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #531194=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #531195=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #531196=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #531197=CARTESIAN_POINT('',(27.5709143295198,33.435,0.0350000000000072)); #531198=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #531199=CARTESIAN_POINT('',(27.5709143295198,33.435,0.)); #531200=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #531201=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #531202=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #531203=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #531204=CARTESIAN_POINT('Origin',(29.571416,26.595338,0.)); #531205=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #531206=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #531207=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #531208=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #531209=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #531210=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #531211=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #531212=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #531213=CARTESIAN_POINT('Origin',(29.541603,26.600897,0.)); #531214=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #531215=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #531216=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #531217=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #531218=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #531219=CARTESIAN_POINT('Origin',(29.516319,26.617647,0.)); #531220=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #531221=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #531222=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #531223=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #531224=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #531225=CARTESIAN_POINT('Origin',(27.000919,29.133047,0.)); #531226=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #531227=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #531228=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #531229=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #531230=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #531231=CARTESIAN_POINT('Origin',(26.984634,29.157206,0.)); #531232=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #531233=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #531234=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #531235=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #531236=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #531237=CARTESIAN_POINT('Origin',(26.9786,29.186928,0.)); #531238=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #531239=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #531240=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #531241=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #531242=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #531243=CARTESIAN_POINT('Origin',(26.9786,30.592603,0.)); #531244=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #531245=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #531246=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #531247=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #531248=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #531249=CARTESIAN_POINT('Origin',(26.984169,30.6212,0.)); #531250=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #531251=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #531252=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #531253=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #531254=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #531255=CARTESIAN_POINT('Origin',(27.000919,30.646484,0.)); #531256=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #531257=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #531258=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #531259=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #531260=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #531261=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #531262=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #531263=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #531264=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #531265=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #531266=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #531267=CARTESIAN_POINT('Origin',(27.1774,31.177034,0.)); #531268=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #531269=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #531270=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #531271=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #531272=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #531273=CARTESIAN_POINT('Origin',(26.927034,31.4274,0.)); #531274=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #531275=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #531276=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #531277=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #531278=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #531279=CARTESIAN_POINT('Origin',(26.572966,31.4274,0.)); #531280=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #531281=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #531282=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #531283=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #531284=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #531285=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #531286=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #531287=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #531288=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #531289=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #531290=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #531291=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #531292=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #531293=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #531294=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #531295=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #531296=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #531297=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #531298=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #531299=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #531300=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #531301=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #531302=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #531303=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #531304=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #531305=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #531306=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #531307=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #531308=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #531309=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #531310=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #531311=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #531312=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #531313=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #531314=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #531315=CARTESIAN_POINT('Origin',(26.177034,31.4274,0.)); #531316=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #531317=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #531318=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #531319=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #531320=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #531321=CARTESIAN_POINT('Origin',(25.822966,31.4274,0.)); #531322=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #531323=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #531324=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #531325=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #531326=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #531327=CARTESIAN_POINT('Origin',(25.5726,31.177034,0.)); #531328=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #531329=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #531330=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #531331=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #531332=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #531333=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #531334=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #531335=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #531336=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #531337=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #531338=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #531339=CARTESIAN_POINT('Origin',(25.749081,30.646484,0.)); #531340=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #531341=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #531342=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #531343=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #531344=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #531345=CARTESIAN_POINT('Origin',(25.765366,30.622325,0.)); #531346=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #531347=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #531348=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #531349=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #531350=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #531351=CARTESIAN_POINT('Origin',(25.7714,30.592603,0.)); #531352=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #531353=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #531354=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #531355=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #531356=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #531357=CARTESIAN_POINT('Origin',(25.7714,29.3048,0.)); #531358=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #531359=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #531360=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #531361=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #531362=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #531363=CARTESIAN_POINT('Origin',(25.766278,29.277331,0.)); #531364=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #531365=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #531366=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #531367=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #531368=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #531369=CARTESIAN_POINT('Origin',(25.749934,29.251784,0.)); #531370=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #531371=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #531372=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #531373=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #531374=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #531375=CARTESIAN_POINT('Origin',(25.724922,29.234634,0.)); #531376=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #531377=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #531378=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #531379=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #531380=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #531381=CARTESIAN_POINT('Origin',(25.6952,29.2286,0.)); #531382=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #531383=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #531384=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #531385=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #531386=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #531387=CARTESIAN_POINT('Origin',(20.5528,29.2286,0.)); #531388=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #531389=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #531390=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #531391=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #531392=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #531393=CARTESIAN_POINT('Origin',(20.525331,29.233722,0.)); #531394=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #531395=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #531396=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #531397=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #531398=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #531399=CARTESIAN_POINT('Origin',(20.499784,29.250066,0.)); #531400=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #531401=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #531402=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #531403=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #531404=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #531405=CARTESIAN_POINT('Origin',(20.482634,29.275078,0.)); #531406=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #531407=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #531408=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #531409=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #531410=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #531411=CARTESIAN_POINT('Origin',(20.4766,29.3048,0.)); #531412=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #531413=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #531414=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #531415=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #531416=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #531417=CARTESIAN_POINT('Origin',(20.4766,30.1972,0.)); #531418=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #531419=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #531420=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #531421=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #531422=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #531423=CARTESIAN_POINT('Origin',(20.481722,30.224669,0.)); #531424=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #531425=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #531426=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #531427=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #531428=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #531429=CARTESIAN_POINT('Origin',(20.498066,30.250216,0.)); #531430=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #531431=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #531432=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #531433=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #531434=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #531435=CARTESIAN_POINT('Origin',(20.523078,30.267366,0.)); #531436=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #531437=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #531438=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #531439=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #531440=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #531441=CARTESIAN_POINT('Origin',(20.5528,30.2734,0.)); #531442=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #531443=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #531444=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #531445=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #531446=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #531447=CARTESIAN_POINT('Origin',(21.620953,30.2734,0.)); #531448=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #531449=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #531450=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #531451=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #531452=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #531453=CARTESIAN_POINT('Origin',(21.646309,30.2761,0.)); #531454=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #531455=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #531456=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #531457=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #531458=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #531459=CARTESIAN_POINT('Origin',(21.666663,30.282806,0.)); #531460=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #531461=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #531462=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #531463=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #531464=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #531465=CARTESIAN_POINT('Origin',(21.686197,30.294269,0.)); #531466=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #531467=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #531468=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #531469=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #531470=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #531471=CARTESIAN_POINT('Origin',(21.698866,30.305178,0.)); #531472=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #531473=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #531474=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #531475=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #531476=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #531477=CARTESIAN_POINT('Origin',(23.068978,31.675291,0.)); #531478=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #531479=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #531480=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #531481=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #531482=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #531483=CARTESIAN_POINT('Origin',(23.084997,31.695131,0.)); #531484=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #531485=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #531486=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #531487=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #531488=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #531489=CARTESIAN_POINT('Origin',(23.094647,31.714272,0.)); #531490=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #531491=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #531492=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #531493=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #531494=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #531495=CARTESIAN_POINT('Origin',(23.100359,31.736184,0.)); #531496=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #531497=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #531498=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #531499=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #531500=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #531501=CARTESIAN_POINT('Origin',(23.1016,31.752847,0.)); #531502=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #531503=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #531504=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #531505=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #531506=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #531507=CARTESIAN_POINT('Origin',(23.1016,34.92635,0.)); #531508=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #531509=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #531510=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #531511=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #531512=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #531513=CARTESIAN_POINT('Origin',(23.107169,34.954947,0.)); #531514=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #531515=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #531516=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #531517=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #531518=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #531519=CARTESIAN_POINT('Origin',(23.123919,34.980231,0.)); #531520=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #531521=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #531522=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #531523=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #531524=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #531525=CARTESIAN_POINT('Origin',(24.019769,35.876081,0.)); #531526=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #531527=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #531528=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #531529=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #531530=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #531531=CARTESIAN_POINT('Origin',(24.043928,35.892366,0.)); #531532=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #531533=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #531534=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #531535=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #531536=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #531537=CARTESIAN_POINT('Origin',(24.07365,35.8984,0.)); #531538=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #531539=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #531540=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #531541=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #531542=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #531543=CARTESIAN_POINT('Origin',(25.4964,35.8984,0.)); #531544=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #531545=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #531546=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #531547=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #531548=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #531549=CARTESIAN_POINT('Origin',(25.523869,35.893278,0.)); #531550=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #531551=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #531552=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #531553=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #531554=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #531555=CARTESIAN_POINT('Origin',(25.549416,35.876934,0.)); #531556=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #531557=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #531558=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #531559=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #531560=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #531561=CARTESIAN_POINT('Origin',(25.566566,35.851922,0.)); #531562=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #531563=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #531564=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #531565=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #531566=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #531567=CARTESIAN_POINT('Origin',(25.572406,35.823159,0.)); #531568=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #531569=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #531570=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #531571=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #531572=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #531573=CARTESIAN_POINT('Origin',(25.822966,35.5726,0.)); #531574=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #531575=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #531576=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #531577=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #531578=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #531579=CARTESIAN_POINT('Origin',(26.177034,35.5726,0.)); #531580=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #531581=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #531582=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #531583=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #531584=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #531585=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #531586=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #531587=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #531588=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #531589=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #531590=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #531591=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #531592=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #531593=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #531594=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #531595=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #531596=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #531597=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #531598=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #531599=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #531600=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #531601=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #531602=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #531603=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #531604=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #531605=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #531606=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #531607=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #531608=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #531609=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #531610=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #531611=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #531612=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #531613=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #531614=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #531615=CARTESIAN_POINT('Origin',(26.572966,35.5726,0.)); #531616=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #531617=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #531618=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #531619=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #531620=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #531621=CARTESIAN_POINT('Origin',(26.927034,35.5726,0.)); #531622=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #531623=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #531624=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #531625=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #531626=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #531627=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #531628=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #531629=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #531630=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #531631=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #531632=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #531633=CARTESIAN_POINT('Origin',(27.1774,36.004672,0.)); #531634=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #531635=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #531636=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #531637=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #531638=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #531639=CARTESIAN_POINT('Origin',(27.182094,36.031003,0.)); #531640=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #531641=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #531642=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #531643=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #531644=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #531645=CARTESIAN_POINT('Origin',(27.198028,36.056809,0.)); #531646=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #531647=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #531648=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #531649=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #531650=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #531651=CARTESIAN_POINT('Origin',(27.222766,36.074353,0.)); #531652=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #531653=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #531654=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #531655=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #531656=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #531657=CARTESIAN_POINT('Origin',(27.252384,36.080863,0.)); #531658=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #531659=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #531660=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #531661=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #531662=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #531663=CARTESIAN_POINT('Origin',(27.282197,36.075303,0.)); #531664=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #531665=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #531666=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #531667=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #531668=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #531669=CARTESIAN_POINT('Origin',(27.307481,36.058553,0.)); #531670=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #531671=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #531672=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #531673=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #531674=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #531675=CARTESIAN_POINT('Origin',(27.594634,35.7714,0.)); #531676=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #531677=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #531678=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #531679=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #531680=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #531681=CARTESIAN_POINT('Origin',(29.563072,35.7714,0.)); #531682=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #531683=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #531684=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #531685=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #531686=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #531687=CARTESIAN_POINT('Origin',(29.591669,35.765831,0.)); #531688=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #531689=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #531690=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #531691=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #531692=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #531693=CARTESIAN_POINT('Origin',(29.616953,35.749081,0.)); #531694=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #531695=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #531696=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #531697=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #531698=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #531699=CARTESIAN_POINT('Origin',(30.936581,34.429453,0.)); #531700=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #531701=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #531702=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #531703=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #531704=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #531705=CARTESIAN_POINT('Origin',(30.952866,34.405294,0.)); #531706=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #531707=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #531708=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #531709=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #531710=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #531711=CARTESIAN_POINT('Origin',(30.9589,34.375572,0.)); #531712=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #531713=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #531714=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #531715=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #531716=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #531717=CARTESIAN_POINT('Origin',(30.9589,32.563753,0.)); #531718=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #531719=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #531720=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #531721=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #531722=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #531723=CARTESIAN_POINT('Origin',(30.953331,32.535156,0.)); #531724=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #531725=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #531726=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #531727=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #531728=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #531729=CARTESIAN_POINT('Origin',(30.936581,32.509872,0.)); #531730=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #531731=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #531732=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #531733=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #531734=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #531735=CARTESIAN_POINT('Origin',(29.6464,31.219691,0.)); #531736=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #531737=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #531738=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #531739=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #531740=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #531741=CARTESIAN_POINT('Origin',(29.6464,26.671528,0.)); #531742=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #531743=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #531744=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #531745=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #531746=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #531747=CARTESIAN_POINT('Origin',(29.641706,26.645197,0.)); #531748=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #531749=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #531750=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #531751=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #531752=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #531753=CARTESIAN_POINT('Origin',(29.625772,26.619391,0.)); #531754=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #531755=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #531756=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #531757=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #531758=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #531759=CARTESIAN_POINT('Origin',(29.601034,26.601847,0.)); #531760=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #531761=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #531762=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.035)); #531763=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.)); #531764=CARTESIAN_POINT('Origin',(27.294484,25.4786,0.)); #531765=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #531766=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #531767=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #531768=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #531769=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #531770=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #531771=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #531772=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #531773=CARTESIAN_POINT('Origin',(24.4097,25.4786,0.)); #531774=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #531775=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #531776=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #531777=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #531778=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #531779=CARTESIAN_POINT('Origin',(24.382231,25.483722,0.)); #531780=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #531781=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #531782=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #531783=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #531784=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #531785=CARTESIAN_POINT('Origin',(24.356684,25.500066,0.)); #531786=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #531787=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #531788=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #531789=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #531790=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #531791=CARTESIAN_POINT('Origin',(24.339534,25.525078,0.)); #531792=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #531793=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #531794=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #531795=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #531796=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #531797=CARTESIAN_POINT('Origin',(24.3335,25.5548,0.)); #531798=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #531799=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #531800=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #531801=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #531802=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #531803=CARTESIAN_POINT('Origin',(24.3335,25.6952,0.)); #531804=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #531805=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #531806=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #531807=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #531808=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #531809=CARTESIAN_POINT('Origin',(24.338622,25.722669,0.)); #531810=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #531811=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #531812=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #531813=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #531814=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #531815=CARTESIAN_POINT('Origin',(24.354966,25.748216,0.)); #531816=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #531817=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #531818=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #531819=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #531820=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #531821=CARTESIAN_POINT('Origin',(24.379978,25.765366,0.)); #531822=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #531823=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #531824=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #531825=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #531826=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #531827=CARTESIAN_POINT('Origin',(24.4097,25.7714,0.)); #531828=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #531829=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #531830=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #531831=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #531832=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #531833=CARTESIAN_POINT('Origin',(27.154084,25.7714,0.)); #531834=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #531835=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #531836=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #531837=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #531838=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #531839=CARTESIAN_POINT('Origin',(27.182681,25.765831,0.)); #531840=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #531841=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #531842=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #531843=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #531844=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #531845=CARTESIAN_POINT('Origin',(27.207966,25.749081,0.)); #531846=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #531847=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #531848=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #531849=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #531850=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #531851=CARTESIAN_POINT('Origin',(27.348366,25.608681,0.)); #531852=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #531853=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #531854=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #531855=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #531856=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #531857=CARTESIAN_POINT('Origin',(27.363666,25.586744,0.)); #531858=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #531859=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #531860=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #531861=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #531862=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #531863=CARTESIAN_POINT('Origin',(27.370647,25.557228,0.)); #531864=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #531865=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #531866=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #531867=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #531868=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #531869=CARTESIAN_POINT('Origin',(27.365563,25.527331,0.)); #531870=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #531871=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #531872=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #531873=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #531874=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #531875=CARTESIAN_POINT('Origin',(27.349219,25.501784,0.)); #531876=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #531877=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #531878=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #531879=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #531880=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #531881=CARTESIAN_POINT('Origin',(27.324206,25.484634,0.)); #531882=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #531883=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #531884=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.035)); #531885=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.)); #531886=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #531887=CARTESIAN_POINT('',(44.985,56.75,0.)); #531888=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #531889=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #531890=CARTESIAN_POINT('',(44.985,56.75,-200.)); #531891=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #531892=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #531893=CARTESIAN_POINT('',(47.61,56.75,0.)); #531894=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #531895=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #531896=CARTESIAN_POINT('',(47.61,56.75,-200.)); #531897=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #531898=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #531899=CARTESIAN_POINT('',(47.61,55.25,0.)); #531900=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #531901=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #531902=CARTESIAN_POINT('',(47.61,55.25,-200.)); #531903=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #531904=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #531905=CARTESIAN_POINT('',(47.61,56.,0.)); #531906=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #531907=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #531908=CARTESIAN_POINT('',(47.61,56.,-200.)); #531909=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #531910=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #531911=CARTESIAN_POINT('',(45.86,56.,0.)); #531912=CARTESIAN_POINT('Origin',(46.,56.,0.)); #531913=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #531914=CARTESIAN_POINT('',(45.86,56.,-200.)); #531915=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #531916=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #531917=CARTESIAN_POINT('',(44.11,56.,0.)); #531918=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #531919=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #531920=CARTESIAN_POINT('',(44.11,56.,-200.)); #531921=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #531922=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #531923=CARTESIAN_POINT('',(45.86,56.75,0.)); #531924=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #531925=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #531926=CARTESIAN_POINT('',(45.86,56.75,-200.)); #531927=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #531928=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #531929=CARTESIAN_POINT('',(45.86,55.25,0.)); #531930=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #531931=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #531932=CARTESIAN_POINT('',(45.86,55.25,-200.)); #531933=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #531934=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #531935=CARTESIAN_POINT('',(44.11,56.75,0.)); #531936=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #531937=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #531938=CARTESIAN_POINT('',(44.11,56.75,-200.)); #531939=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #531940=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #531941=CARTESIAN_POINT('',(44.11,55.25,0.)); #531942=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #531943=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #531944=CARTESIAN_POINT('',(44.11,55.25,-200.)); #531945=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #531946=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #531947=CARTESIAN_POINT('',(46.735,56.,0.)); #531948=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #531949=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #531950=CARTESIAN_POINT('',(46.735,56.,-200.)); #531951=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #531952=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #531953=CARTESIAN_POINT('',(46.735,55.25,0.)); #531954=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #531955=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #531956=CARTESIAN_POINT('',(46.735,55.25,-200.)); #531957=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #531958=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #531959=CARTESIAN_POINT('',(44.985,56.,0.)); #531960=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #531961=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #531962=CARTESIAN_POINT('',(44.985,56.,-200.)); #531963=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #531964=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #531965=CARTESIAN_POINT('',(44.985,55.25,0.)); #531966=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #531967=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #531968=CARTESIAN_POINT('',(44.985,55.25,-200.)); #531969=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #531970=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #531971=CARTESIAN_POINT('',(46.735,56.75,0.)); #531972=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #531973=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #531974=CARTESIAN_POINT('',(46.735,56.75,-200.)); #531975=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #531976=CARTESIAN_POINT('Origin',(42.984647,49.606559,0.)); #531977=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #531978=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #531979=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #531980=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #531981=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #531982=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #531983=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #531984=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #531985=CARTESIAN_POINT('Origin',(42.934819,49.615031,0.)); #531986=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #531987=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #531988=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #531989=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #531990=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #531991=CARTESIAN_POINT('Origin',(42.892241,49.642272,0.)); #531992=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #531993=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #531994=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #531995=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #531996=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #531997=CARTESIAN_POINT('Origin',(42.863659,49.683959,0.)); #531998=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #531999=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #532000=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #532001=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #532002=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #532003=CARTESIAN_POINT('Origin',(42.8536,49.733494,0.)); #532004=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #532005=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #532006=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #532007=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #532008=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #532009=CARTESIAN_POINT('Origin',(42.8536,57.727706,0.)); #532010=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #532011=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #532012=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #532013=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #532014=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #532015=CARTESIAN_POINT('Origin',(42.862884,57.775369,0.)); #532016=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #532017=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #532018=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #532019=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #532020=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #532021=CARTESIAN_POINT('Origin',(42.890797,57.817509,0.)); #532022=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #532023=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #532024=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #532025=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #532026=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #532027=CARTESIAN_POINT('Origin',(43.557491,58.484203,0.)); #532028=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #532029=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #532030=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #532031=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #532032=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #532033=CARTESIAN_POINT('Origin',(43.597759,58.511341,0.)); #532034=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #532035=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #532036=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #532037=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #532038=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #532039=CARTESIAN_POINT('Origin',(43.647294,58.5214,0.)); #532040=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #532041=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #532042=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #532043=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #532044=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #532045=CARTESIAN_POINT('Origin',(47.476559,58.5214,0.)); #532046=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #532047=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #532048=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #532049=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #532050=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #532051=CARTESIAN_POINT('Origin',(47.524222,58.512116,0.)); #532052=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #532053=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #532054=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #532055=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #532056=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #532057=CARTESIAN_POINT('Origin',(47.566363,58.484203,0.)); #532058=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #532059=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #532060=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #532061=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #532062=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #532063=CARTESIAN_POINT('Origin',(47.727966,58.3226,0.)); #532064=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #532065=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #532066=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #532067=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #532068=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #532069=CARTESIAN_POINT('Origin',(48.082034,58.3226,0.)); #532070=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #532071=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #532072=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #532073=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #532074=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #532075=CARTESIAN_POINT('Origin',(48.102416,58.342981,0.)); #532076=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #532077=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #532078=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #532079=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #532080=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #532081=CARTESIAN_POINT('Origin',(48.140828,58.369316,0.)); #532082=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #532083=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #532084=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #532085=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #532086=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #532087=CARTESIAN_POINT('Origin',(48.190194,58.380163,0.)); #532088=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #532089=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #532090=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #532091=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #532092=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #532093=CARTESIAN_POINT('Origin',(48.239884,58.370894,0.)); #532094=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #532095=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #532096=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #532097=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #532098=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #532099=CARTESIAN_POINT('Origin',(48.282022,58.342981,0.)); #532100=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #532101=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #532102=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #532103=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #532104=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #532105=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #532106=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #532107=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #532108=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #532109=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #532110=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #532111=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #532112=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #532113=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #532114=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #532115=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #532116=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #532117=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #532118=CARTESIAN_POINT('',(49.625,56.947394,0.)); #532119=CARTESIAN_POINT('',(49.625,56.947394,0.)); #532120=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #532121=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #532122=CARTESIAN_POINT('',(49.625,56.947394,0.)); #532123=CARTESIAN_POINT('Origin',(49.625,51.189284,0.)); #532124=CARTESIAN_POINT('',(49.625,51.189284,0.)); #532125=CARTESIAN_POINT('',(49.625,51.189284,0.)); #532126=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #532127=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #532128=CARTESIAN_POINT('',(49.625,51.189284,0.)); #532129=CARTESIAN_POINT('Origin',(49.609653,51.128766,0.)); #532130=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #532131=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #532132=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #532133=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #532134=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #532135=CARTESIAN_POINT('Origin',(49.577206,51.090009,0.)); #532136=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #532137=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #532138=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #532139=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #532140=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #532141=CARTESIAN_POINT('Origin',(49.548128,51.066809,0.)); #532142=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #532143=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #532144=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #532145=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #532146=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #532147=CARTESIAN_POINT('Origin',(48.460181,49.978863,0.)); #532148=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #532149=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #532150=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #532151=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #532152=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #532153=CARTESIAN_POINT('Origin',(48.421772,49.952528,0.)); #532154=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #532155=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #532156=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #532157=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #532158=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #532159=CARTESIAN_POINT('Origin',(48.372403,49.941681,0.)); #532160=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #532161=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #532162=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #532163=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #532164=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #532165=CARTESIAN_POINT('Origin',(48.322716,49.95095,0.)); #532166=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #532167=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #532168=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #532169=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #532170=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #532171=CARTESIAN_POINT('Origin',(48.280575,49.978863,0.)); #532172=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #532173=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #532174=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #532175=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #532176=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #532177=CARTESIAN_POINT('Origin',(48.082034,50.1774,0.)); #532178=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #532179=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #532180=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #532181=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #532182=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #532183=CARTESIAN_POINT('Origin',(47.727966,50.1774,0.)); #532184=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #532185=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #532186=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #532187=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #532188=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #532189=CARTESIAN_POINT('Origin',(47.566363,50.015797,0.)); #532190=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #532191=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #532192=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #532193=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #532194=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #532195=CARTESIAN_POINT('Origin',(47.526094,49.988659,0.)); #532196=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #532197=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #532198=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #532199=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #532200=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #532201=CARTESIAN_POINT('Origin',(47.476559,49.9786,0.)); #532202=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #532203=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #532204=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #532205=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #532206=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #532207=CARTESIAN_POINT('Origin',(43.405313,49.9786,0.)); #532208=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #532209=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #532210=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #532211=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #532212=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #532213=CARTESIAN_POINT('Origin',(43.070403,49.643691,0.)); #532214=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #532215=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #532216=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #532217=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #532218=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #532219=CARTESIAN_POINT('Origin',(43.033838,49.618191,0.)); #532220=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #532221=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #532222=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.035)); #532223=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.)); #532224=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #532225=CARTESIAN_POINT('',(38.372,53.,0.)); #532226=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #532227=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #532228=CARTESIAN_POINT('',(38.372,53.,-200.)); #532229=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #532230=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.)); #532231=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #532232=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #532233=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #532234=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #532235=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #532236=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #532237=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #532238=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #532239=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.)); #532240=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #532241=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #532242=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #532243=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #532244=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #532245=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.)); #532246=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #532247=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #532248=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #532249=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #532250=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #532251=CARTESIAN_POINT('Origin',(34.123,20.7125,0.)); #532252=CARTESIAN_POINT('',(34.123,20.7125,0.)); #532253=CARTESIAN_POINT('',(34.123,20.7125,0.)); #532254=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #532255=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #532256=CARTESIAN_POINT('',(34.123,20.7125,0.)); #532257=CARTESIAN_POINT('Origin',(34.123,21.969663,0.)); #532258=CARTESIAN_POINT('',(34.123,21.969663,0.)); #532259=CARTESIAN_POINT('',(34.123,21.969663,0.)); #532260=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #532261=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #532262=CARTESIAN_POINT('',(34.123,21.969663,0.)); #532263=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #532264=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #532265=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #532266=CARTESIAN_POINT('',(34.160197,22.059466,0.035)); #532267=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.035)); #532268=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #532269=CARTESIAN_POINT('Origin',(35.3105,23.209769,0.)); #532270=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #532271=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #532272=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #532273=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #532274=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #532275=CARTESIAN_POINT('Origin',(35.3105,34.1875,0.)); #532276=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #532277=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #532278=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #532279=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #532280=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #532281=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #532282=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #532283=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #532284=CARTESIAN_POINT('',(35.347697,34.277303,0.035)); #532285=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.035)); #532286=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #532287=CARTESIAN_POINT('Origin',(37.6735,36.603106,0.)); #532288=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #532289=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #532290=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #532291=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #532292=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #532293=CARTESIAN_POINT('Origin',(37.6735,37.384894,0.)); #532294=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #532295=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #532296=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #532297=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #532298=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #532299=CARTESIAN_POINT('Origin',(37.502894,37.5555,0.)); #532300=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #532301=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #532302=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #532303=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #532304=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #532305=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.)); #532306=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #532307=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #532308=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #532309=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #532310=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #532311=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.)); #532312=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #532313=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #532314=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #532315=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #532316=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #532317=CARTESIAN_POINT('Origin',(37.502894,38.4445,0.)); #532318=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #532319=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #532320=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #532321=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #532322=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #532323=CARTESIAN_POINT('Origin',(42.123,43.064606,0.)); #532324=CARTESIAN_POINT('',(42.123,43.064606,0.)); #532325=CARTESIAN_POINT('',(42.123,43.064606,0.)); #532326=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #532327=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #532328=CARTESIAN_POINT('',(42.123,43.064606,0.)); #532329=CARTESIAN_POINT('Origin',(42.123,51.697394,0.)); #532330=CARTESIAN_POINT('',(42.123,51.697394,0.)); #532331=CARTESIAN_POINT('',(42.123,51.697394,0.)); #532332=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #532333=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #532334=CARTESIAN_POINT('',(42.123,51.697394,0.)); #532335=CARTESIAN_POINT('Origin',(40.947394,52.873,0.)); #532336=CARTESIAN_POINT('',(40.947394,52.873,0.)); #532337=CARTESIAN_POINT('',(40.947394,52.873,0.)); #532338=CARTESIAN_POINT('',(40.947394,52.873,0.035)); #532339=CARTESIAN_POINT('',(40.947394,52.873,0.035)); #532340=CARTESIAN_POINT('',(40.947394,52.873,0.)); #532341=CARTESIAN_POINT('Origin',(38.9311,52.873,0.)); #532342=CARTESIAN_POINT('',(38.9311,52.873,0.)); #532343=CARTESIAN_POINT('',(38.9311,52.873,0.)); #532344=CARTESIAN_POINT('',(38.9311,52.873,0.035)); #532345=CARTESIAN_POINT('',(38.9311,52.873,0.035)); #532346=CARTESIAN_POINT('',(38.9311,52.873,0.)); #532347=CARTESIAN_POINT('Origin',(38.9311,52.5555,0.)); #532348=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #532349=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #532350=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #532351=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #532352=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #532353=CARTESIAN_POINT('Origin',(38.0929,52.5555,0.)); #532354=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #532355=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #532356=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #532357=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #532358=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #532359=CARTESIAN_POINT('Origin',(38.0929,53.4445,0.)); #532360=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #532361=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #532362=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #532363=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #532364=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #532365=CARTESIAN_POINT('Origin',(38.9311,53.4445,0.)); #532366=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #532367=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #532368=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #532369=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #532370=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #532371=CARTESIAN_POINT('Origin',(38.9311,53.127,0.)); #532372=CARTESIAN_POINT('',(38.9311,53.127,0.)); #532373=CARTESIAN_POINT('',(38.9311,53.127,0.)); #532374=CARTESIAN_POINT('',(38.9311,53.127,0.035)); #532375=CARTESIAN_POINT('',(38.9311,53.127,0.035)); #532376=CARTESIAN_POINT('',(38.9311,53.127,0.)); #532377=CARTESIAN_POINT('Origin',(41.,53.127,0.)); #532378=CARTESIAN_POINT('',(41.,53.127,0.)); #532379=CARTESIAN_POINT('',(41.,53.127,0.)); #532380=CARTESIAN_POINT('',(41.,53.127,0.035)); #532381=CARTESIAN_POINT('',(41.,53.127,0.035)); #532382=CARTESIAN_POINT('',(41.,53.127,0.)); #532383=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.)); #532384=CARTESIAN_POINT('',(41.089803,53.089803,0.)); #532385=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.)); #532386=CARTESIAN_POINT('',(41.089803,53.089803,0.035)); #532387=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.035)); #532388=CARTESIAN_POINT('',(41.089803,53.089803,0.)); #532389=CARTESIAN_POINT('Origin',(42.339803,51.839803,0.)); #532390=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #532391=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #532392=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #532393=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #532394=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #532395=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #532396=CARTESIAN_POINT('',(42.377,51.75,0.)); #532397=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #532398=CARTESIAN_POINT('',(42.377,51.75,0.035)); #532399=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.035)); #532400=CARTESIAN_POINT('',(42.377,51.75,0.)); #532401=CARTESIAN_POINT('Origin',(42.377,43.,0.)); #532402=CARTESIAN_POINT('',(42.377,43.,0.)); #532403=CARTESIAN_POINT('',(42.377,43.,0.)); #532404=CARTESIAN_POINT('',(42.377,43.,0.035)); #532405=CARTESIAN_POINT('',(42.377,43.,0.035)); #532406=CARTESIAN_POINT('',(42.377,43.,0.)); #532407=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #532408=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #532409=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #532410=CARTESIAN_POINT('',(42.301719,42.884113,0.035)); #532411=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.035)); #532412=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #532413=CARTESIAN_POINT('Origin',(37.6571,38.239494,0.)); #532414=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #532415=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #532416=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #532417=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #532418=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #532419=CARTESIAN_POINT('Origin',(37.6571,37.760506,0.)); #532420=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #532421=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #532422=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #532423=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #532424=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #532425=CARTESIAN_POINT('Origin',(37.890303,37.527303,0.)); #532426=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #532427=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #532428=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #532429=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #532430=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #532431=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #532432=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #532433=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #532434=CARTESIAN_POINT('',(37.9275,37.4375,0.035)); #532435=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.035)); #532436=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #532437=CARTESIAN_POINT('Origin',(37.9275,36.5505,0.)); #532438=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #532439=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #532440=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #532441=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #532442=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #532443=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #532444=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #532445=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #532446=CARTESIAN_POINT('',(37.890303,36.460697,0.035)); #532447=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.035)); #532448=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #532449=CARTESIAN_POINT('Origin',(35.5645,34.134894,0.)); #532450=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #532451=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #532452=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #532453=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #532454=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #532455=CARTESIAN_POINT('Origin',(35.5645,23.157163,0.)); #532456=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #532457=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #532458=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #532459=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #532460=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #532461=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #532462=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #532463=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #532464=CARTESIAN_POINT('',(35.527303,23.067359,0.035)); #532465=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.035)); #532466=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #532467=CARTESIAN_POINT('Origin',(34.377,21.917056,0.)); #532468=CARTESIAN_POINT('',(34.377,21.917056,0.)); #532469=CARTESIAN_POINT('',(34.377,21.917056,0.)); #532470=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #532471=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #532472=CARTESIAN_POINT('',(34.377,21.917056,0.)); #532473=CARTESIAN_POINT('Origin',(34.377,20.7125,0.)); #532474=CARTESIAN_POINT('',(34.377,20.7125,0.)); #532475=CARTESIAN_POINT('',(34.377,20.7125,0.)); #532476=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #532477=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #532478=CARTESIAN_POINT('',(34.377,20.7125,0.)); #532479=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.)); #532480=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #532481=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #532482=CARTESIAN_POINT('Origin',(38.3338383077531,38.1668940391581,0.035)); #532483=CARTESIAN_POINT('Origin',(38.3338383077531,38.1668940391581,0.)); #532484=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #532485=CARTESIAN_POINT('',(23.61,56.,0.)); #532486=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #532487=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #532488=CARTESIAN_POINT('',(23.61,56.,-200.)); #532489=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #532490=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #532491=CARTESIAN_POINT('',(23.61,55.25,0.)); #532492=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #532493=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #532494=CARTESIAN_POINT('',(23.61,55.25,-200.)); #532495=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #532496=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #532497=CARTESIAN_POINT('',(21.86,56.75,0.)); #532498=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #532499=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #532500=CARTESIAN_POINT('',(21.86,56.75,-200.)); #532501=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #532502=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #532503=CARTESIAN_POINT('',(21.86,55.25,0.)); #532504=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #532505=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #532506=CARTESIAN_POINT('',(21.86,55.25,-200.)); #532507=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #532508=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #532509=CARTESIAN_POINT('',(20.11,55.25,0.)); #532510=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #532511=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #532512=CARTESIAN_POINT('',(20.11,55.25,-200.)); #532513=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #532514=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #532515=CARTESIAN_POINT('',(20.11,56.75,0.)); #532516=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #532517=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #532518=CARTESIAN_POINT('',(20.11,56.75,-200.)); #532519=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #532520=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #532521=CARTESIAN_POINT('',(20.11,56.,0.)); #532522=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #532523=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #532524=CARTESIAN_POINT('',(20.11,56.,-200.)); #532525=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #532526=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #532527=CARTESIAN_POINT('',(21.86,56.,0.)); #532528=CARTESIAN_POINT('Origin',(22.,56.,0.)); #532529=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #532530=CARTESIAN_POINT('',(21.86,56.,-200.)); #532531=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #532532=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #532533=CARTESIAN_POINT('',(20.985,56.75,0.)); #532534=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #532535=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #532536=CARTESIAN_POINT('',(20.985,56.75,-200.)); #532537=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #532538=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #532539=CARTESIAN_POINT('',(20.985,55.25,0.)); #532540=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #532541=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #532542=CARTESIAN_POINT('',(20.985,55.25,-200.)); #532543=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #532544=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #532545=CARTESIAN_POINT('',(20.985,56.,0.)); #532546=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #532547=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #532548=CARTESIAN_POINT('',(20.985,56.,-200.)); #532549=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #532550=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #532551=CARTESIAN_POINT('',(22.735,56.75,0.)); #532552=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #532553=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #532554=CARTESIAN_POINT('',(22.735,56.75,-200.)); #532555=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #532556=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #532557=CARTESIAN_POINT('',(23.61,56.75,0.)); #532558=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #532559=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #532560=CARTESIAN_POINT('',(23.61,56.75,-200.)); #532561=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #532562=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #532563=CARTESIAN_POINT('',(22.735,56.,0.)); #532564=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #532565=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #532566=CARTESIAN_POINT('',(22.735,56.,-200.)); #532567=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #532568=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #532569=CARTESIAN_POINT('',(22.735,55.25,0.)); #532570=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #532571=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #532572=CARTESIAN_POINT('',(22.735,55.25,-200.)); #532573=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #532574=CARTESIAN_POINT('Origin',(25.021425,49.606509,0.)); #532575=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #532576=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #532577=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #532578=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #532579=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #532580=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #532581=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #532582=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #532583=CARTESIAN_POINT('Origin',(24.971737,49.615778,0.)); #532584=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #532585=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #532586=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #532587=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #532588=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #532589=CARTESIAN_POINT('Origin',(24.929597,49.643691,0.)); #532590=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #532591=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #532592=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #532593=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #532594=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #532595=CARTESIAN_POINT('Origin',(24.594688,49.9786,0.)); #532596=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #532597=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #532598=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #532599=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #532600=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #532601=CARTESIAN_POINT('Origin',(24.333441,49.9786,0.)); #532602=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #532603=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #532604=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #532605=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #532606=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #532607=CARTESIAN_POINT('Origin',(24.285778,49.987884,0.)); #532608=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #532609=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #532610=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #532611=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #532612=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #532613=CARTESIAN_POINT('Origin',(24.243638,50.015797,0.)); #532614=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #532615=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #532616=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #532617=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #532618=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #532619=CARTESIAN_POINT('Origin',(24.082034,50.1774,0.)); #532620=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #532621=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #532622=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #532623=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #532624=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #532625=CARTESIAN_POINT('Origin',(23.727966,50.1774,0.)); #532626=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #532627=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #532628=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #532629=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #532630=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #532631=CARTESIAN_POINT('Origin',(23.443625,49.893059,0.)); #532632=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #532633=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #532634=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #532635=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #532636=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #532637=CARTESIAN_POINT('Origin',(23.441822,49.890241,0.)); #532638=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #532639=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #532640=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #532641=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #532642=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #532643=CARTESIAN_POINT('Origin',(23.400134,49.861659,0.)); #532644=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #532645=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #532646=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #532647=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #532648=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #532649=CARTESIAN_POINT('Origin',(23.3506,49.8516,0.)); #532650=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #532651=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #532652=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #532653=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #532654=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #532655=CARTESIAN_POINT('Origin',(19.719688,49.8516,0.)); #532656=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #532657=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #532658=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #532659=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #532660=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #532661=CARTESIAN_POINT('Origin',(19.672025,49.860884,0.)); #532662=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #532663=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #532664=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #532665=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #532666=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #532667=CARTESIAN_POINT('Origin',(19.629884,49.888797,0.)); #532668=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #532669=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #532670=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #532671=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #532672=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #532673=CARTESIAN_POINT('Origin',(18.451025,51.067656,0.)); #532674=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #532675=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #532676=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #532677=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #532678=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #532679=CARTESIAN_POINT('Origin',(18.422725,51.091103,0.)); #532680=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #532681=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #532682=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #532683=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #532684=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #532685=CARTESIAN_POINT('Origin',(18.423144,51.091609,0.)); #532686=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #532687=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #532688=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #532689=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #532690=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #532691=CARTESIAN_POINT('Origin',(18.413641,51.098641,0.)); #532692=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #532693=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #532694=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #532695=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #532696=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #532697=CARTESIAN_POINT('Origin',(18.385059,51.140328,0.)); #532698=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #532699=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #532700=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #532701=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #532702=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #532703=CARTESIAN_POINT('Origin',(18.375,51.189863,0.)); #532704=CARTESIAN_POINT('',(18.375,51.189863,0.)); #532705=CARTESIAN_POINT('',(18.375,51.189863,0.)); #532706=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #532707=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #532708=CARTESIAN_POINT('',(18.375,51.189863,0.)); #532709=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #532710=CARTESIAN_POINT('',(18.375,56.947394,0.)); #532711=CARTESIAN_POINT('',(18.375,56.947394,0.)); #532712=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #532713=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #532714=CARTESIAN_POINT('',(18.375,56.947394,0.)); #532715=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #532716=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #532717=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #532718=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #532719=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #532720=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #532721=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #532722=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #532723=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #532724=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #532725=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #532726=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #532727=CARTESIAN_POINT('Origin',(20.087803,58.712803,0.)); #532728=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #532729=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #532730=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #532731=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #532732=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #532733=CARTESIAN_POINT('Origin',(20.128072,58.739941,0.)); #532734=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #532735=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #532736=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #532737=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #532738=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #532739=CARTESIAN_POINT('Origin',(20.177606,58.75,0.)); #532740=CARTESIAN_POINT('',(20.177606,58.75,0.)); #532741=CARTESIAN_POINT('',(20.177606,58.75,0.)); #532742=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #532743=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #532744=CARTESIAN_POINT('',(20.177606,58.75,0.)); #532745=CARTESIAN_POINT('Origin',(23.3506,58.75,0.)); #532746=CARTESIAN_POINT('',(23.3506,58.75,0.)); #532747=CARTESIAN_POINT('',(23.3506,58.75,0.)); #532748=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #532749=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #532750=CARTESIAN_POINT('',(23.3506,58.75,0.)); #532751=CARTESIAN_POINT('Origin',(23.396381,58.741463,0.)); #532752=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #532753=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #532754=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #532755=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #532756=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #532757=CARTESIAN_POINT('Origin',(23.438959,58.714222,0.)); #532758=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #532759=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #532760=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #532761=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #532762=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #532763=CARTESIAN_POINT('Origin',(23.467541,58.672534,0.)); #532764=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #532765=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #532766=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #532767=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #532768=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #532769=CARTESIAN_POINT('Origin',(23.4776,58.623,0.)); #532770=CARTESIAN_POINT('',(23.4776,58.623,0.)); #532771=CARTESIAN_POINT('',(23.4776,58.623,0.)); #532772=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #532773=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #532774=CARTESIAN_POINT('',(23.4776,58.623,0.)); #532775=CARTESIAN_POINT('Origin',(23.4776,58.572966,0.)); #532776=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #532777=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #532778=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #532779=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #532780=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #532781=CARTESIAN_POINT('Origin',(23.727966,58.3226,0.)); #532782=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #532783=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #532784=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #532785=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #532786=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #532787=CARTESIAN_POINT('Origin',(24.082034,58.3226,0.)); #532788=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #532789=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #532790=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #532791=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #532792=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #532793=CARTESIAN_POINT('Origin',(24.243638,58.484203,0.)); #532794=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #532795=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #532796=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #532797=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #532798=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #532799=CARTESIAN_POINT('Origin',(24.283906,58.511341,0.)); #532800=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #532801=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #532802=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #532803=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #532804=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #532805=CARTESIAN_POINT('Origin',(24.333441,58.5214,0.)); #532806=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #532807=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #532808=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #532809=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #532810=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #532811=CARTESIAN_POINT('Origin',(24.352706,58.5214,0.)); #532812=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #532813=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #532814=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #532815=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #532816=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #532817=CARTESIAN_POINT('Origin',(24.400369,58.512116,0.)); #532818=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #532819=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #532820=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #532821=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #532822=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #532823=CARTESIAN_POINT('Origin',(24.442509,58.484203,0.)); #532824=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #532825=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #532826=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #532827=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #532828=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #532829=CARTESIAN_POINT('Origin',(25.109203,57.817509,0.)); #532830=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #532831=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #532832=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #532833=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #532834=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #532835=CARTESIAN_POINT('Origin',(25.136341,57.777241,0.)); #532836=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #532837=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #532838=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #532839=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #532840=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #532841=CARTESIAN_POINT('Origin',(25.1464,57.727706,0.)); #532842=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #532843=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #532844=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #532845=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #532846=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #532847=CARTESIAN_POINT('Origin',(25.1464,49.733494,0.)); #532848=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #532849=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #532850=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #532851=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #532852=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #532853=CARTESIAN_POINT('Origin',(25.138575,49.689606,0.)); #532854=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #532855=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #532856=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #532857=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #532858=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #532859=CARTESIAN_POINT('Origin',(25.112019,49.6466,0.)); #532860=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #532861=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #532862=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #532863=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #532864=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #532865=CARTESIAN_POINT('Origin',(25.070794,49.617356,0.)); #532866=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #532867=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #532868=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.035)); #532869=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.)); #532870=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #532871=CARTESIAN_POINT('',(29.348,53.,0.)); #532872=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #532873=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #532874=CARTESIAN_POINT('',(29.348,53.,-200.)); #532875=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #532876=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.)); #532877=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #532878=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #532879=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #532880=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #532881=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #532882=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #532883=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #532884=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #532885=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.)); #532886=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #532887=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #532888=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #532889=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #532890=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #532891=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.)); #532892=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #532893=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #532894=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #532895=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #532896=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #532897=CARTESIAN_POINT('Origin',(32.623,20.7125,0.)); #532898=CARTESIAN_POINT('',(32.623,20.7125,0.)); #532899=CARTESIAN_POINT('',(32.623,20.7125,0.)); #532900=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #532901=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #532902=CARTESIAN_POINT('',(32.623,20.7125,0.)); #532903=CARTESIAN_POINT('Origin',(32.623,21.917056,0.)); #532904=CARTESIAN_POINT('',(32.623,21.917056,0.)); #532905=CARTESIAN_POINT('',(32.623,21.917056,0.)); #532906=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #532907=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #532908=CARTESIAN_POINT('',(32.623,21.917056,0.)); #532909=CARTESIAN_POINT('Origin',(32.472697,22.067359,0.)); #532910=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #532911=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #532912=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #532913=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #532914=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #532915=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #532916=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #532917=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #532918=CARTESIAN_POINT('',(32.4355,22.157163,0.035)); #532919=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.035)); #532920=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #532921=CARTESIAN_POINT('Origin',(32.4355,34.134894,0.)); #532922=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #532923=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #532924=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #532925=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #532926=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #532927=CARTESIAN_POINT('Origin',(30.109697,36.460697,0.)); #532928=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #532929=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #532930=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #532931=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #532932=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #532933=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #532934=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #532935=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #532936=CARTESIAN_POINT('',(30.0725,36.5505,0.035)); #532937=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.035)); #532938=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #532939=CARTESIAN_POINT('Origin',(30.0725,37.4375,0.)); #532940=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #532941=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #532942=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #532943=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #532944=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #532945=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #532946=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #532947=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #532948=CARTESIAN_POINT('',(30.109697,37.527303,0.035)); #532949=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.035)); #532950=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #532951=CARTESIAN_POINT('Origin',(30.3429,37.760506,0.)); #532952=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #532953=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #532954=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #532955=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #532956=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #532957=CARTESIAN_POINT('Origin',(30.3429,38.239494,0.)); #532958=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #532959=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #532960=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #532961=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #532962=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #532963=CARTESIAN_POINT('Origin',(25.660197,42.922197,0.)); #532964=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #532965=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #532966=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #532967=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #532968=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #532969=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #532970=CARTESIAN_POINT('',(25.623,43.012,0.)); #532971=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #532972=CARTESIAN_POINT('',(25.623,43.012,0.035)); #532973=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.035)); #532974=CARTESIAN_POINT('',(25.623,43.012,0.)); #532975=CARTESIAN_POINT('Origin',(25.623,51.75,0.)); #532976=CARTESIAN_POINT('',(25.623,51.75,0.)); #532977=CARTESIAN_POINT('',(25.623,51.75,0.)); #532978=CARTESIAN_POINT('',(25.623,51.75,0.035)); #532979=CARTESIAN_POINT('',(25.623,51.75,0.035)); #532980=CARTESIAN_POINT('',(25.623,51.75,0.)); #532981=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #532982=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #532983=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #532984=CARTESIAN_POINT('',(25.660197,51.839803,0.035)); #532985=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.035)); #532986=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #532987=CARTESIAN_POINT('Origin',(26.910197,53.089803,0.)); #532988=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #532989=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #532990=CARTESIAN_POINT('',(26.910197,53.089803,0.035)); #532991=CARTESIAN_POINT('',(26.910197,53.089803,0.035)); #532992=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #532993=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.)); #532994=CARTESIAN_POINT('',(27.,53.127,0.)); #532995=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.)); #532996=CARTESIAN_POINT('',(27.,53.127,0.035)); #532997=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.035)); #532998=CARTESIAN_POINT('',(27.,53.127,0.)); #532999=CARTESIAN_POINT('Origin',(29.0689,53.127,0.)); #533000=CARTESIAN_POINT('',(29.0689,53.127,0.)); #533001=CARTESIAN_POINT('',(29.0689,53.127,0.)); #533002=CARTESIAN_POINT('',(29.0689,53.127,0.035)); #533003=CARTESIAN_POINT('',(29.0689,53.127,0.035)); #533004=CARTESIAN_POINT('',(29.0689,53.127,0.)); #533005=CARTESIAN_POINT('Origin',(29.0689,53.4445,0.)); #533006=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #533007=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #533008=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #533009=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #533010=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #533011=CARTESIAN_POINT('Origin',(29.9071,53.4445,0.)); #533012=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #533013=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #533014=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #533015=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #533016=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #533017=CARTESIAN_POINT('Origin',(29.9071,52.5555,0.)); #533018=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #533019=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #533020=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #533021=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #533022=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #533023=CARTESIAN_POINT('Origin',(29.0689,52.5555,0.)); #533024=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #533025=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #533026=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #533027=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #533028=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #533029=CARTESIAN_POINT('Origin',(29.0689,52.873,0.)); #533030=CARTESIAN_POINT('',(29.0689,52.873,0.)); #533031=CARTESIAN_POINT('',(29.0689,52.873,0.)); #533032=CARTESIAN_POINT('',(29.0689,52.873,0.035)); #533033=CARTESIAN_POINT('',(29.0689,52.873,0.035)); #533034=CARTESIAN_POINT('',(29.0689,52.873,0.)); #533035=CARTESIAN_POINT('Origin',(27.052606,52.873,0.)); #533036=CARTESIAN_POINT('',(27.052606,52.873,0.)); #533037=CARTESIAN_POINT('',(27.052606,52.873,0.)); #533038=CARTESIAN_POINT('',(27.052606,52.873,0.035)); #533039=CARTESIAN_POINT('',(27.052606,52.873,0.035)); #533040=CARTESIAN_POINT('',(27.052606,52.873,0.)); #533041=CARTESIAN_POINT('Origin',(25.877,51.697394,0.)); #533042=CARTESIAN_POINT('',(25.877,51.697394,0.)); #533043=CARTESIAN_POINT('',(25.877,51.697394,0.)); #533044=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #533045=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #533046=CARTESIAN_POINT('',(25.877,51.697394,0.)); #533047=CARTESIAN_POINT('Origin',(25.877,43.064606,0.)); #533048=CARTESIAN_POINT('',(25.877,43.064606,0.)); #533049=CARTESIAN_POINT('',(25.877,43.064606,0.)); #533050=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #533051=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #533052=CARTESIAN_POINT('',(25.877,43.064606,0.)); #533053=CARTESIAN_POINT('Origin',(30.497106,38.4445,0.)); #533054=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #533055=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #533056=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #533057=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #533058=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #533059=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.)); #533060=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #533061=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #533062=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #533063=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #533064=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #533065=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.)); #533066=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #533067=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #533068=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #533069=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #533070=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #533071=CARTESIAN_POINT('Origin',(30.497106,37.5555,0.)); #533072=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #533073=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #533074=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #533075=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #533076=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #533077=CARTESIAN_POINT('Origin',(30.3265,37.384894,0.)); #533078=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #533079=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #533080=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #533081=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #533082=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #533083=CARTESIAN_POINT('Origin',(30.3265,36.603106,0.)); #533084=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #533085=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #533086=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #533087=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #533088=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #533089=CARTESIAN_POINT('Origin',(32.652303,34.277303,0.)); #533090=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #533091=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #533092=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #533093=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #533094=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #533095=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #533096=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #533097=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #533098=CARTESIAN_POINT('',(32.6895,34.1875,0.035)); #533099=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.035)); #533100=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #533101=CARTESIAN_POINT('Origin',(32.6895,22.209769,0.)); #533102=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #533103=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #533104=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #533105=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #533106=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #533107=CARTESIAN_POINT('Origin',(32.839803,22.059466,0.)); #533108=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #533109=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #533110=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #533111=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #533112=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #533113=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #533114=CARTESIAN_POINT('',(32.877,21.969663,0.)); #533115=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #533116=CARTESIAN_POINT('',(32.877,21.969663,0.035)); #533117=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.035)); #533118=CARTESIAN_POINT('',(32.877,21.969663,0.)); #533119=CARTESIAN_POINT('Origin',(32.877,20.7125,0.)); #533120=CARTESIAN_POINT('',(32.877,20.7125,0.)); #533121=CARTESIAN_POINT('',(32.877,20.7125,0.)); #533122=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #533123=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #533124=CARTESIAN_POINT('',(32.877,20.7125,0.)); #533125=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.)); #533126=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #533127=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #533128=CARTESIAN_POINT('Origin',(29.5639435750555,38.3234251498672,0.035)); #533129=CARTESIAN_POINT('Origin',(29.5639435750555,38.3234251498672,0.)); #533130=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #533131=CARTESIAN_POINT('',(26.61,36.,0.)); #533132=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #533133=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #533134=CARTESIAN_POINT('',(26.61,36.,-200.)); #533135=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #533136=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #533137=CARTESIAN_POINT('',(16.485,47.75,0.)); #533138=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #533139=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #533140=CARTESIAN_POINT('',(16.485,47.75,-200.)); #533141=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #533142=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #533143=CARTESIAN_POINT('',(15.61,47.75,0.)); #533144=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #533145=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #533146=CARTESIAN_POINT('',(15.61,47.75,-200.)); #533147=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #533148=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #533149=CARTESIAN_POINT('',(16.485,47.,0.)); #533150=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #533151=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #533152=CARTESIAN_POINT('',(16.485,47.,-200.)); #533153=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #533154=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #533155=CARTESIAN_POINT('',(16.485,46.25,0.)); #533156=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #533157=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #533158=CARTESIAN_POINT('',(16.485,46.25,-200.)); #533159=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #533160=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #533161=CARTESIAN_POINT('',(17.36,47.75,0.)); #533162=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #533163=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #533164=CARTESIAN_POINT('',(17.36,47.75,-200.)); #533165=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #533166=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #533167=CARTESIAN_POINT('',(19.235,46.25,0.)); #533168=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #533169=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #533170=CARTESIAN_POINT('',(19.235,46.25,-200.)); #533171=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #533172=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #533173=CARTESIAN_POINT('',(19.235,47.75,0.)); #533174=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #533175=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #533176=CARTESIAN_POINT('',(19.235,47.75,-200.)); #533177=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #533178=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #533179=CARTESIAN_POINT('',(19.235,47.,0.)); #533180=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #533181=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #533182=CARTESIAN_POINT('',(19.235,47.,-200.)); #533183=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #533184=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #533185=CARTESIAN_POINT('',(17.36,46.25,0.)); #533186=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #533187=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #533188=CARTESIAN_POINT('',(17.36,46.25,-200.)); #533189=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #533190=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #533191=CARTESIAN_POINT('',(17.36,47.,0.)); #533192=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #533193=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #533194=CARTESIAN_POINT('',(17.36,47.,-200.)); #533195=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #533196=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #533197=CARTESIAN_POINT('',(15.61,46.25,0.)); #533198=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #533199=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #533200=CARTESIAN_POINT('',(15.61,46.25,-200.)); #533201=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #533202=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #533203=CARTESIAN_POINT('',(15.61,47.,0.)); #533204=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #533205=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #533206=CARTESIAN_POINT('',(15.61,47.,-200.)); #533207=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #533208=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #533209=CARTESIAN_POINT('',(23.61,47.,0.)); #533210=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #533211=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #533212=CARTESIAN_POINT('',(23.61,47.,-200.)); #533213=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #533214=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #533215=CARTESIAN_POINT('',(20.11,47.,0.)); #533216=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #533217=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #533218=CARTESIAN_POINT('',(20.11,47.,-200.)); #533219=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #533220=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #533221=CARTESIAN_POINT('',(23.61,46.25,0.)); #533222=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #533223=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #533224=CARTESIAN_POINT('',(23.61,46.25,-200.)); #533225=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #533226=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #533227=CARTESIAN_POINT('',(21.86,46.25,0.)); #533228=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #533229=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #533230=CARTESIAN_POINT('',(21.86,46.25,-200.)); #533231=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #533232=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #533233=CARTESIAN_POINT('',(20.11,46.25,0.)); #533234=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #533235=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #533236=CARTESIAN_POINT('',(20.11,46.25,-200.)); #533237=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #533238=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #533239=CARTESIAN_POINT('',(18.36,47.75,0.)); #533240=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #533241=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #533242=CARTESIAN_POINT('',(18.36,47.75,-200.)); #533243=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #533244=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #533245=CARTESIAN_POINT('',(20.11,47.75,0.)); #533246=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #533247=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #533248=CARTESIAN_POINT('',(20.11,47.75,-200.)); #533249=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #533250=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #533251=CARTESIAN_POINT('',(23.61,47.75,0.)); #533252=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #533253=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #533254=CARTESIAN_POINT('',(23.61,47.75,-200.)); #533255=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #533256=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #533257=CARTESIAN_POINT('',(20.985,47.,0.)); #533258=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #533259=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #533260=CARTESIAN_POINT('',(20.985,47.,-200.)); #533261=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #533262=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #533263=CARTESIAN_POINT('',(20.985,47.75,0.)); #533264=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #533265=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #533266=CARTESIAN_POINT('',(20.985,47.75,-200.)); #533267=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #533268=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #533269=CARTESIAN_POINT('',(21.86,47.,0.)); #533270=CARTESIAN_POINT('Origin',(22.,47.,0.)); #533271=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #533272=CARTESIAN_POINT('',(21.86,47.,-200.)); #533273=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #533274=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #533275=CARTESIAN_POINT('',(21.86,47.75,0.)); #533276=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #533277=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #533278=CARTESIAN_POINT('',(21.86,47.75,-200.)); #533279=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #533280=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #533281=CARTESIAN_POINT('',(22.735,46.25,0.)); #533282=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #533283=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #533284=CARTESIAN_POINT('',(22.735,46.25,-200.)); #533285=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #533286=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #533287=CARTESIAN_POINT('',(20.985,46.25,0.)); #533288=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #533289=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #533290=CARTESIAN_POINT('',(20.985,46.25,-200.)); #533291=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #533292=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #533293=CARTESIAN_POINT('',(22.735,47.,0.)); #533294=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #533295=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #533296=CARTESIAN_POINT('',(22.735,47.,-200.)); #533297=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #533298=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #533299=CARTESIAN_POINT('',(22.735,47.75,0.)); #533300=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #533301=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #533302=CARTESIAN_POINT('',(22.735,47.75,-200.)); #533303=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #533304=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #533305=CARTESIAN_POINT('',(18.36,47.,0.)); #533306=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #533307=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #533308=CARTESIAN_POINT('',(18.36,47.,-200.)); #533309=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #533310=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #533311=CARTESIAN_POINT('',(18.36,46.25,0.)); #533312=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #533313=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #533314=CARTESIAN_POINT('',(18.36,46.25,-200.)); #533315=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #533316=CARTESIAN_POINT('Origin',(26.771644,35.725,0.)); #533317=CARTESIAN_POINT('',(26.771644,35.725,0.)); #533318=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #533319=CARTESIAN_POINT('',(26.771644,35.725,0.)); #533320=CARTESIAN_POINT('',(26.814397,35.731772,0.035)); #533321=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #533322=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #533323=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #533324=CARTESIAN_POINT('',(26.771644,35.725,0.)); #533325=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #533326=CARTESIAN_POINT('',(26.623,36.243928,0.)); #533327=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #533328=CARTESIAN_POINT('',(26.623,36.243928,0.035)); #533329=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.035)); #533330=CARTESIAN_POINT('',(26.623,36.243928,0.)); #533331=CARTESIAN_POINT('Origin',(26.623,36.356381,0.)); #533332=CARTESIAN_POINT('',(26.623,36.356381,0.)); #533333=CARTESIAN_POINT('',(26.623,36.356381,0.)); #533334=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #533335=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #533336=CARTESIAN_POINT('',(26.623,36.356381,0.)); #533337=CARTESIAN_POINT('Origin',(23.981381,38.998,0.)); #533338=CARTESIAN_POINT('',(23.981381,38.998,0.)); #533339=CARTESIAN_POINT('',(23.981381,38.998,0.)); #533340=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #533341=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #533342=CARTESIAN_POINT('',(23.981381,38.998,0.)); #533343=CARTESIAN_POINT('Origin',(19.625,38.998,0.)); #533344=CARTESIAN_POINT('',(19.625,38.998,0.)); #533345=CARTESIAN_POINT('',(19.625,38.998,0.)); #533346=CARTESIAN_POINT('',(19.625,38.998,0.035)); #533347=CARTESIAN_POINT('',(19.625,38.998,0.035)); #533348=CARTESIAN_POINT('',(19.625,38.998,0.)); #533349=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #533350=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #533351=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #533352=CARTESIAN_POINT('',(19.535197,39.035197,0.035)); #533353=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.035)); #533354=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #533355=CARTESIAN_POINT('Origin',(19.160197,39.410197,0.)); #533356=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #533357=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #533358=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #533359=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #533360=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #533361=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #533362=CARTESIAN_POINT('',(19.123,39.5,0.)); #533363=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #533364=CARTESIAN_POINT('',(19.123,39.5,0.035)); #533365=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.035)); #533366=CARTESIAN_POINT('',(19.123,39.5,0.)); #533367=CARTESIAN_POINT('Origin',(19.123,44.385613,0.)); #533368=CARTESIAN_POINT('',(19.123,44.385613,0.)); #533369=CARTESIAN_POINT('',(19.123,44.385613,0.)); #533370=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #533371=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #533372=CARTESIAN_POINT('',(19.123,44.385613,0.)); #533373=CARTESIAN_POINT('Origin',(19.113659,44.399472,0.)); #533374=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #533375=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #533376=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #533377=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #533378=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #533379=CARTESIAN_POINT('Origin',(19.1036,44.449006,0.)); #533380=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #533381=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #533382=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #533383=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #533384=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #533385=CARTESIAN_POINT('Origin',(19.1036,44.719687,0.)); #533386=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #533387=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #533388=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #533389=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #533390=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #533391=CARTESIAN_POINT('Origin',(18.094687,45.7286,0.)); #533392=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #533393=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #533394=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #533395=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #533396=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #533397=CARTESIAN_POINT('Origin',(15.647294,45.7286,0.)); #533398=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #533399=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #533400=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #533401=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #533402=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #533403=CARTESIAN_POINT('Origin',(15.599631,45.737884,0.)); #533404=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #533405=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #533406=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #533407=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #533408=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #533409=CARTESIAN_POINT('Origin',(15.557491,45.765797,0.)); #533410=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #533411=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #533412=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #533413=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #533414=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #533415=CARTESIAN_POINT('Origin',(15.265797,46.057491,0.)); #533416=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #533417=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #533418=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #533419=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #533420=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #533421=CARTESIAN_POINT('Origin',(15.238659,46.097759,0.)); #533422=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #533423=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #533424=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #533425=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #533426=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #533427=CARTESIAN_POINT('Origin',(15.2286,46.147294,0.)); #533428=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #533429=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #533430=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #533431=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #533432=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #533433=CARTESIAN_POINT('Origin',(15.2286,50.102706,0.)); #533434=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #533435=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #533436=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #533437=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #533438=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #533439=CARTESIAN_POINT('Origin',(15.237884,50.150369,0.)); #533440=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #533441=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #533442=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #533443=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #533444=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #533445=CARTESIAN_POINT('Origin',(15.265797,50.192509,0.)); #533446=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #533447=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #533448=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #533449=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #533450=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #533451=CARTESIAN_POINT('Origin',(15.307491,50.234203,0.)); #533452=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #533453=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #533454=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #533455=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #533456=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #533457=CARTESIAN_POINT('Origin',(15.347759,50.261341,0.)); #533458=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #533459=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #533460=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #533461=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #533462=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #533463=CARTESIAN_POINT('Origin',(15.397294,50.2714,0.)); #533464=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #533465=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #533466=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #533467=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #533468=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #533469=CARTESIAN_POINT('Origin',(15.5395,50.2714,0.)); #533470=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #533471=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #533472=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #533473=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #533474=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #533475=CARTESIAN_POINT('Origin',(15.585281,50.262863,0.)); #533476=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #533477=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #533478=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #533479=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #533480=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #533481=CARTESIAN_POINT('Origin',(15.627859,50.235622,0.)); #533482=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #533483=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #533484=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #533485=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #533486=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #533487=CARTESIAN_POINT('Origin',(15.656441,50.193934,0.)); #533488=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #533489=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #533490=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #533491=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #533492=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #533493=CARTESIAN_POINT('Origin',(15.6665,50.1444,0.)); #533494=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #533495=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #533496=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #533497=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #533498=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #533499=CARTESIAN_POINT('Origin',(15.6665,49.992375,0.)); #533500=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #533501=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #533502=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #533503=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #533504=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #533505=CARTESIAN_POINT('Origin',(15.755775,49.9031,0.)); #533506=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #533507=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #533508=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #533509=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #533510=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #533511=CARTESIAN_POINT('Origin',(16.720225,49.9031,0.)); #533512=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #533513=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #533514=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #533515=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #533516=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #533517=CARTESIAN_POINT('Origin',(16.8095,49.992375,0.)); #533518=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #533519=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #533520=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #533521=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #533522=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #533523=CARTESIAN_POINT('Origin',(16.8095,50.873,0.)); #533524=CARTESIAN_POINT('',(16.8095,50.873,0.)); #533525=CARTESIAN_POINT('',(16.8095,50.873,0.)); #533526=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #533527=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #533528=CARTESIAN_POINT('',(16.8095,50.873,0.)); #533529=CARTESIAN_POINT('Origin',(16.818037,50.918781,0.)); #533530=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #533531=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #533532=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #533533=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #533534=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #533535=CARTESIAN_POINT('Origin',(16.845278,50.961359,0.)); #533536=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #533537=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #533538=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #533539=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #533540=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #533541=CARTESIAN_POINT('Origin',(16.886966,50.989941,0.)); #533542=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #533543=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #533544=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #533545=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #533546=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #533547=CARTESIAN_POINT('Origin',(16.9365,51.,0.)); #533548=CARTESIAN_POINT('',(16.9365,51.,0.)); #533549=CARTESIAN_POINT('',(16.9365,51.,0.)); #533550=CARTESIAN_POINT('',(16.9365,51.,0.035)); #533551=CARTESIAN_POINT('',(16.9365,51.,0.035)); #533552=CARTESIAN_POINT('',(16.9365,51.,0.)); #533553=CARTESIAN_POINT('Origin',(18.322394,51.,0.)); #533554=CARTESIAN_POINT('',(18.322394,51.,0.)); #533555=CARTESIAN_POINT('',(18.322394,51.,0.)); #533556=CARTESIAN_POINT('',(18.322394,51.,0.035)); #533557=CARTESIAN_POINT('',(18.322394,51.,0.035)); #533558=CARTESIAN_POINT('',(18.322394,51.,0.)); #533559=CARTESIAN_POINT('Origin',(18.370056,50.990716,0.)); #533560=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #533561=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #533562=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #533563=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #533564=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #533565=CARTESIAN_POINT('Origin',(18.412197,50.962803,0.)); #533566=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #533567=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #533568=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #533569=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #533570=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #533571=CARTESIAN_POINT('Origin',(19.618634,49.756366,0.)); #533572=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #533573=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #533574=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #533575=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #533576=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #533577=CARTESIAN_POINT('Origin',(19.625928,49.750891,0.)); #533578=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #533579=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #533580=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #533581=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #533582=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #533583=CARTESIAN_POINT('Origin',(19.632984,49.75,0.)); #533584=CARTESIAN_POINT('',(19.632984,49.75,0.)); #533585=CARTESIAN_POINT('',(19.632984,49.75,0.)); #533586=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #533587=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #533588=CARTESIAN_POINT('',(19.632984,49.75,0.)); #533589=CARTESIAN_POINT('Origin',(23.3506,49.75,0.)); #533590=CARTESIAN_POINT('',(23.3506,49.75,0.)); #533591=CARTESIAN_POINT('',(23.3506,49.75,0.)); #533592=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #533593=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #533594=CARTESIAN_POINT('',(23.3506,49.75,0.)); #533595=CARTESIAN_POINT('Origin',(23.396381,49.741463,0.)); #533596=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #533597=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #533598=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #533599=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #533600=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #533601=CARTESIAN_POINT('Origin',(23.438959,49.714222,0.)); #533602=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #533603=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #533604=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #533605=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #533606=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #533607=CARTESIAN_POINT('Origin',(23.467541,49.672534,0.)); #533608=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #533609=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #533610=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #533611=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #533612=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #533613=CARTESIAN_POINT('Origin',(23.4776,49.623,0.)); #533614=CARTESIAN_POINT('',(23.4776,49.623,0.)); #533615=CARTESIAN_POINT('',(23.4776,49.623,0.)); #533616=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #533617=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #533618=CARTESIAN_POINT('',(23.4776,49.623,0.)); #533619=CARTESIAN_POINT('Origin',(23.4776,49.572966,0.)); #533620=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #533621=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #533622=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #533623=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #533624=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #533625=CARTESIAN_POINT('Origin',(23.727966,49.3226,0.)); #533626=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #533627=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #533628=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #533629=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #533630=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #533631=CARTESIAN_POINT('Origin',(24.082034,49.3226,0.)); #533632=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #533633=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #533634=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #533635=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #533636=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #533637=CARTESIAN_POINT('Origin',(24.243638,49.484203,0.)); #533638=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #533639=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #533640=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #533641=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #533642=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #533643=CARTESIAN_POINT('Origin',(24.283906,49.511341,0.)); #533644=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #533645=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #533646=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #533647=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #533648=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #533649=CARTESIAN_POINT('Origin',(24.333441,49.5214,0.)); #533650=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #533651=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #533652=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #533653=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #533654=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #533655=CARTESIAN_POINT('Origin',(24.352706,49.5214,0.)); #533656=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #533657=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #533658=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #533659=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #533660=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #533661=CARTESIAN_POINT('Origin',(24.400369,49.512116,0.)); #533662=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #533663=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #533664=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #533665=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #533666=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #533667=CARTESIAN_POINT('Origin',(24.442509,49.484203,0.)); #533668=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #533669=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #533670=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #533671=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #533672=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #533673=CARTESIAN_POINT('Origin',(24.734203,49.192509,0.)); #533674=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #533675=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #533676=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #533677=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #533678=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #533679=CARTESIAN_POINT('Origin',(24.761341,49.152241,0.)); #533680=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #533681=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #533682=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #533683=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #533684=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #533685=CARTESIAN_POINT('Origin',(24.7714,49.102706,0.)); #533686=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #533687=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #533688=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #533689=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #533690=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #533691=CARTESIAN_POINT('Origin',(24.7714,43.397294,0.)); #533692=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #533693=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #533694=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #533695=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #533696=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #533697=CARTESIAN_POINT('Origin',(24.762116,43.349631,0.)); #533698=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #533699=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #533700=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #533701=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #533702=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #533703=CARTESIAN_POINT('Origin',(24.734203,43.307491,0.)); #533704=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #533705=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #533706=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #533707=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #533708=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #533709=CARTESIAN_POINT('Origin',(24.192509,42.765797,0.)); #533710=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #533711=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #533712=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #533713=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #533714=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #533715=CARTESIAN_POINT('Origin',(24.152241,42.738659,0.)); #533716=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #533717=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #533718=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #533719=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #533720=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #533721=CARTESIAN_POINT('Origin',(24.102706,42.7286,0.)); #533722=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #533723=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #533724=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #533725=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #533726=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #533727=CARTESIAN_POINT('Origin',(20.655313,42.7286,0.)); #533728=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #533729=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #533730=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #533731=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #533732=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #533733=CARTESIAN_POINT('Origin',(20.297409,42.370697,0.)); #533734=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #533735=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #533736=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #533737=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #533738=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #533739=CARTESIAN_POINT('Origin',(20.257141,42.343559,0.)); #533740=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #533741=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #533742=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #533743=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #533744=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #533745=CARTESIAN_POINT('Origin',(20.207606,42.3335,0.)); #533746=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #533747=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #533748=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #533749=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #533750=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #533751=CARTESIAN_POINT('Origin',(19.492375,42.3335,0.)); #533752=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #533753=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #533754=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #533755=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #533756=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #533757=CARTESIAN_POINT('Origin',(19.466803,42.307928,0.)); #533758=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #533759=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #533760=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #533761=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #533762=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #533763=CARTESIAN_POINT('Origin',(19.430238,42.282428,0.)); #533764=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #533765=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #533766=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #533767=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #533768=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #533769=CARTESIAN_POINT('Origin',(19.381047,42.270797,0.)); #533770=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #533771=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #533772=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #533773=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #533774=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #533775=CARTESIAN_POINT('Origin',(19.377,42.271484,0.)); #533776=CARTESIAN_POINT('',(19.377,42.271484,0.)); #533777=CARTESIAN_POINT('',(19.377,42.271484,0.)); #533778=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #533779=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #533780=CARTESIAN_POINT('',(19.377,42.271484,0.)); #533781=CARTESIAN_POINT('Origin',(19.377,39.552606,0.)); #533782=CARTESIAN_POINT('',(19.377,39.552606,0.)); #533783=CARTESIAN_POINT('',(19.377,39.552606,0.)); #533784=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #533785=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #533786=CARTESIAN_POINT('',(19.377,39.552606,0.)); #533787=CARTESIAN_POINT('Origin',(19.677606,39.252,0.)); #533788=CARTESIAN_POINT('',(19.677606,39.252,0.)); #533789=CARTESIAN_POINT('',(19.677606,39.252,0.)); #533790=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #533791=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #533792=CARTESIAN_POINT('',(19.677606,39.252,0.)); #533793=CARTESIAN_POINT('Origin',(24.033988,39.252,0.)); #533794=CARTESIAN_POINT('',(24.033988,39.252,0.)); #533795=CARTESIAN_POINT('',(24.033988,39.252,0.)); #533796=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #533797=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #533798=CARTESIAN_POINT('',(24.033988,39.252,0.)); #533799=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #533800=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #533801=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #533802=CARTESIAN_POINT('',(24.123791,39.214803,0.035)); #533803=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.035)); #533804=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #533805=CARTESIAN_POINT('Origin',(26.839803,36.498791,0.)); #533806=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #533807=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #533808=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #533809=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #533810=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #533811=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #533812=CARTESIAN_POINT('',(26.877,36.408988,0.)); #533813=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #533814=CARTESIAN_POINT('',(26.877,36.408988,0.035)); #533815=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.035)); #533816=CARTESIAN_POINT('',(26.877,36.408988,0.)); #533817=CARTESIAN_POINT('Origin',(26.877,36.243928,0.)); #533818=CARTESIAN_POINT('',(26.877,36.243928,0.)); #533819=CARTESIAN_POINT('',(26.877,36.243928,0.)); #533820=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #533821=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #533822=CARTESIAN_POINT('',(26.877,36.243928,0.)); #533823=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #533824=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #533825=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.035)); #533826=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.035)); #533827=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.)); #533828=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #533829=CARTESIAN_POINT('',(26.61,31.,0.)); #533830=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #533831=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #533832=CARTESIAN_POINT('',(26.61,31.,-200.)); #533833=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #533834=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.)); #533835=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #533836=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #533837=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #533838=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #533839=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #533840=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #533841=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #533842=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #533843=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.)); #533844=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #533845=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #533846=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #533847=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #533848=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #533849=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.)); #533850=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #533851=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #533852=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #533853=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #533854=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #533855=CARTESIAN_POINT('Origin',(31.123,20.7125,0.)); #533856=CARTESIAN_POINT('',(31.123,20.7125,0.)); #533857=CARTESIAN_POINT('',(31.123,20.7125,0.)); #533858=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #533859=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #533860=CARTESIAN_POINT('',(31.123,20.7125,0.)); #533861=CARTESIAN_POINT('Origin',(31.123,21.826044,0.)); #533862=CARTESIAN_POINT('',(31.123,21.826044,0.)); #533863=CARTESIAN_POINT('',(31.123,21.826044,0.)); #533864=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #533865=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #533866=CARTESIAN_POINT('',(31.123,21.826044,0.)); #533867=CARTESIAN_POINT('Origin',(29.410197,23.538847,0.)); #533868=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #533869=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #533870=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #533871=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #533872=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #533873=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #533874=CARTESIAN_POINT('',(29.373,23.62865,0.)); #533875=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #533876=CARTESIAN_POINT('',(29.373,23.62865,0.035)); #533877=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.035)); #533878=CARTESIAN_POINT('',(29.373,23.62865,0.)); #533879=CARTESIAN_POINT('Origin',(29.373,26.258069,0.)); #533880=CARTESIAN_POINT('',(29.373,26.258069,0.)); #533881=CARTESIAN_POINT('',(29.373,26.258069,0.)); #533882=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #533883=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #533884=CARTESIAN_POINT('',(29.373,26.258069,0.)); #533885=CARTESIAN_POINT('Origin',(26.660197,28.970872,0.)); #533886=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #533887=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #533888=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #533889=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #533890=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #533891=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #533892=CARTESIAN_POINT('',(26.623,29.060675,0.)); #533893=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #533894=CARTESIAN_POINT('',(26.623,29.060675,0.035)); #533895=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.035)); #533896=CARTESIAN_POINT('',(26.623,29.060675,0.)); #533897=CARTESIAN_POINT('Origin',(26.623,30.756072,0.)); #533898=CARTESIAN_POINT('',(26.623,30.756072,0.)); #533899=CARTESIAN_POINT('',(26.623,30.756072,0.)); #533900=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #533901=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #533902=CARTESIAN_POINT('',(26.623,30.756072,0.)); #533903=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #533904=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #533905=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #533906=CARTESIAN_POINT('',(26.644438,31.254853,0.035)); #533907=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.035)); #533908=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #533909=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #533910=CARTESIAN_POINT('',(26.877,30.756072,0.)); #533911=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #533912=CARTESIAN_POINT('',(26.877,30.756072,0.035)); #533913=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.035)); #533914=CARTESIAN_POINT('',(26.877,30.756072,0.)); #533915=CARTESIAN_POINT('Origin',(26.877,29.113281,0.)); #533916=CARTESIAN_POINT('',(26.877,29.113281,0.)); #533917=CARTESIAN_POINT('',(26.877,29.113281,0.)); #533918=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #533919=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #533920=CARTESIAN_POINT('',(26.877,29.113281,0.)); #533921=CARTESIAN_POINT('Origin',(29.589803,26.400478,0.)); #533922=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #533923=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #533924=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #533925=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #533926=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #533927=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #533928=CARTESIAN_POINT('',(29.627,26.310675,0.)); #533929=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #533930=CARTESIAN_POINT('',(29.627,26.310675,0.035)); #533931=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.035)); #533932=CARTESIAN_POINT('',(29.627,26.310675,0.)); #533933=CARTESIAN_POINT('Origin',(29.627,23.681256,0.)); #533934=CARTESIAN_POINT('',(29.627,23.681256,0.)); #533935=CARTESIAN_POINT('',(29.627,23.681256,0.)); #533936=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #533937=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #533938=CARTESIAN_POINT('',(29.627,23.681256,0.)); #533939=CARTESIAN_POINT('Origin',(31.339803,21.968453,0.)); #533940=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #533941=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #533942=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #533943=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #533944=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #533945=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #533946=CARTESIAN_POINT('',(31.377,21.87865,0.)); #533947=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #533948=CARTESIAN_POINT('',(31.377,21.87865,0.035)); #533949=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.035)); #533950=CARTESIAN_POINT('',(31.377,21.87865,0.)); #533951=CARTESIAN_POINT('Origin',(31.377,20.7125,0.)); #533952=CARTESIAN_POINT('',(31.377,20.7125,0.)); #533953=CARTESIAN_POINT('',(31.377,20.7125,0.)); #533954=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #533955=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #533956=CARTESIAN_POINT('',(31.377,20.7125,0.)); #533957=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.)); #533958=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #533959=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #533960=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.035)); #533961=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.)); #533962=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #533963=CARTESIAN_POINT('',(53.36,20.25,0.)); #533964=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #533965=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #533966=CARTESIAN_POINT('',(53.36,20.25,-200.)); #533967=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #533968=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #533969=CARTESIAN_POINT('',(52.61,20.25,0.)); #533970=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #533971=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #533972=CARTESIAN_POINT('',(52.61,20.25,-200.)); #533973=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #533974=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #533975=CARTESIAN_POINT('',(51.86,21.75,0.)); #533976=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #533977=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #533978=CARTESIAN_POINT('',(51.86,21.75,-200.)); #533979=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #533980=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #533981=CARTESIAN_POINT('',(51.86,20.25,0.)); #533982=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #533983=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #533984=CARTESIAN_POINT('',(51.86,20.25,-200.)); #533985=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #533986=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #533987=CARTESIAN_POINT('',(52.61,21.,0.)); #533988=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #533989=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #533990=CARTESIAN_POINT('',(52.61,21.,-200.)); #533991=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #533992=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #533993=CARTESIAN_POINT('',(39.11,19.,0.)); #533994=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #533995=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #533996=CARTESIAN_POINT('',(39.11,19.,-200.)); #533997=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #533998=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #533999=CARTESIAN_POINT('',(53.36,21.,0.)); #534000=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #534001=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #534002=CARTESIAN_POINT('',(53.36,21.,-200.)); #534003=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #534004=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #534005=CARTESIAN_POINT('',(51.86,21.,0.)); #534006=CARTESIAN_POINT('Origin',(52.,21.,0.)); #534007=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #534008=CARTESIAN_POINT('',(51.86,21.,-200.)); #534009=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #534010=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #534011=CARTESIAN_POINT('',(53.36,21.75,0.)); #534012=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #534013=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #534014=CARTESIAN_POINT('',(53.36,21.75,-200.)); #534015=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #534016=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #534017=CARTESIAN_POINT('',(52.61,21.75,0.)); #534018=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #534019=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #534020=CARTESIAN_POINT('',(52.61,21.75,-200.)); #534021=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #534022=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #534023=CARTESIAN_POINT('',(51.785,34.,0.)); #534024=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #534025=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #534026=CARTESIAN_POINT('',(51.785,34.,-200.)); #534027=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #534028=CARTESIAN_POINT('Origin',(49.947394,15.5,0.)); #534029=CARTESIAN_POINT('',(49.947394,15.5,0.)); #534030=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #534031=CARTESIAN_POINT('',(49.947394,15.5,0.)); #534032=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #534033=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #534034=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #534035=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #534036=CARTESIAN_POINT('',(49.947394,15.5,0.)); #534037=CARTESIAN_POINT('Origin',(44.3536,15.5,0.)); #534038=CARTESIAN_POINT('',(44.3536,15.5,0.)); #534039=CARTESIAN_POINT('',(44.3536,15.5,0.)); #534040=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #534041=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #534042=CARTESIAN_POINT('',(44.3536,15.5,0.)); #534043=CARTESIAN_POINT('Origin',(44.307819,15.508538,0.)); #534044=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #534045=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #534046=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #534047=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #534048=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #534049=CARTESIAN_POINT('Origin',(44.265241,15.535778,0.)); #534050=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #534051=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #534052=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #534053=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #534054=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #534055=CARTESIAN_POINT('Origin',(44.236659,15.577466,0.)); #534056=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #534057=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #534058=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #534059=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #534060=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #534061=CARTESIAN_POINT('Origin',(44.2266,15.627,0.)); #534062=CARTESIAN_POINT('',(44.2266,15.627,0.)); #534063=CARTESIAN_POINT('',(44.2266,15.627,0.)); #534064=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #534065=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #534066=CARTESIAN_POINT('',(44.2266,15.627,0.)); #534067=CARTESIAN_POINT('Origin',(44.2266,18.244066,0.)); #534068=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #534069=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #534070=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #534071=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #534072=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #534073=CARTESIAN_POINT('Origin',(44.224278,18.268797,0.)); #534074=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #534075=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #534076=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #534077=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #534078=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #534079=CARTESIAN_POINT('Origin',(44.219047,18.286941,0.)); #534080=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #534081=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #534082=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #534083=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #534084=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #534085=CARTESIAN_POINT('Origin',(44.210538,18.303781,0.)); #534086=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #534087=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #534088=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #534089=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #534090=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #534091=CARTESIAN_POINT('Origin',(44.199025,18.318772,0.)); #534092=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #534093=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #534094=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #534095=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #534096=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #534097=CARTESIAN_POINT('Origin',(44.184944,18.331341,0.)); #534098=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #534099=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #534100=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #534101=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #534102=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #534103=CARTESIAN_POINT('Origin',(44.168762,18.341072,0.)); #534104=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #534105=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #534106=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #534107=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #534108=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #534109=CARTESIAN_POINT('Origin',(44.153275,18.346803,0.)); #534110=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #534111=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #534112=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #534113=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #534114=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #534115=CARTESIAN_POINT('Origin',(44.116706,18.3516,0.)); #534116=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #534117=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #534118=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #534119=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #534120=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #534121=CARTESIAN_POINT('Origin',(39.8044,18.3516,0.)); #534122=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #534123=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #534124=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #534125=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #534126=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #534127=CARTESIAN_POINT('Origin',(39.758619,18.360138,0.)); #534128=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #534129=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #534130=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #534131=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #534132=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #534133=CARTESIAN_POINT('Origin',(39.716041,18.387378,0.)); #534134=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #534135=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #534136=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #534137=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #534138=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #534139=CARTESIAN_POINT('Origin',(39.713825,18.390609,0.)); #534140=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #534141=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #534142=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #534143=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #534144=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #534145=CARTESIAN_POINT('Origin',(39.427034,18.6774,0.)); #534146=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #534147=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #534148=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #534149=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #534150=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #534151=CARTESIAN_POINT('Origin',(39.377,18.6774,0.)); #534152=CARTESIAN_POINT('',(39.377,18.6774,0.)); #534153=CARTESIAN_POINT('',(39.377,18.6774,0.)); #534154=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #534155=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #534156=CARTESIAN_POINT('',(39.377,18.6774,0.)); #534157=CARTESIAN_POINT('Origin',(39.331219,18.685938,0.)); #534158=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #534159=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #534160=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #534161=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #534162=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #534163=CARTESIAN_POINT('Origin',(39.288641,18.713178,0.)); #534164=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #534165=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #534166=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #534167=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #534168=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #534169=CARTESIAN_POINT('Origin',(39.279666,18.726272,0.)); #534170=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #534171=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #534172=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #534173=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #534174=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #534175=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #534176=CARTESIAN_POINT('',(39.006072,18.873,0.)); #534177=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #534178=CARTESIAN_POINT('',(39.006072,18.873,0.035)); #534179=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.035)); #534180=CARTESIAN_POINT('',(39.006072,18.873,0.)); #534181=CARTESIAN_POINT('Origin',(38.552606,18.873,0.)); #534182=CARTESIAN_POINT('',(38.552606,18.873,0.)); #534183=CARTESIAN_POINT('',(38.552606,18.873,0.)); #534184=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #534185=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #534186=CARTESIAN_POINT('',(38.552606,18.873,0.)); #534187=CARTESIAN_POINT('Origin',(38.339803,18.660197,0.)); #534188=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #534189=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #534190=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #534191=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #534192=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #534193=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #534194=CARTESIAN_POINT('',(38.25,18.623,0.)); #534195=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #534196=CARTESIAN_POINT('',(38.25,18.623,0.035)); #534197=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.035)); #534198=CARTESIAN_POINT('',(38.25,18.623,0.)); #534199=CARTESIAN_POINT('Origin',(37.7125,18.623,0.)); #534200=CARTESIAN_POINT('',(37.7125,18.623,0.)); #534201=CARTESIAN_POINT('',(37.7125,18.623,0.)); #534202=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #534203=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #534204=CARTESIAN_POINT('',(37.7125,18.623,0.)); #534205=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.)); #534206=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #534207=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #534208=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #534209=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #534210=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #534211=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.)); #534212=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #534213=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #534214=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #534215=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #534216=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #534217=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.)); #534218=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #534219=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #534220=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #534221=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #534222=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #534223=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.)); #534224=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #534225=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #534226=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #534227=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #534228=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #534229=CARTESIAN_POINT('Origin',(37.7125,18.877,0.)); #534230=CARTESIAN_POINT('',(37.7125,18.877,0.)); #534231=CARTESIAN_POINT('',(37.7125,18.877,0.)); #534232=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #534233=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #534234=CARTESIAN_POINT('',(37.7125,18.877,0.)); #534235=CARTESIAN_POINT('Origin',(38.197394,18.877,0.)); #534236=CARTESIAN_POINT('',(38.197394,18.877,0.)); #534237=CARTESIAN_POINT('',(38.197394,18.877,0.)); #534238=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #534239=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #534240=CARTESIAN_POINT('',(38.197394,18.877,0.)); #534241=CARTESIAN_POINT('Origin',(38.410197,19.089803,0.)); #534242=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #534243=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #534244=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #534245=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #534246=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #534247=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #534248=CARTESIAN_POINT('',(38.5,19.127,0.)); #534249=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #534250=CARTESIAN_POINT('',(38.5,19.127,0.035)); #534251=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.035)); #534252=CARTESIAN_POINT('',(38.5,19.127,0.)); #534253=CARTESIAN_POINT('Origin',(39.006072,19.127,0.)); #534254=CARTESIAN_POINT('',(39.006072,19.127,0.)); #534255=CARTESIAN_POINT('',(39.006072,19.127,0.)); #534256=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #534257=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #534258=CARTESIAN_POINT('',(39.006072,19.127,0.)); #534259=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #534260=CARTESIAN_POINT('',(39.25,19.275,0.)); #534261=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #534262=CARTESIAN_POINT('',(39.25,19.275,0.035)); #534263=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.035)); #534264=CARTESIAN_POINT('',(39.25,19.275,0.)); #534265=CARTESIAN_POINT('Origin',(39.25,19.623,0.)); #534266=CARTESIAN_POINT('',(39.25,19.623,0.)); #534267=CARTESIAN_POINT('',(39.25,19.623,0.)); #534268=CARTESIAN_POINT('',(39.25,19.623,0.035)); #534269=CARTESIAN_POINT('',(39.25,19.623,0.035)); #534270=CARTESIAN_POINT('',(39.25,19.623,0.)); #534271=CARTESIAN_POINT('Origin',(37.7125,19.623,0.)); #534272=CARTESIAN_POINT('',(37.7125,19.623,0.)); #534273=CARTESIAN_POINT('',(37.7125,19.623,0.)); #534274=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #534275=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #534276=CARTESIAN_POINT('',(37.7125,19.623,0.)); #534277=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.)); #534278=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #534279=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #534280=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #534281=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #534282=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #534283=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.)); #534284=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #534285=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #534286=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #534287=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #534288=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #534289=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.)); #534290=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #534291=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #534292=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #534293=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #534294=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #534295=CARTESIAN_POINT('Origin',(37.273,19.8875,0.)); #534296=CARTESIAN_POINT('',(37.273,19.8875,0.)); #534297=CARTESIAN_POINT('',(37.273,19.8875,0.)); #534298=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #534299=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #534300=CARTESIAN_POINT('',(37.273,19.8875,0.)); #534301=CARTESIAN_POINT('Origin',(37.273,20.072394,0.)); #534302=CARTESIAN_POINT('',(37.273,20.072394,0.)); #534303=CARTESIAN_POINT('',(37.273,20.072394,0.)); #534304=CARTESIAN_POINT('',(37.273,20.072394,0.035)); #534305=CARTESIAN_POINT('',(37.273,20.072394,0.035)); #534306=CARTESIAN_POINT('',(37.273,20.072394,0.)); #534307=CARTESIAN_POINT('Origin',(37.072394,20.273,0.)); #534308=CARTESIAN_POINT('',(37.072394,20.273,0.)); #534309=CARTESIAN_POINT('',(37.072394,20.273,0.)); #534310=CARTESIAN_POINT('',(37.072394,20.273,0.035)); #534311=CARTESIAN_POINT('',(37.072394,20.273,0.035)); #534312=CARTESIAN_POINT('',(37.072394,20.273,0.)); #534313=CARTESIAN_POINT('Origin',(36.8875,20.273,0.)); #534314=CARTESIAN_POINT('',(36.8875,20.273,0.)); #534315=CARTESIAN_POINT('',(36.8875,20.273,0.)); #534316=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #534317=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #534318=CARTESIAN_POINT('',(36.8875,20.273,0.)); #534319=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.)); #534320=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #534321=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #534322=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #534323=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #534324=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #534325=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.)); #534326=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #534327=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #534328=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #534329=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #534330=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #534331=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.)); #534332=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #534333=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #534334=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #534335=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #534336=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #534337=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.)); #534338=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #534339=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #534340=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #534341=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #534342=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #534343=CARTESIAN_POINT('Origin',(36.8875,20.527,0.)); #534344=CARTESIAN_POINT('',(36.8875,20.527,0.)); #534345=CARTESIAN_POINT('',(36.8875,20.527,0.)); #534346=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #534347=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #534348=CARTESIAN_POINT('',(36.8875,20.527,0.)); #534349=CARTESIAN_POINT('Origin',(37.125,20.527,0.)); #534350=CARTESIAN_POINT('',(37.125,20.527,0.)); #534351=CARTESIAN_POINT('',(37.125,20.527,0.)); #534352=CARTESIAN_POINT('',(37.125,20.527,0.035)); #534353=CARTESIAN_POINT('',(37.125,20.527,0.035)); #534354=CARTESIAN_POINT('',(37.125,20.527,0.)); #534355=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.)); #534356=CARTESIAN_POINT('',(37.214803,20.489803,0.)); #534357=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.)); #534358=CARTESIAN_POINT('',(37.214803,20.489803,0.035)); #534359=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.035)); #534360=CARTESIAN_POINT('',(37.214803,20.489803,0.)); #534361=CARTESIAN_POINT('Origin',(37.489803,20.214803,0.)); #534362=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #534363=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #534364=CARTESIAN_POINT('',(37.489803,20.214803,0.035)); #534365=CARTESIAN_POINT('',(37.489803,20.214803,0.035)); #534366=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #534367=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.)); #534368=CARTESIAN_POINT('',(37.527,20.125,0.)); #534369=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.)); #534370=CARTESIAN_POINT('',(37.527,20.125,0.035)); #534371=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.035)); #534372=CARTESIAN_POINT('',(37.527,20.125,0.)); #534373=CARTESIAN_POINT('Origin',(37.527,19.8875,0.)); #534374=CARTESIAN_POINT('',(37.527,19.8875,0.)); #534375=CARTESIAN_POINT('',(37.527,19.8875,0.)); #534376=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #534377=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #534378=CARTESIAN_POINT('',(37.527,19.8875,0.)); #534379=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.)); #534380=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #534381=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #534382=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #534383=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #534384=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #534385=CARTESIAN_POINT('Origin',(37.7125,19.877,0.)); #534386=CARTESIAN_POINT('',(37.7125,19.877,0.)); #534387=CARTESIAN_POINT('',(37.7125,19.877,0.)); #534388=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #534389=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #534390=CARTESIAN_POINT('',(37.7125,19.877,0.)); #534391=CARTESIAN_POINT('Origin',(39.25,19.877,0.)); #534392=CARTESIAN_POINT('',(39.25,19.877,0.)); #534393=CARTESIAN_POINT('',(39.25,19.877,0.)); #534394=CARTESIAN_POINT('',(39.25,19.877,0.035)); #534395=CARTESIAN_POINT('',(39.25,19.877,0.035)); #534396=CARTESIAN_POINT('',(39.25,19.877,0.)); #534397=CARTESIAN_POINT('Origin',(39.25,20.947394,0.)); #534398=CARTESIAN_POINT('',(39.25,20.947394,0.)); #534399=CARTESIAN_POINT('',(39.25,20.947394,0.)); #534400=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #534401=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #534402=CARTESIAN_POINT('',(39.25,20.947394,0.)); #534403=CARTESIAN_POINT('Origin',(39.259284,20.995056,0.)); #534404=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #534405=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #534406=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #534407=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #534408=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #534409=CARTESIAN_POINT('Origin',(39.287197,21.037197,0.)); #534410=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #534411=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #534412=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #534413=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #534414=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #534415=CARTESIAN_POINT('Origin',(40.462803,22.212803,0.)); #534416=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #534417=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #534418=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #534419=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #534420=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #534421=CARTESIAN_POINT('Origin',(40.503072,22.239941,0.)); #534422=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #534423=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #534424=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #534425=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #534426=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #534427=CARTESIAN_POINT('Origin',(40.552606,22.25,0.)); #534428=CARTESIAN_POINT('',(40.552606,22.25,0.)); #534429=CARTESIAN_POINT('',(40.552606,22.25,0.)); #534430=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #534431=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #534432=CARTESIAN_POINT('',(40.552606,22.25,0.)); #534433=CARTESIAN_POINT('Origin',(47.241006,22.25,0.)); #534434=CARTESIAN_POINT('',(47.241006,22.25,0.)); #534435=CARTESIAN_POINT('',(47.241006,22.25,0.)); #534436=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #534437=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #534438=CARTESIAN_POINT('',(47.241006,22.25,0.)); #534439=CARTESIAN_POINT('Origin',(47.250025,22.251284,0.)); #534440=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #534441=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #534442=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #534443=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #534444=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #534445=CARTESIAN_POINT('Origin',(47.255653,22.255653,0.)); #534446=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #534447=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #534448=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #534449=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #534450=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #534451=CARTESIAN_POINT('Origin',(48.493634,23.493634,0.)); #534452=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #534453=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #534454=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #534455=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #534456=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #534457=CARTESIAN_POINT('Origin',(48.499109,23.500928,0.)); #534458=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #534459=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #534460=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #534461=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #534462=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #534463=CARTESIAN_POINT('Origin',(48.5,23.507984,0.)); #534464=CARTESIAN_POINT('',(48.5,23.507984,0.)); #534465=CARTESIAN_POINT('',(48.5,23.507984,0.)); #534466=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #534467=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #534468=CARTESIAN_POINT('',(48.5,23.507984,0.)); #534469=CARTESIAN_POINT('Origin',(48.5,38.3944,0.)); #534470=CARTESIAN_POINT('',(48.5,38.3944,0.)); #534471=CARTESIAN_POINT('',(48.5,38.3944,0.)); #534472=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #534473=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #534474=CARTESIAN_POINT('',(48.5,38.3944,0.)); #534475=CARTESIAN_POINT('Origin',(48.508538,38.440181,0.)); #534476=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #534477=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #534478=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #534479=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #534480=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #534481=CARTESIAN_POINT('Origin',(48.535778,38.482759,0.)); #534482=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #534483=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #534484=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #534485=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #534486=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #534487=CARTESIAN_POINT('Origin',(48.577466,38.511341,0.)); #534488=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #534489=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #534490=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #534491=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #534492=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #534493=CARTESIAN_POINT('Origin',(48.624522,38.520897,0.)); #534494=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #534495=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #534496=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #534497=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #534498=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #534499=CARTESIAN_POINT('Origin',(49.3536,39.249975,0.)); #534500=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #534501=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #534502=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #534503=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #534504=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #534505=CARTESIAN_POINT('Origin',(49.3536,44.477706,0.)); #534506=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #534507=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #534508=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #534509=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #534510=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #534511=CARTESIAN_POINT('Origin',(49.362884,44.525369,0.)); #534512=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #534513=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #534514=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #534515=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #534516=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #534517=CARTESIAN_POINT('Origin',(49.390797,44.567509,0.)); #534518=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #534519=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #534520=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #534521=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #534522=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #534523=CARTESIAN_POINT('Origin',(50.057491,45.234203,0.)); #534524=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #534525=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #534526=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #534527=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #534528=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #534529=CARTESIAN_POINT('Origin',(50.097759,45.261341,0.)); #534530=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #534531=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #534532=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #534533=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #534534=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #534535=CARTESIAN_POINT('Origin',(50.147294,45.2714,0.)); #534536=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #534537=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #534538=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #534539=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #534540=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #534541=CARTESIAN_POINT('Origin',(52.594687,45.2714,0.)); #534542=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #534543=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #534544=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #534545=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #534546=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #534547=CARTESIAN_POINT('Origin',(53.2286,45.905313,0.)); #534548=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #534549=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #534550=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #534551=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #534552=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #534553=CARTESIAN_POINT('Origin',(53.2286,50.102706,0.)); #534554=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #534555=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #534556=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #534557=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #534558=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #534559=CARTESIAN_POINT('Origin',(53.237884,50.150369,0.)); #534560=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #534561=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #534562=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #534563=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #534564=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #534565=CARTESIAN_POINT('Origin',(53.265797,50.192509,0.)); #534566=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #534567=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #534568=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #534569=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #534570=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #534571=CARTESIAN_POINT('Origin',(53.307491,50.234203,0.)); #534572=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #534573=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #534574=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #534575=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #534576=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #534577=CARTESIAN_POINT('Origin',(53.347759,50.261341,0.)); #534578=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #534579=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #534580=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #534581=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #534582=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #534583=CARTESIAN_POINT('Origin',(53.397294,50.2714,0.)); #534584=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #534585=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #534586=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #534587=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #534588=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #534589=CARTESIAN_POINT('Origin',(53.5395,50.2714,0.)); #534590=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #534591=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #534592=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #534593=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #534594=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #534595=CARTESIAN_POINT('Origin',(53.585281,50.262863,0.)); #534596=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #534597=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #534598=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #534599=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #534600=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #534601=CARTESIAN_POINT('Origin',(53.627859,50.235622,0.)); #534602=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #534603=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #534604=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #534605=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #534606=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #534607=CARTESIAN_POINT('Origin',(53.656441,50.193934,0.)); #534608=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #534609=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #534610=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #534611=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #534612=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #534613=CARTESIAN_POINT('Origin',(53.6665,50.1444,0.)); #534614=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #534615=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #534616=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #534617=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #534618=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #534619=CARTESIAN_POINT('Origin',(53.6665,49.992375,0.)); #534620=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #534621=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #534622=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #534623=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #534624=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #534625=CARTESIAN_POINT('Origin',(53.755775,49.9031,0.)); #534626=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #534627=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #534628=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #534629=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #534630=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #534631=CARTESIAN_POINT('Origin',(54.720225,49.9031,0.)); #534632=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #534633=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #534634=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #534635=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #534636=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #534637=CARTESIAN_POINT('Origin',(54.8095,49.992375,0.)); #534638=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #534639=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #534640=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #534641=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #534642=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #534643=CARTESIAN_POINT('Origin',(54.8095,50.873,0.)); #534644=CARTESIAN_POINT('',(54.8095,50.873,0.)); #534645=CARTESIAN_POINT('',(54.8095,50.873,0.)); #534646=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #534647=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #534648=CARTESIAN_POINT('',(54.8095,50.873,0.)); #534649=CARTESIAN_POINT('Origin',(54.818038,50.918781,0.)); #534650=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #534651=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #534652=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #534653=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #534654=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #534655=CARTESIAN_POINT('Origin',(54.845278,50.961359,0.)); #534656=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #534657=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #534658=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #534659=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #534660=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #534661=CARTESIAN_POINT('Origin',(54.886966,50.989941,0.)); #534662=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #534663=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #534664=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #534665=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #534666=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #534667=CARTESIAN_POINT('Origin',(54.9365,51.,0.)); #534668=CARTESIAN_POINT('',(54.9365,51.,0.)); #534669=CARTESIAN_POINT('',(54.9365,51.,0.)); #534670=CARTESIAN_POINT('',(54.9365,51.,0.035)); #534671=CARTESIAN_POINT('',(54.9365,51.,0.035)); #534672=CARTESIAN_POINT('',(54.9365,51.,0.)); #534673=CARTESIAN_POINT('Origin',(56.343441,51.,0.)); #534674=CARTESIAN_POINT('',(56.343441,51.,0.)); #534675=CARTESIAN_POINT('',(56.343441,51.,0.)); #534676=CARTESIAN_POINT('',(56.343441,51.,0.035)); #534677=CARTESIAN_POINT('',(56.343441,51.,0.035)); #534678=CARTESIAN_POINT('',(56.343441,51.,0.)); #534679=CARTESIAN_POINT('Origin',(56.397225,50.98805,0.)); #534680=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #534681=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #534682=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #534683=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #534684=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #534685=CARTESIAN_POINT('Origin',(56.437838,50.957959,0.)); #534686=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #534687=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #534688=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #534689=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #534690=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #534691=CARTESIAN_POINT('Origin',(57.717397,49.536225,0.)); #534692=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #534693=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #534694=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #534695=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #534696=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #534697=CARTESIAN_POINT('Origin',(57.739941,49.5008,0.)); #534698=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #534699=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #534700=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #534701=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #534702=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #534703=CARTESIAN_POINT('Origin',(57.75,49.451266,0.)); #534704=CARTESIAN_POINT('',(57.75,49.451266,0.)); #534705=CARTESIAN_POINT('',(57.75,49.451266,0.)); #534706=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #534707=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #534708=CARTESIAN_POINT('',(57.75,49.451266,0.)); #534709=CARTESIAN_POINT('Origin',(57.75,41.802606,0.)); #534710=CARTESIAN_POINT('',(57.75,41.802606,0.)); #534711=CARTESIAN_POINT('',(57.75,41.802606,0.)); #534712=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #534713=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #534714=CARTESIAN_POINT('',(57.75,41.802606,0.)); #534715=CARTESIAN_POINT('Origin',(57.740716,41.754944,0.)); #534716=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #534717=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #534718=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #534719=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #534720=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #534721=CARTESIAN_POINT('Origin',(57.712803,41.712803,0.)); #534722=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #534723=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #534724=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #534725=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #534726=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #534727=CARTESIAN_POINT('Origin',(54.006366,38.006366,0.)); #534728=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #534729=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #534730=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #534731=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #534732=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #534733=CARTESIAN_POINT('Origin',(54.000891,37.999072,0.)); #534734=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #534735=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #534736=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #534737=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #534738=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #534739=CARTESIAN_POINT('Origin',(54.,37.992016,0.)); #534740=CARTESIAN_POINT('',(54.,37.992016,0.)); #534741=CARTESIAN_POINT('',(54.,37.992016,0.)); #534742=CARTESIAN_POINT('',(54.,37.992016,0.035)); #534743=CARTESIAN_POINT('',(54.,37.992016,0.035)); #534744=CARTESIAN_POINT('',(54.,37.992016,0.)); #534745=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #534746=CARTESIAN_POINT('',(54.,19.552606,0.)); #534747=CARTESIAN_POINT('',(54.,19.552606,0.)); #534748=CARTESIAN_POINT('',(54.,19.552606,0.035)); #534749=CARTESIAN_POINT('',(54.,19.552606,0.035)); #534750=CARTESIAN_POINT('',(54.,19.552606,0.)); #534751=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #534752=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #534753=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #534754=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #534755=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #534756=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #534757=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #534758=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #534759=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #534760=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #534761=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #534762=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #534763=CARTESIAN_POINT('Origin',(50.037197,15.537197,0.)); #534764=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #534765=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #534766=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #534767=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #534768=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #534769=CARTESIAN_POINT('Origin',(49.996928,15.510059,0.)); #534770=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #534771=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #534772=CARTESIAN_POINT('Origin',(49.0825219885062,30.3482896673943,0.035)); #534773=CARTESIAN_POINT('Origin',(49.0825219885062,30.3482896673943,0.)); #534774=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #534775=CARTESIAN_POINT('',(44.985,47.75,0.)); #534776=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #534777=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #534778=CARTESIAN_POINT('',(44.985,47.75,-200.)); #534779=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #534780=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #534781=CARTESIAN_POINT('',(45.86,47.,0.)); #534782=CARTESIAN_POINT('Origin',(46.,47.,0.)); #534783=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #534784=CARTESIAN_POINT('',(45.86,47.,-200.)); #534785=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #534786=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #534787=CARTESIAN_POINT('',(45.86,47.75,0.)); #534788=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #534789=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #534790=CARTESIAN_POINT('',(45.86,47.75,-200.)); #534791=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #534792=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #534793=CARTESIAN_POINT('',(47.61,47.75,0.)); #534794=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #534795=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #534796=CARTESIAN_POINT('',(47.61,47.75,-200.)); #534797=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #534798=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #534799=CARTESIAN_POINT('',(47.61,46.25,0.)); #534800=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #534801=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #534802=CARTESIAN_POINT('',(47.61,46.25,-200.)); #534803=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #534804=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #534805=CARTESIAN_POINT('',(45.86,46.25,0.)); #534806=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #534807=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #534808=CARTESIAN_POINT('',(45.86,46.25,-200.)); #534809=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #534810=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #534811=CARTESIAN_POINT('',(47.61,47.,0.)); #534812=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #534813=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #534814=CARTESIAN_POINT('',(47.61,47.,-200.)); #534815=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #534816=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #534817=CARTESIAN_POINT('',(44.11,47.,0.)); #534818=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #534819=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #534820=CARTESIAN_POINT('',(44.11,47.,-200.)); #534821=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #534822=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #534823=CARTESIAN_POINT('',(44.11,47.75,0.)); #534824=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #534825=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #534826=CARTESIAN_POINT('',(44.11,47.75,-200.)); #534827=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #534828=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #534829=CARTESIAN_POINT('',(44.11,46.25,0.)); #534830=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #534831=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #534832=CARTESIAN_POINT('',(44.11,46.25,-200.)); #534833=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #534834=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #534835=CARTESIAN_POINT('',(51.985,47.75,0.)); #534836=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #534837=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #534838=CARTESIAN_POINT('',(51.985,47.75,-200.)); #534839=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #534840=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #534841=CARTESIAN_POINT('',(51.985,47.,0.)); #534842=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #534843=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #534844=CARTESIAN_POINT('',(51.985,47.,-200.)); #534845=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #534846=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #534847=CARTESIAN_POINT('',(51.11,46.25,0.)); #534848=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #534849=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #534850=CARTESIAN_POINT('',(51.11,46.25,-200.)); #534851=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #534852=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #534853=CARTESIAN_POINT('',(51.985,46.25,0.)); #534854=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #534855=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #534856=CARTESIAN_POINT('',(51.985,46.25,-200.)); #534857=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #534858=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #534859=CARTESIAN_POINT('',(41.11,36.,0.)); #534860=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #534861=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #534862=CARTESIAN_POINT('',(41.11,36.,-200.)); #534863=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #534864=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #534865=CARTESIAN_POINT('',(48.485,47.75,0.)); #534866=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #534867=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #534868=CARTESIAN_POINT('',(48.485,47.75,-200.)); #534869=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #534870=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #534871=CARTESIAN_POINT('',(48.485,47.,0.)); #534872=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #534873=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #534874=CARTESIAN_POINT('',(48.485,47.,-200.)); #534875=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #534876=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #534877=CARTESIAN_POINT('',(46.735,47.75,0.)); #534878=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #534879=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #534880=CARTESIAN_POINT('',(46.735,47.75,-200.)); #534881=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #534882=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #534883=CARTESIAN_POINT('',(48.485,46.25,0.)); #534884=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #534885=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #534886=CARTESIAN_POINT('',(48.485,46.25,-200.)); #534887=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #534888=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #534889=CARTESIAN_POINT('',(44.985,46.25,0.)); #534890=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #534891=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #534892=CARTESIAN_POINT('',(44.985,46.25,-200.)); #534893=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #534894=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #534895=CARTESIAN_POINT('',(44.985,47.,0.)); #534896=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #534897=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #534898=CARTESIAN_POINT('',(44.985,47.,-200.)); #534899=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #534900=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #534901=CARTESIAN_POINT('',(46.735,46.25,0.)); #534902=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #534903=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #534904=CARTESIAN_POINT('',(46.735,46.25,-200.)); #534905=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #534906=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #534907=CARTESIAN_POINT('',(46.735,47.,0.)); #534908=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #534909=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #534910=CARTESIAN_POINT('',(46.735,47.,-200.)); #534911=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #534912=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #534913=CARTESIAN_POINT('',(50.235,46.25,0.)); #534914=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #534915=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #534916=CARTESIAN_POINT('',(50.235,46.25,-200.)); #534917=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #534918=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #534919=CARTESIAN_POINT('',(49.36,46.25,0.)); #534920=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #534921=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #534922=CARTESIAN_POINT('',(49.36,46.25,-200.)); #534923=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #534924=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #534925=CARTESIAN_POINT('',(49.36,47.75,0.)); #534926=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #534927=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #534928=CARTESIAN_POINT('',(49.36,47.75,-200.)); #534929=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #534930=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #534931=CARTESIAN_POINT('',(49.36,47.,0.)); #534932=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #534933=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #534934=CARTESIAN_POINT('',(49.36,47.,-200.)); #534935=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #534936=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #534937=CARTESIAN_POINT('',(50.235,47.75,0.)); #534938=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #534939=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #534940=CARTESIAN_POINT('',(50.235,47.75,-200.)); #534941=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #534942=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #534943=CARTESIAN_POINT('',(50.235,47.,0.)); #534944=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #534945=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #534946=CARTESIAN_POINT('',(50.235,47.,-200.)); #534947=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #534948=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #534949=CARTESIAN_POINT('',(51.11,47.75,0.)); #534950=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #534951=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #534952=CARTESIAN_POINT('',(51.11,47.75,-200.)); #534953=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #534954=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #534955=CARTESIAN_POINT('',(51.11,47.,0.)); #534956=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #534957=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #534958=CARTESIAN_POINT('',(51.11,47.,-200.)); #534959=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #534960=CARTESIAN_POINT('Origin',(41.271644,35.725,0.)); #534961=CARTESIAN_POINT('',(41.271644,35.725,0.)); #534962=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #534963=CARTESIAN_POINT('',(41.271644,35.725,0.)); #534964=CARTESIAN_POINT('',(41.314397,35.731772,0.035)); #534965=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #534966=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #534967=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #534968=CARTESIAN_POINT('',(41.271644,35.725,0.)); #534969=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #534970=CARTESIAN_POINT('',(41.123,36.243928,0.)); #534971=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #534972=CARTESIAN_POINT('',(41.123,36.243928,0.035)); #534973=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.035)); #534974=CARTESIAN_POINT('',(41.123,36.243928,0.)); #534975=CARTESIAN_POINT('Origin',(41.123,36.408988,0.)); #534976=CARTESIAN_POINT('',(41.123,36.408988,0.)); #534977=CARTESIAN_POINT('',(41.123,36.408988,0.)); #534978=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #534979=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #534980=CARTESIAN_POINT('',(41.123,36.408988,0.)); #534981=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #534982=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #534983=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #534984=CARTESIAN_POINT('',(41.160197,36.498791,0.035)); #534985=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.035)); #534986=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #534987=CARTESIAN_POINT('Origin',(43.876209,39.214803,0.)); #534988=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #534989=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #534990=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #534991=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #534992=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #534993=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #534994=CARTESIAN_POINT('',(43.966013,39.252,0.)); #534995=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #534996=CARTESIAN_POINT('',(43.966013,39.252,0.035)); #534997=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.035)); #534998=CARTESIAN_POINT('',(43.966013,39.252,0.)); #534999=CARTESIAN_POINT('Origin',(48.322394,39.252,0.)); #535000=CARTESIAN_POINT('',(48.322394,39.252,0.)); #535001=CARTESIAN_POINT('',(48.322394,39.252,0.)); #535002=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #535003=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #535004=CARTESIAN_POINT('',(48.322394,39.252,0.)); #535005=CARTESIAN_POINT('Origin',(48.623,39.552606,0.)); #535006=CARTESIAN_POINT('',(48.623,39.552606,0.)); #535007=CARTESIAN_POINT('',(48.623,39.552606,0.)); #535008=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #535009=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #535010=CARTESIAN_POINT('',(48.623,39.552606,0.)); #535011=CARTESIAN_POINT('Origin',(48.623,42.271125,0.)); #535012=CARTESIAN_POINT('',(48.623,42.271125,0.)); #535013=CARTESIAN_POINT('',(48.623,42.271125,0.)); #535014=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #535015=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #535016=CARTESIAN_POINT('',(48.623,42.271125,0.)); #535017=CARTESIAN_POINT('Origin',(48.575338,42.280016,0.)); #535018=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #535019=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #535020=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #535021=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #535022=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #535023=CARTESIAN_POINT('Origin',(48.533197,42.307928,0.)); #535024=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #535025=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #535026=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #535027=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #535028=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #535029=CARTESIAN_POINT('Origin',(48.507625,42.3335,0.)); #535030=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #535031=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #535032=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #535033=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #535034=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #535035=CARTESIAN_POINT('Origin',(47.804394,42.3335,0.)); #535036=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #535037=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #535038=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #535039=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #535040=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #535041=CARTESIAN_POINT('Origin',(47.756731,42.342784,0.)); #535042=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #535043=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #535044=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #535045=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #535046=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #535047=CARTESIAN_POINT('Origin',(47.714591,42.370697,0.)); #535048=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #535049=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #535050=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #535051=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #535052=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #535053=CARTESIAN_POINT('Origin',(47.356687,42.7286,0.)); #535054=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #535055=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #535056=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #535057=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #535058=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #535059=CARTESIAN_POINT('Origin',(43.897294,42.7286,0.)); #535060=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #535061=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #535062=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #535063=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #535064=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #535065=CARTESIAN_POINT('Origin',(43.849631,42.737884,0.)); #535066=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #535067=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #535068=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #535069=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #535070=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #535071=CARTESIAN_POINT('Origin',(43.807491,42.765797,0.)); #535072=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #535073=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #535074=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #535075=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #535076=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #535077=CARTESIAN_POINT('Origin',(43.265797,43.307491,0.)); #535078=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #535079=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #535080=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #535081=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #535082=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #535083=CARTESIAN_POINT('Origin',(43.238659,43.347759,0.)); #535084=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #535085=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #535086=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #535087=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #535088=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #535089=CARTESIAN_POINT('Origin',(43.2286,43.397294,0.)); #535090=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #535091=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #535092=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #535093=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #535094=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #535095=CARTESIAN_POINT('Origin',(43.2286,49.102706,0.)); #535096=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #535097=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #535098=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #535099=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #535100=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #535101=CARTESIAN_POINT('Origin',(43.237884,49.150369,0.)); #535102=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #535103=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #535104=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #535105=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #535106=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #535107=CARTESIAN_POINT('Origin',(43.265797,49.192509,0.)); #535108=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #535109=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #535110=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #535111=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #535112=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #535113=CARTESIAN_POINT('Origin',(43.557491,49.484203,0.)); #535114=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #535115=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #535116=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #535117=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #535118=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #535119=CARTESIAN_POINT('Origin',(43.597759,49.511341,0.)); #535120=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #535121=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #535122=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #535123=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #535124=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #535125=CARTESIAN_POINT('Origin',(43.647294,49.5214,0.)); #535126=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #535127=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #535128=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #535129=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #535130=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #535131=CARTESIAN_POINT('Origin',(47.476559,49.5214,0.)); #535132=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #535133=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #535134=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #535135=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #535136=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #535137=CARTESIAN_POINT('Origin',(47.524222,49.512116,0.)); #535138=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #535139=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #535140=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #535141=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #535142=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #535143=CARTESIAN_POINT('Origin',(47.566363,49.484203,0.)); #535144=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #535145=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #535146=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #535147=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #535148=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #535149=CARTESIAN_POINT('Origin',(47.727966,49.3226,0.)); #535150=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #535151=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #535152=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #535153=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #535154=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #535155=CARTESIAN_POINT('Origin',(48.082034,49.3226,0.)); #535156=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #535157=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #535158=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #535159=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #535160=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #535161=CARTESIAN_POINT('Origin',(48.3324,49.572966,0.)); #535162=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #535163=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #535164=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #535165=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #535166=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #535167=CARTESIAN_POINT('Origin',(48.3324,49.656006,0.)); #535168=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #535169=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #535170=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #535171=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #535172=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #535173=CARTESIAN_POINT('Origin',(48.348591,49.718053,0.)); #535174=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #535175=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #535176=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #535177=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #535178=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #535179=CARTESIAN_POINT('Origin',(48.375588,49.749413,0.)); #535180=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #535181=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #535182=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #535183=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #535184=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #535185=CARTESIAN_POINT('Origin',(48.375,49.75,0.)); #535186=CARTESIAN_POINT('',(48.375,49.75,0.)); #535187=CARTESIAN_POINT('',(48.375,49.75,0.)); #535188=CARTESIAN_POINT('',(48.375,49.75,0.035)); #535189=CARTESIAN_POINT('',(48.375,49.75,0.035)); #535190=CARTESIAN_POINT('',(48.375,49.75,0.)); #535191=CARTESIAN_POINT('Origin',(49.587803,50.962803,0.)); #535192=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #535193=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #535194=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #535195=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #535196=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #535197=CARTESIAN_POINT('Origin',(49.628072,50.989941,0.)); #535198=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #535199=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #535200=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #535201=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #535202=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #535203=CARTESIAN_POINT('Origin',(49.677606,51.,0.)); #535204=CARTESIAN_POINT('',(49.677606,51.,0.)); #535205=CARTESIAN_POINT('',(49.677606,51.,0.)); #535206=CARTESIAN_POINT('',(49.677606,51.,0.035)); #535207=CARTESIAN_POINT('',(49.677606,51.,0.035)); #535208=CARTESIAN_POINT('',(49.677606,51.,0.)); #535209=CARTESIAN_POINT('Origin',(51.0635,51.,0.)); #535210=CARTESIAN_POINT('',(51.0635,51.,0.)); #535211=CARTESIAN_POINT('',(51.0635,51.,0.)); #535212=CARTESIAN_POINT('',(51.0635,51.,0.035)); #535213=CARTESIAN_POINT('',(51.0635,51.,0.035)); #535214=CARTESIAN_POINT('',(51.0635,51.,0.)); #535215=CARTESIAN_POINT('Origin',(51.109281,50.991463,0.)); #535216=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #535217=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #535218=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #535219=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #535220=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #535221=CARTESIAN_POINT('Origin',(51.151859,50.964222,0.)); #535222=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #535223=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #535224=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #535225=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #535226=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #535227=CARTESIAN_POINT('Origin',(51.180441,50.922534,0.)); #535228=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #535229=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #535230=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #535231=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #535232=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #535233=CARTESIAN_POINT('Origin',(51.1905,50.873,0.)); #535234=CARTESIAN_POINT('',(51.1905,50.873,0.)); #535235=CARTESIAN_POINT('',(51.1905,50.873,0.)); #535236=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #535237=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #535238=CARTESIAN_POINT('',(51.1905,50.873,0.)); #535239=CARTESIAN_POINT('Origin',(51.1905,49.992375,0.)); #535240=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #535241=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #535242=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #535243=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #535244=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #535245=CARTESIAN_POINT('Origin',(51.279775,49.9031,0.)); #535246=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #535247=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #535248=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #535249=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #535250=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #535251=CARTESIAN_POINT('Origin',(52.244225,49.9031,0.)); #535252=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #535253=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #535254=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #535255=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #535256=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #535257=CARTESIAN_POINT('Origin',(52.3335,49.992375,0.)); #535258=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #535259=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #535260=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #535261=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #535262=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #535263=CARTESIAN_POINT('Origin',(52.3335,50.1444,0.)); #535264=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #535265=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #535266=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #535267=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #535268=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #535269=CARTESIAN_POINT('Origin',(52.342038,50.190181,0.)); #535270=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #535271=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #535272=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #535273=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #535274=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #535275=CARTESIAN_POINT('Origin',(52.369278,50.232759,0.)); #535276=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #535277=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #535278=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #535279=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #535280=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #535281=CARTESIAN_POINT('Origin',(52.410966,50.261341,0.)); #535282=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #535283=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #535284=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #535285=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #535286=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #535287=CARTESIAN_POINT('Origin',(52.4605,50.2714,0.)); #535288=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #535289=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #535290=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #535291=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #535292=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #535293=CARTESIAN_POINT('Origin',(52.602706,50.2714,0.)); #535294=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #535295=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #535296=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #535297=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #535298=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #535299=CARTESIAN_POINT('Origin',(52.650369,50.262116,0.)); #535300=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #535301=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #535302=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #535303=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #535304=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #535305=CARTESIAN_POINT('Origin',(52.692509,50.234203,0.)); #535306=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #535307=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #535308=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #535309=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #535310=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #535311=CARTESIAN_POINT('Origin',(52.734203,50.192509,0.)); #535312=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #535313=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #535314=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #535315=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #535316=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #535317=CARTESIAN_POINT('Origin',(52.761341,50.152241,0.)); #535318=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #535319=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #535320=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #535321=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #535322=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #535323=CARTESIAN_POINT('Origin',(52.7714,50.102706,0.)); #535324=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #535325=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #535326=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #535327=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #535328=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #535329=CARTESIAN_POINT('Origin',(52.7714,46.147294,0.)); #535330=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #535331=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #535332=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #535333=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #535334=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #535335=CARTESIAN_POINT('Origin',(52.762116,46.099631,0.)); #535336=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #535337=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #535338=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #535339=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #535340=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #535341=CARTESIAN_POINT('Origin',(52.734203,46.057491,0.)); #535342=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #535343=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #535344=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #535345=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #535346=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #535347=CARTESIAN_POINT('Origin',(52.442509,45.765797,0.)); #535348=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #535349=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #535350=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #535351=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #535352=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #535353=CARTESIAN_POINT('Origin',(52.402241,45.738659,0.)); #535354=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #535355=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #535356=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #535357=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #535358=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #535359=CARTESIAN_POINT('Origin',(52.352706,45.7286,0.)); #535360=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #535361=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #535362=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #535363=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #535364=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #535365=CARTESIAN_POINT('Origin',(49.905313,45.7286,0.)); #535366=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #535367=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #535368=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #535369=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #535370=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #535371=CARTESIAN_POINT('Origin',(48.8964,44.719687,0.)); #535372=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #535373=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #535374=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #535375=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #535376=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #535377=CARTESIAN_POINT('Origin',(48.8964,44.449006,0.)); #535378=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #535379=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #535380=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #535381=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #535382=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #535383=CARTESIAN_POINT('Origin',(48.887116,44.401344,0.)); #535384=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #535385=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #535386=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #535387=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #535388=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #535389=CARTESIAN_POINT('Origin',(48.877,44.386072,0.)); #535390=CARTESIAN_POINT('',(48.877,44.386072,0.)); #535391=CARTESIAN_POINT('',(48.877,44.386072,0.)); #535392=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #535393=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #535394=CARTESIAN_POINT('',(48.877,44.386072,0.)); #535395=CARTESIAN_POINT('Origin',(48.877,39.5,0.)); #535396=CARTESIAN_POINT('',(48.877,39.5,0.)); #535397=CARTESIAN_POINT('',(48.877,39.5,0.)); #535398=CARTESIAN_POINT('',(48.877,39.5,0.035)); #535399=CARTESIAN_POINT('',(48.877,39.5,0.035)); #535400=CARTESIAN_POINT('',(48.877,39.5,0.)); #535401=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #535402=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #535403=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #535404=CARTESIAN_POINT('',(48.839803,39.410197,0.035)); #535405=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.035)); #535406=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #535407=CARTESIAN_POINT('Origin',(48.464803,39.035197,0.)); #535408=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #535409=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #535410=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #535411=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #535412=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #535413=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #535414=CARTESIAN_POINT('',(48.375,38.998,0.)); #535415=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #535416=CARTESIAN_POINT('',(48.375,38.998,0.035)); #535417=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.035)); #535418=CARTESIAN_POINT('',(48.375,38.998,0.)); #535419=CARTESIAN_POINT('Origin',(44.018619,38.998,0.)); #535420=CARTESIAN_POINT('',(44.018619,38.998,0.)); #535421=CARTESIAN_POINT('',(44.018619,38.998,0.)); #535422=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #535423=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #535424=CARTESIAN_POINT('',(44.018619,38.998,0.)); #535425=CARTESIAN_POINT('Origin',(41.377,36.356381,0.)); #535426=CARTESIAN_POINT('',(41.377,36.356381,0.)); #535427=CARTESIAN_POINT('',(41.377,36.356381,0.)); #535428=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #535429=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #535430=CARTESIAN_POINT('',(41.377,36.356381,0.)); #535431=CARTESIAN_POINT('Origin',(41.377,36.243928,0.)); #535432=CARTESIAN_POINT('',(41.377,36.243928,0.)); #535433=CARTESIAN_POINT('',(41.377,36.243928,0.)); #535434=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #535435=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #535436=CARTESIAN_POINT('',(41.377,36.243928,0.)); #535437=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #535438=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #535439=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.035)); #535440=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.035)); #535441=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.)); #535442=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #535443=CARTESIAN_POINT('',(41.11,31.,0.)); #535444=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #535445=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #535446=CARTESIAN_POINT('',(41.11,31.,-200.)); #535447=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #535448=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.)); #535449=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #535450=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #535451=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #535452=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #535453=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #535454=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #535455=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #535456=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #535457=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.)); #535458=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #535459=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #535460=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #535461=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #535462=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #535463=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.)); #535464=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #535465=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #535466=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #535467=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #535468=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #535469=CARTESIAN_POINT('Origin',(35.623,20.7125,0.)); #535470=CARTESIAN_POINT('',(35.623,20.7125,0.)); #535471=CARTESIAN_POINT('',(35.623,20.7125,0.)); #535472=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #535473=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #535474=CARTESIAN_POINT('',(35.623,20.7125,0.)); #535475=CARTESIAN_POINT('Origin',(35.623,21.87865,0.)); #535476=CARTESIAN_POINT('',(35.623,21.87865,0.)); #535477=CARTESIAN_POINT('',(35.623,21.87865,0.)); #535478=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #535479=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #535480=CARTESIAN_POINT('',(35.623,21.87865,0.)); #535481=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #535482=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #535483=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #535484=CARTESIAN_POINT('',(35.660197,21.968453,0.035)); #535485=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.035)); #535486=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #535487=CARTESIAN_POINT('Origin',(38.373,24.681256,0.)); #535488=CARTESIAN_POINT('',(38.373,24.681256,0.)); #535489=CARTESIAN_POINT('',(38.373,24.681256,0.)); #535490=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #535491=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #535492=CARTESIAN_POINT('',(38.373,24.681256,0.)); #535493=CARTESIAN_POINT('Origin',(38.373,26.310675,0.)); #535494=CARTESIAN_POINT('',(38.373,26.310675,0.)); #535495=CARTESIAN_POINT('',(38.373,26.310675,0.)); #535496=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #535497=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #535498=CARTESIAN_POINT('',(38.373,26.310675,0.)); #535499=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #535500=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #535501=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #535502=CARTESIAN_POINT('',(38.410197,26.400478,0.035)); #535503=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.035)); #535504=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #535505=CARTESIAN_POINT('Origin',(41.123,29.113281,0.)); #535506=CARTESIAN_POINT('',(41.123,29.113281,0.)); #535507=CARTESIAN_POINT('',(41.123,29.113281,0.)); #535508=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #535509=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #535510=CARTESIAN_POINT('',(41.123,29.113281,0.)); #535511=CARTESIAN_POINT('Origin',(41.123,30.756072,0.)); #535512=CARTESIAN_POINT('',(41.123,30.756072,0.)); #535513=CARTESIAN_POINT('',(41.123,30.756072,0.)); #535514=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #535515=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #535516=CARTESIAN_POINT('',(41.123,30.756072,0.)); #535517=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #535518=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #535519=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #535520=CARTESIAN_POINT('',(41.144438,31.254853,0.035)); #535521=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.035)); #535522=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #535523=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #535524=CARTESIAN_POINT('',(41.377,30.756072,0.)); #535525=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #535526=CARTESIAN_POINT('',(41.377,30.756072,0.035)); #535527=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.035)); #535528=CARTESIAN_POINT('',(41.377,30.756072,0.)); #535529=CARTESIAN_POINT('Origin',(41.377,29.060675,0.)); #535530=CARTESIAN_POINT('',(41.377,29.060675,0.)); #535531=CARTESIAN_POINT('',(41.377,29.060675,0.)); #535532=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #535533=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #535534=CARTESIAN_POINT('',(41.377,29.060675,0.)); #535535=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #535536=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #535537=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #535538=CARTESIAN_POINT('',(41.339803,28.970872,0.035)); #535539=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.035)); #535540=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #535541=CARTESIAN_POINT('Origin',(38.627,26.258069,0.)); #535542=CARTESIAN_POINT('',(38.627,26.258069,0.)); #535543=CARTESIAN_POINT('',(38.627,26.258069,0.)); #535544=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #535545=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #535546=CARTESIAN_POINT('',(38.627,26.258069,0.)); #535547=CARTESIAN_POINT('Origin',(38.627,24.62865,0.)); #535548=CARTESIAN_POINT('',(38.627,24.62865,0.)); #535549=CARTESIAN_POINT('',(38.627,24.62865,0.)); #535550=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #535551=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #535552=CARTESIAN_POINT('',(38.627,24.62865,0.)); #535553=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #535554=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #535555=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #535556=CARTESIAN_POINT('',(38.589803,24.538847,0.035)); #535557=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.035)); #535558=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #535559=CARTESIAN_POINT('Origin',(35.877,21.826044,0.)); #535560=CARTESIAN_POINT('',(35.877,21.826044,0.)); #535561=CARTESIAN_POINT('',(35.877,21.826044,0.)); #535562=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #535563=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #535564=CARTESIAN_POINT('',(35.877,21.826044,0.)); #535565=CARTESIAN_POINT('Origin',(35.877,20.7125,0.)); #535566=CARTESIAN_POINT('',(35.877,20.7125,0.)); #535567=CARTESIAN_POINT('',(35.877,20.7125,0.)); #535568=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #535569=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #535570=CARTESIAN_POINT('',(35.877,20.7125,0.)); #535571=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.)); #535572=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #535573=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #535574=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.035)); #535575=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.)); #535576=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #535577=CARTESIAN_POINT('',(33.11,5.5,0.)); #535578=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #535579=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #535580=CARTESIAN_POINT('',(33.11,5.5,-200.)); #535581=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #535582=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #535583=CARTESIAN_POINT('',(33.86,5.5,0.)); #535584=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #535585=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #535586=CARTESIAN_POINT('',(33.86,5.5,-200.)); #535587=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #535588=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #535589=CARTESIAN_POINT('',(39.11,17.5,0.)); #535590=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #535591=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #535592=CARTESIAN_POINT('',(39.11,17.5,-200.)); #535593=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #535594=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #535595=CARTESIAN_POINT('',(37.11,8.75,0.)); #535596=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #535597=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #535598=CARTESIAN_POINT('',(37.11,8.75,-200.)); #535599=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #535600=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #535601=CARTESIAN_POINT('',(31.485,7.6,0.)); #535602=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #535603=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #535604=CARTESIAN_POINT('',(31.485,7.6,-200.)); #535605=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #535606=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #535607=CARTESIAN_POINT('',(36.285,7.1,0.)); #535608=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #535609=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #535610=CARTESIAN_POINT('',(36.285,7.1,-200.)); #535611=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #535612=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #535613=CARTESIAN_POINT('',(36.285,7.6,0.)); #535614=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #535615=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #535616=CARTESIAN_POINT('',(36.285,7.6,-200.)); #535617=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #535618=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #535619=CARTESIAN_POINT('',(31.485,7.1,0.)); #535620=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #535621=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #535622=CARTESIAN_POINT('',(31.485,7.1,-200.)); #535623=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #535624=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #535625=CARTESIAN_POINT('',(30.61,9.5,0.)); #535626=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #535627=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #535628=CARTESIAN_POINT('',(30.61,9.5,-200.)); #535629=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #535630=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #535631=CARTESIAN_POINT('',(30.61,8.75,0.)); #535632=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #535633=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #535634=CARTESIAN_POINT('',(30.61,8.75,-200.)); #535635=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #535636=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #535637=CARTESIAN_POINT('',(34.61,5.5,0.)); #535638=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #535639=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #535640=CARTESIAN_POINT('',(34.61,5.5,-200.)); #535641=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #535642=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #535643=CARTESIAN_POINT('',(31.36,8.75,0.)); #535644=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #535645=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #535646=CARTESIAN_POINT('',(31.36,8.75,-200.)); #535647=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #535648=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #535649=CARTESIAN_POINT('',(36.36,8.75,0.)); #535650=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #535651=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #535652=CARTESIAN_POINT('',(36.36,8.75,-200.)); #535653=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #535654=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #535655=CARTESIAN_POINT('',(31.36,9.5,0.)); #535656=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #535657=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #535658=CARTESIAN_POINT('',(31.36,9.5,-200.)); #535659=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #535660=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #535661=CARTESIAN_POINT('',(36.36,9.5,0.)); #535662=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #535663=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #535664=CARTESIAN_POINT('',(36.36,9.5,-200.)); #535665=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #535666=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #535667=CARTESIAN_POINT('',(37.11,9.5,0.)); #535668=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #535669=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #535670=CARTESIAN_POINT('',(37.11,9.5,-200.)); #535671=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #535672=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #535673=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #535674=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #535675=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #535676=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #535677=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #535678=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #535679=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #535680=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #535681=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #535682=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #535683=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #535684=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #535685=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #535686=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #535687=CARTESIAN_POINT('Origin',(41.6774,13.054406,0.)); #535688=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #535689=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #535690=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #535691=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #535692=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #535693=CARTESIAN_POINT('Origin',(41.686684,13.102069,0.)); #535694=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #535695=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #535696=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #535697=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #535698=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #535699=CARTESIAN_POINT('Origin',(41.714597,13.144209,0.)); #535700=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #535701=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #535702=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #535703=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #535704=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #535705=CARTESIAN_POINT('Origin',(42.355791,13.785403,0.)); #535706=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #535707=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #535708=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #535709=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #535710=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #535711=CARTESIAN_POINT('Origin',(42.396059,13.812541,0.)); #535712=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #535713=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #535714=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #535715=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #535716=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #535717=CARTESIAN_POINT('Origin',(42.445594,13.8226,0.)); #535718=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #535719=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #535720=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #535721=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #535722=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #535723=CARTESIAN_POINT('Origin',(42.677034,13.8226,0.)); #535724=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #535725=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #535726=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #535727=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #535728=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #535729=CARTESIAN_POINT('Origin',(42.9274,14.072966,0.)); #535730=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #535731=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #535732=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #535733=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #535734=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #535735=CARTESIAN_POINT('Origin',(42.9274,14.427034,0.)); #535736=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #535737=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #535738=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #535739=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #535740=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #535741=CARTESIAN_POINT('Origin',(42.677034,14.6774,0.)); #535742=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #535743=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #535744=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #535745=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #535746=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #535747=CARTESIAN_POINT('Origin',(42.322966,14.6774,0.)); #535748=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #535749=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #535750=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #535751=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #535752=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #535753=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #535754=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #535755=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #535756=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #535757=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #535758=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #535759=CARTESIAN_POINT('Origin',(42.0726,14.201394,0.)); #535760=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #535761=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #535762=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #535763=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #535764=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #535765=CARTESIAN_POINT('Origin',(42.063316,14.153731,0.)); #535766=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #535767=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #535768=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #535769=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #535770=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #535771=CARTESIAN_POINT('Origin',(42.035403,14.111591,0.)); #535772=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #535773=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #535774=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #535775=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #535776=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #535777=CARTESIAN_POINT('Origin',(41.391309,13.467497,0.)); #535778=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #535779=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #535780=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #535781=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #535782=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #535783=CARTESIAN_POINT('Origin',(41.351041,13.440359,0.)); #535784=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #535785=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #535786=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #535787=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #535788=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #535789=CARTESIAN_POINT('Origin',(41.301506,13.4303,0.)); #535790=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #535791=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #535792=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #535793=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #535794=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #535795=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #535796=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #535797=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #535798=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #535799=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #535800=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #535801=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #535802=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #535803=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #535804=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #535805=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #535806=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #535807=CARTESIAN_POINT('Origin',(40.8226,12.825866,0.)); #535808=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #535809=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #535810=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #535811=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #535812=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #535813=CARTESIAN_POINT('Origin',(41.072966,12.5755,0.)); #535814=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #535815=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #535816=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #535817=CARTESIAN_POINT('',(35.697394,5.,0.)); #535818=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #535819=CARTESIAN_POINT('',(35.697394,5.,0.)); #535820=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #535821=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #535822=CARTESIAN_POINT('',(35.697394,5.,0.035)); #535823=CARTESIAN_POINT('',(35.697394,5.,0.035)); #535824=CARTESIAN_POINT('',(35.697394,5.,0.)); #535825=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #535826=CARTESIAN_POINT('',(32.302606,5.,0.)); #535827=CARTESIAN_POINT('',(32.302606,5.,0.)); #535828=CARTESIAN_POINT('',(32.302606,5.,0.035)); #535829=CARTESIAN_POINT('',(32.302606,5.,0.035)); #535830=CARTESIAN_POINT('',(32.302606,5.,0.)); #535831=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #535832=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #535833=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #535834=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #535835=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #535836=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #535837=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #535838=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #535839=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #535840=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #535841=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #535842=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #535843=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #535844=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #535845=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #535846=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #535847=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #535848=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #535849=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #535850=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #535851=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #535852=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #535853=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #535854=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #535855=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #535856=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #535857=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #535858=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #535859=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #535860=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #535861=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #535862=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #535863=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #535864=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #535865=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #535866=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #535867=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #535868=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #535869=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #535870=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #535871=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #535872=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #535873=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #535874=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #535875=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #535876=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #535877=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #535878=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #535879=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #535880=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #535881=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #535882=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #535883=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #535884=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #535885=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #535886=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #535887=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #535888=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #535889=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #535890=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #535891=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #535892=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #535893=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #535894=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #535895=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #535896=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #535897=CARTESIAN_POINT('Origin',(31.464031,6.7098531,0.)); #535898=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #535899=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #535900=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #535901=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #535902=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #535903=CARTESIAN_POINT('Origin',(31.3284,6.7660344,0.)); #535904=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #535905=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #535906=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #535907=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #535908=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #535909=CARTESIAN_POINT('Origin',(31.288641,6.7921438,0.)); #535910=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #535911=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #535912=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #535913=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #535914=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #535915=CARTESIAN_POINT('Origin',(31.260059,6.8338313,0.)); #535916=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #535917=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #535918=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #535919=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #535920=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #535921=CARTESIAN_POINT('Origin',(31.25,6.8833656,0.)); #535922=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #535923=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #535924=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #535925=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #535926=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #535927=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #535928=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #535929=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #535930=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #535931=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #535932=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #535933=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #535934=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #535935=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #535936=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #535937=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #535938=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #535939=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #535940=CARTESIAN_POINT('',(31.242016,8.,0.)); #535941=CARTESIAN_POINT('',(31.242016,8.,0.)); #535942=CARTESIAN_POINT('',(31.242016,8.,0.035)); #535943=CARTESIAN_POINT('',(31.242016,8.,0.035)); #535944=CARTESIAN_POINT('',(31.242016,8.,0.)); #535945=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #535946=CARTESIAN_POINT('',(31.021684,8.,0.)); #535947=CARTESIAN_POINT('',(31.021684,8.,0.)); #535948=CARTESIAN_POINT('',(31.021684,8.,0.035)); #535949=CARTESIAN_POINT('',(31.021684,8.,0.035)); #535950=CARTESIAN_POINT('',(31.021684,8.,0.)); #535951=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #535952=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #535953=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #535954=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #535955=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #535956=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #535957=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #535958=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #535959=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #535960=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #535961=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #535962=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #535963=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #535964=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #535965=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #535966=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #535967=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #535968=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #535969=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #535970=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #535971=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #535972=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #535973=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #535974=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #535975=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #535976=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #535977=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #535978=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #535979=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #535980=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #535981=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #535982=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #535983=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #535984=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #535985=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #535986=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #535987=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #535988=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #535989=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #535990=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #535991=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #535992=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #535993=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #535994=CARTESIAN_POINT('',(30.25,9.9456,0.)); #535995=CARTESIAN_POINT('',(30.25,9.9456,0.)); #535996=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #535997=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #535998=CARTESIAN_POINT('',(30.25,9.9456,0.)); #535999=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #536000=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #536001=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #536002=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #536003=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #536004=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #536005=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #536006=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #536007=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #536008=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #536009=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #536010=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #536011=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #536012=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #536013=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #536014=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #536015=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #536016=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #536017=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #536018=CARTESIAN_POINT('',(30.377,10.0726,0.)); #536019=CARTESIAN_POINT('',(30.377,10.0726,0.)); #536020=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #536021=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #536022=CARTESIAN_POINT('',(30.377,10.0726,0.)); #536023=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #536024=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #536025=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #536026=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #536027=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #536028=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #536029=CARTESIAN_POINT('Origin',(30.9274,10.322966,0.)); #536030=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #536031=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #536032=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #536033=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #536034=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #536035=CARTESIAN_POINT('Origin',(30.9274,10.677034,0.)); #536036=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #536037=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #536038=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #536039=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #536040=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #536041=CARTESIAN_POINT('Origin',(30.819237,10.785197,0.)); #536042=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #536043=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #536044=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #536045=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #536046=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #536047=CARTESIAN_POINT('Origin',(30.792903,10.823606,0.)); #536048=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #536049=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #536050=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #536051=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #536052=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #536053=CARTESIAN_POINT('Origin',(30.782056,10.872975,0.)); #536054=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #536055=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #536056=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #536057=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #536058=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #536059=CARTESIAN_POINT('Origin',(30.791325,10.922663,0.)); #536060=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #536061=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #536062=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #536063=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #536064=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #536065=CARTESIAN_POINT('Origin',(30.819237,10.964803,0.)); #536066=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #536067=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #536068=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #536069=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #536070=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #536071=CARTESIAN_POINT('Origin',(30.9274,11.072966,0.)); #536072=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #536073=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #536074=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #536075=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #536076=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #536077=CARTESIAN_POINT('Origin',(30.9274,11.123,0.)); #536078=CARTESIAN_POINT('',(30.9274,11.123,0.)); #536079=CARTESIAN_POINT('',(30.9274,11.123,0.)); #536080=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #536081=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #536082=CARTESIAN_POINT('',(30.9274,11.123,0.)); #536083=CARTESIAN_POINT('Origin',(30.935938,11.168781,0.)); #536084=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #536085=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #536086=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #536087=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #536088=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #536089=CARTESIAN_POINT('Origin',(30.963178,11.211359,0.)); #536090=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #536091=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #536092=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #536093=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #536094=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #536095=CARTESIAN_POINT('Origin',(31.004866,11.239941,0.)); #536096=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #536097=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #536098=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #536099=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #536100=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #536101=CARTESIAN_POINT('Origin',(31.0544,11.25,0.)); #536102=CARTESIAN_POINT('',(31.0544,11.25,0.)); #536103=CARTESIAN_POINT('',(31.0544,11.25,0.)); #536104=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #536105=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #536106=CARTESIAN_POINT('',(31.0544,11.25,0.)); #536107=CARTESIAN_POINT('Origin',(31.502769,11.25,0.)); #536108=CARTESIAN_POINT('',(31.502769,11.25,0.)); #536109=CARTESIAN_POINT('',(31.502769,11.25,0.)); #536110=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #536111=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #536112=CARTESIAN_POINT('',(31.502769,11.25,0.)); #536113=CARTESIAN_POINT('Origin',(31.550431,11.240716,0.)); #536114=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #536115=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #536116=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #536117=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #536118=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #536119=CARTESIAN_POINT('Origin',(31.592572,11.212803,0.)); #536120=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #536121=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #536122=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #536123=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #536124=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #536125=CARTESIAN_POINT('Origin',(32.484203,10.321172,0.)); #536126=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #536127=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #536128=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #536129=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #536130=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #536131=CARTESIAN_POINT('Origin',(32.511341,10.280903,0.)); #536132=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #536133=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #536134=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #536135=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #536136=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #536137=CARTESIAN_POINT('Origin',(32.5214,10.231369,0.)); #536138=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #536139=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #536140=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #536141=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #536142=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #536143=CARTESIAN_POINT('Origin',(32.5214,8.6784406,0.)); #536144=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #536145=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #536146=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #536147=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #536148=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #536149=CARTESIAN_POINT('Origin',(32.512116,8.6307781,0.)); #536150=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #536151=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #536152=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #536153=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #536154=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #536155=CARTESIAN_POINT('Origin',(32.484203,8.5886375,0.)); #536156=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #536157=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #536158=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #536159=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #536160=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #536161=CARTESIAN_POINT('Origin',(32.3226,8.4270344,0.)); #536162=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #536163=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #536164=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #536165=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #536166=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #536167=CARTESIAN_POINT('Origin',(32.3226,8.0729656,0.)); #536168=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #536169=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #536170=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #536171=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #536172=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #536173=CARTESIAN_POINT('Origin',(32.572966,7.8226,0.)); #536174=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #536175=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #536176=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #536177=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #536178=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #536179=CARTESIAN_POINT('Origin',(32.927034,7.8226,0.)); #536180=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #536181=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #536182=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #536183=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #536184=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #536185=CARTESIAN_POINT('Origin',(33.054597,7.9501625,0.)); #536186=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #536187=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #536188=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #536189=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #536190=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #536191=CARTESIAN_POINT('Origin',(33.091163,7.9756625,0.)); #536192=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #536193=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #536194=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #536195=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #536196=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #536197=CARTESIAN_POINT('Origin',(33.140353,7.9872938,0.)); #536198=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #536199=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #536200=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #536201=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #536202=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #536203=CARTESIAN_POINT('Origin',(33.190181,7.9788219,0.)); #536204=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #536205=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #536206=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #536207=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #536208=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #536209=CARTESIAN_POINT('Origin',(33.232759,7.9515813,0.)); #536210=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #536211=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #536212=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #536213=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #536214=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #536215=CARTESIAN_POINT('Origin',(33.261341,7.9098938,0.)); #536216=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #536217=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #536218=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #536219=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #536220=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #536221=CARTESIAN_POINT('Origin',(33.261831,7.9074813,0.)); #536222=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #536223=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #536224=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #536225=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #536226=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #536227=CARTESIAN_POINT('Origin',(33.484203,7.6851094,0.)); #536228=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #536229=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #536230=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #536231=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #536232=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #536233=CARTESIAN_POINT('Origin',(33.511341,7.6448406,0.)); #536234=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #536235=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #536236=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #536237=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #536238=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #536239=CARTESIAN_POINT('Origin',(33.5214,7.5953063,0.)); #536240=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #536241=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #536242=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #536243=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #536244=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #536245=CARTESIAN_POINT('Origin',(33.5214,6.6710406,0.)); #536246=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #536247=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #536248=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #536249=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #536250=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #536251=CARTESIAN_POINT('Origin',(33.512116,6.6233781,0.)); #536252=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #536253=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #536254=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #536255=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #536256=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #536257=CARTESIAN_POINT('Origin',(33.484203,6.5812375,0.)); #536258=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #536259=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #536260=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #536261=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #536262=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #536263=CARTESIAN_POINT('Origin',(33.3226,6.4196344,0.)); #536264=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #536265=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #536266=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #536267=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #536268=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #536269=CARTESIAN_POINT('Origin',(33.3226,6.0655656,0.)); #536270=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #536271=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #536272=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #536273=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #536274=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #536275=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #536276=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #536277=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #536278=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #536279=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #536280=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #536281=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #536282=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #536283=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #536284=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #536285=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #536286=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #536287=CARTESIAN_POINT('Origin',(34.1774,6.0655656,0.)); #536288=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #536289=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #536290=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #536291=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #536292=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #536293=CARTESIAN_POINT('Origin',(34.1774,6.4196344,0.)); #536294=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #536295=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #536296=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #536297=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #536298=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #536299=CARTESIAN_POINT('Origin',(34.015797,6.5812375,0.)); #536300=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #536301=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #536302=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #536303=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #536304=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #536305=CARTESIAN_POINT('Origin',(33.988659,6.6215063,0.)); #536306=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #536307=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #536308=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #536309=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #536310=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #536311=CARTESIAN_POINT('Origin',(33.9786,6.6710406,0.)); #536312=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #536313=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #536314=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #536315=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #536316=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #536317=CARTESIAN_POINT('Origin',(33.9786,7.6956,0.)); #536318=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #536319=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #536320=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #536321=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #536322=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #536323=CARTESIAN_POINT('Origin',(33.987138,7.7413813,0.)); #536324=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #536325=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #536326=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #536327=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #536328=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #536329=CARTESIAN_POINT('Origin',(34.014378,7.7839594,0.)); #536330=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #536331=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #536332=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #536333=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #536334=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #536335=CARTESIAN_POINT('Origin',(34.056066,7.8125406,0.)); #536336=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #536337=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #536338=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #536339=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #536340=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #536341=CARTESIAN_POINT('Origin',(34.1056,7.8226,0.)); #536342=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #536343=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #536344=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #536345=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #536346=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #536347=CARTESIAN_POINT('Origin',(34.427034,7.8226,0.)); #536348=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #536349=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #536350=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #536351=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #536352=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #536353=CARTESIAN_POINT('Origin',(34.554597,7.9501625,0.)); #536354=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #536355=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #536356=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #536357=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #536358=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #536359=CARTESIAN_POINT('Origin',(34.591163,7.9756625,0.)); #536360=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #536361=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #536362=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #536363=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #536364=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #536365=CARTESIAN_POINT('Origin',(34.640353,7.9872938,0.)); #536366=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #536367=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #536368=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #536369=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #536370=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #536371=CARTESIAN_POINT('Origin',(34.690181,7.9788219,0.)); #536372=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #536373=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #536374=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #536375=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #536376=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #536377=CARTESIAN_POINT('Origin',(34.732759,7.9515813,0.)); #536378=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #536379=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #536380=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #536381=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #536382=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #536383=CARTESIAN_POINT('Origin',(34.749394,7.9273188,0.)); #536384=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #536385=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #536386=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #536387=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #536388=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #536389=CARTESIAN_POINT('Origin',(34.984203,7.6925094,0.)); #536390=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #536391=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #536392=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #536393=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #536394=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #536395=CARTESIAN_POINT('Origin',(35.011341,7.6522406,0.)); #536396=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #536397=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #536398=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #536399=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #536400=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #536401=CARTESIAN_POINT('Origin',(35.0214,7.6027063,0.)); #536402=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #536403=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #536404=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #536405=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #536406=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #536407=CARTESIAN_POINT('Origin',(35.0214,6.6784406,0.)); #536408=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #536409=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #536410=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #536411=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #536412=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #536413=CARTESIAN_POINT('Origin',(35.012116,6.6307781,0.)); #536414=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #536415=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #536416=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #536417=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #536418=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #536419=CARTESIAN_POINT('Origin',(34.984203,6.5886375,0.)); #536420=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #536421=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #536422=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #536423=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #536424=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #536425=CARTESIAN_POINT('Origin',(34.8226,6.4270344,0.)); #536426=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #536427=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #536428=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #536429=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #536430=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #536431=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #536432=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #536433=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #536434=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #536435=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #536436=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #536437=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #536438=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #536439=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #536440=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #536441=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #536442=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #536443=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #536444=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #536445=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #536446=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #536447=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #536448=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #536449=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #536450=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #536451=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #536452=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #536453=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #536454=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #536455=CARTESIAN_POINT('Origin',(35.6774,6.4270344,0.)); #536456=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #536457=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #536458=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #536459=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #536460=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #536461=CARTESIAN_POINT('Origin',(35.515797,6.5886375,0.)); #536462=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #536463=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #536464=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #536465=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #536466=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #536467=CARTESIAN_POINT('Origin',(35.488659,6.6289063,0.)); #536468=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #536469=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #536470=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #536471=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #536472=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #536473=CARTESIAN_POINT('Origin',(35.4786,6.6784406,0.)); #536474=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #536475=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #536476=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #536477=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #536478=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #536479=CARTESIAN_POINT('Origin',(35.4786,7.8446875,0.)); #536480=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #536481=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #536482=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #536483=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #536484=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #536485=CARTESIAN_POINT('Origin',(35.265797,8.0574906,0.)); #536486=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #536487=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #536488=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #536489=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #536490=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #536491=CARTESIAN_POINT('Origin',(35.238659,8.0977594,0.)); #536492=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #536493=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #536494=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #536495=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #536496=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #536497=CARTESIAN_POINT('Origin',(35.2286,8.1472938,0.)); #536498=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #536499=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #536500=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #536501=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #536502=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #536503=CARTESIAN_POINT('Origin',(35.2286,10.344688,0.)); #536504=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #536505=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #536506=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #536507=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #536508=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #536509=CARTESIAN_POINT('Origin',(33.967491,11.605797,0.)); #536510=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #536511=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #536512=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #536513=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #536514=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #536515=CARTESIAN_POINT('Origin',(33.941991,11.642363,0.)); #536516=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #536517=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #536518=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #536519=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #536520=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #536521=CARTESIAN_POINT('Origin',(33.930359,11.691553,0.)); #536522=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #536523=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #536524=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #536525=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #536526=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #536527=CARTESIAN_POINT('Origin',(33.938831,11.741381,0.)); #536528=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #536529=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #536530=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #536531=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #536532=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #536533=CARTESIAN_POINT('Origin',(33.966072,11.783959,0.)); #536534=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #536535=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #536536=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #536537=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #536538=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #536539=CARTESIAN_POINT('Origin',(34.007759,11.812541,0.)); #536540=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #536541=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #536542=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #536543=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #536544=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #536545=CARTESIAN_POINT('Origin',(34.057294,11.8226,0.)); #536546=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #536547=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #536548=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #536549=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #536550=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #536551=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #536552=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #536553=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #536554=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #536555=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #536556=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #536557=CARTESIAN_POINT('Origin',(34.410197,11.993263,0.)); #536558=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #536559=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #536560=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #536561=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #536562=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #536563=CARTESIAN_POINT('Origin',(34.448606,12.019597,0.)); #536564=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #536565=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #536566=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #536567=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #536568=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #536569=CARTESIAN_POINT('Origin',(34.497975,12.030444,0.)); #536570=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #536571=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #536572=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #536573=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #536574=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #536575=CARTESIAN_POINT('Origin',(34.547663,12.021175,0.)); #536576=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #536577=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #536578=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #536579=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #536580=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #536581=CARTESIAN_POINT('Origin',(34.589803,11.993263,0.)); #536582=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #536583=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #536584=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #536585=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #536586=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #536587=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #536588=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #536589=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #536590=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #536591=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #536592=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #536593=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #536594=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #536595=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #536596=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #536597=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #536598=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #536599=CARTESIAN_POINT('Origin',(35.304597,12.012663,0.)); #536600=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #536601=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #536602=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #536603=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #536604=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #536605=CARTESIAN_POINT('Origin',(35.341163,12.038163,0.)); #536606=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #536607=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #536608=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #536609=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #536610=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #536611=CARTESIAN_POINT('Origin',(35.390353,12.049794,0.)); #536612=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #536613=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #536614=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #536615=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #536616=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #536617=CARTESIAN_POINT('Origin',(35.440181,12.041322,0.)); #536618=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #536619=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #536620=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #536621=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #536622=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #536623=CARTESIAN_POINT('Origin',(35.482759,12.014081,0.)); #536624=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #536625=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #536626=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #536627=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #536628=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #536629=CARTESIAN_POINT('Origin',(35.511341,11.972394,0.)); #536630=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #536631=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #536632=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #536633=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #536634=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #536635=CARTESIAN_POINT('Origin',(35.5214,11.922859,0.)); #536636=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #536637=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #536638=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #536639=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #536640=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #536641=CARTESIAN_POINT('Origin',(35.5214,11.678441,0.)); #536642=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #536643=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #536644=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #536645=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #536646=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #536647=CARTESIAN_POINT('Origin',(35.512116,11.630778,0.)); #536648=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #536649=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #536650=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #536651=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #536652=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #536653=CARTESIAN_POINT('Origin',(35.484203,11.588638,0.)); #536654=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #536655=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #536656=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #536657=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #536658=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #536659=CARTESIAN_POINT('Origin',(35.3226,11.427034,0.)); #536660=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #536661=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #536662=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #536663=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #536664=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #536665=CARTESIAN_POINT('Origin',(35.3226,11.072966,0.)); #536666=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #536667=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #536668=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #536669=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #536670=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #536671=CARTESIAN_POINT('Origin',(35.572966,10.8226,0.)); #536672=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #536673=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #536674=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #536675=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #536676=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #536677=CARTESIAN_POINT('Origin',(35.9456,10.8226,0.)); #536678=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #536679=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #536680=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #536681=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #536682=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #536683=CARTESIAN_POINT('Origin',(35.991381,10.814063,0.)); #536684=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #536685=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #536686=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #536687=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #536688=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #536689=CARTESIAN_POINT('Origin',(36.033959,10.786822,0.)); #536690=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #536691=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #536692=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #536693=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #536694=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #536695=CARTESIAN_POINT('Origin',(36.062541,10.745134,0.)); #536696=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #536697=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #536698=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #536699=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #536700=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #536701=CARTESIAN_POINT('Origin',(36.0726,10.6956,0.)); #536702=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #536703=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #536704=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #536705=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #536706=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #536707=CARTESIAN_POINT('Origin',(36.0726,10.322966,0.)); #536708=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #536709=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #536710=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #536711=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #536712=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #536713=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #536714=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #536715=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #536716=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #536717=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #536718=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #536719=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #536720=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #536721=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #536722=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #536723=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #536724=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #536725=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #536726=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #536727=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #536728=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #536729=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #536730=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #536731=CARTESIAN_POINT('Origin',(36.9274,10.677034,0.)); #536732=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #536733=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #536734=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #536735=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #536736=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #536737=CARTESIAN_POINT('Origin',(36.765797,10.838638,0.)); #536738=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #536739=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #536740=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #536741=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #536742=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #536743=CARTESIAN_POINT('Origin',(36.738659,10.878906,0.)); #536744=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #536745=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #536746=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #536747=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #536748=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #536749=CARTESIAN_POINT('Origin',(36.7286,10.928441,0.)); #536750=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #536751=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #536752=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #536753=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #536754=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #536755=CARTESIAN_POINT('Origin',(36.7286,12.844688,0.)); #536756=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #536757=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #536758=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #536759=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #536760=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #536761=CARTESIAN_POINT('Origin',(36.654991,12.918297,0.)); #536762=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #536763=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #536764=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #536765=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #536766=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #536767=CARTESIAN_POINT('Origin',(36.629491,12.954863,0.)); #536768=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #536769=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #536770=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #536771=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #536772=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #536773=CARTESIAN_POINT('Origin',(36.617859,13.004053,0.)); #536774=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #536775=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #536776=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #536777=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #536778=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #536779=CARTESIAN_POINT('Origin',(36.626331,13.053881,0.)); #536780=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #536781=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #536782=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #536783=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #536784=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #536785=CARTESIAN_POINT('Origin',(36.653572,13.096459,0.)); #536786=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #536787=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #536788=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #536789=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #536790=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #536791=CARTESIAN_POINT('Origin',(36.695259,13.125041,0.)); #536792=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #536793=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #536794=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #536795=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #536796=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #536797=CARTESIAN_POINT('Origin',(36.744794,13.1351,0.)); #536798=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #536799=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #536800=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #536801=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #536802=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #536803=CARTESIAN_POINT('Origin',(36.950625,13.1351,0.)); #536804=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #536805=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #536806=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #536807=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #536808=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #536809=CARTESIAN_POINT('Origin',(37.0399,13.224375,0.)); #536810=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #536811=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #536812=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #536813=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #536814=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #536815=CARTESIAN_POINT('Origin',(37.0399,13.8331,0.)); #536816=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #536817=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #536818=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #536819=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #536820=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #536821=CARTESIAN_POINT('Origin',(37.048437,13.878881,0.)); #536822=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #536823=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #536824=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #536825=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #536826=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #536827=CARTESIAN_POINT('Origin',(37.075678,13.921459,0.)); #536828=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #536829=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #536830=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #536831=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #536832=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #536833=CARTESIAN_POINT('Origin',(37.117366,13.950041,0.)); #536834=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #536835=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #536836=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #536837=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #536838=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #536839=CARTESIAN_POINT('Origin',(37.1669,13.9601,0.)); #536840=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #536841=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #536842=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #536843=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #536844=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #536845=CARTESIAN_POINT('Origin',(37.775625,13.9601,0.)); #536846=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #536847=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #536848=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #536849=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #536850=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #536851=CARTESIAN_POINT('Origin',(37.8649,14.049375,0.)); #536852=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #536853=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #536854=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #536855=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #536856=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #536857=CARTESIAN_POINT('Origin',(37.8649,15.3944,0.)); #536858=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #536859=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #536860=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #536861=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #536862=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #536863=CARTESIAN_POINT('Origin',(37.873438,15.440181,0.)); #536864=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #536865=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #536866=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #536867=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #536868=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #536869=CARTESIAN_POINT('Origin',(37.900678,15.482759,0.)); #536870=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #536871=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #536872=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #536873=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #536874=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #536875=CARTESIAN_POINT('Origin',(37.942366,15.511341,0.)); #536876=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #536877=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #536878=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #536879=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #536880=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #536881=CARTESIAN_POINT('Origin',(37.9919,15.5214,0.)); #536882=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #536883=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #536884=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #536885=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #536886=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #536887=CARTESIAN_POINT('Origin',(38.821559,15.5214,0.)); #536888=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #536889=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #536890=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #536891=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #536892=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #536893=CARTESIAN_POINT('Origin',(38.869222,15.512116,0.)); #536894=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #536895=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #536896=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #536897=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #536898=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #536899=CARTESIAN_POINT('Origin',(38.911363,15.484203,0.)); #536900=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #536901=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #536902=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #536903=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #536904=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #536905=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #536906=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #536907=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #536908=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #536909=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #536910=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #536911=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #536912=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #536913=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #536914=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #536915=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #536916=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #536917=CARTESIAN_POINT('Origin',(39.6774,15.572966,0.)); #536918=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #536919=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #536920=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #536921=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #536922=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #536923=CARTESIAN_POINT('Origin',(39.6774,15.927034,0.)); #536924=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #536925=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #536926=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #536927=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #536928=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #536929=CARTESIAN_POINT('Origin',(39.569237,16.035197,0.)); #536930=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #536931=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #536932=CARTESIAN_POINT('',(39.569237,16.035197,0.035)); #536933=CARTESIAN_POINT('',(39.569237,16.035197,0.035)); #536934=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #536935=CARTESIAN_POINT('Origin',(39.542903,16.073606,0.)); #536936=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #536937=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #536938=CARTESIAN_POINT('',(39.542903,16.073606,0.035)); #536939=CARTESIAN_POINT('',(39.542903,16.073606,0.035)); #536940=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #536941=CARTESIAN_POINT('Origin',(39.532056,16.122975,0.)); #536942=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #536943=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #536944=CARTESIAN_POINT('',(39.532056,16.122975,0.035)); #536945=CARTESIAN_POINT('',(39.532056,16.122975,0.035)); #536946=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #536947=CARTESIAN_POINT('Origin',(39.541325,16.172663,0.)); #536948=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #536949=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #536950=CARTESIAN_POINT('',(39.541325,16.172663,0.035)); #536951=CARTESIAN_POINT('',(39.541325,16.172663,0.035)); #536952=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #536953=CARTESIAN_POINT('Origin',(39.569237,16.214803,0.)); #536954=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #536955=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #536956=CARTESIAN_POINT('',(39.569237,16.214803,0.035)); #536957=CARTESIAN_POINT('',(39.569237,16.214803,0.035)); #536958=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #536959=CARTESIAN_POINT('Origin',(39.6774,16.322966,0.)); #536960=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #536961=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #536962=CARTESIAN_POINT('',(39.6774,16.322966,0.035)); #536963=CARTESIAN_POINT('',(39.6774,16.322966,0.035)); #536964=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #536965=CARTESIAN_POINT('Origin',(39.6774,16.677034,0.)); #536966=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #536967=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #536968=CARTESIAN_POINT('',(39.6774,16.677034,0.035)); #536969=CARTESIAN_POINT('',(39.6774,16.677034,0.035)); #536970=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #536971=CARTESIAN_POINT('Origin',(39.427034,16.9274,0.)); #536972=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #536973=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #536974=CARTESIAN_POINT('',(39.427034,16.9274,0.035)); #536975=CARTESIAN_POINT('',(39.427034,16.9274,0.035)); #536976=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #536977=CARTESIAN_POINT('Origin',(39.377,16.9274,0.)); #536978=CARTESIAN_POINT('',(39.377,16.9274,0.)); #536979=CARTESIAN_POINT('',(39.377,16.9274,0.)); #536980=CARTESIAN_POINT('',(39.377,16.9274,0.035)); #536981=CARTESIAN_POINT('',(39.377,16.9274,0.035)); #536982=CARTESIAN_POINT('',(39.377,16.9274,0.)); #536983=CARTESIAN_POINT('Origin',(39.331219,16.935938,0.)); #536984=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #536985=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #536986=CARTESIAN_POINT('',(39.331219,16.935938,0.035)); #536987=CARTESIAN_POINT('',(39.331219,16.935938,0.035)); #536988=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #536989=CARTESIAN_POINT('Origin',(39.288641,16.963178,0.)); #536990=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #536991=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #536992=CARTESIAN_POINT('',(39.288641,16.963178,0.035)); #536993=CARTESIAN_POINT('',(39.288641,16.963178,0.035)); #536994=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #536995=CARTESIAN_POINT('Origin',(39.260059,17.004866,0.)); #536996=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #536997=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #536998=CARTESIAN_POINT('',(39.260059,17.004866,0.035)); #536999=CARTESIAN_POINT('',(39.260059,17.004866,0.035)); #537000=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #537001=CARTESIAN_POINT('Origin',(39.25,17.0544,0.)); #537002=CARTESIAN_POINT('',(39.25,17.0544,0.)); #537003=CARTESIAN_POINT('',(39.25,17.0544,0.)); #537004=CARTESIAN_POINT('',(39.25,17.0544,0.035)); #537005=CARTESIAN_POINT('',(39.25,17.0544,0.035)); #537006=CARTESIAN_POINT('',(39.25,17.0544,0.)); #537007=CARTESIAN_POINT('Origin',(39.25,17.225,0.)); #537008=CARTESIAN_POINT('',(39.25,17.225,0.)); #537009=CARTESIAN_POINT('',(39.25,17.225,0.)); #537010=CARTESIAN_POINT('',(39.25,17.225,0.035)); #537011=CARTESIAN_POINT('',(39.25,17.225,0.035)); #537012=CARTESIAN_POINT('',(39.25,17.225,0.)); #537013=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #537014=CARTESIAN_POINT('',(39.006072,17.373,0.)); #537015=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #537016=CARTESIAN_POINT('',(39.006072,17.373,0.035)); #537017=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.035)); #537018=CARTESIAN_POINT('',(39.006072,17.373,0.)); #537019=CARTESIAN_POINT('Origin',(38.5,17.373,0.)); #537020=CARTESIAN_POINT('',(38.5,17.373,0.)); #537021=CARTESIAN_POINT('',(38.5,17.373,0.)); #537022=CARTESIAN_POINT('',(38.5,17.373,0.035)); #537023=CARTESIAN_POINT('',(38.5,17.373,0.035)); #537024=CARTESIAN_POINT('',(38.5,17.373,0.)); #537025=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #537026=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #537027=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #537028=CARTESIAN_POINT('',(38.410197,17.410197,0.035)); #537029=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.035)); #537030=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #537031=CARTESIAN_POINT('Origin',(38.197394,17.623,0.)); #537032=CARTESIAN_POINT('',(38.197394,17.623,0.)); #537033=CARTESIAN_POINT('',(38.197394,17.623,0.)); #537034=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #537035=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #537036=CARTESIAN_POINT('',(38.197394,17.623,0.)); #537037=CARTESIAN_POINT('Origin',(37.7125,17.623,0.)); #537038=CARTESIAN_POINT('',(37.7125,17.623,0.)); #537039=CARTESIAN_POINT('',(37.7125,17.623,0.)); #537040=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #537041=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #537042=CARTESIAN_POINT('',(37.7125,17.623,0.)); #537043=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.)); #537044=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #537045=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #537046=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #537047=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #537048=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #537049=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.)); #537050=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #537051=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #537052=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #537053=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #537054=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #537055=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.)); #537056=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #537057=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #537058=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #537059=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #537060=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #537061=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.)); #537062=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #537063=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #537064=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #537065=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #537066=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #537067=CARTESIAN_POINT('Origin',(37.7125,17.877,0.)); #537068=CARTESIAN_POINT('',(37.7125,17.877,0.)); #537069=CARTESIAN_POINT('',(37.7125,17.877,0.)); #537070=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #537071=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #537072=CARTESIAN_POINT('',(37.7125,17.877,0.)); #537073=CARTESIAN_POINT('Origin',(38.25,17.877,0.)); #537074=CARTESIAN_POINT('',(38.25,17.877,0.)); #537075=CARTESIAN_POINT('',(38.25,17.877,0.)); #537076=CARTESIAN_POINT('',(38.25,17.877,0.035)); #537077=CARTESIAN_POINT('',(38.25,17.877,0.035)); #537078=CARTESIAN_POINT('',(38.25,17.877,0.)); #537079=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #537080=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #537081=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #537082=CARTESIAN_POINT('',(38.339803,17.839803,0.035)); #537083=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.035)); #537084=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #537085=CARTESIAN_POINT('Origin',(38.552606,17.627,0.)); #537086=CARTESIAN_POINT('',(38.552606,17.627,0.)); #537087=CARTESIAN_POINT('',(38.552606,17.627,0.)); #537088=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #537089=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #537090=CARTESIAN_POINT('',(38.552606,17.627,0.)); #537091=CARTESIAN_POINT('Origin',(39.006072,17.627,0.)); #537092=CARTESIAN_POINT('',(39.006072,17.627,0.)); #537093=CARTESIAN_POINT('',(39.006072,17.627,0.)); #537094=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #537095=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #537096=CARTESIAN_POINT('',(39.006072,17.627,0.)); #537097=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #537098=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #537099=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #537100=CARTESIAN_POINT('',(39.279272,17.773791,0.035)); #537101=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.035)); #537102=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #537103=CARTESIAN_POINT('Origin',(39.285778,17.783959,0.)); #537104=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #537105=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #537106=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #537107=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #537108=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #537109=CARTESIAN_POINT('Origin',(39.327466,17.812541,0.)); #537110=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #537111=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #537112=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #537113=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #537114=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #537115=CARTESIAN_POINT('Origin',(39.377,17.8226,0.)); #537116=CARTESIAN_POINT('',(39.377,17.8226,0.)); #537117=CARTESIAN_POINT('',(39.377,17.8226,0.)); #537118=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #537119=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #537120=CARTESIAN_POINT('',(39.377,17.8226,0.)); #537121=CARTESIAN_POINT('Origin',(39.427034,17.8226,0.)); #537122=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #537123=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #537124=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #537125=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #537126=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #537127=CARTESIAN_POINT('Origin',(39.6774,18.072966,0.)); #537128=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #537129=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #537130=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #537131=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #537132=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #537133=CARTESIAN_POINT('Origin',(39.6774,18.123,0.)); #537134=CARTESIAN_POINT('',(39.6774,18.123,0.)); #537135=CARTESIAN_POINT('',(39.6774,18.123,0.)); #537136=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #537137=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #537138=CARTESIAN_POINT('',(39.6774,18.123,0.)); #537139=CARTESIAN_POINT('Origin',(39.685938,18.168781,0.)); #537140=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #537141=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #537142=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #537143=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #537144=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #537145=CARTESIAN_POINT('Origin',(39.713178,18.211359,0.)); #537146=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #537147=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #537148=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #537149=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #537150=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #537151=CARTESIAN_POINT('Origin',(39.754866,18.239941,0.)); #537152=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #537153=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #537154=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #537155=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #537156=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #537157=CARTESIAN_POINT('Origin',(39.8044,18.25,0.)); #537158=CARTESIAN_POINT('',(39.8044,18.25,0.)); #537159=CARTESIAN_POINT('',(39.8044,18.25,0.)); #537160=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #537161=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #537162=CARTESIAN_POINT('',(39.8044,18.25,0.)); #537163=CARTESIAN_POINT('Origin',(43.998,18.25,0.)); #537164=CARTESIAN_POINT('',(43.998,18.25,0.)); #537165=CARTESIAN_POINT('',(43.998,18.25,0.)); #537166=CARTESIAN_POINT('',(43.998,18.25,0.035)); #537167=CARTESIAN_POINT('',(43.998,18.25,0.035)); #537168=CARTESIAN_POINT('',(43.998,18.25,0.)); #537169=CARTESIAN_POINT('Origin',(44.043781,18.241463,0.)); #537170=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #537171=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #537172=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #537173=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #537174=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #537175=CARTESIAN_POINT('Origin',(44.086359,18.214222,0.)); #537176=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #537177=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #537178=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #537179=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #537180=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #537181=CARTESIAN_POINT('Origin',(44.114941,18.172534,0.)); #537182=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #537183=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #537184=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #537185=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #537186=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #537187=CARTESIAN_POINT('Origin',(44.125,18.123,0.)); #537188=CARTESIAN_POINT('',(44.125,18.123,0.)); #537189=CARTESIAN_POINT('',(44.125,18.123,0.)); #537190=CARTESIAN_POINT('',(44.125,18.123,0.035)); #537191=CARTESIAN_POINT('',(44.125,18.123,0.035)); #537192=CARTESIAN_POINT('',(44.125,18.123,0.)); #537193=CARTESIAN_POINT('Origin',(44.125,16.5044,0.)); #537194=CARTESIAN_POINT('',(44.125,16.5044,0.)); #537195=CARTESIAN_POINT('',(44.125,16.5044,0.)); #537196=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #537197=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #537198=CARTESIAN_POINT('',(44.125,16.5044,0.)); #537199=CARTESIAN_POINT('Origin',(44.116463,16.458619,0.)); #537200=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #537201=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #537202=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #537203=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #537204=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #537205=CARTESIAN_POINT('Origin',(44.089222,16.416041,0.)); #537206=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #537207=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #537208=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #537209=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #537210=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #537211=CARTESIAN_POINT('Origin',(44.047534,16.387459,0.)); #537212=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #537213=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #537214=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #537215=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #537216=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #537217=CARTESIAN_POINT('Origin',(43.998,16.3774,0.)); #537218=CARTESIAN_POINT('',(43.998,16.3774,0.)); #537219=CARTESIAN_POINT('',(43.998,16.3774,0.)); #537220=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #537221=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #537222=CARTESIAN_POINT('',(43.998,16.3774,0.)); #537223=CARTESIAN_POINT('Origin',(43.036875,16.3774,0.)); #537224=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #537225=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #537226=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #537227=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #537228=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #537229=CARTESIAN_POINT('Origin',(42.971759,16.312284,0.)); #537230=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #537231=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #537232=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #537233=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #537234=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #537235=CARTESIAN_POINT('Origin',(42.93335,16.28595,0.)); #537236=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #537237=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #537238=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #537239=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #537240=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #537241=CARTESIAN_POINT('Origin',(42.883981,16.275103,0.)); #537242=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #537243=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #537244=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #537245=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #537246=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #537247=CARTESIAN_POINT('Origin',(42.834294,16.284372,0.)); #537248=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #537249=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #537250=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #537251=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #537252=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #537253=CARTESIAN_POINT('Origin',(42.792153,16.312284,0.)); #537254=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #537255=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #537256=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #537257=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #537258=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #537259=CARTESIAN_POINT('Origin',(42.677034,16.4274,0.)); #537260=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #537261=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #537262=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #537263=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #537264=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #537265=CARTESIAN_POINT('Origin',(42.322966,16.4274,0.)); #537266=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #537267=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #537268=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #537269=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #537270=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #537271=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #537272=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #537273=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #537274=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #537275=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #537276=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #537277=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #537278=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #537279=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #537280=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #537281=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #537282=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #537283=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #537284=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #537285=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #537286=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #537287=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #537288=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #537289=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #537290=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #537291=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #537292=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #537293=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #537294=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #537295=CARTESIAN_POINT('Origin',(42.817153,15.712719,0.)); #537296=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #537297=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #537298=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #537299=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #537300=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #537301=CARTESIAN_POINT('Origin',(42.855566,15.739053,0.)); #537302=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #537303=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #537304=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #537305=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #537306=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #537307=CARTESIAN_POINT('Origin',(42.904934,15.7499,0.)); #537308=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #537309=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #537310=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #537311=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #537312=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #537313=CARTESIAN_POINT('Origin',(42.954622,15.740631,0.)); #537314=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #537315=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #537316=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #537317=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #537318=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #537319=CARTESIAN_POINT('Origin',(42.996759,15.712716,0.)); #537320=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #537321=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #537322=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #537323=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #537324=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #537325=CARTESIAN_POINT('Origin',(43.036872,15.6726,0.)); #537326=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #537327=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #537328=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #537329=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #537330=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #537331=CARTESIAN_POINT('Origin',(43.998,15.6726,0.)); #537332=CARTESIAN_POINT('',(43.998,15.6726,0.)); #537333=CARTESIAN_POINT('',(43.998,15.6726,0.)); #537334=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #537335=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #537336=CARTESIAN_POINT('',(43.998,15.6726,0.)); #537337=CARTESIAN_POINT('Origin',(44.043781,15.664063,0.)); #537338=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #537339=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #537340=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #537341=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #537342=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #537343=CARTESIAN_POINT('Origin',(44.086359,15.636822,0.)); #537344=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #537345=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #537346=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #537347=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #537348=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #537349=CARTESIAN_POINT('Origin',(44.114941,15.595134,0.)); #537350=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #537351=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #537352=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #537353=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #537354=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #537355=CARTESIAN_POINT('Origin',(44.125,15.5456,0.)); #537356=CARTESIAN_POINT('',(44.125,15.5456,0.)); #537357=CARTESIAN_POINT('',(44.125,15.5456,0.)); #537358=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #537359=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #537360=CARTESIAN_POINT('',(44.125,15.5456,0.)); #537361=CARTESIAN_POINT('Origin',(44.125,15.385022,0.)); #537362=CARTESIAN_POINT('',(44.125,15.385022,0.)); #537363=CARTESIAN_POINT('',(44.125,15.385022,0.)); #537364=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #537365=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #537366=CARTESIAN_POINT('',(44.125,15.385022,0.)); #537367=CARTESIAN_POINT('Origin',(44.126459,15.375825,0.)); #537368=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #537369=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #537370=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #537371=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #537372=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #537373=CARTESIAN_POINT('Origin',(44.132984,15.375,0.)); #537374=CARTESIAN_POINT('',(44.132984,15.375,0.)); #537375=CARTESIAN_POINT('',(44.132984,15.375,0.)); #537376=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #537377=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #537378=CARTESIAN_POINT('',(44.132984,15.375,0.)); #537379=CARTESIAN_POINT('Origin',(44.873,15.375,0.)); #537380=CARTESIAN_POINT('',(44.873,15.375,0.)); #537381=CARTESIAN_POINT('',(44.873,15.375,0.)); #537382=CARTESIAN_POINT('',(44.873,15.375,0.035)); #537383=CARTESIAN_POINT('',(44.873,15.375,0.035)); #537384=CARTESIAN_POINT('',(44.873,15.375,0.)); #537385=CARTESIAN_POINT('Origin',(44.918781,15.366463,0.)); #537386=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #537387=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #537388=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #537389=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #537390=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #537391=CARTESIAN_POINT('Origin',(44.961359,15.339222,0.)); #537392=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #537393=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #537394=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #537395=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #537396=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #537397=CARTESIAN_POINT('Origin',(44.989941,15.297534,0.)); #537398=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #537399=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #537400=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #537401=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #537402=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #537403=CARTESIAN_POINT('Origin',(45.,15.248,0.)); #537404=CARTESIAN_POINT('',(45.,15.248,0.)); #537405=CARTESIAN_POINT('',(45.,15.248,0.)); #537406=CARTESIAN_POINT('',(45.,15.248,0.035)); #537407=CARTESIAN_POINT('',(45.,15.248,0.035)); #537408=CARTESIAN_POINT('',(45.,15.248,0.)); #537409=CARTESIAN_POINT('Origin',(45.,10.802606,0.)); #537410=CARTESIAN_POINT('',(45.,10.802606,0.)); #537411=CARTESIAN_POINT('',(45.,10.802606,0.)); #537412=CARTESIAN_POINT('',(45.,10.802606,0.035)); #537413=CARTESIAN_POINT('',(45.,10.802606,0.035)); #537414=CARTESIAN_POINT('',(45.,10.802606,0.)); #537415=CARTESIAN_POINT('Origin',(44.990716,10.754944,0.)); #537416=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #537417=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #537418=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #537419=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #537420=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #537421=CARTESIAN_POINT('Origin',(44.962803,10.712803,0.)); #537422=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #537423=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #537424=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #537425=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #537426=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #537427=CARTESIAN_POINT('Origin',(42.787197,8.5371969,0.)); #537428=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #537429=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #537430=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #537431=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #537432=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #537433=CARTESIAN_POINT('Origin',(42.746928,8.5100594,0.)); #537434=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #537435=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #537436=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #537437=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #537438=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #537439=CARTESIAN_POINT('Origin',(42.697394,8.5,0.)); #537440=CARTESIAN_POINT('',(42.697394,8.5,0.)); #537441=CARTESIAN_POINT('',(42.697394,8.5,0.)); #537442=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #537443=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #537444=CARTESIAN_POINT('',(42.697394,8.5,0.)); #537445=CARTESIAN_POINT('Origin',(37.758994,8.5,0.)); #537446=CARTESIAN_POINT('',(37.758994,8.5,0.)); #537447=CARTESIAN_POINT('',(37.758994,8.5,0.)); #537448=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #537449=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #537450=CARTESIAN_POINT('',(37.758994,8.5,0.)); #537451=CARTESIAN_POINT('Origin',(37.749975,8.4987156,0.)); #537452=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #537453=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #537454=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #537455=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #537456=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #537457=CARTESIAN_POINT('Origin',(37.744347,8.4943469,0.)); #537458=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #537459=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #537460=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #537461=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #537462=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #537463=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #537464=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #537465=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #537466=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #537467=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #537468=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #537469=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #537470=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #537471=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #537472=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #537473=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #537474=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #537475=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #537476=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #537477=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #537478=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #537479=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #537480=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #537481=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #537482=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #537483=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #537484=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #537485=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #537486=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #537487=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #537488=CARTESIAN_POINT('',(36.978316,8.,0.)); #537489=CARTESIAN_POINT('',(36.978316,8.,0.)); #537490=CARTESIAN_POINT('',(36.978316,8.,0.035)); #537491=CARTESIAN_POINT('',(36.978316,8.,0.035)); #537492=CARTESIAN_POINT('',(36.978316,8.,0.)); #537493=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #537494=CARTESIAN_POINT('',(36.760022,8.,0.)); #537495=CARTESIAN_POINT('',(36.760022,8.,0.)); #537496=CARTESIAN_POINT('',(36.760022,8.,0.035)); #537497=CARTESIAN_POINT('',(36.760022,8.,0.035)); #537498=CARTESIAN_POINT('',(36.760022,8.,0.)); #537499=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #537500=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #537501=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #537502=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #537503=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #537504=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #537505=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #537506=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #537507=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #537508=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #537509=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #537510=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #537511=CARTESIAN_POINT('Origin',(36.75,6.8833656,0.)); #537512=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #537513=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #537514=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #537515=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #537516=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #537517=CARTESIAN_POINT('Origin',(36.741094,6.8366406,0.)); #537518=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #537519=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #537520=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #537521=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #537522=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #537523=CARTESIAN_POINT('Origin',(36.713516,6.7942813,0.)); #537524=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #537525=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #537526=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #537527=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #537528=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #537529=CARTESIAN_POINT('Origin',(36.6716,6.7660344,0.)); #537530=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #537531=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #537532=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #537533=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #537534=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #537535=CARTESIAN_POINT('Origin',(36.535969,6.7098531,0.)); #537536=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #537537=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #537538=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #537539=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #537540=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #537541=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #537542=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #537543=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #537544=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #537545=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #537546=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #537547=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #537548=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #537549=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #537550=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #537551=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #537552=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #537553=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #537554=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #537555=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #537556=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #537557=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #537558=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #537559=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #537560=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #537561=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #537562=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #537563=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #537564=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #537565=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #537566=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #537567=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #537568=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #537569=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #537570=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #537571=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #537572=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #537573=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #537574=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #537575=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #537576=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #537577=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #537578=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #537579=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #537580=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #537581=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #537582=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #537583=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #537584=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #537585=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #537586=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #537587=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #537588=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #537589=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #537590=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #537591=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #537592=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #537593=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #537594=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #537595=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #537596=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #537597=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #537598=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #537599=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #537600=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #537601=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #537602=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #537603=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #537604=CARTESIAN_POINT('Origin',(37.4061396491429,11.1786906973281,0.035)); #537605=CARTESIAN_POINT('Origin',(37.4061396491429,11.1786906973281,0.)); #537606=CARTESIAN_POINT('Origin',(33.859647,8.5127063,0.)); #537607=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #537608=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #537609=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #537610=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #537611=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #537612=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #537613=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #537614=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #537615=CARTESIAN_POINT('Origin',(33.809819,8.5211781,0.)); #537616=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #537617=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #537618=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #537619=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #537620=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #537621=CARTESIAN_POINT('Origin',(33.767241,8.5484187,0.)); #537622=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #537623=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #537624=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #537625=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #537626=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #537627=CARTESIAN_POINT('Origin',(33.738659,8.5901062,0.)); #537628=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #537629=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #537630=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #537631=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #537632=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #537633=CARTESIAN_POINT('Origin',(33.7286,8.6396406,0.)); #537634=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #537635=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #537636=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #537637=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #537638=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #537639=CARTESIAN_POINT('Origin',(33.7286,10.184394,0.)); #537640=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #537641=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #537642=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #537643=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #537644=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #537645=CARTESIAN_POINT('Origin',(33.736425,10.228281,0.)); #537646=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #537647=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #537648=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #537649=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #537650=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #537651=CARTESIAN_POINT('Origin',(33.762981,10.271287,0.)); #537652=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #537653=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #537654=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #537655=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #537656=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #537657=CARTESIAN_POINT('Origin',(33.804206,10.300531,0.)); #537658=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #537659=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #537660=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #537661=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #537662=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #537663=CARTESIAN_POINT('Origin',(33.853575,10.311378,0.)); #537664=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #537665=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #537666=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #537667=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #537668=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #537669=CARTESIAN_POINT('Origin',(33.903263,10.302109,0.)); #537670=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #537671=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #537672=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #537673=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #537674=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #537675=CARTESIAN_POINT('Origin',(33.945403,10.274197,0.)); #537676=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #537677=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #537678=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #537679=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #537680=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #537681=CARTESIAN_POINT('Origin',(33.984203,10.235397,0.)); #537682=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #537683=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #537684=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #537685=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #537686=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #537687=CARTESIAN_POINT('Origin',(34.011341,10.195128,0.)); #537688=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #537689=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #537690=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #537691=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #537692=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #537693=CARTESIAN_POINT('Origin',(34.0214,10.145594,0.)); #537694=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #537695=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #537696=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #537697=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #537698=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #537699=CARTESIAN_POINT('Origin',(34.0214,8.6784406,0.)); #537700=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #537701=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #537702=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #537703=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #537704=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #537705=CARTESIAN_POINT('Origin',(34.012116,8.6307781,0.)); #537706=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #537707=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #537708=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #537709=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #537710=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #537711=CARTESIAN_POINT('Origin',(33.984203,8.5886375,0.)); #537712=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #537713=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #537714=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #537715=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #537716=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #537717=CARTESIAN_POINT('Origin',(33.945403,8.5498375,0.)); #537718=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #537719=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #537720=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #537721=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #537722=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #537723=CARTESIAN_POINT('Origin',(33.908838,8.5243375,0.)); #537724=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #537725=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #537726=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.035)); #537727=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.)); #537728=CARTESIAN_POINT('Origin',(36.146425,11.512656,0.)); #537729=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #537730=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #537731=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #537732=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #537733=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #537734=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #537735=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #537736=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #537737=CARTESIAN_POINT('Origin',(36.096738,11.521925,0.)); #537738=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #537739=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #537740=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #537741=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #537742=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #537743=CARTESIAN_POINT('Origin',(36.054597,11.549838,0.)); #537744=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #537745=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #537746=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #537747=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #537748=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #537749=CARTESIAN_POINT('Origin',(36.015797,11.588638,0.)); #537750=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #537751=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #537752=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #537753=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #537754=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #537755=CARTESIAN_POINT('Origin',(35.988659,11.628906,0.)); #537756=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #537757=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #537758=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #537759=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #537760=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #537761=CARTESIAN_POINT('Origin',(35.9786,11.678441,0.)); #537762=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #537763=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #537764=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #537765=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #537766=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #537767=CARTESIAN_POINT('Origin',(35.9786,12.641506,0.)); #537768=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #537769=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #537770=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #537771=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #537772=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #537773=CARTESIAN_POINT('Origin',(35.986425,12.685394,0.)); #537774=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #537775=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #537776=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #537777=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #537778=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #537779=CARTESIAN_POINT('Origin',(36.012981,12.7284,0.)); #537780=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #537781=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #537782=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #537783=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #537784=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #537785=CARTESIAN_POINT('Origin',(36.054206,12.757644,0.)); #537786=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #537787=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #537788=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #537789=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #537790=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #537791=CARTESIAN_POINT('Origin',(36.103575,12.768491,0.)); #537792=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #537793=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #537794=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #537795=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #537796=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #537797=CARTESIAN_POINT('Origin',(36.153263,12.759222,0.)); #537798=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #537799=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #537800=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #537801=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #537802=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #537803=CARTESIAN_POINT('Origin',(36.195403,12.731309,0.)); #537804=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #537805=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #537806=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #537807=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #537808=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #537809=CARTESIAN_POINT('Origin',(36.234203,12.692509,0.)); #537810=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #537811=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #537812=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #537813=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #537814=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #537815=CARTESIAN_POINT('Origin',(36.261341,12.652241,0.)); #537816=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #537817=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #537818=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #537819=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #537820=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #537821=CARTESIAN_POINT('Origin',(36.2714,12.602706,0.)); #537822=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #537823=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #537824=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #537825=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #537826=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #537827=CARTESIAN_POINT('Origin',(36.2714,11.639641,0.)); #537828=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #537829=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #537830=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #537831=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #537832=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #537833=CARTESIAN_POINT('Origin',(36.263575,11.595753,0.)); #537834=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #537835=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #537836=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #537837=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #537838=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #537839=CARTESIAN_POINT('Origin',(36.237019,11.552747,0.)); #537840=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #537841=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #537842=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #537843=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #537844=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #537845=CARTESIAN_POINT('Origin',(36.195794,11.523503,0.)); #537846=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #537847=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #537848=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.035)); #537849=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.)); #537850=CARTESIAN_POINT('Origin',(33.146425,8.5126563,0.)); #537851=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #537852=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #537853=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #537854=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #537855=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #537856=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #537857=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #537858=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #537859=CARTESIAN_POINT('Origin',(33.096738,8.521925,0.)); #537860=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #537861=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #537862=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #537863=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #537864=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #537865=CARTESIAN_POINT('Origin',(33.054597,8.5498375,0.)); #537866=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #537867=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #537868=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #537869=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #537870=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #537871=CARTESIAN_POINT('Origin',(33.015797,8.5886375,0.)); #537872=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #537873=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #537874=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #537875=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #537876=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #537877=CARTESIAN_POINT('Origin',(32.988659,8.6289063,0.)); #537878=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #537879=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #537880=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #537881=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #537882=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #537883=CARTESIAN_POINT('Origin',(32.9786,8.6784406,0.)); #537884=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #537885=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #537886=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #537887=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #537888=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #537889=CARTESIAN_POINT('Origin',(32.9786,10.227281,0.)); #537890=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #537891=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #537892=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #537893=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #537894=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #537895=CARTESIAN_POINT('Origin',(32.986425,10.271169,0.)); #537896=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #537897=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #537898=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #537899=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #537900=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #537901=CARTESIAN_POINT('Origin',(33.012981,10.314175,0.)); #537902=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #537903=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #537904=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #537905=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #537906=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #537907=CARTESIAN_POINT('Origin',(33.054206,10.343419,0.)); #537908=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #537909=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #537910=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #537911=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #537912=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #537913=CARTESIAN_POINT('Origin',(33.103575,10.354266,0.)); #537914=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #537915=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #537916=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #537917=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #537918=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #537919=CARTESIAN_POINT('Origin',(33.153263,10.344997,0.)); #537920=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #537921=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #537922=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #537923=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #537924=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #537925=CARTESIAN_POINT('Origin',(33.195403,10.317084,0.)); #537926=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #537927=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #537928=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #537929=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #537930=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #537931=CARTESIAN_POINT('Origin',(33.234203,10.278284,0.)); #537932=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #537933=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #537934=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #537935=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #537936=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #537937=CARTESIAN_POINT('Origin',(33.261341,10.238016,0.)); #537938=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #537939=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #537940=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #537941=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #537942=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #537943=CARTESIAN_POINT('Origin',(33.2714,10.188481,0.)); #537944=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #537945=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #537946=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #537947=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #537948=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #537949=CARTESIAN_POINT('Origin',(33.2714,8.6396406,0.)); #537950=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #537951=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #537952=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #537953=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #537954=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #537955=CARTESIAN_POINT('Origin',(33.263575,8.5957531,0.)); #537956=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #537957=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #537958=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #537959=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #537960=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #537961=CARTESIAN_POINT('Origin',(33.237019,8.5527469,0.)); #537962=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #537963=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #537964=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #537965=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #537966=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #537967=CARTESIAN_POINT('Origin',(33.195794,8.5235031,0.)); #537968=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #537969=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #537970=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.035)); #537971=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.)); #537972=CARTESIAN_POINT('Origin',(35.396425,12.450156,0.)); #537973=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #537974=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #537975=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #537976=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #537977=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #537978=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #537979=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #537980=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #537981=CARTESIAN_POINT('Origin',(35.346738,12.459425,0.)); #537982=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #537983=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #537984=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #537985=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #537986=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #537987=CARTESIAN_POINT('Origin',(35.304597,12.487338,0.)); #537988=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #537989=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #537990=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #537991=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #537992=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #537993=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #537994=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #537995=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #537996=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #537997=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #537998=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #537999=CARTESIAN_POINT('Origin',(34.885994,12.6774,0.)); #538000=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #538001=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #538002=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #538003=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #538004=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #538005=CARTESIAN_POINT('Origin',(34.838331,12.686684,0.)); #538006=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #538007=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #538008=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #538009=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #538010=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #538011=CARTESIAN_POINT('Origin',(34.796191,12.714597,0.)); #538012=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #538013=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #538014=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #538015=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #538016=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #538017=CARTESIAN_POINT('Origin',(34.592491,12.918297,0.)); #538018=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #538019=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #538020=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #538021=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #538022=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #538023=CARTESIAN_POINT('Origin',(34.566991,12.954863,0.)); #538024=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #538025=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #538026=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #538027=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #538028=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #538029=CARTESIAN_POINT('Origin',(34.555359,13.004053,0.)); #538030=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #538031=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #538032=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #538033=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #538034=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #538035=CARTESIAN_POINT('Origin',(34.563831,13.053881,0.)); #538036=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #538037=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #538038=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #538039=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #538040=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #538041=CARTESIAN_POINT('Origin',(34.591072,13.096459,0.)); #538042=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #538043=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #538044=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #538045=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #538046=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #538047=CARTESIAN_POINT('Origin',(34.632759,13.125041,0.)); #538048=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #538049=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #538050=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #538051=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #538052=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #538053=CARTESIAN_POINT('Origin',(34.682294,13.1351,0.)); #538054=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #538055=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #538056=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #538057=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #538058=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #538059=CARTESIAN_POINT('Origin',(35.3944,13.1351,0.)); #538060=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #538061=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #538062=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #538063=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #538064=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #538065=CARTESIAN_POINT('Origin',(35.440181,13.126563,0.)); #538066=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #538067=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #538068=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #538069=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #538070=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #538071=CARTESIAN_POINT('Origin',(35.482759,13.099322,0.)); #538072=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #538073=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #538074=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #538075=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #538076=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #538077=CARTESIAN_POINT('Origin',(35.511341,13.057634,0.)); #538078=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #538079=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #538080=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #538081=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #538082=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #538083=CARTESIAN_POINT('Origin',(35.5214,13.0081,0.)); #538084=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #538085=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #538086=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #538087=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #538088=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #538089=CARTESIAN_POINT('Origin',(35.5214,12.577141,0.)); #538090=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #538091=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #538092=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #538093=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #538094=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #538095=CARTESIAN_POINT('Origin',(35.513575,12.533253,0.)); #538096=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #538097=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #538098=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #538099=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #538100=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #538101=CARTESIAN_POINT('Origin',(35.487019,12.490247,0.)); #538102=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #538103=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #538104=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #538105=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #538106=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #538107=CARTESIAN_POINT('Origin',(35.445794,12.461003,0.)); #538108=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #538109=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #538110=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.035)); #538111=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.)); #538112=CARTESIAN_POINT('Origin',(34.646425,8.5126563,0.)); #538113=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #538114=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #538115=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #538116=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #538117=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #538118=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #538119=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #538120=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #538121=CARTESIAN_POINT('Origin',(34.596738,8.521925,0.)); #538122=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #538123=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #538124=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #538125=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #538126=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #538127=CARTESIAN_POINT('Origin',(34.554597,8.5498375,0.)); #538128=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #538129=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #538130=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #538131=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #538132=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #538133=CARTESIAN_POINT('Origin',(34.515797,8.5886375,0.)); #538134=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #538135=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #538136=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #538137=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #538138=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #538139=CARTESIAN_POINT('Origin',(34.488659,8.6289063,0.)); #538140=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #538141=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #538142=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #538143=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #538144=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #538145=CARTESIAN_POINT('Origin',(34.4786,8.6784406,0.)); #538146=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #538147=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #538148=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #538149=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #538150=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #538151=CARTESIAN_POINT('Origin',(34.4786,10.141506,0.)); #538152=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #538153=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #538154=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #538155=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #538156=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #538157=CARTESIAN_POINT('Origin',(34.486425,10.185394,0.)); #538158=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #538159=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #538160=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #538161=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #538162=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #538163=CARTESIAN_POINT('Origin',(34.512981,10.2284,0.)); #538164=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #538165=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #538166=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #538167=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #538168=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #538169=CARTESIAN_POINT('Origin',(34.554206,10.257644,0.)); #538170=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #538171=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #538172=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #538173=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #538174=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #538175=CARTESIAN_POINT('Origin',(34.603575,10.268491,0.)); #538176=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #538177=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #538178=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #538179=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #538180=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #538181=CARTESIAN_POINT('Origin',(34.653263,10.259222,0.)); #538182=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #538183=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #538184=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #538185=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #538186=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #538187=CARTESIAN_POINT('Origin',(34.695403,10.231309,0.)); #538188=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #538189=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #538190=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #538191=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #538192=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #538193=CARTESIAN_POINT('Origin',(34.734203,10.192509,0.)); #538194=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #538195=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #538196=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #538197=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #538198=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #538199=CARTESIAN_POINT('Origin',(34.761341,10.152241,0.)); #538200=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #538201=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #538202=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #538203=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #538204=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #538205=CARTESIAN_POINT('Origin',(34.7714,10.102706,0.)); #538206=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #538207=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #538208=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #538209=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #538210=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #538211=CARTESIAN_POINT('Origin',(34.7714,8.6396406,0.)); #538212=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #538213=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #538214=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #538215=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #538216=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #538217=CARTESIAN_POINT('Origin',(34.763575,8.5957531,0.)); #538218=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #538219=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #538220=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #538221=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #538222=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #538223=CARTESIAN_POINT('Origin',(34.737019,8.5527469,0.)); #538224=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #538225=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #538226=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #538227=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #538228=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #538229=CARTESIAN_POINT('Origin',(34.695794,8.5235031,0.)); #538230=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #538231=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #538232=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.035)); #538233=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.)); #538234=CARTESIAN_POINT('Origin',(30.071559,10.7286,0.)); #538235=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #538236=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #538237=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #538238=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #538239=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #538240=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #538241=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #538242=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #538243=CARTESIAN_POINT('Origin',(29.897294,10.7286,0.)); #538244=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #538245=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #538246=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #538247=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #538248=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #538249=CARTESIAN_POINT('Origin',(29.849631,10.737884,0.)); #538250=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #538251=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #538252=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #538253=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #538254=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #538255=CARTESIAN_POINT('Origin',(29.807491,10.765797,0.)); #538256=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #538257=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #538258=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #538259=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #538260=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #538261=CARTESIAN_POINT('Origin',(29.768691,10.804597,0.)); #538262=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #538263=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #538264=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #538265=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #538266=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #538267=CARTESIAN_POINT('Origin',(29.743191,10.841163,0.)); #538268=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #538269=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #538270=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #538271=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #538272=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #538273=CARTESIAN_POINT('Origin',(29.731559,10.890353,0.)); #538274=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #538275=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #538276=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #538277=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #538278=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #538279=CARTESIAN_POINT('Origin',(29.740031,10.940181,0.)); #538280=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #538281=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #538282=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #538283=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #538284=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #538285=CARTESIAN_POINT('Origin',(29.767272,10.982759,0.)); #538286=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #538287=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #538288=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #538289=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #538290=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #538291=CARTESIAN_POINT('Origin',(29.808959,11.011341,0.)); #538292=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #538293=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #538294=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #538295=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #538296=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #538297=CARTESIAN_POINT('Origin',(29.858494,11.0214,0.)); #538298=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #538299=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #538300=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #538301=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #538302=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #538303=CARTESIAN_POINT('Origin',(30.071559,11.0214,0.)); #538304=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #538305=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #538306=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #538307=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #538308=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #538309=CARTESIAN_POINT('Origin',(30.119222,11.012116,0.)); #538310=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #538311=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #538312=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #538313=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #538314=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #538315=CARTESIAN_POINT('Origin',(30.161363,10.984203,0.)); #538316=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #538317=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #538318=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #538319=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #538320=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #538321=CARTESIAN_POINT('Origin',(30.180763,10.964803,0.)); #538322=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #538323=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #538324=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #538325=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #538326=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #538327=CARTESIAN_POINT('Origin',(30.207097,10.926394,0.)); #538328=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #538329=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #538330=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #538331=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #538332=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #538333=CARTESIAN_POINT('Origin',(30.217944,10.877025,0.)); #538334=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #538335=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #538336=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #538337=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #538338=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #538339=CARTESIAN_POINT('Origin',(30.208675,10.827338,0.)); #538340=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #538341=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #538342=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #538343=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #538344=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #538345=CARTESIAN_POINT('Origin',(30.180763,10.785197,0.)); #538346=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #538347=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #538348=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #538349=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #538350=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #538351=CARTESIAN_POINT('Origin',(30.161363,10.765797,0.)); #538352=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #538353=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #538354=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #538355=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #538356=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #538357=CARTESIAN_POINT('Origin',(30.121094,10.738659,0.)); #538358=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #538359=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #538360=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.035)); #538361=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.)); #538362=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #538363=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #538364=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #538365=CARTESIAN_POINT('',(32.165,45.,0.0700000000000145)); #538366=CARTESIAN_POINT('',(32.165,45.,-200.)); #538367=CARTESIAN_POINT('Origin',(32.73,45.,0.0700000000000145)); #538368=CARTESIAN_POINT('Origin',(32.769294,44.1,0.035)); #538369=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #538370=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #538371=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #538372=CARTESIAN_POINT('',(32.847584,44.10685,0.07)); #538373=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #538374=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #538375=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #538376=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #538377=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #538378=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #538379=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #538380=CARTESIAN_POINT('',(32.612416,45.89315,0.07)); #538381=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.07)); #538382=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #538383=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #538384=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #538385=CARTESIAN_POINT('Origin',(32.73,45.,0.07)); #538386=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.07)); #538387=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #538388=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #538389=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #538390=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #538391=CARTESIAN_POINT('',(34.705,45.,0.0700000000000145)); #538392=CARTESIAN_POINT('',(34.705,45.,-200.)); #538393=CARTESIAN_POINT('Origin',(35.27,45.,0.0700000000000145)); #538394=CARTESIAN_POINT('Origin',(35.309294,44.1,0.035)); #538395=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #538396=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #538397=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #538398=CARTESIAN_POINT('',(35.387584,44.10685,0.07)); #538399=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #538400=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #538401=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #538402=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #538403=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #538404=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #538405=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #538406=CARTESIAN_POINT('',(35.152416,45.89315,0.07)); #538407=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.07)); #538408=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #538409=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #538410=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #538411=CARTESIAN_POINT('Origin',(35.27,45.,0.07)); #538412=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.07)); #538413=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #538414=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #538415=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #538416=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #538417=CARTESIAN_POINT('',(36.035,27.75,0.0700000000000145)); #538418=CARTESIAN_POINT('',(36.035,27.75,-200.)); #538419=CARTESIAN_POINT('Origin',(36.5,27.75,0.0700000000000145)); #538420=CARTESIAN_POINT('Origin',(36.536844,27.,0.035)); #538421=CARTESIAN_POINT('',(36.536844,27.,0.035)); #538422=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #538423=CARTESIAN_POINT('',(36.536844,27.,0.035)); #538424=CARTESIAN_POINT('',(36.610181,27.007222,0.07)); #538425=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #538426=CARTESIAN_POINT('',(36.536844,27.,0.07)); #538427=CARTESIAN_POINT('',(36.536844,27.,0.07)); #538428=CARTESIAN_POINT('',(36.536844,27.,0.035)); #538429=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #538430=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #538431=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #538432=CARTESIAN_POINT('',(36.389819,28.492778,0.07)); #538433=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.07)); #538434=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #538435=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #538436=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #538437=CARTESIAN_POINT('Origin',(36.5,27.75,0.07)); #538438=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.07)); #538439=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #538440=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #538441=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #538442=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #538443=CARTESIAN_POINT('',(45.735,34.,0.0700000000000145)); #538444=CARTESIAN_POINT('',(45.735,34.,-200.)); #538445=CARTESIAN_POINT('Origin',(46.25,34.,0.0700000000000145)); #538446=CARTESIAN_POINT('Origin',(46.290528,33.175,0.035)); #538447=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #538448=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #538449=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #538450=CARTESIAN_POINT('',(46.3712,33.182944,0.07)); #538451=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #538452=CARTESIAN_POINT('',(46.290528,33.175,0.07)); #538453=CARTESIAN_POINT('',(46.290528,33.175,0.07)); #538454=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #538455=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #538456=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #538457=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #538458=CARTESIAN_POINT('',(46.1288,34.817056,0.07)); #538459=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.07)); #538460=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #538461=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #538462=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #538463=CARTESIAN_POINT('Origin',(46.25,34.,0.07)); #538464=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.07)); #538465=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.035)); #538466=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #538467=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #538468=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #538469=CARTESIAN_POINT('',(21.235,34.,0.0700000000000145)); #538470=CARTESIAN_POINT('',(21.235,34.,-200.)); #538471=CARTESIAN_POINT('Origin',(21.75,34.,0.0700000000000145)); #538472=CARTESIAN_POINT('Origin',(22.575,33.175,0.035)); #538473=CARTESIAN_POINT('',(22.575,33.175,0.035)); #538474=CARTESIAN_POINT('',(22.575,34.825,0.035)); #538475=CARTESIAN_POINT('',(22.575,33.175,0.035)); #538476=CARTESIAN_POINT('',(22.575,34.825,0.07)); #538477=CARTESIAN_POINT('',(22.575,34.825,0.035)); #538478=CARTESIAN_POINT('',(22.575,33.175,0.07)); #538479=CARTESIAN_POINT('',(22.575,33.175,0.07)); #538480=CARTESIAN_POINT('',(22.575,33.175,0.035)); #538481=CARTESIAN_POINT('Origin',(20.925,33.175,0.035)); #538482=CARTESIAN_POINT('',(20.925,33.175,0.035)); #538483=CARTESIAN_POINT('',(20.925,33.175,0.035)); #538484=CARTESIAN_POINT('',(20.925,33.175,0.07)); #538485=CARTESIAN_POINT('',(20.925,33.175,0.07)); #538486=CARTESIAN_POINT('',(20.925,33.175,0.035)); #538487=CARTESIAN_POINT('Origin',(20.925,34.825,0.035)); #538488=CARTESIAN_POINT('',(20.925,34.825,0.035)); #538489=CARTESIAN_POINT('',(20.925,34.825,0.035)); #538490=CARTESIAN_POINT('',(20.925,34.825,0.07)); #538491=CARTESIAN_POINT('',(20.925,34.825,0.07)); #538492=CARTESIAN_POINT('',(20.925,34.825,0.035)); #538493=CARTESIAN_POINT('Origin',(22.575,34.825,0.035)); #538494=CARTESIAN_POINT('',(22.575,34.825,0.035)); #538495=CARTESIAN_POINT('',(22.575,34.825,0.07)); #538496=CARTESIAN_POINT('Origin',(21.75,34.,0.07)); #538497=CARTESIAN_POINT('Origin',(21.75,34.,0.035)); #538498=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #538499=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #538500=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #538501=CARTESIAN_POINT('',(31.035,27.75,0.0700000000000145)); #538502=CARTESIAN_POINT('',(31.035,27.75,-200.)); #538503=CARTESIAN_POINT('Origin',(31.5,27.75,0.0700000000000145)); #538504=CARTESIAN_POINT('Origin',(31.536844,27.,0.035)); #538505=CARTESIAN_POINT('',(31.536844,27.,0.035)); #538506=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #538507=CARTESIAN_POINT('',(31.536844,27.,0.035)); #538508=CARTESIAN_POINT('',(31.610181,27.007222,0.07)); #538509=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #538510=CARTESIAN_POINT('',(31.536844,27.,0.07)); #538511=CARTESIAN_POINT('',(31.536844,27.,0.07)); #538512=CARTESIAN_POINT('',(31.536844,27.,0.035)); #538513=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #538514=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #538515=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #538516=CARTESIAN_POINT('',(31.389819,28.492778,0.07)); #538517=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.07)); #538518=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #538519=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #538520=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #538521=CARTESIAN_POINT('Origin',(31.5,27.75,0.07)); #538522=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.07)); #538523=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #538524=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #538525=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #538526=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #538527=CARTESIAN_POINT('',(15.285,34.,0.0700000000000145)); #538528=CARTESIAN_POINT('',(15.285,34.,-200.)); #538529=CARTESIAN_POINT('Origin',(15.75,34.,0.0700000000000145)); #538530=CARTESIAN_POINT('Origin',(15.786844,33.25,0.035)); #538531=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #538532=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #538533=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #538534=CARTESIAN_POINT('',(15.860181,33.257222,0.07)); #538535=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #538536=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #538537=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #538538=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #538539=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #538540=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #538541=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #538542=CARTESIAN_POINT('',(15.639819,34.742778,0.07)); #538543=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.07)); #538544=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #538545=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #538546=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #538547=CARTESIAN_POINT('Origin',(15.75,34.,0.07)); #538548=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.07)); #538549=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.035)); #538550=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #538551=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #538552=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #538553=CARTESIAN_POINT('',(37.245,45.,0.0700000000000145)); #538554=CARTESIAN_POINT('',(37.245,45.,-200.)); #538555=CARTESIAN_POINT('Origin',(37.81,45.,0.0700000000000145)); #538556=CARTESIAN_POINT('Origin',(37.849294,44.1,0.035)); #538557=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #538558=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #538559=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #538560=CARTESIAN_POINT('',(37.927584,44.10685,0.07)); #538561=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #538562=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #538563=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #538564=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #538565=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #538566=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #538567=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #538568=CARTESIAN_POINT('',(37.692416,45.89315,0.07)); #538569=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.07)); #538570=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #538571=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #538572=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #538573=CARTESIAN_POINT('Origin',(37.81,45.,0.07)); #538574=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.07)); #538575=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.035)); #538576=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #538577=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #538578=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #538579=CARTESIAN_POINT('',(55.285,34.,0.0700000000000145)); #538580=CARTESIAN_POINT('',(55.285,34.,-200.)); #538581=CARTESIAN_POINT('Origin',(55.75,34.,0.0700000000000145)); #538582=CARTESIAN_POINT('Origin',(55.786844,33.25,0.035)); #538583=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #538584=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #538585=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #538586=CARTESIAN_POINT('',(55.860181,33.257222,0.07)); #538587=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #538588=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #538589=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #538590=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #538591=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #538592=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #538593=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #538594=CARTESIAN_POINT('',(55.639819,34.742778,0.07)); #538595=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.07)); #538596=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #538597=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #538598=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #538599=CARTESIAN_POINT('Origin',(55.75,34.,0.07)); #538600=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.07)); #538601=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.035)); #538602=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #538603=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0700000000000145)); #538604=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0700000000000145)); #538605=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0699999999999967)); #538606=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #538607=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #538608=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #538609=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0350000000000072)); #538610=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #538611=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #538612=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0700000000000145)); #538613=CARTESIAN_POINT('Origin',(38.32,2.1,0.0700000000000145)); #538614=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #538615=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #538616=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #538617=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #538618=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0700000000000145)); #538619=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0699999999999967)); #538620=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #538621=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0350000000000072)); #538622=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #538623=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #538624=CARTESIAN_POINT('Origin',(38.32,2.9,0.0700000000000145)); #538625=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #538626=CARTESIAN_POINT('Origin',(38.350887,1.55,0.035)); #538627=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #538628=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #538629=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #538630=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #538631=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #538632=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #538633=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #538634=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #538635=CARTESIAN_POINT('Origin',(38.289113,1.55,0.035)); #538636=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #538637=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #538638=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #538639=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #538640=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #538641=CARTESIAN_POINT('Origin',(38.227725,1.5569156,0.035)); #538642=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #538643=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #538644=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #538645=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #538646=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #538647=CARTESIAN_POINT('Origin',(38.1675,1.5706625,0.035)); #538648=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #538649=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #538650=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #538651=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #538652=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #538653=CARTESIAN_POINT('Origin',(38.109194,1.5910656,0.035)); #538654=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #538655=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #538656=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #538657=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #538658=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #538659=CARTESIAN_POINT('Origin',(38.053534,1.6178687,0.035)); #538660=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #538661=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #538662=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #538663=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #538664=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #538665=CARTESIAN_POINT('Origin',(38.001228,1.6507344,0.035)); #538666=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #538667=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #538668=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #538669=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #538670=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #538671=CARTESIAN_POINT('Origin',(37.952931,1.68925,0.035)); #538672=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #538673=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #538674=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #538675=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #538676=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #538677=CARTESIAN_POINT('Origin',(37.90925,1.7329313,0.035)); #538678=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #538679=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #538680=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #538681=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #538682=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #538683=CARTESIAN_POINT('Origin',(37.870734,1.7812281,0.035)); #538684=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #538685=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #538686=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #538687=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #538688=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #538689=CARTESIAN_POINT('Origin',(37.837869,1.8335344,0.035)); #538690=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #538691=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #538692=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #538693=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #538694=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #538695=CARTESIAN_POINT('Origin',(37.811066,1.8891938,0.035)); #538696=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #538697=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #538698=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #538699=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #538700=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #538701=CARTESIAN_POINT('Origin',(37.790663,1.9475,0.035)); #538702=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #538703=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #538704=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #538705=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #538706=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #538707=CARTESIAN_POINT('Origin',(37.776916,2.007725,0.035)); #538708=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #538709=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #538710=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #538711=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #538712=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #538713=CARTESIAN_POINT('Origin',(37.77,2.0691125,0.035)); #538714=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #538715=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #538716=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #538717=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #538718=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #538719=CARTESIAN_POINT('Origin',(37.77,2.9308875,0.035)); #538720=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #538721=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #538722=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #538723=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #538724=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #538725=CARTESIAN_POINT('Origin',(37.776916,2.992275,0.035)); #538726=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #538727=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #538728=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #538729=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #538730=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #538731=CARTESIAN_POINT('Origin',(37.790663,3.0525,0.035)); #538732=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #538733=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #538734=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #538735=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #538736=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #538737=CARTESIAN_POINT('Origin',(37.811066,3.1108062,0.035)); #538738=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #538739=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #538740=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #538741=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #538742=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #538743=CARTESIAN_POINT('Origin',(37.837869,3.1664656,0.035)); #538744=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #538745=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #538746=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #538747=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #538748=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #538749=CARTESIAN_POINT('Origin',(37.870734,3.2187719,0.035)); #538750=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #538751=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #538752=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #538753=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #538754=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #538755=CARTESIAN_POINT('Origin',(37.90925,3.2670687,0.035)); #538756=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #538757=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #538758=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #538759=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #538760=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #538761=CARTESIAN_POINT('Origin',(37.952931,3.31075,0.035)); #538762=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #538763=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #538764=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #538765=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #538766=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #538767=CARTESIAN_POINT('Origin',(38.001228,3.3492656,0.035)); #538768=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #538769=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #538770=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #538771=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #538772=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #538773=CARTESIAN_POINT('Origin',(38.053534,3.3821313,0.035)); #538774=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #538775=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #538776=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #538777=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #538778=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #538779=CARTESIAN_POINT('Origin',(38.109194,3.4089344,0.035)); #538780=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #538781=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #538782=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #538783=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #538784=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #538785=CARTESIAN_POINT('Origin',(38.1675,3.4293375,0.035)); #538786=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #538787=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #538788=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #538789=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #538790=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #538791=CARTESIAN_POINT('Origin',(38.227725,3.4430844,0.035)); #538792=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #538793=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #538794=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #538795=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #538796=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #538797=CARTESIAN_POINT('Origin',(38.289113,3.45,0.035)); #538798=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #538799=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #538800=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #538801=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #538802=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #538803=CARTESIAN_POINT('Origin',(38.350887,3.45,0.035)); #538804=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #538805=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #538806=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #538807=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #538808=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #538809=CARTESIAN_POINT('Origin',(38.412275,3.4430844,0.035)); #538810=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #538811=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #538812=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #538813=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #538814=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #538815=CARTESIAN_POINT('Origin',(38.4725,3.4293375,0.035)); #538816=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #538817=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #538818=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #538819=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #538820=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #538821=CARTESIAN_POINT('Origin',(38.530806,3.4089344,0.035)); #538822=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #538823=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #538824=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #538825=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #538826=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #538827=CARTESIAN_POINT('Origin',(38.586466,3.3821313,0.035)); #538828=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #538829=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #538830=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #538831=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #538832=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #538833=CARTESIAN_POINT('Origin',(38.638772,3.3492656,0.035)); #538834=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #538835=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #538836=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #538837=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #538838=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #538839=CARTESIAN_POINT('Origin',(38.687069,3.31075,0.035)); #538840=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #538841=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #538842=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #538843=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #538844=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #538845=CARTESIAN_POINT('Origin',(38.73075,3.2670687,0.035)); #538846=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #538847=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #538848=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #538849=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #538850=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #538851=CARTESIAN_POINT('Origin',(38.769266,3.2187719,0.035)); #538852=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #538853=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #538854=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #538855=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #538856=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #538857=CARTESIAN_POINT('Origin',(38.802131,3.1664656,0.035)); #538858=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #538859=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #538860=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #538861=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #538862=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #538863=CARTESIAN_POINT('Origin',(38.828934,3.1108062,0.035)); #538864=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #538865=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #538866=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #538867=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #538868=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #538869=CARTESIAN_POINT('Origin',(38.849338,3.0525,0.035)); #538870=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #538871=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #538872=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #538873=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #538874=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #538875=CARTESIAN_POINT('Origin',(38.863084,2.992275,0.035)); #538876=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #538877=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #538878=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #538879=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #538880=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #538881=CARTESIAN_POINT('Origin',(38.87,2.9308875,0.035)); #538882=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #538883=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #538884=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #538885=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #538886=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #538887=CARTESIAN_POINT('Origin',(38.87,2.0691125,0.035)); #538888=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #538889=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #538890=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #538891=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #538892=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #538893=CARTESIAN_POINT('Origin',(38.863084,2.007725,0.035)); #538894=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #538895=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #538896=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #538897=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #538898=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #538899=CARTESIAN_POINT('Origin',(38.849338,1.9475,0.035)); #538900=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #538901=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #538902=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #538903=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #538904=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #538905=CARTESIAN_POINT('Origin',(38.828934,1.8891938,0.035)); #538906=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #538907=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #538908=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #538909=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #538910=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #538911=CARTESIAN_POINT('Origin',(38.802131,1.8335344,0.035)); #538912=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #538913=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #538914=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #538915=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #538916=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #538917=CARTESIAN_POINT('Origin',(38.769266,1.7812281,0.035)); #538918=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #538919=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #538920=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #538921=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #538922=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #538923=CARTESIAN_POINT('Origin',(38.73075,1.7329313,0.035)); #538924=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #538925=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #538926=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #538927=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #538928=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #538929=CARTESIAN_POINT('Origin',(38.687069,1.68925,0.035)); #538930=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #538931=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #538932=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #538933=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #538934=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #538935=CARTESIAN_POINT('Origin',(38.638772,1.6507344,0.035)); #538936=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #538937=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #538938=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #538939=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #538940=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #538941=CARTESIAN_POINT('Origin',(38.586466,1.6178687,0.035)); #538942=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #538943=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #538944=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #538945=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #538946=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #538947=CARTESIAN_POINT('Origin',(38.530806,1.5910656,0.035)); #538948=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #538949=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #538950=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #538951=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #538952=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #538953=CARTESIAN_POINT('Origin',(38.4725,1.5706625,0.035)); #538954=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #538955=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #538956=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #538957=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #538958=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #538959=CARTESIAN_POINT('Origin',(38.412275,1.5569156,0.035)); #538960=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #538961=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #538962=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.07)); #538963=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.035)); #538964=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #538965=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0700000000000145)); #538966=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0700000000000145)); #538967=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0699999999999967)); #538968=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #538969=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #538970=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #538971=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0350000000000072)); #538972=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #538973=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #538974=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0700000000000145)); #538975=CARTESIAN_POINT('Origin',(29.45,34.,0.0700000000000145)); #538976=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #538977=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #538978=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #538979=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #538980=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0700000000000145)); #538981=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0699999999999967)); #538982=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #538983=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0350000000000072)); #538984=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #538985=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #538986=CARTESIAN_POINT('Origin',(28.85,34.,0.0700000000000145)); #538987=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #538988=CARTESIAN_POINT('Origin',(29.489294,33.1,0.035)); #538989=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #538990=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #538991=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #538992=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #538993=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #538994=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #538995=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #538996=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #538997=CARTESIAN_POINT('Origin',(28.810706,33.1,0.035)); #538998=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #538999=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #539000=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #539001=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #539002=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #539003=CARTESIAN_POINT('Origin',(28.732416,33.10685,0.035)); #539004=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #539005=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #539006=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #539007=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #539008=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #539009=CARTESIAN_POINT('Origin',(28.655019,33.120497,0.035)); #539010=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #539011=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #539012=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #539013=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #539014=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #539015=CARTESIAN_POINT('Origin',(28.579106,33.140838,0.035)); #539016=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #539017=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #539018=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #539019=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #539020=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #539021=CARTESIAN_POINT('Origin',(28.505256,33.167716,0.035)); #539022=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #539023=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #539024=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #539025=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #539026=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #539027=CARTESIAN_POINT('Origin',(28.434031,33.200931,0.035)); #539028=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #539029=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #539030=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #539031=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #539032=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #539033=CARTESIAN_POINT('Origin',(28.365969,33.240225,0.035)); #539034=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #539035=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #539036=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #539037=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #539038=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #539039=CARTESIAN_POINT('Origin',(28.301594,33.285303,0.035)); #539040=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #539041=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #539042=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #539043=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #539044=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #539045=CARTESIAN_POINT('Origin',(28.241391,33.335819,0.035)); #539046=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #539047=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #539048=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #539049=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #539050=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #539051=CARTESIAN_POINT('Origin',(28.185819,33.391391,0.035)); #539052=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #539053=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #539054=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #539055=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #539056=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #539057=CARTESIAN_POINT('Origin',(28.135303,33.451594,0.035)); #539058=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #539059=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #539060=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #539061=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #539062=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #539063=CARTESIAN_POINT('Origin',(28.090225,33.515969,0.035)); #539064=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #539065=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #539066=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #539067=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #539068=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #539069=CARTESIAN_POINT('Origin',(28.050931,33.584031,0.035)); #539070=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #539071=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #539072=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #539073=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #539074=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #539075=CARTESIAN_POINT('Origin',(28.017716,33.655256,0.035)); #539076=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #539077=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #539078=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #539079=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #539080=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #539081=CARTESIAN_POINT('Origin',(27.990838,33.729106,0.035)); #539082=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #539083=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #539084=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #539085=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #539086=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #539087=CARTESIAN_POINT('Origin',(27.970497,33.805019,0.035)); #539088=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #539089=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #539090=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #539091=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #539092=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #539093=CARTESIAN_POINT('Origin',(27.95685,33.882416,0.035)); #539094=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #539095=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #539096=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #539097=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #539098=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #539099=CARTESIAN_POINT('Origin',(27.95,33.960706,0.035)); #539100=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #539101=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #539102=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #539103=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #539104=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #539105=CARTESIAN_POINT('Origin',(27.95,34.039294,0.035)); #539106=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #539107=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #539108=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #539109=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #539110=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #539111=CARTESIAN_POINT('Origin',(27.95685,34.117584,0.035)); #539112=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #539113=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #539114=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #539115=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #539116=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #539117=CARTESIAN_POINT('Origin',(27.970497,34.194981,0.035)); #539118=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #539119=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #539120=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #539121=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #539122=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #539123=CARTESIAN_POINT('Origin',(27.990838,34.270894,0.035)); #539124=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #539125=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #539126=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #539127=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #539128=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #539129=CARTESIAN_POINT('Origin',(28.017716,34.344744,0.035)); #539130=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #539131=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #539132=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #539133=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #539134=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #539135=CARTESIAN_POINT('Origin',(28.050931,34.415969,0.035)); #539136=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #539137=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #539138=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #539139=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #539140=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #539141=CARTESIAN_POINT('Origin',(28.090225,34.484031,0.035)); #539142=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #539143=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #539144=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #539145=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #539146=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #539147=CARTESIAN_POINT('Origin',(28.135303,34.548406,0.035)); #539148=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #539149=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #539150=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #539151=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #539152=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #539153=CARTESIAN_POINT('Origin',(28.185819,34.608609,0.035)); #539154=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #539155=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #539156=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #539157=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #539158=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #539159=CARTESIAN_POINT('Origin',(28.241391,34.664181,0.035)); #539160=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #539161=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #539162=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #539163=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #539164=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #539165=CARTESIAN_POINT('Origin',(28.301594,34.714697,0.035)); #539166=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #539167=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #539168=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #539169=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #539170=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #539171=CARTESIAN_POINT('Origin',(28.365969,34.759775,0.035)); #539172=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #539173=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #539174=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #539175=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #539176=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #539177=CARTESIAN_POINT('Origin',(28.434031,34.799069,0.035)); #539178=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #539179=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #539180=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #539181=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #539182=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #539183=CARTESIAN_POINT('Origin',(28.505256,34.832284,0.035)); #539184=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #539185=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #539186=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #539187=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #539188=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #539189=CARTESIAN_POINT('Origin',(28.579106,34.859163,0.035)); #539190=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #539191=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #539192=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #539193=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #539194=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #539195=CARTESIAN_POINT('Origin',(28.655019,34.879503,0.035)); #539196=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #539197=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #539198=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #539199=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #539200=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #539201=CARTESIAN_POINT('Origin',(28.732416,34.89315,0.035)); #539202=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #539203=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #539204=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #539205=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #539206=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #539207=CARTESIAN_POINT('Origin',(28.810706,34.9,0.035)); #539208=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #539209=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #539210=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #539211=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #539212=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #539213=CARTESIAN_POINT('Origin',(29.489294,34.9,0.035)); #539214=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #539215=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #539216=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #539217=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #539218=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #539219=CARTESIAN_POINT('Origin',(29.567584,34.89315,0.035)); #539220=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #539221=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #539222=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #539223=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #539224=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #539225=CARTESIAN_POINT('Origin',(29.644981,34.879503,0.035)); #539226=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #539227=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #539228=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #539229=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #539230=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #539231=CARTESIAN_POINT('Origin',(29.720894,34.859163,0.035)); #539232=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #539233=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #539234=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #539235=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #539236=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #539237=CARTESIAN_POINT('Origin',(29.794744,34.832284,0.035)); #539238=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #539239=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #539240=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #539241=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #539242=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #539243=CARTESIAN_POINT('Origin',(29.865969,34.799069,0.035)); #539244=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #539245=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #539246=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #539247=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #539248=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #539249=CARTESIAN_POINT('Origin',(29.934031,34.759775,0.035)); #539250=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #539251=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #539252=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #539253=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #539254=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #539255=CARTESIAN_POINT('Origin',(29.998406,34.714697,0.035)); #539256=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #539257=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #539258=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #539259=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #539260=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #539261=CARTESIAN_POINT('Origin',(30.058609,34.664181,0.035)); #539262=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #539263=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #539264=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #539265=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #539266=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #539267=CARTESIAN_POINT('Origin',(30.114181,34.608609,0.035)); #539268=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #539269=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #539270=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #539271=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #539272=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #539273=CARTESIAN_POINT('Origin',(30.164697,34.548406,0.035)); #539274=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #539275=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #539276=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #539277=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #539278=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #539279=CARTESIAN_POINT('Origin',(30.209775,34.484031,0.035)); #539280=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #539281=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #539282=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #539283=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #539284=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #539285=CARTESIAN_POINT('Origin',(30.249069,34.415969,0.035)); #539286=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #539287=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #539288=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #539289=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #539290=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #539291=CARTESIAN_POINT('Origin',(30.282284,34.344744,0.035)); #539292=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #539293=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #539294=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #539295=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #539296=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #539297=CARTESIAN_POINT('Origin',(30.309163,34.270894,0.035)); #539298=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #539299=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #539300=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #539301=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #539302=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #539303=CARTESIAN_POINT('Origin',(30.329503,34.194981,0.035)); #539304=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #539305=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #539306=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #539307=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #539308=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #539309=CARTESIAN_POINT('Origin',(30.34315,34.117584,0.035)); #539310=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #539311=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #539312=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #539313=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #539314=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #539315=CARTESIAN_POINT('Origin',(30.35,34.039294,0.035)); #539316=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #539317=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #539318=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #539319=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #539320=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #539321=CARTESIAN_POINT('Origin',(30.35,33.960706,0.035)); #539322=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #539323=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #539324=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #539325=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #539326=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #539327=CARTESIAN_POINT('Origin',(30.34315,33.882416,0.035)); #539328=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #539329=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #539330=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #539331=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #539332=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #539333=CARTESIAN_POINT('Origin',(30.329503,33.805019,0.035)); #539334=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #539335=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #539336=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #539337=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #539338=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #539339=CARTESIAN_POINT('Origin',(30.309163,33.729106,0.035)); #539340=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #539341=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #539342=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #539343=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #539344=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #539345=CARTESIAN_POINT('Origin',(30.282284,33.655256,0.035)); #539346=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #539347=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #539348=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #539349=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #539350=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #539351=CARTESIAN_POINT('Origin',(30.249069,33.584031,0.035)); #539352=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #539353=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #539354=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #539355=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #539356=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #539357=CARTESIAN_POINT('Origin',(30.209775,33.515969,0.035)); #539358=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #539359=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #539360=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #539361=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #539362=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #539363=CARTESIAN_POINT('Origin',(30.164697,33.451594,0.035)); #539364=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #539365=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #539366=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #539367=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #539368=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #539369=CARTESIAN_POINT('Origin',(30.114181,33.391391,0.035)); #539370=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #539371=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #539372=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #539373=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #539374=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #539375=CARTESIAN_POINT('Origin',(30.058609,33.335819,0.035)); #539376=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #539377=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #539378=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #539379=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #539380=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #539381=CARTESIAN_POINT('Origin',(29.998406,33.285303,0.035)); #539382=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #539383=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #539384=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #539385=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #539386=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #539387=CARTESIAN_POINT('Origin',(29.934031,33.240225,0.035)); #539388=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #539389=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #539390=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #539391=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #539392=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #539393=CARTESIAN_POINT('Origin',(29.865969,33.200931,0.035)); #539394=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #539395=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #539396=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #539397=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #539398=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #539399=CARTESIAN_POINT('Origin',(29.794744,33.167716,0.035)); #539400=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #539401=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #539402=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #539403=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #539404=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #539405=CARTESIAN_POINT('Origin',(29.720894,33.140838,0.035)); #539406=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #539407=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #539408=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #539409=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #539410=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #539411=CARTESIAN_POINT('Origin',(29.644981,33.120497,0.035)); #539412=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #539413=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #539414=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #539415=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #539416=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #539417=CARTESIAN_POINT('Origin',(29.567584,33.10685,0.035)); #539418=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #539419=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #539420=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.07)); #539421=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.035)); #539422=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #539423=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0700000000000145)); #539424=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0700000000000145)); #539425=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0699999999999967)); #539426=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #539427=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #539428=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #539429=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0350000000000072)); #539430=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #539431=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #539432=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0700000000000145)); #539433=CARTESIAN_POINT('Origin',(29.68,6.28,0.0700000000000145)); #539434=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #539435=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #539436=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #539437=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #539438=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0700000000000145)); #539439=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0699999999999967)); #539440=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #539441=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0350000000000072)); #539442=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #539443=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #539444=CARTESIAN_POINT('Origin',(29.68,7.08,0.0700000000000145)); #539445=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #539446=CARTESIAN_POINT('Origin',(29.710888,5.73,0.035)); #539447=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #539448=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #539449=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #539450=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #539451=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #539452=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #539453=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #539454=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #539455=CARTESIAN_POINT('Origin',(29.649113,5.73,0.035)); #539456=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #539457=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #539458=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #539459=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #539460=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #539461=CARTESIAN_POINT('Origin',(29.587725,5.7369156,0.035)); #539462=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #539463=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #539464=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #539465=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #539466=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #539467=CARTESIAN_POINT('Origin',(29.5275,5.7506625,0.035)); #539468=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #539469=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #539470=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #539471=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #539472=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #539473=CARTESIAN_POINT('Origin',(29.469194,5.7710656,0.035)); #539474=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #539475=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #539476=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #539477=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #539478=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #539479=CARTESIAN_POINT('Origin',(29.413534,5.7978688,0.035)); #539480=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #539481=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #539482=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #539483=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #539484=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #539485=CARTESIAN_POINT('Origin',(29.361228,5.8307344,0.035)); #539486=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #539487=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #539488=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #539489=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #539490=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #539491=CARTESIAN_POINT('Origin',(29.312931,5.86925,0.035)); #539492=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #539493=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #539494=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #539495=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #539496=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #539497=CARTESIAN_POINT('Origin',(29.26925,5.9129313,0.035)); #539498=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #539499=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #539500=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #539501=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #539502=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #539503=CARTESIAN_POINT('Origin',(29.230734,5.9612281,0.035)); #539504=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #539505=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #539506=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #539507=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #539508=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #539509=CARTESIAN_POINT('Origin',(29.197869,6.0135344,0.035)); #539510=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #539511=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #539512=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #539513=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #539514=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #539515=CARTESIAN_POINT('Origin',(29.171066,6.0691938,0.035)); #539516=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #539517=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #539518=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #539519=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #539520=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #539521=CARTESIAN_POINT('Origin',(29.150663,6.1275,0.035)); #539522=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #539523=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #539524=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #539525=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #539526=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #539527=CARTESIAN_POINT('Origin',(29.136916,6.187725,0.035)); #539528=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #539529=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #539530=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #539531=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #539532=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #539533=CARTESIAN_POINT('Origin',(29.13,6.2491125,0.035)); #539534=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #539535=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #539536=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #539537=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #539538=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #539539=CARTESIAN_POINT('Origin',(29.13,7.1108875,0.035)); #539540=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #539541=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #539542=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #539543=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #539544=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #539545=CARTESIAN_POINT('Origin',(29.136916,7.172275,0.035)); #539546=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #539547=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #539548=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #539549=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #539550=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #539551=CARTESIAN_POINT('Origin',(29.150663,7.2325,0.035)); #539552=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #539553=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #539554=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #539555=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #539556=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #539557=CARTESIAN_POINT('Origin',(29.171066,7.2908063,0.035)); #539558=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #539559=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #539560=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #539561=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #539562=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #539563=CARTESIAN_POINT('Origin',(29.197869,7.3464656,0.035)); #539564=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #539565=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #539566=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #539567=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #539568=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #539569=CARTESIAN_POINT('Origin',(29.230734,7.3987719,0.035)); #539570=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #539571=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #539572=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #539573=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #539574=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #539575=CARTESIAN_POINT('Origin',(29.26925,7.4470688,0.035)); #539576=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #539577=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #539578=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #539579=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #539580=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #539581=CARTESIAN_POINT('Origin',(29.312931,7.49075,0.035)); #539582=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #539583=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #539584=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #539585=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #539586=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #539587=CARTESIAN_POINT('Origin',(29.361228,7.5292656,0.035)); #539588=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #539589=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #539590=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #539591=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #539592=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #539593=CARTESIAN_POINT('Origin',(29.413534,7.5621313,0.035)); #539594=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #539595=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #539596=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #539597=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #539598=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #539599=CARTESIAN_POINT('Origin',(29.469194,7.5889344,0.035)); #539600=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #539601=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #539602=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #539603=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #539604=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #539605=CARTESIAN_POINT('Origin',(29.5275,7.6093375,0.035)); #539606=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #539607=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #539608=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #539609=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #539610=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #539611=CARTESIAN_POINT('Origin',(29.587725,7.6230844,0.035)); #539612=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #539613=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #539614=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #539615=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #539616=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #539617=CARTESIAN_POINT('Origin',(29.649113,7.63,0.035)); #539618=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #539619=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #539620=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #539621=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #539622=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #539623=CARTESIAN_POINT('Origin',(29.710888,7.63,0.035)); #539624=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #539625=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #539626=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #539627=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #539628=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #539629=CARTESIAN_POINT('Origin',(29.772275,7.6230844,0.035)); #539630=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #539631=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #539632=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #539633=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #539634=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #539635=CARTESIAN_POINT('Origin',(29.8325,7.6093375,0.035)); #539636=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #539637=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #539638=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #539639=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #539640=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #539641=CARTESIAN_POINT('Origin',(29.890806,7.5889344,0.035)); #539642=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #539643=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #539644=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #539645=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #539646=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #539647=CARTESIAN_POINT('Origin',(29.946466,7.5621313,0.035)); #539648=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #539649=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #539650=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #539651=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #539652=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #539653=CARTESIAN_POINT('Origin',(29.998772,7.5292656,0.035)); #539654=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #539655=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #539656=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #539657=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #539658=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #539659=CARTESIAN_POINT('Origin',(30.047069,7.49075,0.035)); #539660=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #539661=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #539662=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #539663=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #539664=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #539665=CARTESIAN_POINT('Origin',(30.09075,7.4470688,0.035)); #539666=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #539667=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #539668=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #539669=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #539670=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #539671=CARTESIAN_POINT('Origin',(30.129266,7.3987719,0.035)); #539672=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #539673=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #539674=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #539675=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #539676=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #539677=CARTESIAN_POINT('Origin',(30.162131,7.3464656,0.035)); #539678=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #539679=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #539680=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #539681=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #539682=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #539683=CARTESIAN_POINT('Origin',(30.188934,7.2908063,0.035)); #539684=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #539685=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #539686=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #539687=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #539688=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #539689=CARTESIAN_POINT('Origin',(30.209338,7.2325,0.035)); #539690=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #539691=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #539692=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #539693=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #539694=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #539695=CARTESIAN_POINT('Origin',(30.223084,7.172275,0.035)); #539696=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #539697=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #539698=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #539699=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #539700=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #539701=CARTESIAN_POINT('Origin',(30.23,7.1108875,0.035)); #539702=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #539703=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #539704=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #539705=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #539706=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #539707=CARTESIAN_POINT('Origin',(30.23,6.2491125,0.035)); #539708=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #539709=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #539710=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #539711=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #539712=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #539713=CARTESIAN_POINT('Origin',(30.223084,6.187725,0.035)); #539714=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #539715=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #539716=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #539717=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #539718=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #539719=CARTESIAN_POINT('Origin',(30.209338,6.1275,0.035)); #539720=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #539721=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #539722=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #539723=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #539724=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #539725=CARTESIAN_POINT('Origin',(30.188934,6.0691938,0.035)); #539726=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #539727=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #539728=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #539729=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #539730=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #539731=CARTESIAN_POINT('Origin',(30.162131,6.0135344,0.035)); #539732=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #539733=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #539734=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #539735=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #539736=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #539737=CARTESIAN_POINT('Origin',(30.129266,5.9612281,0.035)); #539738=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #539739=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #539740=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #539741=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #539742=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #539743=CARTESIAN_POINT('Origin',(30.09075,5.9129313,0.035)); #539744=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #539745=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #539746=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #539747=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #539748=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #539749=CARTESIAN_POINT('Origin',(30.047069,5.86925,0.035)); #539750=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #539751=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #539752=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #539753=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #539754=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #539755=CARTESIAN_POINT('Origin',(29.998772,5.8307344,0.035)); #539756=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #539757=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #539758=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #539759=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #539760=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #539761=CARTESIAN_POINT('Origin',(29.946466,5.7978688,0.035)); #539762=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #539763=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #539764=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #539765=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #539766=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #539767=CARTESIAN_POINT('Origin',(29.890806,5.7710656,0.035)); #539768=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #539769=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #539770=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #539771=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #539772=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #539773=CARTESIAN_POINT('Origin',(29.8325,5.7506625,0.035)); #539774=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #539775=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #539776=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #539777=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #539778=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #539779=CARTESIAN_POINT('Origin',(29.772275,5.7369156,0.035)); #539780=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #539781=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #539782=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.07)); #539783=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.035)); #539784=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #539785=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #539786=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #539787=CARTESIAN_POINT('',(43.235,34.,0.0700000000000145)); #539788=CARTESIAN_POINT('',(43.235,34.,-200.)); #539789=CARTESIAN_POINT('Origin',(43.75,34.,0.0700000000000145)); #539790=CARTESIAN_POINT('Origin',(44.575,33.175,0.035)); #539791=CARTESIAN_POINT('',(44.575,33.175,0.035)); #539792=CARTESIAN_POINT('',(44.575,34.825,0.035)); #539793=CARTESIAN_POINT('',(44.575,33.175,0.035)); #539794=CARTESIAN_POINT('',(44.575,34.825,0.07)); #539795=CARTESIAN_POINT('',(44.575,34.825,0.035)); #539796=CARTESIAN_POINT('',(44.575,33.175,0.07)); #539797=CARTESIAN_POINT('',(44.575,33.175,0.07)); #539798=CARTESIAN_POINT('',(44.575,33.175,0.035)); #539799=CARTESIAN_POINT('Origin',(42.925,33.175,0.035)); #539800=CARTESIAN_POINT('',(42.925,33.175,0.035)); #539801=CARTESIAN_POINT('',(42.925,33.175,0.035)); #539802=CARTESIAN_POINT('',(42.925,33.175,0.07)); #539803=CARTESIAN_POINT('',(42.925,33.175,0.07)); #539804=CARTESIAN_POINT('',(42.925,33.175,0.035)); #539805=CARTESIAN_POINT('Origin',(42.925,34.825,0.035)); #539806=CARTESIAN_POINT('',(42.925,34.825,0.035)); #539807=CARTESIAN_POINT('',(42.925,34.825,0.035)); #539808=CARTESIAN_POINT('',(42.925,34.825,0.07)); #539809=CARTESIAN_POINT('',(42.925,34.825,0.07)); #539810=CARTESIAN_POINT('',(42.925,34.825,0.035)); #539811=CARTESIAN_POINT('Origin',(44.575,34.825,0.035)); #539812=CARTESIAN_POINT('',(44.575,34.825,0.035)); #539813=CARTESIAN_POINT('',(44.575,34.825,0.07)); #539814=CARTESIAN_POINT('Origin',(43.75,34.,0.07)); #539815=CARTESIAN_POINT('Origin',(43.75,34.,0.035)); #539816=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #539817=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0700000000000145)); #539818=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0700000000000145)); #539819=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0699999999999967)); #539820=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #539821=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #539822=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #539823=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0350000000000072)); #539824=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #539825=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #539826=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0700000000000145)); #539827=CARTESIAN_POINT('Origin',(29.68,2.1,0.0700000000000145)); #539828=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #539829=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #539830=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #539831=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #539832=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0700000000000145)); #539833=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0699999999999967)); #539834=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #539835=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0350000000000072)); #539836=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #539837=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #539838=CARTESIAN_POINT('Origin',(29.68,2.9,0.0700000000000145)); #539839=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #539840=CARTESIAN_POINT('Origin',(29.710888,1.55,0.035)); #539841=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #539842=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #539843=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #539844=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #539845=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #539846=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #539847=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #539848=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #539849=CARTESIAN_POINT('Origin',(29.649113,1.55,0.035)); #539850=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #539851=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #539852=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #539853=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #539854=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #539855=CARTESIAN_POINT('Origin',(29.587725,1.5569156,0.035)); #539856=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #539857=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #539858=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #539859=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #539860=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #539861=CARTESIAN_POINT('Origin',(29.5275,1.5706625,0.035)); #539862=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #539863=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #539864=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #539865=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #539866=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #539867=CARTESIAN_POINT('Origin',(29.469194,1.5910656,0.035)); #539868=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #539869=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #539870=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #539871=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #539872=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #539873=CARTESIAN_POINT('Origin',(29.413534,1.6178687,0.035)); #539874=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #539875=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #539876=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #539877=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #539878=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #539879=CARTESIAN_POINT('Origin',(29.361228,1.6507344,0.035)); #539880=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #539881=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #539882=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #539883=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #539884=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #539885=CARTESIAN_POINT('Origin',(29.312931,1.68925,0.035)); #539886=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #539887=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #539888=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #539889=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #539890=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #539891=CARTESIAN_POINT('Origin',(29.26925,1.7329313,0.035)); #539892=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #539893=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #539894=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #539895=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #539896=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #539897=CARTESIAN_POINT('Origin',(29.230734,1.7812281,0.035)); #539898=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #539899=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #539900=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #539901=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #539902=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #539903=CARTESIAN_POINT('Origin',(29.197869,1.8335344,0.035)); #539904=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #539905=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #539906=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #539907=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #539908=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #539909=CARTESIAN_POINT('Origin',(29.171066,1.8891938,0.035)); #539910=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #539911=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #539912=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #539913=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #539914=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #539915=CARTESIAN_POINT('Origin',(29.150663,1.9475,0.035)); #539916=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #539917=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #539918=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #539919=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #539920=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #539921=CARTESIAN_POINT('Origin',(29.136916,2.007725,0.035)); #539922=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #539923=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #539924=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #539925=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #539926=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #539927=CARTESIAN_POINT('Origin',(29.13,2.0691125,0.035)); #539928=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #539929=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #539930=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #539931=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #539932=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #539933=CARTESIAN_POINT('Origin',(29.13,2.9308875,0.035)); #539934=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #539935=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #539936=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #539937=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #539938=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #539939=CARTESIAN_POINT('Origin',(29.136916,2.992275,0.035)); #539940=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #539941=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #539942=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #539943=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #539944=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #539945=CARTESIAN_POINT('Origin',(29.150663,3.0525,0.035)); #539946=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #539947=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #539948=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #539949=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #539950=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #539951=CARTESIAN_POINT('Origin',(29.171066,3.1108062,0.035)); #539952=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #539953=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #539954=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #539955=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #539956=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #539957=CARTESIAN_POINT('Origin',(29.197869,3.1664656,0.035)); #539958=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #539959=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #539960=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #539961=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #539962=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #539963=CARTESIAN_POINT('Origin',(29.230734,3.2187719,0.035)); #539964=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #539965=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #539966=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #539967=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #539968=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #539969=CARTESIAN_POINT('Origin',(29.26925,3.2670687,0.035)); #539970=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #539971=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #539972=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #539973=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #539974=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #539975=CARTESIAN_POINT('Origin',(29.312931,3.31075,0.035)); #539976=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #539977=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #539978=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #539979=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #539980=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #539981=CARTESIAN_POINT('Origin',(29.361228,3.3492656,0.035)); #539982=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #539983=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #539984=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #539985=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #539986=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #539987=CARTESIAN_POINT('Origin',(29.413534,3.3821313,0.035)); #539988=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #539989=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #539990=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #539991=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #539992=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #539993=CARTESIAN_POINT('Origin',(29.469194,3.4089344,0.035)); #539994=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #539995=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #539996=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #539997=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #539998=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #539999=CARTESIAN_POINT('Origin',(29.5275,3.4293375,0.035)); #540000=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #540001=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #540002=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #540003=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #540004=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #540005=CARTESIAN_POINT('Origin',(29.587725,3.4430844,0.035)); #540006=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #540007=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #540008=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #540009=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #540010=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #540011=CARTESIAN_POINT('Origin',(29.649113,3.45,0.035)); #540012=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #540013=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #540014=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #540015=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #540016=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #540017=CARTESIAN_POINT('Origin',(29.710888,3.45,0.035)); #540018=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #540019=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #540020=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #540021=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #540022=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #540023=CARTESIAN_POINT('Origin',(29.772275,3.4430844,0.035)); #540024=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #540025=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #540026=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #540027=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #540028=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #540029=CARTESIAN_POINT('Origin',(29.8325,3.4293375,0.035)); #540030=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #540031=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #540032=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #540033=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #540034=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #540035=CARTESIAN_POINT('Origin',(29.890806,3.4089344,0.035)); #540036=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #540037=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #540038=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #540039=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #540040=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #540041=CARTESIAN_POINT('Origin',(29.946466,3.3821313,0.035)); #540042=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #540043=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #540044=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #540045=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #540046=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #540047=CARTESIAN_POINT('Origin',(29.998772,3.3492656,0.035)); #540048=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #540049=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #540050=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #540051=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #540052=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #540053=CARTESIAN_POINT('Origin',(30.047069,3.31075,0.035)); #540054=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #540055=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #540056=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #540057=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #540058=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #540059=CARTESIAN_POINT('Origin',(30.09075,3.2670687,0.035)); #540060=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #540061=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #540062=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #540063=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #540064=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #540065=CARTESIAN_POINT('Origin',(30.129266,3.2187719,0.035)); #540066=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #540067=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #540068=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #540069=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #540070=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #540071=CARTESIAN_POINT('Origin',(30.162131,3.1664656,0.035)); #540072=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #540073=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #540074=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #540075=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #540076=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #540077=CARTESIAN_POINT('Origin',(30.188934,3.1108062,0.035)); #540078=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #540079=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #540080=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #540081=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #540082=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #540083=CARTESIAN_POINT('Origin',(30.209338,3.0525,0.035)); #540084=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #540085=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #540086=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #540087=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #540088=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #540089=CARTESIAN_POINT('Origin',(30.223084,2.992275,0.035)); #540090=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #540091=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #540092=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #540093=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #540094=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #540095=CARTESIAN_POINT('Origin',(30.23,2.9308875,0.035)); #540096=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #540097=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #540098=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #540099=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #540100=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #540101=CARTESIAN_POINT('Origin',(30.23,2.0691125,0.035)); #540102=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #540103=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #540104=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #540105=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #540106=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #540107=CARTESIAN_POINT('Origin',(30.223084,2.007725,0.035)); #540108=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #540109=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #540110=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #540111=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #540112=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #540113=CARTESIAN_POINT('Origin',(30.209338,1.9475,0.035)); #540114=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #540115=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #540116=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #540117=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #540118=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #540119=CARTESIAN_POINT('Origin',(30.188934,1.8891938,0.035)); #540120=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #540121=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #540122=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #540123=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #540124=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #540125=CARTESIAN_POINT('Origin',(30.162131,1.8335344,0.035)); #540126=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #540127=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #540128=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #540129=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #540130=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #540131=CARTESIAN_POINT('Origin',(30.129266,1.7812281,0.035)); #540132=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #540133=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #540134=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #540135=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #540136=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #540137=CARTESIAN_POINT('Origin',(30.09075,1.7329313,0.035)); #540138=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #540139=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #540140=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #540141=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #540142=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #540143=CARTESIAN_POINT('Origin',(30.047069,1.68925,0.035)); #540144=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #540145=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #540146=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #540147=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #540148=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #540149=CARTESIAN_POINT('Origin',(29.998772,1.6507344,0.035)); #540150=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #540151=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #540152=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #540153=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #540154=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #540155=CARTESIAN_POINT('Origin',(29.946466,1.6178687,0.035)); #540156=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #540157=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #540158=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #540159=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #540160=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #540161=CARTESIAN_POINT('Origin',(29.890806,1.5910656,0.035)); #540162=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #540163=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #540164=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #540165=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #540166=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #540167=CARTESIAN_POINT('Origin',(29.8325,1.5706625,0.035)); #540168=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #540169=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #540170=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #540171=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #540172=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #540173=CARTESIAN_POINT('Origin',(29.772275,1.5569156,0.035)); #540174=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #540175=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #540176=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.07)); #540177=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.035)); #540178=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #540179=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0700000000000145)); #540180=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0700000000000145)); #540181=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0699999999999967)); #540182=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #540183=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #540184=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #540185=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0350000000000072)); #540186=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #540187=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #540188=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0700000000000145)); #540189=CARTESIAN_POINT('Origin',(39.15,34.,0.0700000000000145)); #540190=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #540191=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #540192=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #540193=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #540194=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0700000000000145)); #540195=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0699999999999967)); #540196=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #540197=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0350000000000072)); #540198=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #540199=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #540200=CARTESIAN_POINT('Origin',(38.55,34.,0.0700000000000145)); #540201=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #540202=CARTESIAN_POINT('Origin',(39.189294,33.1,0.035)); #540203=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #540204=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #540205=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #540206=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #540207=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #540208=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #540209=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #540210=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #540211=CARTESIAN_POINT('Origin',(38.510706,33.1,0.035)); #540212=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #540213=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #540214=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #540215=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #540216=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #540217=CARTESIAN_POINT('Origin',(38.432416,33.10685,0.035)); #540218=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #540219=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #540220=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #540221=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #540222=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #540223=CARTESIAN_POINT('Origin',(38.355019,33.120497,0.035)); #540224=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #540225=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #540226=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #540227=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #540228=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #540229=CARTESIAN_POINT('Origin',(38.279106,33.140838,0.035)); #540230=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #540231=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #540232=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #540233=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #540234=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #540235=CARTESIAN_POINT('Origin',(38.205256,33.167716,0.035)); #540236=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #540237=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #540238=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #540239=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #540240=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #540241=CARTESIAN_POINT('Origin',(38.134031,33.200931,0.035)); #540242=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #540243=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #540244=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #540245=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #540246=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #540247=CARTESIAN_POINT('Origin',(38.065969,33.240225,0.035)); #540248=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #540249=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #540250=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #540251=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #540252=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #540253=CARTESIAN_POINT('Origin',(38.001594,33.285303,0.035)); #540254=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #540255=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #540256=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #540257=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #540258=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #540259=CARTESIAN_POINT('Origin',(37.941391,33.335819,0.035)); #540260=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #540261=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #540262=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #540263=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #540264=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #540265=CARTESIAN_POINT('Origin',(37.885819,33.391391,0.035)); #540266=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #540267=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #540268=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #540269=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #540270=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #540271=CARTESIAN_POINT('Origin',(37.835303,33.451594,0.035)); #540272=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #540273=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #540274=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #540275=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #540276=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #540277=CARTESIAN_POINT('Origin',(37.790225,33.515969,0.035)); #540278=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #540279=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #540280=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #540281=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #540282=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #540283=CARTESIAN_POINT('Origin',(37.750931,33.584031,0.035)); #540284=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #540285=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #540286=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #540287=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #540288=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #540289=CARTESIAN_POINT('Origin',(37.717716,33.655256,0.035)); #540290=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #540291=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #540292=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #540293=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #540294=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #540295=CARTESIAN_POINT('Origin',(37.690838,33.729106,0.035)); #540296=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #540297=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #540298=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #540299=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #540300=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #540301=CARTESIAN_POINT('Origin',(37.670497,33.805019,0.035)); #540302=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #540303=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #540304=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #540305=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #540306=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #540307=CARTESIAN_POINT('Origin',(37.65685,33.882416,0.035)); #540308=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #540309=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #540310=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #540311=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #540312=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #540313=CARTESIAN_POINT('Origin',(37.65,33.960706,0.035)); #540314=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #540315=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #540316=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #540317=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #540318=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #540319=CARTESIAN_POINT('Origin',(37.65,34.039294,0.035)); #540320=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #540321=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #540322=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #540323=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #540324=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #540325=CARTESIAN_POINT('Origin',(37.65685,34.117584,0.035)); #540326=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #540327=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #540328=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #540329=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #540330=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #540331=CARTESIAN_POINT('Origin',(37.670497,34.194981,0.035)); #540332=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #540333=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #540334=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #540335=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #540336=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #540337=CARTESIAN_POINT('Origin',(37.690838,34.270894,0.035)); #540338=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #540339=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #540340=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #540341=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #540342=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #540343=CARTESIAN_POINT('Origin',(37.717716,34.344744,0.035)); #540344=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #540345=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #540346=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #540347=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #540348=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #540349=CARTESIAN_POINT('Origin',(37.750931,34.415969,0.035)); #540350=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #540351=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #540352=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #540353=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #540354=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #540355=CARTESIAN_POINT('Origin',(37.790225,34.484031,0.035)); #540356=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #540357=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #540358=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #540359=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #540360=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #540361=CARTESIAN_POINT('Origin',(37.835303,34.548406,0.035)); #540362=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #540363=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #540364=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #540365=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #540366=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #540367=CARTESIAN_POINT('Origin',(37.885819,34.608609,0.035)); #540368=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #540369=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #540370=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #540371=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #540372=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #540373=CARTESIAN_POINT('Origin',(37.941391,34.664181,0.035)); #540374=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #540375=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #540376=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #540377=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #540378=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #540379=CARTESIAN_POINT('Origin',(38.001594,34.714697,0.035)); #540380=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #540381=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #540382=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #540383=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #540384=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #540385=CARTESIAN_POINT('Origin',(38.065969,34.759775,0.035)); #540386=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #540387=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #540388=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #540389=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #540390=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #540391=CARTESIAN_POINT('Origin',(38.134031,34.799069,0.035)); #540392=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #540393=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #540394=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #540395=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #540396=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #540397=CARTESIAN_POINT('Origin',(38.205256,34.832284,0.035)); #540398=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #540399=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #540400=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #540401=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #540402=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #540403=CARTESIAN_POINT('Origin',(38.279106,34.859163,0.035)); #540404=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #540405=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #540406=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #540407=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #540408=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #540409=CARTESIAN_POINT('Origin',(38.355019,34.879503,0.035)); #540410=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #540411=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #540412=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #540413=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #540414=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #540415=CARTESIAN_POINT('Origin',(38.432416,34.89315,0.035)); #540416=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #540417=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #540418=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #540419=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #540420=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #540421=CARTESIAN_POINT('Origin',(38.510706,34.9,0.035)); #540422=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #540423=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #540424=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #540425=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #540426=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #540427=CARTESIAN_POINT('Origin',(39.189294,34.9,0.035)); #540428=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #540429=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #540430=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #540431=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #540432=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #540433=CARTESIAN_POINT('Origin',(39.267584,34.89315,0.035)); #540434=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #540435=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #540436=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #540437=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #540438=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #540439=CARTESIAN_POINT('Origin',(39.344981,34.879503,0.035)); #540440=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #540441=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #540442=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #540443=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #540444=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #540445=CARTESIAN_POINT('Origin',(39.420894,34.859163,0.035)); #540446=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #540447=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #540448=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #540449=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #540450=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #540451=CARTESIAN_POINT('Origin',(39.494744,34.832284,0.035)); #540452=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #540453=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #540454=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #540455=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #540456=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #540457=CARTESIAN_POINT('Origin',(39.565969,34.799069,0.035)); #540458=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #540459=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #540460=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #540461=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #540462=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #540463=CARTESIAN_POINT('Origin',(39.634031,34.759775,0.035)); #540464=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #540465=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #540466=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #540467=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #540468=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #540469=CARTESIAN_POINT('Origin',(39.698406,34.714697,0.035)); #540470=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #540471=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #540472=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #540473=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #540474=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #540475=CARTESIAN_POINT('Origin',(39.758609,34.664181,0.035)); #540476=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #540477=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #540478=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #540479=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #540480=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #540481=CARTESIAN_POINT('Origin',(39.814181,34.608609,0.035)); #540482=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #540483=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #540484=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #540485=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #540486=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #540487=CARTESIAN_POINT('Origin',(39.864697,34.548406,0.035)); #540488=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #540489=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #540490=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #540491=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #540492=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #540493=CARTESIAN_POINT('Origin',(39.909775,34.484031,0.035)); #540494=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #540495=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #540496=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #540497=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #540498=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #540499=CARTESIAN_POINT('Origin',(39.949069,34.415969,0.035)); #540500=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #540501=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #540502=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #540503=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #540504=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #540505=CARTESIAN_POINT('Origin',(39.982284,34.344744,0.035)); #540506=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #540507=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #540508=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #540509=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #540510=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #540511=CARTESIAN_POINT('Origin',(40.009163,34.270894,0.035)); #540512=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #540513=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #540514=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #540515=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #540516=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #540517=CARTESIAN_POINT('Origin',(40.029503,34.194981,0.035)); #540518=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #540519=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #540520=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #540521=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #540522=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #540523=CARTESIAN_POINT('Origin',(40.04315,34.117584,0.035)); #540524=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #540525=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #540526=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #540527=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #540528=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #540529=CARTESIAN_POINT('Origin',(40.05,34.039294,0.035)); #540530=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #540531=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #540532=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #540533=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #540534=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #540535=CARTESIAN_POINT('Origin',(40.05,33.960706,0.035)); #540536=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #540537=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #540538=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #540539=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #540540=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #540541=CARTESIAN_POINT('Origin',(40.04315,33.882416,0.035)); #540542=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #540543=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #540544=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #540545=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #540546=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #540547=CARTESIAN_POINT('Origin',(40.029503,33.805019,0.035)); #540548=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #540549=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #540550=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #540551=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #540552=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #540553=CARTESIAN_POINT('Origin',(40.009163,33.729106,0.035)); #540554=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #540555=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #540556=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #540557=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #540558=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #540559=CARTESIAN_POINT('Origin',(39.982284,33.655256,0.035)); #540560=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #540561=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #540562=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #540563=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #540564=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #540565=CARTESIAN_POINT('Origin',(39.949069,33.584031,0.035)); #540566=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #540567=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #540568=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #540569=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #540570=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #540571=CARTESIAN_POINT('Origin',(39.909775,33.515969,0.035)); #540572=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #540573=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #540574=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #540575=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #540576=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #540577=CARTESIAN_POINT('Origin',(39.864697,33.451594,0.035)); #540578=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #540579=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #540580=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #540581=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #540582=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #540583=CARTESIAN_POINT('Origin',(39.814181,33.391391,0.035)); #540584=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #540585=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #540586=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #540587=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #540588=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #540589=CARTESIAN_POINT('Origin',(39.758609,33.335819,0.035)); #540590=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #540591=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #540592=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #540593=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #540594=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #540595=CARTESIAN_POINT('Origin',(39.698406,33.285303,0.035)); #540596=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #540597=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #540598=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #540599=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #540600=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #540601=CARTESIAN_POINT('Origin',(39.634031,33.240225,0.035)); #540602=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #540603=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #540604=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #540605=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #540606=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #540607=CARTESIAN_POINT('Origin',(39.565969,33.200931,0.035)); #540608=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #540609=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #540610=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #540611=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #540612=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #540613=CARTESIAN_POINT('Origin',(39.494744,33.167716,0.035)); #540614=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #540615=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #540616=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #540617=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #540618=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #540619=CARTESIAN_POINT('Origin',(39.420894,33.140838,0.035)); #540620=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #540621=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #540622=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #540623=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #540624=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #540625=CARTESIAN_POINT('Origin',(39.344981,33.120497,0.035)); #540626=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #540627=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #540628=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #540629=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #540630=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #540631=CARTESIAN_POINT('Origin',(39.267584,33.10685,0.035)); #540632=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #540633=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #540634=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.07)); #540635=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.035)); #540636=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #540637=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #540638=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #540639=CARTESIAN_POINT('',(11.785,34.,0.0700000000000145)); #540640=CARTESIAN_POINT('',(11.785,34.,-200.)); #540641=CARTESIAN_POINT('Origin',(12.25,34.,0.0700000000000145)); #540642=CARTESIAN_POINT('Origin',(12.286844,33.25,0.035)); #540643=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #540644=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #540645=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #540646=CARTESIAN_POINT('',(12.360181,33.257222,0.07)); #540647=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #540648=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #540649=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #540650=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #540651=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #540652=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #540653=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #540654=CARTESIAN_POINT('',(12.139819,34.742778,0.07)); #540655=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.07)); #540656=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #540657=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #540658=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #540659=CARTESIAN_POINT('Origin',(12.25,34.,0.07)); #540660=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.07)); #540661=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.035)); #540662=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #540663=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #540664=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #540665=CARTESIAN_POINT('',(23.735,34.,0.0700000000000145)); #540666=CARTESIAN_POINT('',(23.735,34.,-200.)); #540667=CARTESIAN_POINT('Origin',(24.25,34.,0.0700000000000145)); #540668=CARTESIAN_POINT('Origin',(24.290528,33.175,0.035)); #540669=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #540670=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #540671=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #540672=CARTESIAN_POINT('',(24.3712,33.182944,0.07)); #540673=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #540674=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #540675=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #540676=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #540677=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #540678=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #540679=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #540680=CARTESIAN_POINT('',(24.1288,34.817056,0.07)); #540681=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.07)); #540682=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #540683=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #540684=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #540685=CARTESIAN_POINT('Origin',(24.25,34.,0.07)); #540686=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.07)); #540687=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.035)); #540688=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #540689=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0700000000000145)); #540690=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0700000000000145)); #540691=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0699999999999967)); #540692=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #540693=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #540694=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #540695=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0350000000000072)); #540696=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #540697=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #540698=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0700000000000145)); #540699=CARTESIAN_POINT('Origin',(38.32,6.28,0.0700000000000145)); #540700=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #540701=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #540702=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #540703=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #540704=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0700000000000145)); #540705=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0699999999999967)); #540706=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #540707=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0350000000000072)); #540708=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #540709=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #540710=CARTESIAN_POINT('Origin',(38.32,7.08,0.0700000000000145)); #540711=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #540712=CARTESIAN_POINT('Origin',(38.350887,5.73,0.035)); #540713=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #540714=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #540715=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #540716=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #540717=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #540718=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #540719=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #540720=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #540721=CARTESIAN_POINT('Origin',(38.289113,5.73,0.035)); #540722=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #540723=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #540724=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #540725=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #540726=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #540727=CARTESIAN_POINT('Origin',(38.227725,5.7369156,0.035)); #540728=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #540729=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #540730=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #540731=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #540732=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #540733=CARTESIAN_POINT('Origin',(38.1675,5.7506625,0.035)); #540734=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #540735=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #540736=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #540737=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #540738=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #540739=CARTESIAN_POINT('Origin',(38.109194,5.7710656,0.035)); #540740=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #540741=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #540742=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #540743=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #540744=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #540745=CARTESIAN_POINT('Origin',(38.053534,5.7978688,0.035)); #540746=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #540747=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #540748=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #540749=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #540750=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #540751=CARTESIAN_POINT('Origin',(38.001228,5.8307344,0.035)); #540752=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #540753=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #540754=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #540755=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #540756=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #540757=CARTESIAN_POINT('Origin',(37.952931,5.86925,0.035)); #540758=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #540759=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #540760=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #540761=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #540762=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #540763=CARTESIAN_POINT('Origin',(37.90925,5.9129313,0.035)); #540764=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #540765=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #540766=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #540767=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #540768=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #540769=CARTESIAN_POINT('Origin',(37.870734,5.9612281,0.035)); #540770=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #540771=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #540772=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #540773=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #540774=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #540775=CARTESIAN_POINT('Origin',(37.837869,6.0135344,0.035)); #540776=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #540777=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #540778=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #540779=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #540780=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #540781=CARTESIAN_POINT('Origin',(37.811066,6.0691938,0.035)); #540782=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #540783=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #540784=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #540785=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #540786=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #540787=CARTESIAN_POINT('Origin',(37.790663,6.1275,0.035)); #540788=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #540789=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #540790=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #540791=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #540792=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #540793=CARTESIAN_POINT('Origin',(37.776916,6.187725,0.035)); #540794=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #540795=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #540796=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #540797=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #540798=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #540799=CARTESIAN_POINT('Origin',(37.77,6.2491125,0.035)); #540800=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #540801=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #540802=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #540803=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #540804=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #540805=CARTESIAN_POINT('Origin',(37.77,7.1108875,0.035)); #540806=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #540807=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #540808=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #540809=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #540810=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #540811=CARTESIAN_POINT('Origin',(37.776916,7.172275,0.035)); #540812=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #540813=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #540814=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #540815=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #540816=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #540817=CARTESIAN_POINT('Origin',(37.790663,7.2325,0.035)); #540818=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #540819=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #540820=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #540821=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #540822=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #540823=CARTESIAN_POINT('Origin',(37.811066,7.2908063,0.035)); #540824=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #540825=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #540826=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #540827=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #540828=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #540829=CARTESIAN_POINT('Origin',(37.837869,7.3464656,0.035)); #540830=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #540831=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #540832=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #540833=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #540834=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #540835=CARTESIAN_POINT('Origin',(37.870734,7.3987719,0.035)); #540836=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #540837=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #540838=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #540839=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #540840=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #540841=CARTESIAN_POINT('Origin',(37.90925,7.4470688,0.035)); #540842=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #540843=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #540844=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #540845=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #540846=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #540847=CARTESIAN_POINT('Origin',(37.952931,7.49075,0.035)); #540848=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #540849=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #540850=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #540851=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #540852=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #540853=CARTESIAN_POINT('Origin',(38.001228,7.5292656,0.035)); #540854=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #540855=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #540856=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #540857=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #540858=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #540859=CARTESIAN_POINT('Origin',(38.053534,7.5621313,0.035)); #540860=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #540861=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #540862=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #540863=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #540864=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #540865=CARTESIAN_POINT('Origin',(38.109194,7.5889344,0.035)); #540866=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #540867=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #540868=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #540869=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #540870=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #540871=CARTESIAN_POINT('Origin',(38.1675,7.6093375,0.035)); #540872=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #540873=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #540874=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #540875=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #540876=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #540877=CARTESIAN_POINT('Origin',(38.227725,7.6230844,0.035)); #540878=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #540879=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #540880=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #540881=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #540882=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #540883=CARTESIAN_POINT('Origin',(38.289113,7.63,0.035)); #540884=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #540885=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #540886=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #540887=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #540888=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #540889=CARTESIAN_POINT('Origin',(38.350887,7.63,0.035)); #540890=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #540891=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #540892=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #540893=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #540894=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #540895=CARTESIAN_POINT('Origin',(38.412275,7.6230844,0.035)); #540896=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #540897=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #540898=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #540899=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #540900=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #540901=CARTESIAN_POINT('Origin',(38.4725,7.6093375,0.035)); #540902=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #540903=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #540904=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #540905=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #540906=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #540907=CARTESIAN_POINT('Origin',(38.530806,7.5889344,0.035)); #540908=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #540909=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #540910=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #540911=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #540912=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #540913=CARTESIAN_POINT('Origin',(38.586466,7.5621313,0.035)); #540914=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #540915=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #540916=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #540917=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #540918=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #540919=CARTESIAN_POINT('Origin',(38.638772,7.5292656,0.035)); #540920=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #540921=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #540922=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #540923=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #540924=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #540925=CARTESIAN_POINT('Origin',(38.687069,7.49075,0.035)); #540926=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #540927=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #540928=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #540929=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #540930=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #540931=CARTESIAN_POINT('Origin',(38.73075,7.4470688,0.035)); #540932=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #540933=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #540934=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #540935=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #540936=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #540937=CARTESIAN_POINT('Origin',(38.769266,7.3987719,0.035)); #540938=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #540939=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #540940=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #540941=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #540942=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #540943=CARTESIAN_POINT('Origin',(38.802131,7.3464656,0.035)); #540944=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #540945=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #540946=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #540947=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #540948=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #540949=CARTESIAN_POINT('Origin',(38.828934,7.2908063,0.035)); #540950=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #540951=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #540952=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #540953=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #540954=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #540955=CARTESIAN_POINT('Origin',(38.849338,7.2325,0.035)); #540956=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #540957=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #540958=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #540959=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #540960=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #540961=CARTESIAN_POINT('Origin',(38.863084,7.172275,0.035)); #540962=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #540963=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #540964=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #540965=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #540966=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #540967=CARTESIAN_POINT('Origin',(38.87,7.1108875,0.035)); #540968=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #540969=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #540970=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #540971=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #540972=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #540973=CARTESIAN_POINT('Origin',(38.87,6.2491125,0.035)); #540974=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #540975=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #540976=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #540977=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #540978=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #540979=CARTESIAN_POINT('Origin',(38.863084,6.187725,0.035)); #540980=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #540981=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #540982=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #540983=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #540984=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #540985=CARTESIAN_POINT('Origin',(38.849338,6.1275,0.035)); #540986=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #540987=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #540988=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #540989=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #540990=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #540991=CARTESIAN_POINT('Origin',(38.828934,6.0691938,0.035)); #540992=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #540993=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #540994=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #540995=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #540996=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #540997=CARTESIAN_POINT('Origin',(38.802131,6.0135344,0.035)); #540998=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #540999=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #541000=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #541001=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #541002=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #541003=CARTESIAN_POINT('Origin',(38.769266,5.9612281,0.035)); #541004=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #541005=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #541006=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #541007=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #541008=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #541009=CARTESIAN_POINT('Origin',(38.73075,5.9129313,0.035)); #541010=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #541011=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #541012=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #541013=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #541014=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #541015=CARTESIAN_POINT('Origin',(38.687069,5.86925,0.035)); #541016=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #541017=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #541018=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #541019=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #541020=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #541021=CARTESIAN_POINT('Origin',(38.638772,5.8307344,0.035)); #541022=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #541023=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #541024=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #541025=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #541026=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #541027=CARTESIAN_POINT('Origin',(38.586466,5.7978688,0.035)); #541028=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #541029=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #541030=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #541031=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #541032=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #541033=CARTESIAN_POINT('Origin',(38.530806,5.7710656,0.035)); #541034=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #541035=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #541036=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #541037=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #541038=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #541039=CARTESIAN_POINT('Origin',(38.4725,5.7506625,0.035)); #541040=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #541041=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #541042=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #541043=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #541044=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #541045=CARTESIAN_POINT('Origin',(38.412275,5.7369156,0.035)); #541046=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #541047=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #541048=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.07)); #541049=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.035)); #541050=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #541051=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #541052=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #541053=CARTESIAN_POINT('',(31.035,25.25,0.0700000000000145)); #541054=CARTESIAN_POINT('',(31.035,25.25,-200.)); #541055=CARTESIAN_POINT('Origin',(31.5,25.25,0.0700000000000145)); #541056=CARTESIAN_POINT('Origin',(31.536844,24.5,0.035)); #541057=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #541058=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #541059=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #541060=CARTESIAN_POINT('',(31.610181,24.507222,0.07)); #541061=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #541062=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #541063=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #541064=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #541065=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #541066=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #541067=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #541068=CARTESIAN_POINT('',(31.389819,25.992778,0.07)); #541069=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.07)); #541070=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #541071=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #541072=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #541073=CARTESIAN_POINT('Origin',(31.5,25.25,0.07)); #541074=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.07)); #541075=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #541076=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #541077=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #541078=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #541079=CARTESIAN_POINT('',(33.535,25.25,0.0700000000000145)); #541080=CARTESIAN_POINT('',(33.535,25.25,-200.)); #541081=CARTESIAN_POINT('Origin',(34.,25.25,0.0700000000000145)); #541082=CARTESIAN_POINT('Origin',(34.036844,24.5,0.035)); #541083=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #541084=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #541085=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #541086=CARTESIAN_POINT('',(34.110181,24.507222,0.07)); #541087=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #541088=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #541089=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #541090=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #541091=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #541092=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #541093=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #541094=CARTESIAN_POINT('',(33.889819,25.992778,0.07)); #541095=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.07)); #541096=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #541097=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #541098=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #541099=CARTESIAN_POINT('Origin',(34.,25.25,0.07)); #541100=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.07)); #541101=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #541102=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #541103=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #541104=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #541105=CARTESIAN_POINT('',(36.035,25.25,0.0700000000000145)); #541106=CARTESIAN_POINT('',(36.035,25.25,-200.)); #541107=CARTESIAN_POINT('Origin',(36.5,25.25,0.0700000000000145)); #541108=CARTESIAN_POINT('Origin',(36.536844,24.5,0.035)); #541109=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #541110=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #541111=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #541112=CARTESIAN_POINT('',(36.610181,24.507222,0.07)); #541113=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #541114=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #541115=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #541116=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #541117=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #541118=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #541119=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #541120=CARTESIAN_POINT('',(36.389819,25.992778,0.07)); #541121=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.07)); #541122=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #541123=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #541124=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #541125=CARTESIAN_POINT('Origin',(36.5,25.25,0.07)); #541126=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.07)); #541127=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #541128=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #541129=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #541130=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #541131=CARTESIAN_POINT('',(29.625,45.,0.0700000000000145)); #541132=CARTESIAN_POINT('',(29.625,45.,-200.)); #541133=CARTESIAN_POINT('Origin',(30.19,45.,0.0700000000000145)); #541134=CARTESIAN_POINT('Origin',(31.09,44.1,0.035)); #541135=CARTESIAN_POINT('',(31.09,44.1,0.035)); #541136=CARTESIAN_POINT('',(31.09,45.9,0.035)); #541137=CARTESIAN_POINT('',(31.09,44.1,0.035)); #541138=CARTESIAN_POINT('',(31.09,45.9,0.07)); #541139=CARTESIAN_POINT('',(31.09,45.9,0.035)); #541140=CARTESIAN_POINT('',(31.09,44.1,0.07)); #541141=CARTESIAN_POINT('',(31.09,44.1,0.07)); #541142=CARTESIAN_POINT('',(31.09,44.1,0.035)); #541143=CARTESIAN_POINT('Origin',(29.29,44.1,0.035)); #541144=CARTESIAN_POINT('',(29.29,44.1,0.035)); #541145=CARTESIAN_POINT('',(29.29,44.1,0.035)); #541146=CARTESIAN_POINT('',(29.29,44.1,0.07)); #541147=CARTESIAN_POINT('',(29.29,44.1,0.07)); #541148=CARTESIAN_POINT('',(29.29,44.1,0.035)); #541149=CARTESIAN_POINT('Origin',(29.29,45.9,0.035)); #541150=CARTESIAN_POINT('',(29.29,45.9,0.035)); #541151=CARTESIAN_POINT('',(29.29,45.9,0.035)); #541152=CARTESIAN_POINT('',(29.29,45.9,0.07)); #541153=CARTESIAN_POINT('',(29.29,45.9,0.07)); #541154=CARTESIAN_POINT('',(29.29,45.9,0.035)); #541155=CARTESIAN_POINT('Origin',(31.09,45.9,0.035)); #541156=CARTESIAN_POINT('',(31.09,45.9,0.035)); #541157=CARTESIAN_POINT('',(31.09,45.9,0.07)); #541158=CARTESIAN_POINT('Origin',(30.19,45.,0.07)); #541159=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #541160=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #541161=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #541162=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #541163=CARTESIAN_POINT('',(51.785,34.,0.0700000000000145)); #541164=CARTESIAN_POINT('',(51.785,34.,-200.)); #541165=CARTESIAN_POINT('Origin',(52.25,34.,0.0700000000000145)); #541166=CARTESIAN_POINT('Origin',(52.286844,33.25,0.035)); #541167=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #541168=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #541169=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #541170=CARTESIAN_POINT('',(52.360181,33.257222,0.07)); #541171=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #541172=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #541173=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #541174=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #541175=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #541176=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #541177=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #541178=CARTESIAN_POINT('',(52.139819,34.742778,0.07)); #541179=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.07)); #541180=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #541181=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #541182=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #541183=CARTESIAN_POINT('Origin',(52.25,34.,0.07)); #541184=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.07)); #541185=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.035)); #541186=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.035)); #541187=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #541188=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #541189=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #541190=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #541191=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #541192=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #541193=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #541194=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #541195=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.035)); #541196=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #541197=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #541198=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #541199=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #541200=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #541201=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.035)); #541202=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #541203=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #541204=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #541205=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #541206=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #541207=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.035)); #541208=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #541209=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #541210=CARTESIAN_POINT('Origin',(30.6,18.25,0.07)); #541211=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #541212=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.035)); #541213=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #541214=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #541215=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #541216=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #541217=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #541218=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #541219=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #541220=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #541221=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.035)); #541222=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #541223=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #541224=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #541225=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #541226=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #541227=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.035)); #541228=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #541229=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #541230=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #541231=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #541232=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #541233=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.035)); #541234=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #541235=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #541236=CARTESIAN_POINT('Origin',(37.4,14.75,0.07)); #541237=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #541238=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.035)); #541239=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #541240=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #541241=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #541242=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #541243=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #541244=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #541245=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #541246=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #541247=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.035)); #541248=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #541249=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #541250=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #541251=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #541252=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #541253=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.035)); #541254=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #541255=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #541256=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #541257=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #541258=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #541259=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.035)); #541260=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #541261=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #541262=CARTESIAN_POINT('Origin',(37.4,16.75,0.07)); #541263=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #541264=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.035)); #541265=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #541266=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #541267=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #541268=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #541269=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #541270=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #541271=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #541272=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #541273=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.035)); #541274=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #541275=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #541276=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #541277=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #541278=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #541279=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.035)); #541280=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #541281=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #541282=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #541283=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #541284=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #541285=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.035)); #541286=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #541287=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #541288=CARTESIAN_POINT('Origin',(35.25,13.6,0.07)); #541289=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #541290=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.035)); #541291=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #541292=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #541293=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #541294=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #541295=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #541296=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #541297=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #541298=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #541299=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.035)); #541300=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #541301=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #541302=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #541303=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #541304=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #541305=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.035)); #541306=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #541307=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #541308=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #541309=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #541310=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #541311=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.035)); #541312=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #541313=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #541314=CARTESIAN_POINT('Origin',(37.4,15.25,0.07)); #541315=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #541316=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.035)); #541317=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #541318=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #541319=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #541320=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #541321=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #541322=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #541323=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #541324=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #541325=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.035)); #541326=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #541327=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #541328=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #541329=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #541330=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #541331=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.035)); #541332=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #541333=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #541334=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #541335=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #541336=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #541337=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.035)); #541338=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #541339=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #541340=CARTESIAN_POINT('Origin',(36.75,13.6,0.07)); #541341=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #541342=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.035)); #541343=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #541344=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #541345=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #541346=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #541347=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #541348=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #541349=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #541350=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #541351=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.035)); #541352=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #541353=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #541354=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #541355=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #541356=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #541357=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.035)); #541358=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #541359=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #541360=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #541361=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #541362=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #541363=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.035)); #541364=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #541365=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #541366=CARTESIAN_POINT('Origin',(37.4,17.25,0.07)); #541367=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #541368=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.035)); #541369=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #541370=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #541371=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #541372=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #541373=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #541374=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #541375=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #541376=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #541377=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.035)); #541378=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #541379=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #541380=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #541381=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #541382=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #541383=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.035)); #541384=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #541385=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #541386=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #541387=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #541388=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #541389=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.035)); #541390=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #541391=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #541392=CARTESIAN_POINT('Origin',(37.4,14.25,0.07)); #541393=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #541394=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.035)); #541395=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #541396=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #541397=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #541398=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #541399=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #541400=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #541401=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #541402=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #541403=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.035)); #541404=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #541405=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #541406=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #541407=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #541408=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #541409=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.035)); #541410=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #541411=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #541412=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #541413=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #541414=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #541415=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.035)); #541416=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #541417=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #541418=CARTESIAN_POINT('Origin',(30.6,16.75,0.07)); #541419=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #541420=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.035)); #541421=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #541422=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #541423=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #541424=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #541425=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #541426=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #541427=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #541428=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #541429=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.035)); #541430=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #541431=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #541432=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #541433=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #541434=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #541435=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.035)); #541436=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #541437=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #541438=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #541439=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #541440=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #541441=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.035)); #541442=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #541443=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #541444=CARTESIAN_POINT('Origin',(34.75,13.6,0.07)); #541445=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #541446=CARTESIAN_POINT('Origin',(12.6571,50.0555,0.035)); #541447=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #541448=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #541449=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #541450=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #541451=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #541452=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #541453=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #541454=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #541455=CARTESIAN_POINT('Origin',(11.8189,50.0555,0.035)); #541456=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #541457=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #541458=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #541459=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #541460=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #541461=CARTESIAN_POINT('Origin',(11.8189,50.9445,0.035)); #541462=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #541463=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #541464=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #541465=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #541466=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #541467=CARTESIAN_POINT('Origin',(12.6571,50.9445,0.035)); #541468=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #541469=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #541470=CARTESIAN_POINT('Origin',(12.238,50.5,0.07)); #541471=CARTESIAN_POINT('Origin',(12.238,50.5,0.035)); #541472=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.035)); #541473=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #541474=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #541475=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #541476=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #541477=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #541478=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #541479=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #541480=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #541481=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.035)); #541482=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #541483=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #541484=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #541485=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #541486=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #541487=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.035)); #541488=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #541489=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #541490=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #541491=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #541492=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #541493=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.035)); #541494=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #541495=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #541496=CARTESIAN_POINT('Origin',(30.6,19.25,0.07)); #541497=CARTESIAN_POINT('Origin',(30.6,19.25,0.035)); #541498=CARTESIAN_POINT('Origin',(16.3622,24.6665,0.035)); #541499=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #541500=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #541501=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #541502=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #541503=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #541504=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #541505=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #541506=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #541507=CARTESIAN_POINT('Origin',(14.6858,24.6665,0.035)); #541508=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #541509=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #541510=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #541511=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #541512=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #541513=CARTESIAN_POINT('Origin',(14.6858,27.3335,0.035)); #541514=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #541515=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #541516=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #541517=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #541518=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #541519=CARTESIAN_POINT('Origin',(16.3622,27.3335,0.035)); #541520=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #541521=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #541522=CARTESIAN_POINT('Origin',(15.524,26.,0.07)); #541523=CARTESIAN_POINT('Origin',(15.524,26.,0.035)); #541524=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #541525=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #541526=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #541527=CARTESIAN_POINT('',(15.86,20.25,0.0700000000000145)); #541528=CARTESIAN_POINT('',(15.86,20.25,-200.)); #541529=CARTESIAN_POINT('Origin',(16.,20.25,0.0700000000000145)); #541530=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #541531=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #541532=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #541533=CARTESIAN_POINT('',(15.11,20.25,0.0700000000000145)); #541534=CARTESIAN_POINT('',(15.11,20.25,-200.)); #541535=CARTESIAN_POINT('Origin',(15.25,20.25,0.0700000000000145)); #541536=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #541537=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #541538=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #541539=CARTESIAN_POINT('',(15.11,21.75,0.0700000000000145)); #541540=CARTESIAN_POINT('',(15.11,21.75,-200.)); #541541=CARTESIAN_POINT('Origin',(15.25,21.75,0.0700000000000145)); #541542=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #541543=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #541544=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #541545=CARTESIAN_POINT('',(15.86,21.75,0.0700000000000145)); #541546=CARTESIAN_POINT('',(15.86,21.75,-200.)); #541547=CARTESIAN_POINT('Origin',(16.,21.75,0.0700000000000145)); #541548=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #541549=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #541550=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #541551=CARTESIAN_POINT('',(15.86,21.,0.0700000000000145)); #541552=CARTESIAN_POINT('',(15.86,21.,-200.)); #541553=CARTESIAN_POINT('Origin',(16.,21.,0.0700000000000145)); #541554=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #541555=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #541556=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #541557=CARTESIAN_POINT('',(15.11,21.,0.0700000000000145)); #541558=CARTESIAN_POINT('',(15.11,21.,-200.)); #541559=CARTESIAN_POINT('Origin',(15.25,21.,0.0700000000000145)); #541560=CARTESIAN_POINT('Origin',(16.3622,19.6665,0.035)); #541561=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #541562=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #541563=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #541564=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #541565=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #541566=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #541567=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #541568=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #541569=CARTESIAN_POINT('Origin',(14.6858,19.6665,0.035)); #541570=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #541571=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #541572=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #541573=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #541574=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #541575=CARTESIAN_POINT('Origin',(14.6858,22.3335,0.035)); #541576=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #541577=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #541578=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #541579=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #541580=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #541581=CARTESIAN_POINT('Origin',(16.3622,22.3335,0.035)); #541582=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #541583=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #541584=CARTESIAN_POINT('Origin',(15.524,21.,0.07)); #541585=CARTESIAN_POINT('Origin',(15.524,21.,0.035)); #541586=CARTESIAN_POINT('Origin',(14.3142,46.1745,0.035)); #541587=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #541588=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #541589=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #541590=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #541591=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #541592=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #541593=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #541594=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #541595=CARTESIAN_POINT('Origin',(12.6378,46.1745,0.035)); #541596=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #541597=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #541598=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #541599=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #541600=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #541601=CARTESIAN_POINT('Origin',(12.6378,47.8255,0.035)); #541602=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #541603=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #541604=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #541605=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #541606=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #541607=CARTESIAN_POINT('Origin',(14.3142,47.8255,0.035)); #541608=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #541609=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #541610=CARTESIAN_POINT('Origin',(13.476,47.,0.07)); #541611=CARTESIAN_POINT('Origin',(13.476,47.,0.035)); #541612=CARTESIAN_POINT('Origin',(22.6571,25.5555,0.035)); #541613=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #541614=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #541615=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #541616=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #541617=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #541618=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #541619=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #541620=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #541621=CARTESIAN_POINT('Origin',(21.8189,25.5555,0.035)); #541622=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #541623=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #541624=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #541625=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #541626=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #541627=CARTESIAN_POINT('Origin',(21.8189,26.4445,0.035)); #541628=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #541629=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #541630=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #541631=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #541632=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #541633=CARTESIAN_POINT('Origin',(22.6571,26.4445,0.035)); #541634=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #541635=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #541636=CARTESIAN_POINT('Origin',(22.238,26.,0.07)); #541637=CARTESIAN_POINT('Origin',(22.238,26.,0.035)); #541638=CARTESIAN_POINT('Origin',(26.1811,14.5555,0.035)); #541639=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #541640=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #541641=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #541642=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #541643=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #541644=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #541645=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #541646=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #541647=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.035)); #541648=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #541649=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #541650=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #541651=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #541652=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #541653=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.035)); #541654=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #541655=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #541656=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #541657=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #541658=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #541659=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.035)); #541660=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #541661=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #541662=CARTESIAN_POINT('Origin',(25.762,15.,0.07)); #541663=CARTESIAN_POINT('Origin',(25.762,15.,0.035)); #541664=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.035)); #541665=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #541666=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #541667=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #541668=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #541669=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #541670=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #541671=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #541672=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #541673=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.035)); #541674=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #541675=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #541676=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #541677=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #541678=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #541679=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.035)); #541680=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #541681=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #541682=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #541683=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #541684=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #541685=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.035)); #541686=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #541687=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #541688=CARTESIAN_POINT('Origin',(35.75,13.6,0.07)); #541689=CARTESIAN_POINT('Origin',(35.75,13.6,0.035)); #541690=CARTESIAN_POINT('Origin',(26.1811,20.5555,0.035)); #541691=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #541692=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #541693=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #541694=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #541695=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #541696=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #541697=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #541698=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #541699=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.035)); #541700=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #541701=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #541702=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #541703=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #541704=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #541705=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.035)); #541706=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #541707=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #541708=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #541709=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #541710=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #541711=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.035)); #541712=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #541713=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #541714=CARTESIAN_POINT('Origin',(25.762,21.,0.07)); #541715=CARTESIAN_POINT('Origin',(25.762,21.,0.035)); #541716=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.035)); #541717=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #541718=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #541719=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #541720=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #541721=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #541722=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #541723=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #541724=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #541725=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.035)); #541726=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #541727=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #541728=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #541729=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #541730=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #541731=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.035)); #541732=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #541733=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #541734=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #541735=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #541736=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #541737=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.035)); #541738=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #541739=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #541740=CARTESIAN_POINT('Origin',(30.6,15.75,0.07)); #541741=CARTESIAN_POINT('Origin',(30.6,15.75,0.035)); #541742=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.035)); #541743=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #541744=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #541745=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #541746=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #541747=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #541748=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #541749=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #541750=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #541751=CARTESIAN_POINT('Origin',(38.3429,37.5555,0.035)); #541752=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #541753=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #541754=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #541755=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #541756=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #541757=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.035)); #541758=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #541759=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #541760=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #541761=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #541762=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #541763=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.035)); #541764=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #541765=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #541766=CARTESIAN_POINT('Origin',(38.762,38.,0.07)); #541767=CARTESIAN_POINT('Origin',(38.762,38.,0.035)); #541768=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.035)); #541769=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #541770=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #541771=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #541772=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #541773=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #541774=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #541775=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #541776=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #541777=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.035)); #541778=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #541779=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #541780=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #541781=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #541782=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #541783=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.035)); #541784=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #541785=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #541786=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #541787=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #541788=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #541789=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.035)); #541790=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #541791=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #541792=CARTESIAN_POINT('Origin',(34.75,20.4,0.07)); #541793=CARTESIAN_POINT('Origin',(34.75,20.4,0.035)); #541794=CARTESIAN_POINT('Origin',(36.9967,30.4031,0.035)); #541795=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #541796=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #541797=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #541798=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #541799=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #541800=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #541801=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #541802=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #541803=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.035)); #541804=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #541805=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #541806=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #541807=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #541808=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #541809=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.035)); #541810=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #541811=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #541812=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #541813=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #541814=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #541815=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.035)); #541816=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #541817=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #541818=CARTESIAN_POINT('Origin',(36.3998,31.,0.07)); #541819=CARTESIAN_POINT('Origin',(36.3998,31.,0.035)); #541820=CARTESIAN_POINT('Origin',(29.6571,37.5555,0.035)); #541821=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #541822=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #541823=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #541824=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #541825=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #541826=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #541827=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #541828=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #541829=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.035)); #541830=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #541831=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #541832=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #541833=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #541834=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #541835=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.035)); #541836=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #541837=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #541838=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #541839=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #541840=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #541841=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.035)); #541842=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #541843=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #541844=CARTESIAN_POINT('Origin',(29.238,38.,0.07)); #541845=CARTESIAN_POINT('Origin',(29.238,38.,0.035)); #541846=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.035)); #541847=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #541848=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #541849=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #541850=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #541851=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #541852=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #541853=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #541854=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #541855=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.035)); #541856=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #541857=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #541858=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #541859=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #541860=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #541861=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.035)); #541862=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #541863=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #541864=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #541865=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #541866=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #541867=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.035)); #541868=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #541869=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #541870=CARTESIAN_POINT('Origin',(32.25,20.4,0.07)); #541871=CARTESIAN_POINT('Origin',(32.25,20.4,0.035)); #541872=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.035)); #541873=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #541874=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #541875=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #541876=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #541877=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #541878=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #541879=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #541880=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #541881=CARTESIAN_POINT('Origin',(31.0033,30.4031,0.035)); #541882=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #541883=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #541884=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #541885=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #541886=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #541887=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.035)); #541888=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #541889=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #541890=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #541891=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #541892=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #541893=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.035)); #541894=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #541895=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #541896=CARTESIAN_POINT('Origin',(31.6002,31.,0.07)); #541897=CARTESIAN_POINT('Origin',(31.6002,31.,0.035)); #541898=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.035)); #541899=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #541900=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #541901=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #541902=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #541903=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #541904=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #541905=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #541906=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #541907=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.035)); #541908=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #541909=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #541910=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #541911=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #541912=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #541913=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.035)); #541914=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #541915=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #541916=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #541917=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #541918=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #541919=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.035)); #541920=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #541921=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #541922=CARTESIAN_POINT('Origin',(33.25,13.6,0.07)); #541923=CARTESIAN_POINT('Origin',(33.25,13.6,0.035)); #541924=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.035)); #541925=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #541926=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #541927=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #541928=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #541929=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #541930=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #541931=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #541932=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #541933=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.035)); #541934=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #541935=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #541936=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #541937=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #541938=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #541939=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.035)); #541940=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #541941=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #541942=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #541943=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #541944=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #541945=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.035)); #541946=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #541947=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #541948=CARTESIAN_POINT('Origin',(31.75,13.6,0.07)); #541949=CARTESIAN_POINT('Origin',(31.75,13.6,0.035)); #541950=CARTESIAN_POINT('Origin',(33.6571,59.8055,0.035)); #541951=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #541952=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #541953=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #541954=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #541955=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #541956=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #541957=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #541958=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #541959=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.035)); #541960=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #541961=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #541962=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #541963=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #541964=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #541965=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.035)); #541966=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #541967=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #541968=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #541969=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #541970=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #541971=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.035)); #541972=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #541973=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #541974=CARTESIAN_POINT('Origin',(33.238,60.25,0.07)); #541975=CARTESIAN_POINT('Origin',(33.238,60.25,0.035)); #541976=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.035)); #541977=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #541978=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #541979=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #541980=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #541981=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #541982=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #541983=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #541984=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #541985=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.035)); #541986=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #541987=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #541988=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #541989=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #541990=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #541991=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.035)); #541992=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #541993=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #541994=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #541995=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #541996=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #541997=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.035)); #541998=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #541999=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #542000=CARTESIAN_POINT('Origin',(34.25,13.6,0.07)); #542001=CARTESIAN_POINT('Origin',(34.25,13.6,0.035)); #542002=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.035)); #542003=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #542004=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #542005=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #542006=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #542007=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #542008=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #542009=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #542010=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #542011=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.035)); #542012=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #542013=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #542014=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #542015=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #542016=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #542017=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.035)); #542018=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #542019=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #542020=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #542021=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #542022=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #542023=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.035)); #542024=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #542025=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #542026=CARTESIAN_POINT('Origin',(32.75,13.6,0.07)); #542027=CARTESIAN_POINT('Origin',(32.75,13.6,0.035)); #542028=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.035)); #542029=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #542030=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #542031=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #542032=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #542033=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #542034=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #542035=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #542036=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #542037=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.035)); #542038=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #542039=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #542040=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #542041=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #542042=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #542043=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.035)); #542044=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #542045=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #542046=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #542047=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #542048=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #542049=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.035)); #542050=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #542051=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #542052=CARTESIAN_POINT('Origin',(32.25,13.6,0.07)); #542053=CARTESIAN_POINT('Origin',(32.25,13.6,0.035)); #542054=CARTESIAN_POINT('Origin',(22.1811,20.5555,0.035)); #542055=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #542056=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #542057=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #542058=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #542059=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #542060=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #542061=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #542062=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #542063=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.035)); #542064=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #542065=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #542066=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #542067=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #542068=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #542069=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.035)); #542070=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #542071=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #542072=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #542073=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #542074=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #542075=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.035)); #542076=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #542077=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #542078=CARTESIAN_POINT('Origin',(21.762,21.,0.07)); #542079=CARTESIAN_POINT('Origin',(21.762,21.,0.035)); #542080=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.035)); #542081=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #542082=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #542083=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #542084=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #542085=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #542086=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #542087=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #542088=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #542089=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.035)); #542090=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #542091=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #542092=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #542093=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #542094=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #542095=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.035)); #542096=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #542097=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #542098=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #542099=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #542100=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #542101=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.035)); #542102=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #542103=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #542104=CARTESIAN_POINT('Origin',(30.6,15.25,0.07)); #542105=CARTESIAN_POINT('Origin',(30.6,15.25,0.035)); #542106=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.035)); #542107=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #542108=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #542109=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #542110=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #542111=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #542112=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #542113=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #542114=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #542115=CARTESIAN_POINT('Origin',(36.8189,59.8055,0.035)); #542116=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #542117=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #542118=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #542119=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #542120=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #542121=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.035)); #542122=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #542123=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #542124=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #542125=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #542126=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #542127=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.035)); #542128=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #542129=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #542130=CARTESIAN_POINT('Origin',(37.238,60.25,0.07)); #542131=CARTESIAN_POINT('Origin',(37.238,60.25,0.035)); #542132=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.035)); #542133=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #542134=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #542135=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #542136=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #542137=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #542138=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #542139=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #542140=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #542141=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.035)); #542142=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #542143=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #542144=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #542145=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #542146=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #542147=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.035)); #542148=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #542149=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #542150=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #542151=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #542152=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #542153=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.035)); #542154=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #542155=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #542156=CARTESIAN_POINT('Origin',(37.4,15.75,0.07)); #542157=CARTESIAN_POINT('Origin',(37.4,15.75,0.035)); #542158=CARTESIAN_POINT('Origin',(38.9311,56.5555,0.035)); #542159=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #542160=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #542161=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #542162=CARTESIAN_POINT('',(38.9311,57.4445,0.07)); #542163=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #542164=CARTESIAN_POINT('',(38.9311,56.5555,0.07)); #542165=CARTESIAN_POINT('',(38.9311,56.5555,0.07)); #542166=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #542167=CARTESIAN_POINT('Origin',(38.0929,56.5555,0.035)); #542168=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #542169=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #542170=CARTESIAN_POINT('',(38.0929,56.5555,0.07)); #542171=CARTESIAN_POINT('',(38.0929,56.5555,0.07)); #542172=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #542173=CARTESIAN_POINT('Origin',(38.0929,57.4445,0.035)); #542174=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #542175=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #542176=CARTESIAN_POINT('',(38.0929,57.4445,0.07)); #542177=CARTESIAN_POINT('',(38.0929,57.4445,0.07)); #542178=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #542179=CARTESIAN_POINT('Origin',(38.9311,57.4445,0.035)); #542180=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #542181=CARTESIAN_POINT('',(38.9311,57.4445,0.07)); #542182=CARTESIAN_POINT('Origin',(38.512,57.,0.07)); #542183=CARTESIAN_POINT('Origin',(38.512,57.,0.035)); #542184=CARTESIAN_POINT('Origin',(20.6571,20.5555,0.035)); #542185=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #542186=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #542187=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #542188=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #542189=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #542190=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #542191=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #542192=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #542193=CARTESIAN_POINT('Origin',(19.8189,20.5555,0.035)); #542194=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #542195=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #542196=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #542197=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #542198=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #542199=CARTESIAN_POINT('Origin',(19.8189,21.4445,0.035)); #542200=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #542201=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #542202=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #542203=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #542204=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #542205=CARTESIAN_POINT('Origin',(20.6571,21.4445,0.035)); #542206=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #542207=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #542208=CARTESIAN_POINT('Origin',(20.238,21.,0.07)); #542209=CARTESIAN_POINT('Origin',(20.238,21.,0.035)); #542210=CARTESIAN_POINT('Origin',(25.4445,29.3429,0.035)); #542211=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #542212=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #542213=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #542214=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #542215=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #542216=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #542217=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #542218=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #542219=CARTESIAN_POINT('Origin',(24.5555,29.3429,0.035)); #542220=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #542221=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #542222=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #542223=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #542224=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #542225=CARTESIAN_POINT('Origin',(24.5555,30.1811,0.035)); #542226=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #542227=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #542228=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #542229=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #542230=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #542231=CARTESIAN_POINT('Origin',(25.4445,30.1811,0.035)); #542232=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #542233=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #542234=CARTESIAN_POINT('Origin',(25.,29.762,0.07)); #542235=CARTESIAN_POINT('Origin',(25.,29.762,0.035)); #542236=CARTESIAN_POINT('Origin',(47.3622,11.4245,0.035)); #542237=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #542238=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #542239=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #542240=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #542241=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #542242=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #542243=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #542244=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #542245=CARTESIAN_POINT('Origin',(45.6858,11.4245,0.035)); #542246=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #542247=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #542248=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #542249=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #542250=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #542251=CARTESIAN_POINT('Origin',(45.6858,13.0755,0.035)); #542252=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #542253=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #542254=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #542255=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #542256=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #542257=CARTESIAN_POINT('Origin',(47.3622,13.0755,0.035)); #542258=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #542259=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #542260=CARTESIAN_POINT('Origin',(46.524,12.25,0.07)); #542261=CARTESIAN_POINT('Origin',(46.524,12.25,0.035)); #542262=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #542263=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #542264=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #542265=CARTESIAN_POINT('',(12.61,21.,0.0700000000000145)); #542266=CARTESIAN_POINT('',(12.61,21.,-200.)); #542267=CARTESIAN_POINT('Origin',(12.75,21.,0.0700000000000145)); #542268=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #542269=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #542270=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #542271=CARTESIAN_POINT('',(11.86,21.,0.0700000000000145)); #542272=CARTESIAN_POINT('',(11.86,21.,-200.)); #542273=CARTESIAN_POINT('Origin',(12.,21.,0.0700000000000145)); #542274=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #542275=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #542276=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #542277=CARTESIAN_POINT('',(11.86,20.25,0.0700000000000145)); #542278=CARTESIAN_POINT('',(11.86,20.25,-200.)); #542279=CARTESIAN_POINT('Origin',(12.,20.25,0.0700000000000145)); #542280=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #542281=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #542282=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #542283=CARTESIAN_POINT('',(12.61,21.75,0.0700000000000145)); #542284=CARTESIAN_POINT('',(12.61,21.75,-200.)); #542285=CARTESIAN_POINT('Origin',(12.75,21.75,0.0700000000000145)); #542286=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #542287=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #542288=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #542289=CARTESIAN_POINT('',(12.61,20.25,0.0700000000000145)); #542290=CARTESIAN_POINT('',(12.61,20.25,-200.)); #542291=CARTESIAN_POINT('Origin',(12.75,20.25,0.0700000000000145)); #542292=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #542293=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #542294=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #542295=CARTESIAN_POINT('',(11.86,21.75,0.0700000000000145)); #542296=CARTESIAN_POINT('',(11.86,21.75,-200.)); #542297=CARTESIAN_POINT('Origin',(12.,21.75,0.0700000000000145)); #542298=CARTESIAN_POINT('Origin',(13.3142,19.6665,0.035)); #542299=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #542300=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #542301=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #542302=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #542303=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #542304=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #542305=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #542306=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #542307=CARTESIAN_POINT('Origin',(11.6378,19.6665,0.035)); #542308=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #542309=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #542310=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #542311=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #542312=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #542313=CARTESIAN_POINT('Origin',(11.6378,22.3335,0.035)); #542314=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #542315=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #542316=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #542317=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #542318=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #542319=CARTESIAN_POINT('Origin',(13.3142,22.3335,0.035)); #542320=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #542321=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #542322=CARTESIAN_POINT('Origin',(12.476,21.,0.07)); #542323=CARTESIAN_POINT('Origin',(12.476,21.,0.035)); #542324=CARTESIAN_POINT('Origin',(40.1971,30.4031,0.035)); #542325=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #542326=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #542327=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #542328=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #542329=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #542330=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #542331=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #542332=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #542333=CARTESIAN_POINT('Origin',(39.0033,30.4031,0.035)); #542334=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #542335=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #542336=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #542337=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #542338=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #542339=CARTESIAN_POINT('Origin',(39.0033,31.5969,0.035)); #542340=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #542341=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #542342=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #542343=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #542344=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #542345=CARTESIAN_POINT('Origin',(40.1971,31.5969,0.035)); #542346=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #542347=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #542348=CARTESIAN_POINT('Origin',(39.6002,31.,0.07)); #542349=CARTESIAN_POINT('Origin',(39.6002,31.,0.035)); #542350=CARTESIAN_POINT('Origin',(30.9125,17.1125,0.035)); #542351=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #542352=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #542353=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #542354=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #542355=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #542356=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #542357=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #542358=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #542359=CARTESIAN_POINT('Origin',(30.2875,17.1125,0.035)); #542360=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #542361=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #542362=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #542363=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #542364=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #542365=CARTESIAN_POINT('Origin',(30.2875,17.3875,0.035)); #542366=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #542367=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #542368=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #542369=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #542370=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #542371=CARTESIAN_POINT('Origin',(30.9125,17.3875,0.035)); #542372=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #542373=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #542374=CARTESIAN_POINT('Origin',(30.6,17.25,0.07)); #542375=CARTESIAN_POINT('Origin',(30.6,17.25,0.035)); #542376=CARTESIAN_POINT('Origin',(44.6571,25.5555,0.035)); #542377=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #542378=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #542379=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #542380=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #542381=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #542382=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #542383=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #542384=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #542385=CARTESIAN_POINT('Origin',(43.8189,25.5555,0.035)); #542386=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #542387=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #542388=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #542389=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #542390=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #542391=CARTESIAN_POINT('Origin',(43.8189,26.4445,0.035)); #542392=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #542393=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #542394=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #542395=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #542396=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #542397=CARTESIAN_POINT('Origin',(44.6571,26.4445,0.035)); #542398=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #542399=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #542400=CARTESIAN_POINT('Origin',(44.238,26.,0.07)); #542401=CARTESIAN_POINT('Origin',(44.238,26.,0.035)); #542402=CARTESIAN_POINT('Origin',(24.6571,17.5555,0.035)); #542403=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #542404=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #542405=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #542406=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #542407=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #542408=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #542409=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #542410=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #542411=CARTESIAN_POINT('Origin',(23.8189,17.5555,0.035)); #542412=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #542413=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #542414=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #542415=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #542416=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #542417=CARTESIAN_POINT('Origin',(23.8189,18.4445,0.035)); #542418=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #542419=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #542420=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #542421=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #542422=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #542423=CARTESIAN_POINT('Origin',(24.6571,18.4445,0.035)); #542424=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #542425=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #542426=CARTESIAN_POINT('Origin',(24.238,18.,0.07)); #542427=CARTESIAN_POINT('Origin',(24.238,18.,0.035)); #542428=CARTESIAN_POINT('Origin',(21.4445,29.3429,0.035)); #542429=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #542430=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #542431=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #542432=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #542433=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #542434=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #542435=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #542436=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #542437=CARTESIAN_POINT('Origin',(20.5555,29.3429,0.035)); #542438=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #542439=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #542440=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #542441=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #542442=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #542443=CARTESIAN_POINT('Origin',(20.5555,30.1811,0.035)); #542444=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #542445=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #542446=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #542447=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #542448=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #542449=CARTESIAN_POINT('Origin',(21.4445,30.1811,0.035)); #542450=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #542451=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #542452=CARTESIAN_POINT('Origin',(21.,29.762,0.07)); #542453=CARTESIAN_POINT('Origin',(21.,29.762,0.035)); #542454=CARTESIAN_POINT('Origin',(20.6571,14.5555,0.035)); #542455=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #542456=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #542457=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #542458=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #542459=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #542460=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #542461=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #542462=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #542463=CARTESIAN_POINT('Origin',(19.8189,14.5555,0.035)); #542464=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #542465=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #542466=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #542467=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #542468=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #542469=CARTESIAN_POINT('Origin',(19.8189,15.4445,0.035)); #542470=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #542471=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #542472=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #542473=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #542474=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #542475=CARTESIAN_POINT('Origin',(20.6571,15.4445,0.035)); #542476=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #542477=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #542478=CARTESIAN_POINT('Origin',(20.238,15.,0.07)); #542479=CARTESIAN_POINT('Origin',(20.238,15.,0.035)); #542480=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #542481=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #542482=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #542483=CARTESIAN_POINT('',(55.11,21.75,0.0700000000000145)); #542484=CARTESIAN_POINT('',(55.11,21.75,-200.)); #542485=CARTESIAN_POINT('Origin',(55.25,21.75,0.0700000000000145)); #542486=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #542487=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #542488=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #542489=CARTESIAN_POINT('',(55.11,21.,0.0700000000000145)); #542490=CARTESIAN_POINT('',(55.11,21.,-200.)); #542491=CARTESIAN_POINT('Origin',(55.25,21.,0.0700000000000145)); #542492=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #542493=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #542494=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #542495=CARTESIAN_POINT('',(55.86,20.25,0.0700000000000145)); #542496=CARTESIAN_POINT('',(55.86,20.25,-200.)); #542497=CARTESIAN_POINT('Origin',(56.,20.25,0.0700000000000145)); #542498=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #542499=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #542500=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #542501=CARTESIAN_POINT('',(55.86,21.75,0.0700000000000145)); #542502=CARTESIAN_POINT('',(55.86,21.75,-200.)); #542503=CARTESIAN_POINT('Origin',(56.,21.75,0.0700000000000145)); #542504=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #542505=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #542506=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #542507=CARTESIAN_POINT('',(55.86,21.,0.0700000000000145)); #542508=CARTESIAN_POINT('',(55.86,21.,-200.)); #542509=CARTESIAN_POINT('Origin',(56.,21.,0.0700000000000145)); #542510=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #542511=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #542512=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #542513=CARTESIAN_POINT('',(55.11,20.25,0.0700000000000145)); #542514=CARTESIAN_POINT('',(55.11,20.25,-200.)); #542515=CARTESIAN_POINT('Origin',(55.25,20.25,0.0700000000000145)); #542516=CARTESIAN_POINT('Origin',(56.3622,19.6665,0.035)); #542517=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #542518=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #542519=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #542520=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #542521=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #542522=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #542523=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #542524=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #542525=CARTESIAN_POINT('Origin',(54.6858,19.6665,0.035)); #542526=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #542527=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #542528=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #542529=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #542530=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #542531=CARTESIAN_POINT('Origin',(54.6858,22.3335,0.035)); #542532=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #542533=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #542534=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #542535=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #542536=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #542537=CARTESIAN_POINT('Origin',(56.3622,22.3335,0.035)); #542538=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #542539=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #542540=CARTESIAN_POINT('Origin',(55.524,21.,0.07)); #542541=CARTESIAN_POINT('Origin',(55.524,21.,0.035)); #542542=CARTESIAN_POINT('Origin',(24.6571,14.5555,0.035)); #542543=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #542544=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #542545=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #542546=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #542547=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #542548=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #542549=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #542550=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #542551=CARTESIAN_POINT('Origin',(23.8189,14.5555,0.035)); #542552=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #542553=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #542554=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #542555=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #542556=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #542557=CARTESIAN_POINT('Origin',(23.8189,15.4445,0.035)); #542558=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #542559=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #542560=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #542561=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #542562=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #542563=CARTESIAN_POINT('Origin',(24.6571,15.4445,0.035)); #542564=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #542565=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #542566=CARTESIAN_POINT('Origin',(24.238,15.,0.07)); #542567=CARTESIAN_POINT('Origin',(24.238,15.,0.035)); #542568=CARTESIAN_POINT('Origin',(29.9071,56.5555,0.035)); #542569=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #542570=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #542571=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #542572=CARTESIAN_POINT('',(29.9071,57.4445,0.07)); #542573=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #542574=CARTESIAN_POINT('',(29.9071,56.5555,0.07)); #542575=CARTESIAN_POINT('',(29.9071,56.5555,0.07)); #542576=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #542577=CARTESIAN_POINT('Origin',(29.0689,56.5555,0.035)); #542578=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #542579=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #542580=CARTESIAN_POINT('',(29.0689,56.5555,0.07)); #542581=CARTESIAN_POINT('',(29.0689,56.5555,0.07)); #542582=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #542583=CARTESIAN_POINT('Origin',(29.0689,57.4445,0.035)); #542584=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #542585=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #542586=CARTESIAN_POINT('',(29.0689,57.4445,0.07)); #542587=CARTESIAN_POINT('',(29.0689,57.4445,0.07)); #542588=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #542589=CARTESIAN_POINT('Origin',(29.9071,57.4445,0.035)); #542590=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #542591=CARTESIAN_POINT('',(29.9071,57.4445,0.07)); #542592=CARTESIAN_POINT('Origin',(29.488,57.,0.07)); #542593=CARTESIAN_POINT('Origin',(29.488,57.,0.035)); #542594=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #542595=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #542596=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #542597=CARTESIAN_POINT('',(32.36,18.5,0.0700000000000145)); #542598=CARTESIAN_POINT('',(32.36,18.5,-200.)); #542599=CARTESIAN_POINT('Origin',(32.5,18.5,0.0700000000000145)); #542600=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #542601=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #542602=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #542603=CARTESIAN_POINT('',(33.11,18.5,0.0700000000000145)); #542604=CARTESIAN_POINT('',(33.11,18.5,-200.)); #542605=CARTESIAN_POINT('Origin',(33.25,18.5,0.0700000000000145)); #542606=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #542607=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #542608=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #542609=CARTESIAN_POINT('',(34.61,18.5,0.0700000000000145)); #542610=CARTESIAN_POINT('',(34.61,18.5,-200.)); #542611=CARTESIAN_POINT('Origin',(34.75,18.5,0.0700000000000145)); #542612=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #542613=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #542614=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #542615=CARTESIAN_POINT('',(33.86,18.5,0.0700000000000145)); #542616=CARTESIAN_POINT('',(33.86,18.5,-200.)); #542617=CARTESIAN_POINT('Origin',(34.,18.5,0.0700000000000145)); #542618=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #542619=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #542620=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #542621=CARTESIAN_POINT('',(34.61,16.25,0.0700000000000145)); #542622=CARTESIAN_POINT('',(34.61,16.25,-200.)); #542623=CARTESIAN_POINT('Origin',(34.75,16.25,0.0700000000000145)); #542624=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #542625=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #542626=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #542627=CARTESIAN_POINT('',(31.61,18.5,0.0700000000000145)); #542628=CARTESIAN_POINT('',(31.61,18.5,-200.)); #542629=CARTESIAN_POINT('Origin',(31.75,18.5,0.0700000000000145)); #542630=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #542631=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #542632=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #542633=CARTESIAN_POINT('',(33.11,16.25,0.0700000000000145)); #542634=CARTESIAN_POINT('',(33.11,16.25,-200.)); #542635=CARTESIAN_POINT('Origin',(33.25,16.25,0.0700000000000145)); #542636=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #542637=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #542638=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #542639=CARTESIAN_POINT('',(31.61,19.25,0.0700000000000145)); #542640=CARTESIAN_POINT('',(31.61,19.25,-200.)); #542641=CARTESIAN_POINT('Origin',(31.75,19.25,0.0700000000000145)); #542642=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #542643=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #542644=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #542645=CARTESIAN_POINT('',(35.36,17.75,0.0700000000000145)); #542646=CARTESIAN_POINT('',(35.36,17.75,-200.)); #542647=CARTESIAN_POINT('Origin',(35.5,17.75,0.0700000000000145)); #542648=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #542649=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #542650=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #542651=CARTESIAN_POINT('',(35.36,18.5,0.0700000000000145)); #542652=CARTESIAN_POINT('',(35.36,18.5,-200.)); #542653=CARTESIAN_POINT('Origin',(35.5,18.5,0.0700000000000145)); #542654=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #542655=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #542656=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #542657=CARTESIAN_POINT('',(34.61,14.75,0.0700000000000145)); #542658=CARTESIAN_POINT('',(34.61,14.75,-200.)); #542659=CARTESIAN_POINT('Origin',(34.75,14.75,0.0700000000000145)); #542660=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #542661=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #542662=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #542663=CARTESIAN_POINT('',(34.61,17.,0.0700000000000145)); #542664=CARTESIAN_POINT('',(34.61,17.,-200.)); #542665=CARTESIAN_POINT('Origin',(34.75,17.,0.0700000000000145)); #542666=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #542667=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #542668=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #542669=CARTESIAN_POINT('',(35.36,14.75,0.0700000000000145)); #542670=CARTESIAN_POINT('',(35.36,14.75,-200.)); #542671=CARTESIAN_POINT('Origin',(35.5,14.75,0.0700000000000145)); #542672=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #542673=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #542674=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #542675=CARTESIAN_POINT('',(36.11,15.5,0.0700000000000145)); #542676=CARTESIAN_POINT('',(36.11,15.5,-200.)); #542677=CARTESIAN_POINT('Origin',(36.25,15.5,0.0700000000000145)); #542678=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #542679=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #542680=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #542681=CARTESIAN_POINT('',(33.86,17.75,0.0700000000000145)); #542682=CARTESIAN_POINT('',(33.86,17.75,-200.)); #542683=CARTESIAN_POINT('Origin',(34.,17.75,0.0700000000000145)); #542684=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #542685=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #542686=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #542687=CARTESIAN_POINT('',(36.11,14.75,0.0700000000000145)); #542688=CARTESIAN_POINT('',(36.11,14.75,-200.)); #542689=CARTESIAN_POINT('Origin',(36.25,14.75,0.0700000000000145)); #542690=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #542691=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #542692=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #542693=CARTESIAN_POINT('',(36.11,17.75,0.0700000000000145)); #542694=CARTESIAN_POINT('',(36.11,17.75,-200.)); #542695=CARTESIAN_POINT('Origin',(36.25,17.75,0.0700000000000145)); #542696=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #542697=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #542698=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #542699=CARTESIAN_POINT('',(36.11,18.5,0.0700000000000145)); #542700=CARTESIAN_POINT('',(36.11,18.5,-200.)); #542701=CARTESIAN_POINT('Origin',(36.25,18.5,0.0700000000000145)); #542702=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #542703=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #542704=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #542705=CARTESIAN_POINT('',(36.11,17.,0.0700000000000145)); #542706=CARTESIAN_POINT('',(36.11,17.,-200.)); #542707=CARTESIAN_POINT('Origin',(36.25,17.,0.0700000000000145)); #542708=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #542709=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #542710=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #542711=CARTESIAN_POINT('',(33.86,17.,0.0700000000000145)); #542712=CARTESIAN_POINT('',(33.86,17.,-200.)); #542713=CARTESIAN_POINT('Origin',(34.,17.,0.0700000000000145)); #542714=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #542715=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #542716=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #542717=CARTESIAN_POINT('',(36.11,16.25,0.0700000000000145)); #542718=CARTESIAN_POINT('',(36.11,16.25,-200.)); #542719=CARTESIAN_POINT('Origin',(36.25,16.25,0.0700000000000145)); #542720=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #542721=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #542722=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #542723=CARTESIAN_POINT('',(31.61,14.75,0.0700000000000145)); #542724=CARTESIAN_POINT('',(31.61,14.75,-200.)); #542725=CARTESIAN_POINT('Origin',(31.75,14.75,0.0700000000000145)); #542726=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #542727=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #542728=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #542729=CARTESIAN_POINT('',(33.11,17.,0.0700000000000145)); #542730=CARTESIAN_POINT('',(33.11,17.,-200.)); #542731=CARTESIAN_POINT('Origin',(33.25,17.,0.0700000000000145)); #542732=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #542733=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #542734=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #542735=CARTESIAN_POINT('',(32.36,14.75,0.0700000000000145)); #542736=CARTESIAN_POINT('',(32.36,14.75,-200.)); #542737=CARTESIAN_POINT('Origin',(32.5,14.75,0.0700000000000145)); #542738=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #542739=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #542740=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #542741=CARTESIAN_POINT('',(33.86,14.75,0.0700000000000145)); #542742=CARTESIAN_POINT('',(33.86,14.75,-200.)); #542743=CARTESIAN_POINT('Origin',(34.,14.75,0.0700000000000145)); #542744=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #542745=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #542746=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #542747=CARTESIAN_POINT('',(33.86,16.25,0.0700000000000145)); #542748=CARTESIAN_POINT('',(33.86,16.25,-200.)); #542749=CARTESIAN_POINT('Origin',(34.,16.25,0.0700000000000145)); #542750=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #542751=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #542752=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #542753=CARTESIAN_POINT('',(33.11,14.75,0.0700000000000145)); #542754=CARTESIAN_POINT('',(33.11,14.75,-200.)); #542755=CARTESIAN_POINT('Origin',(33.25,14.75,0.0700000000000145)); #542756=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #542757=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #542758=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #542759=CARTESIAN_POINT('',(31.61,16.25,0.0700000000000145)); #542760=CARTESIAN_POINT('',(31.61,16.25,-200.)); #542761=CARTESIAN_POINT('Origin',(31.75,16.25,0.0700000000000145)); #542762=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #542763=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #542764=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #542765=CARTESIAN_POINT('',(34.61,17.75,0.0700000000000145)); #542766=CARTESIAN_POINT('',(34.61,17.75,-200.)); #542767=CARTESIAN_POINT('Origin',(34.75,17.75,0.0700000000000145)); #542768=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #542769=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #542770=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #542771=CARTESIAN_POINT('',(31.61,15.5,0.0700000000000145)); #542772=CARTESIAN_POINT('',(31.61,15.5,-200.)); #542773=CARTESIAN_POINT('Origin',(31.75,15.5,0.0700000000000145)); #542774=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #542775=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #542776=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #542777=CARTESIAN_POINT('',(31.61,17.,0.0700000000000145)); #542778=CARTESIAN_POINT('',(31.61,17.,-200.)); #542779=CARTESIAN_POINT('Origin',(31.75,17.,0.0700000000000145)); #542780=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #542781=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #542782=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #542783=CARTESIAN_POINT('',(33.11,17.75,0.0700000000000145)); #542784=CARTESIAN_POINT('',(33.11,17.75,-200.)); #542785=CARTESIAN_POINT('Origin',(33.25,17.75,0.0700000000000145)); #542786=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #542787=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #542788=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #542789=CARTESIAN_POINT('',(31.61,17.75,0.0700000000000145)); #542790=CARTESIAN_POINT('',(31.61,17.75,-200.)); #542791=CARTESIAN_POINT('Origin',(31.75,17.75,0.0700000000000145)); #542792=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #542793=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #542794=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #542795=CARTESIAN_POINT('',(35.36,15.5,0.0700000000000145)); #542796=CARTESIAN_POINT('',(35.36,15.5,-200.)); #542797=CARTESIAN_POINT('Origin',(35.5,15.5,0.0700000000000145)); #542798=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #542799=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #542800=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #542801=CARTESIAN_POINT('',(34.61,15.5,0.0700000000000145)); #542802=CARTESIAN_POINT('',(34.61,15.5,-200.)); #542803=CARTESIAN_POINT('Origin',(34.75,15.5,0.0700000000000145)); #542804=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #542805=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #542806=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #542807=CARTESIAN_POINT('',(33.11,15.5,0.0700000000000145)); #542808=CARTESIAN_POINT('',(33.11,15.5,-200.)); #542809=CARTESIAN_POINT('Origin',(33.25,15.5,0.0700000000000145)); #542810=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #542811=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #542812=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #542813=CARTESIAN_POINT('',(33.86,15.5,0.0700000000000145)); #542814=CARTESIAN_POINT('',(33.86,15.5,-200.)); #542815=CARTESIAN_POINT('Origin',(34.,15.5,0.0700000000000145)); #542816=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #542817=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #542818=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #542819=CARTESIAN_POINT('',(32.36,17.,0.0700000000000145)); #542820=CARTESIAN_POINT('',(32.36,17.,-200.)); #542821=CARTESIAN_POINT('Origin',(32.5,17.,0.0700000000000145)); #542822=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #542823=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #542824=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #542825=CARTESIAN_POINT('',(32.36,17.75,0.0700000000000145)); #542826=CARTESIAN_POINT('',(32.36,17.75,-200.)); #542827=CARTESIAN_POINT('Origin',(32.5,17.75,0.0700000000000145)); #542828=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #542829=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #542830=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #542831=CARTESIAN_POINT('',(32.36,16.25,0.0700000000000145)); #542832=CARTESIAN_POINT('',(32.36,16.25,-200.)); #542833=CARTESIAN_POINT('Origin',(32.5,16.25,0.0700000000000145)); #542834=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #542835=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #542836=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #542837=CARTESIAN_POINT('',(32.36,15.5,0.0700000000000145)); #542838=CARTESIAN_POINT('',(32.36,15.5,-200.)); #542839=CARTESIAN_POINT('Origin',(32.5,15.5,0.0700000000000145)); #542840=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #542841=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #542842=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #542843=CARTESIAN_POINT('',(33.11,19.25,0.0700000000000145)); #542844=CARTESIAN_POINT('',(33.11,19.25,-200.)); #542845=CARTESIAN_POINT('Origin',(33.25,19.25,0.0700000000000145)); #542846=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #542847=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #542848=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #542849=CARTESIAN_POINT('',(32.36,19.25,0.0700000000000145)); #542850=CARTESIAN_POINT('',(32.36,19.25,-200.)); #542851=CARTESIAN_POINT('Origin',(32.5,19.25,0.0700000000000145)); #542852=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #542853=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #542854=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #542855=CARTESIAN_POINT('',(35.36,16.25,0.0700000000000145)); #542856=CARTESIAN_POINT('',(35.36,16.25,-200.)); #542857=CARTESIAN_POINT('Origin',(35.5,16.25,0.0700000000000145)); #542858=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #542859=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #542860=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #542861=CARTESIAN_POINT('',(35.36,17.,0.0700000000000145)); #542862=CARTESIAN_POINT('',(35.36,17.,-200.)); #542863=CARTESIAN_POINT('Origin',(35.5,17.,0.0700000000000145)); #542864=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #542865=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #542866=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #542867=CARTESIAN_POINT('',(34.61,19.25,0.0700000000000145)); #542868=CARTESIAN_POINT('',(34.61,19.25,-200.)); #542869=CARTESIAN_POINT('Origin',(34.75,19.25,0.0700000000000145)); #542870=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #542871=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #542872=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #542873=CARTESIAN_POINT('',(33.86,19.25,0.0700000000000145)); #542874=CARTESIAN_POINT('',(33.86,19.25,-200.)); #542875=CARTESIAN_POINT('Origin',(34.,19.25,0.0700000000000145)); #542876=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #542877=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #542878=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #542879=CARTESIAN_POINT('',(35.36,19.25,0.0700000000000145)); #542880=CARTESIAN_POINT('',(35.36,19.25,-200.)); #542881=CARTESIAN_POINT('Origin',(35.5,19.25,0.0700000000000145)); #542882=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #542883=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #542884=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #542885=CARTESIAN_POINT('',(36.11,19.25,0.0700000000000145)); #542886=CARTESIAN_POINT('',(36.11,19.25,-200.)); #542887=CARTESIAN_POINT('Origin',(36.25,19.25,0.0700000000000145)); #542888=CARTESIAN_POINT('Origin',(36.7,14.3,0.035)); #542889=CARTESIAN_POINT('',(36.7,14.3,0.035)); #542890=CARTESIAN_POINT('',(36.7,19.7,0.035)); #542891=CARTESIAN_POINT('',(36.7,14.3,0.035)); #542892=CARTESIAN_POINT('',(36.7,19.7,0.07)); #542893=CARTESIAN_POINT('',(36.7,19.7,0.035)); #542894=CARTESIAN_POINT('',(36.7,14.3,0.07)); #542895=CARTESIAN_POINT('',(36.7,14.3,0.07)); #542896=CARTESIAN_POINT('',(36.7,14.3,0.035)); #542897=CARTESIAN_POINT('Origin',(31.3,14.3,0.035)); #542898=CARTESIAN_POINT('',(31.3,14.3,0.035)); #542899=CARTESIAN_POINT('',(31.3,14.3,0.035)); #542900=CARTESIAN_POINT('',(31.3,14.3,0.07)); #542901=CARTESIAN_POINT('',(31.3,14.3,0.07)); #542902=CARTESIAN_POINT('',(31.3,14.3,0.035)); #542903=CARTESIAN_POINT('Origin',(31.3,19.7,0.035)); #542904=CARTESIAN_POINT('',(31.3,19.7,0.035)); #542905=CARTESIAN_POINT('',(31.3,19.7,0.035)); #542906=CARTESIAN_POINT('',(31.3,19.7,0.07)); #542907=CARTESIAN_POINT('',(31.3,19.7,0.07)); #542908=CARTESIAN_POINT('',(31.3,19.7,0.035)); #542909=CARTESIAN_POINT('Origin',(36.7,19.7,0.035)); #542910=CARTESIAN_POINT('',(36.7,19.7,0.035)); #542911=CARTESIAN_POINT('',(36.7,19.7,0.07)); #542912=CARTESIAN_POINT('Origin',(34.,17.,0.07)); #542913=CARTESIAN_POINT('Origin',(34.,17.,0.035)); #542914=CARTESIAN_POINT('Origin',(56.3622,24.6665,0.035)); #542915=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #542916=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #542917=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #542918=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #542919=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #542920=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #542921=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #542922=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #542923=CARTESIAN_POINT('Origin',(54.6858,24.6665,0.035)); #542924=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #542925=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #542926=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #542927=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #542928=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #542929=CARTESIAN_POINT('Origin',(54.6858,27.3335,0.035)); #542930=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #542931=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #542932=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #542933=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #542934=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #542935=CARTESIAN_POINT('Origin',(56.3622,27.3335,0.035)); #542936=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #542937=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #542938=CARTESIAN_POINT('Origin',(55.524,26.,0.07)); #542939=CARTESIAN_POINT('Origin',(55.524,26.,0.035)); #542940=CARTESIAN_POINT('Origin',(24.6571,20.5555,0.035)); #542941=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #542942=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #542943=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #542944=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #542945=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #542946=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #542947=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #542948=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #542949=CARTESIAN_POINT('Origin',(23.8189,20.5555,0.035)); #542950=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #542951=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #542952=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #542953=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #542954=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #542955=CARTESIAN_POINT('Origin',(23.8189,21.4445,0.035)); #542956=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #542957=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #542958=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #542959=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #542960=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #542961=CARTESIAN_POINT('Origin',(24.6571,21.4445,0.035)); #542962=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #542963=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #542964=CARTESIAN_POINT('Origin',(24.238,21.,0.07)); #542965=CARTESIAN_POINT('Origin',(24.238,21.,0.035)); #542966=CARTESIAN_POINT('Origin',(28.9967,30.4031,0.035)); #542967=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #542968=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #542969=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #542970=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #542971=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #542972=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #542973=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #542974=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #542975=CARTESIAN_POINT('Origin',(27.8029,30.4031,0.035)); #542976=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #542977=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #542978=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #542979=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #542980=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #542981=CARTESIAN_POINT('Origin',(27.8029,31.5969,0.035)); #542982=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #542983=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #542984=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #542985=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #542986=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #542987=CARTESIAN_POINT('Origin',(28.9967,31.5969,0.035)); #542988=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #542989=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #542990=CARTESIAN_POINT('Origin',(28.3998,31.,0.07)); #542991=CARTESIAN_POINT('Origin',(28.3998,31.,0.035)); #542992=CARTESIAN_POINT('Origin',(37.7125,19.1125,0.035)); #542993=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #542994=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #542995=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #542996=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #542997=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #542998=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #542999=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #543000=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #543001=CARTESIAN_POINT('Origin',(37.0875,19.1125,0.035)); #543002=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #543003=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #543004=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #543005=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #543006=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #543007=CARTESIAN_POINT('Origin',(37.0875,19.3875,0.035)); #543008=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #543009=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #543010=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #543011=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #543012=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #543013=CARTESIAN_POINT('Origin',(37.7125,19.3875,0.035)); #543014=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #543015=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #543016=CARTESIAN_POINT('Origin',(37.4,19.25,0.07)); #543017=CARTESIAN_POINT('Origin',(37.4,19.25,0.035)); #543018=CARTESIAN_POINT('Origin',(13.3142,24.6665,0.035)); #543019=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #543020=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #543021=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #543022=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #543023=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #543024=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #543025=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #543026=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #543027=CARTESIAN_POINT('Origin',(11.6378,24.6665,0.035)); #543028=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #543029=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #543030=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #543031=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #543032=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #543033=CARTESIAN_POINT('Origin',(11.6378,27.3335,0.035)); #543034=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #543035=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #543036=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #543037=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #543038=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #543039=CARTESIAN_POINT('Origin',(13.3142,27.3335,0.035)); #543040=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #543041=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #543042=CARTESIAN_POINT('Origin',(12.476,26.,0.07)); #543043=CARTESIAN_POINT('Origin',(12.476,26.,0.035)); #543044=CARTESIAN_POINT('Origin',(20.6571,17.5555,0.035)); #543045=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #543046=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #543047=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #543048=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #543049=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #543050=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #543051=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #543052=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #543053=CARTESIAN_POINT('Origin',(19.8189,17.5555,0.035)); #543054=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #543055=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #543056=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #543057=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #543058=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #543059=CARTESIAN_POINT('Origin',(19.8189,18.4445,0.035)); #543060=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #543061=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #543062=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #543063=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #543064=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #543065=CARTESIAN_POINT('Origin',(20.6571,18.4445,0.035)); #543066=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #543067=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #543068=CARTESIAN_POINT('Origin',(20.238,18.,0.07)); #543069=CARTESIAN_POINT('Origin',(20.238,18.,0.035)); #543070=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.035)); #543071=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #543072=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #543073=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #543074=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #543075=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #543076=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #543077=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #543078=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #543079=CARTESIAN_POINT('Origin',(47.5555,39.8189,0.035)); #543080=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #543081=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #543082=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #543083=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #543084=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #543085=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.035)); #543086=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #543087=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #543088=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #543089=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #543090=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #543091=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.035)); #543092=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #543093=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #543094=CARTESIAN_POINT('Origin',(48.,40.238,0.07)); #543095=CARTESIAN_POINT('Origin',(48.,40.238,0.035)); #543096=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.035)); #543097=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #543098=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #543099=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #543100=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #543101=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #543102=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #543103=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #543104=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #543105=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.035)); #543106=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #543107=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #543108=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #543109=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #543110=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #543111=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.035)); #543112=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #543113=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #543114=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #543115=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #543116=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #543117=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.035)); #543118=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #543119=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #543120=CARTESIAN_POINT('Origin',(35.25,20.4,0.07)); #543121=CARTESIAN_POINT('Origin',(35.25,20.4,0.035)); #543122=CARTESIAN_POINT('Origin',(20.4445,39.8189,0.035)); #543123=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #543124=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #543125=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #543126=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #543127=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #543128=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #543129=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #543130=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #543131=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.035)); #543132=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #543133=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #543134=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #543135=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #543136=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #543137=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.035)); #543138=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #543139=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #543140=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #543141=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #543142=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #543143=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.035)); #543144=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #543145=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #543146=CARTESIAN_POINT('Origin',(20.,40.238,0.07)); #543147=CARTESIAN_POINT('Origin',(20.,40.238,0.035)); #543148=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.035)); #543149=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #543150=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #543151=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #543152=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #543153=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #543154=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #543155=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #543156=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #543157=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.035)); #543158=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #543159=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #543160=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #543161=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #543162=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #543163=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.035)); #543164=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #543165=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #543166=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #543167=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #543168=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #543169=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.035)); #543170=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #543171=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #543172=CARTESIAN_POINT('Origin',(31.75,20.4,0.07)); #543173=CARTESIAN_POINT('Origin',(31.75,20.4,0.035)); #543174=CARTESIAN_POINT('Origin',(22.1811,17.5555,0.035)); #543175=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #543176=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #543177=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #543178=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #543179=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #543180=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #543181=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #543182=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #543183=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.035)); #543184=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #543185=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #543186=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #543187=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #543188=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #543189=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.035)); #543190=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #543191=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #543192=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #543193=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #543194=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #543195=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.035)); #543196=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #543197=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #543198=CARTESIAN_POINT('Origin',(21.762,18.,0.07)); #543199=CARTESIAN_POINT('Origin',(21.762,18.,0.035)); #543200=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.035)); #543201=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #543202=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #543203=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #543204=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #543205=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #543206=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #543207=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #543208=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #543209=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.035)); #543210=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #543211=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #543212=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #543213=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #543214=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #543215=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.035)); #543216=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #543217=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #543218=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #543219=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #543220=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #543221=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.035)); #543222=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #543223=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #543224=CARTESIAN_POINT('Origin',(30.6,14.25,0.07)); #543225=CARTESIAN_POINT('Origin',(30.6,14.25,0.035)); #543226=CARTESIAN_POINT('Origin',(22.1811,14.5555,0.035)); #543227=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #543228=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #543229=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #543230=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #543231=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #543232=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #543233=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #543234=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #543235=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.035)); #543236=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #543237=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #543238=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #543239=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #543240=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #543241=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.035)); #543242=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #543243=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #543244=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #543245=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #543246=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #543247=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.035)); #543248=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #543249=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #543250=CARTESIAN_POINT('Origin',(21.762,15.,0.07)); #543251=CARTESIAN_POINT('Origin',(21.762,15.,0.035)); #543252=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.035)); #543253=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #543254=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #543255=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #543256=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #543257=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #543258=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #543259=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #543260=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #543261=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.035)); #543262=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #543263=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #543264=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #543265=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #543266=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #543267=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.035)); #543268=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #543269=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #543270=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #543271=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #543272=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #543273=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.035)); #543274=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #543275=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #543276=CARTESIAN_POINT('Origin',(36.25,13.6,0.07)); #543277=CARTESIAN_POINT('Origin',(36.25,13.6,0.035)); #543278=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.035)); #543279=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #543280=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #543281=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #543282=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #543283=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #543284=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #543285=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #543286=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #543287=CARTESIAN_POINT('Origin',(43.5555,39.8189,0.035)); #543288=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #543289=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #543290=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #543291=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #543292=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #543293=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.035)); #543294=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #543295=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #543296=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #543297=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #543298=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #543299=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.035)); #543300=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #543301=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #543302=CARTESIAN_POINT('Origin',(44.,40.238,0.07)); #543303=CARTESIAN_POINT('Origin',(44.,40.238,0.035)); #543304=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.035)); #543305=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #543306=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #543307=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #543308=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #543309=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #543310=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #543311=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #543312=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #543313=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.035)); #543314=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #543315=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #543316=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #543317=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #543318=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #543319=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.035)); #543320=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #543321=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #543322=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #543323=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #543324=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #543325=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.035)); #543326=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #543327=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #543328=CARTESIAN_POINT('Origin',(33.75,20.4,0.07)); #543329=CARTESIAN_POINT('Origin',(33.75,20.4,0.035)); #543330=CARTESIAN_POINT('Origin',(24.4445,39.8189,0.035)); #543331=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #543332=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #543333=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #543334=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #543335=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #543336=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #543337=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #543338=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #543339=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.035)); #543340=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #543341=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #543342=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #543343=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #543344=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #543345=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.035)); #543346=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #543347=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #543348=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #543349=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #543350=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #543351=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.035)); #543352=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #543353=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #543354=CARTESIAN_POINT('Origin',(24.,40.238,0.07)); #543355=CARTESIAN_POINT('Origin',(24.,40.238,0.035)); #543356=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.035)); #543357=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #543358=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #543359=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #543360=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #543361=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #543362=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #543363=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #543364=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #543365=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.035)); #543366=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #543367=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #543368=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #543369=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #543370=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #543371=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.035)); #543372=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #543373=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #543374=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #543375=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #543376=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #543377=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.035)); #543378=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #543379=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #543380=CARTESIAN_POINT('Origin',(33.25,20.4,0.07)); #543381=CARTESIAN_POINT('Origin',(33.25,20.4,0.035)); #543382=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #543383=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #543384=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #543385=CARTESIAN_POINT('',(38.372,53.,0.0700000000000145)); #543386=CARTESIAN_POINT('',(38.372,53.,-200.)); #543387=CARTESIAN_POINT('Origin',(38.512,53.,0.0700000000000145)); #543388=CARTESIAN_POINT('Origin',(38.9311,52.5555,0.035)); #543389=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #543390=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #543391=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #543392=CARTESIAN_POINT('',(38.9311,53.4445,0.07)); #543393=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #543394=CARTESIAN_POINT('',(38.9311,52.5555,0.07)); #543395=CARTESIAN_POINT('',(38.9311,52.5555,0.07)); #543396=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #543397=CARTESIAN_POINT('Origin',(38.0929,52.5555,0.035)); #543398=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #543399=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #543400=CARTESIAN_POINT('',(38.0929,52.5555,0.07)); #543401=CARTESIAN_POINT('',(38.0929,52.5555,0.07)); #543402=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #543403=CARTESIAN_POINT('Origin',(38.0929,53.4445,0.035)); #543404=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #543405=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #543406=CARTESIAN_POINT('',(38.0929,53.4445,0.07)); #543407=CARTESIAN_POINT('',(38.0929,53.4445,0.07)); #543408=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #543409=CARTESIAN_POINT('Origin',(38.9311,53.4445,0.035)); #543410=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #543411=CARTESIAN_POINT('',(38.9311,53.4445,0.07)); #543412=CARTESIAN_POINT('Origin',(38.512,53.,0.07)); #543413=CARTESIAN_POINT('Origin',(38.512,53.,0.035)); #543414=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.035)); #543415=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #543416=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #543417=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #543418=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #543419=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #543420=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #543421=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #543422=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #543423=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.035)); #543424=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #543425=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #543426=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #543427=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #543428=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #543429=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.035)); #543430=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #543431=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #543432=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #543433=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #543434=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #543435=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.035)); #543436=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #543437=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #543438=CARTESIAN_POINT('Origin',(34.25,20.4,0.07)); #543439=CARTESIAN_POINT('Origin',(34.25,20.4,0.035)); #543440=CARTESIAN_POINT('Origin',(37.6571,37.5555,0.035)); #543441=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #543442=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #543443=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #543444=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #543445=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #543446=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #543447=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #543448=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #543449=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.035)); #543450=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #543451=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #543452=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #543453=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #543454=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #543455=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.035)); #543456=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #543457=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #543458=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #543459=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #543460=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #543461=CARTESIAN_POINT('Origin',(37.6571,38.4445,0.035)); #543462=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #543463=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #543464=CARTESIAN_POINT('Origin',(37.238,38.,0.07)); #543465=CARTESIAN_POINT('Origin',(37.238,38.,0.035)); #543466=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #543467=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #543468=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #543469=CARTESIAN_POINT('',(29.348,53.,0.0700000000000145)); #543470=CARTESIAN_POINT('',(29.348,53.,-200.)); #543471=CARTESIAN_POINT('Origin',(29.488,53.,0.0700000000000145)); #543472=CARTESIAN_POINT('Origin',(29.9071,52.5555,0.035)); #543473=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #543474=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #543475=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #543476=CARTESIAN_POINT('',(29.9071,53.4445,0.07)); #543477=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #543478=CARTESIAN_POINT('',(29.9071,52.5555,0.07)); #543479=CARTESIAN_POINT('',(29.9071,52.5555,0.07)); #543480=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #543481=CARTESIAN_POINT('Origin',(29.0689,52.5555,0.035)); #543482=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #543483=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #543484=CARTESIAN_POINT('',(29.0689,52.5555,0.07)); #543485=CARTESIAN_POINT('',(29.0689,52.5555,0.07)); #543486=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #543487=CARTESIAN_POINT('Origin',(29.0689,53.4445,0.035)); #543488=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #543489=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #543490=CARTESIAN_POINT('',(29.0689,53.4445,0.07)); #543491=CARTESIAN_POINT('',(29.0689,53.4445,0.07)); #543492=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #543493=CARTESIAN_POINT('Origin',(29.9071,53.4445,0.035)); #543494=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #543495=CARTESIAN_POINT('',(29.9071,53.4445,0.07)); #543496=CARTESIAN_POINT('Origin',(29.488,53.,0.07)); #543497=CARTESIAN_POINT('Origin',(29.488,53.,0.035)); #543498=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.035)); #543499=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #543500=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #543501=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #543502=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #543503=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #543504=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #543505=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #543506=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #543507=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.035)); #543508=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #543509=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #543510=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #543511=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #543512=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #543513=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.035)); #543514=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #543515=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #543516=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #543517=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #543518=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #543519=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.035)); #543520=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #543521=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #543522=CARTESIAN_POINT('Origin',(32.75,20.4,0.07)); #543523=CARTESIAN_POINT('Origin',(32.75,20.4,0.035)); #543524=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.035)); #543525=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #543526=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #543527=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #543528=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #543529=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #543530=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #543531=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #543532=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #543533=CARTESIAN_POINT('Origin',(30.3429,37.5555,0.035)); #543534=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #543535=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #543536=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #543537=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #543538=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #543539=CARTESIAN_POINT('Origin',(30.3429,38.4445,0.035)); #543540=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #543541=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #543542=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #543543=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #543544=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #543545=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.035)); #543546=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #543547=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #543548=CARTESIAN_POINT('Origin',(30.762,38.,0.07)); #543549=CARTESIAN_POINT('Origin',(30.762,38.,0.035)); #543550=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.035)); #543551=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #543552=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #543553=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #543554=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #543555=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #543556=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #543557=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #543558=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #543559=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.035)); #543560=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #543561=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #543562=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #543563=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #543564=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #543565=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.035)); #543566=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #543567=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #543568=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #543569=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #543570=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #543571=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.035)); #543572=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #543573=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #543574=CARTESIAN_POINT('Origin',(37.4,18.25,0.07)); #543575=CARTESIAN_POINT('Origin',(37.4,18.25,0.035)); #543576=CARTESIAN_POINT('Origin',(43.9,15.825,0.035)); #543577=CARTESIAN_POINT('',(43.9,15.825,0.035)); #543578=CARTESIAN_POINT('',(43.9,16.225,0.035)); #543579=CARTESIAN_POINT('',(43.9,15.825,0.035)); #543580=CARTESIAN_POINT('',(43.9,16.225,0.07)); #543581=CARTESIAN_POINT('',(43.9,16.225,0.035)); #543582=CARTESIAN_POINT('',(43.9,15.825,0.07)); #543583=CARTESIAN_POINT('',(43.9,15.825,0.07)); #543584=CARTESIAN_POINT('',(43.9,15.825,0.035)); #543585=CARTESIAN_POINT('Origin',(43.1,15.825,0.035)); #543586=CARTESIAN_POINT('',(43.1,15.825,0.035)); #543587=CARTESIAN_POINT('',(43.1,15.825,0.035)); #543588=CARTESIAN_POINT('',(43.1,15.825,0.07)); #543589=CARTESIAN_POINT('',(43.1,15.825,0.07)); #543590=CARTESIAN_POINT('',(43.1,15.825,0.035)); #543591=CARTESIAN_POINT('Origin',(43.1,16.225,0.035)); #543592=CARTESIAN_POINT('',(43.1,16.225,0.035)); #543593=CARTESIAN_POINT('',(43.1,16.225,0.035)); #543594=CARTESIAN_POINT('',(43.1,16.225,0.07)); #543595=CARTESIAN_POINT('',(43.1,16.225,0.07)); #543596=CARTESIAN_POINT('',(43.1,16.225,0.035)); #543597=CARTESIAN_POINT('Origin',(43.9,16.225,0.035)); #543598=CARTESIAN_POINT('',(43.9,16.225,0.035)); #543599=CARTESIAN_POINT('',(43.9,16.225,0.07)); #543600=CARTESIAN_POINT('Origin',(43.5,16.025,0.07)); #543601=CARTESIAN_POINT('Origin',(43.5,16.025,0.035)); #543602=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.035)); #543603=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #543604=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #543605=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #543606=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #543607=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #543608=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #543609=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #543610=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #543611=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.035)); #543612=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #543613=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #543614=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #543615=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #543616=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #543617=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.035)); #543618=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #543619=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #543620=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #543621=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #543622=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #543623=CARTESIAN_POINT('Origin',(20.4445,42.1811,0.035)); #543624=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #543625=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #543626=CARTESIAN_POINT('Origin',(20.,41.762,0.07)); #543627=CARTESIAN_POINT('Origin',(20.,41.762,0.035)); #543628=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.035)); #543629=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #543630=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #543631=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #543632=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #543633=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #543634=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #543635=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #543636=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #543637=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.035)); #543638=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #543639=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #543640=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #543641=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #543642=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #543643=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.035)); #543644=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #543645=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #543646=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #543647=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #543648=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #543649=CARTESIAN_POINT('Origin',(24.4445,42.1811,0.035)); #543650=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #543651=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #543652=CARTESIAN_POINT('Origin',(24.,41.762,0.07)); #543653=CARTESIAN_POINT('Origin',(24.,41.762,0.035)); #543654=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.035)); #543655=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #543656=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #543657=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #543658=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #543659=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #543660=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #543661=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #543662=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #543663=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.035)); #543664=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #543665=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #543666=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #543667=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #543668=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #543669=CARTESIAN_POINT('Origin',(47.5555,42.1811,0.035)); #543670=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #543671=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #543672=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #543673=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #543674=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #543675=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.035)); #543676=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #543677=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #543678=CARTESIAN_POINT('Origin',(48.,41.762,0.07)); #543679=CARTESIAN_POINT('Origin',(48.,41.762,0.035)); #543680=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.035)); #543681=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #543682=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #543683=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #543684=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #543685=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #543686=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #543687=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #543688=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #543689=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.035)); #543690=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #543691=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #543692=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #543693=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #543694=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #543695=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.035)); #543696=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #543697=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #543698=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #543699=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #543700=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #543701=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.035)); #543702=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #543703=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #543704=CARTESIAN_POINT('Origin',(23.762,26.,0.07)); #543705=CARTESIAN_POINT('Origin',(23.762,26.,0.035)); #543706=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.035)); #543707=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #543708=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #543709=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #543710=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #543711=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #543712=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #543713=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #543714=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #543715=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.035)); #543716=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #543717=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #543718=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #543719=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #543720=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #543721=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.035)); #543722=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #543723=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #543724=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #543725=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #543726=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #543727=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.035)); #543728=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #543729=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #543730=CARTESIAN_POINT('Origin',(30.6,18.75,0.07)); #543731=CARTESIAN_POINT('Origin',(30.6,18.75,0.035)); #543732=CARTESIAN_POINT('Origin',(18.1811,50.0555,0.035)); #543733=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #543734=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #543735=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #543736=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #543737=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #543738=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #543739=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #543740=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #543741=CARTESIAN_POINT('Origin',(17.3429,50.0555,0.035)); #543742=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #543743=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #543744=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #543745=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #543746=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #543747=CARTESIAN_POINT('Origin',(17.3429,50.9445,0.035)); #543748=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #543749=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #543750=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #543751=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #543752=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #543753=CARTESIAN_POINT('Origin',(18.1811,50.9445,0.035)); #543754=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #543755=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #543756=CARTESIAN_POINT('Origin',(17.762,50.5,0.07)); #543757=CARTESIAN_POINT('Origin',(17.762,50.5,0.035)); #543758=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.035)); #543759=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #543760=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #543761=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #543762=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #543763=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #543764=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #543765=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #543766=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #543767=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.035)); #543768=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #543769=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #543770=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #543771=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #543772=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #543773=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.035)); #543774=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #543775=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #543776=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #543777=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #543778=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #543779=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.035)); #543780=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #543781=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #543782=CARTESIAN_POINT('Origin',(31.25,20.4,0.07)); #543783=CARTESIAN_POINT('Origin',(31.25,20.4,0.035)); #543784=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #543785=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0349999999999895)); #543786=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0700000000000145)); #543787=CARTESIAN_POINT('',(16.7428972009846,47.8255,-200.)); #543788=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0349999999999895)); #543789=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #543790=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0700000000000145)); #543791=CARTESIAN_POINT('',(16.5071027990154,47.8255,-200.)); #543792=CARTESIAN_POINT('Origin',(16.625,47.75,0.0700000000000145)); #543793=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #543794=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0349999999999895)); #543795=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0700000000000145)); #543796=CARTESIAN_POINT('',(15.8678972009846,47.8255,-200.)); #543797=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0349999999999895)); #543798=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #543799=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0700000000000145)); #543800=CARTESIAN_POINT('',(15.6858,47.6255879427065,-200.)); #543801=CARTESIAN_POINT('Origin',(15.75,47.75,0.0700000000000145)); #543802=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #543803=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #543804=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #543805=CARTESIAN_POINT('',(16.485,47.,0.0700000000000145)); #543806=CARTESIAN_POINT('',(16.485,47.,-200.)); #543807=CARTESIAN_POINT('Origin',(16.625,47.,0.0700000000000145)); #543808=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #543809=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0349999999999895)); #543810=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0700000000000145)); #543811=CARTESIAN_POINT('',(16.5071027990154,46.1745,-200.)); #543812=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0349999999999895)); #543813=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #543814=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0700000000000145)); #543815=CARTESIAN_POINT('',(16.7428972009846,46.1745,-200.)); #543816=CARTESIAN_POINT('Origin',(16.625,46.25,0.0700000000000145)); #543817=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #543818=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0349999999999895)); #543819=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0700000000000145)); #543820=CARTESIAN_POINT('',(17.3622,47.7252783495696,-200.)); #543821=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0349999999999895)); #543822=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #543823=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0700000000000145)); #543824=CARTESIAN_POINT('',(17.3622,47.7747216504304,-200.)); #543825=CARTESIAN_POINT('Origin',(17.5,47.75,0.0700000000000145)); #543826=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #543827=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0349999999999895)); #543828=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0700000000000145)); #543829=CARTESIAN_POINT('',(17.3622,46.2252783495696,-200.)); #543830=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0349999999999895)); #543831=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #543832=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0700000000000145)); #543833=CARTESIAN_POINT('',(17.3622,46.2747216504304,-200.)); #543834=CARTESIAN_POINT('Origin',(17.5,46.25,0.0700000000000145)); #543835=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #543836=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0349999999999895)); #543837=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0700000000000145)); #543838=CARTESIAN_POINT('',(17.3622,46.9752783495696,-200.)); #543839=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0349999999999895)); #543840=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #543841=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0700000000000145)); #543842=CARTESIAN_POINT('',(17.3622,47.0247216504304,-200.)); #543843=CARTESIAN_POINT('Origin',(17.5,47.,0.0700000000000145)); #543844=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #543845=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0349999999999895)); #543846=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0700000000000145)); #543847=CARTESIAN_POINT('',(15.6858,46.3744120572935,-200.)); #543848=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0349999999999895)); #543849=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #543850=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0700000000000145)); #543851=CARTESIAN_POINT('',(15.8678972009846,46.1745,-200.)); #543852=CARTESIAN_POINT('Origin',(15.75,46.25,0.0700000000000145)); #543853=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #543854=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0700000000000145)); #543855=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0349999999999895)); #543856=CARTESIAN_POINT('',(15.6858,46.8755879427065,-200.)); #543857=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0700000000000145)); #543858=CARTESIAN_POINT('Origin',(15.75,47.,0.0700000000000145)); #543859=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0349999999999895)); #543860=CARTESIAN_POINT('',(15.6858,47.1244120572935,-200.)); #543861=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #543862=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #543863=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #543864=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #543865=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #543866=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #543867=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #543868=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #543869=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #543870=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #543871=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #543872=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #543873=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #543874=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #543875=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #543876=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #543877=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #543878=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #543879=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #543880=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #543881=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #543882=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #543883=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #543884=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #543885=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #543886=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #543887=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #543888=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #543889=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #543890=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #543891=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #543892=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #543893=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #543894=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #543895=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #543896=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #543897=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #543898=CARTESIAN_POINT('Origin',(16.524,47.,0.035)); #543899=CARTESIAN_POINT('Origin',(16.524,47.,0.07)); #543900=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #543901=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #543902=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #543903=CARTESIAN_POINT('',(30.622,60.25,0.0700000000000145)); #543904=CARTESIAN_POINT('',(30.622,60.25,-200.)); #543905=CARTESIAN_POINT('Origin',(30.762,60.25,0.0700000000000145)); #543906=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.035)); #543907=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #543908=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #543909=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #543910=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #543911=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #543912=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #543913=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #543914=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #543915=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.035)); #543916=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #543917=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #543918=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #543919=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #543920=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #543921=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.035)); #543922=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #543923=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #543924=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #543925=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #543926=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #543927=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.035)); #543928=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #543929=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #543930=CARTESIAN_POINT('Origin',(30.762,60.25,0.07)); #543931=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #543932=CARTESIAN_POINT('Origin',(29.6571,59.8055,0.035)); #543933=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #543934=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #543935=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #543936=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #543937=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #543938=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #543939=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #543940=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #543941=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.035)); #543942=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #543943=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #543944=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #543945=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #543946=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #543947=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.035)); #543948=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #543949=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #543950=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #543951=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #543952=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #543953=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.035)); #543954=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #543955=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #543956=CARTESIAN_POINT('Origin',(29.238,60.25,0.07)); #543957=CARTESIAN_POINT('Origin',(29.238,60.25,0.035)); #543958=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.035)); #543959=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #543960=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #543961=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #543962=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #543963=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #543964=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #543965=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #543966=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #543967=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.035)); #543968=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #543969=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #543970=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #543971=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #543972=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #543973=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.035)); #543974=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #543975=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #543976=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #543977=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #543978=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #543979=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.035)); #543980=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #543981=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #543982=CARTESIAN_POINT('Origin',(37.4,16.25,0.07)); #543983=CARTESIAN_POINT('Origin',(37.4,16.25,0.035)); #543984=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.035)); #543985=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #543986=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #543987=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #543988=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #543989=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #543990=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #543991=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #543992=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #543993=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.035)); #543994=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #543995=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #543996=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #543997=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #543998=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #543999=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.035)); #544000=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #544001=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #544002=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #544003=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #544004=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #544005=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.035)); #544006=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #544007=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #544008=CARTESIAN_POINT('Origin',(54.238,50.5,0.07)); #544009=CARTESIAN_POINT('Origin',(54.238,50.5,0.035)); #544010=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.035)); #544011=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #544012=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #544013=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #544014=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #544015=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #544016=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #544017=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #544018=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #544019=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.035)); #544020=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #544021=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #544022=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #544023=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #544024=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #544025=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.035)); #544026=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #544027=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #544028=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #544029=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #544030=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #544031=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.035)); #544032=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #544033=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #544034=CARTESIAN_POINT('Origin',(36.25,20.4,0.07)); #544035=CARTESIAN_POINT('Origin',(36.25,20.4,0.035)); #544036=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.035)); #544037=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #544038=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #544039=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #544040=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #544041=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #544042=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #544043=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #544044=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #544045=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.035)); #544046=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #544047=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #544048=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #544049=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #544050=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #544051=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.035)); #544052=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #544053=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #544054=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #544055=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #544056=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #544057=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.035)); #544058=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #544059=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #544060=CARTESIAN_POINT('Origin',(51.762,50.5,0.07)); #544061=CARTESIAN_POINT('Origin',(51.762,50.5,0.035)); #544062=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #544063=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #544064=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #544065=CARTESIAN_POINT('',(34.622,60.25,0.0700000000000145)); #544066=CARTESIAN_POINT('',(34.622,60.25,-200.)); #544067=CARTESIAN_POINT('Origin',(34.762,60.25,0.0700000000000145)); #544068=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.035)); #544069=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #544070=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #544071=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #544072=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #544073=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #544074=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #544075=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #544076=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #544077=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.035)); #544078=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #544079=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #544080=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #544081=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #544082=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #544083=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.035)); #544084=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #544085=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #544086=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #544087=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #544088=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #544089=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.035)); #544090=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #544091=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #544092=CARTESIAN_POINT('Origin',(34.762,60.25,0.07)); #544093=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #544094=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #544095=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #544096=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #544097=CARTESIAN_POINT('',(38.622,60.25,0.0700000000000145)); #544098=CARTESIAN_POINT('',(38.622,60.25,-200.)); #544099=CARTESIAN_POINT('Origin',(38.762,60.25,0.0700000000000145)); #544100=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.035)); #544101=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #544102=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #544103=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #544104=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #544105=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #544106=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #544107=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #544108=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #544109=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.035)); #544110=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #544111=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #544112=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #544113=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #544114=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #544115=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.035)); #544116=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #544117=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #544118=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #544119=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #544120=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #544121=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.035)); #544122=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #544123=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #544124=CARTESIAN_POINT('Origin',(38.762,60.25,0.07)); #544125=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #544126=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.035)); #544127=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #544128=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #544129=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #544130=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #544131=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #544132=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #544133=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #544134=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #544135=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.035)); #544136=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #544137=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #544138=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #544139=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #544140=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #544141=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.035)); #544142=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #544143=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #544144=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #544145=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #544146=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #544147=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.035)); #544148=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #544149=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #544150=CARTESIAN_POINT('Origin',(33.75,13.6,0.07)); #544151=CARTESIAN_POINT('Origin',(33.75,13.6,0.035)); #544152=CARTESIAN_POINT('Origin',(56.1811,50.0555,0.035)); #544153=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #544154=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #544155=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #544156=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #544157=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #544158=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #544159=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #544160=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #544161=CARTESIAN_POINT('Origin',(55.3429,50.0555,0.035)); #544162=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #544163=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #544164=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #544165=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #544166=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #544167=CARTESIAN_POINT('Origin',(55.3429,50.9445,0.035)); #544168=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #544169=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #544170=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #544171=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #544172=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #544173=CARTESIAN_POINT('Origin',(56.1811,50.9445,0.035)); #544174=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #544175=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #544176=CARTESIAN_POINT('Origin',(55.762,50.5,0.07)); #544177=CARTESIAN_POINT('Origin',(55.762,50.5,0.035)); #544178=CARTESIAN_POINT('Origin',(46.9,15.825,0.035)); #544179=CARTESIAN_POINT('',(46.9,15.825,0.035)); #544180=CARTESIAN_POINT('',(46.9,16.225,0.035)); #544181=CARTESIAN_POINT('',(46.9,15.825,0.035)); #544182=CARTESIAN_POINT('',(46.9,16.225,0.07)); #544183=CARTESIAN_POINT('',(46.9,16.225,0.035)); #544184=CARTESIAN_POINT('',(46.9,15.825,0.07)); #544185=CARTESIAN_POINT('',(46.9,15.825,0.07)); #544186=CARTESIAN_POINT('',(46.9,15.825,0.035)); #544187=CARTESIAN_POINT('Origin',(46.1,15.825,0.035)); #544188=CARTESIAN_POINT('',(46.1,15.825,0.035)); #544189=CARTESIAN_POINT('',(46.1,15.825,0.035)); #544190=CARTESIAN_POINT('',(46.1,15.825,0.07)); #544191=CARTESIAN_POINT('',(46.1,15.825,0.07)); #544192=CARTESIAN_POINT('',(46.1,15.825,0.035)); #544193=CARTESIAN_POINT('Origin',(46.1,16.225,0.035)); #544194=CARTESIAN_POINT('',(46.1,16.225,0.035)); #544195=CARTESIAN_POINT('',(46.1,16.225,0.035)); #544196=CARTESIAN_POINT('',(46.1,16.225,0.07)); #544197=CARTESIAN_POINT('',(46.1,16.225,0.07)); #544198=CARTESIAN_POINT('',(46.1,16.225,0.035)); #544199=CARTESIAN_POINT('Origin',(46.9,16.225,0.035)); #544200=CARTESIAN_POINT('',(46.9,16.225,0.035)); #544201=CARTESIAN_POINT('',(46.9,16.225,0.07)); #544202=CARTESIAN_POINT('Origin',(46.5,16.025,0.07)); #544203=CARTESIAN_POINT('Origin',(46.5,16.025,0.035)); #544204=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.035)); #544205=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #544206=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #544207=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #544208=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #544209=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #544210=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #544211=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #544212=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #544213=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.035)); #544214=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #544215=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #544216=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #544217=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #544218=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #544219=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.035)); #544220=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #544221=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #544222=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #544223=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #544224=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #544225=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.035)); #544226=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #544227=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #544228=CARTESIAN_POINT('Origin',(37.4,19.75,0.07)); #544229=CARTESIAN_POINT('Origin',(37.4,19.75,0.035)); #544230=CARTESIAN_POINT('Origin',(46.9,16.475,0.035)); #544231=CARTESIAN_POINT('',(46.9,16.475,0.035)); #544232=CARTESIAN_POINT('',(46.9,16.875,0.035)); #544233=CARTESIAN_POINT('',(46.9,16.475,0.035)); #544234=CARTESIAN_POINT('',(46.9,16.875,0.07)); #544235=CARTESIAN_POINT('',(46.9,16.875,0.035)); #544236=CARTESIAN_POINT('',(46.9,16.475,0.07)); #544237=CARTESIAN_POINT('',(46.9,16.475,0.07)); #544238=CARTESIAN_POINT('',(46.9,16.475,0.035)); #544239=CARTESIAN_POINT('Origin',(46.1,16.475,0.035)); #544240=CARTESIAN_POINT('',(46.1,16.475,0.035)); #544241=CARTESIAN_POINT('',(46.1,16.475,0.035)); #544242=CARTESIAN_POINT('',(46.1,16.475,0.07)); #544243=CARTESIAN_POINT('',(46.1,16.475,0.07)); #544244=CARTESIAN_POINT('',(46.1,16.475,0.035)); #544245=CARTESIAN_POINT('Origin',(46.1,16.875,0.035)); #544246=CARTESIAN_POINT('',(46.1,16.875,0.035)); #544247=CARTESIAN_POINT('',(46.1,16.875,0.035)); #544248=CARTESIAN_POINT('',(46.1,16.875,0.07)); #544249=CARTESIAN_POINT('',(46.1,16.875,0.07)); #544250=CARTESIAN_POINT('',(46.1,16.875,0.035)); #544251=CARTESIAN_POINT('Origin',(46.9,16.875,0.035)); #544252=CARTESIAN_POINT('',(46.9,16.875,0.035)); #544253=CARTESIAN_POINT('',(46.9,16.875,0.07)); #544254=CARTESIAN_POINT('Origin',(46.5,16.675,0.07)); #544255=CARTESIAN_POINT('Origin',(46.5,16.675,0.035)); #544256=CARTESIAN_POINT('Origin',(53.3142,24.6665,0.035)); #544257=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #544258=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #544259=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #544260=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #544261=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #544262=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #544263=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #544264=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #544265=CARTESIAN_POINT('Origin',(51.6378,24.6665,0.035)); #544266=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #544267=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #544268=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #544269=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #544270=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #544271=CARTESIAN_POINT('Origin',(51.6378,27.3335,0.035)); #544272=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #544273=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #544274=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #544275=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #544276=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #544277=CARTESIAN_POINT('Origin',(53.3142,27.3335,0.035)); #544278=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #544279=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #544280=CARTESIAN_POINT('Origin',(52.476,26.,0.07)); #544281=CARTESIAN_POINT('Origin',(52.476,26.,0.035)); #544282=CARTESIAN_POINT('Origin',(45.625,15.825,0.035)); #544283=CARTESIAN_POINT('',(45.625,15.825,0.035)); #544284=CARTESIAN_POINT('',(45.625,18.175,0.035)); #544285=CARTESIAN_POINT('',(45.625,15.825,0.035)); #544286=CARTESIAN_POINT('',(45.625,18.175,0.07)); #544287=CARTESIAN_POINT('',(45.625,18.175,0.035)); #544288=CARTESIAN_POINT('',(45.625,15.825,0.07)); #544289=CARTESIAN_POINT('',(45.625,15.825,0.07)); #544290=CARTESIAN_POINT('',(45.625,15.825,0.035)); #544291=CARTESIAN_POINT('Origin',(44.375,15.825,0.035)); #544292=CARTESIAN_POINT('',(44.375,15.825,0.035)); #544293=CARTESIAN_POINT('',(44.375,15.825,0.035)); #544294=CARTESIAN_POINT('',(44.375,15.825,0.07)); #544295=CARTESIAN_POINT('',(44.375,15.825,0.07)); #544296=CARTESIAN_POINT('',(44.375,15.825,0.035)); #544297=CARTESIAN_POINT('Origin',(44.375,18.175,0.035)); #544298=CARTESIAN_POINT('',(44.375,18.175,0.035)); #544299=CARTESIAN_POINT('',(44.375,18.175,0.035)); #544300=CARTESIAN_POINT('',(44.375,18.175,0.07)); #544301=CARTESIAN_POINT('',(44.375,18.175,0.07)); #544302=CARTESIAN_POINT('',(44.375,18.175,0.035)); #544303=CARTESIAN_POINT('Origin',(45.625,18.175,0.035)); #544304=CARTESIAN_POINT('',(45.625,18.175,0.035)); #544305=CARTESIAN_POINT('',(45.625,18.175,0.07)); #544306=CARTESIAN_POINT('Origin',(45.,17.,0.07)); #544307=CARTESIAN_POINT('Origin',(45.,17.,0.035)); #544308=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.035)); #544309=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #544310=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #544311=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #544312=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #544313=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #544314=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #544315=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #544316=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #544317=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.035)); #544318=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #544319=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #544320=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #544321=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #544322=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #544323=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.035)); #544324=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #544325=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #544326=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #544327=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #544328=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #544329=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.035)); #544330=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #544331=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #544332=CARTESIAN_POINT('Origin',(36.75,20.4,0.07)); #544333=CARTESIAN_POINT('Origin',(36.75,20.4,0.035)); #544334=CARTESIAN_POINT('Origin',(46.9,17.125,0.035)); #544335=CARTESIAN_POINT('',(46.9,17.125,0.035)); #544336=CARTESIAN_POINT('',(46.9,17.525,0.035)); #544337=CARTESIAN_POINT('',(46.9,17.125,0.035)); #544338=CARTESIAN_POINT('',(46.9,17.525,0.07)); #544339=CARTESIAN_POINT('',(46.9,17.525,0.035)); #544340=CARTESIAN_POINT('',(46.9,17.125,0.07)); #544341=CARTESIAN_POINT('',(46.9,17.125,0.07)); #544342=CARTESIAN_POINT('',(46.9,17.125,0.035)); #544343=CARTESIAN_POINT('Origin',(46.1,17.125,0.035)); #544344=CARTESIAN_POINT('',(46.1,17.125,0.035)); #544345=CARTESIAN_POINT('',(46.1,17.125,0.035)); #544346=CARTESIAN_POINT('',(46.1,17.125,0.07)); #544347=CARTESIAN_POINT('',(46.1,17.125,0.07)); #544348=CARTESIAN_POINT('',(46.1,17.125,0.035)); #544349=CARTESIAN_POINT('Origin',(46.1,17.525,0.035)); #544350=CARTESIAN_POINT('',(46.1,17.525,0.035)); #544351=CARTESIAN_POINT('',(46.1,17.525,0.035)); #544352=CARTESIAN_POINT('',(46.1,17.525,0.07)); #544353=CARTESIAN_POINT('',(46.1,17.525,0.07)); #544354=CARTESIAN_POINT('',(46.1,17.525,0.035)); #544355=CARTESIAN_POINT('Origin',(46.9,17.525,0.035)); #544356=CARTESIAN_POINT('',(46.9,17.525,0.035)); #544357=CARTESIAN_POINT('',(46.9,17.525,0.07)); #544358=CARTESIAN_POINT('Origin',(46.5,17.325,0.07)); #544359=CARTESIAN_POINT('Origin',(46.5,17.325,0.035)); #544360=CARTESIAN_POINT('Origin',(55.3622,46.1745,0.035)); #544361=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #544362=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #544363=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #544364=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #544365=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #544366=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #544367=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #544368=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #544369=CARTESIAN_POINT('Origin',(53.6858,46.1745,0.035)); #544370=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #544371=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #544372=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #544373=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #544374=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #544375=CARTESIAN_POINT('Origin',(53.6858,47.8255,0.035)); #544376=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #544377=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #544378=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #544379=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #544380=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #544381=CARTESIAN_POINT('Origin',(55.3622,47.8255,0.035)); #544382=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #544383=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #544384=CARTESIAN_POINT('Origin',(54.524,47.,0.07)); #544385=CARTESIAN_POINT('Origin',(54.524,47.,0.035)); #544386=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.035)); #544387=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #544388=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #544389=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #544390=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #544391=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #544392=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #544393=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #544394=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #544395=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.035)); #544396=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #544397=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #544398=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #544399=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #544400=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #544401=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.035)); #544402=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #544403=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #544404=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #544405=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #544406=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #544407=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.035)); #544408=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #544409=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #544410=CARTESIAN_POINT('Origin',(37.4,18.75,0.07)); #544411=CARTESIAN_POINT('Origin',(37.4,18.75,0.035)); #544412=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #544413=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #544414=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #544415=CARTESIAN_POINT('',(52.61,20.25,0.0700000000000145)); #544416=CARTESIAN_POINT('',(52.61,20.25,-200.)); #544417=CARTESIAN_POINT('Origin',(52.75,20.25,0.0700000000000145)); #544418=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #544419=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #544420=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #544421=CARTESIAN_POINT('',(51.86,21.75,0.0700000000000145)); #544422=CARTESIAN_POINT('',(51.86,21.75,-200.)); #544423=CARTESIAN_POINT('Origin',(52.,21.75,0.0700000000000145)); #544424=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #544425=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #544426=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #544427=CARTESIAN_POINT('',(51.86,20.25,0.0700000000000145)); #544428=CARTESIAN_POINT('',(51.86,20.25,-200.)); #544429=CARTESIAN_POINT('Origin',(52.,20.25,0.0700000000000145)); #544430=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #544431=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #544432=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #544433=CARTESIAN_POINT('',(52.61,21.,0.0700000000000145)); #544434=CARTESIAN_POINT('',(52.61,21.,-200.)); #544435=CARTESIAN_POINT('Origin',(52.75,21.,0.0700000000000145)); #544436=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #544437=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #544438=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #544439=CARTESIAN_POINT('',(51.86,21.,0.0700000000000145)); #544440=CARTESIAN_POINT('',(51.86,21.,-200.)); #544441=CARTESIAN_POINT('Origin',(52.,21.,0.0700000000000145)); #544442=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #544443=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #544444=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #544445=CARTESIAN_POINT('',(52.61,21.75,0.0700000000000145)); #544446=CARTESIAN_POINT('',(52.61,21.75,-200.)); #544447=CARTESIAN_POINT('Origin',(52.75,21.75,0.0700000000000145)); #544448=CARTESIAN_POINT('Origin',(53.3142,19.6665,0.035)); #544449=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #544450=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #544451=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #544452=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #544453=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #544454=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #544455=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #544456=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #544457=CARTESIAN_POINT('Origin',(51.6378,19.6665,0.035)); #544458=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #544459=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #544460=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #544461=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #544462=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #544463=CARTESIAN_POINT('Origin',(51.6378,22.3335,0.035)); #544464=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #544465=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #544466=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #544467=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #544468=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #544469=CARTESIAN_POINT('Origin',(53.3142,22.3335,0.035)); #544470=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #544471=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #544472=CARTESIAN_POINT('Origin',(52.476,21.,0.07)); #544473=CARTESIAN_POINT('Origin',(52.476,21.,0.035)); #544474=CARTESIAN_POINT('Origin',(46.9,17.775,0.035)); #544475=CARTESIAN_POINT('',(46.9,17.775,0.035)); #544476=CARTESIAN_POINT('',(46.9,18.175,0.035)); #544477=CARTESIAN_POINT('',(46.9,17.775,0.035)); #544478=CARTESIAN_POINT('',(46.9,18.175,0.07)); #544479=CARTESIAN_POINT('',(46.9,18.175,0.035)); #544480=CARTESIAN_POINT('',(46.9,17.775,0.07)); #544481=CARTESIAN_POINT('',(46.9,17.775,0.07)); #544482=CARTESIAN_POINT('',(46.9,17.775,0.035)); #544483=CARTESIAN_POINT('Origin',(46.1,17.775,0.035)); #544484=CARTESIAN_POINT('',(46.1,17.775,0.035)); #544485=CARTESIAN_POINT('',(46.1,17.775,0.035)); #544486=CARTESIAN_POINT('',(46.1,17.775,0.07)); #544487=CARTESIAN_POINT('',(46.1,17.775,0.07)); #544488=CARTESIAN_POINT('',(46.1,17.775,0.035)); #544489=CARTESIAN_POINT('Origin',(46.1,18.175,0.035)); #544490=CARTESIAN_POINT('',(46.1,18.175,0.035)); #544491=CARTESIAN_POINT('',(46.1,18.175,0.035)); #544492=CARTESIAN_POINT('',(46.1,18.175,0.07)); #544493=CARTESIAN_POINT('',(46.1,18.175,0.07)); #544494=CARTESIAN_POINT('',(46.1,18.175,0.035)); #544495=CARTESIAN_POINT('Origin',(46.9,18.175,0.035)); #544496=CARTESIAN_POINT('',(46.9,18.175,0.035)); #544497=CARTESIAN_POINT('',(46.9,18.175,0.07)); #544498=CARTESIAN_POINT('Origin',(46.5,17.975,0.07)); #544499=CARTESIAN_POINT('Origin',(46.5,17.975,0.035)); #544500=CARTESIAN_POINT('Origin',(50.6571,50.0555,0.035)); #544501=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #544502=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #544503=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #544504=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #544505=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #544506=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #544507=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #544508=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #544509=CARTESIAN_POINT('Origin',(49.8189,50.0555,0.035)); #544510=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #544511=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #544512=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #544513=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #544514=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #544515=CARTESIAN_POINT('Origin',(49.8189,50.9445,0.035)); #544516=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #544517=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #544518=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #544519=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #544520=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #544521=CARTESIAN_POINT('Origin',(50.6571,50.9445,0.035)); #544522=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #544523=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #544524=CARTESIAN_POINT('Origin',(50.238,50.5,0.07)); #544525=CARTESIAN_POINT('Origin',(50.238,50.5,0.035)); #544526=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.035)); #544527=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #544528=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #544529=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #544530=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #544531=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #544532=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #544533=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #544534=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #544535=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.035)); #544536=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #544537=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #544538=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #544539=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #544540=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #544541=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.035)); #544542=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #544543=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #544544=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #544545=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #544546=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #544547=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.035)); #544548=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #544549=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #544550=CARTESIAN_POINT('Origin',(35.75,20.4,0.07)); #544551=CARTESIAN_POINT('Origin',(35.75,20.4,0.035)); #544552=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #544553=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0349999999999895)); #544554=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0700000000000145)); #544555=CARTESIAN_POINT('',(52.2428972009846,47.8255,-200.)); #544556=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0349999999999895)); #544557=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #544558=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0700000000000145)); #544559=CARTESIAN_POINT('',(52.0071027990154,47.8255,-200.)); #544560=CARTESIAN_POINT('Origin',(52.125,47.75,0.0700000000000145)); #544561=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #544562=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #544563=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #544564=CARTESIAN_POINT('',(51.985,47.,0.0700000000000145)); #544565=CARTESIAN_POINT('',(51.985,47.,-200.)); #544566=CARTESIAN_POINT('Origin',(52.125,47.,0.0700000000000145)); #544567=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #544568=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0349999999999895)); #544569=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0700000000000145)); #544570=CARTESIAN_POINT('',(51.1321027990154,46.1745,-200.)); #544571=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0349999999999895)); #544572=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #544573=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0700000000000145)); #544574=CARTESIAN_POINT('',(51.3678972009846,46.1745,-200.)); #544575=CARTESIAN_POINT('Origin',(51.25,46.25,0.0700000000000145)); #544576=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #544577=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0349999999999895)); #544578=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0700000000000145)); #544579=CARTESIAN_POINT('',(52.0071027990154,46.1745,-200.)); #544580=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0349999999999895)); #544581=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #544582=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0700000000000145)); #544583=CARTESIAN_POINT('',(52.2428972009846,46.1745,-200.)); #544584=CARTESIAN_POINT('Origin',(52.125,46.25,0.0700000000000145)); #544585=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #544586=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0349999999999895)); #544587=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0700000000000145)); #544588=CARTESIAN_POINT('',(51.3678972009846,47.8255,-200.)); #544589=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0349999999999895)); #544590=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #544591=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0700000000000145)); #544592=CARTESIAN_POINT('',(51.1321027990154,47.8255,-200.)); #544593=CARTESIAN_POINT('Origin',(51.25,47.75,0.0700000000000145)); #544594=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #544595=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #544596=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #544597=CARTESIAN_POINT('',(51.11,47.,0.0700000000000145)); #544598=CARTESIAN_POINT('',(51.11,47.,-200.)); #544599=CARTESIAN_POINT('Origin',(51.25,47.,0.0700000000000145)); #544600=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #544601=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #544602=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #544603=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #544604=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #544605=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #544606=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #544607=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #544608=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #544609=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #544610=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #544611=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #544612=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #544613=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #544614=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #544615=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #544616=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #544617=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #544618=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #544619=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #544620=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #544621=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #544622=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #544623=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #544624=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #544625=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #544626=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #544627=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #544628=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #544629=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #544630=CARTESIAN_POINT('Origin',(51.476,47.,0.035)); #544631=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #544632=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #544633=CARTESIAN_POINT('Origin',(52.3142,46.1745,0.035)); #544634=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #544635=CARTESIAN_POINT('Origin',(50.6378,47.8255,0.035)); #544636=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #544637=CARTESIAN_POINT('Origin',(51.476,47.,0.07)); #544638=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.035)); #544639=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #544640=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #544641=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #544642=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #544643=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #544644=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #544645=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #544646=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #544647=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.035)); #544648=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #544649=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #544650=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #544651=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #544652=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #544653=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.035)); #544654=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #544655=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #544656=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #544657=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #544658=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #544659=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.035)); #544660=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #544661=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #544662=CARTESIAN_POINT('Origin',(13.762,50.5,0.07)); #544663=CARTESIAN_POINT('Origin',(13.762,50.5,0.035)); #544664=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.035)); #544665=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #544666=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #544667=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #544668=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #544669=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #544670=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #544671=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #544672=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #544673=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.035)); #544674=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #544675=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #544676=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #544677=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #544678=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #544679=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.035)); #544680=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #544681=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #544682=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #544683=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #544684=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #544685=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.035)); #544686=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #544687=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #544688=CARTESIAN_POINT('Origin',(30.6,19.75,0.07)); #544689=CARTESIAN_POINT('Origin',(30.6,19.75,0.035)); #544690=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.035)); #544691=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #544692=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #544693=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #544694=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #544695=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #544696=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #544697=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #544698=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #544699=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.035)); #544700=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #544701=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #544702=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #544703=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #544704=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #544705=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.035)); #544706=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #544707=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #544708=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #544709=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #544710=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #544711=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.035)); #544712=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #544713=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #544714=CARTESIAN_POINT('Origin',(16.238,50.5,0.07)); #544715=CARTESIAN_POINT('Origin',(16.238,50.5,0.035)); #544716=CARTESIAN_POINT('Origin',(31.4311,56.5555,0.035)); #544717=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #544718=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #544719=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #544720=CARTESIAN_POINT('',(31.4311,57.4445,0.07)); #544721=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #544722=CARTESIAN_POINT('',(31.4311,56.5555,0.07)); #544723=CARTESIAN_POINT('',(31.4311,56.5555,0.07)); #544724=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #544725=CARTESIAN_POINT('Origin',(30.5929,56.5555,0.035)); #544726=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #544727=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #544728=CARTESIAN_POINT('',(30.5929,56.5555,0.07)); #544729=CARTESIAN_POINT('',(30.5929,56.5555,0.07)); #544730=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #544731=CARTESIAN_POINT('Origin',(30.5929,57.4445,0.035)); #544732=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #544733=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #544734=CARTESIAN_POINT('',(30.5929,57.4445,0.07)); #544735=CARTESIAN_POINT('',(30.5929,57.4445,0.07)); #544736=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #544737=CARTESIAN_POINT('Origin',(31.4311,57.4445,0.035)); #544738=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #544739=CARTESIAN_POINT('',(31.4311,57.4445,0.07)); #544740=CARTESIAN_POINT('Origin',(31.012,57.,0.07)); #544741=CARTESIAN_POINT('Origin',(31.012,57.,0.035)); #544742=CARTESIAN_POINT('Origin',(31.4311,52.5555,0.035)); #544743=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #544744=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #544745=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #544746=CARTESIAN_POINT('',(31.4311,53.4445,0.07)); #544747=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #544748=CARTESIAN_POINT('',(31.4311,52.5555,0.07)); #544749=CARTESIAN_POINT('',(31.4311,52.5555,0.07)); #544750=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #544751=CARTESIAN_POINT('Origin',(30.5929,52.5555,0.035)); #544752=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #544753=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #544754=CARTESIAN_POINT('',(30.5929,52.5555,0.07)); #544755=CARTESIAN_POINT('',(30.5929,52.5555,0.07)); #544756=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #544757=CARTESIAN_POINT('Origin',(30.5929,53.4445,0.035)); #544758=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #544759=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #544760=CARTESIAN_POINT('',(30.5929,53.4445,0.07)); #544761=CARTESIAN_POINT('',(30.5929,53.4445,0.07)); #544762=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #544763=CARTESIAN_POINT('Origin',(31.4311,53.4445,0.035)); #544764=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #544765=CARTESIAN_POINT('',(31.4311,53.4445,0.07)); #544766=CARTESIAN_POINT('Origin',(31.012,53.,0.07)); #544767=CARTESIAN_POINT('Origin',(31.012,53.,0.035)); #544768=CARTESIAN_POINT('Origin',(37.4071,56.5555,0.035)); #544769=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #544770=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #544771=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #544772=CARTESIAN_POINT('',(37.4071,57.4445,0.07)); #544773=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #544774=CARTESIAN_POINT('',(37.4071,56.5555,0.07)); #544775=CARTESIAN_POINT('',(37.4071,56.5555,0.07)); #544776=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #544777=CARTESIAN_POINT('Origin',(36.5689,56.5555,0.035)); #544778=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #544779=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #544780=CARTESIAN_POINT('',(36.5689,56.5555,0.07)); #544781=CARTESIAN_POINT('',(36.5689,56.5555,0.07)); #544782=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #544783=CARTESIAN_POINT('Origin',(36.5689,57.4445,0.035)); #544784=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #544785=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #544786=CARTESIAN_POINT('',(36.5689,57.4445,0.07)); #544787=CARTESIAN_POINT('',(36.5689,57.4445,0.07)); #544788=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #544789=CARTESIAN_POINT('Origin',(37.4071,57.4445,0.035)); #544790=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #544791=CARTESIAN_POINT('',(37.4071,57.4445,0.07)); #544792=CARTESIAN_POINT('Origin',(36.988,57.,0.07)); #544793=CARTESIAN_POINT('Origin',(36.988,57.,0.035)); #544794=CARTESIAN_POINT('Origin',(37.4071,52.5555,0.035)); #544795=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #544796=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #544797=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #544798=CARTESIAN_POINT('',(37.4071,53.4445,0.07)); #544799=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #544800=CARTESIAN_POINT('',(37.4071,52.5555,0.07)); #544801=CARTESIAN_POINT('',(37.4071,52.5555,0.07)); #544802=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #544803=CARTESIAN_POINT('Origin',(36.5689,52.5555,0.035)); #544804=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #544805=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #544806=CARTESIAN_POINT('',(36.5689,52.5555,0.07)); #544807=CARTESIAN_POINT('',(36.5689,52.5555,0.07)); #544808=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #544809=CARTESIAN_POINT('Origin',(36.5689,53.4445,0.035)); #544810=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #544811=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #544812=CARTESIAN_POINT('',(36.5689,53.4445,0.07)); #544813=CARTESIAN_POINT('',(36.5689,53.4445,0.07)); #544814=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #544815=CARTESIAN_POINT('Origin',(37.4071,53.4445,0.035)); #544816=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #544817=CARTESIAN_POINT('',(37.4071,53.4445,0.07)); #544818=CARTESIAN_POINT('Origin',(36.988,53.,0.07)); #544819=CARTESIAN_POINT('Origin',(36.988,53.,0.035)); #544820=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.035)); #544821=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #544822=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #544823=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #544824=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #544825=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #544826=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #544827=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #544828=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #544829=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.035)); #544830=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #544831=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #544832=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #544833=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #544834=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #544835=CARTESIAN_POINT('Origin',(43.5555,42.1811,0.035)); #544836=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #544837=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #544838=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #544839=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #544840=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #544841=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.035)); #544842=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #544843=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #544844=CARTESIAN_POINT('Origin',(44.,41.762,0.07)); #544845=CARTESIAN_POINT('Origin',(44.,41.762,0.035)); #544846=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.035)); #544847=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #544848=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #544849=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #544850=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #544851=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #544852=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #544853=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #544854=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #544855=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.035)); #544856=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #544857=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #544858=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #544859=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #544860=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #544861=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.035)); #544862=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #544863=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #544864=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #544865=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #544866=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #544867=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.035)); #544868=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #544869=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #544870=CARTESIAN_POINT('Origin',(45.762,26.,0.07)); #544871=CARTESIAN_POINT('Origin',(45.762,26.,0.035)); #544872=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.035)); #544873=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #544874=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #544875=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #544876=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #544877=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #544878=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #544879=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #544880=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #544881=CARTESIAN_POINT('Origin',(31.1125,13.2875,0.035)); #544882=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #544883=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #544884=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #544885=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #544886=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #544887=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.035)); #544888=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #544889=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #544890=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #544891=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #544892=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #544893=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.035)); #544894=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #544895=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #544896=CARTESIAN_POINT('Origin',(31.25,13.6,0.07)); #544897=CARTESIAN_POINT('Origin',(31.25,13.6,0.035)); #544898=CARTESIAN_POINT('Origin',(43.9,17.775,0.035)); #544899=CARTESIAN_POINT('',(43.9,17.775,0.035)); #544900=CARTESIAN_POINT('',(43.9,18.175,0.035)); #544901=CARTESIAN_POINT('',(43.9,17.775,0.035)); #544902=CARTESIAN_POINT('',(43.9,18.175,0.07)); #544903=CARTESIAN_POINT('',(43.9,18.175,0.035)); #544904=CARTESIAN_POINT('',(43.9,17.775,0.07)); #544905=CARTESIAN_POINT('',(43.9,17.775,0.07)); #544906=CARTESIAN_POINT('',(43.9,17.775,0.035)); #544907=CARTESIAN_POINT('Origin',(43.1,17.775,0.035)); #544908=CARTESIAN_POINT('',(43.1,17.775,0.035)); #544909=CARTESIAN_POINT('',(43.1,17.775,0.035)); #544910=CARTESIAN_POINT('',(43.1,17.775,0.07)); #544911=CARTESIAN_POINT('',(43.1,17.775,0.07)); #544912=CARTESIAN_POINT('',(43.1,17.775,0.035)); #544913=CARTESIAN_POINT('Origin',(43.1,18.175,0.035)); #544914=CARTESIAN_POINT('',(43.1,18.175,0.035)); #544915=CARTESIAN_POINT('',(43.1,18.175,0.035)); #544916=CARTESIAN_POINT('',(43.1,18.175,0.07)); #544917=CARTESIAN_POINT('',(43.1,18.175,0.07)); #544918=CARTESIAN_POINT('',(43.1,18.175,0.035)); #544919=CARTESIAN_POINT('Origin',(43.9,18.175,0.035)); #544920=CARTESIAN_POINT('',(43.9,18.175,0.035)); #544921=CARTESIAN_POINT('',(43.9,18.175,0.07)); #544922=CARTESIAN_POINT('Origin',(43.5,17.975,0.07)); #544923=CARTESIAN_POINT('Origin',(43.5,17.975,0.035)); #544924=CARTESIAN_POINT('Origin',(43.9,17.125,0.035)); #544925=CARTESIAN_POINT('',(43.9,17.125,0.035)); #544926=CARTESIAN_POINT('',(43.9,17.525,0.035)); #544927=CARTESIAN_POINT('',(43.9,17.125,0.035)); #544928=CARTESIAN_POINT('',(43.9,17.525,0.07)); #544929=CARTESIAN_POINT('',(43.9,17.525,0.035)); #544930=CARTESIAN_POINT('',(43.9,17.125,0.07)); #544931=CARTESIAN_POINT('',(43.9,17.125,0.07)); #544932=CARTESIAN_POINT('',(43.9,17.125,0.035)); #544933=CARTESIAN_POINT('Origin',(43.1,17.125,0.035)); #544934=CARTESIAN_POINT('',(43.1,17.125,0.035)); #544935=CARTESIAN_POINT('',(43.1,17.125,0.035)); #544936=CARTESIAN_POINT('',(43.1,17.125,0.07)); #544937=CARTESIAN_POINT('',(43.1,17.125,0.07)); #544938=CARTESIAN_POINT('',(43.1,17.125,0.035)); #544939=CARTESIAN_POINT('Origin',(43.1,17.525,0.035)); #544940=CARTESIAN_POINT('',(43.1,17.525,0.035)); #544941=CARTESIAN_POINT('',(43.1,17.525,0.035)); #544942=CARTESIAN_POINT('',(43.1,17.525,0.07)); #544943=CARTESIAN_POINT('',(43.1,17.525,0.07)); #544944=CARTESIAN_POINT('',(43.1,17.525,0.035)); #544945=CARTESIAN_POINT('Origin',(43.9,17.525,0.035)); #544946=CARTESIAN_POINT('',(43.9,17.525,0.035)); #544947=CARTESIAN_POINT('',(43.9,17.525,0.07)); #544948=CARTESIAN_POINT('Origin',(43.5,17.325,0.07)); #544949=CARTESIAN_POINT('Origin',(43.5,17.325,0.035)); #544950=CARTESIAN_POINT('Origin',(44.3142,11.4245,0.035)); #544951=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #544952=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #544953=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #544954=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #544955=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #544956=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #544957=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #544958=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #544959=CARTESIAN_POINT('Origin',(42.6378,11.4245,0.035)); #544960=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #544961=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #544962=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #544963=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #544964=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #544965=CARTESIAN_POINT('Origin',(42.6378,13.0755,0.035)); #544966=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #544967=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #544968=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #544969=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #544970=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #544971=CARTESIAN_POINT('Origin',(44.3142,13.0755,0.035)); #544972=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #544973=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #544974=CARTESIAN_POINT('Origin',(43.476,12.25,0.07)); #544975=CARTESIAN_POINT('Origin',(43.476,12.25,0.035)); #544976=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.035)); #544977=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #544978=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #544979=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #544980=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #544981=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #544982=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #544983=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #544984=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #544985=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.035)); #544986=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #544987=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #544988=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #544989=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #544990=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #544991=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.035)); #544992=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #544993=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #544994=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #544995=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #544996=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #544997=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.035)); #544998=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #544999=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #545000=CARTESIAN_POINT('Origin',(37.4,17.75,0.07)); #545001=CARTESIAN_POINT('Origin',(37.4,17.75,0.035)); #545002=CARTESIAN_POINT('Origin',(43.9,16.475,0.035)); #545003=CARTESIAN_POINT('',(43.9,16.475,0.035)); #545004=CARTESIAN_POINT('',(43.9,16.875,0.035)); #545005=CARTESIAN_POINT('',(43.9,16.475,0.035)); #545006=CARTESIAN_POINT('',(43.9,16.875,0.07)); #545007=CARTESIAN_POINT('',(43.9,16.875,0.035)); #545008=CARTESIAN_POINT('',(43.9,16.475,0.07)); #545009=CARTESIAN_POINT('',(43.9,16.475,0.07)); #545010=CARTESIAN_POINT('',(43.9,16.475,0.035)); #545011=CARTESIAN_POINT('Origin',(43.1,16.475,0.035)); #545012=CARTESIAN_POINT('',(43.1,16.475,0.035)); #545013=CARTESIAN_POINT('',(43.1,16.475,0.035)); #545014=CARTESIAN_POINT('',(43.1,16.475,0.07)); #545015=CARTESIAN_POINT('',(43.1,16.475,0.07)); #545016=CARTESIAN_POINT('',(43.1,16.475,0.035)); #545017=CARTESIAN_POINT('Origin',(43.1,16.875,0.035)); #545018=CARTESIAN_POINT('',(43.1,16.875,0.035)); #545019=CARTESIAN_POINT('',(43.1,16.875,0.035)); #545020=CARTESIAN_POINT('',(43.1,16.875,0.07)); #545021=CARTESIAN_POINT('',(43.1,16.875,0.07)); #545022=CARTESIAN_POINT('',(43.1,16.875,0.035)); #545023=CARTESIAN_POINT('Origin',(43.9,16.875,0.035)); #545024=CARTESIAN_POINT('',(43.9,16.875,0.035)); #545025=CARTESIAN_POINT('',(43.9,16.875,0.07)); #545026=CARTESIAN_POINT('Origin',(43.5,16.675,0.07)); #545027=CARTESIAN_POINT('Origin',(43.5,16.675,0.035)); #545028=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.035)); #545029=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #545030=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #545031=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #545032=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #545033=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #545034=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #545035=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #545036=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #545037=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.035)); #545038=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #545039=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #545040=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #545041=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #545042=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #545043=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.035)); #545044=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #545045=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #545046=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #545047=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #545048=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #545049=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.035)); #545050=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #545051=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #545052=CARTESIAN_POINT('Origin',(21.,28.238,0.07)); #545053=CARTESIAN_POINT('Origin',(21.,28.238,0.035)); #545054=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.035)); #545055=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #545056=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #545057=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #545058=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #545059=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #545060=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #545061=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #545062=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #545063=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.035)); #545064=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #545065=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #545066=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #545067=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #545068=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #545069=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.035)); #545070=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #545071=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #545072=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #545073=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #545074=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #545075=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.035)); #545076=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #545077=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #545078=CARTESIAN_POINT('Origin',(30.6,17.75,0.07)); #545079=CARTESIAN_POINT('Origin',(30.6,17.75,0.035)); #545080=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.035)); #545081=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #545082=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #545083=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #545084=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #545085=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #545086=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #545087=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #545088=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #545089=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.035)); #545090=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #545091=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #545092=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #545093=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #545094=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #545095=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.035)); #545096=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #545097=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #545098=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #545099=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #545100=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #545101=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.035)); #545102=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #545103=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #545104=CARTESIAN_POINT('Origin',(25.,28.238,0.07)); #545105=CARTESIAN_POINT('Origin',(25.,28.238,0.035)); #545106=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.035)); #545107=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #545108=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #545109=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #545110=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #545111=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #545112=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #545113=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #545114=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #545115=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.035)); #545116=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #545117=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #545118=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #545119=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #545120=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #545121=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.035)); #545122=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #545123=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #545124=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #545125=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #545126=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #545127=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.035)); #545128=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #545129=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #545130=CARTESIAN_POINT('Origin',(30.6,16.25,0.07)); #545131=CARTESIAN_POINT('Origin',(30.6,16.25,0.035)); #545132=CARTESIAN_POINT('Origin',(26.1811,17.5555,0.035)); #545133=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #545134=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #545135=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #545136=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #545137=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #545138=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #545139=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #545140=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #545141=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.035)); #545142=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #545143=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #545144=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #545145=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #545146=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #545147=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.035)); #545148=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #545149=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #545150=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #545151=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #545152=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #545153=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.035)); #545154=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #545155=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #545156=CARTESIAN_POINT('Origin',(25.762,18.,0.07)); #545157=CARTESIAN_POINT('Origin',(25.762,18.,0.035)); #545158=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.035)); #545159=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #545160=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #545161=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #545162=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #545163=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #545164=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #545165=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #545166=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #545167=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.035)); #545168=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #545169=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #545170=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #545171=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #545172=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #545173=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.035)); #545174=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #545175=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #545176=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #545177=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #545178=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #545179=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.035)); #545180=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #545181=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #545182=CARTESIAN_POINT('Origin',(30.6,14.75,0.07)); #545183=CARTESIAN_POINT('Origin',(30.6,14.75,0.035)); #545184=CARTESIAN_POINT('',(0.,0.,0.)); #545185=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #545186=CARTESIAN_POINT('',(32.165,45.,0.)); #545187=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #545188=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #545189=CARTESIAN_POINT('',(32.165,45.,-200.)); #545190=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #545191=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #545192=CARTESIAN_POINT('',(32.769294,44.1,0.)); #545193=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #545194=CARTESIAN_POINT('',(32.769294,44.1,0.)); #545195=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #545196=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #545197=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #545198=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #545199=CARTESIAN_POINT('',(32.769294,44.1,0.)); #545200=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #545201=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #545202=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #545203=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #545204=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #545205=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #545206=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #545207=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #545208=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #545209=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #545210=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #545211=CARTESIAN_POINT('Origin',(21.2675,21.215,0.)); #545212=CARTESIAN_POINT('',(21.2675,21.215,0.)); #545213=CARTESIAN_POINT('',(21.2675,22.485,0.)); #545214=CARTESIAN_POINT('',(21.2675,21.215,0.)); #545215=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #545216=CARTESIAN_POINT('',(21.2675,22.485,0.)); #545217=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #545218=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #545219=CARTESIAN_POINT('',(21.2675,21.215,0.)); #545220=CARTESIAN_POINT('Origin',(20.6325,21.215,0.)); #545221=CARTESIAN_POINT('',(20.6325,21.215,0.)); #545222=CARTESIAN_POINT('',(20.6325,21.215,0.)); #545223=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #545224=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #545225=CARTESIAN_POINT('',(20.6325,21.215,0.)); #545226=CARTESIAN_POINT('Origin',(20.6325,22.485,0.)); #545227=CARTESIAN_POINT('',(20.6325,22.485,0.)); #545228=CARTESIAN_POINT('',(20.6325,22.485,0.)); #545229=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #545230=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #545231=CARTESIAN_POINT('',(20.6325,22.485,0.)); #545232=CARTESIAN_POINT('Origin',(21.2675,22.485,0.)); #545233=CARTESIAN_POINT('',(21.2675,22.485,0.)); #545234=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #545235=CARTESIAN_POINT('Origin',(20.95,21.85,0.035)); #545236=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #545237=CARTESIAN_POINT('Origin',(32.9,6.67,0.)); #545238=CARTESIAN_POINT('',(32.9,6.67,0.)); #545239=CARTESIAN_POINT('',(32.9,7.82,0.)); #545240=CARTESIAN_POINT('',(32.9,6.67,0.)); #545241=CARTESIAN_POINT('',(32.9,7.82,0.035)); #545242=CARTESIAN_POINT('',(32.9,7.82,0.)); #545243=CARTESIAN_POINT('',(32.9,6.67,0.035)); #545244=CARTESIAN_POINT('',(32.9,6.67,0.035)); #545245=CARTESIAN_POINT('',(32.9,6.67,0.)); #545246=CARTESIAN_POINT('Origin',(32.6,6.67,0.)); #545247=CARTESIAN_POINT('',(32.6,6.67,0.)); #545248=CARTESIAN_POINT('',(32.6,6.67,0.)); #545249=CARTESIAN_POINT('',(32.6,6.67,0.035)); #545250=CARTESIAN_POINT('',(32.6,6.67,0.035)); #545251=CARTESIAN_POINT('',(32.6,6.67,0.)); #545252=CARTESIAN_POINT('Origin',(32.6,7.82,0.)); #545253=CARTESIAN_POINT('',(32.6,7.82,0.)); #545254=CARTESIAN_POINT('',(32.6,7.82,0.)); #545255=CARTESIAN_POINT('',(32.6,7.82,0.035)); #545256=CARTESIAN_POINT('',(32.6,7.82,0.035)); #545257=CARTESIAN_POINT('',(32.6,7.82,0.)); #545258=CARTESIAN_POINT('Origin',(32.9,7.82,0.)); #545259=CARTESIAN_POINT('',(32.9,7.82,0.)); #545260=CARTESIAN_POINT('',(32.9,7.82,0.035)); #545261=CARTESIAN_POINT('Origin',(32.75,7.245,0.035)); #545262=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #545263=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #545264=CARTESIAN_POINT('',(36.285,7.1,0.)); #545265=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #545266=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #545267=CARTESIAN_POINT('',(36.285,7.1,-200.)); #545268=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #545269=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #545270=CARTESIAN_POINT('',(36.285,7.6,0.)); #545271=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #545272=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #545273=CARTESIAN_POINT('',(36.285,7.6,-200.)); #545274=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #545275=CARTESIAN_POINT('Origin',(36.705,6.665,0.)); #545276=CARTESIAN_POINT('',(36.705,6.665,0.)); #545277=CARTESIAN_POINT('',(36.705,7.825,0.)); #545278=CARTESIAN_POINT('',(36.705,6.665,0.)); #545279=CARTESIAN_POINT('',(36.705,7.825,0.035)); #545280=CARTESIAN_POINT('',(36.705,7.825,0.)); #545281=CARTESIAN_POINT('',(36.705,6.665,0.035)); #545282=CARTESIAN_POINT('',(36.705,6.665,0.035)); #545283=CARTESIAN_POINT('',(36.705,6.665,0.)); #545284=CARTESIAN_POINT('Origin',(36.095,6.665,0.)); #545285=CARTESIAN_POINT('',(36.095,6.665,0.)); #545286=CARTESIAN_POINT('',(36.095,6.665,0.)); #545287=CARTESIAN_POINT('',(36.095,6.665,0.035)); #545288=CARTESIAN_POINT('',(36.095,6.665,0.035)); #545289=CARTESIAN_POINT('',(36.095,6.665,0.)); #545290=CARTESIAN_POINT('Origin',(36.095,7.825,0.)); #545291=CARTESIAN_POINT('',(36.095,7.825,0.)); #545292=CARTESIAN_POINT('',(36.095,7.825,0.)); #545293=CARTESIAN_POINT('',(36.095,7.825,0.035)); #545294=CARTESIAN_POINT('',(36.095,7.825,0.035)); #545295=CARTESIAN_POINT('',(36.095,7.825,0.)); #545296=CARTESIAN_POINT('Origin',(36.705,7.825,0.)); #545297=CARTESIAN_POINT('',(36.705,7.825,0.)); #545298=CARTESIAN_POINT('',(36.705,7.825,0.035)); #545299=CARTESIAN_POINT('Origin',(36.4,7.245,0.035)); #545300=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #545301=CARTESIAN_POINT('Origin',(46.3998,17.5585,0.)); #545302=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #545303=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #545304=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #545305=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #545306=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #545307=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #545308=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #545309=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #545310=CARTESIAN_POINT('Origin',(45.7902,17.5585,0.)); #545311=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #545312=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #545313=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #545314=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #545315=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #545316=CARTESIAN_POINT('Origin',(45.7902,19.2095,0.)); #545317=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #545318=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #545319=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #545320=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #545321=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #545322=CARTESIAN_POINT('Origin',(46.3998,19.2095,0.)); #545323=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #545324=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #545325=CARTESIAN_POINT('Origin',(46.095,18.384,0.035)); #545326=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #545327=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #545328=CARTESIAN_POINT('',(31.485,7.6,0.)); #545329=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #545330=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #545331=CARTESIAN_POINT('',(31.485,7.6,-200.)); #545332=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #545333=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #545334=CARTESIAN_POINT('',(31.485,7.1,0.)); #545335=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #545336=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #545337=CARTESIAN_POINT('',(31.485,7.1,-200.)); #545338=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #545339=CARTESIAN_POINT('Origin',(31.905,6.665,0.)); #545340=CARTESIAN_POINT('',(31.905,6.665,0.)); #545341=CARTESIAN_POINT('',(31.905,7.825,0.)); #545342=CARTESIAN_POINT('',(31.905,6.665,0.)); #545343=CARTESIAN_POINT('',(31.905,7.825,0.035)); #545344=CARTESIAN_POINT('',(31.905,7.825,0.)); #545345=CARTESIAN_POINT('',(31.905,6.665,0.035)); #545346=CARTESIAN_POINT('',(31.905,6.665,0.035)); #545347=CARTESIAN_POINT('',(31.905,6.665,0.)); #545348=CARTESIAN_POINT('Origin',(31.295,6.665,0.)); #545349=CARTESIAN_POINT('',(31.295,6.665,0.)); #545350=CARTESIAN_POINT('',(31.295,6.665,0.)); #545351=CARTESIAN_POINT('',(31.295,6.665,0.035)); #545352=CARTESIAN_POINT('',(31.295,6.665,0.035)); #545353=CARTESIAN_POINT('',(31.295,6.665,0.)); #545354=CARTESIAN_POINT('Origin',(31.295,7.825,0.)); #545355=CARTESIAN_POINT('',(31.295,7.825,0.)); #545356=CARTESIAN_POINT('',(31.295,7.825,0.)); #545357=CARTESIAN_POINT('',(31.295,7.825,0.035)); #545358=CARTESIAN_POINT('',(31.295,7.825,0.035)); #545359=CARTESIAN_POINT('',(31.295,7.825,0.)); #545360=CARTESIAN_POINT('Origin',(31.905,7.825,0.)); #545361=CARTESIAN_POINT('',(31.905,7.825,0.)); #545362=CARTESIAN_POINT('',(31.905,7.825,0.035)); #545363=CARTESIAN_POINT('Origin',(31.6,7.245,0.035)); #545364=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #545365=CARTESIAN_POINT('Origin',(35.9,6.67,0.)); #545366=CARTESIAN_POINT('',(35.9,6.67,0.)); #545367=CARTESIAN_POINT('',(35.9,7.82,0.)); #545368=CARTESIAN_POINT('',(35.9,6.67,0.)); #545369=CARTESIAN_POINT('',(35.9,7.82,0.035)); #545370=CARTESIAN_POINT('',(35.9,7.82,0.)); #545371=CARTESIAN_POINT('',(35.9,6.67,0.035)); #545372=CARTESIAN_POINT('',(35.9,6.67,0.035)); #545373=CARTESIAN_POINT('',(35.9,6.67,0.)); #545374=CARTESIAN_POINT('Origin',(35.6,6.67,0.)); #545375=CARTESIAN_POINT('',(35.6,6.67,0.)); #545376=CARTESIAN_POINT('',(35.6,6.67,0.)); #545377=CARTESIAN_POINT('',(35.6,6.67,0.035)); #545378=CARTESIAN_POINT('',(35.6,6.67,0.035)); #545379=CARTESIAN_POINT('',(35.6,6.67,0.)); #545380=CARTESIAN_POINT('Origin',(35.6,7.82,0.)); #545381=CARTESIAN_POINT('',(35.6,7.82,0.)); #545382=CARTESIAN_POINT('',(35.6,7.82,0.)); #545383=CARTESIAN_POINT('',(35.6,7.82,0.035)); #545384=CARTESIAN_POINT('',(35.6,7.82,0.035)); #545385=CARTESIAN_POINT('',(35.6,7.82,0.)); #545386=CARTESIAN_POINT('Origin',(35.9,7.82,0.)); #545387=CARTESIAN_POINT('',(35.9,7.82,0.)); #545388=CARTESIAN_POINT('',(35.9,7.82,0.035)); #545389=CARTESIAN_POINT('Origin',(35.75,7.245,0.035)); #545390=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #545391=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #545392=CARTESIAN_POINT('',(37.085,7.1,0.)); #545393=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #545394=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #545395=CARTESIAN_POINT('',(37.085,7.1,-200.)); #545396=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #545397=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #545398=CARTESIAN_POINT('',(37.085,7.6,0.)); #545399=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #545400=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #545401=CARTESIAN_POINT('',(37.085,7.6,-200.)); #545402=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #545403=CARTESIAN_POINT('Origin',(37.505,6.665,0.)); #545404=CARTESIAN_POINT('',(37.505,6.665,0.)); #545405=CARTESIAN_POINT('',(37.505,7.825,0.)); #545406=CARTESIAN_POINT('',(37.505,6.665,0.)); #545407=CARTESIAN_POINT('',(37.505,7.825,0.035)); #545408=CARTESIAN_POINT('',(37.505,7.825,0.)); #545409=CARTESIAN_POINT('',(37.505,6.665,0.035)); #545410=CARTESIAN_POINT('',(37.505,6.665,0.035)); #545411=CARTESIAN_POINT('',(37.505,6.665,0.)); #545412=CARTESIAN_POINT('Origin',(36.895,6.665,0.)); #545413=CARTESIAN_POINT('',(36.895,6.665,0.)); #545414=CARTESIAN_POINT('',(36.895,6.665,0.)); #545415=CARTESIAN_POINT('',(36.895,6.665,0.035)); #545416=CARTESIAN_POINT('',(36.895,6.665,0.035)); #545417=CARTESIAN_POINT('',(36.895,6.665,0.)); #545418=CARTESIAN_POINT('Origin',(36.895,7.825,0.)); #545419=CARTESIAN_POINT('',(36.895,7.825,0.)); #545420=CARTESIAN_POINT('',(36.895,7.825,0.)); #545421=CARTESIAN_POINT('',(36.895,7.825,0.035)); #545422=CARTESIAN_POINT('',(36.895,7.825,0.035)); #545423=CARTESIAN_POINT('',(36.895,7.825,0.)); #545424=CARTESIAN_POINT('Origin',(37.505,7.825,0.)); #545425=CARTESIAN_POINT('',(37.505,7.825,0.)); #545426=CARTESIAN_POINT('',(37.505,7.825,0.035)); #545427=CARTESIAN_POINT('Origin',(37.2,7.245,0.035)); #545428=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #545429=CARTESIAN_POINT('Origin',(50.2098,17.5585,0.)); #545430=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #545431=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #545432=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #545433=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #545434=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #545435=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #545436=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #545437=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #545438=CARTESIAN_POINT('Origin',(49.6002,17.5585,0.)); #545439=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #545440=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #545441=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #545442=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #545443=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #545444=CARTESIAN_POINT('Origin',(49.6002,19.2095,0.)); #545445=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #545446=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #545447=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #545448=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #545449=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #545450=CARTESIAN_POINT('Origin',(50.2098,19.2095,0.)); #545451=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #545452=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #545453=CARTESIAN_POINT('Origin',(49.905,18.384,0.035)); #545454=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #545455=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #545456=CARTESIAN_POINT('',(30.685,7.6,0.)); #545457=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #545458=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #545459=CARTESIAN_POINT('',(30.685,7.6,-200.)); #545460=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #545461=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #545462=CARTESIAN_POINT('',(30.685,7.1,0.)); #545463=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #545464=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #545465=CARTESIAN_POINT('',(30.685,7.1,-200.)); #545466=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #545467=CARTESIAN_POINT('Origin',(31.105,6.665,0.)); #545468=CARTESIAN_POINT('',(31.105,6.665,0.)); #545469=CARTESIAN_POINT('',(31.105,7.825,0.)); #545470=CARTESIAN_POINT('',(31.105,6.665,0.)); #545471=CARTESIAN_POINT('',(31.105,7.825,0.035)); #545472=CARTESIAN_POINT('',(31.105,7.825,0.)); #545473=CARTESIAN_POINT('',(31.105,6.665,0.035)); #545474=CARTESIAN_POINT('',(31.105,6.665,0.035)); #545475=CARTESIAN_POINT('',(31.105,6.665,0.)); #545476=CARTESIAN_POINT('Origin',(30.495,6.665,0.)); #545477=CARTESIAN_POINT('',(30.495,6.665,0.)); #545478=CARTESIAN_POINT('',(30.495,6.665,0.)); #545479=CARTESIAN_POINT('',(30.495,6.665,0.035)); #545480=CARTESIAN_POINT('',(30.495,6.665,0.035)); #545481=CARTESIAN_POINT('',(30.495,6.665,0.)); #545482=CARTESIAN_POINT('Origin',(30.495,7.825,0.)); #545483=CARTESIAN_POINT('',(30.495,7.825,0.)); #545484=CARTESIAN_POINT('',(30.495,7.825,0.)); #545485=CARTESIAN_POINT('',(30.495,7.825,0.035)); #545486=CARTESIAN_POINT('',(30.495,7.825,0.035)); #545487=CARTESIAN_POINT('',(30.495,7.825,0.)); #545488=CARTESIAN_POINT('Origin',(31.105,7.825,0.)); #545489=CARTESIAN_POINT('',(31.105,7.825,0.)); #545490=CARTESIAN_POINT('',(31.105,7.825,0.035)); #545491=CARTESIAN_POINT('Origin',(30.8,7.245,0.035)); #545492=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #545493=CARTESIAN_POINT('Origin',(48.9398,17.5585,0.)); #545494=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #545495=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #545496=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #545497=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #545498=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #545499=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #545500=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #545501=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #545502=CARTESIAN_POINT('Origin',(48.3302,17.5585,0.)); #545503=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #545504=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #545505=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #545506=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #545507=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #545508=CARTESIAN_POINT('Origin',(48.3302,19.2095,0.)); #545509=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #545510=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #545511=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #545512=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #545513=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #545514=CARTESIAN_POINT('Origin',(48.9398,19.2095,0.)); #545515=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #545516=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #545517=CARTESIAN_POINT('Origin',(48.635,18.384,0.035)); #545518=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #545519=CARTESIAN_POINT('Origin',(19.6571,19.5555,0.)); #545520=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #545521=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #545522=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #545523=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #545524=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #545525=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #545526=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #545527=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #545528=CARTESIAN_POINT('Origin',(18.8189,19.5555,0.)); #545529=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #545530=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #545531=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #545532=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #545533=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #545534=CARTESIAN_POINT('Origin',(18.8189,20.4445,0.)); #545535=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #545536=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #545537=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #545538=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #545539=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #545540=CARTESIAN_POINT('Origin',(19.111,20.4445,0.)); #545541=CARTESIAN_POINT('',(19.111,20.4445,0.)); #545542=CARTESIAN_POINT('',(19.111,20.4445,0.)); #545543=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #545544=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #545545=CARTESIAN_POINT('',(19.111,20.4445,0.)); #545546=CARTESIAN_POINT('Origin',(19.111,20.646894,0.)); #545547=CARTESIAN_POINT('',(19.111,20.646894,0.)); #545548=CARTESIAN_POINT('',(19.111,20.646894,0.)); #545549=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #545550=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #545551=CARTESIAN_POINT('',(19.111,20.646894,0.)); #545552=CARTESIAN_POINT('Origin',(18.910197,20.847697,0.)); #545553=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #545554=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #545555=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #545556=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #545557=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #545558=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #545559=CARTESIAN_POINT('',(18.873,20.9375,0.)); #545560=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #545561=CARTESIAN_POINT('',(18.873,20.9375,0.035)); #545562=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.035)); #545563=CARTESIAN_POINT('',(18.873,20.9375,0.)); #545564=CARTESIAN_POINT('Origin',(18.873,21.215,0.)); #545565=CARTESIAN_POINT('',(18.873,21.215,0.)); #545566=CARTESIAN_POINT('',(18.873,21.215,0.)); #545567=CARTESIAN_POINT('',(18.873,21.215,0.035)); #545568=CARTESIAN_POINT('',(18.873,21.215,0.035)); #545569=CARTESIAN_POINT('',(18.873,21.215,0.)); #545570=CARTESIAN_POINT('Origin',(18.7325,21.215,0.)); #545571=CARTESIAN_POINT('',(18.7325,21.215,0.)); #545572=CARTESIAN_POINT('',(18.7325,21.215,0.)); #545573=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #545574=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #545575=CARTESIAN_POINT('',(18.7325,21.215,0.)); #545576=CARTESIAN_POINT('Origin',(18.7325,22.485,0.)); #545577=CARTESIAN_POINT('',(18.7325,22.485,0.)); #545578=CARTESIAN_POINT('',(18.7325,22.485,0.)); #545579=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #545580=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #545581=CARTESIAN_POINT('',(18.7325,22.485,0.)); #545582=CARTESIAN_POINT('Origin',(19.009403,22.485,0.)); #545583=CARTESIAN_POINT('',(19.009403,22.485,0.)); #545584=CARTESIAN_POINT('',(19.009403,22.485,0.)); #545585=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #545586=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #545587=CARTESIAN_POINT('',(19.009403,22.485,0.)); #545588=CARTESIAN_POINT('Origin',(19.428659,22.868688,0.)); #545589=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #545590=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #545591=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #545592=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #545593=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #545594=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #545595=CARTESIAN_POINT('',(19.5144,22.902,0.)); #545596=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #545597=CARTESIAN_POINT('',(19.5144,22.902,0.035)); #545598=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.035)); #545599=CARTESIAN_POINT('',(19.5144,22.902,0.)); #545600=CARTESIAN_POINT('Origin',(20.7135,22.902,0.)); #545601=CARTESIAN_POINT('',(20.7135,22.902,0.)); #545602=CARTESIAN_POINT('',(20.7135,22.902,0.)); #545603=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #545604=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #545605=CARTESIAN_POINT('',(20.7135,22.902,0.)); #545606=CARTESIAN_POINT('Origin',(20.912288,23.091844,0.)); #545607=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #545608=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #545609=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #545610=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #545611=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #545612=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #545613=CARTESIAN_POINT('',(21.,23.127,0.)); #545614=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #545615=CARTESIAN_POINT('',(21.,23.127,0.035)); #545616=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.035)); #545617=CARTESIAN_POINT('',(21.,23.127,0.)); #545618=CARTESIAN_POINT('Origin',(25.8189,23.127,0.)); #545619=CARTESIAN_POINT('',(25.8189,23.127,0.)); #545620=CARTESIAN_POINT('',(25.8189,23.127,0.)); #545621=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #545622=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #545623=CARTESIAN_POINT('',(25.8189,23.127,0.)); #545624=CARTESIAN_POINT('Origin',(25.8189,23.4445,0.)); #545625=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #545626=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #545627=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #545628=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #545629=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #545630=CARTESIAN_POINT('Origin',(26.6571,23.4445,0.)); #545631=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #545632=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #545633=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #545634=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #545635=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #545636=CARTESIAN_POINT('Origin',(26.6571,22.748663,0.)); #545637=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #545638=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #545639=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #545640=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #545641=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #545642=CARTESIAN_POINT('Origin',(27.015828,22.377,0.)); #545643=CARTESIAN_POINT('',(27.015828,22.377,0.)); #545644=CARTESIAN_POINT('',(27.015828,22.377,0.)); #545645=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #545646=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #545647=CARTESIAN_POINT('',(27.015828,22.377,0.)); #545648=CARTESIAN_POINT('Origin',(30.285338,22.377,0.)); #545649=CARTESIAN_POINT('',(30.285338,22.377,0.)); #545650=CARTESIAN_POINT('',(30.285338,22.377,0.)); #545651=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #545652=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #545653=CARTESIAN_POINT('',(30.285338,22.377,0.)); #545654=CARTESIAN_POINT('Origin',(31.721913,23.839013,0.)); #545655=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #545656=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #545657=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #545658=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #545659=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #545660=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #545661=CARTESIAN_POINT('',(31.8125,23.877,0.)); #545662=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #545663=CARTESIAN_POINT('',(31.8125,23.877,0.035)); #545664=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.035)); #545665=CARTESIAN_POINT('',(31.8125,23.877,0.)); #545666=CARTESIAN_POINT('Origin',(36.1875,23.877,0.)); #545667=CARTESIAN_POINT('',(36.1875,23.877,0.)); #545668=CARTESIAN_POINT('',(36.1875,23.877,0.)); #545669=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #545670=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #545671=CARTESIAN_POINT('',(36.1875,23.877,0.)); #545672=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #545673=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #545674=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #545675=CARTESIAN_POINT('',(36.277303,23.839803,0.035)); #545676=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.035)); #545677=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #545678=CARTESIAN_POINT('Origin',(37.740106,22.377,0.)); #545679=CARTESIAN_POINT('',(37.740106,22.377,0.)); #545680=CARTESIAN_POINT('',(37.740106,22.377,0.)); #545681=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #545682=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #545683=CARTESIAN_POINT('',(37.740106,22.377,0.)); #545684=CARTESIAN_POINT('Origin',(49.134894,22.377,0.)); #545685=CARTESIAN_POINT('',(49.134894,22.377,0.)); #545686=CARTESIAN_POINT('',(49.134894,22.377,0.)); #545687=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #545688=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #545689=CARTESIAN_POINT('',(49.134894,22.377,0.)); #545690=CARTESIAN_POINT('Origin',(49.6002,22.842306,0.)); #545691=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #545692=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #545693=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #545694=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #545695=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #545696=CARTESIAN_POINT('Origin',(49.6002,24.4415,0.)); #545697=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #545698=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #545699=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #545700=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #545701=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #545702=CARTESIAN_POINT('Origin',(50.2098,24.4415,0.)); #545703=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #545704=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #545705=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #545706=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #545707=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #545708=CARTESIAN_POINT('Origin',(50.2098,22.7905,0.)); #545709=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #545710=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #545711=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #545712=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #545713=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #545714=CARTESIAN_POINT('Origin',(49.907606,22.7905,0.)); #545715=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #545716=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #545717=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #545718=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #545719=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #545720=CARTESIAN_POINT('Origin',(49.277303,22.160197,0.)); #545721=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #545722=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #545723=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #545724=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #545725=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #545726=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #545727=CARTESIAN_POINT('',(49.1875,22.123,0.)); #545728=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #545729=CARTESIAN_POINT('',(49.1875,22.123,0.035)); #545730=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.035)); #545731=CARTESIAN_POINT('',(49.1875,22.123,0.)); #545732=CARTESIAN_POINT('Origin',(37.6875,22.123,0.)); #545733=CARTESIAN_POINT('',(37.6875,22.123,0.)); #545734=CARTESIAN_POINT('',(37.6875,22.123,0.)); #545735=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #545736=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #545737=CARTESIAN_POINT('',(37.6875,22.123,0.)); #545738=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #545739=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #545740=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #545741=CARTESIAN_POINT('',(37.597697,22.160197,0.035)); #545742=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.035)); #545743=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #545744=CARTESIAN_POINT('Origin',(36.134894,23.623,0.)); #545745=CARTESIAN_POINT('',(36.134894,23.623,0.)); #545746=CARTESIAN_POINT('',(36.134894,23.623,0.)); #545747=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #545748=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #545749=CARTESIAN_POINT('',(36.134894,23.623,0.)); #545750=CARTESIAN_POINT('Origin',(31.865763,23.623,0.)); #545751=CARTESIAN_POINT('',(31.865763,23.623,0.)); #545752=CARTESIAN_POINT('',(31.865763,23.623,0.)); #545753=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #545754=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #545755=CARTESIAN_POINT('',(31.865763,23.623,0.)); #545756=CARTESIAN_POINT('Origin',(30.429188,22.160988,0.)); #545757=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #545758=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #545759=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #545760=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #545761=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #545762=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #545763=CARTESIAN_POINT('',(30.3386,22.123,0.)); #545764=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #545765=CARTESIAN_POINT('',(30.3386,22.123,0.035)); #545766=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.035)); #545767=CARTESIAN_POINT('',(30.3386,22.123,0.)); #545768=CARTESIAN_POINT('Origin',(26.9619,22.123,0.)); #545769=CARTESIAN_POINT('',(26.9619,22.123,0.)); #545770=CARTESIAN_POINT('',(26.9619,22.123,0.)); #545771=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #545772=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #545773=CARTESIAN_POINT('',(26.9619,22.123,0.)); #545774=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #545775=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #545776=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #545777=CARTESIAN_POINT('',(26.870522,22.1618,0.035)); #545778=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.035)); #545779=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #545780=CARTESIAN_POINT('Origin',(26.490522,22.5555,0.)); #545781=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #545782=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #545783=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #545784=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #545785=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #545786=CARTESIAN_POINT('Origin',(25.8189,22.5555,0.)); #545787=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #545788=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #545789=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #545790=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #545791=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #545792=CARTESIAN_POINT('Origin',(25.8189,22.873,0.)); #545793=CARTESIAN_POINT('',(25.8189,22.873,0.)); #545794=CARTESIAN_POINT('',(25.8189,22.873,0.)); #545795=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #545796=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #545797=CARTESIAN_POINT('',(25.8189,22.873,0.)); #545798=CARTESIAN_POINT('Origin',(21.0509,22.873,0.)); #545799=CARTESIAN_POINT('',(21.0509,22.873,0.)); #545800=CARTESIAN_POINT('',(21.0509,22.873,0.)); #545801=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #545802=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #545803=CARTESIAN_POINT('',(21.0509,22.873,0.)); #545804=CARTESIAN_POINT('Origin',(20.852113,22.683156,0.)); #545805=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #545806=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #545807=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #545808=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #545809=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #545810=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #545811=CARTESIAN_POINT('',(20.7644,22.648,0.)); #545812=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #545813=CARTESIAN_POINT('',(20.7644,22.648,0.035)); #545814=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.035)); #545815=CARTESIAN_POINT('',(20.7644,22.648,0.)); #545816=CARTESIAN_POINT('Origin',(19.563741,22.648,0.)); #545817=CARTESIAN_POINT('',(19.563741,22.648,0.)); #545818=CARTESIAN_POINT('',(19.563741,22.648,0.)); #545819=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #545820=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #545821=CARTESIAN_POINT('',(19.563741,22.648,0.)); #545822=CARTESIAN_POINT('Origin',(19.3675,22.468409,0.)); #545823=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #545824=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #545825=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #545826=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #545827=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #545828=CARTESIAN_POINT('Origin',(19.3675,21.215,0.)); #545829=CARTESIAN_POINT('',(19.3675,21.215,0.)); #545830=CARTESIAN_POINT('',(19.3675,21.215,0.)); #545831=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #545832=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #545833=CARTESIAN_POINT('',(19.3675,21.215,0.)); #545834=CARTESIAN_POINT('Origin',(19.127,21.215,0.)); #545835=CARTESIAN_POINT('',(19.127,21.215,0.)); #545836=CARTESIAN_POINT('',(19.127,21.215,0.)); #545837=CARTESIAN_POINT('',(19.127,21.215,0.035)); #545838=CARTESIAN_POINT('',(19.127,21.215,0.035)); #545839=CARTESIAN_POINT('',(19.127,21.215,0.)); #545840=CARTESIAN_POINT('Origin',(19.127,20.990106,0.)); #545841=CARTESIAN_POINT('',(19.127,20.990106,0.)); #545842=CARTESIAN_POINT('',(19.127,20.990106,0.)); #545843=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #545844=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #545845=CARTESIAN_POINT('',(19.127,20.990106,0.)); #545846=CARTESIAN_POINT('Origin',(19.327803,20.789303,0.)); #545847=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #545848=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #545849=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #545850=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #545851=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #545852=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #545853=CARTESIAN_POINT('',(19.365,20.6995,0.)); #545854=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #545855=CARTESIAN_POINT('',(19.365,20.6995,0.035)); #545856=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.035)); #545857=CARTESIAN_POINT('',(19.365,20.6995,0.)); #545858=CARTESIAN_POINT('Origin',(19.365,20.4445,0.)); #545859=CARTESIAN_POINT('',(19.365,20.4445,0.)); #545860=CARTESIAN_POINT('',(19.365,20.4445,0.)); #545861=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #545862=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #545863=CARTESIAN_POINT('',(19.365,20.4445,0.)); #545864=CARTESIAN_POINT('Origin',(19.6571,20.4445,0.)); #545865=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #545866=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #545867=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.035)); #545868=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.)); #545869=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #545870=CARTESIAN_POINT('',(35.61,11.25,0.)); #545871=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #545872=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #545873=CARTESIAN_POINT('',(35.61,11.25,-200.)); #545874=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #545875=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #545876=CARTESIAN_POINT('',(30.36,11.25,0.)); #545877=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #545878=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #545879=CARTESIAN_POINT('',(30.36,11.25,-200.)); #545880=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #545881=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #545882=CARTESIAN_POINT('',(30.521644,10.975,0.)); #545883=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #545884=CARTESIAN_POINT('',(30.521644,10.975,0.)); #545885=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #545886=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #545887=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #545888=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #545889=CARTESIAN_POINT('',(30.521644,10.975,0.)); #545890=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #545891=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #545892=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #545893=CARTESIAN_POINT('',(30.245147,11.144438,0.035)); #545894=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.035)); #545895=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #545896=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #545897=CARTESIAN_POINT('',(30.743928,11.377,0.)); #545898=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #545899=CARTESIAN_POINT('',(30.743928,11.377,0.035)); #545900=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.035)); #545901=CARTESIAN_POINT('',(30.743928,11.377,0.)); #545902=CARTESIAN_POINT('Origin',(35.506072,11.377,0.)); #545903=CARTESIAN_POINT('',(35.506072,11.377,0.)); #545904=CARTESIAN_POINT('',(35.506072,11.377,0.)); #545905=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #545906=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #545907=CARTESIAN_POINT('',(35.506072,11.377,0.)); #545908=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #545909=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #545910=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #545911=CARTESIAN_POINT('',(36.004853,11.355563,0.035)); #545912=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.035)); #545913=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #545914=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #545915=CARTESIAN_POINT('',(35.506072,11.123,0.)); #545916=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #545917=CARTESIAN_POINT('',(35.506072,11.123,0.035)); #545918=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.035)); #545919=CARTESIAN_POINT('',(35.506072,11.123,0.)); #545920=CARTESIAN_POINT('Origin',(30.743928,11.123,0.)); #545921=CARTESIAN_POINT('',(30.743928,11.123,0.)); #545922=CARTESIAN_POINT('',(30.743928,11.123,0.)); #545923=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #545924=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #545925=CARTESIAN_POINT('',(30.743928,11.123,0.)); #545926=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #545927=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #545928=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.035)); #545929=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.035)); #545930=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.)); #545931=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #545932=CARTESIAN_POINT('',(35.11,6.25,0.)); #545933=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #545934=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #545935=CARTESIAN_POINT('',(35.11,6.25,-200.)); #545936=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #545937=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #545938=CARTESIAN_POINT('',(35.271644,5.975,0.)); #545939=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #545940=CARTESIAN_POINT('',(35.271644,5.975,0.)); #545941=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #545942=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #545943=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #545944=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #545945=CARTESIAN_POINT('',(35.271644,5.975,0.)); #545946=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #545947=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #545948=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #545949=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #545950=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #545951=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #545952=CARTESIAN_POINT('Origin',(35.123,6.67,0.)); #545953=CARTESIAN_POINT('',(35.123,6.67,0.)); #545954=CARTESIAN_POINT('',(35.123,6.67,0.)); #545955=CARTESIAN_POINT('',(35.123,6.67,0.035)); #545956=CARTESIAN_POINT('',(35.123,6.67,0.035)); #545957=CARTESIAN_POINT('',(35.123,6.67,0.)); #545958=CARTESIAN_POINT('Origin',(35.1,6.67,0.)); #545959=CARTESIAN_POINT('',(35.1,6.67,0.)); #545960=CARTESIAN_POINT('',(35.1,6.67,0.)); #545961=CARTESIAN_POINT('',(35.1,6.67,0.035)); #545962=CARTESIAN_POINT('',(35.1,6.67,0.035)); #545963=CARTESIAN_POINT('',(35.1,6.67,0.)); #545964=CARTESIAN_POINT('Origin',(35.1,7.82,0.)); #545965=CARTESIAN_POINT('',(35.1,7.82,0.)); #545966=CARTESIAN_POINT('',(35.1,7.82,0.)); #545967=CARTESIAN_POINT('',(35.1,7.82,0.035)); #545968=CARTESIAN_POINT('',(35.1,7.82,0.035)); #545969=CARTESIAN_POINT('',(35.1,7.82,0.)); #545970=CARTESIAN_POINT('Origin',(35.4,7.82,0.)); #545971=CARTESIAN_POINT('',(35.4,7.82,0.)); #545972=CARTESIAN_POINT('',(35.4,7.82,0.)); #545973=CARTESIAN_POINT('',(35.4,7.82,0.035)); #545974=CARTESIAN_POINT('',(35.4,7.82,0.035)); #545975=CARTESIAN_POINT('',(35.4,7.82,0.)); #545976=CARTESIAN_POINT('Origin',(35.4,6.67,0.)); #545977=CARTESIAN_POINT('',(35.4,6.67,0.)); #545978=CARTESIAN_POINT('',(35.4,6.67,0.)); #545979=CARTESIAN_POINT('',(35.4,6.67,0.035)); #545980=CARTESIAN_POINT('',(35.4,6.67,0.035)); #545981=CARTESIAN_POINT('',(35.4,6.67,0.)); #545982=CARTESIAN_POINT('Origin',(35.377,6.67,0.)); #545983=CARTESIAN_POINT('',(35.377,6.67,0.)); #545984=CARTESIAN_POINT('',(35.377,6.67,0.)); #545985=CARTESIAN_POINT('',(35.377,6.67,0.035)); #545986=CARTESIAN_POINT('',(35.377,6.67,0.035)); #545987=CARTESIAN_POINT('',(35.377,6.67,0.)); #545988=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #545989=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #545990=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #545991=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #545992=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #545993=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #545994=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #545995=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #545996=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #545997=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.035)); #545998=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.)); #545999=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #546000=CARTESIAN_POINT('',(32.61,8.25,0.)); #546001=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #546002=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #546003=CARTESIAN_POINT('',(32.61,8.25,-200.)); #546004=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #546005=CARTESIAN_POINT('Origin',(32.4,6.67,0.)); #546006=CARTESIAN_POINT('',(32.4,6.67,0.)); #546007=CARTESIAN_POINT('',(32.4,7.82,0.)); #546008=CARTESIAN_POINT('',(32.4,6.67,0.)); #546009=CARTESIAN_POINT('',(32.4,7.82,0.035)); #546010=CARTESIAN_POINT('',(32.4,7.82,0.)); #546011=CARTESIAN_POINT('',(32.4,6.67,0.035)); #546012=CARTESIAN_POINT('',(32.4,6.67,0.035)); #546013=CARTESIAN_POINT('',(32.4,6.67,0.)); #546014=CARTESIAN_POINT('Origin',(32.1,6.67,0.)); #546015=CARTESIAN_POINT('',(32.1,6.67,0.)); #546016=CARTESIAN_POINT('',(32.1,6.67,0.)); #546017=CARTESIAN_POINT('',(32.1,6.67,0.035)); #546018=CARTESIAN_POINT('',(32.1,6.67,0.035)); #546019=CARTESIAN_POINT('',(32.1,6.67,0.)); #546020=CARTESIAN_POINT('Origin',(32.1,7.82,0.)); #546021=CARTESIAN_POINT('',(32.1,7.82,0.)); #546022=CARTESIAN_POINT('',(32.1,7.82,0.)); #546023=CARTESIAN_POINT('',(32.1,7.82,0.035)); #546024=CARTESIAN_POINT('',(32.1,7.82,0.035)); #546025=CARTESIAN_POINT('',(32.1,7.82,0.)); #546026=CARTESIAN_POINT('Origin',(32.123,7.82,0.)); #546027=CARTESIAN_POINT('',(32.123,7.82,0.)); #546028=CARTESIAN_POINT('',(32.123,7.82,0.)); #546029=CARTESIAN_POINT('',(32.123,7.82,0.035)); #546030=CARTESIAN_POINT('',(32.123,7.82,0.035)); #546031=CARTESIAN_POINT('',(32.123,7.82,0.)); #546032=CARTESIAN_POINT('Origin',(32.123,8.,0.)); #546033=CARTESIAN_POINT('',(32.123,8.,0.)); #546034=CARTESIAN_POINT('',(32.123,8.,0.)); #546035=CARTESIAN_POINT('',(32.123,8.,0.035)); #546036=CARTESIAN_POINT('',(32.123,8.,0.035)); #546037=CARTESIAN_POINT('',(32.123,8.,0.)); #546038=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #546039=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #546040=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #546041=CARTESIAN_POINT('',(32.160197,8.0898031,0.035)); #546042=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.035)); #546043=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #546044=CARTESIAN_POINT('Origin',(32.410197,8.3398031,0.)); #546045=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #546046=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #546047=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #546048=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #546049=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #546050=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #546051=CARTESIAN_POINT('',(32.506072,8.377,0.)); #546052=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #546053=CARTESIAN_POINT('',(32.506072,8.377,0.035)); #546054=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.035)); #546055=CARTESIAN_POINT('',(32.506072,8.377,0.)); #546056=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #546057=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #546058=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #546059=CARTESIAN_POINT('',(32.9852,8.3941313,0.035)); #546060=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.035)); #546061=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #546062=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #546063=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #546064=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #546065=CARTESIAN_POINT('',(32.5235,8.0938938,0.035)); #546066=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.035)); #546067=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #546068=CARTESIAN_POINT('Origin',(32.377,7.9473938,0.)); #546069=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #546070=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #546071=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #546072=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #546073=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #546074=CARTESIAN_POINT('Origin',(32.377,7.82,0.)); #546075=CARTESIAN_POINT('',(32.377,7.82,0.)); #546076=CARTESIAN_POINT('',(32.377,7.82,0.)); #546077=CARTESIAN_POINT('',(32.377,7.82,0.035)); #546078=CARTESIAN_POINT('',(32.377,7.82,0.035)); #546079=CARTESIAN_POINT('',(32.377,7.82,0.)); #546080=CARTESIAN_POINT('Origin',(32.4,7.82,0.)); #546081=CARTESIAN_POINT('',(32.4,7.82,0.)); #546082=CARTESIAN_POINT('',(32.4,7.82,0.035)); #546083=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.035)); #546084=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.)); #546085=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #546086=CARTESIAN_POINT('',(33.86,55.,0.)); #546087=CARTESIAN_POINT('Origin',(34.,55.,0.)); #546088=CARTESIAN_POINT('',(33.86,55.,0.0349999999999895)); #546089=CARTESIAN_POINT('',(33.86,55.,-200.)); #546090=CARTESIAN_POINT('Origin',(34.,55.,0.0349999999999895)); #546091=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #546092=CARTESIAN_POINT('',(42.36,14.25,0.)); #546093=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #546094=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #546095=CARTESIAN_POINT('',(42.36,14.25,-200.)); #546096=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #546097=CARTESIAN_POINT('Origin',(42.521644,13.975,0.)); #546098=CARTESIAN_POINT('',(42.521644,13.975,0.)); #546099=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #546100=CARTESIAN_POINT('',(42.521644,13.975,0.)); #546101=CARTESIAN_POINT('',(42.564397,13.981772,0.035)); #546102=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #546103=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #546104=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #546105=CARTESIAN_POINT('',(42.521644,13.975,0.)); #546106=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #546107=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #546108=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #546109=CARTESIAN_POINT('',(42.245147,14.144438,0.035)); #546110=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.035)); #546111=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #546112=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #546113=CARTESIAN_POINT('',(42.743928,14.377,0.)); #546114=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #546115=CARTESIAN_POINT('',(42.743928,14.377,0.035)); #546116=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.035)); #546117=CARTESIAN_POINT('',(42.743928,14.377,0.)); #546118=CARTESIAN_POINT('Origin',(54.477725,14.377,0.)); #546119=CARTESIAN_POINT('',(54.477725,14.377,0.)); #546120=CARTESIAN_POINT('',(54.477725,14.377,0.)); #546121=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #546122=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #546123=CARTESIAN_POINT('',(54.477725,14.377,0.)); #546124=CARTESIAN_POINT('Origin',(59.748,19.647275,0.)); #546125=CARTESIAN_POINT('',(59.748,19.647275,0.)); #546126=CARTESIAN_POINT('',(59.748,19.647275,0.)); #546127=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #546128=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #546129=CARTESIAN_POINT('',(59.748,19.647275,0.)); #546130=CARTESIAN_POINT('Origin',(59.748,38.102725,0.)); #546131=CARTESIAN_POINT('',(59.748,38.102725,0.)); #546132=CARTESIAN_POINT('',(59.748,38.102725,0.)); #546133=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #546134=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #546135=CARTESIAN_POINT('',(59.748,38.102725,0.)); #546136=CARTESIAN_POINT('Origin',(57.602725,40.248,0.)); #546137=CARTESIAN_POINT('',(57.602725,40.248,0.)); #546138=CARTESIAN_POINT('',(57.602725,40.248,0.)); #546139=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #546140=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #546141=CARTESIAN_POINT('',(57.602725,40.248,0.)); #546142=CARTESIAN_POINT('Origin',(48.4375,40.248,0.)); #546143=CARTESIAN_POINT('',(48.4375,40.248,0.)); #546144=CARTESIAN_POINT('',(48.4375,40.248,0.)); #546145=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #546146=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #546147=CARTESIAN_POINT('',(48.4375,40.248,0.)); #546148=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #546149=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #546150=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #546151=CARTESIAN_POINT('',(48.347697,40.285197,0.035)); #546152=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.035)); #546153=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #546154=CARTESIAN_POINT('Origin',(46.884894,41.748,0.)); #546155=CARTESIAN_POINT('',(46.884894,41.748,0.)); #546156=CARTESIAN_POINT('',(46.884894,41.748,0.)); #546157=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #546158=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #546159=CARTESIAN_POINT('',(46.884894,41.748,0.)); #546160=CARTESIAN_POINT('Origin',(43.4375,41.748,0.)); #546161=CARTESIAN_POINT('',(43.4375,41.748,0.)); #546162=CARTESIAN_POINT('',(43.4375,41.748,0.)); #546163=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #546164=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #546165=CARTESIAN_POINT('',(43.4375,41.748,0.)); #546166=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #546167=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #546168=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #546169=CARTESIAN_POINT('',(43.347697,41.785197,0.035)); #546170=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.035)); #546171=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #546172=CARTESIAN_POINT('Origin',(42.285197,42.847697,0.)); #546173=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #546174=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #546175=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #546176=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #546177=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #546178=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #546179=CARTESIAN_POINT('',(42.248,42.9375,0.)); #546180=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #546181=CARTESIAN_POINT('',(42.248,42.9375,0.035)); #546182=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.035)); #546183=CARTESIAN_POINT('',(42.248,42.9375,0.)); #546184=CARTESIAN_POINT('Origin',(42.248,49.602731,0.)); #546185=CARTESIAN_POINT('',(42.248,49.602731,0.)); #546186=CARTESIAN_POINT('',(42.248,49.602731,0.)); #546187=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #546188=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #546189=CARTESIAN_POINT('',(42.248,49.602731,0.)); #546190=CARTESIAN_POINT('Origin',(41.352731,50.498,0.)); #546191=CARTESIAN_POINT('',(41.352731,50.498,0.)); #546192=CARTESIAN_POINT('',(41.352731,50.498,0.)); #546193=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #546194=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #546195=CARTESIAN_POINT('',(41.352731,50.498,0.)); #546196=CARTESIAN_POINT('Origin',(36.5,50.498,0.)); #546197=CARTESIAN_POINT('',(36.5,50.498,0.)); #546198=CARTESIAN_POINT('',(36.5,50.498,0.)); #546199=CARTESIAN_POINT('',(36.5,50.498,0.035)); #546200=CARTESIAN_POINT('',(36.5,50.498,0.035)); #546201=CARTESIAN_POINT('',(36.5,50.498,0.)); #546202=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #546203=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #546204=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #546205=CARTESIAN_POINT('',(36.410197,50.535197,0.035)); #546206=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.035)); #546207=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #546208=CARTESIAN_POINT('Origin',(33.910197,53.035197,0.)); #546209=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #546210=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #546211=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #546212=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #546213=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #546214=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #546215=CARTESIAN_POINT('',(33.873,53.125,0.)); #546216=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #546217=CARTESIAN_POINT('',(33.873,53.125,0.035)); #546218=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.035)); #546219=CARTESIAN_POINT('',(33.873,53.125,0.)); #546220=CARTESIAN_POINT('Origin',(33.873,54.756072,0.)); #546221=CARTESIAN_POINT('',(33.873,54.756072,0.)); #546222=CARTESIAN_POINT('',(33.873,54.756072,0.)); #546223=CARTESIAN_POINT('',(33.873,54.756072,0.035)); #546224=CARTESIAN_POINT('',(33.873,54.756072,0.035)); #546225=CARTESIAN_POINT('',(33.873,54.756072,0.)); #546226=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.)); #546227=CARTESIAN_POINT('',(33.894438,55.254853,0.)); #546228=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.)); #546229=CARTESIAN_POINT('',(33.894438,55.254853,0.035)); #546230=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.035)); #546231=CARTESIAN_POINT('',(33.894438,55.254853,0.)); #546232=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.)); #546233=CARTESIAN_POINT('',(34.127,54.756072,0.)); #546234=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.)); #546235=CARTESIAN_POINT('',(34.127,54.756072,0.035)); #546236=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.035)); #546237=CARTESIAN_POINT('',(34.127,54.756072,0.)); #546238=CARTESIAN_POINT('Origin',(34.127,53.177606,0.)); #546239=CARTESIAN_POINT('',(34.127,53.177606,0.)); #546240=CARTESIAN_POINT('',(34.127,53.177606,0.)); #546241=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #546242=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #546243=CARTESIAN_POINT('',(34.127,53.177606,0.)); #546244=CARTESIAN_POINT('Origin',(36.552606,50.752,0.)); #546245=CARTESIAN_POINT('',(36.552606,50.752,0.)); #546246=CARTESIAN_POINT('',(36.552606,50.752,0.)); #546247=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #546248=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #546249=CARTESIAN_POINT('',(36.552606,50.752,0.)); #546250=CARTESIAN_POINT('Origin',(41.405338,50.752,0.)); #546251=CARTESIAN_POINT('',(41.405338,50.752,0.)); #546252=CARTESIAN_POINT('',(41.405338,50.752,0.)); #546253=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #546254=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #546255=CARTESIAN_POINT('',(41.405338,50.752,0.)); #546256=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #546257=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #546258=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #546259=CARTESIAN_POINT('',(41.495141,50.714803,0.035)); #546260=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.035)); #546261=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #546262=CARTESIAN_POINT('Origin',(42.464803,49.745141,0.)); #546263=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #546264=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #546265=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #546266=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #546267=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #546268=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #546269=CARTESIAN_POINT('',(42.502,49.655338,0.)); #546270=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #546271=CARTESIAN_POINT('',(42.502,49.655338,0.035)); #546272=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.035)); #546273=CARTESIAN_POINT('',(42.502,49.655338,0.)); #546274=CARTESIAN_POINT('Origin',(42.502,42.990106,0.)); #546275=CARTESIAN_POINT('',(42.502,42.990106,0.)); #546276=CARTESIAN_POINT('',(42.502,42.990106,0.)); #546277=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #546278=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #546279=CARTESIAN_POINT('',(42.502,42.990106,0.)); #546280=CARTESIAN_POINT('Origin',(43.490106,42.002,0.)); #546281=CARTESIAN_POINT('',(43.490106,42.002,0.)); #546282=CARTESIAN_POINT('',(43.490106,42.002,0.)); #546283=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #546284=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #546285=CARTESIAN_POINT('',(43.490106,42.002,0.)); #546286=CARTESIAN_POINT('Origin',(46.9375,42.002,0.)); #546287=CARTESIAN_POINT('',(46.9375,42.002,0.)); #546288=CARTESIAN_POINT('',(46.9375,42.002,0.)); #546289=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #546290=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #546291=CARTESIAN_POINT('',(46.9375,42.002,0.)); #546292=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #546293=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #546294=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #546295=CARTESIAN_POINT('',(47.027303,41.964803,0.035)); #546296=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.035)); #546297=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #546298=CARTESIAN_POINT('Origin',(48.490106,40.502,0.)); #546299=CARTESIAN_POINT('',(48.490106,40.502,0.)); #546300=CARTESIAN_POINT('',(48.490106,40.502,0.)); #546301=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #546302=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #546303=CARTESIAN_POINT('',(48.490106,40.502,0.)); #546304=CARTESIAN_POINT('Origin',(57.655331,40.502,0.)); #546305=CARTESIAN_POINT('',(57.655331,40.502,0.)); #546306=CARTESIAN_POINT('',(57.655331,40.502,0.)); #546307=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #546308=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #546309=CARTESIAN_POINT('',(57.655331,40.502,0.)); #546310=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #546311=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #546312=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #546313=CARTESIAN_POINT('',(57.745134,40.464803,0.035)); #546314=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.035)); #546315=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #546316=CARTESIAN_POINT('Origin',(59.964803,38.245134,0.)); #546317=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #546318=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #546319=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #546320=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #546321=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #546322=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #546323=CARTESIAN_POINT('',(60.002,38.155331,0.)); #546324=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #546325=CARTESIAN_POINT('',(60.002,38.155331,0.035)); #546326=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.035)); #546327=CARTESIAN_POINT('',(60.002,38.155331,0.)); #546328=CARTESIAN_POINT('Origin',(60.002,19.594669,0.)); #546329=CARTESIAN_POINT('',(60.002,19.594669,0.)); #546330=CARTESIAN_POINT('',(60.002,19.594669,0.)); #546331=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #546332=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #546333=CARTESIAN_POINT('',(60.002,19.594669,0.)); #546334=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #546335=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #546336=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #546337=CARTESIAN_POINT('',(59.964803,19.504866,0.035)); #546338=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.035)); #546339=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #546340=CARTESIAN_POINT('Origin',(54.620134,14.160197,0.)); #546341=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #546342=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #546343=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #546344=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #546345=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #546346=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #546347=CARTESIAN_POINT('',(54.530331,14.123,0.)); #546348=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #546349=CARTESIAN_POINT('',(54.530331,14.123,0.035)); #546350=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.035)); #546351=CARTESIAN_POINT('',(54.530331,14.123,0.)); #546352=CARTESIAN_POINT('Origin',(42.743928,14.123,0.)); #546353=CARTESIAN_POINT('',(42.743928,14.123,0.)); #546354=CARTESIAN_POINT('',(42.743928,14.123,0.)); #546355=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #546356=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #546357=CARTESIAN_POINT('',(42.743928,14.123,0.)); #546358=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #546359=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #546360=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.035)); #546361=CARTESIAN_POINT('Origin',(50.4275280783043,33.432839379361,0.035)); #546362=CARTESIAN_POINT('Origin',(50.4275280783043,33.432839379361,0.)); #546363=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #546364=CARTESIAN_POINT('',(41.11,13.0029,0.)); #546365=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #546366=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #546367=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #546368=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #546369=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #546370=CARTESIAN_POINT('',(34.7975,12.25,0.)); #546371=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #546372=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #546373=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #546374=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #546375=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #546376=CARTESIAN_POINT('',(34.959144,11.975,0.)); #546377=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #546378=CARTESIAN_POINT('',(34.959144,11.975,0.)); #546379=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #546380=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #546381=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #546382=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #546383=CARTESIAN_POINT('',(34.959144,11.975,0.)); #546384=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #546385=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #546386=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #546387=CARTESIAN_POINT('',(34.682647,12.144438,0.035)); #546388=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.035)); #546389=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #546390=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #546391=CARTESIAN_POINT('',(35.181428,12.377,0.)); #546392=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #546393=CARTESIAN_POINT('',(35.181428,12.377,0.035)); #546394=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.035)); #546395=CARTESIAN_POINT('',(35.181428,12.377,0.)); #546396=CARTESIAN_POINT('Origin',(40.444494,12.377,0.)); #546397=CARTESIAN_POINT('',(40.444494,12.377,0.)); #546398=CARTESIAN_POINT('',(40.444494,12.377,0.)); #546399=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #546400=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #546401=CARTESIAN_POINT('',(40.444494,12.377,0.)); #546402=CARTESIAN_POINT('Origin',(40.987713,12.920219,0.)); #546403=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #546404=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #546405=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #546406=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #546407=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #546408=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #546409=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #546410=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #546411=CARTESIAN_POINT('',(41.355563,13.257753,0.035)); #546412=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.035)); #546413=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #546414=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #546415=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #546416=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #546417=CARTESIAN_POINT('',(41.167319,12.740613,0.035)); #546418=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.035)); #546419=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #546420=CARTESIAN_POINT('Origin',(40.586903,12.160197,0.)); #546421=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #546422=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #546423=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #546424=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #546425=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #546426=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #546427=CARTESIAN_POINT('',(40.4971,12.123,0.)); #546428=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #546429=CARTESIAN_POINT('',(40.4971,12.123,0.035)); #546430=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.035)); #546431=CARTESIAN_POINT('',(40.4971,12.123,0.)); #546432=CARTESIAN_POINT('Origin',(35.181428,12.123,0.)); #546433=CARTESIAN_POINT('',(35.181428,12.123,0.)); #546434=CARTESIAN_POINT('',(35.181428,12.123,0.)); #546435=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #546436=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #546437=CARTESIAN_POINT('',(35.181428,12.123,0.)); #546438=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #546439=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #546440=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.035)); #546441=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.035)); #546442=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.)); #546443=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #546444=CARTESIAN_POINT('',(34.11,8.25,0.)); #546445=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #546446=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #546447=CARTESIAN_POINT('',(34.11,8.25,-200.)); #546448=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #546449=CARTESIAN_POINT('Origin',(33.9,6.67,0.)); #546450=CARTESIAN_POINT('',(33.9,6.67,0.)); #546451=CARTESIAN_POINT('',(33.9,7.82,0.)); #546452=CARTESIAN_POINT('',(33.9,6.67,0.)); #546453=CARTESIAN_POINT('',(33.9,7.82,0.035)); #546454=CARTESIAN_POINT('',(33.9,7.82,0.)); #546455=CARTESIAN_POINT('',(33.9,6.67,0.035)); #546456=CARTESIAN_POINT('',(33.9,6.67,0.035)); #546457=CARTESIAN_POINT('',(33.9,6.67,0.)); #546458=CARTESIAN_POINT('Origin',(33.6,6.67,0.)); #546459=CARTESIAN_POINT('',(33.6,6.67,0.)); #546460=CARTESIAN_POINT('',(33.6,6.67,0.)); #546461=CARTESIAN_POINT('',(33.6,6.67,0.035)); #546462=CARTESIAN_POINT('',(33.6,6.67,0.035)); #546463=CARTESIAN_POINT('',(33.6,6.67,0.)); #546464=CARTESIAN_POINT('Origin',(33.6,7.82,0.)); #546465=CARTESIAN_POINT('',(33.6,7.82,0.)); #546466=CARTESIAN_POINT('',(33.6,7.82,0.)); #546467=CARTESIAN_POINT('',(33.6,7.82,0.035)); #546468=CARTESIAN_POINT('',(33.6,7.82,0.035)); #546469=CARTESIAN_POINT('',(33.6,7.82,0.)); #546470=CARTESIAN_POINT('Origin',(33.623,7.82,0.)); #546471=CARTESIAN_POINT('',(33.623,7.82,0.)); #546472=CARTESIAN_POINT('',(33.623,7.82,0.)); #546473=CARTESIAN_POINT('',(33.623,7.82,0.035)); #546474=CARTESIAN_POINT('',(33.623,7.82,0.035)); #546475=CARTESIAN_POINT('',(33.623,7.82,0.)); #546476=CARTESIAN_POINT('Origin',(33.623,8.,0.)); #546477=CARTESIAN_POINT('',(33.623,8.,0.)); #546478=CARTESIAN_POINT('',(33.623,8.,0.)); #546479=CARTESIAN_POINT('',(33.623,8.,0.035)); #546480=CARTESIAN_POINT('',(33.623,8.,0.035)); #546481=CARTESIAN_POINT('',(33.623,8.,0.)); #546482=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #546483=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #546484=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #546485=CARTESIAN_POINT('',(33.660197,8.0898031,0.035)); #546486=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.035)); #546487=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #546488=CARTESIAN_POINT('Origin',(33.910197,8.3398031,0.)); #546489=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #546490=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #546491=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #546492=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #546493=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #546494=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #546495=CARTESIAN_POINT('',(34.006072,8.377,0.)); #546496=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #546497=CARTESIAN_POINT('',(34.006072,8.377,0.035)); #546498=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.035)); #546499=CARTESIAN_POINT('',(34.006072,8.377,0.)); #546500=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #546501=CARTESIAN_POINT('',(34.493928,8.377,0.)); #546502=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #546503=CARTESIAN_POINT('',(34.493928,8.377,0.035)); #546504=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.035)); #546505=CARTESIAN_POINT('',(34.493928,8.377,0.)); #546506=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #546507=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #546508=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #546509=CARTESIAN_POINT('',(34.589803,8.3398031,0.035)); #546510=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.035)); #546511=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #546512=CARTESIAN_POINT('Origin',(34.839803,8.0898031,0.)); #546513=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #546514=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #546515=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #546516=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #546517=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #546518=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #546519=CARTESIAN_POINT('',(34.877,8.,0.)); #546520=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #546521=CARTESIAN_POINT('',(34.877,8.,0.035)); #546522=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.035)); #546523=CARTESIAN_POINT('',(34.877,8.,0.)); #546524=CARTESIAN_POINT('Origin',(34.877,7.82,0.)); #546525=CARTESIAN_POINT('',(34.877,7.82,0.)); #546526=CARTESIAN_POINT('',(34.877,7.82,0.)); #546527=CARTESIAN_POINT('',(34.877,7.82,0.035)); #546528=CARTESIAN_POINT('',(34.877,7.82,0.035)); #546529=CARTESIAN_POINT('',(34.877,7.82,0.)); #546530=CARTESIAN_POINT('Origin',(34.9,7.82,0.)); #546531=CARTESIAN_POINT('',(34.9,7.82,0.)); #546532=CARTESIAN_POINT('',(34.9,7.82,0.)); #546533=CARTESIAN_POINT('',(34.9,7.82,0.035)); #546534=CARTESIAN_POINT('',(34.9,7.82,0.035)); #546535=CARTESIAN_POINT('',(34.9,7.82,0.)); #546536=CARTESIAN_POINT('Origin',(34.9,6.67,0.)); #546537=CARTESIAN_POINT('',(34.9,6.67,0.)); #546538=CARTESIAN_POINT('',(34.9,6.67,0.)); #546539=CARTESIAN_POINT('',(34.9,6.67,0.035)); #546540=CARTESIAN_POINT('',(34.9,6.67,0.035)); #546541=CARTESIAN_POINT('',(34.9,6.67,0.)); #546542=CARTESIAN_POINT('Origin',(34.6,6.67,0.)); #546543=CARTESIAN_POINT('',(34.6,6.67,0.)); #546544=CARTESIAN_POINT('',(34.6,6.67,0.)); #546545=CARTESIAN_POINT('',(34.6,6.67,0.035)); #546546=CARTESIAN_POINT('',(34.6,6.67,0.035)); #546547=CARTESIAN_POINT('',(34.6,6.67,0.)); #546548=CARTESIAN_POINT('Origin',(34.6,7.82,0.)); #546549=CARTESIAN_POINT('',(34.6,7.82,0.)); #546550=CARTESIAN_POINT('',(34.6,7.82,0.)); #546551=CARTESIAN_POINT('',(34.6,7.82,0.035)); #546552=CARTESIAN_POINT('',(34.6,7.82,0.035)); #546553=CARTESIAN_POINT('',(34.6,7.82,0.)); #546554=CARTESIAN_POINT('Origin',(34.623,7.82,0.)); #546555=CARTESIAN_POINT('',(34.623,7.82,0.)); #546556=CARTESIAN_POINT('',(34.623,7.82,0.)); #546557=CARTESIAN_POINT('',(34.623,7.82,0.035)); #546558=CARTESIAN_POINT('',(34.623,7.82,0.035)); #546559=CARTESIAN_POINT('',(34.623,7.82,0.)); #546560=CARTESIAN_POINT('Origin',(34.623,7.9473938,0.)); #546561=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #546562=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #546563=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #546564=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #546565=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #546566=CARTESIAN_POINT('Origin',(34.4765,8.0938938,0.)); #546567=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #546568=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #546569=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #546570=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #546571=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #546572=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #546573=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #546574=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #546575=CARTESIAN_POINT('',(34.0235,8.0938938,0.035)); #546576=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.035)); #546577=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #546578=CARTESIAN_POINT('Origin',(33.877,7.9473938,0.)); #546579=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #546580=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #546581=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #546582=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #546583=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #546584=CARTESIAN_POINT('Origin',(33.877,7.82,0.)); #546585=CARTESIAN_POINT('',(33.877,7.82,0.)); #546586=CARTESIAN_POINT('',(33.877,7.82,0.)); #546587=CARTESIAN_POINT('',(33.877,7.82,0.035)); #546588=CARTESIAN_POINT('',(33.877,7.82,0.035)); #546589=CARTESIAN_POINT('',(33.877,7.82,0.)); #546590=CARTESIAN_POINT('Origin',(33.9,7.82,0.)); #546591=CARTESIAN_POINT('',(33.9,7.82,0.)); #546592=CARTESIAN_POINT('',(33.9,7.82,0.035)); #546593=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.035)); #546594=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.)); #546595=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #546596=CARTESIAN_POINT('',(33.61,6.2426,0.)); #546597=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #546598=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #546599=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #546600=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #546601=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #546602=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #546603=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #546604=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #546605=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #546606=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #546607=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #546608=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #546609=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #546610=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #546611=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #546612=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #546613=CARTESIAN_POINT('',(33.505978,6.1157844,0.035)); #546614=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.035)); #546615=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #546616=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #546617=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #546618=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #546619=CARTESIAN_POINT('',(33.417597,6.1527969,0.035)); #546620=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.035)); #546621=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #546622=CARTESIAN_POINT('Origin',(33.160197,6.4101969,0.)); #546623=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #546624=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #546625=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #546626=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #546627=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #546628=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #546629=CARTESIAN_POINT('',(33.123,6.5,0.)); #546630=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #546631=CARTESIAN_POINT('',(33.123,6.5,0.035)); #546632=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.035)); #546633=CARTESIAN_POINT('',(33.123,6.5,0.)); #546634=CARTESIAN_POINT('Origin',(33.123,6.67,0.)); #546635=CARTESIAN_POINT('',(33.123,6.67,0.)); #546636=CARTESIAN_POINT('',(33.123,6.67,0.)); #546637=CARTESIAN_POINT('',(33.123,6.67,0.035)); #546638=CARTESIAN_POINT('',(33.123,6.67,0.035)); #546639=CARTESIAN_POINT('',(33.123,6.67,0.)); #546640=CARTESIAN_POINT('Origin',(33.1,6.67,0.)); #546641=CARTESIAN_POINT('',(33.1,6.67,0.)); #546642=CARTESIAN_POINT('',(33.1,6.67,0.)); #546643=CARTESIAN_POINT('',(33.1,6.67,0.035)); #546644=CARTESIAN_POINT('',(33.1,6.67,0.035)); #546645=CARTESIAN_POINT('',(33.1,6.67,0.)); #546646=CARTESIAN_POINT('Origin',(33.1,7.82,0.)); #546647=CARTESIAN_POINT('',(33.1,7.82,0.)); #546648=CARTESIAN_POINT('',(33.1,7.82,0.)); #546649=CARTESIAN_POINT('',(33.1,7.82,0.035)); #546650=CARTESIAN_POINT('',(33.1,7.82,0.035)); #546651=CARTESIAN_POINT('',(33.1,7.82,0.)); #546652=CARTESIAN_POINT('Origin',(33.4,7.82,0.)); #546653=CARTESIAN_POINT('',(33.4,7.82,0.)); #546654=CARTESIAN_POINT('',(33.4,7.82,0.)); #546655=CARTESIAN_POINT('',(33.4,7.82,0.035)); #546656=CARTESIAN_POINT('',(33.4,7.82,0.035)); #546657=CARTESIAN_POINT('',(33.4,7.82,0.)); #546658=CARTESIAN_POINT('Origin',(33.4,6.67,0.)); #546659=CARTESIAN_POINT('',(33.4,6.67,0.)); #546660=CARTESIAN_POINT('',(33.4,6.67,0.)); #546661=CARTESIAN_POINT('',(33.4,6.67,0.035)); #546662=CARTESIAN_POINT('',(33.4,6.67,0.035)); #546663=CARTESIAN_POINT('',(33.4,6.67,0.)); #546664=CARTESIAN_POINT('Origin',(33.377,6.67,0.)); #546665=CARTESIAN_POINT('',(33.377,6.67,0.)); #546666=CARTESIAN_POINT('',(33.377,6.67,0.)); #546667=CARTESIAN_POINT('',(33.377,6.67,0.035)); #546668=CARTESIAN_POINT('',(33.377,6.67,0.035)); #546669=CARTESIAN_POINT('',(33.377,6.67,0.)); #546670=CARTESIAN_POINT('Origin',(33.377,6.5526063,0.)); #546671=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #546672=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #546673=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #546674=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #546675=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #546676=CARTESIAN_POINT('Origin',(33.526612,6.4029938,0.)); #546677=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #546678=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #546679=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #546680=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #546681=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #546682=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #546683=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #546684=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #546685=CARTESIAN_POINT('',(33.973388,6.4029938,0.035)); #546686=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.035)); #546687=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #546688=CARTESIAN_POINT('Origin',(34.123,6.5526063,0.)); #546689=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #546690=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #546691=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #546692=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #546693=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #546694=CARTESIAN_POINT('Origin',(34.123,6.67,0.)); #546695=CARTESIAN_POINT('',(34.123,6.67,0.)); #546696=CARTESIAN_POINT('',(34.123,6.67,0.)); #546697=CARTESIAN_POINT('',(34.123,6.67,0.035)); #546698=CARTESIAN_POINT('',(34.123,6.67,0.035)); #546699=CARTESIAN_POINT('',(34.123,6.67,0.)); #546700=CARTESIAN_POINT('Origin',(34.1,6.67,0.)); #546701=CARTESIAN_POINT('',(34.1,6.67,0.)); #546702=CARTESIAN_POINT('',(34.1,6.67,0.)); #546703=CARTESIAN_POINT('',(34.1,6.67,0.035)); #546704=CARTESIAN_POINT('',(34.1,6.67,0.035)); #546705=CARTESIAN_POINT('',(34.1,6.67,0.)); #546706=CARTESIAN_POINT('Origin',(34.1,7.82,0.)); #546707=CARTESIAN_POINT('',(34.1,7.82,0.)); #546708=CARTESIAN_POINT('',(34.1,7.82,0.)); #546709=CARTESIAN_POINT('',(34.1,7.82,0.035)); #546710=CARTESIAN_POINT('',(34.1,7.82,0.035)); #546711=CARTESIAN_POINT('',(34.1,7.82,0.)); #546712=CARTESIAN_POINT('Origin',(34.4,7.82,0.)); #546713=CARTESIAN_POINT('',(34.4,7.82,0.)); #546714=CARTESIAN_POINT('',(34.4,7.82,0.)); #546715=CARTESIAN_POINT('',(34.4,7.82,0.035)); #546716=CARTESIAN_POINT('',(34.4,7.82,0.035)); #546717=CARTESIAN_POINT('',(34.4,7.82,0.)); #546718=CARTESIAN_POINT('Origin',(34.4,6.67,0.)); #546719=CARTESIAN_POINT('',(34.4,6.67,0.)); #546720=CARTESIAN_POINT('',(34.4,6.67,0.)); #546721=CARTESIAN_POINT('',(34.4,6.67,0.035)); #546722=CARTESIAN_POINT('',(34.4,6.67,0.035)); #546723=CARTESIAN_POINT('',(34.4,6.67,0.)); #546724=CARTESIAN_POINT('Origin',(34.377,6.67,0.)); #546725=CARTESIAN_POINT('',(34.377,6.67,0.)); #546726=CARTESIAN_POINT('',(34.377,6.67,0.)); #546727=CARTESIAN_POINT('',(34.377,6.67,0.035)); #546728=CARTESIAN_POINT('',(34.377,6.67,0.035)); #546729=CARTESIAN_POINT('',(34.377,6.67,0.)); #546730=CARTESIAN_POINT('Origin',(34.377,6.5,0.)); #546731=CARTESIAN_POINT('',(34.377,6.5,0.)); #546732=CARTESIAN_POINT('',(34.377,6.5,0.)); #546733=CARTESIAN_POINT('',(34.377,6.5,0.035)); #546734=CARTESIAN_POINT('',(34.377,6.5,0.035)); #546735=CARTESIAN_POINT('',(34.377,6.5,0.)); #546736=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #546737=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #546738=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #546739=CARTESIAN_POINT('',(34.339803,6.4101969,0.035)); #546740=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.035)); #546741=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #546742=CARTESIAN_POINT('Origin',(34.082403,6.1527969,0.)); #546743=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #546744=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #546745=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #546746=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #546747=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #546748=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #546749=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #546750=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #546751=CARTESIAN_POINT('',(33.994009,6.1157563,0.035)); #546752=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.035)); #546753=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #546754=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #546755=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #546756=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.035)); #546757=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.035)); #546758=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.)); #546759=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #546760=CARTESIAN_POINT('',(39.11,15.75,0.)); #546761=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #546762=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #546763=CARTESIAN_POINT('',(39.11,15.75,-200.)); #546764=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #546765=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #546766=CARTESIAN_POINT('',(34.61,55.,0.)); #546767=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #546768=CARTESIAN_POINT('',(34.61,55.,0.0349999999999895)); #546769=CARTESIAN_POINT('',(34.61,55.,-200.)); #546770=CARTESIAN_POINT('Origin',(34.75,55.,0.0349999999999895)); #546771=CARTESIAN_POINT('Origin',(42.9375,13.498,0.)); #546772=CARTESIAN_POINT('',(42.9375,13.498,0.)); #546773=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #546774=CARTESIAN_POINT('',(42.9375,13.498,0.)); #546775=CARTESIAN_POINT('',(42.9429,13.498603,0.035)); #546776=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #546777=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #546778=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #546779=CARTESIAN_POINT('',(42.9375,13.498,0.)); #546780=CARTESIAN_POINT('Origin',(41.8721,13.498,0.)); #546781=CARTESIAN_POINT('',(41.8721,13.498,0.)); #546782=CARTESIAN_POINT('',(41.8721,13.498,0.)); #546783=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #546784=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #546785=CARTESIAN_POINT('',(41.8721,13.498,0.)); #546786=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #546787=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #546788=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #546789=CARTESIAN_POINT('',(41.782297,13.535197,0.035)); #546790=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.035)); #546791=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #546792=CARTESIAN_POINT('Origin',(39.694494,15.623,0.)); #546793=CARTESIAN_POINT('',(39.694494,15.623,0.)); #546794=CARTESIAN_POINT('',(39.694494,15.623,0.)); #546795=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #546796=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #546797=CARTESIAN_POINT('',(39.694494,15.623,0.)); #546798=CARTESIAN_POINT('Origin',(39.493928,15.623,0.)); #546799=CARTESIAN_POINT('',(39.493928,15.623,0.)); #546800=CARTESIAN_POINT('',(39.493928,15.623,0.)); #546801=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #546802=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #546803=CARTESIAN_POINT('',(39.493928,15.623,0.)); #546804=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #546805=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #546806=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #546807=CARTESIAN_POINT('',(38.995147,15.644438,0.035)); #546808=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.035)); #546809=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #546810=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #546811=CARTESIAN_POINT('',(39.493928,15.877,0.)); #546812=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #546813=CARTESIAN_POINT('',(39.493928,15.877,0.035)); #546814=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.035)); #546815=CARTESIAN_POINT('',(39.493928,15.877,0.)); #546816=CARTESIAN_POINT('Origin',(39.7471,15.877,0.)); #546817=CARTESIAN_POINT('',(39.7471,15.877,0.)); #546818=CARTESIAN_POINT('',(39.7471,15.877,0.)); #546819=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #546820=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #546821=CARTESIAN_POINT('',(39.7471,15.877,0.)); #546822=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #546823=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #546824=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #546825=CARTESIAN_POINT('',(39.836903,15.839803,0.035)); #546826=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.035)); #546827=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #546828=CARTESIAN_POINT('Origin',(41.924706,13.752,0.)); #546829=CARTESIAN_POINT('',(41.924706,13.752,0.)); #546830=CARTESIAN_POINT('',(41.924706,13.752,0.)); #546831=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #546832=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #546833=CARTESIAN_POINT('',(41.924706,13.752,0.)); #546834=CARTESIAN_POINT('Origin',(42.884894,13.752,0.)); #546835=CARTESIAN_POINT('',(42.884894,13.752,0.)); #546836=CARTESIAN_POINT('',(42.884894,13.752,0.)); #546837=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #546838=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #546839=CARTESIAN_POINT('',(42.884894,13.752,0.)); #546840=CARTESIAN_POINT('Origin',(43.097697,13.964803,0.)); #546841=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #546842=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #546843=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #546844=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #546845=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #546846=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #546847=CARTESIAN_POINT('',(43.1875,14.002,0.)); #546848=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #546849=CARTESIAN_POINT('',(43.1875,14.002,0.035)); #546850=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.035)); #546851=CARTESIAN_POINT('',(43.1875,14.002,0.)); #546852=CARTESIAN_POINT('Origin',(54.633056,14.002,0.)); #546853=CARTESIAN_POINT('',(54.633056,14.002,0.)); #546854=CARTESIAN_POINT('',(54.633056,14.002,0.)); #546855=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #546856=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #546857=CARTESIAN_POINT('',(54.633056,14.002,0.)); #546858=CARTESIAN_POINT('Origin',(60.123,19.491944,0.)); #546859=CARTESIAN_POINT('',(60.123,19.491944,0.)); #546860=CARTESIAN_POINT('',(60.123,19.491944,0.)); #546861=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #546862=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #546863=CARTESIAN_POINT('',(60.123,19.491944,0.)); #546864=CARTESIAN_POINT('Origin',(60.123,38.258056,0.)); #546865=CARTESIAN_POINT('',(60.123,38.258056,0.)); #546866=CARTESIAN_POINT('',(60.123,38.258056,0.)); #546867=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #546868=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #546869=CARTESIAN_POINT('',(60.123,38.258056,0.)); #546870=CARTESIAN_POINT('Origin',(57.758056,40.623,0.)); #546871=CARTESIAN_POINT('',(57.758056,40.623,0.)); #546872=CARTESIAN_POINT('',(57.758056,40.623,0.)); #546873=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #546874=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #546875=CARTESIAN_POINT('',(57.758056,40.623,0.)); #546876=CARTESIAN_POINT('Origin',(48.625,40.623,0.)); #546877=CARTESIAN_POINT('',(48.625,40.623,0.)); #546878=CARTESIAN_POINT('',(48.625,40.623,0.)); #546879=CARTESIAN_POINT('',(48.625,40.623,0.035)); #546880=CARTESIAN_POINT('',(48.625,40.623,0.035)); #546881=CARTESIAN_POINT('',(48.625,40.623,0.)); #546882=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #546883=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #546884=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #546885=CARTESIAN_POINT('',(48.535197,40.660197,0.035)); #546886=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.035)); #546887=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #546888=CARTESIAN_POINT('Origin',(47.072394,42.123,0.)); #546889=CARTESIAN_POINT('',(47.072394,42.123,0.)); #546890=CARTESIAN_POINT('',(47.072394,42.123,0.)); #546891=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #546892=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #546893=CARTESIAN_POINT('',(47.072394,42.123,0.)); #546894=CARTESIAN_POINT('Origin',(43.625,42.123,0.)); #546895=CARTESIAN_POINT('',(43.625,42.123,0.)); #546896=CARTESIAN_POINT('',(43.625,42.123,0.)); #546897=CARTESIAN_POINT('',(43.625,42.123,0.035)); #546898=CARTESIAN_POINT('',(43.625,42.123,0.035)); #546899=CARTESIAN_POINT('',(43.625,42.123,0.)); #546900=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #546901=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #546902=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #546903=CARTESIAN_POINT('',(43.535197,42.160197,0.035)); #546904=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.035)); #546905=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #546906=CARTESIAN_POINT('Origin',(42.660197,43.035197,0.)); #546907=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #546908=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #546909=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #546910=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #546911=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #546912=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #546913=CARTESIAN_POINT('',(42.623,43.125,0.)); #546914=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #546915=CARTESIAN_POINT('',(42.623,43.125,0.035)); #546916=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.035)); #546917=CARTESIAN_POINT('',(42.623,43.125,0.)); #546918=CARTESIAN_POINT('Origin',(42.623,49.758069,0.)); #546919=CARTESIAN_POINT('',(42.623,49.758069,0.)); #546920=CARTESIAN_POINT('',(42.623,49.758069,0.)); #546921=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #546922=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #546923=CARTESIAN_POINT('',(42.623,49.758069,0.)); #546924=CARTESIAN_POINT('Origin',(41.508069,50.873,0.)); #546925=CARTESIAN_POINT('',(41.508069,50.873,0.)); #546926=CARTESIAN_POINT('',(41.508069,50.873,0.)); #546927=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #546928=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #546929=CARTESIAN_POINT('',(41.508069,50.873,0.)); #546930=CARTESIAN_POINT('Origin',(36.6875,50.873,0.)); #546931=CARTESIAN_POINT('',(36.6875,50.873,0.)); #546932=CARTESIAN_POINT('',(36.6875,50.873,0.)); #546933=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #546934=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #546935=CARTESIAN_POINT('',(36.6875,50.873,0.)); #546936=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #546937=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #546938=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #546939=CARTESIAN_POINT('',(36.597697,50.910197,0.035)); #546940=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.035)); #546941=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #546942=CARTESIAN_POINT('Origin',(34.285197,53.222697,0.)); #546943=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #546944=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #546945=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #546946=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #546947=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #546948=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #546949=CARTESIAN_POINT('',(34.248,53.3125,0.)); #546950=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #546951=CARTESIAN_POINT('',(34.248,53.3125,0.035)); #546952=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.035)); #546953=CARTESIAN_POINT('',(34.248,53.3125,0.)); #546954=CARTESIAN_POINT('Origin',(34.248,54.,0.)); #546955=CARTESIAN_POINT('',(34.248,54.,0.)); #546956=CARTESIAN_POINT('',(34.248,54.,0.)); #546957=CARTESIAN_POINT('',(34.248,54.,0.035)); #546958=CARTESIAN_POINT('',(34.248,54.,0.035)); #546959=CARTESIAN_POINT('',(34.248,54.,0.)); #546960=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.)); #546961=CARTESIAN_POINT('',(34.285197,54.089803,0.)); #546962=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.)); #546963=CARTESIAN_POINT('',(34.285197,54.089803,0.035)); #546964=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.035)); #546965=CARTESIAN_POINT('',(34.285197,54.089803,0.)); #546966=CARTESIAN_POINT('Origin',(34.623,54.427606,0.)); #546967=CARTESIAN_POINT('',(34.623,54.427606,0.)); #546968=CARTESIAN_POINT('',(34.623,54.427606,0.)); #546969=CARTESIAN_POINT('',(34.623,54.427606,0.035)); #546970=CARTESIAN_POINT('',(34.623,54.427606,0.035)); #546971=CARTESIAN_POINT('',(34.623,54.427606,0.)); #546972=CARTESIAN_POINT('Origin',(34.623,54.756072,0.)); #546973=CARTESIAN_POINT('',(34.623,54.756072,0.)); #546974=CARTESIAN_POINT('',(34.623,54.756072,0.)); #546975=CARTESIAN_POINT('',(34.623,54.756072,0.035)); #546976=CARTESIAN_POINT('',(34.623,54.756072,0.035)); #546977=CARTESIAN_POINT('',(34.623,54.756072,0.)); #546978=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.)); #546979=CARTESIAN_POINT('',(34.644438,55.254853,0.)); #546980=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.)); #546981=CARTESIAN_POINT('',(34.644438,55.254853,0.035)); #546982=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.035)); #546983=CARTESIAN_POINT('',(34.644438,55.254853,0.)); #546984=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.)); #546985=CARTESIAN_POINT('',(34.877,54.756072,0.)); #546986=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.)); #546987=CARTESIAN_POINT('',(34.877,54.756072,0.035)); #546988=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.035)); #546989=CARTESIAN_POINT('',(34.877,54.756072,0.)); #546990=CARTESIAN_POINT('Origin',(34.877,54.375,0.)); #546991=CARTESIAN_POINT('',(34.877,54.375,0.)); #546992=CARTESIAN_POINT('',(34.877,54.375,0.)); #546993=CARTESIAN_POINT('',(34.877,54.375,0.035)); #546994=CARTESIAN_POINT('',(34.877,54.375,0.035)); #546995=CARTESIAN_POINT('',(34.877,54.375,0.)); #546996=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.)); #546997=CARTESIAN_POINT('',(34.839803,54.285197,0.)); #546998=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.)); #546999=CARTESIAN_POINT('',(34.839803,54.285197,0.035)); #547000=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.035)); #547001=CARTESIAN_POINT('',(34.839803,54.285197,0.)); #547002=CARTESIAN_POINT('Origin',(34.502,53.947394,0.)); #547003=CARTESIAN_POINT('',(34.502,53.947394,0.)); #547004=CARTESIAN_POINT('',(34.502,53.947394,0.)); #547005=CARTESIAN_POINT('',(34.502,53.947394,0.035)); #547006=CARTESIAN_POINT('',(34.502,53.947394,0.035)); #547007=CARTESIAN_POINT('',(34.502,53.947394,0.)); #547008=CARTESIAN_POINT('Origin',(34.502,53.365106,0.)); #547009=CARTESIAN_POINT('',(34.502,53.365106,0.)); #547010=CARTESIAN_POINT('',(34.502,53.365106,0.)); #547011=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #547012=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #547013=CARTESIAN_POINT('',(34.502,53.365106,0.)); #547014=CARTESIAN_POINT('Origin',(36.740106,51.127,0.)); #547015=CARTESIAN_POINT('',(36.740106,51.127,0.)); #547016=CARTESIAN_POINT('',(36.740106,51.127,0.)); #547017=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #547018=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #547019=CARTESIAN_POINT('',(36.740106,51.127,0.)); #547020=CARTESIAN_POINT('Origin',(41.560675,51.127,0.)); #547021=CARTESIAN_POINT('',(41.560675,51.127,0.)); #547022=CARTESIAN_POINT('',(41.560675,51.127,0.)); #547023=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #547024=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #547025=CARTESIAN_POINT('',(41.560675,51.127,0.)); #547026=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #547027=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #547028=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #547029=CARTESIAN_POINT('',(41.650478,51.089803,0.035)); #547030=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.035)); #547031=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #547032=CARTESIAN_POINT('Origin',(42.839803,49.900478,0.)); #547033=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #547034=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #547035=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #547036=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #547037=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #547038=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #547039=CARTESIAN_POINT('',(42.877,49.810675,0.)); #547040=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #547041=CARTESIAN_POINT('',(42.877,49.810675,0.035)); #547042=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.035)); #547043=CARTESIAN_POINT('',(42.877,49.810675,0.)); #547044=CARTESIAN_POINT('Origin',(42.877,43.177606,0.)); #547045=CARTESIAN_POINT('',(42.877,43.177606,0.)); #547046=CARTESIAN_POINT('',(42.877,43.177606,0.)); #547047=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #547048=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #547049=CARTESIAN_POINT('',(42.877,43.177606,0.)); #547050=CARTESIAN_POINT('Origin',(43.677606,42.377,0.)); #547051=CARTESIAN_POINT('',(43.677606,42.377,0.)); #547052=CARTESIAN_POINT('',(43.677606,42.377,0.)); #547053=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #547054=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #547055=CARTESIAN_POINT('',(43.677606,42.377,0.)); #547056=CARTESIAN_POINT('Origin',(47.125,42.377,0.)); #547057=CARTESIAN_POINT('',(47.125,42.377,0.)); #547058=CARTESIAN_POINT('',(47.125,42.377,0.)); #547059=CARTESIAN_POINT('',(47.125,42.377,0.035)); #547060=CARTESIAN_POINT('',(47.125,42.377,0.035)); #547061=CARTESIAN_POINT('',(47.125,42.377,0.)); #547062=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #547063=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #547064=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #547065=CARTESIAN_POINT('',(47.214803,42.339803,0.035)); #547066=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.035)); #547067=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #547068=CARTESIAN_POINT('Origin',(48.677606,40.877,0.)); #547069=CARTESIAN_POINT('',(48.677606,40.877,0.)); #547070=CARTESIAN_POINT('',(48.677606,40.877,0.)); #547071=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #547072=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #547073=CARTESIAN_POINT('',(48.677606,40.877,0.)); #547074=CARTESIAN_POINT('Origin',(57.810662,40.877,0.)); #547075=CARTESIAN_POINT('',(57.810662,40.877,0.)); #547076=CARTESIAN_POINT('',(57.810662,40.877,0.)); #547077=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #547078=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #547079=CARTESIAN_POINT('',(57.810662,40.877,0.)); #547080=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #547081=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #547082=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #547083=CARTESIAN_POINT('',(57.900466,40.839803,0.035)); #547084=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.035)); #547085=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #547086=CARTESIAN_POINT('Origin',(60.339803,38.400466,0.)); #547087=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #547088=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #547089=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #547090=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #547091=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #547092=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #547093=CARTESIAN_POINT('',(60.377,38.310662,0.)); #547094=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #547095=CARTESIAN_POINT('',(60.377,38.310662,0.035)); #547096=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.035)); #547097=CARTESIAN_POINT('',(60.377,38.310662,0.)); #547098=CARTESIAN_POINT('Origin',(60.377,19.439338,0.)); #547099=CARTESIAN_POINT('',(60.377,19.439338,0.)); #547100=CARTESIAN_POINT('',(60.377,19.439338,0.)); #547101=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #547102=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #547103=CARTESIAN_POINT('',(60.377,19.439338,0.)); #547104=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #547105=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #547106=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #547107=CARTESIAN_POINT('',(60.339803,19.349534,0.035)); #547108=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.035)); #547109=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #547110=CARTESIAN_POINT('Origin',(54.775466,13.785197,0.)); #547111=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #547112=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #547113=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #547114=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #547115=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #547116=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #547117=CARTESIAN_POINT('',(54.685662,13.748,0.)); #547118=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #547119=CARTESIAN_POINT('',(54.685662,13.748,0.035)); #547120=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.035)); #547121=CARTESIAN_POINT('',(54.685662,13.748,0.)); #547122=CARTESIAN_POINT('Origin',(43.240106,13.748,0.)); #547123=CARTESIAN_POINT('',(43.240106,13.748,0.)); #547124=CARTESIAN_POINT('',(43.240106,13.748,0.)); #547125=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #547126=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #547127=CARTESIAN_POINT('',(43.240106,13.748,0.)); #547128=CARTESIAN_POINT('Origin',(43.027303,13.535197,0.)); #547129=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #547130=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #547131=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #547132=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #547133=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #547134=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #547135=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #547136=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.035)); #547137=CARTESIAN_POINT('Origin',(50.307716757586,32.3847604877249,0.035)); #547138=CARTESIAN_POINT('Origin',(50.307716757586,32.3847604877249,0.)); #547139=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #547140=CARTESIAN_POINT('',(30.36,10.5,0.)); #547141=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #547142=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #547143=CARTESIAN_POINT('',(30.36,10.5,-200.)); #547144=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #547145=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #547146=CARTESIAN_POINT('',(36.36,10.5,0.)); #547147=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #547148=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #547149=CARTESIAN_POINT('',(36.36,10.5,-200.)); #547150=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #547151=CARTESIAN_POINT('Origin',(30.521644,10.225,0.)); #547152=CARTESIAN_POINT('',(30.521644,10.225,0.)); #547153=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #547154=CARTESIAN_POINT('',(30.521644,10.225,0.)); #547155=CARTESIAN_POINT('',(30.564397,10.231772,0.035)); #547156=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #547157=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #547158=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #547159=CARTESIAN_POINT('',(30.521644,10.225,0.)); #547160=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #547161=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #547162=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #547163=CARTESIAN_POINT('',(30.245147,10.394438,0.035)); #547164=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.035)); #547165=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #547166=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #547167=CARTESIAN_POINT('',(30.743928,10.627,0.)); #547168=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #547169=CARTESIAN_POINT('',(30.743928,10.627,0.035)); #547170=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.035)); #547171=CARTESIAN_POINT('',(30.743928,10.627,0.)); #547172=CARTESIAN_POINT('Origin',(36.256072,10.627,0.)); #547173=CARTESIAN_POINT('',(36.256072,10.627,0.)); #547174=CARTESIAN_POINT('',(36.256072,10.627,0.)); #547175=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #547176=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #547177=CARTESIAN_POINT('',(36.256072,10.627,0.)); #547178=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #547179=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #547180=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #547181=CARTESIAN_POINT('',(36.754853,10.605563,0.035)); #547182=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.035)); #547183=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #547184=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #547185=CARTESIAN_POINT('',(36.256072,10.373,0.)); #547186=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #547187=CARTESIAN_POINT('',(36.256072,10.373,0.035)); #547188=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.035)); #547189=CARTESIAN_POINT('',(36.256072,10.373,0.)); #547190=CARTESIAN_POINT('Origin',(30.743928,10.373,0.)); #547191=CARTESIAN_POINT('',(30.743928,10.373,0.)); #547192=CARTESIAN_POINT('',(30.743928,10.373,0.)); #547193=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #547194=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #547195=CARTESIAN_POINT('',(30.743928,10.373,0.)); #547196=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #547197=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #547198=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.035)); #547199=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.035)); #547200=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.)); #547201=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #547202=CARTESIAN_POINT('',(38.61,36.75,0.)); #547203=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #547204=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #547205=CARTESIAN_POINT('',(38.61,36.75,-200.)); #547206=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #547207=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #547208=CARTESIAN_POINT('',(37.0475,36.75,0.)); #547209=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #547210=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #547211=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #547212=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #547213=CARTESIAN_POINT('Origin',(37.209144,36.475,0.)); #547214=CARTESIAN_POINT('',(37.209144,36.475,0.)); #547215=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #547216=CARTESIAN_POINT('',(37.209144,36.475,0.)); #547217=CARTESIAN_POINT('',(37.251897,36.481772,0.035)); #547218=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #547219=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #547220=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #547221=CARTESIAN_POINT('',(37.209144,36.475,0.)); #547222=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #547223=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #547224=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #547225=CARTESIAN_POINT('',(36.932647,36.644438,0.035)); #547226=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.035)); #547227=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #547228=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #547229=CARTESIAN_POINT('',(37.431428,36.877,0.)); #547230=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #547231=CARTESIAN_POINT('',(37.431428,36.877,0.035)); #547232=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.035)); #547233=CARTESIAN_POINT('',(37.431428,36.877,0.)); #547234=CARTESIAN_POINT('Origin',(38.506072,36.877,0.)); #547235=CARTESIAN_POINT('',(38.506072,36.877,0.)); #547236=CARTESIAN_POINT('',(38.506072,36.877,0.)); #547237=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #547238=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #547239=CARTESIAN_POINT('',(38.506072,36.877,0.)); #547240=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #547241=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #547242=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #547243=CARTESIAN_POINT('',(39.004853,36.855563,0.035)); #547244=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.035)); #547245=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #547246=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #547247=CARTESIAN_POINT('',(38.506072,36.623,0.)); #547248=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #547249=CARTESIAN_POINT('',(38.506072,36.623,0.035)); #547250=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.035)); #547251=CARTESIAN_POINT('',(38.506072,36.623,0.)); #547252=CARTESIAN_POINT('Origin',(37.431428,36.623,0.)); #547253=CARTESIAN_POINT('',(37.431428,36.623,0.)); #547254=CARTESIAN_POINT('',(37.431428,36.623,0.)); #547255=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #547256=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #547257=CARTESIAN_POINT('',(37.431428,36.623,0.)); #547258=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #547259=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #547260=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.035)); #547261=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.035)); #547262=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.)); #547263=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #547264=CARTESIAN_POINT('',(29.11,36.75,0.)); #547265=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #547266=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #547267=CARTESIAN_POINT('',(29.11,36.75,-200.)); #547268=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #547269=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #547270=CARTESIAN_POINT('',(30.6725,36.75,0.)); #547271=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #547272=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #547273=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #547274=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #547275=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #547276=CARTESIAN_POINT('',(29.271644,36.475,0.)); #547277=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #547278=CARTESIAN_POINT('',(29.271644,36.475,0.)); #547279=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #547280=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #547281=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #547282=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #547283=CARTESIAN_POINT('',(29.271644,36.475,0.)); #547284=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #547285=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #547286=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #547287=CARTESIAN_POINT('',(28.995147,36.644438,0.035)); #547288=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.035)); #547289=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #547290=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #547291=CARTESIAN_POINT('',(29.493928,36.877,0.)); #547292=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #547293=CARTESIAN_POINT('',(29.493928,36.877,0.035)); #547294=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.035)); #547295=CARTESIAN_POINT('',(29.493928,36.877,0.)); #547296=CARTESIAN_POINT('Origin',(30.568572,36.877,0.)); #547297=CARTESIAN_POINT('',(30.568572,36.877,0.)); #547298=CARTESIAN_POINT('',(30.568572,36.877,0.)); #547299=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #547300=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #547301=CARTESIAN_POINT('',(30.568572,36.877,0.)); #547302=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #547303=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #547304=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #547305=CARTESIAN_POINT('',(31.067353,36.855563,0.035)); #547306=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.035)); #547307=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #547308=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #547309=CARTESIAN_POINT('',(30.568572,36.623,0.)); #547310=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #547311=CARTESIAN_POINT('',(30.568572,36.623,0.035)); #547312=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.035)); #547313=CARTESIAN_POINT('',(30.568572,36.623,0.)); #547314=CARTESIAN_POINT('Origin',(29.493928,36.623,0.)); #547315=CARTESIAN_POINT('',(29.493928,36.623,0.)); #547316=CARTESIAN_POINT('',(29.493928,36.623,0.)); #547317=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #547318=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #547319=CARTESIAN_POINT('',(29.493928,36.623,0.)); #547320=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #547321=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #547322=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.035)); #547323=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.035)); #547324=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.)); #547325=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #547326=CARTESIAN_POINT('',(39.11,18.25,0.)); #547327=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #547328=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #547329=CARTESIAN_POINT('',(39.11,18.25,-200.)); #547330=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #547331=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #547332=CARTESIAN_POINT('',(42.36,16.,0.)); #547333=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #547334=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #547335=CARTESIAN_POINT('',(42.36,16.,-200.)); #547336=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #547337=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #547338=CARTESIAN_POINT('',(42.521644,15.725,0.)); #547339=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #547340=CARTESIAN_POINT('',(42.521644,15.725,0.)); #547341=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #547342=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #547343=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #547344=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #547345=CARTESIAN_POINT('',(42.521644,15.725,0.)); #547346=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #547347=CARTESIAN_POINT('',(42.373,16.243928,0.)); #547348=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #547349=CARTESIAN_POINT('',(42.373,16.243928,0.035)); #547350=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.035)); #547351=CARTESIAN_POINT('',(42.373,16.243928,0.)); #547352=CARTESIAN_POINT('Origin',(42.373,17.197394,0.)); #547353=CARTESIAN_POINT('',(42.373,17.197394,0.)); #547354=CARTESIAN_POINT('',(42.373,17.197394,0.)); #547355=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #547356=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #547357=CARTESIAN_POINT('',(42.373,17.197394,0.)); #547358=CARTESIAN_POINT('Origin',(41.447394,18.123,0.)); #547359=CARTESIAN_POINT('',(41.447394,18.123,0.)); #547360=CARTESIAN_POINT('',(41.447394,18.123,0.)); #547361=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #547362=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #547363=CARTESIAN_POINT('',(41.447394,18.123,0.)); #547364=CARTESIAN_POINT('Origin',(39.493928,18.123,0.)); #547365=CARTESIAN_POINT('',(39.493928,18.123,0.)); #547366=CARTESIAN_POINT('',(39.493928,18.123,0.)); #547367=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #547368=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #547369=CARTESIAN_POINT('',(39.493928,18.123,0.)); #547370=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #547371=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #547372=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #547373=CARTESIAN_POINT('',(38.995147,18.144438,0.035)); #547374=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.035)); #547375=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #547376=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #547377=CARTESIAN_POINT('',(39.493928,18.377,0.)); #547378=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #547379=CARTESIAN_POINT('',(39.493928,18.377,0.035)); #547380=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.035)); #547381=CARTESIAN_POINT('',(39.493928,18.377,0.)); #547382=CARTESIAN_POINT('Origin',(41.5,18.377,0.)); #547383=CARTESIAN_POINT('',(41.5,18.377,0.)); #547384=CARTESIAN_POINT('',(41.5,18.377,0.)); #547385=CARTESIAN_POINT('',(41.5,18.377,0.035)); #547386=CARTESIAN_POINT('',(41.5,18.377,0.035)); #547387=CARTESIAN_POINT('',(41.5,18.377,0.)); #547388=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #547389=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #547390=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #547391=CARTESIAN_POINT('',(41.589803,18.339803,0.035)); #547392=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.035)); #547393=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #547394=CARTESIAN_POINT('Origin',(42.589803,17.339803,0.)); #547395=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #547396=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #547397=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #547398=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #547399=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #547400=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #547401=CARTESIAN_POINT('',(42.627,17.25,0.)); #547402=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #547403=CARTESIAN_POINT('',(42.627,17.25,0.035)); #547404=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.035)); #547405=CARTESIAN_POINT('',(42.627,17.25,0.)); #547406=CARTESIAN_POINT('Origin',(42.627,16.243928,0.)); #547407=CARTESIAN_POINT('',(42.627,16.243928,0.)); #547408=CARTESIAN_POINT('',(42.627,16.243928,0.)); #547409=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #547410=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #547411=CARTESIAN_POINT('',(42.627,16.243928,0.)); #547412=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #547413=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #547414=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.035)); #547415=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.035)); #547416=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.)); #547417=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #547418=CARTESIAN_POINT('',(23.765,49.75,0.)); #547419=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #547420=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #547421=CARTESIAN_POINT('',(23.765,49.75,-200.)); #547422=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #547423=CARTESIAN_POINT('Origin',(24.205,49.15,0.)); #547424=CARTESIAN_POINT('',(24.205,49.15,0.)); #547425=CARTESIAN_POINT('',(24.205,50.35,0.)); #547426=CARTESIAN_POINT('',(24.205,49.15,0.)); #547427=CARTESIAN_POINT('',(24.205,50.35,0.035)); #547428=CARTESIAN_POINT('',(24.205,50.35,0.)); #547429=CARTESIAN_POINT('',(24.205,49.15,0.035)); #547430=CARTESIAN_POINT('',(24.205,49.15,0.035)); #547431=CARTESIAN_POINT('',(24.205,49.15,0.)); #547432=CARTESIAN_POINT('Origin',(23.605,49.15,0.)); #547433=CARTESIAN_POINT('',(23.605,49.15,0.)); #547434=CARTESIAN_POINT('',(23.605,49.15,0.)); #547435=CARTESIAN_POINT('',(23.605,49.15,0.035)); #547436=CARTESIAN_POINT('',(23.605,49.15,0.035)); #547437=CARTESIAN_POINT('',(23.605,49.15,0.)); #547438=CARTESIAN_POINT('Origin',(23.605,50.35,0.)); #547439=CARTESIAN_POINT('',(23.605,50.35,0.)); #547440=CARTESIAN_POINT('',(23.605,50.35,0.)); #547441=CARTESIAN_POINT('',(23.605,50.35,0.035)); #547442=CARTESIAN_POINT('',(23.605,50.35,0.035)); #547443=CARTESIAN_POINT('',(23.605,50.35,0.)); #547444=CARTESIAN_POINT('Origin',(24.205,50.35,0.)); #547445=CARTESIAN_POINT('',(24.205,50.35,0.)); #547446=CARTESIAN_POINT('',(24.205,50.35,0.035)); #547447=CARTESIAN_POINT('Origin',(23.905,49.75,0.035)); #547448=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #547449=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #547450=CARTESIAN_POINT('',(23.765,58.75,0.)); #547451=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #547452=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #547453=CARTESIAN_POINT('',(23.765,58.75,-200.)); #547454=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #547455=CARTESIAN_POINT('Origin',(24.205,58.15,0.)); #547456=CARTESIAN_POINT('',(24.205,58.15,0.)); #547457=CARTESIAN_POINT('',(24.205,59.35,0.)); #547458=CARTESIAN_POINT('',(24.205,58.15,0.)); #547459=CARTESIAN_POINT('',(24.205,59.35,0.035)); #547460=CARTESIAN_POINT('',(24.205,59.35,0.)); #547461=CARTESIAN_POINT('',(24.205,58.15,0.035)); #547462=CARTESIAN_POINT('',(24.205,58.15,0.035)); #547463=CARTESIAN_POINT('',(24.205,58.15,0.)); #547464=CARTESIAN_POINT('Origin',(23.605,58.15,0.)); #547465=CARTESIAN_POINT('',(23.605,58.15,0.)); #547466=CARTESIAN_POINT('',(23.605,58.15,0.)); #547467=CARTESIAN_POINT('',(23.605,58.15,0.035)); #547468=CARTESIAN_POINT('',(23.605,58.15,0.035)); #547469=CARTESIAN_POINT('',(23.605,58.15,0.)); #547470=CARTESIAN_POINT('Origin',(23.605,59.35,0.)); #547471=CARTESIAN_POINT('',(23.605,59.35,0.)); #547472=CARTESIAN_POINT('',(23.605,59.35,0.)); #547473=CARTESIAN_POINT('',(23.605,59.35,0.035)); #547474=CARTESIAN_POINT('',(23.605,59.35,0.035)); #547475=CARTESIAN_POINT('',(23.605,59.35,0.)); #547476=CARTESIAN_POINT('Origin',(24.205,59.35,0.)); #547477=CARTESIAN_POINT('',(24.205,59.35,0.)); #547478=CARTESIAN_POINT('',(24.205,59.35,0.035)); #547479=CARTESIAN_POINT('Origin',(23.905,58.75,0.035)); #547480=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #547481=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #547482=CARTESIAN_POINT('',(47.765,49.75,0.)); #547483=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #547484=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #547485=CARTESIAN_POINT('',(47.765,49.75,-200.)); #547486=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #547487=CARTESIAN_POINT('Origin',(48.205,49.15,0.)); #547488=CARTESIAN_POINT('',(48.205,49.15,0.)); #547489=CARTESIAN_POINT('',(48.205,50.35,0.)); #547490=CARTESIAN_POINT('',(48.205,49.15,0.)); #547491=CARTESIAN_POINT('',(48.205,50.35,0.035)); #547492=CARTESIAN_POINT('',(48.205,50.35,0.)); #547493=CARTESIAN_POINT('',(48.205,49.15,0.035)); #547494=CARTESIAN_POINT('',(48.205,49.15,0.035)); #547495=CARTESIAN_POINT('',(48.205,49.15,0.)); #547496=CARTESIAN_POINT('Origin',(47.605,49.15,0.)); #547497=CARTESIAN_POINT('',(47.605,49.15,0.)); #547498=CARTESIAN_POINT('',(47.605,49.15,0.)); #547499=CARTESIAN_POINT('',(47.605,49.15,0.035)); #547500=CARTESIAN_POINT('',(47.605,49.15,0.035)); #547501=CARTESIAN_POINT('',(47.605,49.15,0.)); #547502=CARTESIAN_POINT('Origin',(47.605,50.35,0.)); #547503=CARTESIAN_POINT('',(47.605,50.35,0.)); #547504=CARTESIAN_POINT('',(47.605,50.35,0.)); #547505=CARTESIAN_POINT('',(47.605,50.35,0.035)); #547506=CARTESIAN_POINT('',(47.605,50.35,0.035)); #547507=CARTESIAN_POINT('',(47.605,50.35,0.)); #547508=CARTESIAN_POINT('Origin',(48.205,50.35,0.)); #547509=CARTESIAN_POINT('',(48.205,50.35,0.)); #547510=CARTESIAN_POINT('',(48.205,50.35,0.035)); #547511=CARTESIAN_POINT('Origin',(47.905,49.75,0.035)); #547512=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #547513=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #547514=CARTESIAN_POINT('',(30.622,60.25,0.)); #547515=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #547516=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #547517=CARTESIAN_POINT('',(30.622,60.25,-200.)); #547518=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #547519=CARTESIAN_POINT('Origin',(30.783644,59.975,0.)); #547520=CARTESIAN_POINT('',(30.783644,59.975,0.)); #547521=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #547522=CARTESIAN_POINT('',(30.783644,59.975,0.)); #547523=CARTESIAN_POINT('',(30.826397,59.981772,0.035)); #547524=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #547525=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #547526=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #547527=CARTESIAN_POINT('',(30.783644,59.975,0.)); #547528=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #547529=CARTESIAN_POINT('',(30.635,60.493928,0.)); #547530=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #547531=CARTESIAN_POINT('',(30.635,60.493928,0.035)); #547532=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.035)); #547533=CARTESIAN_POINT('',(30.635,60.493928,0.)); #547534=CARTESIAN_POINT('Origin',(30.635,65.5555,0.)); #547535=CARTESIAN_POINT('',(30.635,65.5555,0.)); #547536=CARTESIAN_POINT('',(30.635,65.5555,0.)); #547537=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #547538=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #547539=CARTESIAN_POINT('',(30.635,65.5555,0.)); #547540=CARTESIAN_POINT('Origin',(30.3429,65.5555,0.)); #547541=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #547542=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #547543=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #547544=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #547545=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #547546=CARTESIAN_POINT('Origin',(30.3429,66.4445,0.)); #547547=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #547548=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #547549=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #547550=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #547551=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #547552=CARTESIAN_POINT('Origin',(31.1811,66.4445,0.)); #547553=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #547554=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #547555=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #547556=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #547557=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #547558=CARTESIAN_POINT('Origin',(31.1811,65.5555,0.)); #547559=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #547560=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #547561=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #547562=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #547563=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #547564=CARTESIAN_POINT('Origin',(30.889,65.5555,0.)); #547565=CARTESIAN_POINT('',(30.889,65.5555,0.)); #547566=CARTESIAN_POINT('',(30.889,65.5555,0.)); #547567=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #547568=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #547569=CARTESIAN_POINT('',(30.889,65.5555,0.)); #547570=CARTESIAN_POINT('Origin',(30.889,60.493928,0.)); #547571=CARTESIAN_POINT('',(30.889,60.493928,0.)); #547572=CARTESIAN_POINT('',(30.889,60.493928,0.)); #547573=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #547574=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #547575=CARTESIAN_POINT('',(30.889,60.493928,0.)); #547576=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #547577=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #547578=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.035)); #547579=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.035)); #547580=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.)); #547581=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #547582=CARTESIAN_POINT('',(39.11,16.5,0.)); #547583=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #547584=CARTESIAN_POINT('',(39.11,16.5,0.0349999999999895)); #547585=CARTESIAN_POINT('',(39.11,16.5,-200.)); #547586=CARTESIAN_POINT('Origin',(39.25,16.5,0.0349999999999895)); #547587=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #547588=CARTESIAN_POINT('',(33.11,55.,0.)); #547589=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #547590=CARTESIAN_POINT('',(33.11,55.,0.0349999999999895)); #547591=CARTESIAN_POINT('',(33.11,55.,-200.)); #547592=CARTESIAN_POINT('Origin',(33.25,55.,0.0349999999999895)); #547593=CARTESIAN_POINT('Origin',(54.375,14.498,0.)); #547594=CARTESIAN_POINT('',(54.375,14.498,0.)); #547595=CARTESIAN_POINT('',(54.3804,14.498603,0.)); #547596=CARTESIAN_POINT('',(54.375,14.498,0.)); #547597=CARTESIAN_POINT('',(54.3804,14.498603,0.035)); #547598=CARTESIAN_POINT('',(54.3804,14.498603,0.)); #547599=CARTESIAN_POINT('',(54.375,14.498,0.035)); #547600=CARTESIAN_POINT('',(54.375,14.498,0.035)); #547601=CARTESIAN_POINT('',(54.375,14.498,0.)); #547602=CARTESIAN_POINT('Origin',(43.1875,14.498,0.)); #547603=CARTESIAN_POINT('',(43.1875,14.498,0.)); #547604=CARTESIAN_POINT('',(43.1875,14.498,0.)); #547605=CARTESIAN_POINT('',(43.1875,14.498,0.035)); #547606=CARTESIAN_POINT('',(43.1875,14.498,0.035)); #547607=CARTESIAN_POINT('',(43.1875,14.498,0.)); #547608=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.)); #547609=CARTESIAN_POINT('',(43.097697,14.535197,0.)); #547610=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.)); #547611=CARTESIAN_POINT('',(43.097697,14.535197,0.035)); #547612=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.035)); #547613=CARTESIAN_POINT('',(43.097697,14.535197,0.)); #547614=CARTESIAN_POINT('Origin',(42.884894,14.748,0.)); #547615=CARTESIAN_POINT('',(42.884894,14.748,0.)); #547616=CARTESIAN_POINT('',(42.884894,14.748,0.)); #547617=CARTESIAN_POINT('',(42.884894,14.748,0.035)); #547618=CARTESIAN_POINT('',(42.884894,14.748,0.035)); #547619=CARTESIAN_POINT('',(42.884894,14.748,0.)); #547620=CARTESIAN_POINT('Origin',(41.625,14.748,0.)); #547621=CARTESIAN_POINT('',(41.625,14.748,0.)); #547622=CARTESIAN_POINT('',(41.625,14.748,0.)); #547623=CARTESIAN_POINT('',(41.625,14.748,0.035)); #547624=CARTESIAN_POINT('',(41.625,14.748,0.035)); #547625=CARTESIAN_POINT('',(41.625,14.748,0.)); #547626=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.)); #547627=CARTESIAN_POINT('',(41.535197,14.785197,0.)); #547628=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.)); #547629=CARTESIAN_POINT('',(41.535197,14.785197,0.035)); #547630=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.035)); #547631=CARTESIAN_POINT('',(41.535197,14.785197,0.)); #547632=CARTESIAN_POINT('Origin',(39.947394,16.373,0.)); #547633=CARTESIAN_POINT('',(39.947394,16.373,0.)); #547634=CARTESIAN_POINT('',(39.947394,16.373,0.)); #547635=CARTESIAN_POINT('',(39.947394,16.373,0.035)); #547636=CARTESIAN_POINT('',(39.947394,16.373,0.035)); #547637=CARTESIAN_POINT('',(39.947394,16.373,0.)); #547638=CARTESIAN_POINT('Origin',(39.493928,16.373,0.)); #547639=CARTESIAN_POINT('',(39.493928,16.373,0.)); #547640=CARTESIAN_POINT('',(39.493928,16.373,0.)); #547641=CARTESIAN_POINT('',(39.493928,16.373,0.035)); #547642=CARTESIAN_POINT('',(39.493928,16.373,0.035)); #547643=CARTESIAN_POINT('',(39.493928,16.373,0.)); #547644=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.)); #547645=CARTESIAN_POINT('',(38.995147,16.394438,0.)); #547646=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.)); #547647=CARTESIAN_POINT('',(38.995147,16.394438,0.035)); #547648=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.035)); #547649=CARTESIAN_POINT('',(38.995147,16.394438,0.)); #547650=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.)); #547651=CARTESIAN_POINT('',(39.493928,16.627,0.)); #547652=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.)); #547653=CARTESIAN_POINT('',(39.493928,16.627,0.035)); #547654=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.035)); #547655=CARTESIAN_POINT('',(39.493928,16.627,0.)); #547656=CARTESIAN_POINT('Origin',(40.,16.627,0.)); #547657=CARTESIAN_POINT('',(40.,16.627,0.)); #547658=CARTESIAN_POINT('',(40.,16.627,0.)); #547659=CARTESIAN_POINT('',(40.,16.627,0.035)); #547660=CARTESIAN_POINT('',(40.,16.627,0.035)); #547661=CARTESIAN_POINT('',(40.,16.627,0.)); #547662=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.)); #547663=CARTESIAN_POINT('',(40.089803,16.589803,0.)); #547664=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.)); #547665=CARTESIAN_POINT('',(40.089803,16.589803,0.035)); #547666=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.035)); #547667=CARTESIAN_POINT('',(40.089803,16.589803,0.)); #547668=CARTESIAN_POINT('Origin',(41.677606,15.002,0.)); #547669=CARTESIAN_POINT('',(41.677606,15.002,0.)); #547670=CARTESIAN_POINT('',(41.677606,15.002,0.)); #547671=CARTESIAN_POINT('',(41.677606,15.002,0.035)); #547672=CARTESIAN_POINT('',(41.677606,15.002,0.035)); #547673=CARTESIAN_POINT('',(41.677606,15.002,0.)); #547674=CARTESIAN_POINT('Origin',(42.9375,15.002,0.)); #547675=CARTESIAN_POINT('',(42.9375,15.002,0.)); #547676=CARTESIAN_POINT('',(42.9375,15.002,0.)); #547677=CARTESIAN_POINT('',(42.9375,15.002,0.035)); #547678=CARTESIAN_POINT('',(42.9375,15.002,0.035)); #547679=CARTESIAN_POINT('',(42.9375,15.002,0.)); #547680=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.)); #547681=CARTESIAN_POINT('',(43.027303,14.964803,0.)); #547682=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.)); #547683=CARTESIAN_POINT('',(43.027303,14.964803,0.035)); #547684=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.035)); #547685=CARTESIAN_POINT('',(43.027303,14.964803,0.)); #547686=CARTESIAN_POINT('Origin',(43.240106,14.752,0.)); #547687=CARTESIAN_POINT('',(43.240106,14.752,0.)); #547688=CARTESIAN_POINT('',(43.240106,14.752,0.)); #547689=CARTESIAN_POINT('',(43.240106,14.752,0.035)); #547690=CARTESIAN_POINT('',(43.240106,14.752,0.035)); #547691=CARTESIAN_POINT('',(43.240106,14.752,0.)); #547692=CARTESIAN_POINT('Origin',(54.322394,14.752,0.)); #547693=CARTESIAN_POINT('',(54.322394,14.752,0.)); #547694=CARTESIAN_POINT('',(54.322394,14.752,0.)); #547695=CARTESIAN_POINT('',(54.322394,14.752,0.035)); #547696=CARTESIAN_POINT('',(54.322394,14.752,0.035)); #547697=CARTESIAN_POINT('',(54.322394,14.752,0.)); #547698=CARTESIAN_POINT('Origin',(59.373,19.802606,0.)); #547699=CARTESIAN_POINT('',(59.373,19.802606,0.)); #547700=CARTESIAN_POINT('',(59.373,19.802606,0.)); #547701=CARTESIAN_POINT('',(59.373,19.802606,0.035)); #547702=CARTESIAN_POINT('',(59.373,19.802606,0.035)); #547703=CARTESIAN_POINT('',(59.373,19.802606,0.)); #547704=CARTESIAN_POINT('Origin',(59.373,37.947394,0.)); #547705=CARTESIAN_POINT('',(59.373,37.947394,0.)); #547706=CARTESIAN_POINT('',(59.373,37.947394,0.)); #547707=CARTESIAN_POINT('',(59.373,37.947394,0.035)); #547708=CARTESIAN_POINT('',(59.373,37.947394,0.035)); #547709=CARTESIAN_POINT('',(59.373,37.947394,0.)); #547710=CARTESIAN_POINT('Origin',(57.447394,39.873,0.)); #547711=CARTESIAN_POINT('',(57.447394,39.873,0.)); #547712=CARTESIAN_POINT('',(57.447394,39.873,0.)); #547713=CARTESIAN_POINT('',(57.447394,39.873,0.035)); #547714=CARTESIAN_POINT('',(57.447394,39.873,0.035)); #547715=CARTESIAN_POINT('',(57.447394,39.873,0.)); #547716=CARTESIAN_POINT('Origin',(48.25,39.873,0.)); #547717=CARTESIAN_POINT('',(48.25,39.873,0.)); #547718=CARTESIAN_POINT('',(48.25,39.873,0.)); #547719=CARTESIAN_POINT('',(48.25,39.873,0.035)); #547720=CARTESIAN_POINT('',(48.25,39.873,0.035)); #547721=CARTESIAN_POINT('',(48.25,39.873,0.)); #547722=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #547723=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #547724=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #547725=CARTESIAN_POINT('',(48.160197,39.910197,0.035)); #547726=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.035)); #547727=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #547728=CARTESIAN_POINT('Origin',(46.697394,41.373,0.)); #547729=CARTESIAN_POINT('',(46.697394,41.373,0.)); #547730=CARTESIAN_POINT('',(46.697394,41.373,0.)); #547731=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #547732=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #547733=CARTESIAN_POINT('',(46.697394,41.373,0.)); #547734=CARTESIAN_POINT('Origin',(43.25,41.373,0.)); #547735=CARTESIAN_POINT('',(43.25,41.373,0.)); #547736=CARTESIAN_POINT('',(43.25,41.373,0.)); #547737=CARTESIAN_POINT('',(43.25,41.373,0.035)); #547738=CARTESIAN_POINT('',(43.25,41.373,0.035)); #547739=CARTESIAN_POINT('',(43.25,41.373,0.)); #547740=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #547741=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #547742=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #547743=CARTESIAN_POINT('',(43.160197,41.410197,0.035)); #547744=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.035)); #547745=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #547746=CARTESIAN_POINT('Origin',(41.910197,42.660197,0.)); #547747=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #547748=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #547749=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #547750=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #547751=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #547752=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #547753=CARTESIAN_POINT('',(41.873,42.75,0.)); #547754=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #547755=CARTESIAN_POINT('',(41.873,42.75,0.035)); #547756=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.035)); #547757=CARTESIAN_POINT('',(41.873,42.75,0.)); #547758=CARTESIAN_POINT('Origin',(41.873,49.447394,0.)); #547759=CARTESIAN_POINT('',(41.873,49.447394,0.)); #547760=CARTESIAN_POINT('',(41.873,49.447394,0.)); #547761=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #547762=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #547763=CARTESIAN_POINT('',(41.873,49.447394,0.)); #547764=CARTESIAN_POINT('Origin',(41.197394,50.123,0.)); #547765=CARTESIAN_POINT('',(41.197394,50.123,0.)); #547766=CARTESIAN_POINT('',(41.197394,50.123,0.)); #547767=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #547768=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #547769=CARTESIAN_POINT('',(41.197394,50.123,0.)); #547770=CARTESIAN_POINT('Origin',(36.3125,50.123,0.)); #547771=CARTESIAN_POINT('',(36.3125,50.123,0.)); #547772=CARTESIAN_POINT('',(36.3125,50.123,0.)); #547773=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #547774=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #547775=CARTESIAN_POINT('',(36.3125,50.123,0.)); #547776=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #547777=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #547778=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #547779=CARTESIAN_POINT('',(36.222697,50.160197,0.035)); #547780=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.035)); #547781=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #547782=CARTESIAN_POINT('Origin',(33.535197,52.847697,0.)); #547783=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #547784=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #547785=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #547786=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #547787=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #547788=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #547789=CARTESIAN_POINT('',(33.498,52.9375,0.)); #547790=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #547791=CARTESIAN_POINT('',(33.498,52.9375,0.035)); #547792=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.035)); #547793=CARTESIAN_POINT('',(33.498,52.9375,0.)); #547794=CARTESIAN_POINT('Origin',(33.498,53.947394,0.)); #547795=CARTESIAN_POINT('',(33.498,53.947394,0.)); #547796=CARTESIAN_POINT('',(33.498,53.947394,0.)); #547797=CARTESIAN_POINT('',(33.498,53.947394,0.035)); #547798=CARTESIAN_POINT('',(33.498,53.947394,0.035)); #547799=CARTESIAN_POINT('',(33.498,53.947394,0.)); #547800=CARTESIAN_POINT('Origin',(33.160197,54.285197,0.)); #547801=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #547802=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #547803=CARTESIAN_POINT('',(33.160197,54.285197,0.035)); #547804=CARTESIAN_POINT('',(33.160197,54.285197,0.035)); #547805=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #547806=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.)); #547807=CARTESIAN_POINT('',(33.123,54.375,0.)); #547808=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.)); #547809=CARTESIAN_POINT('',(33.123,54.375,0.035)); #547810=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.035)); #547811=CARTESIAN_POINT('',(33.123,54.375,0.)); #547812=CARTESIAN_POINT('Origin',(33.123,54.756072,0.)); #547813=CARTESIAN_POINT('',(33.123,54.756072,0.)); #547814=CARTESIAN_POINT('',(33.123,54.756072,0.)); #547815=CARTESIAN_POINT('',(33.123,54.756072,0.035)); #547816=CARTESIAN_POINT('',(33.123,54.756072,0.035)); #547817=CARTESIAN_POINT('',(33.123,54.756072,0.)); #547818=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.)); #547819=CARTESIAN_POINT('',(33.144438,55.254853,0.)); #547820=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.)); #547821=CARTESIAN_POINT('',(33.144438,55.254853,0.035)); #547822=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.035)); #547823=CARTESIAN_POINT('',(33.144438,55.254853,0.)); #547824=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.)); #547825=CARTESIAN_POINT('',(33.377,54.756072,0.)); #547826=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.)); #547827=CARTESIAN_POINT('',(33.377,54.756072,0.035)); #547828=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.035)); #547829=CARTESIAN_POINT('',(33.377,54.756072,0.)); #547830=CARTESIAN_POINT('Origin',(33.377,54.427606,0.)); #547831=CARTESIAN_POINT('',(33.377,54.427606,0.)); #547832=CARTESIAN_POINT('',(33.377,54.427606,0.)); #547833=CARTESIAN_POINT('',(33.377,54.427606,0.035)); #547834=CARTESIAN_POINT('',(33.377,54.427606,0.035)); #547835=CARTESIAN_POINT('',(33.377,54.427606,0.)); #547836=CARTESIAN_POINT('Origin',(33.714803,54.089803,0.)); #547837=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #547838=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #547839=CARTESIAN_POINT('',(33.714803,54.089803,0.035)); #547840=CARTESIAN_POINT('',(33.714803,54.089803,0.035)); #547841=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #547842=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.)); #547843=CARTESIAN_POINT('',(33.752,54.,0.)); #547844=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.)); #547845=CARTESIAN_POINT('',(33.752,54.,0.035)); #547846=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.035)); #547847=CARTESIAN_POINT('',(33.752,54.,0.)); #547848=CARTESIAN_POINT('Origin',(33.752,52.990106,0.)); #547849=CARTESIAN_POINT('',(33.752,52.990106,0.)); #547850=CARTESIAN_POINT('',(33.752,52.990106,0.)); #547851=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #547852=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #547853=CARTESIAN_POINT('',(33.752,52.990106,0.)); #547854=CARTESIAN_POINT('Origin',(36.365106,50.377,0.)); #547855=CARTESIAN_POINT('',(36.365106,50.377,0.)); #547856=CARTESIAN_POINT('',(36.365106,50.377,0.)); #547857=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #547858=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #547859=CARTESIAN_POINT('',(36.365106,50.377,0.)); #547860=CARTESIAN_POINT('Origin',(41.25,50.377,0.)); #547861=CARTESIAN_POINT('',(41.25,50.377,0.)); #547862=CARTESIAN_POINT('',(41.25,50.377,0.)); #547863=CARTESIAN_POINT('',(41.25,50.377,0.035)); #547864=CARTESIAN_POINT('',(41.25,50.377,0.035)); #547865=CARTESIAN_POINT('',(41.25,50.377,0.)); #547866=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #547867=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #547868=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #547869=CARTESIAN_POINT('',(41.339803,50.339803,0.035)); #547870=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.035)); #547871=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #547872=CARTESIAN_POINT('Origin',(42.089803,49.589803,0.)); #547873=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #547874=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #547875=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #547876=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #547877=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #547878=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #547879=CARTESIAN_POINT('',(42.127,49.5,0.)); #547880=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #547881=CARTESIAN_POINT('',(42.127,49.5,0.035)); #547882=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.035)); #547883=CARTESIAN_POINT('',(42.127,49.5,0.)); #547884=CARTESIAN_POINT('Origin',(42.127,42.802606,0.)); #547885=CARTESIAN_POINT('',(42.127,42.802606,0.)); #547886=CARTESIAN_POINT('',(42.127,42.802606,0.)); #547887=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #547888=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #547889=CARTESIAN_POINT('',(42.127,42.802606,0.)); #547890=CARTESIAN_POINT('Origin',(43.302606,41.627,0.)); #547891=CARTESIAN_POINT('',(43.302606,41.627,0.)); #547892=CARTESIAN_POINT('',(43.302606,41.627,0.)); #547893=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #547894=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #547895=CARTESIAN_POINT('',(43.302606,41.627,0.)); #547896=CARTESIAN_POINT('Origin',(46.75,41.627,0.)); #547897=CARTESIAN_POINT('',(46.75,41.627,0.)); #547898=CARTESIAN_POINT('',(46.75,41.627,0.)); #547899=CARTESIAN_POINT('',(46.75,41.627,0.035)); #547900=CARTESIAN_POINT('',(46.75,41.627,0.035)); #547901=CARTESIAN_POINT('',(46.75,41.627,0.)); #547902=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #547903=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #547904=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #547905=CARTESIAN_POINT('',(46.839803,41.589803,0.035)); #547906=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.035)); #547907=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #547908=CARTESIAN_POINT('Origin',(48.302606,40.127,0.)); #547909=CARTESIAN_POINT('',(48.302606,40.127,0.)); #547910=CARTESIAN_POINT('',(48.302606,40.127,0.)); #547911=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #547912=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #547913=CARTESIAN_POINT('',(48.302606,40.127,0.)); #547914=CARTESIAN_POINT('Origin',(57.5,40.127,0.)); #547915=CARTESIAN_POINT('',(57.5,40.127,0.)); #547916=CARTESIAN_POINT('',(57.5,40.127,0.)); #547917=CARTESIAN_POINT('',(57.5,40.127,0.035)); #547918=CARTESIAN_POINT('',(57.5,40.127,0.035)); #547919=CARTESIAN_POINT('',(57.5,40.127,0.)); #547920=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.)); #547921=CARTESIAN_POINT('',(57.589803,40.089803,0.)); #547922=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.)); #547923=CARTESIAN_POINT('',(57.589803,40.089803,0.035)); #547924=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.035)); #547925=CARTESIAN_POINT('',(57.589803,40.089803,0.)); #547926=CARTESIAN_POINT('Origin',(59.589803,38.089803,0.)); #547927=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #547928=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #547929=CARTESIAN_POINT('',(59.589803,38.089803,0.035)); #547930=CARTESIAN_POINT('',(59.589803,38.089803,0.035)); #547931=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #547932=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.)); #547933=CARTESIAN_POINT('',(59.627,38.,0.)); #547934=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.)); #547935=CARTESIAN_POINT('',(59.627,38.,0.035)); #547936=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.035)); #547937=CARTESIAN_POINT('',(59.627,38.,0.)); #547938=CARTESIAN_POINT('Origin',(59.627,19.75,0.)); #547939=CARTESIAN_POINT('',(59.627,19.75,0.)); #547940=CARTESIAN_POINT('',(59.627,19.75,0.)); #547941=CARTESIAN_POINT('',(59.627,19.75,0.035)); #547942=CARTESIAN_POINT('',(59.627,19.75,0.035)); #547943=CARTESIAN_POINT('',(59.627,19.75,0.)); #547944=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.)); #547945=CARTESIAN_POINT('',(59.589803,19.660197,0.)); #547946=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.)); #547947=CARTESIAN_POINT('',(59.589803,19.660197,0.035)); #547948=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.035)); #547949=CARTESIAN_POINT('',(59.589803,19.660197,0.)); #547950=CARTESIAN_POINT('Origin',(54.464803,14.535197,0.)); #547951=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #547952=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #547953=CARTESIAN_POINT('',(54.464803,14.535197,0.035)); #547954=CARTESIAN_POINT('',(54.464803,14.535197,0.035)); #547955=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #547956=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.)); #547957=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.)); #547958=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.035)); #547959=CARTESIAN_POINT('Origin',(49.4793939404174,32.7223774402083,0.035)); #547960=CARTESIAN_POINT('Origin',(49.4793939404174,32.7223774402083,0.)); #547961=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #547962=CARTESIAN_POINT('',(41.86,31.,0.)); #547963=CARTESIAN_POINT('Origin',(42.,31.,0.)); #547964=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #547965=CARTESIAN_POINT('',(41.86,31.,-200.)); #547966=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #547967=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #547968=CARTESIAN_POINT('',(41.86,36.,0.)); #547969=CARTESIAN_POINT('Origin',(42.,36.,0.)); #547970=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #547971=CARTESIAN_POINT('',(41.86,36.,-200.)); #547972=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #547973=CARTESIAN_POINT('Origin',(42.021644,30.725,0.)); #547974=CARTESIAN_POINT('',(42.021644,30.725,0.)); #547975=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #547976=CARTESIAN_POINT('',(42.021644,30.725,0.)); #547977=CARTESIAN_POINT('',(42.064397,30.731772,0.035)); #547978=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #547979=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #547980=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #547981=CARTESIAN_POINT('',(42.021644,30.725,0.)); #547982=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #547983=CARTESIAN_POINT('',(41.873,31.243928,0.)); #547984=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #547985=CARTESIAN_POINT('',(41.873,31.243928,0.035)); #547986=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.035)); #547987=CARTESIAN_POINT('',(41.873,31.243928,0.)); #547988=CARTESIAN_POINT('Origin',(41.873,35.756072,0.)); #547989=CARTESIAN_POINT('',(41.873,35.756072,0.)); #547990=CARTESIAN_POINT('',(41.873,35.756072,0.)); #547991=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #547992=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #547993=CARTESIAN_POINT('',(41.873,35.756072,0.)); #547994=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #547995=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #547996=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #547997=CARTESIAN_POINT('',(41.894438,36.254853,0.035)); #547998=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.035)); #547999=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #548000=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #548001=CARTESIAN_POINT('',(42.127,35.756072,0.)); #548002=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #548003=CARTESIAN_POINT('',(42.127,35.756072,0.035)); #548004=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.035)); #548005=CARTESIAN_POINT('',(42.127,35.756072,0.)); #548006=CARTESIAN_POINT('Origin',(42.127,31.243928,0.)); #548007=CARTESIAN_POINT('',(42.127,31.243928,0.)); #548008=CARTESIAN_POINT('',(42.127,31.243928,0.)); #548009=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #548010=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #548011=CARTESIAN_POINT('',(42.127,31.243928,0.)); #548012=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #548013=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #548014=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.035)); #548015=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.035)); #548016=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.)); #548017=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #548018=CARTESIAN_POINT('',(34.622,60.25,0.)); #548019=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #548020=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #548021=CARTESIAN_POINT('',(34.622,60.25,-200.)); #548022=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #548023=CARTESIAN_POINT('Origin',(34.783644,59.975,0.)); #548024=CARTESIAN_POINT('',(34.783644,59.975,0.)); #548025=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #548026=CARTESIAN_POINT('',(34.783644,59.975,0.)); #548027=CARTESIAN_POINT('',(34.826397,59.981772,0.035)); #548028=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #548029=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #548030=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #548031=CARTESIAN_POINT('',(34.783644,59.975,0.)); #548032=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #548033=CARTESIAN_POINT('',(34.635,60.493928,0.)); #548034=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #548035=CARTESIAN_POINT('',(34.635,60.493928,0.035)); #548036=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.035)); #548037=CARTESIAN_POINT('',(34.635,60.493928,0.)); #548038=CARTESIAN_POINT('Origin',(34.635,65.5555,0.)); #548039=CARTESIAN_POINT('',(34.635,65.5555,0.)); #548040=CARTESIAN_POINT('',(34.635,65.5555,0.)); #548041=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #548042=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #548043=CARTESIAN_POINT('',(34.635,65.5555,0.)); #548044=CARTESIAN_POINT('Origin',(34.3429,65.5555,0.)); #548045=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #548046=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #548047=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #548048=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #548049=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #548050=CARTESIAN_POINT('Origin',(34.3429,66.4445,0.)); #548051=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #548052=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #548053=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #548054=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #548055=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #548056=CARTESIAN_POINT('Origin',(35.1811,66.4445,0.)); #548057=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #548058=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #548059=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #548060=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #548061=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #548062=CARTESIAN_POINT('Origin',(35.1811,65.5555,0.)); #548063=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #548064=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #548065=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #548066=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #548067=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #548068=CARTESIAN_POINT('Origin',(34.889,65.5555,0.)); #548069=CARTESIAN_POINT('',(34.889,65.5555,0.)); #548070=CARTESIAN_POINT('',(34.889,65.5555,0.)); #548071=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #548072=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #548073=CARTESIAN_POINT('',(34.889,65.5555,0.)); #548074=CARTESIAN_POINT('Origin',(34.889,60.493928,0.)); #548075=CARTESIAN_POINT('',(34.889,60.493928,0.)); #548076=CARTESIAN_POINT('',(34.889,60.493928,0.)); #548077=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #548078=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #548079=CARTESIAN_POINT('',(34.889,60.493928,0.)); #548080=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #548081=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #548082=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.035)); #548083=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.035)); #548084=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.)); #548085=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #548086=CARTESIAN_POINT('',(38.622,60.25,0.)); #548087=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #548088=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #548089=CARTESIAN_POINT('',(38.622,60.25,-200.)); #548090=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #548091=CARTESIAN_POINT('Origin',(38.783644,59.975,0.)); #548092=CARTESIAN_POINT('',(38.783644,59.975,0.)); #548093=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #548094=CARTESIAN_POINT('',(38.783644,59.975,0.)); #548095=CARTESIAN_POINT('',(38.826397,59.981772,0.035)); #548096=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #548097=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #548098=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #548099=CARTESIAN_POINT('',(38.783644,59.975,0.)); #548100=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #548101=CARTESIAN_POINT('',(38.635,60.493928,0.)); #548102=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #548103=CARTESIAN_POINT('',(38.635,60.493928,0.035)); #548104=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.035)); #548105=CARTESIAN_POINT('',(38.635,60.493928,0.)); #548106=CARTESIAN_POINT('Origin',(38.635,65.5555,0.)); #548107=CARTESIAN_POINT('',(38.635,65.5555,0.)); #548108=CARTESIAN_POINT('',(38.635,65.5555,0.)); #548109=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #548110=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #548111=CARTESIAN_POINT('',(38.635,65.5555,0.)); #548112=CARTESIAN_POINT('Origin',(38.3429,65.5555,0.)); #548113=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #548114=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #548115=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #548116=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #548117=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #548118=CARTESIAN_POINT('Origin',(38.3429,66.4445,0.)); #548119=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #548120=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #548121=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #548122=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #548123=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #548124=CARTESIAN_POINT('Origin',(39.1811,66.4445,0.)); #548125=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #548126=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #548127=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #548128=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #548129=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #548130=CARTESIAN_POINT('Origin',(39.1811,65.5555,0.)); #548131=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #548132=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #548133=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #548134=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #548135=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #548136=CARTESIAN_POINT('Origin',(38.889,65.5555,0.)); #548137=CARTESIAN_POINT('',(38.889,65.5555,0.)); #548138=CARTESIAN_POINT('',(38.889,65.5555,0.)); #548139=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #548140=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #548141=CARTESIAN_POINT('',(38.889,65.5555,0.)); #548142=CARTESIAN_POINT('Origin',(38.889,60.493928,0.)); #548143=CARTESIAN_POINT('',(38.889,60.493928,0.)); #548144=CARTESIAN_POINT('',(38.889,60.493928,0.)); #548145=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #548146=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #548147=CARTESIAN_POINT('',(38.889,60.493928,0.)); #548148=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #548149=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #548150=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.035)); #548151=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.035)); #548152=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.)); #548153=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #548154=CARTESIAN_POINT('',(33.9225,12.25,0.)); #548155=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #548156=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #548157=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #548158=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #548159=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #548160=CARTESIAN_POINT('',(34.084144,11.975,0.)); #548161=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #548162=CARTESIAN_POINT('',(34.084144,11.975,0.)); #548163=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #548164=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #548165=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #548166=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #548167=CARTESIAN_POINT('',(34.084144,11.975,0.)); #548168=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #548169=CARTESIAN_POINT('',(33.818572,12.123,0.)); #548170=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #548171=CARTESIAN_POINT('',(33.818572,12.123,0.035)); #548172=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.035)); #548173=CARTESIAN_POINT('',(33.818572,12.123,0.)); #548174=CARTESIAN_POINT('Origin',(29.939338,12.123,0.)); #548175=CARTESIAN_POINT('',(29.939338,12.123,0.)); #548176=CARTESIAN_POINT('',(29.939338,12.123,0.)); #548177=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #548178=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #548179=CARTESIAN_POINT('',(29.939338,12.123,0.)); #548180=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #548181=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #548182=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #548183=CARTESIAN_POINT('',(29.849534,12.160197,0.035)); #548184=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.035)); #548185=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #548186=CARTESIAN_POINT('Origin',(26.148197,15.861534,0.)); #548187=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #548188=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #548189=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #548190=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #548191=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #548192=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #548193=CARTESIAN_POINT('',(26.111,15.951338,0.)); #548194=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #548195=CARTESIAN_POINT('',(26.111,15.951338,0.035)); #548196=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.035)); #548197=CARTESIAN_POINT('',(26.111,15.951338,0.)); #548198=CARTESIAN_POINT('Origin',(26.111,18.5555,0.)); #548199=CARTESIAN_POINT('',(26.111,18.5555,0.)); #548200=CARTESIAN_POINT('',(26.111,18.5555,0.)); #548201=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #548202=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #548203=CARTESIAN_POINT('',(26.111,18.5555,0.)); #548204=CARTESIAN_POINT('Origin',(25.8189,18.5555,0.)); #548205=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #548206=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #548207=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #548208=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #548209=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #548210=CARTESIAN_POINT('Origin',(25.8189,19.4445,0.)); #548211=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #548212=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #548213=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #548214=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #548215=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #548216=CARTESIAN_POINT('Origin',(26.6571,19.4445,0.)); #548217=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #548218=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #548219=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #548220=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #548221=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #548222=CARTESIAN_POINT('Origin',(26.6571,18.5555,0.)); #548223=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #548224=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #548225=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #548226=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #548227=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #548228=CARTESIAN_POINT('Origin',(26.365,18.5555,0.)); #548229=CARTESIAN_POINT('',(26.365,18.5555,0.)); #548230=CARTESIAN_POINT('',(26.365,18.5555,0.)); #548231=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #548232=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #548233=CARTESIAN_POINT('',(26.365,18.5555,0.)); #548234=CARTESIAN_POINT('Origin',(26.365,16.003944,0.)); #548235=CARTESIAN_POINT('',(26.365,16.003944,0.)); #548236=CARTESIAN_POINT('',(26.365,16.003944,0.)); #548237=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #548238=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #548239=CARTESIAN_POINT('',(26.365,16.003944,0.)); #548240=CARTESIAN_POINT('Origin',(29.991944,12.377,0.)); #548241=CARTESIAN_POINT('',(29.991944,12.377,0.)); #548242=CARTESIAN_POINT('',(29.991944,12.377,0.)); #548243=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #548244=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #548245=CARTESIAN_POINT('',(29.991944,12.377,0.)); #548246=CARTESIAN_POINT('Origin',(33.818572,12.377,0.)); #548247=CARTESIAN_POINT('',(33.818572,12.377,0.)); #548248=CARTESIAN_POINT('',(33.818572,12.377,0.)); #548249=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #548250=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #548251=CARTESIAN_POINT('',(33.818572,12.377,0.)); #548252=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #548253=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #548254=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #548255=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #548256=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.035)); #548257=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #548258=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #548259=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #548260=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #548261=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.035)); #548262=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.)); #548263=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #548264=CARTESIAN_POINT('',(31.035,25.25,0.)); #548265=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #548266=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #548267=CARTESIAN_POINT('',(31.035,25.25,-200.)); #548268=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #548269=CARTESIAN_POINT('Origin',(28.1811,22.5555,0.)); #548270=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #548271=CARTESIAN_POINT('',(28.1811,22.873,0.)); #548272=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #548273=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #548274=CARTESIAN_POINT('',(28.1811,22.873,0.)); #548275=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #548276=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #548277=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #548278=CARTESIAN_POINT('Origin',(27.3429,22.5555,0.)); #548279=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #548280=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #548281=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #548282=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #548283=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #548284=CARTESIAN_POINT('Origin',(27.3429,23.4445,0.)); #548285=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #548286=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #548287=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #548288=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #548289=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #548290=CARTESIAN_POINT('Origin',(28.1811,23.4445,0.)); #548291=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #548292=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #548293=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #548294=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #548295=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #548296=CARTESIAN_POINT('Origin',(28.1811,23.127,0.)); #548297=CARTESIAN_POINT('',(28.1811,23.127,0.)); #548298=CARTESIAN_POINT('',(28.1811,23.127,0.)); #548299=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #548300=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #548301=CARTESIAN_POINT('',(28.1811,23.127,0.)); #548302=CARTESIAN_POINT('Origin',(30.447394,23.127,0.)); #548303=CARTESIAN_POINT('',(30.447394,23.127,0.)); #548304=CARTESIAN_POINT('',(30.447394,23.127,0.)); #548305=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #548306=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #548307=CARTESIAN_POINT('',(30.447394,23.127,0.)); #548308=CARTESIAN_POINT('Origin',(31.373,24.052606,0.)); #548309=CARTESIAN_POINT('',(31.373,24.052606,0.)); #548310=CARTESIAN_POINT('',(31.373,24.052606,0.)); #548311=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #548312=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #548313=CARTESIAN_POINT('',(31.373,24.052606,0.)); #548314=CARTESIAN_POINT('Origin',(31.373,24.510569,0.)); #548315=CARTESIAN_POINT('',(31.373,24.510569,0.)); #548316=CARTESIAN_POINT('',(31.373,24.510569,0.)); #548317=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #548318=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #548319=CARTESIAN_POINT('',(31.373,24.510569,0.)); #548320=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #548321=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #548322=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #548323=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #548324=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.035)); #548325=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #548326=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #548327=CARTESIAN_POINT('',(31.627,24.510569,0.)); #548328=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #548329=CARTESIAN_POINT('',(31.627,24.510569,0.035)); #548330=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.035)); #548331=CARTESIAN_POINT('',(31.627,24.510569,0.)); #548332=CARTESIAN_POINT('Origin',(31.627,24.,0.)); #548333=CARTESIAN_POINT('',(31.627,24.,0.)); #548334=CARTESIAN_POINT('',(31.627,24.,0.)); #548335=CARTESIAN_POINT('',(31.627,24.,0.035)); #548336=CARTESIAN_POINT('',(31.627,24.,0.035)); #548337=CARTESIAN_POINT('',(31.627,24.,0.)); #548338=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #548339=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #548340=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #548341=CARTESIAN_POINT('',(31.589803,23.910197,0.035)); #548342=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.035)); #548343=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #548344=CARTESIAN_POINT('Origin',(30.589803,22.910197,0.)); #548345=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #548346=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #548347=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #548348=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #548349=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #548350=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #548351=CARTESIAN_POINT('',(30.5,22.873,0.)); #548352=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #548353=CARTESIAN_POINT('',(30.5,22.873,0.035)); #548354=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.035)); #548355=CARTESIAN_POINT('',(30.5,22.873,0.)); #548356=CARTESIAN_POINT('Origin',(28.1811,22.873,0.)); #548357=CARTESIAN_POINT('',(28.1811,22.873,0.)); #548358=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #548359=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.035)); #548360=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.)); #548361=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #548362=CARTESIAN_POINT('',(33.535,25.25,0.)); #548363=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #548364=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #548365=CARTESIAN_POINT('',(33.535,25.25,-200.)); #548366=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #548367=CARTESIAN_POINT('Origin',(34.036844,24.5,0.)); #548368=CARTESIAN_POINT('',(34.036844,24.5,0.)); #548369=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #548370=CARTESIAN_POINT('',(34.036844,24.5,0.)); #548371=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #548372=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #548373=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #548374=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #548375=CARTESIAN_POINT('',(34.036844,24.5,0.)); #548376=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #548377=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #548378=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #548379=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #548380=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #548381=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #548382=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #548383=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #548384=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #548385=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #548386=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #548387=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #548388=CARTESIAN_POINT('',(33.86,23.125,0.)); #548389=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #548390=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #548391=CARTESIAN_POINT('',(33.86,23.125,-200.)); #548392=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #548393=CARTESIAN_POINT('Origin',(47.6698,17.5585,0.)); #548394=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #548395=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #548396=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #548397=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #548398=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #548399=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #548400=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #548401=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #548402=CARTESIAN_POINT('Origin',(47.0602,17.5585,0.)); #548403=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #548404=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #548405=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #548406=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #548407=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #548408=CARTESIAN_POINT('Origin',(47.0602,19.2095,0.)); #548409=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #548410=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #548411=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #548412=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #548413=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #548414=CARTESIAN_POINT('Origin',(47.238,19.2095,0.)); #548415=CARTESIAN_POINT('',(47.238,19.2095,0.)); #548416=CARTESIAN_POINT('',(47.238,19.2095,0.)); #548417=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #548418=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #548419=CARTESIAN_POINT('',(47.238,19.2095,0.)); #548420=CARTESIAN_POINT('Origin',(47.238,20.957394,0.)); #548421=CARTESIAN_POINT('',(47.238,20.957394,0.)); #548422=CARTESIAN_POINT('',(47.238,20.957394,0.)); #548423=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #548424=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #548425=CARTESIAN_POINT('',(47.238,20.957394,0.)); #548426=CARTESIAN_POINT('Origin',(46.447394,21.748,0.)); #548427=CARTESIAN_POINT('',(46.447394,21.748,0.)); #548428=CARTESIAN_POINT('',(46.447394,21.748,0.)); #548429=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #548430=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #548431=CARTESIAN_POINT('',(46.447394,21.748,0.)); #548432=CARTESIAN_POINT('Origin',(37.5,21.748,0.)); #548433=CARTESIAN_POINT('',(37.5,21.748,0.)); #548434=CARTESIAN_POINT('',(37.5,21.748,0.)); #548435=CARTESIAN_POINT('',(37.5,21.748,0.035)); #548436=CARTESIAN_POINT('',(37.5,21.748,0.035)); #548437=CARTESIAN_POINT('',(37.5,21.748,0.)); #548438=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #548439=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #548440=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #548441=CARTESIAN_POINT('',(37.410197,21.785197,0.035)); #548442=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.035)); #548443=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #548444=CARTESIAN_POINT('Origin',(36.197394,22.998,0.)); #548445=CARTESIAN_POINT('',(36.197394,22.998,0.)); #548446=CARTESIAN_POINT('',(36.197394,22.998,0.)); #548447=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #548448=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #548449=CARTESIAN_POINT('',(36.197394,22.998,0.)); #548450=CARTESIAN_POINT('Origin',(34.243928,22.998,0.)); #548451=CARTESIAN_POINT('',(34.243928,22.998,0.)); #548452=CARTESIAN_POINT('',(34.243928,22.998,0.)); #548453=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #548454=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #548455=CARTESIAN_POINT('',(34.243928,22.998,0.)); #548456=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #548457=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #548458=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #548459=CARTESIAN_POINT('',(33.745147,23.019438,0.035)); #548460=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.035)); #548461=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #548462=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #548463=CARTESIAN_POINT('',(34.243928,23.252,0.)); #548464=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #548465=CARTESIAN_POINT('',(34.243928,23.252,0.035)); #548466=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.035)); #548467=CARTESIAN_POINT('',(34.243928,23.252,0.)); #548468=CARTESIAN_POINT('Origin',(36.25,23.252,0.)); #548469=CARTESIAN_POINT('',(36.25,23.252,0.)); #548470=CARTESIAN_POINT('',(36.25,23.252,0.)); #548471=CARTESIAN_POINT('',(36.25,23.252,0.035)); #548472=CARTESIAN_POINT('',(36.25,23.252,0.035)); #548473=CARTESIAN_POINT('',(36.25,23.252,0.)); #548474=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #548475=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #548476=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #548477=CARTESIAN_POINT('',(36.339803,23.214803,0.035)); #548478=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.035)); #548479=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #548480=CARTESIAN_POINT('Origin',(37.552606,22.002,0.)); #548481=CARTESIAN_POINT('',(37.552606,22.002,0.)); #548482=CARTESIAN_POINT('',(37.552606,22.002,0.)); #548483=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #548484=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #548485=CARTESIAN_POINT('',(37.552606,22.002,0.)); #548486=CARTESIAN_POINT('Origin',(46.5,22.002,0.)); #548487=CARTESIAN_POINT('',(46.5,22.002,0.)); #548488=CARTESIAN_POINT('',(46.5,22.002,0.)); #548489=CARTESIAN_POINT('',(46.5,22.002,0.035)); #548490=CARTESIAN_POINT('',(46.5,22.002,0.035)); #548491=CARTESIAN_POINT('',(46.5,22.002,0.)); #548492=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #548493=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #548494=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #548495=CARTESIAN_POINT('',(46.589803,21.964803,0.035)); #548496=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.035)); #548497=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #548498=CARTESIAN_POINT('Origin',(47.454803,21.099803,0.)); #548499=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #548500=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #548501=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #548502=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #548503=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #548504=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #548505=CARTESIAN_POINT('',(47.492,21.01,0.)); #548506=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #548507=CARTESIAN_POINT('',(47.492,21.01,0.035)); #548508=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.035)); #548509=CARTESIAN_POINT('',(47.492,21.01,0.)); #548510=CARTESIAN_POINT('Origin',(47.492,19.2095,0.)); #548511=CARTESIAN_POINT('',(47.492,19.2095,0.)); #548512=CARTESIAN_POINT('',(47.492,19.2095,0.)); #548513=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #548514=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #548515=CARTESIAN_POINT('',(47.492,19.2095,0.)); #548516=CARTESIAN_POINT('Origin',(47.6698,19.2095,0.)); #548517=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #548518=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #548519=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.035)); #548520=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.)); #548521=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #548522=CARTESIAN_POINT('',(36.035,25.25,0.)); #548523=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #548524=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #548525=CARTESIAN_POINT('',(36.035,25.25,-200.)); #548526=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #548527=CARTESIAN_POINT('Origin',(40.6571,22.5555,0.)); #548528=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #548529=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #548530=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #548531=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #548532=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #548533=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #548534=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #548535=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #548536=CARTESIAN_POINT('Origin',(39.8189,22.5555,0.)); #548537=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #548538=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #548539=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #548540=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #548541=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #548542=CARTESIAN_POINT('Origin',(39.8189,22.873,0.)); #548543=CARTESIAN_POINT('',(39.8189,22.873,0.)); #548544=CARTESIAN_POINT('',(39.8189,22.873,0.)); #548545=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #548546=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #548547=CARTESIAN_POINT('',(39.8189,22.873,0.)); #548548=CARTESIAN_POINT('Origin',(37.5,22.873,0.)); #548549=CARTESIAN_POINT('',(37.5,22.873,0.)); #548550=CARTESIAN_POINT('',(37.5,22.873,0.)); #548551=CARTESIAN_POINT('',(37.5,22.873,0.035)); #548552=CARTESIAN_POINT('',(37.5,22.873,0.035)); #548553=CARTESIAN_POINT('',(37.5,22.873,0.)); #548554=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #548555=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #548556=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #548557=CARTESIAN_POINT('',(37.410197,22.910197,0.035)); #548558=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.035)); #548559=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #548560=CARTESIAN_POINT('Origin',(36.410197,23.910197,0.)); #548561=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #548562=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #548563=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #548564=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #548565=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #548566=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #548567=CARTESIAN_POINT('',(36.373,24.,0.)); #548568=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #548569=CARTESIAN_POINT('',(36.373,24.,0.035)); #548570=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.035)); #548571=CARTESIAN_POINT('',(36.373,24.,0.)); #548572=CARTESIAN_POINT('Origin',(36.373,24.510569,0.)); #548573=CARTESIAN_POINT('',(36.373,24.510569,0.)); #548574=CARTESIAN_POINT('',(36.373,24.510569,0.)); #548575=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #548576=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #548577=CARTESIAN_POINT('',(36.373,24.510569,0.)); #548578=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #548579=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #548580=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #548581=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #548582=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.035)); #548583=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #548584=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #548585=CARTESIAN_POINT('',(36.627,24.510569,0.)); #548586=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #548587=CARTESIAN_POINT('',(36.627,24.510569,0.035)); #548588=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.035)); #548589=CARTESIAN_POINT('',(36.627,24.510569,0.)); #548590=CARTESIAN_POINT('Origin',(36.627,24.052606,0.)); #548591=CARTESIAN_POINT('',(36.627,24.052606,0.)); #548592=CARTESIAN_POINT('',(36.627,24.052606,0.)); #548593=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #548594=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #548595=CARTESIAN_POINT('',(36.627,24.052606,0.)); #548596=CARTESIAN_POINT('Origin',(37.552606,23.127,0.)); #548597=CARTESIAN_POINT('',(37.552606,23.127,0.)); #548598=CARTESIAN_POINT('',(37.552606,23.127,0.)); #548599=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #548600=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #548601=CARTESIAN_POINT('',(37.552606,23.127,0.)); #548602=CARTESIAN_POINT('Origin',(39.8189,23.127,0.)); #548603=CARTESIAN_POINT('',(39.8189,23.127,0.)); #548604=CARTESIAN_POINT('',(39.8189,23.127,0.)); #548605=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #548606=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #548607=CARTESIAN_POINT('',(39.8189,23.127,0.)); #548608=CARTESIAN_POINT('Origin',(39.8189,23.4445,0.)); #548609=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #548610=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #548611=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #548612=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #548613=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #548614=CARTESIAN_POINT('Origin',(40.6571,23.4445,0.)); #548615=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #548616=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #548617=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.035)); #548618=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.)); #548619=CARTESIAN_POINT('Origin',(48.9398,22.7905,0.)); #548620=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #548621=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #548622=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #548623=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #548624=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #548625=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #548626=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #548627=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #548628=CARTESIAN_POINT('Origin',(48.3302,22.7905,0.)); #548629=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #548630=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #548631=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #548632=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #548633=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #548634=CARTESIAN_POINT('Origin',(48.3302,24.4415,0.)); #548635=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #548636=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #548637=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #548638=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #548639=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #548640=CARTESIAN_POINT('Origin',(48.508,24.4415,0.)); #548641=CARTESIAN_POINT('',(48.508,24.4415,0.)); #548642=CARTESIAN_POINT('',(48.508,24.4415,0.)); #548643=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #548644=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #548645=CARTESIAN_POINT('',(48.508,24.4415,0.)); #548646=CARTESIAN_POINT('Origin',(48.508,25.623,0.)); #548647=CARTESIAN_POINT('',(48.508,25.623,0.)); #548648=CARTESIAN_POINT('',(48.508,25.623,0.)); #548649=CARTESIAN_POINT('',(48.508,25.623,0.035)); #548650=CARTESIAN_POINT('',(48.508,25.623,0.035)); #548651=CARTESIAN_POINT('',(48.508,25.623,0.)); #548652=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #548653=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #548654=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #548655=CARTESIAN_POINT('',(48.545197,25.712803,0.035)); #548656=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.035)); #548657=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #548658=CARTESIAN_POINT('Origin',(48.8055,25.973106,0.)); #548659=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #548660=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #548661=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #548662=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #548663=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #548664=CARTESIAN_POINT('Origin',(48.8055,26.6571,0.)); #548665=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #548666=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #548667=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #548668=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #548669=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #548670=CARTESIAN_POINT('Origin',(49.6945,26.6571,0.)); #548671=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #548672=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #548673=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #548674=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #548675=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #548676=CARTESIAN_POINT('Origin',(49.6945,25.8189,0.)); #548677=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #548678=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #548679=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #548680=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #548681=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #548682=CARTESIAN_POINT('Origin',(49.010506,25.8189,0.)); #548683=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #548684=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #548685=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #548686=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #548687=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #548688=CARTESIAN_POINT('Origin',(48.762,25.570394,0.)); #548689=CARTESIAN_POINT('',(48.762,25.570394,0.)); #548690=CARTESIAN_POINT('',(48.762,25.570394,0.)); #548691=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #548692=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #548693=CARTESIAN_POINT('',(48.762,25.570394,0.)); #548694=CARTESIAN_POINT('Origin',(48.762,24.4415,0.)); #548695=CARTESIAN_POINT('',(48.762,24.4415,0.)); #548696=CARTESIAN_POINT('',(48.762,24.4415,0.)); #548697=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #548698=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #548699=CARTESIAN_POINT('',(48.762,24.4415,0.)); #548700=CARTESIAN_POINT('Origin',(48.9398,24.4415,0.)); #548701=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #548702=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #548703=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.035)); #548704=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.)); #548705=CARTESIAN_POINT('Origin',(47.6698,22.7905,0.)); #548706=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #548707=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #548708=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #548709=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #548710=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #548711=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #548712=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #548713=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #548714=CARTESIAN_POINT('Origin',(47.0602,22.7905,0.)); #548715=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #548716=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #548717=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #548718=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #548719=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #548720=CARTESIAN_POINT('Origin',(47.0602,24.4415,0.)); #548721=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #548722=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #548723=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #548724=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #548725=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #548726=CARTESIAN_POINT('Origin',(47.238,24.4415,0.)); #548727=CARTESIAN_POINT('',(47.238,24.4415,0.)); #548728=CARTESIAN_POINT('',(47.238,24.4415,0.)); #548729=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #548730=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #548731=CARTESIAN_POINT('',(47.238,24.4415,0.)); #548732=CARTESIAN_POINT('Origin',(47.238,25.570394,0.)); #548733=CARTESIAN_POINT('',(47.238,25.570394,0.)); #548734=CARTESIAN_POINT('',(47.238,25.570394,0.)); #548735=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #548736=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #548737=CARTESIAN_POINT('',(47.238,25.570394,0.)); #548738=CARTESIAN_POINT('Origin',(46.989494,25.8189,0.)); #548739=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #548740=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #548741=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #548742=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #548743=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #548744=CARTESIAN_POINT('Origin',(46.3055,25.8189,0.)); #548745=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #548746=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #548747=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #548748=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #548749=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #548750=CARTESIAN_POINT('Origin',(46.3055,26.6571,0.)); #548751=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #548752=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #548753=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #548754=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #548755=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #548756=CARTESIAN_POINT('Origin',(47.1945,26.6571,0.)); #548757=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #548758=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #548759=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #548760=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #548761=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #548762=CARTESIAN_POINT('Origin',(47.1945,25.973106,0.)); #548763=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #548764=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #548765=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #548766=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #548767=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #548768=CARTESIAN_POINT('Origin',(47.454803,25.712803,0.)); #548769=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #548770=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #548771=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #548772=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #548773=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #548774=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #548775=CARTESIAN_POINT('',(47.492,25.623,0.)); #548776=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #548777=CARTESIAN_POINT('',(47.492,25.623,0.035)); #548778=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.035)); #548779=CARTESIAN_POINT('',(47.492,25.623,0.)); #548780=CARTESIAN_POINT('Origin',(47.492,24.4415,0.)); #548781=CARTESIAN_POINT('',(47.492,24.4415,0.)); #548782=CARTESIAN_POINT('',(47.492,24.4415,0.)); #548783=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #548784=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #548785=CARTESIAN_POINT('',(47.492,24.4415,0.)); #548786=CARTESIAN_POINT('Origin',(47.6698,24.4415,0.)); #548787=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #548788=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #548789=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.035)); #548790=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.)); #548791=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #548792=CARTESIAN_POINT('',(29.625,45.,0.)); #548793=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #548794=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #548795=CARTESIAN_POINT('',(29.625,45.,-200.)); #548796=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #548797=CARTESIAN_POINT('Origin',(36.9255,26.5605,0.)); #548798=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #548799=CARTESIAN_POINT('',(36.9309,26.561103,0.)); #548800=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #548801=CARTESIAN_POINT('',(36.9309,26.561103,0.035)); #548802=CARTESIAN_POINT('',(36.9309,26.561103,0.)); #548803=CARTESIAN_POINT('',(36.9255,26.5605,0.035)); #548804=CARTESIAN_POINT('',(36.9255,26.5605,0.035)); #548805=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #548806=CARTESIAN_POINT('Origin',(35.0625,26.5605,0.)); #548807=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #548808=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #548809=CARTESIAN_POINT('',(35.0625,26.5605,0.035)); #548810=CARTESIAN_POINT('',(35.0625,26.5605,0.035)); #548811=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #548812=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.)); #548813=CARTESIAN_POINT('',(34.972697,26.597697,0.)); #548814=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.)); #548815=CARTESIAN_POINT('',(34.972697,26.597697,0.035)); #548816=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.035)); #548817=CARTESIAN_POINT('',(34.972697,26.597697,0.)); #548818=CARTESIAN_POINT('Origin',(33.910197,27.660197,0.)); #548819=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #548820=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #548821=CARTESIAN_POINT('',(33.910197,27.660197,0.035)); #548822=CARTESIAN_POINT('',(33.910197,27.660197,0.035)); #548823=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #548824=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.)); #548825=CARTESIAN_POINT('',(33.873,27.75,0.)); #548826=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.)); #548827=CARTESIAN_POINT('',(33.873,27.75,0.035)); #548828=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.035)); #548829=CARTESIAN_POINT('',(33.873,27.75,0.)); #548830=CARTESIAN_POINT('Origin',(33.873,34.697394,0.)); #548831=CARTESIAN_POINT('',(33.873,34.697394,0.)); #548832=CARTESIAN_POINT('',(33.873,34.697394,0.)); #548833=CARTESIAN_POINT('',(33.873,34.697394,0.035)); #548834=CARTESIAN_POINT('',(33.873,34.697394,0.035)); #548835=CARTESIAN_POINT('',(33.873,34.697394,0.)); #548836=CARTESIAN_POINT('Origin',(30.100197,38.470197,0.)); #548837=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #548838=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #548839=CARTESIAN_POINT('',(30.100197,38.470197,0.035)); #548840=CARTESIAN_POINT('',(30.100197,38.470197,0.035)); #548841=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #548842=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.)); #548843=CARTESIAN_POINT('',(30.063,38.56,0.)); #548844=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.)); #548845=CARTESIAN_POINT('',(30.063,38.56,0.035)); #548846=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.035)); #548847=CARTESIAN_POINT('',(30.063,38.56,0.)); #548848=CARTESIAN_POINT('Origin',(30.063,44.1,0.)); #548849=CARTESIAN_POINT('',(30.063,44.1,0.)); #548850=CARTESIAN_POINT('',(30.063,44.1,0.)); #548851=CARTESIAN_POINT('',(30.063,44.1,0.035)); #548852=CARTESIAN_POINT('',(30.063,44.1,0.035)); #548853=CARTESIAN_POINT('',(30.063,44.1,0.)); #548854=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #548855=CARTESIAN_POINT('',(29.29,44.1,0.)); #548856=CARTESIAN_POINT('',(29.29,44.1,0.)); #548857=CARTESIAN_POINT('',(29.29,44.1,0.035)); #548858=CARTESIAN_POINT('',(29.29,44.1,0.035)); #548859=CARTESIAN_POINT('',(29.29,44.1,0.)); #548860=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #548861=CARTESIAN_POINT('',(29.29,45.9,0.)); #548862=CARTESIAN_POINT('',(29.29,45.9,0.)); #548863=CARTESIAN_POINT('',(29.29,45.9,0.035)); #548864=CARTESIAN_POINT('',(29.29,45.9,0.035)); #548865=CARTESIAN_POINT('',(29.29,45.9,0.)); #548866=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #548867=CARTESIAN_POINT('',(31.09,45.9,0.)); #548868=CARTESIAN_POINT('',(31.09,45.9,0.)); #548869=CARTESIAN_POINT('',(31.09,45.9,0.035)); #548870=CARTESIAN_POINT('',(31.09,45.9,0.035)); #548871=CARTESIAN_POINT('',(31.09,45.9,0.)); #548872=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #548873=CARTESIAN_POINT('',(31.09,44.1,0.)); #548874=CARTESIAN_POINT('',(31.09,44.1,0.)); #548875=CARTESIAN_POINT('',(31.09,44.1,0.035)); #548876=CARTESIAN_POINT('',(31.09,44.1,0.035)); #548877=CARTESIAN_POINT('',(31.09,44.1,0.)); #548878=CARTESIAN_POINT('Origin',(30.317,44.1,0.)); #548879=CARTESIAN_POINT('',(30.317,44.1,0.)); #548880=CARTESIAN_POINT('',(30.317,44.1,0.)); #548881=CARTESIAN_POINT('',(30.317,44.1,0.035)); #548882=CARTESIAN_POINT('',(30.317,44.1,0.035)); #548883=CARTESIAN_POINT('',(30.317,44.1,0.)); #548884=CARTESIAN_POINT('Origin',(30.317,38.612606,0.)); #548885=CARTESIAN_POINT('',(30.317,38.612606,0.)); #548886=CARTESIAN_POINT('',(30.317,38.612606,0.)); #548887=CARTESIAN_POINT('',(30.317,38.612606,0.035)); #548888=CARTESIAN_POINT('',(30.317,38.612606,0.035)); #548889=CARTESIAN_POINT('',(30.317,38.612606,0.)); #548890=CARTESIAN_POINT('Origin',(34.089803,34.839803,0.)); #548891=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #548892=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #548893=CARTESIAN_POINT('',(34.089803,34.839803,0.035)); #548894=CARTESIAN_POINT('',(34.089803,34.839803,0.035)); #548895=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #548896=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.)); #548897=CARTESIAN_POINT('',(34.127,34.75,0.)); #548898=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.)); #548899=CARTESIAN_POINT('',(34.127,34.75,0.035)); #548900=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.035)); #548901=CARTESIAN_POINT('',(34.127,34.75,0.)); #548902=CARTESIAN_POINT('Origin',(34.127,27.802606,0.)); #548903=CARTESIAN_POINT('',(34.127,27.802606,0.)); #548904=CARTESIAN_POINT('',(34.127,27.802606,0.)); #548905=CARTESIAN_POINT('',(34.127,27.802606,0.035)); #548906=CARTESIAN_POINT('',(34.127,27.802606,0.035)); #548907=CARTESIAN_POINT('',(34.127,27.802606,0.)); #548908=CARTESIAN_POINT('Origin',(35.115106,26.8145,0.)); #548909=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #548910=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #548911=CARTESIAN_POINT('',(35.115106,26.8145,0.035)); #548912=CARTESIAN_POINT('',(35.115106,26.8145,0.035)); #548913=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #548914=CARTESIAN_POINT('Origin',(36.872894,26.8145,0.)); #548915=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #548916=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #548917=CARTESIAN_POINT('',(36.872894,26.8145,0.035)); #548918=CARTESIAN_POINT('',(36.872894,26.8145,0.035)); #548919=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #548920=CARTESIAN_POINT('Origin',(37.910197,27.851803,0.)); #548921=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #548922=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #548923=CARTESIAN_POINT('',(37.910197,27.851803,0.035)); #548924=CARTESIAN_POINT('',(37.910197,27.851803,0.035)); #548925=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #548926=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.)); #548927=CARTESIAN_POINT('',(38.,27.889,0.)); #548928=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.)); #548929=CARTESIAN_POINT('',(38.,27.889,0.035)); #548930=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.035)); #548931=CARTESIAN_POINT('',(38.,27.889,0.)); #548932=CARTESIAN_POINT('Origin',(46.3055,27.889,0.)); #548933=CARTESIAN_POINT('',(46.3055,27.889,0.)); #548934=CARTESIAN_POINT('',(46.3055,27.889,0.)); #548935=CARTESIAN_POINT('',(46.3055,27.889,0.035)); #548936=CARTESIAN_POINT('',(46.3055,27.889,0.035)); #548937=CARTESIAN_POINT('',(46.3055,27.889,0.)); #548938=CARTESIAN_POINT('Origin',(46.3055,28.1811,0.)); #548939=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #548940=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #548941=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #548942=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #548943=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #548944=CARTESIAN_POINT('Origin',(47.1945,28.1811,0.)); #548945=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #548946=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #548947=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #548948=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #548949=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #548950=CARTESIAN_POINT('Origin',(47.1945,27.889,0.)); #548951=CARTESIAN_POINT('',(47.1945,27.889,0.)); #548952=CARTESIAN_POINT('',(47.1945,27.889,0.)); #548953=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #548954=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #548955=CARTESIAN_POINT('',(47.1945,27.889,0.)); #548956=CARTESIAN_POINT('Origin',(48.8055,27.889,0.)); #548957=CARTESIAN_POINT('',(48.8055,27.889,0.)); #548958=CARTESIAN_POINT('',(48.8055,27.889,0.)); #548959=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #548960=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #548961=CARTESIAN_POINT('',(48.8055,27.889,0.)); #548962=CARTESIAN_POINT('Origin',(48.8055,28.1811,0.)); #548963=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #548964=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #548965=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #548966=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #548967=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #548968=CARTESIAN_POINT('Origin',(49.6945,28.1811,0.)); #548969=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #548970=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #548971=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #548972=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #548973=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #548974=CARTESIAN_POINT('Origin',(49.6945,27.3429,0.)); #548975=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #548976=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #548977=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #548978=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #548979=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #548980=CARTESIAN_POINT('Origin',(48.8055,27.3429,0.)); #548981=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #548982=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #548983=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #548984=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #548985=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #548986=CARTESIAN_POINT('Origin',(48.8055,27.635,0.)); #548987=CARTESIAN_POINT('',(48.8055,27.635,0.)); #548988=CARTESIAN_POINT('',(48.8055,27.635,0.)); #548989=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #548990=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #548991=CARTESIAN_POINT('',(48.8055,27.635,0.)); #548992=CARTESIAN_POINT('Origin',(47.1945,27.635,0.)); #548993=CARTESIAN_POINT('',(47.1945,27.635,0.)); #548994=CARTESIAN_POINT('',(47.1945,27.635,0.)); #548995=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #548996=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #548997=CARTESIAN_POINT('',(47.1945,27.635,0.)); #548998=CARTESIAN_POINT('Origin',(47.1945,27.3429,0.)); #548999=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #549000=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #549001=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #549002=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #549003=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #549004=CARTESIAN_POINT('Origin',(46.3055,27.3429,0.)); #549005=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #549006=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #549007=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #549008=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #549009=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #549010=CARTESIAN_POINT('Origin',(46.3055,27.635,0.)); #549011=CARTESIAN_POINT('',(46.3055,27.635,0.)); #549012=CARTESIAN_POINT('',(46.3055,27.635,0.)); #549013=CARTESIAN_POINT('',(46.3055,27.635,0.035)); #549014=CARTESIAN_POINT('',(46.3055,27.635,0.035)); #549015=CARTESIAN_POINT('',(46.3055,27.635,0.)); #549016=CARTESIAN_POINT('Origin',(38.052606,27.635,0.)); #549017=CARTESIAN_POINT('',(38.052606,27.635,0.)); #549018=CARTESIAN_POINT('',(38.052606,27.635,0.)); #549019=CARTESIAN_POINT('',(38.052606,27.635,0.035)); #549020=CARTESIAN_POINT('',(38.052606,27.635,0.035)); #549021=CARTESIAN_POINT('',(38.052606,27.635,0.)); #549022=CARTESIAN_POINT('Origin',(37.015303,26.597697,0.)); #549023=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #549024=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #549025=CARTESIAN_POINT('',(37.015303,26.597697,0.035)); #549026=CARTESIAN_POINT('',(37.015303,26.597697,0.035)); #549027=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #549028=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.)); #549029=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.)); #549030=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.035)); #549031=CARTESIAN_POINT('Origin',(36.5128034977283,32.9527516038457,0.035)); #549032=CARTESIAN_POINT('Origin',(36.5128034977283,32.9527516038457,0.)); #549033=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #549034=CARTESIAN_POINT('',(25.86,31.,0.)); #549035=CARTESIAN_POINT('Origin',(26.,31.,0.)); #549036=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #549037=CARTESIAN_POINT('',(25.86,31.,-200.)); #549038=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #549039=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #549040=CARTESIAN_POINT('',(25.86,36.,0.)); #549041=CARTESIAN_POINT('Origin',(26.,36.,0.)); #549042=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #549043=CARTESIAN_POINT('',(25.86,36.,-200.)); #549044=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #549045=CARTESIAN_POINT('Origin',(26.021644,30.725,0.)); #549046=CARTESIAN_POINT('',(26.021644,30.725,0.)); #549047=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #549048=CARTESIAN_POINT('',(26.021644,30.725,0.)); #549049=CARTESIAN_POINT('',(26.064397,30.731772,0.035)); #549050=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #549051=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #549052=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #549053=CARTESIAN_POINT('',(26.021644,30.725,0.)); #549054=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #549055=CARTESIAN_POINT('',(25.873,31.243928,0.)); #549056=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #549057=CARTESIAN_POINT('',(25.873,31.243928,0.035)); #549058=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.035)); #549059=CARTESIAN_POINT('',(25.873,31.243928,0.)); #549060=CARTESIAN_POINT('Origin',(25.873,35.756072,0.)); #549061=CARTESIAN_POINT('',(25.873,35.756072,0.)); #549062=CARTESIAN_POINT('',(25.873,35.756072,0.)); #549063=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #549064=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #549065=CARTESIAN_POINT('',(25.873,35.756072,0.)); #549066=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #549067=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #549068=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #549069=CARTESIAN_POINT('',(25.894438,36.254853,0.035)); #549070=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.035)); #549071=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #549072=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #549073=CARTESIAN_POINT('',(26.127,35.756072,0.)); #549074=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #549075=CARTESIAN_POINT('',(26.127,35.756072,0.035)); #549076=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.035)); #549077=CARTESIAN_POINT('',(26.127,35.756072,0.)); #549078=CARTESIAN_POINT('Origin',(26.127,31.243928,0.)); #549079=CARTESIAN_POINT('',(26.127,31.243928,0.)); #549080=CARTESIAN_POINT('',(26.127,31.243928,0.)); #549081=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #549082=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #549083=CARTESIAN_POINT('',(26.127,31.243928,0.)); #549084=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #549085=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #549086=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.035)); #549087=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.035)); #549088=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.)); #549089=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #549090=CARTESIAN_POINT('',(47.765,58.75,0.)); #549091=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #549092=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #549093=CARTESIAN_POINT('',(47.765,58.75,-200.)); #549094=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #549095=CARTESIAN_POINT('Origin',(48.205,58.15,0.)); #549096=CARTESIAN_POINT('',(48.205,58.15,0.)); #549097=CARTESIAN_POINT('',(48.205,59.35,0.)); #549098=CARTESIAN_POINT('',(48.205,58.15,0.)); #549099=CARTESIAN_POINT('',(48.205,59.35,0.035)); #549100=CARTESIAN_POINT('',(48.205,59.35,0.)); #549101=CARTESIAN_POINT('',(48.205,58.15,0.035)); #549102=CARTESIAN_POINT('',(48.205,58.15,0.035)); #549103=CARTESIAN_POINT('',(48.205,58.15,0.)); #549104=CARTESIAN_POINT('Origin',(47.605,58.15,0.)); #549105=CARTESIAN_POINT('',(47.605,58.15,0.)); #549106=CARTESIAN_POINT('',(47.605,58.15,0.)); #549107=CARTESIAN_POINT('',(47.605,58.15,0.035)); #549108=CARTESIAN_POINT('',(47.605,58.15,0.035)); #549109=CARTESIAN_POINT('',(47.605,58.15,0.)); #549110=CARTESIAN_POINT('Origin',(47.605,59.35,0.)); #549111=CARTESIAN_POINT('',(47.605,59.35,0.)); #549112=CARTESIAN_POINT('',(47.605,59.35,0.)); #549113=CARTESIAN_POINT('',(47.605,59.35,0.035)); #549114=CARTESIAN_POINT('',(47.605,59.35,0.035)); #549115=CARTESIAN_POINT('',(47.605,59.35,0.)); #549116=CARTESIAN_POINT('Origin',(48.205,59.35,0.)); #549117=CARTESIAN_POINT('',(48.205,59.35,0.)); #549118=CARTESIAN_POINT('',(48.205,59.35,0.035)); #549119=CARTESIAN_POINT('Origin',(47.905,58.75,0.035)); #549120=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #549121=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #549122=CARTESIAN_POINT('',(37.36,21.25,0.)); #549123=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #549124=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #549125=CARTESIAN_POINT('',(37.36,21.25,-200.)); #549126=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #549127=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #549128=CARTESIAN_POINT('',(30.86,13.,0.)); #549129=CARTESIAN_POINT('Origin',(31.,13.,0.)); #549130=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #549131=CARTESIAN_POINT('',(30.86,13.,-200.)); #549132=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #549133=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #549134=CARTESIAN_POINT('',(31.021644,12.725,0.)); #549135=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #549136=CARTESIAN_POINT('',(31.021644,12.725,0.)); #549137=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #549138=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #549139=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #549140=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #549141=CARTESIAN_POINT('',(31.021644,12.725,0.)); #549142=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #549143=CARTESIAN_POINT('',(30.756072,12.873,0.)); #549144=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #549145=CARTESIAN_POINT('',(30.756072,12.873,0.035)); #549146=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.035)); #549147=CARTESIAN_POINT('',(30.756072,12.873,0.)); #549148=CARTESIAN_POINT('Origin',(30.25,12.873,0.)); #549149=CARTESIAN_POINT('',(30.25,12.873,0.)); #549150=CARTESIAN_POINT('',(30.25,12.873,0.)); #549151=CARTESIAN_POINT('',(30.25,12.873,0.035)); #549152=CARTESIAN_POINT('',(30.25,12.873,0.035)); #549153=CARTESIAN_POINT('',(30.25,12.873,0.)); #549154=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #549155=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #549156=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #549157=CARTESIAN_POINT('',(30.160197,12.910197,0.035)); #549158=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.035)); #549159=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #549160=CARTESIAN_POINT('Origin',(26.910197,16.160197,0.)); #549161=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #549162=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #549163=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #549164=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #549165=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #549166=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #549167=CARTESIAN_POINT('',(26.873,16.25,0.)); #549168=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #549169=CARTESIAN_POINT('',(26.873,16.25,0.035)); #549170=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.035)); #549171=CARTESIAN_POINT('',(26.873,16.25,0.)); #549172=CARTESIAN_POINT('Origin',(26.873,20.875,0.)); #549173=CARTESIAN_POINT('',(26.873,20.875,0.)); #549174=CARTESIAN_POINT('',(26.873,20.875,0.)); #549175=CARTESIAN_POINT('',(26.873,20.875,0.035)); #549176=CARTESIAN_POINT('',(26.873,20.875,0.035)); #549177=CARTESIAN_POINT('',(26.873,20.875,0.)); #549178=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #549179=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #549180=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #549181=CARTESIAN_POINT('',(26.910197,20.964803,0.035)); #549182=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.035)); #549183=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #549184=CARTESIAN_POINT('Origin',(27.910197,21.964803,0.)); #549185=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #549186=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #549187=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #549188=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #549189=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #549190=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #549191=CARTESIAN_POINT('',(28.,22.002,0.)); #549192=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #549193=CARTESIAN_POINT('',(28.,22.002,0.035)); #549194=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.035)); #549195=CARTESIAN_POINT('',(28.,22.002,0.)); #549196=CARTESIAN_POINT('Origin',(36.875,22.002,0.)); #549197=CARTESIAN_POINT('',(36.875,22.002,0.)); #549198=CARTESIAN_POINT('',(36.875,22.002,0.)); #549199=CARTESIAN_POINT('',(36.875,22.002,0.035)); #549200=CARTESIAN_POINT('',(36.875,22.002,0.035)); #549201=CARTESIAN_POINT('',(36.875,22.002,0.)); #549202=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #549203=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #549204=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #549205=CARTESIAN_POINT('',(36.964803,21.964803,0.035)); #549206=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.035)); #549207=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #549208=CARTESIAN_POINT('Origin',(37.417319,21.512287,0.)); #549209=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #549210=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #549211=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #549212=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #549213=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #549214=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #549215=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #549216=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #549217=CARTESIAN_POINT('',(37.754853,21.144438,0.035)); #549218=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.035)); #549219=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #549220=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #549221=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #549222=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #549223=CARTESIAN_POINT('',(37.237713,21.332681,0.035)); #549224=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.035)); #549225=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #549226=CARTESIAN_POINT('Origin',(36.822394,21.748,0.)); #549227=CARTESIAN_POINT('',(36.822394,21.748,0.)); #549228=CARTESIAN_POINT('',(36.822394,21.748,0.)); #549229=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #549230=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #549231=CARTESIAN_POINT('',(36.822394,21.748,0.)); #549232=CARTESIAN_POINT('Origin',(28.052606,21.748,0.)); #549233=CARTESIAN_POINT('',(28.052606,21.748,0.)); #549234=CARTESIAN_POINT('',(28.052606,21.748,0.)); #549235=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #549236=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #549237=CARTESIAN_POINT('',(28.052606,21.748,0.)); #549238=CARTESIAN_POINT('Origin',(27.127,20.822394,0.)); #549239=CARTESIAN_POINT('',(27.127,20.822394,0.)); #549240=CARTESIAN_POINT('',(27.127,20.822394,0.)); #549241=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #549242=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #549243=CARTESIAN_POINT('',(27.127,20.822394,0.)); #549244=CARTESIAN_POINT('Origin',(27.127,16.302606,0.)); #549245=CARTESIAN_POINT('',(27.127,16.302606,0.)); #549246=CARTESIAN_POINT('',(27.127,16.302606,0.)); #549247=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #549248=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #549249=CARTESIAN_POINT('',(27.127,16.302606,0.)); #549250=CARTESIAN_POINT('Origin',(30.302606,13.127,0.)); #549251=CARTESIAN_POINT('',(30.302606,13.127,0.)); #549252=CARTESIAN_POINT('',(30.302606,13.127,0.)); #549253=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #549254=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #549255=CARTESIAN_POINT('',(30.302606,13.127,0.)); #549256=CARTESIAN_POINT('Origin',(30.756072,13.127,0.)); #549257=CARTESIAN_POINT('',(30.756072,13.127,0.)); #549258=CARTESIAN_POINT('',(30.756072,13.127,0.)); #549259=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #549260=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #549261=CARTESIAN_POINT('',(30.756072,13.127,0.)); #549262=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #549263=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #549264=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #549265=CARTESIAN_POINT('',(30.935603,13.268228,0.035)); #549266=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.035)); #549267=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #549268=CARTESIAN_POINT('Origin',(31.,13.,0.)); #549269=CARTESIAN_POINT('Origin',(31.,13.,0.)); #549270=CARTESIAN_POINT('Origin',(31.,13.,0.035)); #549271=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.035)); #549272=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.)); #549273=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #549274=CARTESIAN_POINT('',(24.86,26.625,0.)); #549275=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #549276=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #549277=CARTESIAN_POINT('',(24.86,26.625,-200.)); #549278=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #549279=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #549280=CARTESIAN_POINT('',(27.11,24.375,0.)); #549281=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #549282=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #549283=CARTESIAN_POINT('',(27.11,24.375,-200.)); #549284=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #549285=CARTESIAN_POINT('Origin',(27.271644,24.1,0.)); #549286=CARTESIAN_POINT('',(27.271644,24.1,0.)); #549287=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #549288=CARTESIAN_POINT('',(27.271644,24.1,0.)); #549289=CARTESIAN_POINT('',(27.314397,24.106772,0.035)); #549290=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #549291=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #549292=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #549293=CARTESIAN_POINT('',(27.271644,24.1,0.)); #549294=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #549295=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #549296=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #549297=CARTESIAN_POINT('',(26.987713,24.457681,0.035)); #549298=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.035)); #549299=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #549300=CARTESIAN_POINT('Origin',(25.082681,26.362713,0.)); #549301=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #549302=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #549303=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #549304=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #549305=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #549306=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #549307=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #549308=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #549309=CARTESIAN_POINT('',(24.745147,26.730563,0.035)); #549310=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.035)); #549311=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #549312=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #549313=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #549314=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #549315=CARTESIAN_POINT('',(25.262288,26.542319,0.035)); #549316=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.035)); #549317=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #549318=CARTESIAN_POINT('Origin',(27.167319,24.637288,0.)); #549319=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #549320=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #549321=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #549322=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #549323=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #549324=CARTESIAN_POINT('Origin',(27.185603,24.643228,0.)); #549325=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #549326=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #549327=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #549328=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #549329=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #549330=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #549331=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #549332=CARTESIAN_POINT('Origin',(27.25,24.375,0.035)); #549333=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.035)); #549334=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.)); #549335=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #549336=CARTESIAN_POINT('',(27.622,19.,0.)); #549337=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #549338=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #549339=CARTESIAN_POINT('',(27.622,19.,-200.)); #549340=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #549341=CARTESIAN_POINT('Origin',(28.1811,18.5555,0.)); #549342=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #549343=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #549344=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #549345=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #549346=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #549347=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #549348=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #549349=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #549350=CARTESIAN_POINT('Origin',(27.3429,18.5555,0.)); #549351=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #549352=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #549353=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #549354=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #549355=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #549356=CARTESIAN_POINT('Origin',(27.3429,19.4445,0.)); #549357=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #549358=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #549359=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #549360=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #549361=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #549362=CARTESIAN_POINT('Origin',(28.1811,19.4445,0.)); #549363=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #549364=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #549365=CARTESIAN_POINT('Origin',(27.762,19.,0.035)); #549366=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #549367=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #549368=CARTESIAN_POINT('',(34.705,45.,0.)); #549369=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #549370=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #549371=CARTESIAN_POINT('',(34.705,45.,-200.)); #549372=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #549373=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #549374=CARTESIAN_POINT('',(42.11,28.5,0.)); #549375=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #549376=CARTESIAN_POINT('',(42.11,28.5,0.0349999999999895)); #549377=CARTESIAN_POINT('',(42.11,28.5,-200.)); #549378=CARTESIAN_POINT('Origin',(42.25,28.5,0.0349999999999895)); #549379=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #549380=CARTESIAN_POINT('',(45.735,34.,0.)); #549381=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #549382=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #549383=CARTESIAN_POINT('',(45.735,34.,-200.)); #549384=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #549385=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #549386=CARTESIAN_POINT('',(36.035,27.75,0.)); #549387=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #549388=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #549389=CARTESIAN_POINT('',(36.035,27.75,-200.)); #549390=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #549391=CARTESIAN_POINT('Origin',(32.939341,43.9476,0.)); #549392=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #549393=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #549394=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #549395=CARTESIAN_POINT('',(32.520659,43.9476,0.035)); #549396=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #549397=CARTESIAN_POINT('',(32.939341,43.9476,0.035)); #549398=CARTESIAN_POINT('',(32.939341,43.9476,0.035)); #549399=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #549400=CARTESIAN_POINT('Origin',(33.326131,44.107816,0.)); #549401=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #549402=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #549403=CARTESIAN_POINT('',(33.326131,44.107816,0.035)); #549404=CARTESIAN_POINT('',(33.326131,44.107816,0.035)); #549405=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #549406=CARTESIAN_POINT('Origin',(33.622184,44.403869,0.)); #549407=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #549408=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #549409=CARTESIAN_POINT('',(33.622184,44.403869,0.035)); #549410=CARTESIAN_POINT('',(33.622184,44.403869,0.035)); #549411=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #549412=CARTESIAN_POINT('Origin',(33.7824,44.790659,0.)); #549413=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #549414=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #549415=CARTESIAN_POINT('',(33.7824,44.790659,0.035)); #549416=CARTESIAN_POINT('',(33.7824,44.790659,0.035)); #549417=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #549418=CARTESIAN_POINT('Origin',(33.7824,45.209341,0.)); #549419=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #549420=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #549421=CARTESIAN_POINT('',(33.7824,45.209341,0.035)); #549422=CARTESIAN_POINT('',(33.7824,45.209341,0.035)); #549423=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #549424=CARTESIAN_POINT('Origin',(33.622184,45.596131,0.)); #549425=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #549426=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #549427=CARTESIAN_POINT('',(33.622184,45.596131,0.035)); #549428=CARTESIAN_POINT('',(33.622184,45.596131,0.035)); #549429=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #549430=CARTESIAN_POINT('Origin',(33.326131,45.892184,0.)); #549431=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #549432=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #549433=CARTESIAN_POINT('',(33.326131,45.892184,0.035)); #549434=CARTESIAN_POINT('',(33.326131,45.892184,0.035)); #549435=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #549436=CARTESIAN_POINT('Origin',(32.939341,46.0524,0.)); #549437=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #549438=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #549439=CARTESIAN_POINT('',(32.939341,46.0524,0.035)); #549440=CARTESIAN_POINT('',(32.939341,46.0524,0.035)); #549441=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #549442=CARTESIAN_POINT('Origin',(32.520659,46.0524,0.)); #549443=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #549444=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #549445=CARTESIAN_POINT('',(32.520659,46.0524,0.035)); #549446=CARTESIAN_POINT('',(32.520659,46.0524,0.035)); #549447=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #549448=CARTESIAN_POINT('Origin',(32.133869,45.892184,0.)); #549449=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #549450=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #549451=CARTESIAN_POINT('',(32.133869,45.892184,0.035)); #549452=CARTESIAN_POINT('',(32.133869,45.892184,0.035)); #549453=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #549454=CARTESIAN_POINT('Origin',(31.837816,45.596131,0.)); #549455=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #549456=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #549457=CARTESIAN_POINT('',(31.837816,45.596131,0.035)); #549458=CARTESIAN_POINT('',(31.837816,45.596131,0.035)); #549459=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #549460=CARTESIAN_POINT('Origin',(31.6776,45.209341,0.)); #549461=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #549462=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #549463=CARTESIAN_POINT('',(31.6776,45.209341,0.035)); #549464=CARTESIAN_POINT('',(31.6776,45.209341,0.035)); #549465=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #549466=CARTESIAN_POINT('Origin',(31.6776,44.790659,0.)); #549467=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #549468=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #549469=CARTESIAN_POINT('',(31.6776,44.790659,0.035)); #549470=CARTESIAN_POINT('',(31.6776,44.790659,0.035)); #549471=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #549472=CARTESIAN_POINT('Origin',(31.837816,44.403869,0.)); #549473=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #549474=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #549475=CARTESIAN_POINT('',(31.837816,44.403869,0.035)); #549476=CARTESIAN_POINT('',(31.837816,44.403869,0.035)); #549477=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #549478=CARTESIAN_POINT('Origin',(32.133869,44.107816,0.)); #549479=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #549480=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #549481=CARTESIAN_POINT('',(32.133869,44.107816,0.035)); #549482=CARTESIAN_POINT('',(32.133869,44.107816,0.035)); #549483=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #549484=CARTESIAN_POINT('Origin',(32.520659,43.9476,0.)); #549485=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #549486=CARTESIAN_POINT('',(32.520659,43.9476,0.035)); #549487=CARTESIAN_POINT('Origin',(36.778206,26.9161,0.)); #549488=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #549489=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #549490=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #549491=CARTESIAN_POINT('',(36.827741,26.926159,0.035)); #549492=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #549493=CARTESIAN_POINT('',(36.778206,26.9161,0.035)); #549494=CARTESIAN_POINT('',(36.778206,26.9161,0.035)); #549495=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #549496=CARTESIAN_POINT('Origin',(35.209794,26.9161,0.)); #549497=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #549498=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #549499=CARTESIAN_POINT('',(35.209794,26.9161,0.035)); #549500=CARTESIAN_POINT('',(35.209794,26.9161,0.035)); #549501=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #549502=CARTESIAN_POINT('Origin',(35.162131,26.925384,0.)); #549503=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #549504=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #549505=CARTESIAN_POINT('',(35.162131,26.925384,0.035)); #549506=CARTESIAN_POINT('',(35.162131,26.925384,0.035)); #549507=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #549508=CARTESIAN_POINT('Origin',(35.119991,26.953297,0.)); #549509=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #549510=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #549511=CARTESIAN_POINT('',(35.119991,26.953297,0.035)); #549512=CARTESIAN_POINT('',(35.119991,26.953297,0.035)); #549513=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #549514=CARTESIAN_POINT('Origin',(34.265797,27.807491,0.)); #549515=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #549516=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #549517=CARTESIAN_POINT('',(34.265797,27.807491,0.035)); #549518=CARTESIAN_POINT('',(34.265797,27.807491,0.035)); #549519=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #549520=CARTESIAN_POINT('Origin',(34.238659,27.847759,0.)); #549521=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #549522=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #549523=CARTESIAN_POINT('',(34.238659,27.847759,0.035)); #549524=CARTESIAN_POINT('',(34.238659,27.847759,0.035)); #549525=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #549526=CARTESIAN_POINT('Origin',(34.2286,27.897294,0.)); #549527=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #549528=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #549529=CARTESIAN_POINT('',(34.2286,27.897294,0.035)); #549530=CARTESIAN_POINT('',(34.2286,27.897294,0.035)); #549531=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #549532=CARTESIAN_POINT('Origin',(34.2286,34.844687,0.)); #549533=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #549534=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #549535=CARTESIAN_POINT('',(34.2286,34.844687,0.035)); #549536=CARTESIAN_POINT('',(34.2286,34.844687,0.035)); #549537=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #549538=CARTESIAN_POINT('Origin',(30.455797,38.617491,0.)); #549539=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #549540=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #549541=CARTESIAN_POINT('',(30.455797,38.617491,0.035)); #549542=CARTESIAN_POINT('',(30.455797,38.617491,0.035)); #549543=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #549544=CARTESIAN_POINT('Origin',(30.428659,38.657759,0.)); #549545=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #549546=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #549547=CARTESIAN_POINT('',(30.428659,38.657759,0.035)); #549548=CARTESIAN_POINT('',(30.428659,38.657759,0.035)); #549549=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #549550=CARTESIAN_POINT('Origin',(30.4186,38.707294,0.)); #549551=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #549552=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #549553=CARTESIAN_POINT('',(30.4186,38.707294,0.035)); #549554=CARTESIAN_POINT('',(30.4186,38.707294,0.035)); #549555=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #549556=CARTESIAN_POINT('Origin',(30.4186,43.8206,0.)); #549557=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #549558=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #549559=CARTESIAN_POINT('',(30.4186,43.8206,0.035)); #549560=CARTESIAN_POINT('',(30.4186,43.8206,0.035)); #549561=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #549562=CARTESIAN_POINT('Origin',(30.427138,43.866381,0.)); #549563=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #549564=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #549565=CARTESIAN_POINT('',(30.427138,43.866381,0.035)); #549566=CARTESIAN_POINT('',(30.427138,43.866381,0.035)); #549567=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #549568=CARTESIAN_POINT('Origin',(30.454378,43.908959,0.)); #549569=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #549570=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #549571=CARTESIAN_POINT('',(30.454378,43.908959,0.035)); #549572=CARTESIAN_POINT('',(30.454378,43.908959,0.035)); #549573=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #549574=CARTESIAN_POINT('Origin',(30.496066,43.937541,0.)); #549575=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #549576=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #549577=CARTESIAN_POINT('',(30.496066,43.937541,0.035)); #549578=CARTESIAN_POINT('',(30.496066,43.937541,0.035)); #549579=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #549580=CARTESIAN_POINT('Origin',(30.5456,43.9476,0.)); #549581=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #549582=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #549583=CARTESIAN_POINT('',(30.5456,43.9476,0.035)); #549584=CARTESIAN_POINT('',(30.5456,43.9476,0.035)); #549585=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #549586=CARTESIAN_POINT('Origin',(31.153125,43.9476,0.)); #549587=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #549588=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #549589=CARTESIAN_POINT('',(31.153125,43.9476,0.035)); #549590=CARTESIAN_POINT('',(31.153125,43.9476,0.035)); #549591=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #549592=CARTESIAN_POINT('Origin',(31.2424,44.036875,0.)); #549593=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #549594=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #549595=CARTESIAN_POINT('',(31.2424,44.036875,0.035)); #549596=CARTESIAN_POINT('',(31.2424,44.036875,0.035)); #549597=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #549598=CARTESIAN_POINT('Origin',(31.2424,45.939794,0.)); #549599=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #549600=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #549601=CARTESIAN_POINT('',(31.2424,45.939794,0.035)); #549602=CARTESIAN_POINT('',(31.2424,45.939794,0.035)); #549603=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #549604=CARTESIAN_POINT('Origin',(31.251684,45.987456,0.)); #549605=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #549606=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #549607=CARTESIAN_POINT('',(31.251684,45.987456,0.035)); #549608=CARTESIAN_POINT('',(31.251684,45.987456,0.035)); #549609=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #549610=CARTESIAN_POINT('Origin',(31.279597,46.029597,0.)); #549611=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #549612=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #549613=CARTESIAN_POINT('',(31.279597,46.029597,0.035)); #549614=CARTESIAN_POINT('',(31.279597,46.029597,0.035)); #549615=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #549616=CARTESIAN_POINT('Origin',(31.712803,46.462803,0.)); #549617=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #549618=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #549619=CARTESIAN_POINT('',(31.712803,46.462803,0.035)); #549620=CARTESIAN_POINT('',(31.712803,46.462803,0.035)); #549621=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #549622=CARTESIAN_POINT('Origin',(31.753072,46.489941,0.)); #549623=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #549624=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #549625=CARTESIAN_POINT('',(31.753072,46.489941,0.035)); #549626=CARTESIAN_POINT('',(31.753072,46.489941,0.035)); #549627=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #549628=CARTESIAN_POINT('Origin',(31.802606,46.5,0.)); #549629=CARTESIAN_POINT('',(31.802606,46.5,0.)); #549630=CARTESIAN_POINT('',(31.802606,46.5,0.)); #549631=CARTESIAN_POINT('',(31.802606,46.5,0.035)); #549632=CARTESIAN_POINT('',(31.802606,46.5,0.035)); #549633=CARTESIAN_POINT('',(31.802606,46.5,0.)); #549634=CARTESIAN_POINT('Origin',(36.072394,46.5,0.)); #549635=CARTESIAN_POINT('',(36.072394,46.5,0.)); #549636=CARTESIAN_POINT('',(36.072394,46.5,0.)); #549637=CARTESIAN_POINT('',(36.072394,46.5,0.035)); #549638=CARTESIAN_POINT('',(36.072394,46.5,0.035)); #549639=CARTESIAN_POINT('',(36.072394,46.5,0.)); #549640=CARTESIAN_POINT('Origin',(36.120056,46.490716,0.)); #549641=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #549642=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #549643=CARTESIAN_POINT('',(36.120056,46.490716,0.035)); #549644=CARTESIAN_POINT('',(36.120056,46.490716,0.035)); #549645=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #549646=CARTESIAN_POINT('Origin',(36.162197,46.462803,0.)); #549647=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #549648=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #549649=CARTESIAN_POINT('',(36.162197,46.462803,0.035)); #549650=CARTESIAN_POINT('',(36.162197,46.462803,0.035)); #549651=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #549652=CARTESIAN_POINT('Origin',(36.587803,46.037197,0.)); #549653=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #549654=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #549655=CARTESIAN_POINT('',(36.587803,46.037197,0.035)); #549656=CARTESIAN_POINT('',(36.587803,46.037197,0.035)); #549657=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #549658=CARTESIAN_POINT('Origin',(36.614941,45.996928,0.)); #549659=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #549660=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #549661=CARTESIAN_POINT('',(36.614941,45.996928,0.035)); #549662=CARTESIAN_POINT('',(36.614941,45.996928,0.035)); #549663=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #549664=CARTESIAN_POINT('Origin',(36.625,45.947394,0.)); #549665=CARTESIAN_POINT('',(36.625,45.947394,0.)); #549666=CARTESIAN_POINT('',(36.625,45.947394,0.)); #549667=CARTESIAN_POINT('',(36.625,45.947394,0.035)); #549668=CARTESIAN_POINT('',(36.625,45.947394,0.035)); #549669=CARTESIAN_POINT('',(36.625,45.947394,0.)); #549670=CARTESIAN_POINT('Origin',(36.625,37.321494,0.)); #549671=CARTESIAN_POINT('',(36.625,37.321494,0.)); #549672=CARTESIAN_POINT('',(36.625,37.321494,0.)); #549673=CARTESIAN_POINT('',(36.625,37.321494,0.035)); #549674=CARTESIAN_POINT('',(36.625,37.321494,0.035)); #549675=CARTESIAN_POINT('',(36.625,37.321494,0.)); #549676=CARTESIAN_POINT('Origin',(36.626284,37.312475,0.)); #549677=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #549678=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #549679=CARTESIAN_POINT('',(36.626284,37.312475,0.035)); #549680=CARTESIAN_POINT('',(36.626284,37.312475,0.035)); #549681=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #549682=CARTESIAN_POINT('Origin',(36.630653,37.306847,0.)); #549683=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #549684=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #549685=CARTESIAN_POINT('',(36.630653,37.306847,0.035)); #549686=CARTESIAN_POINT('',(36.630653,37.306847,0.035)); #549687=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #549688=CARTESIAN_POINT('Origin',(36.795481,37.142022,0.)); #549689=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #549690=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #549691=CARTESIAN_POINT('',(36.795481,37.142022,0.035)); #549692=CARTESIAN_POINT('',(36.795481,37.142022,0.035)); #549693=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #549694=CARTESIAN_POINT('Origin',(36.821816,37.103613,0.)); #549695=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #549696=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #549697=CARTESIAN_POINT('',(36.821816,37.103613,0.035)); #549698=CARTESIAN_POINT('',(36.821816,37.103613,0.035)); #549699=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #549700=CARTESIAN_POINT('Origin',(36.832663,37.054244,0.)); #549701=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #549702=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #549703=CARTESIAN_POINT('',(36.832663,37.054244,0.035)); #549704=CARTESIAN_POINT('',(36.832663,37.054244,0.035)); #549705=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #549706=CARTESIAN_POINT('Origin',(36.823394,37.004556,0.)); #549707=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #549708=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #549709=CARTESIAN_POINT('',(36.823394,37.004556,0.035)); #549710=CARTESIAN_POINT('',(36.823394,37.004556,0.035)); #549711=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #549712=CARTESIAN_POINT('Origin',(36.795481,36.962416,0.)); #549713=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #549714=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #549715=CARTESIAN_POINT('',(36.795481,36.962416,0.035)); #549716=CARTESIAN_POINT('',(36.795481,36.962416,0.035)); #549717=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #549718=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #549719=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #549720=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #549721=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #549722=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #549723=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #549724=CARTESIAN_POINT('Origin',(36.7601,36.572966,0.)); #549725=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #549726=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #549727=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #549728=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #549729=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #549730=CARTESIAN_POINT('Origin',(37.010466,36.3226,0.)); #549731=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #549732=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #549733=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #549734=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #549735=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #549736=CARTESIAN_POINT('Origin',(37.0605,36.3226,0.)); #549737=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #549738=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #549739=CARTESIAN_POINT('',(37.0605,36.3226,0.035)); #549740=CARTESIAN_POINT('',(37.0605,36.3226,0.035)); #549741=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #549742=CARTESIAN_POINT('Origin',(37.106281,36.314062,0.)); #549743=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #549744=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #549745=CARTESIAN_POINT('',(37.106281,36.314062,0.035)); #549746=CARTESIAN_POINT('',(37.106281,36.314062,0.035)); #549747=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #549748=CARTESIAN_POINT('Origin',(37.148859,36.286822,0.)); #549749=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #549750=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #549751=CARTESIAN_POINT('',(37.148859,36.286822,0.035)); #549752=CARTESIAN_POINT('',(37.148859,36.286822,0.035)); #549753=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #549754=CARTESIAN_POINT('Origin',(37.177441,36.245134,0.)); #549755=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #549756=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #549757=CARTESIAN_POINT('',(37.177441,36.245134,0.035)); #549758=CARTESIAN_POINT('',(37.177441,36.245134,0.035)); #549759=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #549760=CARTESIAN_POINT('Origin',(37.1875,36.1956,0.)); #549761=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #549762=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #549763=CARTESIAN_POINT('',(37.1875,36.1956,0.035)); #549764=CARTESIAN_POINT('',(37.1875,36.1956,0.035)); #549765=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #549766=CARTESIAN_POINT('Origin',(37.1875,33.571494,0.)); #549767=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #549768=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #549769=CARTESIAN_POINT('',(37.1875,33.571494,0.035)); #549770=CARTESIAN_POINT('',(37.1875,33.571494,0.035)); #549771=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #549772=CARTESIAN_POINT('Origin',(37.188784,33.562475,0.)); #549773=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #549774=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #549775=CARTESIAN_POINT('',(37.188784,33.562475,0.035)); #549776=CARTESIAN_POINT('',(37.188784,33.562475,0.035)); #549777=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #549778=CARTESIAN_POINT('Origin',(37.193153,33.556847,0.)); #549779=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #549780=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #549781=CARTESIAN_POINT('',(37.193153,33.556847,0.035)); #549782=CARTESIAN_POINT('',(37.193153,33.556847,0.035)); #549783=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #549784=CARTESIAN_POINT('Origin',(37.962803,32.787197,0.)); #549785=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #549786=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #549787=CARTESIAN_POINT('',(37.962803,32.787197,0.035)); #549788=CARTESIAN_POINT('',(37.962803,32.787197,0.035)); #549789=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #549790=CARTESIAN_POINT('Origin',(37.989941,32.746928,0.)); #549791=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #549792=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #549793=CARTESIAN_POINT('',(37.989941,32.746928,0.035)); #549794=CARTESIAN_POINT('',(37.989941,32.746928,0.035)); #549795=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #549796=CARTESIAN_POINT('Origin',(38.,32.697394,0.)); #549797=CARTESIAN_POINT('',(38.,32.697394,0.)); #549798=CARTESIAN_POINT('',(38.,32.697394,0.)); #549799=CARTESIAN_POINT('',(38.,32.697394,0.035)); #549800=CARTESIAN_POINT('',(38.,32.697394,0.035)); #549801=CARTESIAN_POINT('',(38.,32.697394,0.)); #549802=CARTESIAN_POINT('Origin',(38.,28.627,0.)); #549803=CARTESIAN_POINT('',(38.,28.627,0.)); #549804=CARTESIAN_POINT('',(38.,28.627,0.)); #549805=CARTESIAN_POINT('',(38.,28.627,0.035)); #549806=CARTESIAN_POINT('',(38.,28.627,0.035)); #549807=CARTESIAN_POINT('',(38.,28.627,0.)); #549808=CARTESIAN_POINT('Origin',(42.006072,28.627,0.)); #549809=CARTESIAN_POINT('',(42.006072,28.627,0.)); #549810=CARTESIAN_POINT('',(42.006072,28.627,0.)); #549811=CARTESIAN_POINT('',(42.006072,28.627,0.035)); #549812=CARTESIAN_POINT('',(42.006072,28.627,0.035)); #549813=CARTESIAN_POINT('',(42.006072,28.627,0.)); #549814=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.)); #549815=CARTESIAN_POINT('',(42.493928,28.627,0.)); #549816=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.)); #549817=CARTESIAN_POINT('',(42.493928,28.627,0.035)); #549818=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.035)); #549819=CARTESIAN_POINT('',(42.493928,28.627,0.)); #549820=CARTESIAN_POINT('Origin',(44.947394,28.627,0.)); #549821=CARTESIAN_POINT('',(44.947394,28.627,0.)); #549822=CARTESIAN_POINT('',(44.947394,28.627,0.)); #549823=CARTESIAN_POINT('',(44.947394,28.627,0.035)); #549824=CARTESIAN_POINT('',(44.947394,28.627,0.035)); #549825=CARTESIAN_POINT('',(44.947394,28.627,0.)); #549826=CARTESIAN_POINT('Origin',(46.123,29.802606,0.)); #549827=CARTESIAN_POINT('',(46.123,29.802606,0.)); #549828=CARTESIAN_POINT('',(46.123,29.802606,0.)); #549829=CARTESIAN_POINT('',(46.123,29.802606,0.035)); #549830=CARTESIAN_POINT('',(46.123,29.802606,0.035)); #549831=CARTESIAN_POINT('',(46.123,29.802606,0.)); #549832=CARTESIAN_POINT('Origin',(46.123,33.184097,0.)); #549833=CARTESIAN_POINT('',(46.123,33.184097,0.)); #549834=CARTESIAN_POINT('',(46.123,33.184097,0.)); #549835=CARTESIAN_POINT('',(46.123,33.184097,0.035)); #549836=CARTESIAN_POINT('',(46.123,33.184097,0.035)); #549837=CARTESIAN_POINT('',(46.123,33.184097,0.)); #549838=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.)); #549839=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #549840=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.)); #549841=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #549842=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.035)); #549843=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #549844=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.)); #549845=CARTESIAN_POINT('',(46.377,33.184097,0.)); #549846=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.)); #549847=CARTESIAN_POINT('',(46.377,33.184097,0.035)); #549848=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.035)); #549849=CARTESIAN_POINT('',(46.377,33.184097,0.)); #549850=CARTESIAN_POINT('Origin',(46.377,29.75,0.)); #549851=CARTESIAN_POINT('',(46.377,29.75,0.)); #549852=CARTESIAN_POINT('',(46.377,29.75,0.)); #549853=CARTESIAN_POINT('',(46.377,29.75,0.035)); #549854=CARTESIAN_POINT('',(46.377,29.75,0.035)); #549855=CARTESIAN_POINT('',(46.377,29.75,0.)); #549856=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.)); #549857=CARTESIAN_POINT('',(46.339803,29.660197,0.)); #549858=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.)); #549859=CARTESIAN_POINT('',(46.339803,29.660197,0.035)); #549860=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.035)); #549861=CARTESIAN_POINT('',(46.339803,29.660197,0.)); #549862=CARTESIAN_POINT('Origin',(45.089803,28.410197,0.)); #549863=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #549864=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #549865=CARTESIAN_POINT('',(45.089803,28.410197,0.035)); #549866=CARTESIAN_POINT('',(45.089803,28.410197,0.035)); #549867=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #549868=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.)); #549869=CARTESIAN_POINT('',(45.,28.373,0.)); #549870=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.)); #549871=CARTESIAN_POINT('',(45.,28.373,0.035)); #549872=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.035)); #549873=CARTESIAN_POINT('',(45.,28.373,0.)); #549874=CARTESIAN_POINT('Origin',(42.493928,28.373,0.)); #549875=CARTESIAN_POINT('',(42.493928,28.373,0.)); #549876=CARTESIAN_POINT('',(42.493928,28.373,0.)); #549877=CARTESIAN_POINT('',(42.493928,28.373,0.035)); #549878=CARTESIAN_POINT('',(42.493928,28.373,0.035)); #549879=CARTESIAN_POINT('',(42.493928,28.373,0.)); #549880=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.)); #549881=CARTESIAN_POINT('',(42.006072,28.373,0.)); #549882=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.)); #549883=CARTESIAN_POINT('',(42.006072,28.373,0.035)); #549884=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.035)); #549885=CARTESIAN_POINT('',(42.006072,28.373,0.)); #549886=CARTESIAN_POINT('Origin',(38.,28.373,0.)); #549887=CARTESIAN_POINT('',(38.,28.373,0.)); #549888=CARTESIAN_POINT('',(38.,28.373,0.)); #549889=CARTESIAN_POINT('',(38.,28.373,0.035)); #549890=CARTESIAN_POINT('',(38.,28.373,0.035)); #549891=CARTESIAN_POINT('',(38.,28.373,0.)); #549892=CARTESIAN_POINT('Origin',(38.,28.1176,0.)); #549893=CARTESIAN_POINT('',(38.,28.1176,0.)); #549894=CARTESIAN_POINT('',(38.,28.1176,0.)); #549895=CARTESIAN_POINT('',(38.,28.1176,0.035)); #549896=CARTESIAN_POINT('',(38.,28.1176,0.035)); #549897=CARTESIAN_POINT('',(38.,28.1176,0.)); #549898=CARTESIAN_POINT('Origin',(37.991463,28.071819,0.)); #549899=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #549900=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #549901=CARTESIAN_POINT('',(37.991463,28.071819,0.035)); #549902=CARTESIAN_POINT('',(37.991463,28.071819,0.035)); #549903=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #549904=CARTESIAN_POINT('Origin',(37.964222,28.029241,0.)); #549905=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #549906=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #549907=CARTESIAN_POINT('',(37.964222,28.029241,0.035)); #549908=CARTESIAN_POINT('',(37.964222,28.029241,0.035)); #549909=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #549910=CARTESIAN_POINT('Origin',(37.922534,28.000659,0.)); #549911=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #549912=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #549913=CARTESIAN_POINT('',(37.922534,28.000659,0.035)); #549914=CARTESIAN_POINT('',(37.922534,28.000659,0.035)); #549915=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #549916=CARTESIAN_POINT('Origin',(37.913547,27.998834,0.)); #549917=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #549918=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #549919=CARTESIAN_POINT('',(37.913547,27.998834,0.035)); #549920=CARTESIAN_POINT('',(37.913547,27.998834,0.035)); #549921=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #549922=CARTESIAN_POINT('Origin',(36.868009,26.953297,0.)); #549923=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #549924=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #549925=CARTESIAN_POINT('',(36.868009,26.953297,0.035)); #549926=CARTESIAN_POINT('',(36.868009,26.953297,0.035)); #549927=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #549928=CARTESIAN_POINT('Origin',(36.827741,26.926159,0.)); #549929=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #549930=CARTESIAN_POINT('',(36.827741,26.926159,0.035)); #549931=CARTESIAN_POINT('Origin',(38.12538092605,34.6211128852424,0.035)); #549932=CARTESIAN_POINT('Origin',(38.12538092605,34.6211128852424,0.)); #549933=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #549934=CARTESIAN_POINT('',(40.61,27.,0.)); #549935=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #549936=CARTESIAN_POINT('',(40.61,27.,0.0349999999999895)); #549937=CARTESIAN_POINT('',(40.61,27.,-200.)); #549938=CARTESIAN_POINT('Origin',(40.75,27.,0.0349999999999895)); #549939=CARTESIAN_POINT('Origin',(20.4856,23.098,0.)); #549940=CARTESIAN_POINT('',(20.4856,23.098,0.)); #549941=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #549942=CARTESIAN_POINT('',(20.4856,23.098,0.)); #549943=CARTESIAN_POINT('',(20.491697,23.098678,0.035)); #549944=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #549945=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #549946=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #549947=CARTESIAN_POINT('',(20.4856,23.098,0.)); #549948=CARTESIAN_POINT('Origin',(19.5144,23.098,0.)); #549949=CARTESIAN_POINT('',(19.5144,23.098,0.)); #549950=CARTESIAN_POINT('',(19.5144,23.098,0.)); #549951=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #549952=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #549953=CARTESIAN_POINT('',(19.5144,23.098,0.)); #549954=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #549955=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #549956=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #549957=CARTESIAN_POINT('',(19.423591,23.136216,0.035)); #549958=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.035)); #549959=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #549960=CARTESIAN_POINT('Origin',(19.053259,23.515,0.)); #549961=CARTESIAN_POINT('',(19.053259,23.515,0.)); #549962=CARTESIAN_POINT('',(19.053259,23.515,0.)); #549963=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #549964=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #549965=CARTESIAN_POINT('',(19.053259,23.515,0.)); #549966=CARTESIAN_POINT('Origin',(18.7325,23.515,0.)); #549967=CARTESIAN_POINT('',(18.7325,23.515,0.)); #549968=CARTESIAN_POINT('',(18.7325,23.515,0.)); #549969=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #549970=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #549971=CARTESIAN_POINT('',(18.7325,23.515,0.)); #549972=CARTESIAN_POINT('Origin',(18.7325,24.785,0.)); #549973=CARTESIAN_POINT('',(18.7325,24.785,0.)); #549974=CARTESIAN_POINT('',(18.7325,24.785,0.)); #549975=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #549976=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #549977=CARTESIAN_POINT('',(18.7325,24.785,0.)); #549978=CARTESIAN_POINT('Origin',(19.3675,24.785,0.)); #549979=CARTESIAN_POINT('',(19.3675,24.785,0.)); #549980=CARTESIAN_POINT('',(19.3675,24.785,0.)); #549981=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #549982=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #549983=CARTESIAN_POINT('',(19.3675,24.785,0.)); #549984=CARTESIAN_POINT('Origin',(19.3675,23.556919,0.)); #549985=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #549986=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #549987=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #549988=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #549989=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #549990=CARTESIAN_POINT('Origin',(19.567847,23.352,0.)); #549991=CARTESIAN_POINT('',(19.567847,23.352,0.)); #549992=CARTESIAN_POINT('',(19.567847,23.352,0.)); #549993=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #549994=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #549995=CARTESIAN_POINT('',(19.567847,23.352,0.)); #549996=CARTESIAN_POINT('Origin',(20.432153,23.352,0.)); #549997=CARTESIAN_POINT('',(20.432153,23.352,0.)); #549998=CARTESIAN_POINT('',(20.432153,23.352,0.)); #549999=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #550000=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #550001=CARTESIAN_POINT('',(20.432153,23.352,0.)); #550002=CARTESIAN_POINT('Origin',(20.6325,23.556919,0.)); #550003=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #550004=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #550005=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #550006=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #550007=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #550008=CARTESIAN_POINT('Origin',(20.6325,24.785,0.)); #550009=CARTESIAN_POINT('',(20.6325,24.785,0.)); #550010=CARTESIAN_POINT('',(20.6325,24.785,0.)); #550011=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #550012=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #550013=CARTESIAN_POINT('',(20.6325,24.785,0.)); #550014=CARTESIAN_POINT('Origin',(20.823,24.785,0.)); #550015=CARTESIAN_POINT('',(20.823,24.785,0.)); #550016=CARTESIAN_POINT('',(20.823,24.785,0.)); #550017=CARTESIAN_POINT('',(20.823,24.785,0.035)); #550018=CARTESIAN_POINT('',(20.823,24.785,0.035)); #550019=CARTESIAN_POINT('',(20.823,24.785,0.)); #550020=CARTESIAN_POINT('Origin',(20.823,25.5555,0.)); #550021=CARTESIAN_POINT('',(20.823,25.5555,0.)); #550022=CARTESIAN_POINT('',(20.823,25.5555,0.)); #550023=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #550024=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #550025=CARTESIAN_POINT('',(20.823,25.5555,0.)); #550026=CARTESIAN_POINT('Origin',(20.3429,25.5555,0.)); #550027=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #550028=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #550029=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #550030=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #550031=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #550032=CARTESIAN_POINT('Origin',(20.3429,26.4445,0.)); #550033=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #550034=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #550035=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #550036=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #550037=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #550038=CARTESIAN_POINT('Origin',(21.1811,26.4445,0.)); #550039=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #550040=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #550041=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #550042=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #550043=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #550044=CARTESIAN_POINT('Origin',(21.1811,25.5555,0.)); #550045=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #550046=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #550047=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #550048=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #550049=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #550050=CARTESIAN_POINT('Origin',(21.077,25.5555,0.)); #550051=CARTESIAN_POINT('',(21.077,25.5555,0.)); #550052=CARTESIAN_POINT('',(21.077,25.5555,0.)); #550053=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #550054=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #550055=CARTESIAN_POINT('',(21.077,25.5555,0.)); #550056=CARTESIAN_POINT('Origin',(21.077,24.785,0.)); #550057=CARTESIAN_POINT('',(21.077,24.785,0.)); #550058=CARTESIAN_POINT('',(21.077,24.785,0.)); #550059=CARTESIAN_POINT('',(21.077,24.785,0.035)); #550060=CARTESIAN_POINT('',(21.077,24.785,0.035)); #550061=CARTESIAN_POINT('',(21.077,24.785,0.)); #550062=CARTESIAN_POINT('Origin',(21.2675,24.785,0.)); #550063=CARTESIAN_POINT('',(21.2675,24.785,0.)); #550064=CARTESIAN_POINT('',(21.2675,24.785,0.)); #550065=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #550066=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #550067=CARTESIAN_POINT('',(21.2675,24.785,0.)); #550068=CARTESIAN_POINT('Origin',(21.2675,24.277,0.)); #550069=CARTESIAN_POINT('',(21.2675,24.277,0.)); #550070=CARTESIAN_POINT('',(21.2675,24.277,0.)); #550071=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #550072=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #550073=CARTESIAN_POINT('',(21.2675,24.277,0.)); #550074=CARTESIAN_POINT('Origin',(23.35,24.277,0.)); #550075=CARTESIAN_POINT('',(23.35,24.277,0.)); #550076=CARTESIAN_POINT('',(23.35,24.277,0.)); #550077=CARTESIAN_POINT('',(23.35,24.277,0.035)); #550078=CARTESIAN_POINT('',(23.35,24.277,0.035)); #550079=CARTESIAN_POINT('',(23.35,24.277,0.)); #550080=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #550081=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #550082=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #550083=CARTESIAN_POINT('',(23.439803,24.239803,0.035)); #550084=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.035)); #550085=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #550086=CARTESIAN_POINT('Origin',(23.802606,23.877,0.)); #550087=CARTESIAN_POINT('',(23.802606,23.877,0.)); #550088=CARTESIAN_POINT('',(23.802606,23.877,0.)); #550089=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #550090=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #550091=CARTESIAN_POINT('',(23.802606,23.877,0.)); #550092=CARTESIAN_POINT('Origin',(28.447394,23.877,0.)); #550093=CARTESIAN_POINT('',(28.447394,23.877,0.)); #550094=CARTESIAN_POINT('',(28.447394,23.877,0.)); #550095=CARTESIAN_POINT('',(28.447394,23.877,0.035)); #550096=CARTESIAN_POINT('',(28.447394,23.877,0.035)); #550097=CARTESIAN_POINT('',(28.447394,23.877,0.)); #550098=CARTESIAN_POINT('Origin',(30.972697,26.402303,0.)); #550099=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #550100=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #550101=CARTESIAN_POINT('',(30.972697,26.402303,0.035)); #550102=CARTESIAN_POINT('',(30.972697,26.402303,0.035)); #550103=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #550104=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.)); #550105=CARTESIAN_POINT('',(31.0625,26.4395,0.)); #550106=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.)); #550107=CARTESIAN_POINT('',(31.0625,26.4395,0.035)); #550108=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.035)); #550109=CARTESIAN_POINT('',(31.0625,26.4395,0.)); #550110=CARTESIAN_POINT('Origin',(37.572394,26.4395,0.)); #550111=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #550112=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #550113=CARTESIAN_POINT('',(37.572394,26.4395,0.035)); #550114=CARTESIAN_POINT('',(37.572394,26.4395,0.035)); #550115=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #550116=CARTESIAN_POINT('Origin',(38.222697,27.089803,0.)); #550117=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #550118=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #550119=CARTESIAN_POINT('',(38.222697,27.089803,0.035)); #550120=CARTESIAN_POINT('',(38.222697,27.089803,0.035)); #550121=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #550122=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.)); #550123=CARTESIAN_POINT('',(38.3125,27.127,0.)); #550124=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.)); #550125=CARTESIAN_POINT('',(38.3125,27.127,0.035)); #550126=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.035)); #550127=CARTESIAN_POINT('',(38.3125,27.127,0.)); #550128=CARTESIAN_POINT('Origin',(40.506072,27.127,0.)); #550129=CARTESIAN_POINT('',(40.506072,27.127,0.)); #550130=CARTESIAN_POINT('',(40.506072,27.127,0.)); #550131=CARTESIAN_POINT('',(40.506072,27.127,0.035)); #550132=CARTESIAN_POINT('',(40.506072,27.127,0.035)); #550133=CARTESIAN_POINT('',(40.506072,27.127,0.)); #550134=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.)); #550135=CARTESIAN_POINT('',(41.004853,27.105563,0.)); #550136=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.)); #550137=CARTESIAN_POINT('',(41.004853,27.105563,0.035)); #550138=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.035)); #550139=CARTESIAN_POINT('',(41.004853,27.105563,0.)); #550140=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.)); #550141=CARTESIAN_POINT('',(40.506072,26.873,0.)); #550142=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.)); #550143=CARTESIAN_POINT('',(40.506072,26.873,0.035)); #550144=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.035)); #550145=CARTESIAN_POINT('',(40.506072,26.873,0.)); #550146=CARTESIAN_POINT('Origin',(38.365106,26.873,0.)); #550147=CARTESIAN_POINT('',(38.365106,26.873,0.)); #550148=CARTESIAN_POINT('',(38.365106,26.873,0.)); #550149=CARTESIAN_POINT('',(38.365106,26.873,0.035)); #550150=CARTESIAN_POINT('',(38.365106,26.873,0.035)); #550151=CARTESIAN_POINT('',(38.365106,26.873,0.)); #550152=CARTESIAN_POINT('Origin',(37.714803,26.222697,0.)); #550153=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #550154=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #550155=CARTESIAN_POINT('',(37.714803,26.222697,0.035)); #550156=CARTESIAN_POINT('',(37.714803,26.222697,0.035)); #550157=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #550158=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.)); #550159=CARTESIAN_POINT('',(37.625,26.1855,0.)); #550160=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.)); #550161=CARTESIAN_POINT('',(37.625,26.1855,0.035)); #550162=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.035)); #550163=CARTESIAN_POINT('',(37.625,26.1855,0.)); #550164=CARTESIAN_POINT('Origin',(31.115106,26.1855,0.)); #550165=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #550166=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #550167=CARTESIAN_POINT('',(31.115106,26.1855,0.035)); #550168=CARTESIAN_POINT('',(31.115106,26.1855,0.035)); #550169=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #550170=CARTESIAN_POINT('Origin',(28.589803,23.660197,0.)); #550171=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #550172=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #550173=CARTESIAN_POINT('',(28.589803,23.660197,0.035)); #550174=CARTESIAN_POINT('',(28.589803,23.660197,0.035)); #550175=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #550176=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.)); #550177=CARTESIAN_POINT('',(28.5,23.623,0.)); #550178=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.)); #550179=CARTESIAN_POINT('',(28.5,23.623,0.035)); #550180=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.035)); #550181=CARTESIAN_POINT('',(28.5,23.623,0.)); #550182=CARTESIAN_POINT('Origin',(23.75,23.623,0.)); #550183=CARTESIAN_POINT('',(23.75,23.623,0.)); #550184=CARTESIAN_POINT('',(23.75,23.623,0.)); #550185=CARTESIAN_POINT('',(23.75,23.623,0.035)); #550186=CARTESIAN_POINT('',(23.75,23.623,0.035)); #550187=CARTESIAN_POINT('',(23.75,23.623,0.)); #550188=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #550189=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #550190=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #550191=CARTESIAN_POINT('',(23.660197,23.660197,0.035)); #550192=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.035)); #550193=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #550194=CARTESIAN_POINT('Origin',(23.297394,24.023,0.)); #550195=CARTESIAN_POINT('',(23.297394,24.023,0.)); #550196=CARTESIAN_POINT('',(23.297394,24.023,0.)); #550197=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #550198=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #550199=CARTESIAN_POINT('',(23.297394,24.023,0.)); #550200=CARTESIAN_POINT('Origin',(21.2675,24.023,0.)); #550201=CARTESIAN_POINT('',(21.2675,24.023,0.)); #550202=CARTESIAN_POINT('',(21.2675,24.023,0.)); #550203=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #550204=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #550205=CARTESIAN_POINT('',(21.2675,24.023,0.)); #550206=CARTESIAN_POINT('Origin',(21.2675,23.515,0.)); #550207=CARTESIAN_POINT('',(21.2675,23.515,0.)); #550208=CARTESIAN_POINT('',(21.2675,23.515,0.)); #550209=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #550210=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #550211=CARTESIAN_POINT('',(21.2675,23.515,0.)); #550212=CARTESIAN_POINT('Origin',(20.946741,23.515,0.)); #550213=CARTESIAN_POINT('',(20.946741,23.515,0.)); #550214=CARTESIAN_POINT('',(20.946741,23.515,0.)); #550215=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #550216=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #550217=CARTESIAN_POINT('',(20.946741,23.515,0.)); #550218=CARTESIAN_POINT('Origin',(20.576409,23.136216,0.)); #550219=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #550220=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #550221=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #550222=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #550223=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #550224=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #550225=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #550226=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.035)); #550227=CARTESIAN_POINT('Origin',(28.3902979411653,25.1366077178745,0.035)); #550228=CARTESIAN_POINT('Origin',(28.3902979411653,25.1366077178745,0.)); #550229=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #550230=CARTESIAN_POINT('',(14.36,21.75,0.)); #550231=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #550232=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #550233=CARTESIAN_POINT('',(14.36,21.75,-200.)); #550234=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #550235=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #550236=CARTESIAN_POINT('',(14.36,21.,0.)); #550237=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #550238=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #550239=CARTESIAN_POINT('',(14.36,21.,-200.)); #550240=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #550241=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #550242=CARTESIAN_POINT('',(15.86,20.25,0.)); #550243=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #550244=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #550245=CARTESIAN_POINT('',(15.86,20.25,-200.)); #550246=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #550247=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #550248=CARTESIAN_POINT('',(14.36,20.25,0.)); #550249=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #550250=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #550251=CARTESIAN_POINT('',(14.36,20.25,-200.)); #550252=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #550253=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #550254=CARTESIAN_POINT('',(15.11,20.25,0.)); #550255=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #550256=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #550257=CARTESIAN_POINT('',(15.11,20.25,-200.)); #550258=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #550259=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #550260=CARTESIAN_POINT('',(15.11,21.75,0.)); #550261=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #550262=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #550263=CARTESIAN_POINT('',(15.11,21.75,-200.)); #550264=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #550265=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #550266=CARTESIAN_POINT('',(15.86,21.75,0.)); #550267=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #550268=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #550269=CARTESIAN_POINT('',(15.86,21.75,-200.)); #550270=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #550271=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #550272=CARTESIAN_POINT('',(15.86,21.,0.)); #550273=CARTESIAN_POINT('Origin',(16.,21.,0.)); #550274=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #550275=CARTESIAN_POINT('',(15.86,21.,-200.)); #550276=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #550277=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #550278=CARTESIAN_POINT('',(15.285,34.,0.)); #550279=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #550280=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #550281=CARTESIAN_POINT('',(15.285,34.,-200.)); #550282=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #550283=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #550284=CARTESIAN_POINT('',(31.035,27.75,0.)); #550285=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #550286=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #550287=CARTESIAN_POINT('',(31.035,27.75,-200.)); #550288=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #550289=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #550290=CARTESIAN_POINT('',(21.235,34.,0.)); #550291=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #550292=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #550293=CARTESIAN_POINT('',(21.235,34.,-200.)); #550294=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #550295=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #550296=CARTESIAN_POINT('',(15.11,21.,0.)); #550297=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #550298=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #550299=CARTESIAN_POINT('',(15.11,21.,-200.)); #550300=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #550301=CARTESIAN_POINT('Origin',(16.447394,19.25,0.)); #550302=CARTESIAN_POINT('',(16.447394,19.25,0.)); #550303=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #550304=CARTESIAN_POINT('',(16.447394,19.25,0.)); #550305=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #550306=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #550307=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #550308=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #550309=CARTESIAN_POINT('',(16.447394,19.25,0.)); #550310=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #550311=CARTESIAN_POINT('',(14.302606,19.25,0.)); #550312=CARTESIAN_POINT('',(14.302606,19.25,0.)); #550313=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #550314=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #550315=CARTESIAN_POINT('',(14.302606,19.25,0.)); #550316=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #550317=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #550318=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #550319=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #550320=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #550321=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #550322=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #550323=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #550324=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #550325=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #550326=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #550327=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #550328=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #550329=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #550330=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #550331=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #550332=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #550333=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #550334=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #550335=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #550336=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #550337=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #550338=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #550339=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #550340=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #550341=CARTESIAN_POINT('',(14.,19.552606,0.)); #550342=CARTESIAN_POINT('',(14.,19.552606,0.)); #550343=CARTESIAN_POINT('',(14.,19.552606,0.035)); #550344=CARTESIAN_POINT('',(14.,19.552606,0.035)); #550345=CARTESIAN_POINT('',(14.,19.552606,0.)); #550346=CARTESIAN_POINT('Origin',(14.,34.697394,0.)); #550347=CARTESIAN_POINT('',(14.,34.697394,0.)); #550348=CARTESIAN_POINT('',(14.,34.697394,0.)); #550349=CARTESIAN_POINT('',(14.,34.697394,0.035)); #550350=CARTESIAN_POINT('',(14.,34.697394,0.035)); #550351=CARTESIAN_POINT('',(14.,34.697394,0.)); #550352=CARTESIAN_POINT('Origin',(14.009284,34.745056,0.)); #550353=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #550354=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #550355=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #550356=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #550357=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #550358=CARTESIAN_POINT('Origin',(14.037197,34.787197,0.)); #550359=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #550360=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #550361=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #550362=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #550363=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #550364=CARTESIAN_POINT('Origin',(14.962803,35.712803,0.)); #550365=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #550366=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #550367=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #550368=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #550369=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #550370=CARTESIAN_POINT('Origin',(15.003072,35.739941,0.)); #550371=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #550372=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #550373=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #550374=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #550375=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #550376=CARTESIAN_POINT('Origin',(15.052606,35.75,0.)); #550377=CARTESIAN_POINT('',(15.052606,35.75,0.)); #550378=CARTESIAN_POINT('',(15.052606,35.75,0.)); #550379=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #550380=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #550381=CARTESIAN_POINT('',(15.052606,35.75,0.)); #550382=CARTESIAN_POINT('Origin',(21.947394,35.75,0.)); #550383=CARTESIAN_POINT('',(21.947394,35.75,0.)); #550384=CARTESIAN_POINT('',(21.947394,35.75,0.)); #550385=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #550386=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #550387=CARTESIAN_POINT('',(21.947394,35.75,0.)); #550388=CARTESIAN_POINT('Origin',(21.995056,35.740716,0.)); #550389=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #550390=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #550391=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #550392=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #550393=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #550394=CARTESIAN_POINT('Origin',(22.037197,35.712803,0.)); #550395=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #550396=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #550397=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #550398=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #550399=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #550400=CARTESIAN_POINT('Origin',(22.962803,34.787197,0.)); #550401=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #550402=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #550403=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #550404=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #550405=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #550406=CARTESIAN_POINT('Origin',(22.989941,34.746928,0.)); #550407=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #550408=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #550409=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #550410=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #550411=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #550412=CARTESIAN_POINT('Origin',(23.,34.697394,0.)); #550413=CARTESIAN_POINT('',(23.,34.697394,0.)); #550414=CARTESIAN_POINT('',(23.,34.697394,0.)); #550415=CARTESIAN_POINT('',(23.,34.697394,0.035)); #550416=CARTESIAN_POINT('',(23.,34.697394,0.035)); #550417=CARTESIAN_POINT('',(23.,34.697394,0.)); #550418=CARTESIAN_POINT('Origin',(23.,33.258994,0.)); #550419=CARTESIAN_POINT('',(23.,33.258994,0.)); #550420=CARTESIAN_POINT('',(23.,33.258994,0.)); #550421=CARTESIAN_POINT('',(23.,33.258994,0.035)); #550422=CARTESIAN_POINT('',(23.,33.258994,0.035)); #550423=CARTESIAN_POINT('',(23.,33.258994,0.)); #550424=CARTESIAN_POINT('Origin',(23.001284,33.249975,0.)); #550425=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #550426=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #550427=CARTESIAN_POINT('',(23.001284,33.249975,0.035)); #550428=CARTESIAN_POINT('',(23.001284,33.249975,0.035)); #550429=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #550430=CARTESIAN_POINT('Origin',(23.005653,33.244347,0.)); #550431=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #550432=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #550433=CARTESIAN_POINT('',(23.005653,33.244347,0.035)); #550434=CARTESIAN_POINT('',(23.005653,33.244347,0.035)); #550435=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #550436=CARTESIAN_POINT('Origin',(25.243634,31.006366,0.)); #550437=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #550438=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #550439=CARTESIAN_POINT('',(25.243634,31.006366,0.035)); #550440=CARTESIAN_POINT('',(25.243634,31.006366,0.035)); #550441=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #550442=CARTESIAN_POINT('Origin',(25.250928,31.000891,0.)); #550443=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #550444=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #550445=CARTESIAN_POINT('',(25.250928,31.000891,0.035)); #550446=CARTESIAN_POINT('',(25.250928,31.000891,0.035)); #550447=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #550448=CARTESIAN_POINT('Origin',(25.257984,31.,0.)); #550449=CARTESIAN_POINT('',(25.257984,31.,0.)); #550450=CARTESIAN_POINT('',(25.257984,31.,0.)); #550451=CARTESIAN_POINT('',(25.257984,31.,0.035)); #550452=CARTESIAN_POINT('',(25.257984,31.,0.035)); #550453=CARTESIAN_POINT('',(25.257984,31.,0.)); #550454=CARTESIAN_POINT('Origin',(25.4456,31.,0.)); #550455=CARTESIAN_POINT('',(25.4456,31.,0.)); #550456=CARTESIAN_POINT('',(25.4456,31.,0.)); #550457=CARTESIAN_POINT('',(25.4456,31.,0.035)); #550458=CARTESIAN_POINT('',(25.4456,31.,0.035)); #550459=CARTESIAN_POINT('',(25.4456,31.,0.)); #550460=CARTESIAN_POINT('Origin',(25.491381,30.991463,0.)); #550461=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #550462=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #550463=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #550464=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #550465=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #550466=CARTESIAN_POINT('Origin',(25.533959,30.964222,0.)); #550467=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #550468=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #550469=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #550470=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #550471=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #550472=CARTESIAN_POINT('Origin',(25.562541,30.922534,0.)); #550473=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #550474=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #550475=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #550476=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #550477=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #550478=CARTESIAN_POINT('Origin',(25.5726,30.873,0.)); #550479=CARTESIAN_POINT('',(25.5726,30.873,0.)); #550480=CARTESIAN_POINT('',(25.5726,30.873,0.)); #550481=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #550482=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #550483=CARTESIAN_POINT('',(25.5726,30.873,0.)); #550484=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #550485=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #550486=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #550487=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #550488=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #550489=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #550490=CARTESIAN_POINT('Origin',(25.822966,30.5726,0.)); #550491=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #550492=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #550493=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #550494=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #550495=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #550496=CARTESIAN_POINT('Origin',(26.177034,30.5726,0.)); #550497=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #550498=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #550499=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #550500=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #550501=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #550502=CARTESIAN_POINT('Origin',(26.285197,30.680763,0.)); #550503=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #550504=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #550505=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #550506=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #550507=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #550508=CARTESIAN_POINT('Origin',(26.323606,30.707097,0.)); #550509=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #550510=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #550511=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #550512=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #550513=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #550514=CARTESIAN_POINT('Origin',(26.372975,30.717944,0.)); #550515=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #550516=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #550517=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #550518=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #550519=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #550520=CARTESIAN_POINT('Origin',(26.422663,30.708675,0.)); #550521=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #550522=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #550523=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #550524=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #550525=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #550526=CARTESIAN_POINT('Origin',(26.464803,30.680763,0.)); #550527=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #550528=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #550529=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #550530=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #550531=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #550532=CARTESIAN_POINT('Origin',(26.572966,30.5726,0.)); #550533=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #550534=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #550535=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #550536=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #550537=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #550538=CARTESIAN_POINT('Origin',(26.927034,30.5726,0.)); #550539=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #550540=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #550541=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #550542=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #550543=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #550544=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #550545=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #550546=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #550547=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #550548=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #550549=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #550550=CARTESIAN_POINT('Origin',(27.1774,30.873,0.)); #550551=CARTESIAN_POINT('',(27.1774,30.873,0.)); #550552=CARTESIAN_POINT('',(27.1774,30.873,0.)); #550553=CARTESIAN_POINT('',(27.1774,30.873,0.035)); #550554=CARTESIAN_POINT('',(27.1774,30.873,0.035)); #550555=CARTESIAN_POINT('',(27.1774,30.873,0.)); #550556=CARTESIAN_POINT('Origin',(27.185938,30.918781,0.)); #550557=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #550558=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #550559=CARTESIAN_POINT('',(27.185938,30.918781,0.035)); #550560=CARTESIAN_POINT('',(27.185938,30.918781,0.035)); #550561=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #550562=CARTESIAN_POINT('Origin',(27.213178,30.961359,0.)); #550563=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #550564=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #550565=CARTESIAN_POINT('',(27.213178,30.961359,0.035)); #550566=CARTESIAN_POINT('',(27.213178,30.961359,0.035)); #550567=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #550568=CARTESIAN_POINT('Origin',(27.254866,30.989941,0.)); #550569=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #550570=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #550571=CARTESIAN_POINT('',(27.254866,30.989941,0.035)); #550572=CARTESIAN_POINT('',(27.254866,30.989941,0.035)); #550573=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #550574=CARTESIAN_POINT('Origin',(27.3044,31.,0.)); #550575=CARTESIAN_POINT('',(27.3044,31.,0.)); #550576=CARTESIAN_POINT('',(27.3044,31.,0.)); #550577=CARTESIAN_POINT('',(27.3044,31.,0.035)); #550578=CARTESIAN_POINT('',(27.3044,31.,0.035)); #550579=CARTESIAN_POINT('',(27.3044,31.,0.)); #550580=CARTESIAN_POINT('Origin',(30.197394,31.,0.)); #550581=CARTESIAN_POINT('',(30.197394,31.,0.)); #550582=CARTESIAN_POINT('',(30.197394,31.,0.)); #550583=CARTESIAN_POINT('',(30.197394,31.,0.035)); #550584=CARTESIAN_POINT('',(30.197394,31.,0.035)); #550585=CARTESIAN_POINT('',(30.197394,31.,0.)); #550586=CARTESIAN_POINT('Origin',(30.245056,30.990716,0.)); #550587=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #550588=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #550589=CARTESIAN_POINT('',(30.245056,30.990716,0.035)); #550590=CARTESIAN_POINT('',(30.245056,30.990716,0.035)); #550591=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #550592=CARTESIAN_POINT('Origin',(30.287197,30.962803,0.)); #550593=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #550594=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #550595=CARTESIAN_POINT('',(30.287197,30.962803,0.035)); #550596=CARTESIAN_POINT('',(30.287197,30.962803,0.035)); #550597=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #550598=CARTESIAN_POINT('Origin',(32.462803,28.787197,0.)); #550599=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #550600=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #550601=CARTESIAN_POINT('',(32.462803,28.787197,0.035)); #550602=CARTESIAN_POINT('',(32.462803,28.787197,0.035)); #550603=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #550604=CARTESIAN_POINT('Origin',(32.489941,28.746928,0.)); #550605=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #550606=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #550607=CARTESIAN_POINT('',(32.489941,28.746928,0.035)); #550608=CARTESIAN_POINT('',(32.489941,28.746928,0.035)); #550609=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #550610=CARTESIAN_POINT('Origin',(32.5,28.697394,0.)); #550611=CARTESIAN_POINT('',(32.5,28.697394,0.)); #550612=CARTESIAN_POINT('',(32.5,28.697394,0.)); #550613=CARTESIAN_POINT('',(32.5,28.697394,0.035)); #550614=CARTESIAN_POINT('',(32.5,28.697394,0.035)); #550615=CARTESIAN_POINT('',(32.5,28.697394,0.)); #550616=CARTESIAN_POINT('Origin',(32.5,26.6681,0.)); #550617=CARTESIAN_POINT('',(32.5,26.6681,0.)); #550618=CARTESIAN_POINT('',(32.5,26.6681,0.)); #550619=CARTESIAN_POINT('',(32.5,26.6681,0.035)); #550620=CARTESIAN_POINT('',(32.5,26.6681,0.035)); #550621=CARTESIAN_POINT('',(32.5,26.6681,0.)); #550622=CARTESIAN_POINT('Origin',(32.491463,26.622319,0.)); #550623=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #550624=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #550625=CARTESIAN_POINT('',(32.491463,26.622319,0.035)); #550626=CARTESIAN_POINT('',(32.491463,26.622319,0.035)); #550627=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #550628=CARTESIAN_POINT('Origin',(32.464222,26.579741,0.)); #550629=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #550630=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #550631=CARTESIAN_POINT('',(32.464222,26.579741,0.035)); #550632=CARTESIAN_POINT('',(32.464222,26.579741,0.035)); #550633=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #550634=CARTESIAN_POINT('Origin',(32.422534,26.551159,0.)); #550635=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #550636=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #550637=CARTESIAN_POINT('',(32.422534,26.551159,0.035)); #550638=CARTESIAN_POINT('',(32.422534,26.551159,0.035)); #550639=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #550640=CARTESIAN_POINT('Origin',(32.373,26.5411,0.)); #550641=CARTESIAN_POINT('',(32.373,26.5411,0.)); #550642=CARTESIAN_POINT('',(32.373,26.5411,0.)); #550643=CARTESIAN_POINT('',(32.373,26.5411,0.035)); #550644=CARTESIAN_POINT('',(32.373,26.5411,0.035)); #550645=CARTESIAN_POINT('',(32.373,26.5411,0.)); #550646=CARTESIAN_POINT('Origin',(30.967813,26.5411,0.)); #550647=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #550648=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #550649=CARTESIAN_POINT('',(30.967813,26.5411,0.035)); #550650=CARTESIAN_POINT('',(30.967813,26.5411,0.035)); #550651=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #550652=CARTESIAN_POINT('Origin',(28.442509,24.015797,0.)); #550653=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #550654=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #550655=CARTESIAN_POINT('',(28.442509,24.015797,0.035)); #550656=CARTESIAN_POINT('',(28.442509,24.015797,0.035)); #550657=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #550658=CARTESIAN_POINT('Origin',(28.402241,23.988659,0.)); #550659=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #550660=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #550661=CARTESIAN_POINT('',(28.402241,23.988659,0.035)); #550662=CARTESIAN_POINT('',(28.402241,23.988659,0.035)); #550663=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #550664=CARTESIAN_POINT('Origin',(28.352706,23.9786,0.)); #550665=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #550666=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #550667=CARTESIAN_POINT('',(28.352706,23.9786,0.035)); #550668=CARTESIAN_POINT('',(28.352706,23.9786,0.035)); #550669=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #550670=CARTESIAN_POINT('Origin',(27.764641,23.9786,0.)); #550671=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #550672=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #550673=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #550674=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #550675=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #550676=CARTESIAN_POINT('Origin',(27.720753,23.986425,0.)); #550677=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #550678=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #550679=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #550680=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #550681=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #550682=CARTESIAN_POINT('Origin',(27.677747,24.012981,0.)); #550683=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #550684=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #550685=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #550686=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #550687=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #550688=CARTESIAN_POINT('Origin',(27.648503,24.054206,0.)); #550689=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #550690=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #550691=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #550692=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #550693=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #550694=CARTESIAN_POINT('Origin',(27.637656,24.103575,0.)); #550695=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #550696=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #550697=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #550698=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #550699=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #550700=CARTESIAN_POINT('Origin',(27.646925,24.153263,0.)); #550701=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #550702=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #550703=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #550704=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #550705=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #550706=CARTESIAN_POINT('Origin',(27.674838,24.195403,0.)); #550707=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #550708=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #550709=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #550710=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #550711=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #550712=CARTESIAN_POINT('Origin',(27.6774,24.197966,0.)); #550713=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #550714=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #550715=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #550716=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #550717=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #550718=CARTESIAN_POINT('Origin',(27.6774,24.552034,0.)); #550719=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #550720=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #550721=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #550722=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #550723=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #550724=CARTESIAN_POINT('Origin',(27.427034,24.8024,0.)); #550725=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #550726=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #550727=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #550728=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #550729=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #550730=CARTESIAN_POINT('Origin',(27.198494,24.8024,0.)); #550731=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #550732=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #550733=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #550734=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #550735=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #550736=CARTESIAN_POINT('Origin',(27.150831,24.811684,0.)); #550737=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #550738=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #550739=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #550740=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #550741=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #550742=CARTESIAN_POINT('Origin',(27.108691,24.839597,0.)); #550743=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #550744=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #550745=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #550746=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #550747=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #550748=CARTESIAN_POINT('Origin',(25.464597,26.483691,0.)); #550749=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #550750=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #550751=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #550752=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #550753=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #550754=CARTESIAN_POINT('Origin',(25.437459,26.523959,0.)); #550755=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #550756=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #550757=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #550758=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #550759=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #550760=CARTESIAN_POINT('Origin',(25.4274,26.573494,0.)); #550761=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #550762=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #550763=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #550764=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #550765=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #550766=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #550767=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #550768=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #550769=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #550770=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #550771=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #550772=CARTESIAN_POINT('Origin',(25.177034,27.0524,0.)); #550773=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #550774=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #550775=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #550776=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #550777=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #550778=CARTESIAN_POINT('Origin',(24.822966,27.0524,0.)); #550779=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #550780=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #550781=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #550782=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #550783=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #550784=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #550785=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #550786=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #550787=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #550788=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #550789=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #550790=CARTESIAN_POINT('Origin',(24.5726,26.752,0.)); #550791=CARTESIAN_POINT('',(24.5726,26.752,0.)); #550792=CARTESIAN_POINT('',(24.5726,26.752,0.)); #550793=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #550794=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #550795=CARTESIAN_POINT('',(24.5726,26.752,0.)); #550796=CARTESIAN_POINT('Origin',(24.564063,26.706219,0.)); #550797=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #550798=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #550799=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #550800=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #550801=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #550802=CARTESIAN_POINT('Origin',(24.536822,26.663641,0.)); #550803=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #550804=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #550805=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #550806=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #550807=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #550808=CARTESIAN_POINT('Origin',(24.495134,26.635059,0.)); #550809=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #550810=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #550811=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #550812=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #550813=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #550814=CARTESIAN_POINT('Origin',(24.4456,26.625,0.)); #550815=CARTESIAN_POINT('',(24.4456,26.625,0.)); #550816=CARTESIAN_POINT('',(24.4456,26.625,0.)); #550817=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #550818=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #550819=CARTESIAN_POINT('',(24.4456,26.625,0.)); #550820=CARTESIAN_POINT('Origin',(18.008994,26.625,0.)); #550821=CARTESIAN_POINT('',(18.008994,26.625,0.)); #550822=CARTESIAN_POINT('',(18.008994,26.625,0.)); #550823=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #550824=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #550825=CARTESIAN_POINT('',(18.008994,26.625,0.)); #550826=CARTESIAN_POINT('Origin',(17.999975,26.623716,0.)); #550827=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #550828=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #550829=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #550830=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #550831=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #550832=CARTESIAN_POINT('Origin',(17.994347,26.619347,0.)); #550833=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #550834=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #550835=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #550836=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #550837=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #550838=CARTESIAN_POINT('Origin',(16.756366,25.381366,0.)); #550839=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #550840=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #550841=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #550842=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #550843=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #550844=CARTESIAN_POINT('Origin',(16.750891,25.374072,0.)); #550845=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #550846=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #550847=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #550848=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #550849=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #550850=CARTESIAN_POINT('Origin',(16.75,25.367016,0.)); #550851=CARTESIAN_POINT('',(16.75,25.367016,0.)); #550852=CARTESIAN_POINT('',(16.75,25.367016,0.)); #550853=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #550854=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #550855=CARTESIAN_POINT('',(16.75,25.367016,0.)); #550856=CARTESIAN_POINT('Origin',(16.75,19.552606,0.)); #550857=CARTESIAN_POINT('',(16.75,19.552606,0.)); #550858=CARTESIAN_POINT('',(16.75,19.552606,0.)); #550859=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #550860=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #550861=CARTESIAN_POINT('',(16.75,19.552606,0.)); #550862=CARTESIAN_POINT('Origin',(16.740716,19.504944,0.)); #550863=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #550864=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #550865=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #550866=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #550867=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #550868=CARTESIAN_POINT('Origin',(16.712803,19.462803,0.)); #550869=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #550870=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #550871=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #550872=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #550873=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #550874=CARTESIAN_POINT('Origin',(16.537197,19.287197,0.)); #550875=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #550876=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #550877=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #550878=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #550879=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #550880=CARTESIAN_POINT('Origin',(16.496928,19.260059,0.)); #550881=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #550882=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #550883=CARTESIAN_POINT('Origin',(21.1408077424797,28.1046065109543,0.035)); #550884=CARTESIAN_POINT('Origin',(21.1408077424797,28.1046065109543,0.)); #550885=CARTESIAN_POINT('Origin',(33.696416,54.284663,0.)); #550886=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #550887=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #550888=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #550889=CARTESIAN_POINT('',(33.726034,54.291172,0.035)); #550890=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #550891=CARTESIAN_POINT('',(33.696416,54.284663,0.035)); #550892=CARTESIAN_POINT('',(33.696416,54.284663,0.035)); #550893=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #550894=CARTESIAN_POINT('Origin',(33.666603,54.290222,0.)); #550895=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #550896=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #550897=CARTESIAN_POINT('',(33.666603,54.290222,0.035)); #550898=CARTESIAN_POINT('',(33.666603,54.290222,0.035)); #550899=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #550900=CARTESIAN_POINT('Origin',(33.641319,54.306972,0.)); #550901=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #550902=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #550903=CARTESIAN_POINT('',(33.641319,54.306972,0.035)); #550904=CARTESIAN_POINT('',(33.641319,54.306972,0.035)); #550905=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #550906=CARTESIAN_POINT('Origin',(33.500919,54.447372,0.)); #550907=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #550908=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #550909=CARTESIAN_POINT('',(33.500919,54.447372,0.035)); #550910=CARTESIAN_POINT('',(33.500919,54.447372,0.035)); #550911=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #550912=CARTESIAN_POINT('Origin',(33.484634,54.471531,0.)); #550913=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #550914=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #550915=CARTESIAN_POINT('',(33.484634,54.471531,0.035)); #550916=CARTESIAN_POINT('',(33.484634,54.471531,0.035)); #550917=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #550918=CARTESIAN_POINT('Origin',(33.4786,54.501253,0.)); #550919=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #550920=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #550921=CARTESIAN_POINT('',(33.4786,54.501253,0.035)); #550922=CARTESIAN_POINT('',(33.4786,54.501253,0.035)); #550923=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #550924=CARTESIAN_POINT('Origin',(33.4786,54.592603,0.)); #550925=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #550926=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #550927=CARTESIAN_POINT('',(33.4786,54.592603,0.035)); #550928=CARTESIAN_POINT('',(33.4786,54.592603,0.035)); #550929=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #550930=CARTESIAN_POINT('Origin',(33.484169,54.6212,0.)); #550931=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #550932=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #550933=CARTESIAN_POINT('',(33.484169,54.6212,0.035)); #550934=CARTESIAN_POINT('',(33.484169,54.6212,0.035)); #550935=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #550936=CARTESIAN_POINT('Origin',(33.500919,54.646484,0.)); #550937=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #550938=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #550939=CARTESIAN_POINT('',(33.500919,54.646484,0.035)); #550940=CARTESIAN_POINT('',(33.500919,54.646484,0.035)); #550941=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #550942=CARTESIAN_POINT('Origin',(33.571119,54.716684,0.)); #550943=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #550944=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #550945=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #550946=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #550947=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #550948=CARTESIAN_POINT('Origin',(33.594166,54.732484,0.)); #550949=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #550950=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #550951=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #550952=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #550953=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #550954=CARTESIAN_POINT('Origin',(33.623784,54.738994,0.)); #550955=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #550956=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #550957=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #550958=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #550959=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #550960=CARTESIAN_POINT('Origin',(33.653597,54.733434,0.)); #550961=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #550962=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #550963=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #550964=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #550965=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #550966=CARTESIAN_POINT('Origin',(33.678881,54.716684,0.)); #550967=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #550968=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #550969=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #550970=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #550971=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #550972=CARTESIAN_POINT('Origin',(33.749081,54.646484,0.)); #550973=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #550974=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #550975=CARTESIAN_POINT('',(33.749081,54.646484,0.035)); #550976=CARTESIAN_POINT('',(33.749081,54.646484,0.035)); #550977=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #550978=CARTESIAN_POINT('Origin',(33.765366,54.622325,0.)); #550979=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #550980=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #550981=CARTESIAN_POINT('',(33.765366,54.622325,0.035)); #550982=CARTESIAN_POINT('',(33.765366,54.622325,0.035)); #550983=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #550984=CARTESIAN_POINT('Origin',(33.7714,54.592603,0.)); #550985=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #550986=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #550987=CARTESIAN_POINT('',(33.7714,54.592603,0.035)); #550988=CARTESIAN_POINT('',(33.7714,54.592603,0.035)); #550989=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #550990=CARTESIAN_POINT('Origin',(33.7714,54.360853,0.)); #550991=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #550992=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #550993=CARTESIAN_POINT('',(33.7714,54.360853,0.035)); #550994=CARTESIAN_POINT('',(33.7714,54.360853,0.035)); #550995=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #550996=CARTESIAN_POINT('Origin',(33.766706,54.334522,0.)); #550997=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #550998=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #550999=CARTESIAN_POINT('',(33.766706,54.334522,0.035)); #551000=CARTESIAN_POINT('',(33.766706,54.334522,0.035)); #551001=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #551002=CARTESIAN_POINT('Origin',(33.750772,54.308716,0.)); #551003=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #551004=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #551005=CARTESIAN_POINT('',(33.750772,54.308716,0.035)); #551006=CARTESIAN_POINT('',(33.750772,54.308716,0.035)); #551007=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #551008=CARTESIAN_POINT('Origin',(33.726034,54.291172,0.)); #551009=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #551010=CARTESIAN_POINT('',(33.726034,54.291172,0.035)); #551011=CARTESIAN_POINT('Origin',(33.6402238360341,54.5135390844825,0.035)); #551012=CARTESIAN_POINT('Origin',(33.6402238360341,54.5135390844825,0.)); #551013=CARTESIAN_POINT('Origin',(36.076647,23.3536,0.)); #551014=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #551015=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #551016=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #551017=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #551018=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #551019=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #551020=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #551021=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #551022=CARTESIAN_POINT('Origin',(34.407397,23.3536,0.)); #551023=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #551024=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #551025=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #551026=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #551027=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #551028=CARTESIAN_POINT('Origin',(34.3788,23.359169,0.)); #551029=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #551030=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #551031=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #551032=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #551033=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #551034=CARTESIAN_POINT('Origin',(34.353516,23.375919,0.)); #551035=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #551036=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #551037=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #551038=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #551039=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #551040=CARTESIAN_POINT('Origin',(34.338116,23.391319,0.)); #551041=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #551042=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #551043=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #551044=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #551045=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #551046=CARTESIAN_POINT('Origin',(34.322816,23.413256,0.)); #551047=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #551048=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #551049=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #551050=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #551051=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #551052=CARTESIAN_POINT('Origin',(34.315834,23.442772,0.)); #551053=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #551054=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #551055=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #551056=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #551057=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #551058=CARTESIAN_POINT('Origin',(34.320919,23.472669,0.)); #551059=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #551060=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #551061=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #551062=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #551063=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #551064=CARTESIAN_POINT('Origin',(34.337263,23.498216,0.)); #551065=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #551066=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #551067=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #551068=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #551069=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #551070=CARTESIAN_POINT('Origin',(34.362275,23.515366,0.)); #551071=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #551072=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #551073=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #551074=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #551075=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #551076=CARTESIAN_POINT('Origin',(34.391997,23.5214,0.)); #551077=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #551078=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #551079=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #551080=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #551081=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #551082=CARTESIAN_POINT('Origin',(36.061247,23.5214,0.)); #551083=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #551084=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #551085=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #551086=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #551087=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #551088=CARTESIAN_POINT('Origin',(36.089844,23.515831,0.)); #551089=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #551090=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #551091=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #551092=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #551093=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #551094=CARTESIAN_POINT('Origin',(36.115128,23.499081,0.)); #551095=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #551096=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #551097=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #551098=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #551099=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #551100=CARTESIAN_POINT('Origin',(36.130528,23.483681,0.)); #551101=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #551102=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #551103=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #551104=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #551105=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #551106=CARTESIAN_POINT('Origin',(36.145828,23.461744,0.)); #551107=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #551108=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #551109=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #551110=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #551111=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #551112=CARTESIAN_POINT('Origin',(36.152809,23.432228,0.)); #551113=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #551114=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #551115=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #551116=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #551117=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #551118=CARTESIAN_POINT('Origin',(36.147725,23.402331,0.)); #551119=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #551120=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #551121=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #551122=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #551123=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #551124=CARTESIAN_POINT('Origin',(36.131381,23.376784,0.)); #551125=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #551126=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #551127=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #551128=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #551129=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #551130=CARTESIAN_POINT('Origin',(36.106369,23.359634,0.)); #551131=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #551132=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #551133=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.035)); #551134=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.)); #551135=CARTESIAN_POINT('Origin',(37.498747,26.5411,0.)); #551136=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #551137=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #551138=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #551139=CARTESIAN_POINT('',(37.528469,26.547134,0.035)); #551140=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #551141=CARTESIAN_POINT('',(37.498747,26.5411,0.035)); #551142=CARTESIAN_POINT('',(37.498747,26.5411,0.035)); #551143=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #551144=CARTESIAN_POINT('Origin',(37.286353,26.5411,0.)); #551145=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #551146=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #551147=CARTESIAN_POINT('',(37.286353,26.5411,0.035)); #551148=CARTESIAN_POINT('',(37.286353,26.5411,0.035)); #551149=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #551150=CARTESIAN_POINT('Origin',(37.260022,26.545794,0.)); #551151=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #551152=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #551153=CARTESIAN_POINT('',(37.260022,26.545794,0.035)); #551154=CARTESIAN_POINT('',(37.260022,26.545794,0.035)); #551155=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #551156=CARTESIAN_POINT('Origin',(37.234216,26.561728,0.)); #551157=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #551158=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #551159=CARTESIAN_POINT('',(37.234216,26.561728,0.035)); #551160=CARTESIAN_POINT('',(37.234216,26.561728,0.035)); #551161=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #551162=CARTESIAN_POINT('Origin',(37.216672,26.586466,0.)); #551163=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #551164=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #551165=CARTESIAN_POINT('',(37.216672,26.586466,0.035)); #551166=CARTESIAN_POINT('',(37.216672,26.586466,0.035)); #551167=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #551168=CARTESIAN_POINT('Origin',(37.210163,26.616084,0.)); #551169=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #551170=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #551171=CARTESIAN_POINT('',(37.210163,26.616084,0.035)); #551172=CARTESIAN_POINT('',(37.210163,26.616084,0.035)); #551173=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #551174=CARTESIAN_POINT('Origin',(37.215722,26.645897,0.)); #551175=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #551176=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #551177=CARTESIAN_POINT('',(37.215722,26.645897,0.035)); #551178=CARTESIAN_POINT('',(37.215722,26.645897,0.035)); #551179=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #551180=CARTESIAN_POINT('Origin',(37.232472,26.671181,0.)); #551181=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #551182=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #551183=CARTESIAN_POINT('',(37.232472,26.671181,0.035)); #551184=CARTESIAN_POINT('',(37.232472,26.671181,0.035)); #551185=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #551186=CARTESIAN_POINT('Origin',(38.072372,27.511081,0.)); #551187=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #551188=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #551189=CARTESIAN_POINT('',(38.072372,27.511081,0.035)); #551190=CARTESIAN_POINT('',(38.072372,27.511081,0.035)); #551191=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #551192=CARTESIAN_POINT('Origin',(38.096531,27.527366,0.)); #551193=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #551194=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #551195=CARTESIAN_POINT('',(38.096531,27.527366,0.035)); #551196=CARTESIAN_POINT('',(38.096531,27.527366,0.035)); #551197=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #551198=CARTESIAN_POINT('Origin',(38.126253,27.5334,0.)); #551199=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #551200=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #551201=CARTESIAN_POINT('',(38.126253,27.5334,0.035)); #551202=CARTESIAN_POINT('',(38.126253,27.5334,0.035)); #551203=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #551204=CARTESIAN_POINT('Origin',(40.495003,27.5334,0.)); #551205=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #551206=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #551207=CARTESIAN_POINT('',(40.495003,27.5334,0.035)); #551208=CARTESIAN_POINT('',(40.495003,27.5334,0.035)); #551209=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #551210=CARTESIAN_POINT('Origin',(40.521334,27.528706,0.)); #551211=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #551212=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #551213=CARTESIAN_POINT('',(40.521334,27.528706,0.035)); #551214=CARTESIAN_POINT('',(40.521334,27.528706,0.035)); #551215=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #551216=CARTESIAN_POINT('Origin',(40.547141,27.512772,0.)); #551217=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #551218=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #551219=CARTESIAN_POINT('',(40.547141,27.512772,0.035)); #551220=CARTESIAN_POINT('',(40.547141,27.512772,0.035)); #551221=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #551222=CARTESIAN_POINT('Origin',(40.564684,27.488034,0.)); #551223=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #551224=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #551225=CARTESIAN_POINT('',(40.564684,27.488034,0.035)); #551226=CARTESIAN_POINT('',(40.564684,27.488034,0.035)); #551227=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #551228=CARTESIAN_POINT('Origin',(40.571194,27.458416,0.)); #551229=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #551230=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #551231=CARTESIAN_POINT('',(40.571194,27.458416,0.035)); #551232=CARTESIAN_POINT('',(40.571194,27.458416,0.035)); #551233=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #551234=CARTESIAN_POINT('Origin',(40.565634,27.428603,0.)); #551235=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #551236=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #551237=CARTESIAN_POINT('',(40.565634,27.428603,0.035)); #551238=CARTESIAN_POINT('',(40.565634,27.428603,0.035)); #551239=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #551240=CARTESIAN_POINT('Origin',(40.548884,27.403319,0.)); #551241=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #551242=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #551243=CARTESIAN_POINT('',(40.548884,27.403319,0.035)); #551244=CARTESIAN_POINT('',(40.548884,27.403319,0.035)); #551245=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #551246=CARTESIAN_POINT('Origin',(40.396484,27.250919,0.)); #551247=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #551248=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #551249=CARTESIAN_POINT('',(40.396484,27.250919,0.035)); #551250=CARTESIAN_POINT('',(40.396484,27.250919,0.035)); #551251=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #551252=CARTESIAN_POINT('Origin',(40.372325,27.234634,0.)); #551253=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #551254=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #551255=CARTESIAN_POINT('',(40.372325,27.234634,0.035)); #551256=CARTESIAN_POINT('',(40.372325,27.234634,0.035)); #551257=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #551258=CARTESIAN_POINT('Origin',(40.342603,27.2286,0.)); #551259=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #551260=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #551261=CARTESIAN_POINT('',(40.342603,27.2286,0.035)); #551262=CARTESIAN_POINT('',(40.342603,27.2286,0.035)); #551263=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #551264=CARTESIAN_POINT('Origin',(38.217809,27.2286,0.)); #551265=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #551266=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #551267=CARTESIAN_POINT('',(38.217809,27.2286,0.035)); #551268=CARTESIAN_POINT('',(38.217809,27.2286,0.035)); #551269=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #551270=CARTESIAN_POINT('Origin',(37.552628,26.563419,0.)); #551271=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #551272=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #551273=CARTESIAN_POINT('',(37.552628,26.563419,0.035)); #551274=CARTESIAN_POINT('',(37.552628,26.563419,0.035)); #551275=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #551276=CARTESIAN_POINT('Origin',(37.528469,26.547134,0.)); #551277=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #551278=CARTESIAN_POINT('',(37.528469,26.547134,0.035)); #551279=CARTESIAN_POINT('Origin',(38.810037782698,27.2326253013061,0.035)); #551280=CARTESIAN_POINT('Origin',(38.810037782698,27.2326253013061,0.)); #551281=CARTESIAN_POINT('Origin',(27.1143,22.4786,0.)); #551282=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #551283=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #551284=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #551285=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #551286=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #551287=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #551288=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #551289=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #551290=CARTESIAN_POINT('Origin',(27.091325,22.4786,0.)); #551291=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #551292=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #551293=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #551294=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #551295=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #551296=CARTESIAN_POINT('Origin',(27.061481,22.484687,0.)); #551297=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #551298=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #551299=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #551300=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #551301=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #551302=CARTESIAN_POINT('Origin',(27.036497,22.501881,0.)); #551303=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #551304=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #551305=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #551306=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #551307=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #551308=CARTESIAN_POINT('Origin',(26.830872,22.714919,0.)); #551309=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #551310=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #551311=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #551312=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #551313=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #551314=CARTESIAN_POINT('Origin',(26.815534,22.738116,0.)); #551315=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #551316=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #551317=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #551318=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #551319=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #551320=CARTESIAN_POINT('Origin',(26.8095,22.767838,0.)); #551321=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #551322=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #551323=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #551324=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #551325=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #551326=CARTESIAN_POINT('Origin',(26.8095,23.4452,0.)); #551327=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #551328=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #551329=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #551330=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #551331=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #551332=CARTESIAN_POINT('Origin',(26.814622,23.472669,0.)); #551333=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #551334=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #551335=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #551336=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #551337=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #551338=CARTESIAN_POINT('Origin',(26.830966,23.498216,0.)); #551339=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #551340=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #551341=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #551342=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #551343=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #551344=CARTESIAN_POINT('Origin',(26.855978,23.515366,0.)); #551345=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #551346=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #551347=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #551348=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #551349=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #551350=CARTESIAN_POINT('Origin',(26.8857,23.5214,0.)); #551351=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #551352=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #551353=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #551354=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #551355=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #551356=CARTESIAN_POINT('Origin',(27.1143,23.5214,0.)); #551357=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #551358=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #551359=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #551360=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #551361=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #551362=CARTESIAN_POINT('Origin',(27.141769,23.516278,0.)); #551363=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #551364=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #551365=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #551366=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #551367=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #551368=CARTESIAN_POINT('Origin',(27.167316,23.499934,0.)); #551369=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #551370=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #551371=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #551372=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #551373=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #551374=CARTESIAN_POINT('Origin',(27.184466,23.474922,0.)); #551375=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #551376=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #551377=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #551378=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #551379=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #551380=CARTESIAN_POINT('Origin',(27.1905,23.4452,0.)); #551381=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #551382=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #551383=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #551384=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #551385=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #551386=CARTESIAN_POINT('Origin',(27.1905,22.5548,0.)); #551387=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #551388=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #551389=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #551390=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #551391=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #551392=CARTESIAN_POINT('Origin',(27.185378,22.527331,0.)); #551393=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #551394=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #551395=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #551396=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #551397=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #551398=CARTESIAN_POINT('Origin',(27.169034,22.501784,0.)); #551399=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #551400=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #551401=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #551402=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #551403=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #551404=CARTESIAN_POINT('Origin',(27.144022,22.484634,0.)); #551405=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #551406=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #551407=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.035)); #551408=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.)); #551409=CARTESIAN_POINT('Origin',(42.108003,13.8536,0.)); #551410=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #551411=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #551412=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #551413=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #551414=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #551415=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #551416=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #551417=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #551418=CARTESIAN_POINT('Origin',(41.998353,13.8536,0.)); #551419=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #551420=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #551421=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #551422=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #551423=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #551424=CARTESIAN_POINT('Origin',(41.969756,13.859169,0.)); #551425=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #551426=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #551427=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #551428=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #551429=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #551430=CARTESIAN_POINT('Origin',(41.944472,13.875919,0.)); #551431=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #551432=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #551433=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #551434=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #551435=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #551436=CARTESIAN_POINT('Origin',(39.841791,15.9786,0.)); #551437=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #551438=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #551439=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #551440=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #551441=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #551442=CARTESIAN_POINT('Origin',(39.657397,15.9786,0.)); #551443=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #551444=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #551445=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #551446=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #551447=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #551448=CARTESIAN_POINT('Origin',(39.6288,15.984169,0.)); #551449=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #551450=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #551451=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #551452=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #551453=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #551454=CARTESIAN_POINT('Origin',(39.603516,16.000919,0.)); #551455=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #551456=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #551457=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #551458=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #551459=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #551460=CARTESIAN_POINT('Origin',(39.533316,16.071119,0.)); #551461=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #551462=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #551463=CARTESIAN_POINT('',(39.533316,16.071119,0.035)); #551464=CARTESIAN_POINT('',(39.533316,16.071119,0.035)); #551465=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #551466=CARTESIAN_POINT('Origin',(39.517516,16.094166,0.)); #551467=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #551468=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #551469=CARTESIAN_POINT('',(39.517516,16.094166,0.035)); #551470=CARTESIAN_POINT('',(39.517516,16.094166,0.035)); #551471=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #551472=CARTESIAN_POINT('Origin',(39.511006,16.123784,0.)); #551473=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #551474=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #551475=CARTESIAN_POINT('',(39.511006,16.123784,0.035)); #551476=CARTESIAN_POINT('',(39.511006,16.123784,0.035)); #551477=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #551478=CARTESIAN_POINT('Origin',(39.516566,16.153597,0.)); #551479=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #551480=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #551481=CARTESIAN_POINT('',(39.516566,16.153597,0.035)); #551482=CARTESIAN_POINT('',(39.516566,16.153597,0.035)); #551483=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #551484=CARTESIAN_POINT('Origin',(39.533316,16.178881,0.)); #551485=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #551486=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #551487=CARTESIAN_POINT('',(39.533316,16.178881,0.035)); #551488=CARTESIAN_POINT('',(39.533316,16.178881,0.035)); #551489=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #551490=CARTESIAN_POINT('Origin',(39.603516,16.249081,0.)); #551491=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #551492=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #551493=CARTESIAN_POINT('',(39.603516,16.249081,0.035)); #551494=CARTESIAN_POINT('',(39.603516,16.249081,0.035)); #551495=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #551496=CARTESIAN_POINT('Origin',(39.627675,16.265366,0.)); #551497=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #551498=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #551499=CARTESIAN_POINT('',(39.627675,16.265366,0.035)); #551500=CARTESIAN_POINT('',(39.627675,16.265366,0.035)); #551501=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #551502=CARTESIAN_POINT('Origin',(39.657397,16.2714,0.)); #551503=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #551504=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #551505=CARTESIAN_POINT('',(39.657397,16.2714,0.035)); #551506=CARTESIAN_POINT('',(39.657397,16.2714,0.035)); #551507=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #551508=CARTESIAN_POINT('Origin',(39.873747,16.2714,0.)); #551509=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #551510=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #551511=CARTESIAN_POINT('',(39.873747,16.2714,0.035)); #551512=CARTESIAN_POINT('',(39.873747,16.2714,0.035)); #551513=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #551514=CARTESIAN_POINT('Origin',(39.902344,16.265831,0.)); #551515=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #551516=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #551517=CARTESIAN_POINT('',(39.902344,16.265831,0.035)); #551518=CARTESIAN_POINT('',(39.902344,16.265831,0.035)); #551519=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #551520=CARTESIAN_POINT('Origin',(39.927628,16.249081,0.)); #551521=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #551522=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #551523=CARTESIAN_POINT('',(39.927628,16.249081,0.035)); #551524=CARTESIAN_POINT('',(39.927628,16.249081,0.035)); #551525=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #551526=CARTESIAN_POINT('Origin',(41.530309,14.6464,0.)); #551527=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #551528=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #551529=CARTESIAN_POINT('',(41.530309,14.6464,0.035)); #551530=CARTESIAN_POINT('',(41.530309,14.6464,0.035)); #551531=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #551532=CARTESIAN_POINT('Origin',(42.108003,14.6464,0.)); #551533=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #551534=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #551535=CARTESIAN_POINT('',(42.108003,14.6464,0.035)); #551536=CARTESIAN_POINT('',(42.108003,14.6464,0.035)); #551537=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #551538=CARTESIAN_POINT('Origin',(42.134334,14.641706,0.)); #551539=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #551540=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #551541=CARTESIAN_POINT('',(42.134334,14.641706,0.035)); #551542=CARTESIAN_POINT('',(42.134334,14.641706,0.035)); #551543=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #551544=CARTESIAN_POINT('Origin',(42.160141,14.625772,0.)); #551545=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #551546=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #551547=CARTESIAN_POINT('',(42.160141,14.625772,0.035)); #551548=CARTESIAN_POINT('',(42.160141,14.625772,0.035)); #551549=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #551550=CARTESIAN_POINT('Origin',(42.177684,14.601034,0.)); #551551=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #551552=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #551553=CARTESIAN_POINT('',(42.177684,14.601034,0.035)); #551554=CARTESIAN_POINT('',(42.177684,14.601034,0.035)); #551555=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #551556=CARTESIAN_POINT('Origin',(42.184194,14.571416,0.)); #551557=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #551558=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #551559=CARTESIAN_POINT('',(42.184194,14.571416,0.035)); #551560=CARTESIAN_POINT('',(42.184194,14.571416,0.035)); #551561=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #551562=CARTESIAN_POINT('Origin',(42.178634,14.541603,0.)); #551563=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #551564=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #551565=CARTESIAN_POINT('',(42.178634,14.541603,0.035)); #551566=CARTESIAN_POINT('',(42.178634,14.541603,0.035)); #551567=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #551568=CARTESIAN_POINT('Origin',(42.161884,14.516319,0.)); #551569=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #551570=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #551571=CARTESIAN_POINT('',(42.161884,14.516319,0.035)); #551572=CARTESIAN_POINT('',(42.161884,14.516319,0.035)); #551573=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #551574=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #551575=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #551576=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #551577=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #551578=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #551579=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #551580=CARTESIAN_POINT('Origin',(42.0726,14.072966,0.)); #551581=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #551582=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #551583=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #551584=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #551585=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #551586=CARTESIAN_POINT('Origin',(42.161884,13.983681,0.)); #551587=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #551588=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #551589=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #551590=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #551591=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #551592=CARTESIAN_POINT('Origin',(42.177184,13.961744,0.)); #551593=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #551594=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #551595=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #551596=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #551597=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #551598=CARTESIAN_POINT('Origin',(42.184166,13.932228,0.)); #551599=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #551600=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #551601=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #551602=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #551603=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #551604=CARTESIAN_POINT('Origin',(42.179081,13.902331,0.)); #551605=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #551606=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #551607=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #551608=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #551609=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #551610=CARTESIAN_POINT('Origin',(42.162737,13.876784,0.)); #551611=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #551612=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #551613=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #551614=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #551615=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #551616=CARTESIAN_POINT('Origin',(42.137725,13.859634,0.)); #551617=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #551618=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #551619=CARTESIAN_POINT('Origin',(40.9496239146331,15.0891968786413,0.035)); #551620=CARTESIAN_POINT('Origin',(40.9496239146331,15.0891968786413,0.)); #551621=CARTESIAN_POINT('Origin',(35.483003,10.7286,0.)); #551622=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #551623=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #551624=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #551625=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #551626=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #551627=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #551628=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #551629=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #551630=CARTESIAN_POINT('Origin',(30.907397,10.7286,0.)); #551631=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #551632=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #551633=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #551634=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #551635=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #551636=CARTESIAN_POINT('Origin',(30.8788,10.734169,0.)); #551637=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #551638=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #551639=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #551640=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #551641=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #551642=CARTESIAN_POINT('Origin',(30.853516,10.750919,0.)); #551643=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #551644=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #551645=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #551646=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #551647=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #551648=CARTESIAN_POINT('Origin',(30.783316,10.821119,0.)); #551649=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #551650=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #551651=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #551652=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #551653=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #551654=CARTESIAN_POINT('Origin',(30.767516,10.844166,0.)); #551655=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #551656=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #551657=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #551658=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #551659=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #551660=CARTESIAN_POINT('Origin',(30.761006,10.873784,0.)); #551661=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #551662=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #551663=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #551664=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #551665=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #551666=CARTESIAN_POINT('Origin',(30.766566,10.903597,0.)); #551667=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #551668=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #551669=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #551670=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #551671=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #551672=CARTESIAN_POINT('Origin',(30.783316,10.928881,0.)); #551673=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #551674=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #551675=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #551676=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #551677=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #551678=CARTESIAN_POINT('Origin',(30.853516,10.999081,0.)); #551679=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #551680=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #551681=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #551682=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #551683=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #551684=CARTESIAN_POINT('Origin',(30.877675,11.015366,0.)); #551685=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #551686=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #551687=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #551688=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #551689=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #551690=CARTESIAN_POINT('Origin',(30.907397,11.0214,0.)); #551691=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #551692=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #551693=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #551694=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #551695=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #551696=CARTESIAN_POINT('Origin',(35.342603,11.0214,0.)); #551697=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #551698=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #551699=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #551700=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #551701=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #551702=CARTESIAN_POINT('Origin',(35.3712,11.015831,0.)); #551703=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #551704=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #551705=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #551706=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #551707=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #551708=CARTESIAN_POINT('Origin',(35.396484,10.999081,0.)); #551709=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #551710=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #551711=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #551712=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #551713=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #551714=CARTESIAN_POINT('Origin',(35.536884,10.858681,0.)); #551715=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #551716=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #551717=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #551718=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #551719=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #551720=CARTESIAN_POINT('Origin',(35.552184,10.836744,0.)); #551721=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #551722=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #551723=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #551724=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #551725=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #551726=CARTESIAN_POINT('Origin',(35.559166,10.807228,0.)); #551727=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #551728=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #551729=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #551730=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #551731=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #551732=CARTESIAN_POINT('Origin',(35.554081,10.777331,0.)); #551733=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #551734=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #551735=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #551736=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #551737=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #551738=CARTESIAN_POINT('Origin',(35.537737,10.751784,0.)); #551739=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #551740=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #551741=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #551742=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #551743=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #551744=CARTESIAN_POINT('Origin',(35.512725,10.734634,0.)); #551745=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #551746=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #551747=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.035)); #551748=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.)); #551749=CARTESIAN_POINT('Origin',(30.373747,23.2286,0.)); #551750=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #551751=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #551752=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #551753=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #551754=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #551755=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #551756=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #551757=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #551758=CARTESIAN_POINT('Origin',(28.4097,23.2286,0.)); #551759=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #551760=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #551761=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #551762=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #551763=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #551764=CARTESIAN_POINT('Origin',(28.382231,23.233722,0.)); #551765=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #551766=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #551767=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #551768=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #551769=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #551770=CARTESIAN_POINT('Origin',(28.356684,23.250066,0.)); #551771=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #551772=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #551773=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #551774=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #551775=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #551776=CARTESIAN_POINT('Origin',(28.339534,23.275078,0.)); #551777=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #551778=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #551779=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #551780=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #551781=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #551782=CARTESIAN_POINT('Origin',(28.3335,23.3048,0.)); #551783=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #551784=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #551785=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #551786=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #551787=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #551788=CARTESIAN_POINT('Origin',(28.3335,23.4452,0.)); #551789=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #551790=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #551791=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #551792=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #551793=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #551794=CARTESIAN_POINT('Origin',(28.338622,23.472669,0.)); #551795=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #551796=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #551797=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #551798=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #551799=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #551800=CARTESIAN_POINT('Origin',(28.354966,23.498216,0.)); #551801=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #551802=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #551803=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #551804=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #551805=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #551806=CARTESIAN_POINT('Origin',(28.379978,23.515366,0.)); #551807=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #551808=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #551809=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #551810=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #551811=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #551812=CARTESIAN_POINT('Origin',(28.4097,23.5214,0.)); #551813=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #551814=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #551815=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #551816=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #551817=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #551818=CARTESIAN_POINT('Origin',(28.594691,23.5214,0.)); #551819=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #551820=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #551821=CARTESIAN_POINT('',(28.594691,23.5214,0.035)); #551822=CARTESIAN_POINT('',(28.594691,23.5214,0.035)); #551823=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #551824=CARTESIAN_POINT('Origin',(30.467519,25.394228,0.)); #551825=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #551826=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #551827=CARTESIAN_POINT('',(30.467519,25.394228,0.035)); #551828=CARTESIAN_POINT('',(30.467519,25.394228,0.035)); #551829=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #551830=CARTESIAN_POINT('Origin',(30.489456,25.409528,0.)); #551831=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #551832=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #551833=CARTESIAN_POINT('',(30.489456,25.409528,0.035)); #551834=CARTESIAN_POINT('',(30.489456,25.409528,0.035)); #551835=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #551836=CARTESIAN_POINT('Origin',(30.518972,25.416509,0.)); #551837=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #551838=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #551839=CARTESIAN_POINT('',(30.518972,25.416509,0.035)); #551840=CARTESIAN_POINT('',(30.518972,25.416509,0.035)); #551841=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #551842=CARTESIAN_POINT('Origin',(30.548869,25.411425,0.)); #551843=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #551844=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #551845=CARTESIAN_POINT('',(30.548869,25.411425,0.035)); #551846=CARTESIAN_POINT('',(30.548869,25.411425,0.035)); #551847=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #551848=CARTESIAN_POINT('Origin',(30.574416,25.395081,0.)); #551849=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #551850=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #551851=CARTESIAN_POINT('',(30.574416,25.395081,0.035)); #551852=CARTESIAN_POINT('',(30.574416,25.395081,0.035)); #551853=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #551854=CARTESIAN_POINT('Origin',(30.591566,25.370069,0.)); #551855=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #551856=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #551857=CARTESIAN_POINT('',(30.591566,25.370069,0.035)); #551858=CARTESIAN_POINT('',(30.591566,25.370069,0.035)); #551859=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #551860=CARTESIAN_POINT('Origin',(30.5976,25.340347,0.)); #551861=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #551862=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #551863=CARTESIAN_POINT('',(30.5976,25.340347,0.035)); #551864=CARTESIAN_POINT('',(30.5976,25.340347,0.035)); #551865=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #551866=CARTESIAN_POINT('Origin',(30.5976,25.0705,0.)); #551867=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #551868=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #551869=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #551870=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #551871=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #551872=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #551873=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #551874=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #551875=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #551876=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #551877=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #551878=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #551879=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #551880=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #551881=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #551882=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #551883=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #551884=CARTESIAN_POINT('Origin',(31.224359,24.387425,0.)); #551885=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #551886=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #551887=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #551888=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #551889=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #551890=CARTESIAN_POINT('Origin',(31.248216,24.371759,0.)); #551891=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #551892=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #551893=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #551894=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #551895=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #551896=CARTESIAN_POINT('Origin',(31.265366,24.346747,0.)); #551897=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #551898=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #551899=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #551900=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #551901=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #551902=CARTESIAN_POINT('Origin',(31.2714,24.317025,0.)); #551903=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #551904=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #551905=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #551906=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #551907=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #551908=CARTESIAN_POINT('Origin',(31.2714,24.126253,0.)); #551909=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #551910=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #551911=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #551912=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #551913=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #551914=CARTESIAN_POINT('Origin',(31.265831,24.097656,0.)); #551915=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #551916=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #551917=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #551918=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #551919=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #551920=CARTESIAN_POINT('Origin',(31.249081,24.072372,0.)); #551921=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #551922=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #551923=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #551924=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #551925=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #551926=CARTESIAN_POINT('Origin',(30.427628,23.250919,0.)); #551927=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #551928=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #551929=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #551930=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #551931=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #551932=CARTESIAN_POINT('Origin',(30.403469,23.234634,0.)); #551933=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #551934=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #551935=CARTESIAN_POINT('Origin',(29.89936471463,24.0298823553026,0.035)); #551936=CARTESIAN_POINT('Origin',(29.89936471463,24.0298823553026,0.)); #551937=CARTESIAN_POINT('Origin',(41.626216,31.261006,0.)); #551938=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #551939=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #551940=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #551941=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #551942=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #551943=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #551944=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #551945=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #551946=CARTESIAN_POINT('Origin',(41.596403,31.266566,0.)); #551947=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #551948=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #551949=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #551950=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #551951=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #551952=CARTESIAN_POINT('Origin',(41.571119,31.283316,0.)); #551953=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #551954=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #551955=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #551956=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #551957=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #551958=CARTESIAN_POINT('Origin',(41.500919,31.353516,0.)); #551959=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #551960=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #551961=CARTESIAN_POINT('',(41.500919,31.353516,0.035)); #551962=CARTESIAN_POINT('',(41.500919,31.353516,0.035)); #551963=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #551964=CARTESIAN_POINT('Origin',(41.484634,31.377675,0.)); #551965=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #551966=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #551967=CARTESIAN_POINT('',(41.484634,31.377675,0.035)); #551968=CARTESIAN_POINT('',(41.484634,31.377675,0.035)); #551969=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #551970=CARTESIAN_POINT('Origin',(41.4786,31.407397,0.)); #551971=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #551972=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #551973=CARTESIAN_POINT('',(41.4786,31.407397,0.035)); #551974=CARTESIAN_POINT('',(41.4786,31.407397,0.035)); #551975=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #551976=CARTESIAN_POINT('Origin',(41.4786,35.592603,0.)); #551977=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #551978=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #551979=CARTESIAN_POINT('',(41.4786,35.592603,0.035)); #551980=CARTESIAN_POINT('',(41.4786,35.592603,0.035)); #551981=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #551982=CARTESIAN_POINT('Origin',(41.484169,35.6212,0.)); #551983=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #551984=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #551985=CARTESIAN_POINT('',(41.484169,35.6212,0.035)); #551986=CARTESIAN_POINT('',(41.484169,35.6212,0.035)); #551987=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #551988=CARTESIAN_POINT('Origin',(41.500919,35.646484,0.)); #551989=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #551990=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #551991=CARTESIAN_POINT('',(41.500919,35.646484,0.035)); #551992=CARTESIAN_POINT('',(41.500919,35.646484,0.035)); #551993=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #551994=CARTESIAN_POINT('Origin',(41.571119,35.716684,0.)); #551995=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #551996=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #551997=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #551998=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #551999=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #552000=CARTESIAN_POINT('Origin',(41.594166,35.732484,0.)); #552001=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #552002=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #552003=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #552004=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #552005=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #552006=CARTESIAN_POINT('Origin',(41.623784,35.738994,0.)); #552007=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #552008=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #552009=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #552010=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #552011=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #552012=CARTESIAN_POINT('Origin',(41.653597,35.733434,0.)); #552013=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #552014=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #552015=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #552016=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #552017=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #552018=CARTESIAN_POINT('Origin',(41.678881,35.716684,0.)); #552019=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #552020=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #552021=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #552022=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #552023=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #552024=CARTESIAN_POINT('Origin',(41.749081,35.646484,0.)); #552025=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #552026=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #552027=CARTESIAN_POINT('',(41.749081,35.646484,0.035)); #552028=CARTESIAN_POINT('',(41.749081,35.646484,0.035)); #552029=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #552030=CARTESIAN_POINT('Origin',(41.765366,35.622325,0.)); #552031=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #552032=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #552033=CARTESIAN_POINT('',(41.765366,35.622325,0.035)); #552034=CARTESIAN_POINT('',(41.765366,35.622325,0.035)); #552035=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #552036=CARTESIAN_POINT('Origin',(41.7714,35.592603,0.)); #552037=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #552038=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #552039=CARTESIAN_POINT('',(41.7714,35.592603,0.035)); #552040=CARTESIAN_POINT('',(41.7714,35.592603,0.035)); #552041=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #552042=CARTESIAN_POINT('Origin',(41.7714,31.407397,0.)); #552043=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #552044=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #552045=CARTESIAN_POINT('',(41.7714,31.407397,0.035)); #552046=CARTESIAN_POINT('',(41.7714,31.407397,0.035)); #552047=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #552048=CARTESIAN_POINT('Origin',(41.765831,31.3788,0.)); #552049=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #552050=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #552051=CARTESIAN_POINT('',(41.765831,31.3788,0.035)); #552052=CARTESIAN_POINT('',(41.765831,31.3788,0.035)); #552053=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #552054=CARTESIAN_POINT('Origin',(41.749081,31.353516,0.)); #552055=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #552056=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #552057=CARTESIAN_POINT('',(41.749081,31.353516,0.035)); #552058=CARTESIAN_POINT('',(41.749081,31.353516,0.035)); #552059=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #552060=CARTESIAN_POINT('Origin',(41.678881,31.283316,0.)); #552061=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #552062=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #552063=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #552064=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #552065=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #552066=CARTESIAN_POINT('Origin',(41.655834,31.267516,0.)); #552067=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #552068=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #552069=CARTESIAN_POINT('Origin',(41.625,33.5,0.035)); #552070=CARTESIAN_POINT('Origin',(41.625,33.5,0.)); #552071=CARTESIAN_POINT('Origin',(41.971003,27.9906,0.)); #552072=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #552073=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #552074=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #552075=CARTESIAN_POINT('',(42.000725,27.996634,0.035)); #552076=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #552077=CARTESIAN_POINT('',(41.971003,27.9906,0.035)); #552078=CARTESIAN_POINT('',(41.971003,27.9906,0.035)); #552079=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #552080=CARTESIAN_POINT('Origin',(38.1778,27.9906,0.)); #552081=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #552082=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #552083=CARTESIAN_POINT('',(38.1778,27.9906,0.035)); #552084=CARTESIAN_POINT('',(38.1778,27.9906,0.035)); #552085=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #552086=CARTESIAN_POINT('Origin',(38.150331,27.995722,0.)); #552087=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #552088=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #552089=CARTESIAN_POINT('',(38.150331,27.995722,0.035)); #552090=CARTESIAN_POINT('',(38.150331,27.995722,0.035)); #552091=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #552092=CARTESIAN_POINT('Origin',(38.124784,28.012066,0.)); #552093=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #552094=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #552095=CARTESIAN_POINT('',(38.124784,28.012066,0.035)); #552096=CARTESIAN_POINT('',(38.124784,28.012066,0.035)); #552097=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #552098=CARTESIAN_POINT('Origin',(38.107634,28.037078,0.)); #552099=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #552100=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #552101=CARTESIAN_POINT('',(38.107634,28.037078,0.035)); #552102=CARTESIAN_POINT('',(38.107634,28.037078,0.035)); #552103=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #552104=CARTESIAN_POINT('Origin',(38.1016,28.0668,0.)); #552105=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #552106=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #552107=CARTESIAN_POINT('',(38.1016,28.0668,0.035)); #552108=CARTESIAN_POINT('',(38.1016,28.0668,0.035)); #552109=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #552110=CARTESIAN_POINT('Origin',(38.1016,28.1952,0.)); #552111=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #552112=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #552113=CARTESIAN_POINT('',(38.1016,28.1952,0.035)); #552114=CARTESIAN_POINT('',(38.1016,28.1952,0.035)); #552115=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #552116=CARTESIAN_POINT('Origin',(38.106722,28.222669,0.)); #552117=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #552118=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #552119=CARTESIAN_POINT('',(38.106722,28.222669,0.035)); #552120=CARTESIAN_POINT('',(38.106722,28.222669,0.035)); #552121=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #552122=CARTESIAN_POINT('Origin',(38.123066,28.248216,0.)); #552123=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #552124=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #552125=CARTESIAN_POINT('',(38.123066,28.248216,0.035)); #552126=CARTESIAN_POINT('',(38.123066,28.248216,0.035)); #552127=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #552128=CARTESIAN_POINT('Origin',(38.148078,28.265366,0.)); #552129=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #552130=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #552131=CARTESIAN_POINT('',(38.148078,28.265366,0.035)); #552132=CARTESIAN_POINT('',(38.148078,28.265366,0.035)); #552133=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #552134=CARTESIAN_POINT('Origin',(38.1778,28.2714,0.)); #552135=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #552136=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #552137=CARTESIAN_POINT('',(38.1778,28.2714,0.035)); #552138=CARTESIAN_POINT('',(38.1778,28.2714,0.035)); #552139=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #552140=CARTESIAN_POINT('Origin',(41.842603,28.2714,0.)); #552141=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #552142=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #552143=CARTESIAN_POINT('',(41.842603,28.2714,0.035)); #552144=CARTESIAN_POINT('',(41.842603,28.2714,0.035)); #552145=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #552146=CARTESIAN_POINT('Origin',(41.8712,28.265831,0.)); #552147=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #552148=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #552149=CARTESIAN_POINT('',(41.8712,28.265831,0.035)); #552150=CARTESIAN_POINT('',(41.8712,28.265831,0.035)); #552151=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #552152=CARTESIAN_POINT('Origin',(41.896484,28.249081,0.)); #552153=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #552154=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #552155=CARTESIAN_POINT('',(41.896484,28.249081,0.035)); #552156=CARTESIAN_POINT('',(41.896484,28.249081,0.035)); #552157=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #552158=CARTESIAN_POINT('Origin',(42.024884,28.120681,0.)); #552159=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #552160=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #552161=CARTESIAN_POINT('',(42.024884,28.120681,0.035)); #552162=CARTESIAN_POINT('',(42.024884,28.120681,0.035)); #552163=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #552164=CARTESIAN_POINT('Origin',(42.040184,28.098744,0.)); #552165=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #552166=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #552167=CARTESIAN_POINT('',(42.040184,28.098744,0.035)); #552168=CARTESIAN_POINT('',(42.040184,28.098744,0.035)); #552169=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #552170=CARTESIAN_POINT('Origin',(42.047166,28.069228,0.)); #552171=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #552172=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #552173=CARTESIAN_POINT('',(42.047166,28.069228,0.035)); #552174=CARTESIAN_POINT('',(42.047166,28.069228,0.035)); #552175=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #552176=CARTESIAN_POINT('Origin',(42.042081,28.039331,0.)); #552177=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #552178=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #552179=CARTESIAN_POINT('',(42.042081,28.039331,0.035)); #552180=CARTESIAN_POINT('',(42.042081,28.039331,0.035)); #552181=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #552182=CARTESIAN_POINT('Origin',(42.025738,28.013784,0.)); #552183=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #552184=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #552185=CARTESIAN_POINT('',(42.025738,28.013784,0.035)); #552186=CARTESIAN_POINT('',(42.025738,28.013784,0.035)); #552187=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #552188=CARTESIAN_POINT('Origin',(42.000725,27.996634,0.)); #552189=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #552190=CARTESIAN_POINT('',(42.000725,27.996634,0.035)); #552191=CARTESIAN_POINT('Origin',(40.0557562163289,28.1290742925589,0.035)); #552192=CARTESIAN_POINT('Origin',(40.0557562163289,28.1290742925589,0.)); #552193=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #552194=CARTESIAN_POINT('',(40.86,25.25,0.)); #552195=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #552196=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #552197=CARTESIAN_POINT('',(40.86,25.25,-200.)); #552198=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #552199=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #552200=CARTESIAN_POINT('',(32.36,18.5,0.)); #552201=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #552202=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #552203=CARTESIAN_POINT('',(32.36,18.5,-200.)); #552204=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #552205=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #552206=CARTESIAN_POINT('',(33.11,48.5,0.)); #552207=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #552208=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #552209=CARTESIAN_POINT('',(33.11,48.5,-200.)); #552210=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #552211=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #552212=CARTESIAN_POINT('',(33.11,50.,0.)); #552213=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #552214=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #552215=CARTESIAN_POINT('',(33.11,50.,-200.)); #552216=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #552217=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #552218=CARTESIAN_POINT('',(33.11,18.5,0.)); #552219=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #552220=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #552221=CARTESIAN_POINT('',(33.11,18.5,-200.)); #552222=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #552223=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #552224=CARTESIAN_POINT('',(33.11,49.25,0.)); #552225=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #552226=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #552227=CARTESIAN_POINT('',(33.11,49.25,-200.)); #552228=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #552229=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #552230=CARTESIAN_POINT('',(11.785,34.,0.)); #552231=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #552232=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #552233=CARTESIAN_POINT('',(11.785,34.,-200.)); #552234=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #552235=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #552236=CARTESIAN_POINT('',(34.61,48.5,0.)); #552237=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #552238=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #552239=CARTESIAN_POINT('',(34.61,48.5,-200.)); #552240=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #552241=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #552242=CARTESIAN_POINT('',(34.61,18.5,0.)); #552243=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #552244=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #552245=CARTESIAN_POINT('',(34.61,18.5,-200.)); #552246=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #552247=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #552248=CARTESIAN_POINT('',(33.86,48.5,0.)); #552249=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #552250=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #552251=CARTESIAN_POINT('',(33.86,48.5,-200.)); #552252=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #552253=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #552254=CARTESIAN_POINT('',(34.61,50.,0.)); #552255=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #552256=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #552257=CARTESIAN_POINT('',(34.61,50.,-200.)); #552258=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #552259=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #552260=CARTESIAN_POINT('',(33.86,18.5,0.)); #552261=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #552262=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #552263=CARTESIAN_POINT('',(33.86,18.5,-200.)); #552264=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #552265=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #552266=CARTESIAN_POINT('',(33.86,50.,0.)); #552267=CARTESIAN_POINT('Origin',(34.,50.,0.)); #552268=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #552269=CARTESIAN_POINT('',(33.86,50.,-200.)); #552270=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #552271=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #552272=CARTESIAN_POINT('',(23.735,34.,0.)); #552273=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #552274=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #552275=CARTESIAN_POINT('',(23.735,34.,-200.)); #552276=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #552277=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #552278=CARTESIAN_POINT('',(34.61,16.25,0.)); #552279=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #552280=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #552281=CARTESIAN_POINT('',(34.61,16.25,-200.)); #552282=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #552283=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #552284=CARTESIAN_POINT('',(31.61,18.5,0.)); #552285=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #552286=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #552287=CARTESIAN_POINT('',(31.61,18.5,-200.)); #552288=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #552289=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #552290=CARTESIAN_POINT('',(33.11,16.25,0.)); #552291=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #552292=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #552293=CARTESIAN_POINT('',(33.11,16.25,-200.)); #552294=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #552295=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #552296=CARTESIAN_POINT('',(31.61,19.25,0.)); #552297=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #552298=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #552299=CARTESIAN_POINT('',(31.61,19.25,-200.)); #552300=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #552301=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #552302=CARTESIAN_POINT('',(35.36,17.75,0.)); #552303=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #552304=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #552305=CARTESIAN_POINT('',(35.36,17.75,-200.)); #552306=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #552307=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #552308=CARTESIAN_POINT('',(34.61,49.25,0.)); #552309=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #552310=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #552311=CARTESIAN_POINT('',(34.61,49.25,-200.)); #552312=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #552313=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #552314=CARTESIAN_POINT('',(35.36,18.5,0.)); #552315=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #552316=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #552317=CARTESIAN_POINT('',(35.36,18.5,-200.)); #552318=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #552319=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #552320=CARTESIAN_POINT('',(33.86,49.25,0.)); #552321=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #552322=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #552323=CARTESIAN_POINT('',(33.86,49.25,-200.)); #552324=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #552325=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #552326=CARTESIAN_POINT('',(10.11,33.25,0.)); #552327=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #552328=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #552329=CARTESIAN_POINT('',(10.11,33.25,-200.)); #552330=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #552331=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #552332=CARTESIAN_POINT('',(34.61,14.75,0.)); #552333=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #552334=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #552335=CARTESIAN_POINT('',(34.61,14.75,-200.)); #552336=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #552337=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #552338=CARTESIAN_POINT('',(9.36,33.25,0.)); #552339=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #552340=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #552341=CARTESIAN_POINT('',(9.36,33.25,-200.)); #552342=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #552343=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #552344=CARTESIAN_POINT('',(34.61,17.,0.)); #552345=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #552346=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #552347=CARTESIAN_POINT('',(34.61,17.,-200.)); #552348=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #552349=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #552350=CARTESIAN_POINT('',(10.11,34.,0.)); #552351=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #552352=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #552353=CARTESIAN_POINT('',(10.11,34.,-200.)); #552354=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #552355=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #552356=CARTESIAN_POINT('',(35.36,14.75,0.)); #552357=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #552358=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #552359=CARTESIAN_POINT('',(35.36,14.75,-200.)); #552360=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #552361=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #552362=CARTESIAN_POINT('',(9.36,34.,0.)); #552363=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #552364=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #552365=CARTESIAN_POINT('',(9.36,34.,-200.)); #552366=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #552367=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #552368=CARTESIAN_POINT('',(57.61,33.25,0.)); #552369=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #552370=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #552371=CARTESIAN_POINT('',(57.61,33.25,-200.)); #552372=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #552373=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #552374=CARTESIAN_POINT('',(36.11,15.5,0.)); #552375=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #552376=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #552377=CARTESIAN_POINT('',(36.11,15.5,-200.)); #552378=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #552379=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #552380=CARTESIAN_POINT('',(61.86,33.25,0.)); #552381=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #552382=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #552383=CARTESIAN_POINT('',(61.86,33.25,-200.)); #552384=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #552385=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #552386=CARTESIAN_POINT('',(33.86,17.75,0.)); #552387=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #552388=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #552389=CARTESIAN_POINT('',(33.86,17.75,-200.)); #552390=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #552391=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #552392=CARTESIAN_POINT('',(57.61,34.,0.)); #552393=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #552394=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #552395=CARTESIAN_POINT('',(57.61,34.,-200.)); #552396=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #552397=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #552398=CARTESIAN_POINT('',(36.11,14.75,0.)); #552399=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #552400=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #552401=CARTESIAN_POINT('',(36.11,14.75,-200.)); #552402=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #552403=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #552404=CARTESIAN_POINT('',(57.61,34.75,0.)); #552405=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #552406=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #552407=CARTESIAN_POINT('',(57.61,34.75,-200.)); #552408=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #552409=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #552410=CARTESIAN_POINT('',(61.11,33.25,0.)); #552411=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #552412=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #552413=CARTESIAN_POINT('',(61.11,33.25,-200.)); #552414=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #552415=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #552416=CARTESIAN_POINT('',(36.11,17.75,0.)); #552417=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #552418=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #552419=CARTESIAN_POINT('',(36.11,17.75,-200.)); #552420=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #552421=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #552422=CARTESIAN_POINT('',(58.36,33.25,0.)); #552423=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #552424=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #552425=CARTESIAN_POINT('',(58.36,33.25,-200.)); #552426=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #552427=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #552428=CARTESIAN_POINT('',(58.36,34.,0.)); #552429=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #552430=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #552431=CARTESIAN_POINT('',(58.36,34.,-200.)); #552432=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #552433=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #552434=CARTESIAN_POINT('',(36.11,18.5,0.)); #552435=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #552436=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #552437=CARTESIAN_POINT('',(36.11,18.5,-200.)); #552438=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #552439=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #552440=CARTESIAN_POINT('',(58.36,34.75,0.)); #552441=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #552442=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #552443=CARTESIAN_POINT('',(58.36,34.75,-200.)); #552444=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #552445=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #552446=CARTESIAN_POINT('',(61.11,34.75,0.)); #552447=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #552448=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #552449=CARTESIAN_POINT('',(61.11,34.75,-200.)); #552450=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #552451=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #552452=CARTESIAN_POINT('',(36.11,17.,0.)); #552453=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #552454=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #552455=CARTESIAN_POINT('',(36.11,17.,-200.)); #552456=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #552457=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #552458=CARTESIAN_POINT('',(61.11,34.,0.)); #552459=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #552460=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #552461=CARTESIAN_POINT('',(61.11,34.,-200.)); #552462=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #552463=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #552464=CARTESIAN_POINT('',(33.86,17.,0.)); #552465=CARTESIAN_POINT('Origin',(34.,17.,0.)); #552466=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #552467=CARTESIAN_POINT('',(33.86,17.,-200.)); #552468=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #552469=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #552470=CARTESIAN_POINT('',(61.86,34.75,0.)); #552471=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #552472=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #552473=CARTESIAN_POINT('',(61.86,34.75,-200.)); #552474=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #552475=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #552476=CARTESIAN_POINT('',(36.11,16.25,0.)); #552477=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #552478=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #552479=CARTESIAN_POINT('',(36.11,16.25,-200.)); #552480=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #552481=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #552482=CARTESIAN_POINT('',(61.86,34.,0.)); #552483=CARTESIAN_POINT('Origin',(62.,34.,0.)); #552484=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #552485=CARTESIAN_POINT('',(61.86,34.,-200.)); #552486=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #552487=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #552488=CARTESIAN_POINT('',(6.36,34.75,0.)); #552489=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #552490=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #552491=CARTESIAN_POINT('',(6.36,34.75,-200.)); #552492=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #552493=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #552494=CARTESIAN_POINT('',(31.61,14.75,0.)); #552495=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #552496=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #552497=CARTESIAN_POINT('',(31.61,14.75,-200.)); #552498=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #552499=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #552500=CARTESIAN_POINT('',(6.36,34.,0.)); #552501=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #552502=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #552503=CARTESIAN_POINT('',(6.36,34.,-200.)); #552504=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #552505=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #552506=CARTESIAN_POINT('',(33.11,17.,0.)); #552507=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #552508=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #552509=CARTESIAN_POINT('',(33.11,17.,-200.)); #552510=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #552511=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #552512=CARTESIAN_POINT('',(7.11,33.25,0.)); #552513=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #552514=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #552515=CARTESIAN_POINT('',(7.11,33.25,-200.)); #552516=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #552517=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #552518=CARTESIAN_POINT('',(32.36,14.75,0.)); #552519=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #552520=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #552521=CARTESIAN_POINT('',(32.36,14.75,-200.)); #552522=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #552523=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #552524=CARTESIAN_POINT('',(6.36,33.25,0.)); #552525=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #552526=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #552527=CARTESIAN_POINT('',(6.36,33.25,-200.)); #552528=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #552529=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #552530=CARTESIAN_POINT('',(9.36,34.75,0.)); #552531=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #552532=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #552533=CARTESIAN_POINT('',(9.36,34.75,-200.)); #552534=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #552535=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #552536=CARTESIAN_POINT('',(33.86,14.75,0.)); #552537=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #552538=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #552539=CARTESIAN_POINT('',(33.86,14.75,-200.)); #552540=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #552541=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #552542=CARTESIAN_POINT('',(10.11,34.75,0.)); #552543=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #552544=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #552545=CARTESIAN_POINT('',(10.11,34.75,-200.)); #552546=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #552547=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #552548=CARTESIAN_POINT('',(33.86,16.25,0.)); #552549=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #552550=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #552551=CARTESIAN_POINT('',(33.86,16.25,-200.)); #552552=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #552553=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #552554=CARTESIAN_POINT('',(7.11,34.75,0.)); #552555=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #552556=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #552557=CARTESIAN_POINT('',(7.11,34.75,-200.)); #552558=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #552559=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #552560=CARTESIAN_POINT('',(33.11,14.75,0.)); #552561=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #552562=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #552563=CARTESIAN_POINT('',(33.11,14.75,-200.)); #552564=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #552565=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #552566=CARTESIAN_POINT('',(7.11,34.,0.)); #552567=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #552568=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #552569=CARTESIAN_POINT('',(7.11,34.,-200.)); #552570=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #552571=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #552572=CARTESIAN_POINT('',(55.285,34.,0.)); #552573=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #552574=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #552575=CARTESIAN_POINT('',(55.285,34.,-200.)); #552576=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #552577=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #552578=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #552579=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #552580=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #552581=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #552582=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #552583=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #552584=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #552585=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #552586=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #552587=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #552588=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #552589=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #552590=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #552591=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #552592=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #552593=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #552594=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #552595=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #552596=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #552597=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #552598=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #552599=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #552600=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #552601=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #552602=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #552603=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #552604=CARTESIAN_POINT('',(31.7250000412383,34.565,0.0350000000000072)); #552605=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #552606=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #552607=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #552608=CARTESIAN_POINT('',(31.7250000412383,34.565,0.)); #552609=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #552610=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #552611=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #552612=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #552613=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #552614=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #552615=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #552616=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #552617=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #552618=CARTESIAN_POINT('',(31.4249999958209,33.435,0.0350000000000072)); #552619=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #552620=CARTESIAN_POINT('',(31.4249999958209,33.435,0.)); #552621=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #552622=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #552623=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #552624=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #552625=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #552626=CARTESIAN_POINT('',(31.61,16.25,0.)); #552627=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #552628=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #552629=CARTESIAN_POINT('',(31.61,16.25,-200.)); #552630=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #552631=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #552632=CARTESIAN_POINT('',(30.685,7.6,0.)); #552633=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #552634=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #552635=CARTESIAN_POINT('',(30.685,7.6,-200.)); #552636=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #552637=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #552638=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #552639=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #552640=CARTESIAN_POINT('',(36.5750000412383,34.565,0.0350000000000072)); #552641=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #552642=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #552643=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #552644=CARTESIAN_POINT('',(36.5750000412383,34.565,0.)); #552645=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #552646=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #552647=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #552648=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #552649=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #552650=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #552651=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #552652=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #552653=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #552654=CARTESIAN_POINT('',(36.2749999958209,33.435,0.0350000000000072)); #552655=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #552656=CARTESIAN_POINT('',(36.2749999958209,33.435,0.)); #552657=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #552658=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #552659=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #552660=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #552661=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #552662=CARTESIAN_POINT('',(34.61,17.75,0.)); #552663=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #552664=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #552665=CARTESIAN_POINT('',(34.61,17.75,-200.)); #552666=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #552667=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #552668=CARTESIAN_POINT('',(37.085,7.1,0.)); #552669=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #552670=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #552671=CARTESIAN_POINT('',(37.085,7.1,-200.)); #552672=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #552673=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #552674=CARTESIAN_POINT('',(31.61,15.5,0.)); #552675=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #552676=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #552677=CARTESIAN_POINT('',(31.61,15.5,-200.)); #552678=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #552679=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #552680=CARTESIAN_POINT('',(37.085,7.6,0.)); #552681=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #552682=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #552683=CARTESIAN_POINT('',(37.085,7.6,-200.)); #552684=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #552685=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #552686=CARTESIAN_POINT('',(30.685,7.1,0.)); #552687=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #552688=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #552689=CARTESIAN_POINT('',(30.685,7.1,-200.)); #552690=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #552691=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #552692=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #552693=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #552694=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #552695=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #552696=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #552697=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #552698=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #552699=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #552700=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #552701=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #552702=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #552703=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #552704=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #552705=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #552706=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #552707=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #552708=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #552709=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #552710=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #552711=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #552712=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #552713=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #552714=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #552715=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #552716=CARTESIAN_POINT('',(31.61,17.,0.)); #552717=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #552718=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #552719=CARTESIAN_POINT('',(31.61,17.,-200.)); #552720=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #552721=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #552722=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #552723=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #552724=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #552725=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #552726=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #552727=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #552728=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #552729=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #552730=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #552731=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #552732=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #552733=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #552734=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #552735=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #552736=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #552737=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #552738=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #552739=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #552740=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #552741=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #552742=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #552743=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #552744=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #552745=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #552746=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #552747=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #552748=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #552749=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #552750=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #552751=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #552752=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #552753=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #552754=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #552755=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #552756=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #552757=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #552758=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #552759=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #552760=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #552761=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #552762=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #552763=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #552764=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #552765=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #552766=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #552767=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #552768=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #552769=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #552770=CARTESIAN_POINT('',(33.11,17.75,0.)); #552771=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #552772=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #552773=CARTESIAN_POINT('',(33.11,17.75,-200.)); #552774=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #552775=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #552776=CARTESIAN_POINT('',(31.61,17.75,0.)); #552777=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #552778=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #552779=CARTESIAN_POINT('',(31.61,17.75,-200.)); #552780=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #552781=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #552782=CARTESIAN_POINT('',(55.11,21.75,0.)); #552783=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #552784=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #552785=CARTESIAN_POINT('',(55.11,21.75,-200.)); #552786=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #552787=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #552788=CARTESIAN_POINT('',(35.36,15.5,0.)); #552789=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #552790=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #552791=CARTESIAN_POINT('',(35.36,15.5,-200.)); #552792=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #552793=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #552794=CARTESIAN_POINT('',(55.11,21.,0.)); #552795=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #552796=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #552797=CARTESIAN_POINT('',(55.11,21.,-200.)); #552798=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #552799=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #552800=CARTESIAN_POINT('',(39.86,38.75,0.)); #552801=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #552802=CARTESIAN_POINT('',(39.86,38.75,0.0349999999999895)); #552803=CARTESIAN_POINT('',(39.86,38.75,-200.)); #552804=CARTESIAN_POINT('Origin',(40.,38.75,0.0349999999999895)); #552805=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #552806=CARTESIAN_POINT('',(34.61,15.5,0.)); #552807=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #552808=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #552809=CARTESIAN_POINT('',(34.61,15.5,-200.)); #552810=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #552811=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #552812=CARTESIAN_POINT('',(39.86,39.5,0.)); #552813=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #552814=CARTESIAN_POINT('',(39.86,39.5,0.0349999999999895)); #552815=CARTESIAN_POINT('',(39.86,39.5,-200.)); #552816=CARTESIAN_POINT('Origin',(40.,39.5,0.0349999999999895)); #552817=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #552818=CARTESIAN_POINT('',(27.86,38.75,0.)); #552819=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #552820=CARTESIAN_POINT('',(27.86,38.75,0.0349999999999895)); #552821=CARTESIAN_POINT('',(27.86,38.75,-200.)); #552822=CARTESIAN_POINT('Origin',(28.,38.75,0.0349999999999895)); #552823=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #552824=CARTESIAN_POINT('',(33.11,15.5,0.)); #552825=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #552826=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #552827=CARTESIAN_POINT('',(33.11,15.5,-200.)); #552828=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #552829=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #552830=CARTESIAN_POINT('',(27.86,38.,0.)); #552831=CARTESIAN_POINT('Origin',(28.,38.,0.)); #552832=CARTESIAN_POINT('',(27.86,38.,0.0349999999999895)); #552833=CARTESIAN_POINT('',(27.86,38.,-200.)); #552834=CARTESIAN_POINT('Origin',(28.,38.,0.0349999999999895)); #552835=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #552836=CARTESIAN_POINT('',(27.86,39.5,0.)); #552837=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #552838=CARTESIAN_POINT('',(27.86,39.5,0.0349999999999895)); #552839=CARTESIAN_POINT('',(27.86,39.5,-200.)); #552840=CARTESIAN_POINT('Origin',(28.,39.5,0.0349999999999895)); #552841=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #552842=CARTESIAN_POINT('',(33.86,15.5,0.)); #552843=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #552844=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #552845=CARTESIAN_POINT('',(33.86,15.5,-200.)); #552846=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #552847=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #552848=CARTESIAN_POINT('',(39.86,38.,0.)); #552849=CARTESIAN_POINT('Origin',(40.,38.,0.)); #552850=CARTESIAN_POINT('',(39.86,38.,0.0349999999999895)); #552851=CARTESIAN_POINT('',(39.86,38.,-200.)); #552852=CARTESIAN_POINT('Origin',(40.,38.,0.0349999999999895)); #552853=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #552854=CARTESIAN_POINT('',(43.235,34.,0.)); #552855=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #552856=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #552857=CARTESIAN_POINT('',(43.235,34.,-200.)); #552858=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #552859=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #552860=CARTESIAN_POINT('',(40.86,24.5,0.)); #552861=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #552862=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #552863=CARTESIAN_POINT('',(40.86,24.5,-200.)); #552864=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #552865=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #552866=CARTESIAN_POINT('',(32.36,17.,0.)); #552867=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #552868=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #552869=CARTESIAN_POINT('',(32.36,17.,-200.)); #552870=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #552871=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #552872=CARTESIAN_POINT('',(40.86,26.,0.)); #552873=CARTESIAN_POINT('Origin',(41.,26.,0.)); #552874=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #552875=CARTESIAN_POINT('',(40.86,26.,-200.)); #552876=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #552877=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #552878=CARTESIAN_POINT('',(41.61,25.25,0.)); #552879=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #552880=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #552881=CARTESIAN_POINT('',(41.61,25.25,-200.)); #552882=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #552883=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #552884=CARTESIAN_POINT('',(32.36,17.75,0.)); #552885=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #552886=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #552887=CARTESIAN_POINT('',(32.36,17.75,-200.)); #552888=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #552889=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #552890=CARTESIAN_POINT('',(41.61,26.,0.)); #552891=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #552892=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #552893=CARTESIAN_POINT('',(41.61,26.,-200.)); #552894=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #552895=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #552896=CARTESIAN_POINT('',(42.36,24.5,0.)); #552897=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #552898=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #552899=CARTESIAN_POINT('',(42.36,24.5,-200.)); #552900=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #552901=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #552902=CARTESIAN_POINT('',(32.36,16.25,0.)); #552903=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #552904=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #552905=CARTESIAN_POINT('',(32.36,16.25,-200.)); #552906=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #552907=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #552908=CARTESIAN_POINT('',(41.61,24.5,0.)); #552909=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #552910=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #552911=CARTESIAN_POINT('',(41.61,24.5,-200.)); #552912=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #552913=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #552914=CARTESIAN_POINT('',(42.36,25.25,0.)); #552915=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #552916=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #552917=CARTESIAN_POINT('',(42.36,25.25,-200.)); #552918=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #552919=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #552920=CARTESIAN_POINT('',(32.36,15.5,0.)); #552921=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #552922=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #552923=CARTESIAN_POINT('',(32.36,15.5,-200.)); #552924=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #552925=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #552926=CARTESIAN_POINT('',(42.36,26.,0.)); #552927=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #552928=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #552929=CARTESIAN_POINT('',(42.36,26.,-200.)); #552930=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #552931=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #552932=CARTESIAN_POINT('',(37.245,45.,0.)); #552933=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #552934=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #552935=CARTESIAN_POINT('',(37.245,45.,-200.)); #552936=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #552937=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #552938=CARTESIAN_POINT('',(12.61,21.,0.)); #552939=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #552940=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #552941=CARTESIAN_POINT('',(12.61,21.,-200.)); #552942=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #552943=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #552944=CARTESIAN_POINT('',(33.11,19.25,0.)); #552945=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #552946=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #552947=CARTESIAN_POINT('',(33.11,19.25,-200.)); #552948=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #552949=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #552950=CARTESIAN_POINT('',(55.86,20.25,0.)); #552951=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #552952=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #552953=CARTESIAN_POINT('',(55.86,20.25,-200.)); #552954=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #552955=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #552956=CARTESIAN_POINT('',(55.86,21.75,0.)); #552957=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #552958=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #552959=CARTESIAN_POINT('',(55.86,21.75,-200.)); #552960=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #552961=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #552962=CARTESIAN_POINT('',(32.36,19.25,0.)); #552963=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #552964=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #552965=CARTESIAN_POINT('',(32.36,19.25,-200.)); #552966=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #552967=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #552968=CARTESIAN_POINT('',(55.86,21.,0.)); #552969=CARTESIAN_POINT('Origin',(56.,21.,0.)); #552970=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #552971=CARTESIAN_POINT('',(55.86,21.,-200.)); #552972=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #552973=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #552974=CARTESIAN_POINT('',(54.36,20.25,0.)); #552975=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #552976=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #552977=CARTESIAN_POINT('',(54.36,20.25,-200.)); #552978=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #552979=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #552980=CARTESIAN_POINT('',(35.36,16.25,0.)); #552981=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #552982=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #552983=CARTESIAN_POINT('',(35.36,16.25,-200.)); #552984=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #552985=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #552986=CARTESIAN_POINT('',(55.11,20.25,0.)); #552987=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #552988=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #552989=CARTESIAN_POINT('',(55.11,20.25,-200.)); #552990=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #552991=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #552992=CARTESIAN_POINT('',(54.36,21.,0.)); #552993=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #552994=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #552995=CARTESIAN_POINT('',(54.36,21.,-200.)); #552996=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #552997=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #552998=CARTESIAN_POINT('',(35.36,17.,0.)); #552999=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #553000=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #553001=CARTESIAN_POINT('',(35.36,17.,-200.)); #553002=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #553003=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #553004=CARTESIAN_POINT('',(54.36,21.75,0.)); #553005=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #553006=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #553007=CARTESIAN_POINT('',(54.36,21.75,-200.)); #553008=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #553009=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #553010=CARTESIAN_POINT('',(11.86,21.,0.)); #553011=CARTESIAN_POINT('Origin',(12.,21.,0.)); #553012=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #553013=CARTESIAN_POINT('',(11.86,21.,-200.)); #553014=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #553015=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #553016=CARTESIAN_POINT('',(34.61,19.25,0.)); #553017=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #553018=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #553019=CARTESIAN_POINT('',(34.61,19.25,-200.)); #553020=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #553021=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #553022=CARTESIAN_POINT('',(11.86,20.25,0.)); #553023=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #553024=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #553025=CARTESIAN_POINT('',(11.86,20.25,-200.)); #553026=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #553027=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #553028=CARTESIAN_POINT('',(12.61,21.75,0.)); #553029=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #553030=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #553031=CARTESIAN_POINT('',(12.61,21.75,-200.)); #553032=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #553033=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #553034=CARTESIAN_POINT('',(33.86,19.25,0.)); #553035=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #553036=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #553037=CARTESIAN_POINT('',(33.86,19.25,-200.)); #553038=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #553039=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #553040=CARTESIAN_POINT('',(12.61,20.25,0.)); #553041=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #553042=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #553043=CARTESIAN_POINT('',(12.61,20.25,-200.)); #553044=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #553045=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #553046=CARTESIAN_POINT('',(13.36,21.75,0.)); #553047=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #553048=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #553049=CARTESIAN_POINT('',(13.36,21.75,-200.)); #553050=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #553051=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #553052=CARTESIAN_POINT('',(35.36,19.25,0.)); #553053=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #553054=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #553055=CARTESIAN_POINT('',(35.36,19.25,-200.)); #553056=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #553057=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #553058=CARTESIAN_POINT('',(11.86,21.75,0.)); #553059=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #553060=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #553061=CARTESIAN_POINT('',(11.86,21.75,-200.)); #553062=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #553063=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #553064=CARTESIAN_POINT('',(13.36,21.,0.)); #553065=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #553066=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #553067=CARTESIAN_POINT('',(13.36,21.,-200.)); #553068=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #553069=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #553070=CARTESIAN_POINT('',(36.11,19.25,0.)); #553071=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #553072=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #553073=CARTESIAN_POINT('',(36.11,19.25,-200.)); #553074=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #553075=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #553076=CARTESIAN_POINT('',(13.36,20.25,0.)); #553077=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #553078=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #553079=CARTESIAN_POINT('',(13.36,20.25,-200.)); #553080=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #553081=CARTESIAN_POINT('Origin',(31.1,6.67,0.)); #553082=CARTESIAN_POINT('',(31.1,6.67,0.)); #553083=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #553084=CARTESIAN_POINT('',(31.1,6.67,0.)); #553085=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #553086=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #553087=CARTESIAN_POINT('',(31.1,6.67,0.035)); #553088=CARTESIAN_POINT('',(31.1,6.67,0.035)); #553089=CARTESIAN_POINT('',(31.1,6.67,0.)); #553090=CARTESIAN_POINT('Origin',(30.716116,6.67,0.)); #553091=CARTESIAN_POINT('',(30.716116,6.67,0.)); #553092=CARTESIAN_POINT('',(30.716116,6.67,0.)); #553093=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #553094=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #553095=CARTESIAN_POINT('',(30.716116,6.67,0.)); #553096=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #553097=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #553098=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #553099=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #553100=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #553101=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #553102=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #553103=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #553104=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #553105=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #553106=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #553107=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #553108=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #553109=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #553110=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #553111=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #553112=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #553113=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #553114=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #553115=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #553116=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #553117=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #553118=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #553119=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #553120=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #553121=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #553122=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #553123=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #553124=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #553125=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #553126=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #553127=CARTESIAN_POINT('',(30.985678,5.555,0.)); #553128=CARTESIAN_POINT('',(30.985678,5.555,0.)); #553129=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #553130=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #553131=CARTESIAN_POINT('',(30.985678,5.555,0.)); #553132=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #553133=CARTESIAN_POINT('',(31.234322,5.555,0.)); #553134=CARTESIAN_POINT('',(31.234322,5.555,0.)); #553135=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #553136=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #553137=CARTESIAN_POINT('',(31.234322,5.555,0.)); #553138=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #553139=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #553140=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #553141=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #553142=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #553143=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #553144=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #553145=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #553146=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #553147=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #553148=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #553149=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #553150=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #553151=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #553152=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #553153=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #553154=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #553155=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #553156=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #553157=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #553158=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #553159=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #553160=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #553161=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #553162=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #553163=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #553164=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #553165=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #553166=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #553167=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #553168=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #553169=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #553170=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #553171=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #553172=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #553173=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #553174=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #553175=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #553176=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #553177=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #553178=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #553179=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #553180=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #553181=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #553182=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #553183=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #553184=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #553185=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #553186=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #553187=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #553188=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #553189=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #553190=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #553191=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #553192=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #553193=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #553194=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #553195=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #553196=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #553197=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #553198=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #553199=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #553200=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #553201=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #553202=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #553203=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #553204=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #553205=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #553206=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #553207=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #553208=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #553209=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #553210=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #553211=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #553212=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #553213=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #553214=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #553215=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #553216=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #553217=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #553218=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #553219=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #553220=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #553221=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #553222=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #553223=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #553224=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #553225=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #553226=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #553227=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #553228=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #553229=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #553230=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #553231=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #553232=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #553233=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #553234=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #553235=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #553236=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #553237=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #553238=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #553239=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #553240=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #553241=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #553242=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #553243=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #553244=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #553245=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #553246=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #553247=CARTESIAN_POINT('',(36.765678,5.555,0.)); #553248=CARTESIAN_POINT('',(36.765678,5.555,0.)); #553249=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #553250=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #553251=CARTESIAN_POINT('',(36.765678,5.555,0.)); #553252=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #553253=CARTESIAN_POINT('',(37.014322,5.555,0.)); #553254=CARTESIAN_POINT('',(37.014322,5.555,0.)); #553255=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #553256=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #553257=CARTESIAN_POINT('',(37.014322,5.555,0.)); #553258=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #553259=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #553260=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #553261=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #553262=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #553263=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #553264=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #553265=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #553266=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #553267=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #553268=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #553269=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #553270=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #553271=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #553272=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #553273=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #553274=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #553275=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #553276=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #553277=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #553278=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #553279=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #553280=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #553281=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #553282=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #553283=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #553284=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #553285=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #553286=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #553287=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #553288=CARTESIAN_POINT('Origin',(37.283884,6.67,0.)); #553289=CARTESIAN_POINT('',(37.283884,6.67,0.)); #553290=CARTESIAN_POINT('',(37.283884,6.67,0.)); #553291=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #553292=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #553293=CARTESIAN_POINT('',(37.283884,6.67,0.)); #553294=CARTESIAN_POINT('Origin',(36.9,6.67,0.)); #553295=CARTESIAN_POINT('',(36.9,6.67,0.)); #553296=CARTESIAN_POINT('',(36.9,6.67,0.)); #553297=CARTESIAN_POINT('',(36.9,6.67,0.035)); #553298=CARTESIAN_POINT('',(36.9,6.67,0.035)); #553299=CARTESIAN_POINT('',(36.9,6.67,0.)); #553300=CARTESIAN_POINT('Origin',(36.9,6.8140438,0.)); #553301=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #553302=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #553303=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #553304=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #553305=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #553306=CARTESIAN_POINT('Origin',(36.880584,6.8264656,0.)); #553307=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #553308=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #553309=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #553310=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #553311=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #553312=CARTESIAN_POINT('Origin',(36.863434,6.8514781,0.)); #553313=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #553314=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #553315=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #553316=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #553317=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #553318=CARTESIAN_POINT('Origin',(36.8574,6.8812,0.)); #553319=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #553320=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #553321=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #553322=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #553323=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #553324=CARTESIAN_POINT('Origin',(36.8574,7.8222,0.)); #553325=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #553326=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #553327=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #553328=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #553329=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #553330=CARTESIAN_POINT('Origin',(36.862522,7.8496688,0.)); #553331=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #553332=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #553333=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #553334=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #553335=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #553336=CARTESIAN_POINT('Origin',(36.878866,7.8752156,0.)); #553337=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #553338=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #553339=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #553340=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #553341=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #553342=CARTESIAN_POINT('Origin',(36.903878,7.8923656,0.)); #553343=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #553344=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #553345=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #553346=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #553347=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #553348=CARTESIAN_POINT('Origin',(36.9336,7.8984,0.)); #553349=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #553350=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #553351=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #553352=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #553353=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #553354=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #553355=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #553356=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #553357=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #553358=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #553359=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #553360=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #553361=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #553362=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #553363=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #553364=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #553365=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #553366=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #553367=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #553368=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #553369=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #553370=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #553371=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #553372=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #553373=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #553374=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #553375=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #553376=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #553377=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #553378=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #553379=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #553380=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #553381=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #553382=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #553383=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #553384=CARTESIAN_POINT('Origin',(37.818978,8.4252906,0.)); #553385=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #553386=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #553387=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #553388=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #553389=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #553390=CARTESIAN_POINT('Origin',(37.834997,8.4451313,0.)); #553391=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #553392=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #553393=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #553394=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #553395=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #553396=CARTESIAN_POINT('Origin',(37.844647,8.4642719,0.)); #553397=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #553398=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #553399=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #553400=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #553401=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #553402=CARTESIAN_POINT('Origin',(37.850359,8.4861844,0.)); #553403=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #553404=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #553405=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #553406=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #553407=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #553408=CARTESIAN_POINT('Origin',(37.8516,8.5028469,0.)); #553409=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #553410=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #553411=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #553412=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #553413=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #553414=CARTESIAN_POINT('Origin',(37.8516,9.9959531,0.)); #553415=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #553416=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #553417=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #553418=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #553419=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #553420=CARTESIAN_POINT('Origin',(37.8489,10.021309,0.)); #553421=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #553422=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #553423=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #553424=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #553425=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #553426=CARTESIAN_POINT('Origin',(37.842194,10.041663,0.)); #553427=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #553428=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #553429=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #553430=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #553431=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #553432=CARTESIAN_POINT('Origin',(37.830731,10.061197,0.)); #553433=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #553434=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #553435=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #553436=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #553437=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #553438=CARTESIAN_POINT('Origin',(37.819822,10.073866,0.)); #553439=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #553440=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #553441=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #553442=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #553443=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #553444=CARTESIAN_POINT('Origin',(37.324709,10.568978,0.)); #553445=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #553446=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #553447=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #553448=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #553449=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #553450=CARTESIAN_POINT('Origin',(37.304869,10.584997,0.)); #553451=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #553452=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #553453=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #553454=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #553455=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #553456=CARTESIAN_POINT('Origin',(37.285728,10.594647,0.)); #553457=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #553458=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #553459=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #553460=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #553461=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #553462=CARTESIAN_POINT('Origin',(37.263816,10.600359,0.)); #553463=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #553464=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #553465=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #553466=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #553467=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #553468=CARTESIAN_POINT('Origin',(37.247153,10.6016,0.)); #553469=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #553470=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #553471=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #553472=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #553473=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #553474=CARTESIAN_POINT('Origin',(37.0036,10.6016,0.)); #553475=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #553476=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #553477=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #553478=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #553479=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #553480=CARTESIAN_POINT('Origin',(36.976131,10.606722,0.)); #553481=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #553482=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #553483=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #553484=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #553485=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #553486=CARTESIAN_POINT('Origin',(36.950584,10.623066,0.)); #553487=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #553488=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #553489=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #553490=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #553491=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #553492=CARTESIAN_POINT('Origin',(36.933434,10.648078,0.)); #553493=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #553494=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #553495=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #553496=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #553497=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #553498=CARTESIAN_POINT('Origin',(36.927594,10.676841,0.)); #553499=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #553500=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #553501=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #553502=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #553503=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #553504=CARTESIAN_POINT('Origin',(36.677034,10.9274,0.)); #553505=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #553506=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #553507=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #553508=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #553509=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #553510=CARTESIAN_POINT('Origin',(36.322966,10.9274,0.)); #553511=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #553512=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #553513=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #553514=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #553515=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #553516=CARTESIAN_POINT('Origin',(36.146484,10.750919,0.)); #553517=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #553518=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #553519=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #553520=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #553521=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #553522=CARTESIAN_POINT('Origin',(36.122325,10.734634,0.)); #553523=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #553524=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #553525=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #553526=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #553527=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #553528=CARTESIAN_POINT('Origin',(36.092603,10.7286,0.)); #553529=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #553530=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #553531=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #553532=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #553533=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #553534=CARTESIAN_POINT('Origin',(36.016997,10.7286,0.)); #553535=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #553536=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #553537=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #553538=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #553539=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #553540=CARTESIAN_POINT('Origin',(35.990666,10.733294,0.)); #553541=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #553542=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #553543=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #553544=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #553545=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #553546=CARTESIAN_POINT('Origin',(35.964859,10.749228,0.)); #553547=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #553548=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #553549=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #553550=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #553551=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #553552=CARTESIAN_POINT('Origin',(35.947316,10.773966,0.)); #553553=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #553554=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #553555=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #553556=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #553557=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #553558=CARTESIAN_POINT('Origin',(35.940806,10.803584,0.)); #553559=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #553560=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #553561=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #553562=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #553563=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #553564=CARTESIAN_POINT('Origin',(35.946366,10.833397,0.)); #553565=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #553566=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #553567=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #553568=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #553569=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #553570=CARTESIAN_POINT('Origin',(35.963116,10.858681,0.)); #553571=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #553572=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #553573=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #553574=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #553575=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #553576=CARTESIAN_POINT('Origin',(36.1774,11.072966,0.)); #553577=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #553578=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #553579=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #553580=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #553581=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #553582=CARTESIAN_POINT('Origin',(36.1774,11.427034,0.)); #553583=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #553584=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #553585=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #553586=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #553587=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #553588=CARTESIAN_POINT('Origin',(35.927034,11.6774,0.)); #553589=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #553590=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #553591=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #553592=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #553593=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #553594=CARTESIAN_POINT('Origin',(35.572966,11.6774,0.)); #553595=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #553596=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #553597=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #553598=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #553599=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #553600=CARTESIAN_POINT('Origin',(35.396484,11.500919,0.)); #553601=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #553602=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #553603=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #553604=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #553605=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #553606=CARTESIAN_POINT('Origin',(35.372325,11.484634,0.)); #553607=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #553608=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #553609=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #553610=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #553611=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #553612=CARTESIAN_POINT('Origin',(35.342603,11.4786,0.)); #553613=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #553614=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #553615=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #553616=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #553617=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #553618=CARTESIAN_POINT('Origin',(30.907397,11.4786,0.)); #553619=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #553620=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #553621=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #553622=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #553623=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #553624=CARTESIAN_POINT('Origin',(30.8788,11.484169,0.)); #553625=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #553626=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #553627=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #553628=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #553629=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #553630=CARTESIAN_POINT('Origin',(30.853516,11.500919,0.)); #553631=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #553632=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #553633=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #553634=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #553635=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #553636=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #553637=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #553638=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #553639=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #553640=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #553641=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #553642=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #553643=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #553644=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #553645=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #553646=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #553647=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #553648=CARTESIAN_POINT('Origin',(30.0726,11.427034,0.)); #553649=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #553650=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #553651=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #553652=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #553653=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #553654=CARTESIAN_POINT('Origin',(30.0726,11.072966,0.)); #553655=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #553656=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #553657=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #553658=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #553659=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #553660=CARTESIAN_POINT('Origin',(30.216684,10.928881,0.)); #553661=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #553662=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #553663=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #553664=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #553665=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #553666=CARTESIAN_POINT('Origin',(30.232484,10.905834,0.)); #553667=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #553668=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #553669=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #553670=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #553671=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #553672=CARTESIAN_POINT('Origin',(30.238994,10.876216,0.)); #553673=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #553674=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #553675=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #553676=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #553677=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #553678=CARTESIAN_POINT('Origin',(30.233434,10.846403,0.)); #553679=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #553680=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #553681=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #553682=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #553683=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #553684=CARTESIAN_POINT('Origin',(30.216684,10.821119,0.)); #553685=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #553686=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #553687=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #553688=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #553689=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #553690=CARTESIAN_POINT('Origin',(30.0726,10.677034,0.)); #553691=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #553692=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #553693=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #553694=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #553695=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #553696=CARTESIAN_POINT('Origin',(30.0726,10.322966,0.)); #553697=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #553698=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #553699=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #553700=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #553701=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #553702=CARTESIAN_POINT('Origin',(30.197059,10.198509,0.)); #553703=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #553704=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #553705=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #553706=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #553707=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #553708=CARTESIAN_POINT('Origin',(30.212859,10.175463,0.)); #553709=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #553710=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #553711=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #553712=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #553713=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #553714=CARTESIAN_POINT('Origin',(30.219369,10.145844,0.)); #553715=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #553716=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #553717=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #553718=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #553719=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #553720=CARTESIAN_POINT('Origin',(30.213809,10.116031,0.)); #553721=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #553722=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #553723=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #553724=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #553725=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #553726=CARTESIAN_POINT('Origin',(30.197059,10.090747,0.)); #553727=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #553728=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #553729=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #553730=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #553731=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #553732=CARTESIAN_POINT('Origin',(30.181022,10.074709,0.)); #553733=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #553734=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #553735=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #553736=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #553737=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #553738=CARTESIAN_POINT('Origin',(30.165003,10.054869,0.)); #553739=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #553740=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #553741=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #553742=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #553743=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #553744=CARTESIAN_POINT('Origin',(30.155353,10.035728,0.)); #553745=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #553746=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #553747=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #553748=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #553749=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #553750=CARTESIAN_POINT('Origin',(30.149641,10.013816,0.)); #553751=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #553752=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #553753=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #553754=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #553755=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #553756=CARTESIAN_POINT('Origin',(30.1484,9.9971531,0.)); #553757=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #553758=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #553759=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #553760=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #553761=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #553762=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #553763=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #553764=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #553765=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #553766=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #553767=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #553768=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #553769=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #553770=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #553771=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #553772=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #553773=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #553774=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #553775=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #553776=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #553777=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #553778=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #553779=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #553780=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #553781=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #553782=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #553783=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #553784=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #553785=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #553786=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #553787=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #553788=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #553789=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #553790=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #553791=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #553792=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #553793=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #553794=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #553795=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #553796=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #553797=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #553798=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #553799=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #553800=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #553801=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #553802=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #553803=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #553804=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #553805=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #553806=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #553807=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #553808=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #553809=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #553810=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #553811=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #553812=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #553813=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #553814=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #553815=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #553816=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #553817=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #553818=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #553819=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #553820=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #553821=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #553822=CARTESIAN_POINT('Origin',(31.0664,7.8984,0.)); #553823=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #553824=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #553825=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #553826=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #553827=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #553828=CARTESIAN_POINT('Origin',(31.093869,7.8932781,0.)); #553829=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #553830=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #553831=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #553832=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #553833=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #553834=CARTESIAN_POINT('Origin',(31.119416,7.8769344,0.)); #553835=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #553836=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #553837=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #553838=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #553839=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #553840=CARTESIAN_POINT('Origin',(31.136566,7.8519219,0.)); #553841=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #553842=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #553843=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #553844=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #553845=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #553846=CARTESIAN_POINT('Origin',(31.1426,7.8222,0.)); #553847=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #553848=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #553849=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #553850=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #553851=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #553852=CARTESIAN_POINT('Origin',(31.1426,6.8812,0.)); #553853=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #553854=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #553855=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #553856=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #553857=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #553858=CARTESIAN_POINT('Origin',(31.137478,6.8537313,0.)); #553859=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #553860=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #553861=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #553862=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #553863=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #553864=CARTESIAN_POINT('Origin',(31.121134,6.8281844,0.)); #553865=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #553866=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #553867=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #553868=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #553869=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #553870=CARTESIAN_POINT('Origin',(31.1,6.8136938,0.)); #553871=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #553872=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #553873=CARTESIAN_POINT('Origin',(50.272928,17.4061,0.)); #553874=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #553875=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #553876=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #553877=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #553878=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #553879=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #553880=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #553881=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #553882=CARTESIAN_POINT('Origin',(50.3622,17.495372,0.)); #553883=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #553884=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #553885=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #553886=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #553887=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #553888=CARTESIAN_POINT('Origin',(50.3622,19.272628,0.)); #553889=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #553890=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #553891=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #553892=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #553893=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #553894=CARTESIAN_POINT('Origin',(50.272928,19.3619,0.)); #553895=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #553896=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #553897=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #553898=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #553899=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #553900=CARTESIAN_POINT('Origin',(49.537072,19.3619,0.)); #553901=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #553902=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #553903=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #553904=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #553905=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #553906=CARTESIAN_POINT('Origin',(49.4478,19.272628,0.)); #553907=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #553908=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #553909=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #553910=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #553911=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #553912=CARTESIAN_POINT('Origin',(49.4478,17.495372,0.)); #553913=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #553914=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #553915=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #553916=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #553917=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #553918=CARTESIAN_POINT('Origin',(49.537072,17.4061,0.)); #553919=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #553920=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #553921=CARTESIAN_POINT('Origin',(49.002928,17.4061,0.)); #553922=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #553923=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #553924=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #553925=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #553926=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #553927=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #553928=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #553929=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #553930=CARTESIAN_POINT('Origin',(49.0922,17.495372,0.)); #553931=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #553932=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #553933=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #553934=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #553935=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #553936=CARTESIAN_POINT('Origin',(49.0922,19.272628,0.)); #553937=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #553938=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #553939=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #553940=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #553941=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #553942=CARTESIAN_POINT('Origin',(49.002928,19.3619,0.)); #553943=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #553944=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #553945=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #553946=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #553947=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #553948=CARTESIAN_POINT('Origin',(48.267072,19.3619,0.)); #553949=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #553950=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #553951=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #553952=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #553953=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #553954=CARTESIAN_POINT('Origin',(48.1778,19.272628,0.)); #553955=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #553956=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #553957=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #553958=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #553959=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #553960=CARTESIAN_POINT('Origin',(48.1778,17.495372,0.)); #553961=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #553962=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #553963=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #553964=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #553965=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #553966=CARTESIAN_POINT('Origin',(48.267072,17.4061,0.)); #553967=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #553968=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #553969=CARTESIAN_POINT('Origin',(46.462928,17.4061,0.)); #553970=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #553971=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #553972=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #553973=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #553974=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #553975=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #553976=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #553977=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #553978=CARTESIAN_POINT('Origin',(46.5522,17.495372,0.)); #553979=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #553980=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #553981=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #553982=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #553983=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #553984=CARTESIAN_POINT('Origin',(46.5522,19.272628,0.)); #553985=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #553986=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #553987=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #553988=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #553989=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #553990=CARTESIAN_POINT('Origin',(46.462928,19.3619,0.)); #553991=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #553992=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #553993=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #553994=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #553995=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #553996=CARTESIAN_POINT('Origin',(45.727072,19.3619,0.)); #553997=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #553998=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #553999=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #554000=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #554001=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #554002=CARTESIAN_POINT('Origin',(45.6378,19.272628,0.)); #554003=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #554004=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #554005=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #554006=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #554007=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #554008=CARTESIAN_POINT('Origin',(45.6378,17.495372,0.)); #554009=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #554010=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #554011=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #554012=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #554013=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #554014=CARTESIAN_POINT('Origin',(45.727072,17.4061,0.)); #554015=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #554016=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #554017=CARTESIAN_POINT('Origin',(49.002928,22.6381,0.)); #554018=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #554019=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #554020=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #554021=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #554022=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #554023=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #554024=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #554025=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #554026=CARTESIAN_POINT('Origin',(49.0922,22.727372,0.)); #554027=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #554028=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #554029=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #554030=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #554031=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #554032=CARTESIAN_POINT('Origin',(49.0922,24.504628,0.)); #554033=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #554034=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #554035=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #554036=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #554037=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #554038=CARTESIAN_POINT('Origin',(49.002928,24.5939,0.)); #554039=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #554040=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #554041=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #554042=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #554043=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #554044=CARTESIAN_POINT('Origin',(48.9398,24.5939,0.)); #554045=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #554046=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #554047=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #554048=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #554049=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #554050=CARTESIAN_POINT('Origin',(48.912331,24.599022,0.)); #554051=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #554052=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #554053=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #554054=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #554055=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #554056=CARTESIAN_POINT('Origin',(48.886784,24.615366,0.)); #554057=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #554058=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #554059=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #554060=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #554061=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #554062=CARTESIAN_POINT('Origin',(48.869634,24.640378,0.)); #554063=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #554064=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #554065=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #554066=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #554067=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #554068=CARTESIAN_POINT('Origin',(48.8636,24.6701,0.)); #554069=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #554070=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #554071=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #554072=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #554073=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #554074=CARTESIAN_POINT('Origin',(48.8636,25.496747,0.)); #554075=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #554076=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #554077=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #554078=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #554079=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #554080=CARTESIAN_POINT('Origin',(48.869169,25.525344,0.)); #554081=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #554082=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #554083=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #554084=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #554085=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #554086=CARTESIAN_POINT('Origin',(48.885919,25.550628,0.)); #554087=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #554088=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #554089=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #554090=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #554091=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #554092=CARTESIAN_POINT('Origin',(48.979472,25.644181,0.)); #554093=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #554094=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #554095=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #554096=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #554097=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #554098=CARTESIAN_POINT('Origin',(49.003631,25.660466,0.)); #554099=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #554100=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #554101=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #554102=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #554103=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #554104=CARTESIAN_POINT('Origin',(49.033353,25.6665,0.)); #554105=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #554106=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #554107=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #554108=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #554109=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #554110=CARTESIAN_POINT('Origin',(49.757628,25.6665,0.)); #554111=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #554112=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #554113=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #554114=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #554115=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #554116=CARTESIAN_POINT('Origin',(49.8469,25.755772,0.)); #554117=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #554118=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #554119=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #554120=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #554121=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #554122=CARTESIAN_POINT('Origin',(49.8469,26.720228,0.)); #554123=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #554124=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #554125=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #554126=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #554127=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #554128=CARTESIAN_POINT('Origin',(49.757628,26.8095,0.)); #554129=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #554130=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #554131=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #554132=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #554133=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #554134=CARTESIAN_POINT('Origin',(48.742372,26.8095,0.)); #554135=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #554136=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #554137=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #554138=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #554139=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #554140=CARTESIAN_POINT('Origin',(48.6531,26.720228,0.)); #554141=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #554142=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #554143=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #554144=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #554145=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #554146=CARTESIAN_POINT('Origin',(48.6531,25.995953,0.)); #554147=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #554148=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #554149=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #554150=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #554151=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #554152=CARTESIAN_POINT('Origin',(48.647531,25.967356,0.)); #554153=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #554154=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #554155=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #554156=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #554157=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #554158=CARTESIAN_POINT('Origin',(48.630781,25.942072,0.)); #554159=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #554160=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #554161=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #554162=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #554163=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #554164=CARTESIAN_POINT('Origin',(48.4064,25.717691,0.)); #554165=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #554166=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #554167=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #554168=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #554169=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #554170=CARTESIAN_POINT('Origin',(48.4064,24.6701,0.)); #554171=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #554172=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #554173=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #554174=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #554175=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #554176=CARTESIAN_POINT('Origin',(48.401278,24.642631,0.)); #554177=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #554178=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #554179=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #554180=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #554181=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #554182=CARTESIAN_POINT('Origin',(48.384934,24.617084,0.)); #554183=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #554184=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #554185=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #554186=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #554187=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #554188=CARTESIAN_POINT('Origin',(48.359922,24.599934,0.)); #554189=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #554190=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #554191=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #554192=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #554193=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #554194=CARTESIAN_POINT('Origin',(48.3302,24.5939,0.)); #554195=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #554196=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #554197=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #554198=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #554199=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #554200=CARTESIAN_POINT('Origin',(48.267072,24.5939,0.)); #554201=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #554202=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #554203=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #554204=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #554205=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #554206=CARTESIAN_POINT('Origin',(48.1778,24.504628,0.)); #554207=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #554208=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #554209=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #554210=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #554211=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #554212=CARTESIAN_POINT('Origin',(48.1778,22.727372,0.)); #554213=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #554214=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #554215=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #554216=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #554217=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #554218=CARTESIAN_POINT('Origin',(48.267072,22.6381,0.)); #554219=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #554220=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #554221=CARTESIAN_POINT('Origin',(47.732928,22.6381,0.)); #554222=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #554223=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #554224=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #554225=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #554226=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #554227=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #554228=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #554229=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #554230=CARTESIAN_POINT('Origin',(47.8222,22.727372,0.)); #554231=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #554232=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #554233=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #554234=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #554235=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #554236=CARTESIAN_POINT('Origin',(47.8222,24.504628,0.)); #554237=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #554238=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #554239=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #554240=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #554241=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #554242=CARTESIAN_POINT('Origin',(47.732928,24.5939,0.)); #554243=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #554244=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #554245=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #554246=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #554247=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #554248=CARTESIAN_POINT('Origin',(47.6698,24.5939,0.)); #554249=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #554250=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #554251=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #554252=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #554253=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #554254=CARTESIAN_POINT('Origin',(47.642331,24.599022,0.)); #554255=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #554256=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #554257=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #554258=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #554259=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #554260=CARTESIAN_POINT('Origin',(47.616784,24.615366,0.)); #554261=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #554262=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #554263=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #554264=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #554265=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #554266=CARTESIAN_POINT('Origin',(47.599634,24.640378,0.)); #554267=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #554268=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #554269=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #554270=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #554271=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #554272=CARTESIAN_POINT('Origin',(47.5936,24.6701,0.)); #554273=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #554274=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #554275=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #554276=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #554277=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #554278=CARTESIAN_POINT('Origin',(47.5936,25.717691,0.)); #554279=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #554280=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #554281=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #554282=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #554283=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #554284=CARTESIAN_POINT('Origin',(47.369219,25.942072,0.)); #554285=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #554286=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #554287=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #554288=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #554289=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #554290=CARTESIAN_POINT('Origin',(47.352934,25.966231,0.)); #554291=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #554292=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #554293=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #554294=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #554295=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #554296=CARTESIAN_POINT('Origin',(47.3469,25.995953,0.)); #554297=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #554298=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #554299=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #554300=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #554301=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #554302=CARTESIAN_POINT('Origin',(47.3469,26.720228,0.)); #554303=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #554304=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #554305=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #554306=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #554307=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #554308=CARTESIAN_POINT('Origin',(47.257628,26.8095,0.)); #554309=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #554310=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #554311=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #554312=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #554313=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #554314=CARTESIAN_POINT('Origin',(46.242372,26.8095,0.)); #554315=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #554316=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #554317=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #554318=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #554319=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #554320=CARTESIAN_POINT('Origin',(46.1531,26.720228,0.)); #554321=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #554322=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #554323=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #554324=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #554325=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #554326=CARTESIAN_POINT('Origin',(46.1531,25.755772,0.)); #554327=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #554328=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #554329=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #554330=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #554331=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #554332=CARTESIAN_POINT('Origin',(46.242372,25.6665,0.)); #554333=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #554334=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #554335=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #554336=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #554337=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #554338=CARTESIAN_POINT('Origin',(46.966647,25.6665,0.)); #554339=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #554340=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #554341=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #554342=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #554343=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #554344=CARTESIAN_POINT('Origin',(46.995244,25.660931,0.)); #554345=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #554346=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #554347=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #554348=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #554349=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #554350=CARTESIAN_POINT('Origin',(47.020528,25.644181,0.)); #554351=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #554352=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #554353=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #554354=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #554355=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #554356=CARTESIAN_POINT('Origin',(47.114081,25.550628,0.)); #554357=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #554358=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #554359=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #554360=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #554361=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #554362=CARTESIAN_POINT('Origin',(47.130366,25.526469,0.)); #554363=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #554364=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #554365=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #554366=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #554367=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #554368=CARTESIAN_POINT('Origin',(47.1364,25.496747,0.)); #554369=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #554370=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #554371=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #554372=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #554373=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #554374=CARTESIAN_POINT('Origin',(47.1364,24.6701,0.)); #554375=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #554376=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #554377=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #554378=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #554379=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #554380=CARTESIAN_POINT('Origin',(47.131278,24.642631,0.)); #554381=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #554382=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #554383=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #554384=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #554385=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #554386=CARTESIAN_POINT('Origin',(47.114934,24.617084,0.)); #554387=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #554388=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #554389=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #554390=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #554391=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #554392=CARTESIAN_POINT('Origin',(47.089922,24.599934,0.)); #554393=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #554394=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #554395=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #554396=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #554397=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #554398=CARTESIAN_POINT('Origin',(47.0602,24.5939,0.)); #554399=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #554400=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #554401=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #554402=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #554403=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #554404=CARTESIAN_POINT('Origin',(46.997072,24.5939,0.)); #554405=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #554406=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #554407=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #554408=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #554409=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #554410=CARTESIAN_POINT('Origin',(46.9078,24.504628,0.)); #554411=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #554412=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #554413=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #554414=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #554415=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #554416=CARTESIAN_POINT('Origin',(46.9078,22.727372,0.)); #554417=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #554418=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #554419=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #554420=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #554421=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #554422=CARTESIAN_POINT('Origin',(46.997072,22.6381,0.)); #554423=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #554424=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #554425=CARTESIAN_POINT('Origin',(53.745953,19.1484,0.)); #554426=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #554427=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #554428=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #554429=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #554430=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #554431=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #554432=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #554433=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #554434=CARTESIAN_POINT('Origin',(53.771309,19.1511,0.)); #554435=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #554436=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #554437=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #554438=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #554439=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #554440=CARTESIAN_POINT('Origin',(53.791663,19.157806,0.)); #554441=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #554442=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #554443=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #554444=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #554445=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #554446=CARTESIAN_POINT('Origin',(53.811197,19.169269,0.)); #554447=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #554448=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #554449=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #554450=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #554451=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #554452=CARTESIAN_POINT('Origin',(53.823866,19.180178,0.)); #554453=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #554454=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #554455=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #554456=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #554457=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #554458=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #554459=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #554460=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #554461=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #554462=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #554463=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #554464=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #554465=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #554466=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #554467=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #554468=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #554469=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #554470=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #554471=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #554472=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #554473=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #554474=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #554475=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #554476=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #554477=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #554478=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #554479=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #554480=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #554481=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #554482=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #554483=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #554484=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #554485=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #554486=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #554487=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #554488=CARTESIAN_POINT('Origin',(54.1016,35.495953,0.)); #554489=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #554490=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #554491=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #554492=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #554493=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #554494=CARTESIAN_POINT('Origin',(54.0989,35.521309,0.)); #554495=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #554496=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #554497=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #554498=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #554499=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #554500=CARTESIAN_POINT('Origin',(54.092194,35.541663,0.)); #554501=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #554502=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #554503=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #554504=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #554505=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #554506=CARTESIAN_POINT('Origin',(54.080731,35.561197,0.)); #554507=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #554508=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #554509=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #554510=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #554511=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #554512=CARTESIAN_POINT('Origin',(54.069822,35.573866,0.)); #554513=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #554514=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #554515=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #554516=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #554517=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #554518=CARTESIAN_POINT('Origin',(53.824709,35.818978,0.)); #554519=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #554520=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #554521=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #554522=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #554523=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #554524=CARTESIAN_POINT('Origin',(53.804869,35.834997,0.)); #554525=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #554526=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #554527=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #554528=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #554529=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #554530=CARTESIAN_POINT('Origin',(53.785728,35.844647,0.)); #554531=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #554532=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #554533=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #554534=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #554535=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #554536=CARTESIAN_POINT('Origin',(53.763816,35.850359,0.)); #554537=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #554538=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #554539=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #554540=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #554541=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #554542=CARTESIAN_POINT('Origin',(53.747153,35.8516,0.)); #554543=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #554544=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #554545=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #554546=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #554547=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #554548=CARTESIAN_POINT('Origin',(51.504047,35.8516,0.)); #554549=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #554550=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #554551=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #554552=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #554553=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #554554=CARTESIAN_POINT('Origin',(51.478691,35.8489,0.)); #554555=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #554556=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #554557=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #554558=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #554559=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #554560=CARTESIAN_POINT('Origin',(51.458337,35.842194,0.)); #554561=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #554562=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #554563=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #554564=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #554565=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #554566=CARTESIAN_POINT('Origin',(51.438803,35.830731,0.)); #554567=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #554568=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #554569=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #554570=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #554571=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #554572=CARTESIAN_POINT('Origin',(51.426134,35.819822,0.)); #554573=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #554574=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #554575=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #554576=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #554577=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #554578=CARTESIAN_POINT('Origin',(51.181022,35.574709,0.)); #554579=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #554580=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #554581=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #554582=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #554583=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #554584=CARTESIAN_POINT('Origin',(51.165003,35.554869,0.)); #554585=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #554586=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #554587=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #554588=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #554589=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #554590=CARTESIAN_POINT('Origin',(51.155353,35.535728,0.)); #554591=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #554592=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #554593=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #554594=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #554595=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #554596=CARTESIAN_POINT('Origin',(51.149641,35.513816,0.)); #554597=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #554598=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #554599=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #554600=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #554601=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #554602=CARTESIAN_POINT('Origin',(51.1484,35.497153,0.)); #554603=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #554604=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #554605=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #554606=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #554607=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #554608=CARTESIAN_POINT('Origin',(51.1484,19.504047,0.)); #554609=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #554610=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #554611=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #554612=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #554613=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #554614=CARTESIAN_POINT('Origin',(51.1511,19.478691,0.)); #554615=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #554616=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #554617=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #554618=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #554619=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #554620=CARTESIAN_POINT('Origin',(51.157806,19.458338,0.)); #554621=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #554622=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #554623=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #554624=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #554625=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #554626=CARTESIAN_POINT('Origin',(51.169269,19.438803,0.)); #554627=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #554628=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #554629=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #554630=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #554631=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #554632=CARTESIAN_POINT('Origin',(51.180178,19.426134,0.)); #554633=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #554634=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #554635=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #554636=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #554637=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #554638=CARTESIAN_POINT('Origin',(51.425291,19.181022,0.)); #554639=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #554640=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #554641=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #554642=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #554643=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #554644=CARTESIAN_POINT('Origin',(51.445131,19.165003,0.)); #554645=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #554646=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #554647=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #554648=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #554649=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #554650=CARTESIAN_POINT('Origin',(51.464272,19.155353,0.)); #554651=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #554652=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #554653=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #554654=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #554655=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #554656=CARTESIAN_POINT('Origin',(51.486184,19.149641,0.)); #554657=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #554658=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #554659=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #554660=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #554661=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #554662=CARTESIAN_POINT('Origin',(51.502847,19.1484,0.)); #554663=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #554664=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #554665=CARTESIAN_POINT('Origin',(41.427034,30.5726,0.)); #554666=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #554667=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #554668=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #554669=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #554670=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #554671=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #554672=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #554673=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #554674=CARTESIAN_POINT('Origin',(41.571119,30.716684,0.)); #554675=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #554676=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #554677=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #554678=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #554679=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #554680=CARTESIAN_POINT('Origin',(41.594166,30.732484,0.)); #554681=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #554682=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #554683=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #554684=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #554685=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #554686=CARTESIAN_POINT('Origin',(41.623784,30.738994,0.)); #554687=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #554688=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #554689=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #554690=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #554691=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #554692=CARTESIAN_POINT('Origin',(41.653597,30.733434,0.)); #554693=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #554694=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #554695=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #554696=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #554697=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #554698=CARTESIAN_POINT('Origin',(41.678881,30.716684,0.)); #554699=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #554700=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #554701=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #554702=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #554703=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #554704=CARTESIAN_POINT('Origin',(41.822966,30.5726,0.)); #554705=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #554706=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #554707=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #554708=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #554709=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #554710=CARTESIAN_POINT('Origin',(42.177034,30.5726,0.)); #554711=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #554712=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #554713=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #554714=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #554715=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #554716=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #554717=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #554718=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #554719=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #554720=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #554721=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #554722=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #554723=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #554724=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #554725=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #554726=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #554727=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #554728=CARTESIAN_POINT('Origin',(42.250919,31.353516,0.)); #554729=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #554730=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #554731=CARTESIAN_POINT('',(42.250919,31.353516,0.035)); #554732=CARTESIAN_POINT('',(42.250919,31.353516,0.035)); #554733=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #554734=CARTESIAN_POINT('Origin',(42.234634,31.377675,0.)); #554735=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #554736=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #554737=CARTESIAN_POINT('',(42.234634,31.377675,0.035)); #554738=CARTESIAN_POINT('',(42.234634,31.377675,0.035)); #554739=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #554740=CARTESIAN_POINT('Origin',(42.2286,31.407397,0.)); #554741=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #554742=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #554743=CARTESIAN_POINT('',(42.2286,31.407397,0.035)); #554744=CARTESIAN_POINT('',(42.2286,31.407397,0.035)); #554745=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #554746=CARTESIAN_POINT('Origin',(42.2286,35.592603,0.)); #554747=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #554748=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #554749=CARTESIAN_POINT('',(42.2286,35.592603,0.035)); #554750=CARTESIAN_POINT('',(42.2286,35.592603,0.035)); #554751=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #554752=CARTESIAN_POINT('Origin',(42.234169,35.6212,0.)); #554753=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #554754=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #554755=CARTESIAN_POINT('',(42.234169,35.6212,0.035)); #554756=CARTESIAN_POINT('',(42.234169,35.6212,0.035)); #554757=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #554758=CARTESIAN_POINT('Origin',(42.250919,35.646484,0.)); #554759=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #554760=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #554761=CARTESIAN_POINT('',(42.250919,35.646484,0.035)); #554762=CARTESIAN_POINT('',(42.250919,35.646484,0.035)); #554763=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #554764=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #554765=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #554766=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #554767=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #554768=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #554769=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #554770=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #554771=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #554772=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #554773=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #554774=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #554775=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #554776=CARTESIAN_POINT('Origin',(42.177034,36.4274,0.)); #554777=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #554778=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #554779=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #554780=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #554781=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #554782=CARTESIAN_POINT('Origin',(41.822966,36.4274,0.)); #554783=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #554784=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #554785=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #554786=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #554787=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #554788=CARTESIAN_POINT('Origin',(41.678881,36.283316,0.)); #554789=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #554790=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #554791=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #554792=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #554793=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #554794=CARTESIAN_POINT('Origin',(41.655834,36.267516,0.)); #554795=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #554796=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #554797=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #554798=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #554799=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #554800=CARTESIAN_POINT('Origin',(41.626216,36.261006,0.)); #554801=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #554802=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #554803=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #554804=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #554805=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #554806=CARTESIAN_POINT('Origin',(41.596403,36.266566,0.)); #554807=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #554808=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #554809=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #554810=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #554811=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #554812=CARTESIAN_POINT('Origin',(41.571119,36.283316,0.)); #554813=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #554814=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #554815=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #554816=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #554817=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #554818=CARTESIAN_POINT('Origin',(41.427034,36.4274,0.)); #554819=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #554820=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #554821=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #554822=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #554823=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #554824=CARTESIAN_POINT('Origin',(41.072966,36.4274,0.)); #554825=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #554826=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #554827=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #554828=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #554829=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #554830=CARTESIAN_POINT('Origin',(40.8226,36.177034,0.)); #554831=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #554832=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #554833=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #554834=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #554835=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #554836=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #554837=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #554838=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #554839=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #554840=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #554841=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #554842=CARTESIAN_POINT('Origin',(40.999081,35.646484,0.)); #554843=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #554844=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #554845=CARTESIAN_POINT('',(40.999081,35.646484,0.035)); #554846=CARTESIAN_POINT('',(40.999081,35.646484,0.035)); #554847=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #554848=CARTESIAN_POINT('Origin',(41.015366,35.622325,0.)); #554849=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #554850=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #554851=CARTESIAN_POINT('',(41.015366,35.622325,0.035)); #554852=CARTESIAN_POINT('',(41.015366,35.622325,0.035)); #554853=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #554854=CARTESIAN_POINT('Origin',(41.0214,35.592603,0.)); #554855=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #554856=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #554857=CARTESIAN_POINT('',(41.0214,35.592603,0.035)); #554858=CARTESIAN_POINT('',(41.0214,35.592603,0.035)); #554859=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #554860=CARTESIAN_POINT('Origin',(41.0214,31.407397,0.)); #554861=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #554862=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #554863=CARTESIAN_POINT('',(41.0214,31.407397,0.035)); #554864=CARTESIAN_POINT('',(41.0214,31.407397,0.035)); #554865=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #554866=CARTESIAN_POINT('Origin',(41.015831,31.3788,0.)); #554867=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #554868=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #554869=CARTESIAN_POINT('',(41.015831,31.3788,0.035)); #554870=CARTESIAN_POINT('',(41.015831,31.3788,0.035)); #554871=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #554872=CARTESIAN_POINT('Origin',(40.999081,31.353516,0.)); #554873=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #554874=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #554875=CARTESIAN_POINT('',(40.999081,31.353516,0.035)); #554876=CARTESIAN_POINT('',(40.999081,31.353516,0.035)); #554877=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #554878=CARTESIAN_POINT('Origin',(40.8226,31.177034,0.)); #554879=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #554880=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #554881=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #554882=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #554883=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #554884=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #554885=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #554886=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #554887=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #554888=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #554889=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #554890=CARTESIAN_POINT('Origin',(41.072966,30.5726,0.)); #554891=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #554892=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #554893=CARTESIAN_POINT('Origin',(29.427034,36.3226,0.)); #554894=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #554895=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #554896=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #554897=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #554898=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #554899=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #554900=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #554901=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #554902=CARTESIAN_POINT('Origin',(29.603516,36.499081,0.)); #554903=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #554904=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #554905=CARTESIAN_POINT('',(29.603516,36.499081,0.035)); #554906=CARTESIAN_POINT('',(29.603516,36.499081,0.035)); #554907=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #554908=CARTESIAN_POINT('Origin',(29.627675,36.515366,0.)); #554909=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #554910=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #554911=CARTESIAN_POINT('',(29.627675,36.515366,0.035)); #554912=CARTESIAN_POINT('',(29.627675,36.515366,0.035)); #554913=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #554914=CARTESIAN_POINT('Origin',(29.657397,36.5214,0.)); #554915=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #554916=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #554917=CARTESIAN_POINT('',(29.657397,36.5214,0.035)); #554918=CARTESIAN_POINT('',(29.657397,36.5214,0.035)); #554919=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #554920=CARTESIAN_POINT('Origin',(30.405103,36.5214,0.)); #554921=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #554922=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #554923=CARTESIAN_POINT('',(30.405103,36.5214,0.035)); #554924=CARTESIAN_POINT('',(30.405103,36.5214,0.035)); #554925=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #554926=CARTESIAN_POINT('Origin',(30.4337,36.515831,0.)); #554927=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #554928=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #554929=CARTESIAN_POINT('',(30.4337,36.515831,0.035)); #554930=CARTESIAN_POINT('',(30.4337,36.515831,0.035)); #554931=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #554932=CARTESIAN_POINT('Origin',(30.458984,36.499081,0.)); #554933=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #554934=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #554935=CARTESIAN_POINT('',(30.458984,36.499081,0.035)); #554936=CARTESIAN_POINT('',(30.458984,36.499081,0.035)); #554937=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #554938=CARTESIAN_POINT('Origin',(30.635466,36.3226,0.)); #554939=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #554940=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #554941=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #554942=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #554943=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #554944=CARTESIAN_POINT('Origin',(30.989534,36.3226,0.)); #554945=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #554946=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #554947=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #554948=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #554949=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #554950=CARTESIAN_POINT('Origin',(31.2399,36.572966,0.)); #554951=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #554952=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #554953=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #554954=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #554955=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #554956=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #554957=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #554958=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #554959=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #554960=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #554961=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #554962=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #554963=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #554964=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #554965=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #554966=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #554967=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #554968=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #554969=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #554970=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #554971=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #554972=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #554973=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #554974=CARTESIAN_POINT('Origin',(30.458984,37.000919,0.)); #554975=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #554976=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #554977=CARTESIAN_POINT('',(30.458984,37.000919,0.035)); #554978=CARTESIAN_POINT('',(30.458984,37.000919,0.035)); #554979=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #554980=CARTESIAN_POINT('Origin',(30.434825,36.984634,0.)); #554981=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #554982=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #554983=CARTESIAN_POINT('',(30.434825,36.984634,0.035)); #554984=CARTESIAN_POINT('',(30.434825,36.984634,0.035)); #554985=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #554986=CARTESIAN_POINT('Origin',(30.405103,36.9786,0.)); #554987=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #554988=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #554989=CARTESIAN_POINT('',(30.405103,36.9786,0.035)); #554990=CARTESIAN_POINT('',(30.405103,36.9786,0.035)); #554991=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #554992=CARTESIAN_POINT('Origin',(29.657397,36.9786,0.)); #554993=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #554994=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #554995=CARTESIAN_POINT('',(29.657397,36.9786,0.035)); #554996=CARTESIAN_POINT('',(29.657397,36.9786,0.035)); #554997=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #554998=CARTESIAN_POINT('Origin',(29.6288,36.984169,0.)); #554999=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #555000=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #555001=CARTESIAN_POINT('',(29.6288,36.984169,0.035)); #555002=CARTESIAN_POINT('',(29.6288,36.984169,0.035)); #555003=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #555004=CARTESIAN_POINT('Origin',(29.603516,37.000919,0.)); #555005=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #555006=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #555007=CARTESIAN_POINT('',(29.603516,37.000919,0.035)); #555008=CARTESIAN_POINT('',(29.603516,37.000919,0.035)); #555009=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #555010=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #555011=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #555012=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #555013=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #555014=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #555015=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #555016=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #555017=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #555018=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #555019=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #555020=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #555021=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #555022=CARTESIAN_POINT('Origin',(28.8226,36.927034,0.)); #555023=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #555024=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #555025=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #555026=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #555027=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #555028=CARTESIAN_POINT('Origin',(28.8226,36.572966,0.)); #555029=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #555030=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #555031=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #555032=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #555033=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #555034=CARTESIAN_POINT('Origin',(29.072966,36.3226,0.)); #555035=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #555036=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #555037=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #555038=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #555039=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #555040=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #555041=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #555042=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #555043=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #555044=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #555045=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #555046=CARTESIAN_POINT('Origin',(34.446119,12.029184,0.)); #555047=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #555048=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #555049=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #555050=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #555051=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #555052=CARTESIAN_POINT('Origin',(34.469166,12.044984,0.)); #555053=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #555054=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #555055=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #555056=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #555057=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #555058=CARTESIAN_POINT('Origin',(34.498784,12.051494,0.)); #555059=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #555060=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #555061=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #555062=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #555063=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #555064=CARTESIAN_POINT('Origin',(34.528597,12.045934,0.)); #555065=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #555066=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #555067=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #555068=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #555069=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #555070=CARTESIAN_POINT('Origin',(34.553881,12.029184,0.)); #555071=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #555072=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #555073=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #555074=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #555075=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #555076=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #555077=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #555078=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #555079=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #555080=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #555081=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #555082=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #555083=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #555084=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #555085=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #555086=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #555087=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #555088=CARTESIAN_POINT('Origin',(35.291016,11.999081,0.)); #555089=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #555090=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #555091=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #555092=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #555093=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #555094=CARTESIAN_POINT('Origin',(35.315175,12.015366,0.)); #555095=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #555096=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #555097=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #555098=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #555099=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #555100=CARTESIAN_POINT('Origin',(35.344897,12.0214,0.)); #555101=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #555102=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #555103=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #555104=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #555105=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #555106=CARTESIAN_POINT('Origin',(40.591791,12.0214,0.)); #555107=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #555108=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #555109=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #555110=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #555111=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #555112=CARTESIAN_POINT('Origin',(41.123572,12.553181,0.)); #555113=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #555114=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #555115=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #555116=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #555117=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #555118=CARTESIAN_POINT('Origin',(41.147731,12.569466,0.)); #555119=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #555120=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #555121=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #555122=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #555123=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #555124=CARTESIAN_POINT('Origin',(41.177453,12.5755,0.)); #555125=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #555126=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #555127=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #555128=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #555129=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #555130=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #555131=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #555132=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #555133=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #555134=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #555135=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #555136=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #555137=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #555138=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #555139=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #555140=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #555141=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #555142=CARTESIAN_POINT('Origin',(41.6774,13.179934,0.)); #555143=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #555144=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #555145=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #555146=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #555147=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #555148=CARTESIAN_POINT('Origin',(41.427034,13.4303,0.)); #555149=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #555150=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #555151=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #555152=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #555153=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #555154=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #555155=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #555156=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #555157=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #555158=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #555159=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #555160=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #555161=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #555162=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #555163=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #555164=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #555165=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #555166=CARTESIAN_POINT('Origin',(40.8226,12.930353,0.)); #555167=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #555168=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #555169=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #555170=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #555171=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #555172=CARTESIAN_POINT('Origin',(40.817031,12.901756,0.)); #555173=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #555174=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #555175=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #555176=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #555177=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #555178=CARTESIAN_POINT('Origin',(40.800281,12.876472,0.)); #555179=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #555180=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #555181=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #555182=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #555183=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #555184=CARTESIAN_POINT('Origin',(40.424728,12.500919,0.)); #555185=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #555186=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #555187=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #555188=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #555189=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #555190=CARTESIAN_POINT('Origin',(40.400569,12.484634,0.)); #555191=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #555192=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #555193=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #555194=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #555195=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #555196=CARTESIAN_POINT('Origin',(40.370847,12.4786,0.)); #555197=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #555198=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #555199=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #555200=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #555201=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #555202=CARTESIAN_POINT('Origin',(35.344897,12.4786,0.)); #555203=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #555204=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #555205=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #555206=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #555207=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #555208=CARTESIAN_POINT('Origin',(35.3163,12.484169,0.)); #555209=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #555210=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #555211=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #555212=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #555213=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #555214=CARTESIAN_POINT('Origin',(35.291016,12.500919,0.)); #555215=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #555216=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #555217=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #555218=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #555219=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #555220=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #555221=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #555222=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #555223=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #555224=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #555225=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #555226=CARTESIAN_POINT('Origin',(34.760466,12.6774,0.)); #555227=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #555228=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #555229=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #555230=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #555231=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #555232=CARTESIAN_POINT('Origin',(34.553881,12.470816,0.)); #555233=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #555234=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #555235=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #555236=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #555237=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #555238=CARTESIAN_POINT('Origin',(34.530834,12.455016,0.)); #555239=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #555240=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #555241=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #555242=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #555243=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #555244=CARTESIAN_POINT('Origin',(34.501216,12.448506,0.)); #555245=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #555246=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #555247=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #555248=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #555249=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #555250=CARTESIAN_POINT('Origin',(34.471403,12.454066,0.)); #555251=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #555252=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #555253=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #555254=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #555255=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #555256=CARTESIAN_POINT('Origin',(34.446119,12.470816,0.)); #555257=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #555258=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #555259=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #555260=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #555261=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #555262=CARTESIAN_POINT('Origin',(34.239534,12.6774,0.)); #555263=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #555264=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #555265=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #555266=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #555267=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #555268=CARTESIAN_POINT('Origin',(33.885466,12.6774,0.)); #555269=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #555270=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #555271=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #555272=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #555273=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #555274=CARTESIAN_POINT('Origin',(33.708984,12.500919,0.)); #555275=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #555276=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #555277=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #555278=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #555279=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #555280=CARTESIAN_POINT('Origin',(33.684825,12.484634,0.)); #555281=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #555282=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #555283=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #555284=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #555285=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #555286=CARTESIAN_POINT('Origin',(33.655103,12.4786,0.)); #555287=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #555288=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #555289=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #555290=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #555291=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #555292=CARTESIAN_POINT('Origin',(31.266997,12.4786,0.)); #555293=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #555294=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #555295=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #555296=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #555297=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #555298=CARTESIAN_POINT('Origin',(31.240666,12.483294,0.)); #555299=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #555300=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #555301=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #555302=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #555303=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #555304=CARTESIAN_POINT('Origin',(31.214859,12.499228,0.)); #555305=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #555306=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #555307=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #555308=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #555309=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #555310=CARTESIAN_POINT('Origin',(31.197316,12.523966,0.)); #555311=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #555312=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #555313=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #555314=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #555315=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #555316=CARTESIAN_POINT('Origin',(31.190806,12.553584,0.)); #555317=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #555318=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #555319=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #555320=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #555321=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #555322=CARTESIAN_POINT('Origin',(31.196366,12.583397,0.)); #555323=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #555324=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #555325=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #555326=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #555327=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #555328=CARTESIAN_POINT('Origin',(31.213116,12.608681,0.)); #555329=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #555330=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #555331=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #555332=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #555333=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #555334=CARTESIAN_POINT('Origin',(31.4274,12.822966,0.)); #555335=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #555336=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #555337=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #555338=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #555339=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #555340=CARTESIAN_POINT('Origin',(31.4274,13.177034,0.)); #555341=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #555342=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #555343=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #555344=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #555345=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #555346=CARTESIAN_POINT('Origin',(31.177034,13.4274,0.)); #555347=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #555348=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #555349=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #555350=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #555351=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #555352=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #555353=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #555354=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #555355=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #555356=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #555357=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #555358=CARTESIAN_POINT('Origin',(30.646484,13.250919,0.)); #555359=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #555360=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #555361=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #555362=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #555363=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #555364=CARTESIAN_POINT('Origin',(30.622325,13.234634,0.)); #555365=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #555366=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #555367=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #555368=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #555369=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #555370=CARTESIAN_POINT('Origin',(30.592603,13.2286,0.)); #555371=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #555372=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #555373=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #555374=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #555375=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #555376=CARTESIAN_POINT('Origin',(30.376253,13.2286,0.)); #555377=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #555378=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #555379=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #555380=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #555381=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #555382=CARTESIAN_POINT('Origin',(30.347656,13.234169,0.)); #555383=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #555384=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #555385=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #555386=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #555387=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #555388=CARTESIAN_POINT('Origin',(30.322372,13.250919,0.)); #555389=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #555390=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #555391=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #555392=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #555393=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #555394=CARTESIAN_POINT('Origin',(27.250919,16.322372,0.)); #555395=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #555396=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #555397=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #555398=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #555399=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #555400=CARTESIAN_POINT('Origin',(27.234634,16.346531,0.)); #555401=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #555402=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #555403=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #555404=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #555405=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #555406=CARTESIAN_POINT('Origin',(27.2286,16.376253,0.)); #555407=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #555408=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #555409=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #555410=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #555411=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #555412=CARTESIAN_POINT('Origin',(27.2286,18.3269,0.)); #555413=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #555414=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #555415=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #555416=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #555417=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #555418=CARTESIAN_POINT('Origin',(27.233722,18.354369,0.)); #555419=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #555420=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #555421=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #555422=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #555423=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #555424=CARTESIAN_POINT('Origin',(27.250066,18.379916,0.)); #555425=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #555426=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #555427=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #555428=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #555429=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #555430=CARTESIAN_POINT('Origin',(27.275078,18.397066,0.)); #555431=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #555432=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #555433=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #555434=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #555435=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #555436=CARTESIAN_POINT('Origin',(27.3048,18.4031,0.)); #555437=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #555438=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #555439=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #555440=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #555441=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #555442=CARTESIAN_POINT('Origin',(28.244228,18.4031,0.)); #555443=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #555444=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #555445=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #555446=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #555447=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #555448=CARTESIAN_POINT('Origin',(28.3335,18.492372,0.)); #555449=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #555450=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #555451=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #555452=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #555453=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #555454=CARTESIAN_POINT('Origin',(28.3335,19.507628,0.)); #555455=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #555456=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #555457=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #555458=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #555459=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #555460=CARTESIAN_POINT('Origin',(28.244228,19.5969,0.)); #555461=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #555462=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #555463=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #555464=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #555465=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #555466=CARTESIAN_POINT('Origin',(27.3048,19.5969,0.)); #555467=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #555468=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #555469=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #555470=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #555471=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #555472=CARTESIAN_POINT('Origin',(27.277331,19.602022,0.)); #555473=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #555474=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #555475=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #555476=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #555477=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #555478=CARTESIAN_POINT('Origin',(27.251784,19.618366,0.)); #555479=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #555480=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #555481=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #555482=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #555483=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #555484=CARTESIAN_POINT('Origin',(27.234634,19.643378,0.)); #555485=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #555486=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #555487=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #555488=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #555489=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #555490=CARTESIAN_POINT('Origin',(27.2286,19.6731,0.)); #555491=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #555492=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #555493=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #555494=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #555495=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #555496=CARTESIAN_POINT('Origin',(27.2286,20.748747,0.)); #555497=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #555498=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #555499=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #555500=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #555501=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #555502=CARTESIAN_POINT('Origin',(27.234169,20.777344,0.)); #555503=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #555504=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #555505=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #555506=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #555507=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #555508=CARTESIAN_POINT('Origin',(27.250919,20.802628,0.)); #555509=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #555510=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #555511=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #555512=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #555513=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #555514=CARTESIAN_POINT('Origin',(28.072372,21.624081,0.)); #555515=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #555516=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #555517=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #555518=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #555519=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #555520=CARTESIAN_POINT('Origin',(28.096531,21.640366,0.)); #555521=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #555522=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #555523=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #555524=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #555525=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #555526=CARTESIAN_POINT('Origin',(28.126253,21.6464,0.)); #555527=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #555528=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #555529=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #555530=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #555531=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #555532=CARTESIAN_POINT('Origin',(36.748747,21.6464,0.)); #555533=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #555534=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #555535=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #555536=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #555537=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #555538=CARTESIAN_POINT('Origin',(36.777344,21.640831,0.)); #555539=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #555540=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #555541=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #555542=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #555543=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #555544=CARTESIAN_POINT('Origin',(36.802628,21.624081,0.)); #555545=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #555546=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #555547=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #555548=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #555549=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #555550=CARTESIAN_POINT('Origin',(37.050281,21.376428,0.)); #555551=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #555552=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #555553=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #555554=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #555555=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #555556=CARTESIAN_POINT('Origin',(37.066566,21.352269,0.)); #555557=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #555558=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #555559=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #555560=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #555561=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #555562=CARTESIAN_POINT('Origin',(37.0726,21.322547,0.)); #555563=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #555564=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #555565=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #555566=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #555567=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #555568=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #555569=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #555570=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #555571=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #555572=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #555573=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #555574=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #555575=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #555576=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #555577=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #555578=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #555579=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #555580=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #555581=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #555582=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #555583=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #555584=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #555585=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #555586=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #555587=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #555588=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #555589=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #555590=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #555591=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #555592=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #555593=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #555594=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #555595=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #555596=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #555597=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #555598=CARTESIAN_POINT('Origin',(37.838116,21.516319,0.)); #555599=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #555600=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #555601=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #555602=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #555603=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #555604=CARTESIAN_POINT('Origin',(37.822816,21.538256,0.)); #555605=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #555606=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #555607=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #555608=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #555609=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #555610=CARTESIAN_POINT('Origin',(37.815834,21.567772,0.)); #555611=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #555612=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #555613=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #555614=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #555615=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #555616=CARTESIAN_POINT('Origin',(37.820919,21.597669,0.)); #555617=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #555618=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #555619=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #555620=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #555621=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #555622=CARTESIAN_POINT('Origin',(37.837263,21.623216,0.)); #555623=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #555624=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #555625=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #555626=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #555627=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #555628=CARTESIAN_POINT('Origin',(37.862275,21.640366,0.)); #555629=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #555630=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #555631=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #555632=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #555633=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #555634=CARTESIAN_POINT('Origin',(37.891997,21.6464,0.)); #555635=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #555636=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #555637=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #555638=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #555639=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #555640=CARTESIAN_POINT('Origin',(46.373747,21.6464,0.)); #555641=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #555642=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #555643=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #555644=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #555645=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #555646=CARTESIAN_POINT('Origin',(46.402344,21.640831,0.)); #555647=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #555648=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #555649=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #555650=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #555651=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #555652=CARTESIAN_POINT('Origin',(46.427628,21.624081,0.)); #555653=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #555654=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #555655=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #555656=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #555657=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #555658=CARTESIAN_POINT('Origin',(47.114081,20.937628,0.)); #555659=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #555660=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #555661=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #555662=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #555663=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #555664=CARTESIAN_POINT('Origin',(47.130366,20.913469,0.)); #555665=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #555666=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #555667=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #555668=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #555669=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #555670=CARTESIAN_POINT('Origin',(47.1364,20.883747,0.)); #555671=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #555672=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #555673=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #555674=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #555675=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #555676=CARTESIAN_POINT('Origin',(47.1364,19.4381,0.)); #555677=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #555678=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #555679=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #555680=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #555681=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #555682=CARTESIAN_POINT('Origin',(47.131278,19.410631,0.)); #555683=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #555684=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #555685=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #555686=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #555687=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #555688=CARTESIAN_POINT('Origin',(47.114934,19.385084,0.)); #555689=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #555690=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #555691=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #555692=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #555693=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #555694=CARTESIAN_POINT('Origin',(47.089922,19.367934,0.)); #555695=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #555696=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #555697=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #555698=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #555699=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #555700=CARTESIAN_POINT('Origin',(47.0602,19.3619,0.)); #555701=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #555702=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #555703=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #555704=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #555705=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #555706=CARTESIAN_POINT('Origin',(46.997072,19.3619,0.)); #555707=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #555708=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #555709=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #555710=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #555711=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #555712=CARTESIAN_POINT('Origin',(46.9078,19.272628,0.)); #555713=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #555714=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #555715=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #555716=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #555717=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #555718=CARTESIAN_POINT('Origin',(46.9078,17.495372,0.)); #555719=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #555720=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #555721=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #555722=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #555723=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #555724=CARTESIAN_POINT('Origin',(46.997072,17.4061,0.)); #555725=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #555726=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #555727=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #555728=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #555729=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #555730=CARTESIAN_POINT('Origin',(47.732928,17.4061,0.)); #555731=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #555732=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #555733=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #555734=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #555735=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #555736=CARTESIAN_POINT('Origin',(47.8222,17.495372,0.)); #555737=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #555738=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #555739=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #555740=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #555741=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #555742=CARTESIAN_POINT('Origin',(47.8222,19.272628,0.)); #555743=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #555744=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #555745=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #555746=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #555747=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #555748=CARTESIAN_POINT('Origin',(47.732928,19.3619,0.)); #555749=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #555750=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #555751=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #555752=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #555753=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #555754=CARTESIAN_POINT('Origin',(47.6698,19.3619,0.)); #555755=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #555756=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #555757=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #555758=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #555759=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #555760=CARTESIAN_POINT('Origin',(47.642331,19.367022,0.)); #555761=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #555762=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #555763=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #555764=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #555765=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #555766=CARTESIAN_POINT('Origin',(47.616784,19.383366,0.)); #555767=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #555768=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #555769=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #555770=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #555771=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #555772=CARTESIAN_POINT('Origin',(47.599634,19.408378,0.)); #555773=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #555774=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #555775=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #555776=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #555777=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #555778=CARTESIAN_POINT('Origin',(47.5936,19.4381,0.)); #555779=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #555780=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #555781=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #555782=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #555783=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #555784=CARTESIAN_POINT('Origin',(47.5936,21.104691,0.)); #555785=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #555786=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #555787=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #555788=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #555789=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #555790=CARTESIAN_POINT('Origin',(46.806972,21.891319,0.)); #555791=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #555792=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #555793=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #555794=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #555795=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #555796=CARTESIAN_POINT('Origin',(46.791672,21.913256,0.)); #555797=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #555798=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #555799=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #555800=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #555801=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #555802=CARTESIAN_POINT('Origin',(46.784691,21.942772,0.)); #555803=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #555804=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #555805=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #555806=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #555807=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #555808=CARTESIAN_POINT('Origin',(46.789775,21.972669,0.)); #555809=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #555810=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #555811=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #555812=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #555813=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #555814=CARTESIAN_POINT('Origin',(46.806119,21.998216,0.)); #555815=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #555816=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #555817=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #555818=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #555819=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #555820=CARTESIAN_POINT('Origin',(46.831131,22.015366,0.)); #555821=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #555822=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #555823=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #555824=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #555825=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #555826=CARTESIAN_POINT('Origin',(46.860853,22.0214,0.)); #555827=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #555828=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #555829=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #555830=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #555831=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #555832=CARTESIAN_POINT('Origin',(49.282191,22.0214,0.)); #555833=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #555834=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #555835=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #555836=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #555837=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #555838=CARTESIAN_POINT('Origin',(49.876572,22.615781,0.)); #555839=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #555840=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #555841=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #555842=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #555843=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #555844=CARTESIAN_POINT('Origin',(49.900731,22.632066,0.)); #555845=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #555846=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #555847=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #555848=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #555849=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #555850=CARTESIAN_POINT('Origin',(49.930453,22.6381,0.)); #555851=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #555852=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #555853=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #555854=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #555855=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #555856=CARTESIAN_POINT('Origin',(50.272928,22.6381,0.)); #555857=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #555858=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #555859=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #555860=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #555861=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #555862=CARTESIAN_POINT('Origin',(50.3622,22.727372,0.)); #555863=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #555864=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #555865=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #555866=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #555867=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #555868=CARTESIAN_POINT('Origin',(50.3622,24.504628,0.)); #555869=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #555870=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #555871=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #555872=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #555873=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #555874=CARTESIAN_POINT('Origin',(50.272928,24.5939,0.)); #555875=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #555876=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #555877=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #555878=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #555879=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #555880=CARTESIAN_POINT('Origin',(49.537072,24.5939,0.)); #555881=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #555882=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #555883=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #555884=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #555885=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #555886=CARTESIAN_POINT('Origin',(49.4478,24.504628,0.)); #555887=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #555888=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #555889=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #555890=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #555891=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #555892=CARTESIAN_POINT('Origin',(49.4478,22.865153,0.)); #555893=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #555894=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #555895=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #555896=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #555897=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #555898=CARTESIAN_POINT('Origin',(49.442231,22.836556,0.)); #555899=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #555900=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #555901=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #555902=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #555903=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #555904=CARTESIAN_POINT('Origin',(49.425481,22.811272,0.)); #555905=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #555906=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #555907=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #555908=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #555909=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #555910=CARTESIAN_POINT('Origin',(49.115128,22.500919,0.)); #555911=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #555912=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #555913=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #555914=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #555915=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #555916=CARTESIAN_POINT('Origin',(49.090969,22.484634,0.)); #555917=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #555918=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #555919=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #555920=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #555921=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #555922=CARTESIAN_POINT('Origin',(49.061247,22.4786,0.)); #555923=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #555924=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #555925=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #555926=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #555927=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #555928=CARTESIAN_POINT('Origin',(40.8857,22.4786,0.)); #555929=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #555930=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #555931=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #555932=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #555933=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #555934=CARTESIAN_POINT('Origin',(40.858231,22.483722,0.)); #555935=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #555936=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #555937=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #555938=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #555939=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #555940=CARTESIAN_POINT('Origin',(40.832684,22.500066,0.)); #555941=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #555942=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #555943=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #555944=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #555945=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #555946=CARTESIAN_POINT('Origin',(40.815534,22.525078,0.)); #555947=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #555948=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #555949=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #555950=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #555951=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #555952=CARTESIAN_POINT('Origin',(40.8095,22.5548,0.)); #555953=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #555954=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #555955=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #555956=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #555957=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #555958=CARTESIAN_POINT('Origin',(40.8095,23.507628,0.)); #555959=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #555960=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #555961=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #555962=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #555963=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #555964=CARTESIAN_POINT('Origin',(40.720228,23.5969,0.)); #555965=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #555966=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #555967=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #555968=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #555969=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #555970=CARTESIAN_POINT('Origin',(39.755772,23.5969,0.)); #555971=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #555972=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #555973=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #555974=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #555975=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #555976=CARTESIAN_POINT('Origin',(39.6665,23.507628,0.)); #555977=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #555978=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #555979=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #555980=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #555981=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #555982=CARTESIAN_POINT('Origin',(39.6665,23.3048,0.)); #555983=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #555984=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #555985=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #555986=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #555987=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #555988=CARTESIAN_POINT('Origin',(39.661378,23.277331,0.)); #555989=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #555990=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #555991=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #555992=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #555993=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #555994=CARTESIAN_POINT('Origin',(39.645034,23.251784,0.)); #555995=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #555996=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #555997=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #555998=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #555999=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #556000=CARTESIAN_POINT('Origin',(39.620022,23.234634,0.)); #556001=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #556002=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #556003=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #556004=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #556005=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #556006=CARTESIAN_POINT('Origin',(39.5903,23.2286,0.)); #556007=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #556008=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #556009=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #556010=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #556011=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #556012=CARTESIAN_POINT('Origin',(37.626253,23.2286,0.)); #556013=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #556014=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #556015=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #556016=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #556017=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #556018=CARTESIAN_POINT('Origin',(37.597656,23.234169,0.)); #556019=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #556020=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #556021=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #556022=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #556023=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #556024=CARTESIAN_POINT('Origin',(37.572372,23.250919,0.)); #556025=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #556026=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #556027=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #556028=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #556029=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #556030=CARTESIAN_POINT('Origin',(36.750919,24.072372,0.)); #556031=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #556032=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #556033=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #556034=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #556035=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #556036=CARTESIAN_POINT('Origin',(36.734634,24.096531,0.)); #556037=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #556038=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #556039=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #556040=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #556041=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #556042=CARTESIAN_POINT('Origin',(36.7286,24.126253,0.)); #556043=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #556044=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #556045=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #556046=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #556047=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #556048=CARTESIAN_POINT('Origin',(36.7286,24.317025,0.)); #556049=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #556050=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #556051=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #556052=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #556053=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #556054=CARTESIAN_POINT('Origin',(36.733944,24.345059,0.)); #556055=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #556056=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #556057=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #556058=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #556059=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #556060=CARTESIAN_POINT('Origin',(36.750491,24.370475,0.)); #556061=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #556062=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #556063=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #556064=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #556065=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #556066=CARTESIAN_POINT('Origin',(36.775641,24.387425,0.)); #556067=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #556068=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #556069=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #556070=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #556071=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #556072=CARTESIAN_POINT('Origin',(37.011169,24.484984,0.)); #556073=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #556074=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #556075=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #556076=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #556077=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #556078=CARTESIAN_POINT('Origin',(37.265016,24.738831,0.)); #556079=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #556080=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #556081=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #556082=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #556083=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #556084=CARTESIAN_POINT('Origin',(37.4024,25.0705,0.)); #556085=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #556086=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #556087=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #556088=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #556089=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #556090=CARTESIAN_POINT('Origin',(37.4024,25.4295,0.)); #556091=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #556092=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #556093=CARTESIAN_POINT('',(37.4024,25.4295,0.035)); #556094=CARTESIAN_POINT('',(37.4024,25.4295,0.035)); #556095=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #556096=CARTESIAN_POINT('Origin',(37.265016,25.761169,0.)); #556097=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #556098=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #556099=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #556100=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #556101=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #556102=CARTESIAN_POINT('Origin',(37.072366,25.953819,0.)); #556103=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #556104=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #556105=CARTESIAN_POINT('',(37.072366,25.953819,0.035)); #556106=CARTESIAN_POINT('',(37.072366,25.953819,0.035)); #556107=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #556108=CARTESIAN_POINT('Origin',(37.057066,25.975756,0.)); #556109=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #556110=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #556111=CARTESIAN_POINT('',(37.057066,25.975756,0.035)); #556112=CARTESIAN_POINT('',(37.057066,25.975756,0.035)); #556113=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #556114=CARTESIAN_POINT('Origin',(37.050084,26.005272,0.)); #556115=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #556116=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #556117=CARTESIAN_POINT('',(37.050084,26.005272,0.035)); #556118=CARTESIAN_POINT('',(37.050084,26.005272,0.035)); #556119=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #556120=CARTESIAN_POINT('Origin',(37.055169,26.035169,0.)); #556121=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #556122=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #556123=CARTESIAN_POINT('',(37.055169,26.035169,0.035)); #556124=CARTESIAN_POINT('',(37.055169,26.035169,0.035)); #556125=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #556126=CARTESIAN_POINT('Origin',(37.071513,26.060716,0.)); #556127=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #556128=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #556129=CARTESIAN_POINT('',(37.071513,26.060716,0.035)); #556130=CARTESIAN_POINT('',(37.071513,26.060716,0.035)); #556131=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #556132=CARTESIAN_POINT('Origin',(37.096525,26.077866,0.)); #556133=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #556134=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #556135=CARTESIAN_POINT('',(37.096525,26.077866,0.035)); #556136=CARTESIAN_POINT('',(37.096525,26.077866,0.035)); #556137=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #556138=CARTESIAN_POINT('Origin',(37.126247,26.0839,0.)); #556139=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #556140=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #556141=CARTESIAN_POINT('',(37.126247,26.0839,0.035)); #556142=CARTESIAN_POINT('',(37.126247,26.0839,0.035)); #556143=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #556144=CARTESIAN_POINT('Origin',(37.719691,26.0839,0.)); #556145=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #556146=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #556147=CARTESIAN_POINT('',(37.719691,26.0839,0.035)); #556148=CARTESIAN_POINT('',(37.719691,26.0839,0.035)); #556149=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #556150=CARTESIAN_POINT('Origin',(38.384872,26.749081,0.)); #556151=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #556152=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #556153=CARTESIAN_POINT('',(38.384872,26.749081,0.035)); #556154=CARTESIAN_POINT('',(38.384872,26.749081,0.035)); #556155=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #556156=CARTESIAN_POINT('Origin',(38.409031,26.765366,0.)); #556157=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #556158=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #556159=CARTESIAN_POINT('',(38.409031,26.765366,0.035)); #556160=CARTESIAN_POINT('',(38.409031,26.765366,0.035)); #556161=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #556162=CARTESIAN_POINT('Origin',(38.438753,26.7714,0.)); #556163=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #556164=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #556165=CARTESIAN_POINT('',(38.438753,26.7714,0.035)); #556166=CARTESIAN_POINT('',(38.438753,26.7714,0.035)); #556167=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #556168=CARTESIAN_POINT('Origin',(40.342603,26.7714,0.)); #556169=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #556170=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #556171=CARTESIAN_POINT('',(40.342603,26.7714,0.035)); #556172=CARTESIAN_POINT('',(40.342603,26.7714,0.035)); #556173=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #556174=CARTESIAN_POINT('Origin',(40.3712,26.765831,0.)); #556175=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #556176=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #556177=CARTESIAN_POINT('',(40.3712,26.765831,0.035)); #556178=CARTESIAN_POINT('',(40.3712,26.765831,0.035)); #556179=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #556180=CARTESIAN_POINT('Origin',(40.396484,26.749081,0.)); #556181=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #556182=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #556183=CARTESIAN_POINT('',(40.396484,26.749081,0.035)); #556184=CARTESIAN_POINT('',(40.396484,26.749081,0.035)); #556185=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #556186=CARTESIAN_POINT('Origin',(40.572966,26.5726,0.)); #556187=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #556188=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #556189=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #556190=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #556191=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #556192=CARTESIAN_POINT('Origin',(40.927034,26.5726,0.)); #556193=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #556194=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #556195=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #556196=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #556197=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #556198=CARTESIAN_POINT('Origin',(41.1774,26.822966,0.)); #556199=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #556200=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #556201=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #556202=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #556203=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #556204=CARTESIAN_POINT('Origin',(41.1774,27.177034,0.)); #556205=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #556206=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #556207=CARTESIAN_POINT('',(41.1774,27.177034,0.035)); #556208=CARTESIAN_POINT('',(41.1774,27.177034,0.035)); #556209=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #556210=CARTESIAN_POINT('Origin',(40.951116,27.403319,0.)); #556211=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #556212=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #556213=CARTESIAN_POINT('',(40.951116,27.403319,0.035)); #556214=CARTESIAN_POINT('',(40.951116,27.403319,0.035)); #556215=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #556216=CARTESIAN_POINT('Origin',(40.935816,27.425256,0.)); #556217=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #556218=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #556219=CARTESIAN_POINT('',(40.935816,27.425256,0.035)); #556220=CARTESIAN_POINT('',(40.935816,27.425256,0.035)); #556221=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #556222=CARTESIAN_POINT('Origin',(40.928834,27.454772,0.)); #556223=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #556224=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #556225=CARTESIAN_POINT('',(40.928834,27.454772,0.035)); #556226=CARTESIAN_POINT('',(40.928834,27.454772,0.035)); #556227=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #556228=CARTESIAN_POINT('Origin',(40.933919,27.484669,0.)); #556229=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #556230=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #556231=CARTESIAN_POINT('',(40.933919,27.484669,0.035)); #556232=CARTESIAN_POINT('',(40.933919,27.484669,0.035)); #556233=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #556234=CARTESIAN_POINT('Origin',(40.950263,27.510216,0.)); #556235=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #556236=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #556237=CARTESIAN_POINT('',(40.950263,27.510216,0.035)); #556238=CARTESIAN_POINT('',(40.950263,27.510216,0.035)); #556239=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #556240=CARTESIAN_POINT('Origin',(40.975275,27.527366,0.)); #556241=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #556242=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #556243=CARTESIAN_POINT('',(40.975275,27.527366,0.035)); #556244=CARTESIAN_POINT('',(40.975275,27.527366,0.035)); #556245=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #556246=CARTESIAN_POINT('Origin',(41.004997,27.5334,0.)); #556247=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #556248=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #556249=CARTESIAN_POINT('',(41.004997,27.5334,0.035)); #556250=CARTESIAN_POINT('',(41.004997,27.5334,0.035)); #556251=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #556252=CARTESIAN_POINT('Origin',(46.0769,27.5334,0.)); #556253=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #556254=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #556255=CARTESIAN_POINT('',(46.0769,27.5334,0.035)); #556256=CARTESIAN_POINT('',(46.0769,27.5334,0.035)); #556257=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #556258=CARTESIAN_POINT('Origin',(46.104369,27.528278,0.)); #556259=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #556260=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #556261=CARTESIAN_POINT('',(46.104369,27.528278,0.035)); #556262=CARTESIAN_POINT('',(46.104369,27.528278,0.035)); #556263=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #556264=CARTESIAN_POINT('Origin',(46.129916,27.511934,0.)); #556265=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #556266=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #556267=CARTESIAN_POINT('',(46.129916,27.511934,0.035)); #556268=CARTESIAN_POINT('',(46.129916,27.511934,0.035)); #556269=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #556270=CARTESIAN_POINT('Origin',(46.147066,27.486922,0.)); #556271=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #556272=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #556273=CARTESIAN_POINT('',(46.147066,27.486922,0.035)); #556274=CARTESIAN_POINT('',(46.147066,27.486922,0.035)); #556275=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #556276=CARTESIAN_POINT('Origin',(46.1531,27.4572,0.)); #556277=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #556278=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #556279=CARTESIAN_POINT('',(46.1531,27.4572,0.035)); #556280=CARTESIAN_POINT('',(46.1531,27.4572,0.035)); #556281=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #556282=CARTESIAN_POINT('Origin',(46.1531,27.279772,0.)); #556283=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #556284=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #556285=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #556286=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #556287=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #556288=CARTESIAN_POINT('Origin',(46.242372,27.1905,0.)); #556289=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #556290=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #556291=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #556292=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #556293=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #556294=CARTESIAN_POINT('Origin',(47.257628,27.1905,0.)); #556295=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #556296=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #556297=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #556298=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #556299=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #556300=CARTESIAN_POINT('Origin',(47.3469,27.279772,0.)); #556301=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #556302=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #556303=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #556304=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #556305=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #556306=CARTESIAN_POINT('Origin',(47.3469,27.4572,0.)); #556307=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #556308=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #556309=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #556310=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #556311=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #556312=CARTESIAN_POINT('Origin',(47.352022,27.484669,0.)); #556313=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #556314=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #556315=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #556316=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #556317=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #556318=CARTESIAN_POINT('Origin',(47.368366,27.510216,0.)); #556319=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #556320=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #556321=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #556322=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #556323=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #556324=CARTESIAN_POINT('Origin',(47.393378,27.527366,0.)); #556325=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #556326=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #556327=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #556328=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #556329=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #556330=CARTESIAN_POINT('Origin',(47.4231,27.5334,0.)); #556331=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #556332=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #556333=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #556334=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #556335=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #556336=CARTESIAN_POINT('Origin',(48.5769,27.5334,0.)); #556337=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #556338=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #556339=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #556340=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #556341=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #556342=CARTESIAN_POINT('Origin',(48.604369,27.528278,0.)); #556343=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #556344=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #556345=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #556346=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #556347=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #556348=CARTESIAN_POINT('Origin',(48.629916,27.511934,0.)); #556349=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #556350=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #556351=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #556352=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #556353=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #556354=CARTESIAN_POINT('Origin',(48.647066,27.486922,0.)); #556355=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #556356=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #556357=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #556358=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #556359=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #556360=CARTESIAN_POINT('Origin',(48.6531,27.4572,0.)); #556361=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #556362=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #556363=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #556364=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #556365=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #556366=CARTESIAN_POINT('Origin',(48.6531,27.279772,0.)); #556367=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #556368=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #556369=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #556370=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #556371=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #556372=CARTESIAN_POINT('Origin',(48.742372,27.1905,0.)); #556373=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #556374=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #556375=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #556376=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #556377=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #556378=CARTESIAN_POINT('Origin',(49.757628,27.1905,0.)); #556379=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #556380=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #556381=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #556382=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #556383=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #556384=CARTESIAN_POINT('Origin',(49.8469,27.279772,0.)); #556385=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #556386=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #556387=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #556388=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #556389=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #556390=CARTESIAN_POINT('Origin',(49.8469,28.244228,0.)); #556391=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #556392=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #556393=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #556394=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #556395=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #556396=CARTESIAN_POINT('Origin',(49.757628,28.3335,0.)); #556397=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #556398=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #556399=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #556400=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #556401=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #556402=CARTESIAN_POINT('Origin',(48.742372,28.3335,0.)); #556403=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #556404=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #556405=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #556406=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #556407=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #556408=CARTESIAN_POINT('Origin',(48.6531,28.244228,0.)); #556409=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #556410=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #556411=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #556412=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #556413=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #556414=CARTESIAN_POINT('Origin',(48.6531,28.0668,0.)); #556415=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #556416=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #556417=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #556418=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #556419=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #556420=CARTESIAN_POINT('Origin',(48.647978,28.039331,0.)); #556421=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #556422=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #556423=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #556424=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #556425=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #556426=CARTESIAN_POINT('Origin',(48.631634,28.013784,0.)); #556427=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #556428=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #556429=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #556430=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #556431=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #556432=CARTESIAN_POINT('Origin',(48.606622,27.996634,0.)); #556433=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #556434=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #556435=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #556436=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #556437=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #556438=CARTESIAN_POINT('Origin',(48.5769,27.9906,0.)); #556439=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #556440=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #556441=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #556442=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #556443=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #556444=CARTESIAN_POINT('Origin',(47.4231,27.9906,0.)); #556445=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #556446=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #556447=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #556448=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #556449=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #556450=CARTESIAN_POINT('Origin',(47.395631,27.995722,0.)); #556451=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #556452=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #556453=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #556454=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #556455=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #556456=CARTESIAN_POINT('Origin',(47.370084,28.012066,0.)); #556457=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #556458=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #556459=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #556460=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #556461=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #556462=CARTESIAN_POINT('Origin',(47.352934,28.037078,0.)); #556463=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #556464=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #556465=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #556466=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #556467=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #556468=CARTESIAN_POINT('Origin',(47.3469,28.0668,0.)); #556469=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #556470=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #556471=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #556472=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #556473=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #556474=CARTESIAN_POINT('Origin',(47.3469,28.244228,0.)); #556475=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #556476=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #556477=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #556478=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #556479=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #556480=CARTESIAN_POINT('Origin',(47.257628,28.3335,0.)); #556481=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #556482=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #556483=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #556484=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #556485=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #556486=CARTESIAN_POINT('Origin',(46.242372,28.3335,0.)); #556487=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #556488=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #556489=CARTESIAN_POINT('',(46.242372,28.3335,0.035)); #556490=CARTESIAN_POINT('',(46.242372,28.3335,0.035)); #556491=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #556492=CARTESIAN_POINT('Origin',(46.1531,28.244228,0.)); #556493=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #556494=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #556495=CARTESIAN_POINT('',(46.1531,28.244228,0.035)); #556496=CARTESIAN_POINT('',(46.1531,28.244228,0.035)); #556497=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #556498=CARTESIAN_POINT('Origin',(46.1531,28.0668,0.)); #556499=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #556500=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #556501=CARTESIAN_POINT('',(46.1531,28.0668,0.035)); #556502=CARTESIAN_POINT('',(46.1531,28.0668,0.035)); #556503=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #556504=CARTESIAN_POINT('Origin',(46.147978,28.039331,0.)); #556505=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #556506=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #556507=CARTESIAN_POINT('',(46.147978,28.039331,0.035)); #556508=CARTESIAN_POINT('',(46.147978,28.039331,0.035)); #556509=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #556510=CARTESIAN_POINT('Origin',(46.131634,28.013784,0.)); #556511=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #556512=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #556513=CARTESIAN_POINT('',(46.131634,28.013784,0.035)); #556514=CARTESIAN_POINT('',(46.131634,28.013784,0.035)); #556515=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #556516=CARTESIAN_POINT('Origin',(46.106622,27.996634,0.)); #556517=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #556518=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #556519=CARTESIAN_POINT('',(46.106622,27.996634,0.035)); #556520=CARTESIAN_POINT('',(46.106622,27.996634,0.035)); #556521=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #556522=CARTESIAN_POINT('Origin',(46.0769,27.9906,0.)); #556523=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #556524=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #556525=CARTESIAN_POINT('',(46.0769,27.9906,0.035)); #556526=CARTESIAN_POINT('',(46.0769,27.9906,0.035)); #556527=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #556528=CARTESIAN_POINT('Origin',(42.528997,27.9906,0.)); #556529=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #556530=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #556531=CARTESIAN_POINT('',(42.528997,27.9906,0.035)); #556532=CARTESIAN_POINT('',(42.528997,27.9906,0.035)); #556533=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #556534=CARTESIAN_POINT('Origin',(42.502666,27.995294,0.)); #556535=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #556536=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #556537=CARTESIAN_POINT('',(42.502666,27.995294,0.035)); #556538=CARTESIAN_POINT('',(42.502666,27.995294,0.035)); #556539=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #556540=CARTESIAN_POINT('Origin',(42.476859,28.011228,0.)); #556541=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #556542=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #556543=CARTESIAN_POINT('',(42.476859,28.011228,0.035)); #556544=CARTESIAN_POINT('',(42.476859,28.011228,0.035)); #556545=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #556546=CARTESIAN_POINT('Origin',(42.459316,28.035966,0.)); #556547=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #556548=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #556549=CARTESIAN_POINT('',(42.459316,28.035966,0.035)); #556550=CARTESIAN_POINT('',(42.459316,28.035966,0.035)); #556551=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #556552=CARTESIAN_POINT('Origin',(42.452806,28.065584,0.)); #556553=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #556554=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #556555=CARTESIAN_POINT('',(42.452806,28.065584,0.035)); #556556=CARTESIAN_POINT('',(42.452806,28.065584,0.035)); #556557=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #556558=CARTESIAN_POINT('Origin',(42.458366,28.095397,0.)); #556559=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #556560=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #556561=CARTESIAN_POINT('',(42.458366,28.095397,0.035)); #556562=CARTESIAN_POINT('',(42.458366,28.095397,0.035)); #556563=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #556564=CARTESIAN_POINT('Origin',(42.475116,28.120681,0.)); #556565=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #556566=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #556567=CARTESIAN_POINT('',(42.475116,28.120681,0.035)); #556568=CARTESIAN_POINT('',(42.475116,28.120681,0.035)); #556569=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #556570=CARTESIAN_POINT('Origin',(42.603516,28.249081,0.)); #556571=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #556572=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #556573=CARTESIAN_POINT('',(42.603516,28.249081,0.035)); #556574=CARTESIAN_POINT('',(42.603516,28.249081,0.035)); #556575=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #556576=CARTESIAN_POINT('Origin',(42.627675,28.265366,0.)); #556577=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #556578=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #556579=CARTESIAN_POINT('',(42.627675,28.265366,0.035)); #556580=CARTESIAN_POINT('',(42.627675,28.265366,0.035)); #556581=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #556582=CARTESIAN_POINT('Origin',(42.657397,28.2714,0.)); #556583=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #556584=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #556585=CARTESIAN_POINT('',(42.657397,28.2714,0.035)); #556586=CARTESIAN_POINT('',(42.657397,28.2714,0.035)); #556587=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #556588=CARTESIAN_POINT('Origin',(45.094691,28.2714,0.)); #556589=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #556590=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #556591=CARTESIAN_POINT('',(45.094691,28.2714,0.035)); #556592=CARTESIAN_POINT('',(45.094691,28.2714,0.035)); #556593=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #556594=CARTESIAN_POINT('Origin',(46.4786,29.655309,0.)); #556595=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #556596=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #556597=CARTESIAN_POINT('',(46.4786,29.655309,0.035)); #556598=CARTESIAN_POINT('',(46.4786,29.655309,0.035)); #556599=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #556600=CARTESIAN_POINT('Origin',(46.4786,32.985844,0.)); #556601=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #556602=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #556603=CARTESIAN_POINT('',(46.4786,32.985844,0.035)); #556604=CARTESIAN_POINT('',(46.4786,32.985844,0.035)); #556605=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #556606=CARTESIAN_POINT('Origin',(46.483944,33.013878,0.)); #556607=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #556608=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #556609=CARTESIAN_POINT('',(46.483944,33.013878,0.035)); #556610=CARTESIAN_POINT('',(46.483944,33.013878,0.035)); #556611=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #556612=CARTESIAN_POINT('Origin',(46.500491,33.039294,0.)); #556613=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #556614=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #556615=CARTESIAN_POINT('',(46.500491,33.039294,0.035)); #556616=CARTESIAN_POINT('',(46.500491,33.039294,0.035)); #556617=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #556618=CARTESIAN_POINT('Origin',(46.525641,33.056244,0.)); #556619=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #556620=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #556621=CARTESIAN_POINT('',(46.525641,33.056244,0.035)); #556622=CARTESIAN_POINT('',(46.525641,33.056244,0.035)); #556623=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #556624=CARTESIAN_POINT('Origin',(46.803653,33.1714,0.)); #556625=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #556626=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #556627=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #556628=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #556629=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #556630=CARTESIAN_POINT('Origin',(47.0786,33.446347,0.)); #556631=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #556632=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #556633=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #556634=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #556635=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #556636=CARTESIAN_POINT('Origin',(47.2274,33.805581,0.)); #556637=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #556638=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #556639=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #556640=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #556641=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #556642=CARTESIAN_POINT('Origin',(47.2274,34.194419,0.)); #556643=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #556644=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #556645=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #556646=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #556647=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #556648=CARTESIAN_POINT('Origin',(47.0786,34.553653,0.)); #556649=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #556650=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #556651=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #556652=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #556653=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #556654=CARTESIAN_POINT('Origin',(46.803653,34.8286,0.)); #556655=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #556656=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #556657=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #556658=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #556659=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #556660=CARTESIAN_POINT('Origin',(46.444419,34.9774,0.)); #556661=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #556662=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #556663=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #556664=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #556665=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #556666=CARTESIAN_POINT('Origin',(46.055581,34.9774,0.)); #556667=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #556668=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #556669=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #556670=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #556671=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #556672=CARTESIAN_POINT('Origin',(45.696347,34.8286,0.)); #556673=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #556674=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #556675=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #556676=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #556677=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #556678=CARTESIAN_POINT('Origin',(45.4214,34.553653,0.)); #556679=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #556680=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #556681=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #556682=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #556683=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #556684=CARTESIAN_POINT('Origin',(45.2726,34.194419,0.)); #556685=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #556686=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #556687=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #556688=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #556689=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #556690=CARTESIAN_POINT('Origin',(45.2726,33.805581,0.)); #556691=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #556692=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #556693=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #556694=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #556695=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #556696=CARTESIAN_POINT('Origin',(45.4214,33.446347,0.)); #556697=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #556698=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #556699=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #556700=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #556701=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #556702=CARTESIAN_POINT('Origin',(45.696347,33.1714,0.)); #556703=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #556704=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #556705=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #556706=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #556707=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #556708=CARTESIAN_POINT('Origin',(45.974359,33.056244,0.)); #556709=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #556710=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #556711=CARTESIAN_POINT('',(45.974359,33.056244,0.035)); #556712=CARTESIAN_POINT('',(45.974359,33.056244,0.035)); #556713=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #556714=CARTESIAN_POINT('Origin',(45.998216,33.040578,0.)); #556715=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #556716=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #556717=CARTESIAN_POINT('',(45.998216,33.040578,0.035)); #556718=CARTESIAN_POINT('',(45.998216,33.040578,0.035)); #556719=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #556720=CARTESIAN_POINT('Origin',(46.015366,33.015566,0.)); #556721=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #556722=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #556723=CARTESIAN_POINT('',(46.015366,33.015566,0.035)); #556724=CARTESIAN_POINT('',(46.015366,33.015566,0.035)); #556725=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #556726=CARTESIAN_POINT('Origin',(46.0214,32.985844,0.)); #556727=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #556728=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #556729=CARTESIAN_POINT('',(46.0214,32.985844,0.035)); #556730=CARTESIAN_POINT('',(46.0214,32.985844,0.035)); #556731=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #556732=CARTESIAN_POINT('Origin',(46.0214,29.876253,0.)); #556733=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #556734=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #556735=CARTESIAN_POINT('',(46.0214,29.876253,0.035)); #556736=CARTESIAN_POINT('',(46.0214,29.876253,0.035)); #556737=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #556738=CARTESIAN_POINT('Origin',(46.015831,29.847656,0.)); #556739=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #556740=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #556741=CARTESIAN_POINT('',(46.015831,29.847656,0.035)); #556742=CARTESIAN_POINT('',(46.015831,29.847656,0.035)); #556743=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #556744=CARTESIAN_POINT('Origin',(45.999081,29.822372,0.)); #556745=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #556746=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #556747=CARTESIAN_POINT('',(45.999081,29.822372,0.035)); #556748=CARTESIAN_POINT('',(45.999081,29.822372,0.035)); #556749=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #556750=CARTESIAN_POINT('Origin',(44.927628,28.750919,0.)); #556751=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #556752=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #556753=CARTESIAN_POINT('',(44.927628,28.750919,0.035)); #556754=CARTESIAN_POINT('',(44.927628,28.750919,0.035)); #556755=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #556756=CARTESIAN_POINT('Origin',(44.903469,28.734634,0.)); #556757=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #556758=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #556759=CARTESIAN_POINT('',(44.903469,28.734634,0.035)); #556760=CARTESIAN_POINT('',(44.903469,28.734634,0.035)); #556761=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #556762=CARTESIAN_POINT('Origin',(44.873747,28.7286,0.)); #556763=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #556764=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #556765=CARTESIAN_POINT('',(44.873747,28.7286,0.035)); #556766=CARTESIAN_POINT('',(44.873747,28.7286,0.035)); #556767=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #556768=CARTESIAN_POINT('Origin',(42.657397,28.7286,0.)); #556769=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #556770=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #556771=CARTESIAN_POINT('',(42.657397,28.7286,0.035)); #556772=CARTESIAN_POINT('',(42.657397,28.7286,0.035)); #556773=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #556774=CARTESIAN_POINT('Origin',(42.6288,28.734169,0.)); #556775=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #556776=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #556777=CARTESIAN_POINT('',(42.6288,28.734169,0.035)); #556778=CARTESIAN_POINT('',(42.6288,28.734169,0.035)); #556779=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #556780=CARTESIAN_POINT('Origin',(42.603516,28.750919,0.)); #556781=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #556782=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #556783=CARTESIAN_POINT('',(42.603516,28.750919,0.035)); #556784=CARTESIAN_POINT('',(42.603516,28.750919,0.035)); #556785=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #556786=CARTESIAN_POINT('Origin',(42.427034,28.9274,0.)); #556787=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #556788=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #556789=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #556790=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #556791=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #556792=CARTESIAN_POINT('Origin',(42.072966,28.9274,0.)); #556793=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #556794=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #556795=CARTESIAN_POINT('',(42.072966,28.9274,0.035)); #556796=CARTESIAN_POINT('',(42.072966,28.9274,0.035)); #556797=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #556798=CARTESIAN_POINT('Origin',(41.896484,28.750919,0.)); #556799=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #556800=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #556801=CARTESIAN_POINT('',(41.896484,28.750919,0.035)); #556802=CARTESIAN_POINT('',(41.896484,28.750919,0.035)); #556803=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #556804=CARTESIAN_POINT('Origin',(41.872325,28.734634,0.)); #556805=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #556806=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #556807=CARTESIAN_POINT('',(41.872325,28.734634,0.035)); #556808=CARTESIAN_POINT('',(41.872325,28.734634,0.035)); #556809=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #556810=CARTESIAN_POINT('Origin',(41.842603,28.7286,0.)); #556811=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #556812=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #556813=CARTESIAN_POINT('',(41.842603,28.7286,0.035)); #556814=CARTESIAN_POINT('',(41.842603,28.7286,0.035)); #556815=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #556816=CARTESIAN_POINT('Origin',(38.1778,28.7286,0.)); #556817=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #556818=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #556819=CARTESIAN_POINT('',(38.1778,28.7286,0.035)); #556820=CARTESIAN_POINT('',(38.1778,28.7286,0.035)); #556821=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #556822=CARTESIAN_POINT('Origin',(38.150331,28.733722,0.)); #556823=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #556824=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #556825=CARTESIAN_POINT('',(38.150331,28.733722,0.035)); #556826=CARTESIAN_POINT('',(38.150331,28.733722,0.035)); #556827=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #556828=CARTESIAN_POINT('Origin',(38.124784,28.750066,0.)); #556829=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #556830=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #556831=CARTESIAN_POINT('',(38.124784,28.750066,0.035)); #556832=CARTESIAN_POINT('',(38.124784,28.750066,0.035)); #556833=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #556834=CARTESIAN_POINT('Origin',(38.107634,28.775078,0.)); #556835=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #556836=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #556837=CARTESIAN_POINT('',(38.107634,28.775078,0.035)); #556838=CARTESIAN_POINT('',(38.107634,28.775078,0.035)); #556839=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #556840=CARTESIAN_POINT('Origin',(38.1016,28.8048,0.)); #556841=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #556842=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #556843=CARTESIAN_POINT('',(38.1016,28.8048,0.035)); #556844=CARTESIAN_POINT('',(38.1016,28.8048,0.035)); #556845=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #556846=CARTESIAN_POINT('Origin',(38.1016,32.745953,0.)); #556847=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #556848=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #556849=CARTESIAN_POINT('',(38.1016,32.745953,0.035)); #556850=CARTESIAN_POINT('',(38.1016,32.745953,0.035)); #556851=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #556852=CARTESIAN_POINT('Origin',(38.0989,32.771309,0.)); #556853=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #556854=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #556855=CARTESIAN_POINT('',(38.0989,32.771309,0.035)); #556856=CARTESIAN_POINT('',(38.0989,32.771309,0.035)); #556857=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #556858=CARTESIAN_POINT('Origin',(38.092194,32.791663,0.)); #556859=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #556860=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #556861=CARTESIAN_POINT('',(38.092194,32.791663,0.035)); #556862=CARTESIAN_POINT('',(38.092194,32.791663,0.035)); #556863=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #556864=CARTESIAN_POINT('Origin',(38.080731,32.811197,0.)); #556865=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #556866=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #556867=CARTESIAN_POINT('',(38.080731,32.811197,0.035)); #556868=CARTESIAN_POINT('',(38.080731,32.811197,0.035)); #556869=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #556870=CARTESIAN_POINT('Origin',(38.069822,32.823866,0.)); #556871=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #556872=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #556873=CARTESIAN_POINT('',(38.069822,32.823866,0.035)); #556874=CARTESIAN_POINT('',(38.069822,32.823866,0.035)); #556875=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #556876=CARTESIAN_POINT('Origin',(37.311419,33.582269,0.)); #556877=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #556878=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #556879=CARTESIAN_POINT('',(37.311419,33.582269,0.035)); #556880=CARTESIAN_POINT('',(37.311419,33.582269,0.035)); #556881=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #556882=CARTESIAN_POINT('Origin',(37.295134,33.606428,0.)); #556883=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #556884=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #556885=CARTESIAN_POINT('',(37.295134,33.606428,0.035)); #556886=CARTESIAN_POINT('',(37.295134,33.606428,0.035)); #556887=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #556888=CARTESIAN_POINT('Origin',(37.2891,33.63615,0.)); #556889=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #556890=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #556891=CARTESIAN_POINT('',(37.2891,33.63615,0.035)); #556892=CARTESIAN_POINT('',(37.2891,33.63615,0.035)); #556893=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #556894=CARTESIAN_POINT('Origin',(37.2891,36.2464,0.)); #556895=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #556896=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #556897=CARTESIAN_POINT('',(37.2891,36.2464,0.035)); #556898=CARTESIAN_POINT('',(37.2891,36.2464,0.035)); #556899=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #556900=CARTESIAN_POINT('Origin',(37.294222,36.273869,0.)); #556901=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #556902=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #556903=CARTESIAN_POINT('',(37.294222,36.273869,0.035)); #556904=CARTESIAN_POINT('',(37.294222,36.273869,0.035)); #556905=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #556906=CARTESIAN_POINT('Origin',(37.310566,36.299416,0.)); #556907=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #556908=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #556909=CARTESIAN_POINT('',(37.310566,36.299416,0.035)); #556910=CARTESIAN_POINT('',(37.310566,36.299416,0.035)); #556911=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #556912=CARTESIAN_POINT('Origin',(37.335578,36.316566,0.)); #556913=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #556914=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #556915=CARTESIAN_POINT('',(37.335578,36.316566,0.035)); #556916=CARTESIAN_POINT('',(37.335578,36.316566,0.035)); #556917=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #556918=CARTESIAN_POINT('Origin',(37.364341,36.322406,0.)); #556919=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #556920=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #556921=CARTESIAN_POINT('',(37.364341,36.322406,0.035)); #556922=CARTESIAN_POINT('',(37.364341,36.322406,0.035)); #556923=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #556924=CARTESIAN_POINT('Origin',(37.541016,36.499081,0.)); #556925=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #556926=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #556927=CARTESIAN_POINT('',(37.541016,36.499081,0.035)); #556928=CARTESIAN_POINT('',(37.541016,36.499081,0.035)); #556929=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #556930=CARTESIAN_POINT('Origin',(37.565175,36.515366,0.)); #556931=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #556932=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #556933=CARTESIAN_POINT('',(37.565175,36.515366,0.035)); #556934=CARTESIAN_POINT('',(37.565175,36.515366,0.035)); #556935=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #556936=CARTESIAN_POINT('Origin',(37.594897,36.5214,0.)); #556937=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #556938=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #556939=CARTESIAN_POINT('',(37.594897,36.5214,0.035)); #556940=CARTESIAN_POINT('',(37.594897,36.5214,0.035)); #556941=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #556942=CARTESIAN_POINT('Origin',(38.342603,36.5214,0.)); #556943=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #556944=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #556945=CARTESIAN_POINT('',(38.342603,36.5214,0.035)); #556946=CARTESIAN_POINT('',(38.342603,36.5214,0.035)); #556947=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #556948=CARTESIAN_POINT('Origin',(38.3712,36.515831,0.)); #556949=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #556950=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #556951=CARTESIAN_POINT('',(38.3712,36.515831,0.035)); #556952=CARTESIAN_POINT('',(38.3712,36.515831,0.035)); #556953=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #556954=CARTESIAN_POINT('Origin',(38.396484,36.499081,0.)); #556955=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #556956=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #556957=CARTESIAN_POINT('',(38.396484,36.499081,0.035)); #556958=CARTESIAN_POINT('',(38.396484,36.499081,0.035)); #556959=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #556960=CARTESIAN_POINT('Origin',(38.572966,36.3226,0.)); #556961=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #556962=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #556963=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #556964=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #556965=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #556966=CARTESIAN_POINT('Origin',(38.927034,36.3226,0.)); #556967=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #556968=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #556969=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #556970=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #556971=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #556972=CARTESIAN_POINT('Origin',(39.1774,36.572966,0.)); #556973=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #556974=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #556975=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #556976=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #556977=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #556978=CARTESIAN_POINT('Origin',(39.1774,36.927034,0.)); #556979=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #556980=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #556981=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #556982=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #556983=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #556984=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #556985=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #556986=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #556987=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #556988=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #556989=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #556990=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #556991=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #556992=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #556993=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #556994=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #556995=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #556996=CARTESIAN_POINT('Origin',(38.396484,37.000919,0.)); #556997=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #556998=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #556999=CARTESIAN_POINT('',(38.396484,37.000919,0.035)); #557000=CARTESIAN_POINT('',(38.396484,37.000919,0.035)); #557001=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #557002=CARTESIAN_POINT('Origin',(38.372325,36.984634,0.)); #557003=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #557004=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #557005=CARTESIAN_POINT('',(38.372325,36.984634,0.035)); #557006=CARTESIAN_POINT('',(38.372325,36.984634,0.035)); #557007=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #557008=CARTESIAN_POINT('Origin',(38.342603,36.9786,0.)); #557009=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #557010=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #557011=CARTESIAN_POINT('',(38.342603,36.9786,0.035)); #557012=CARTESIAN_POINT('',(38.342603,36.9786,0.035)); #557013=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #557014=CARTESIAN_POINT('Origin',(37.594897,36.9786,0.)); #557015=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #557016=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #557017=CARTESIAN_POINT('',(37.594897,36.9786,0.035)); #557018=CARTESIAN_POINT('',(37.594897,36.9786,0.035)); #557019=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #557020=CARTESIAN_POINT('Origin',(37.5663,36.984169,0.)); #557021=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #557022=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #557023=CARTESIAN_POINT('',(37.5663,36.984169,0.035)); #557024=CARTESIAN_POINT('',(37.5663,36.984169,0.035)); #557025=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #557026=CARTESIAN_POINT('Origin',(37.541016,37.000919,0.)); #557027=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #557028=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #557029=CARTESIAN_POINT('',(37.541016,37.000919,0.035)); #557030=CARTESIAN_POINT('',(37.541016,37.000919,0.035)); #557031=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #557032=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #557033=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #557034=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #557035=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #557036=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #557037=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #557038=CARTESIAN_POINT('Origin',(37.010216,37.1774,0.)); #557039=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #557040=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #557041=CARTESIAN_POINT('',(37.010216,37.1774,0.035)); #557042=CARTESIAN_POINT('',(37.010216,37.1774,0.035)); #557043=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #557044=CARTESIAN_POINT('Origin',(36.987966,37.162144,0.)); #557045=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #557046=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #557047=CARTESIAN_POINT('',(36.987966,37.162144,0.035)); #557048=CARTESIAN_POINT('',(36.987966,37.162144,0.035)); #557049=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #557050=CARTESIAN_POINT('Origin',(36.958344,37.155634,0.)); #557051=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #557052=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #557053=CARTESIAN_POINT('',(36.958344,37.155634,0.035)); #557054=CARTESIAN_POINT('',(36.958344,37.155634,0.035)); #557055=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #557056=CARTESIAN_POINT('Origin',(36.928531,37.161194,0.)); #557057=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #557058=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #557059=CARTESIAN_POINT('',(36.928531,37.161194,0.035)); #557060=CARTESIAN_POINT('',(36.928531,37.161194,0.035)); #557061=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #557062=CARTESIAN_POINT('Origin',(36.903247,37.177944,0.)); #557063=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #557064=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #557065=CARTESIAN_POINT('',(36.903247,37.177944,0.035)); #557066=CARTESIAN_POINT('',(36.903247,37.177944,0.035)); #557067=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #557068=CARTESIAN_POINT('Origin',(36.748919,37.332269,0.)); #557069=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #557070=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #557071=CARTESIAN_POINT('',(36.748919,37.332269,0.035)); #557072=CARTESIAN_POINT('',(36.748919,37.332269,0.035)); #557073=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #557074=CARTESIAN_POINT('Origin',(36.732634,37.356428,0.)); #557075=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #557076=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #557077=CARTESIAN_POINT('',(36.732634,37.356428,0.035)); #557078=CARTESIAN_POINT('',(36.732634,37.356428,0.035)); #557079=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #557080=CARTESIAN_POINT('Origin',(36.7266,37.38615,0.)); #557081=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #557082=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #557083=CARTESIAN_POINT('',(36.7266,37.38615,0.035)); #557084=CARTESIAN_POINT('',(36.7266,37.38615,0.035)); #557085=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #557086=CARTESIAN_POINT('Origin',(36.7266,45.995953,0.)); #557087=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #557088=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #557089=CARTESIAN_POINT('',(36.7266,45.995953,0.035)); #557090=CARTESIAN_POINT('',(36.7266,45.995953,0.035)); #557091=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #557092=CARTESIAN_POINT('Origin',(36.7239,46.021309,0.)); #557093=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #557094=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #557095=CARTESIAN_POINT('',(36.7239,46.021309,0.035)); #557096=CARTESIAN_POINT('',(36.7239,46.021309,0.035)); #557097=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #557098=CARTESIAN_POINT('Origin',(36.717194,46.041663,0.)); #557099=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #557100=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #557101=CARTESIAN_POINT('',(36.717194,46.041663,0.035)); #557102=CARTESIAN_POINT('',(36.717194,46.041663,0.035)); #557103=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #557104=CARTESIAN_POINT('Origin',(36.705731,46.061197,0.)); #557105=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #557106=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #557107=CARTESIAN_POINT('',(36.705731,46.061197,0.035)); #557108=CARTESIAN_POINT('',(36.705731,46.061197,0.035)); #557109=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #557110=CARTESIAN_POINT('Origin',(36.694822,46.073866,0.)); #557111=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #557112=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #557113=CARTESIAN_POINT('',(36.694822,46.073866,0.035)); #557114=CARTESIAN_POINT('',(36.694822,46.073866,0.035)); #557115=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #557116=CARTESIAN_POINT('Origin',(36.199709,46.568978,0.)); #557117=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #557118=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #557119=CARTESIAN_POINT('',(36.199709,46.568978,0.035)); #557120=CARTESIAN_POINT('',(36.199709,46.568978,0.035)); #557121=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #557122=CARTESIAN_POINT('Origin',(36.179869,46.584997,0.)); #557123=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #557124=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #557125=CARTESIAN_POINT('',(36.179869,46.584997,0.035)); #557126=CARTESIAN_POINT('',(36.179869,46.584997,0.035)); #557127=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #557128=CARTESIAN_POINT('Origin',(36.160728,46.594647,0.)); #557129=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #557130=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #557131=CARTESIAN_POINT('',(36.160728,46.594647,0.035)); #557132=CARTESIAN_POINT('',(36.160728,46.594647,0.035)); #557133=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #557134=CARTESIAN_POINT('Origin',(36.138816,46.600359,0.)); #557135=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #557136=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #557137=CARTESIAN_POINT('',(36.138816,46.600359,0.035)); #557138=CARTESIAN_POINT('',(36.138816,46.600359,0.035)); #557139=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #557140=CARTESIAN_POINT('Origin',(36.122153,46.6016,0.)); #557141=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #557142=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #557143=CARTESIAN_POINT('',(36.122153,46.6016,0.035)); #557144=CARTESIAN_POINT('',(36.122153,46.6016,0.035)); #557145=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #557146=CARTESIAN_POINT('Origin',(31.754047,46.6016,0.)); #557147=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #557148=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #557149=CARTESIAN_POINT('',(31.754047,46.6016,0.035)); #557150=CARTESIAN_POINT('',(31.754047,46.6016,0.035)); #557151=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #557152=CARTESIAN_POINT('Origin',(31.728691,46.5989,0.)); #557153=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #557154=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #557155=CARTESIAN_POINT('',(31.728691,46.5989,0.035)); #557156=CARTESIAN_POINT('',(31.728691,46.5989,0.035)); #557157=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #557158=CARTESIAN_POINT('Origin',(31.708338,46.592194,0.)); #557159=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #557160=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #557161=CARTESIAN_POINT('',(31.708338,46.592194,0.035)); #557162=CARTESIAN_POINT('',(31.708338,46.592194,0.035)); #557163=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #557164=CARTESIAN_POINT('Origin',(31.688803,46.580731,0.)); #557165=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #557166=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #557167=CARTESIAN_POINT('',(31.688803,46.580731,0.035)); #557168=CARTESIAN_POINT('',(31.688803,46.580731,0.035)); #557169=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #557170=CARTESIAN_POINT('Origin',(31.676134,46.569822,0.)); #557171=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #557172=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #557173=CARTESIAN_POINT('',(31.676134,46.569822,0.035)); #557174=CARTESIAN_POINT('',(31.676134,46.569822,0.035)); #557175=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #557176=CARTESIAN_POINT('Origin',(31.181031,46.074719,0.)); #557177=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #557178=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #557179=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #557180=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #557181=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #557182=CARTESIAN_POINT('Origin',(31.156872,46.058434,0.)); #557183=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #557184=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #557185=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #557186=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #557187=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #557188=CARTESIAN_POINT('Origin',(31.12715,46.0524,0.)); #557189=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #557190=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #557191=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #557192=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #557193=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #557194=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #557195=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #557196=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #557197=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #557198=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #557199=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #557200=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #557201=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #557202=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #557203=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #557204=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #557205=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #557206=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #557207=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #557208=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #557209=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #557210=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #557211=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #557212=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #557213=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #557214=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #557215=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #557216=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #557217=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #557218=CARTESIAN_POINT('Origin',(29.8852,43.9476,0.)); #557219=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #557220=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #557221=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #557222=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #557223=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #557224=CARTESIAN_POINT('Origin',(29.912669,43.942478,0.)); #557225=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #557226=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #557227=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #557228=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #557229=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #557230=CARTESIAN_POINT('Origin',(29.938216,43.926134,0.)); #557231=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #557232=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #557233=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #557234=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #557235=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #557236=CARTESIAN_POINT('Origin',(29.955366,43.901122,0.)); #557237=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #557238=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #557239=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #557240=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #557241=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #557242=CARTESIAN_POINT('Origin',(29.9614,43.8714,0.)); #557243=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #557244=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #557245=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #557246=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #557247=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #557248=CARTESIAN_POINT('Origin',(29.9614,38.465309,0.)); #557249=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #557250=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #557251=CARTESIAN_POINT('',(29.9614,38.465309,0.035)); #557252=CARTESIAN_POINT('',(29.9614,38.465309,0.035)); #557253=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #557254=CARTESIAN_POINT('Origin',(33.749081,34.677628,0.)); #557255=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #557256=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #557257=CARTESIAN_POINT('',(33.749081,34.677628,0.035)); #557258=CARTESIAN_POINT('',(33.749081,34.677628,0.035)); #557259=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #557260=CARTESIAN_POINT('Origin',(33.765366,34.653469,0.)); #557261=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #557262=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #557263=CARTESIAN_POINT('',(33.765366,34.653469,0.035)); #557264=CARTESIAN_POINT('',(33.765366,34.653469,0.035)); #557265=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #557266=CARTESIAN_POINT('Origin',(33.7714,34.623747,0.)); #557267=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #557268=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #557269=CARTESIAN_POINT('',(33.7714,34.623747,0.035)); #557270=CARTESIAN_POINT('',(33.7714,34.623747,0.035)); #557271=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #557272=CARTESIAN_POINT('Origin',(33.7714,27.655309,0.)); #557273=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #557274=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #557275=CARTESIAN_POINT('',(33.7714,27.655309,0.035)); #557276=CARTESIAN_POINT('',(33.7714,27.655309,0.035)); #557277=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #557278=CARTESIAN_POINT('Origin',(34.755528,26.671181,0.)); #557279=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #557280=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #557281=CARTESIAN_POINT('',(34.755528,26.671181,0.035)); #557282=CARTESIAN_POINT('',(34.755528,26.671181,0.035)); #557283=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #557284=CARTESIAN_POINT('Origin',(34.770828,26.649244,0.)); #557285=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #557286=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #557287=CARTESIAN_POINT('',(34.770828,26.649244,0.035)); #557288=CARTESIAN_POINT('',(34.770828,26.649244,0.035)); #557289=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #557290=CARTESIAN_POINT('Origin',(34.777809,26.619728,0.)); #557291=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #557292=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #557293=CARTESIAN_POINT('',(34.777809,26.619728,0.035)); #557294=CARTESIAN_POINT('',(34.777809,26.619728,0.035)); #557295=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #557296=CARTESIAN_POINT('Origin',(34.772725,26.589831,0.)); #557297=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #557298=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #557299=CARTESIAN_POINT('',(34.772725,26.589831,0.035)); #557300=CARTESIAN_POINT('',(34.772725,26.589831,0.035)); #557301=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #557302=CARTESIAN_POINT('Origin',(34.756381,26.564284,0.)); #557303=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #557304=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #557305=CARTESIAN_POINT('',(34.756381,26.564284,0.035)); #557306=CARTESIAN_POINT('',(34.756381,26.564284,0.035)); #557307=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #557308=CARTESIAN_POINT('Origin',(34.731369,26.547134,0.)); #557309=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #557310=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #557311=CARTESIAN_POINT('',(34.731369,26.547134,0.035)); #557312=CARTESIAN_POINT('',(34.731369,26.547134,0.035)); #557313=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #557314=CARTESIAN_POINT('Origin',(34.701647,26.5411,0.)); #557315=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #557316=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #557317=CARTESIAN_POINT('',(34.701647,26.5411,0.035)); #557318=CARTESIAN_POINT('',(34.701647,26.5411,0.035)); #557319=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #557320=CARTESIAN_POINT('Origin',(32.6778,26.5411,0.)); #557321=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #557322=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #557323=CARTESIAN_POINT('',(32.6778,26.5411,0.035)); #557324=CARTESIAN_POINT('',(32.6778,26.5411,0.035)); #557325=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #557326=CARTESIAN_POINT('Origin',(32.650331,26.546222,0.)); #557327=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #557328=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #557329=CARTESIAN_POINT('',(32.650331,26.546222,0.035)); #557330=CARTESIAN_POINT('',(32.650331,26.546222,0.035)); #557331=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #557332=CARTESIAN_POINT('Origin',(32.624784,26.562566,0.)); #557333=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #557334=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #557335=CARTESIAN_POINT('',(32.624784,26.562566,0.035)); #557336=CARTESIAN_POINT('',(32.624784,26.562566,0.035)); #557337=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #557338=CARTESIAN_POINT('Origin',(32.607634,26.587578,0.)); #557339=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #557340=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #557341=CARTESIAN_POINT('',(32.607634,26.587578,0.035)); #557342=CARTESIAN_POINT('',(32.607634,26.587578,0.035)); #557343=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #557344=CARTESIAN_POINT('Origin',(32.6016,26.6173,0.)); #557345=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #557346=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #557347=CARTESIAN_POINT('',(32.6016,26.6173,0.035)); #557348=CARTESIAN_POINT('',(32.6016,26.6173,0.035)); #557349=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #557350=CARTESIAN_POINT('Origin',(32.6016,28.745953,0.)); #557351=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #557352=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #557353=CARTESIAN_POINT('',(32.6016,28.745953,0.035)); #557354=CARTESIAN_POINT('',(32.6016,28.745953,0.035)); #557355=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #557356=CARTESIAN_POINT('Origin',(32.5989,28.771309,0.)); #557357=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #557358=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #557359=CARTESIAN_POINT('',(32.5989,28.771309,0.035)); #557360=CARTESIAN_POINT('',(32.5989,28.771309,0.035)); #557361=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #557362=CARTESIAN_POINT('Origin',(32.592194,28.791663,0.)); #557363=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #557364=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #557365=CARTESIAN_POINT('',(32.592194,28.791663,0.035)); #557366=CARTESIAN_POINT('',(32.592194,28.791663,0.035)); #557367=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #557368=CARTESIAN_POINT('Origin',(32.580731,28.811197,0.)); #557369=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #557370=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #557371=CARTESIAN_POINT('',(32.580731,28.811197,0.035)); #557372=CARTESIAN_POINT('',(32.580731,28.811197,0.035)); #557373=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #557374=CARTESIAN_POINT('Origin',(32.569822,28.823866,0.)); #557375=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #557376=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #557377=CARTESIAN_POINT('',(32.569822,28.823866,0.035)); #557378=CARTESIAN_POINT('',(32.569822,28.823866,0.035)); #557379=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #557380=CARTESIAN_POINT('Origin',(30.324709,31.068978,0.)); #557381=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #557382=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #557383=CARTESIAN_POINT('',(30.324709,31.068978,0.035)); #557384=CARTESIAN_POINT('',(30.324709,31.068978,0.035)); #557385=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #557386=CARTESIAN_POINT('Origin',(30.304869,31.084997,0.)); #557387=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #557388=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #557389=CARTESIAN_POINT('',(30.304869,31.084997,0.035)); #557390=CARTESIAN_POINT('',(30.304869,31.084997,0.035)); #557391=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #557392=CARTESIAN_POINT('Origin',(30.285728,31.094647,0.)); #557393=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #557394=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #557395=CARTESIAN_POINT('',(30.285728,31.094647,0.035)); #557396=CARTESIAN_POINT('',(30.285728,31.094647,0.035)); #557397=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #557398=CARTESIAN_POINT('Origin',(30.263816,31.100359,0.)); #557399=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #557400=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #557401=CARTESIAN_POINT('',(30.263816,31.100359,0.035)); #557402=CARTESIAN_POINT('',(30.263816,31.100359,0.035)); #557403=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #557404=CARTESIAN_POINT('Origin',(30.247153,31.1016,0.)); #557405=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #557406=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #557407=CARTESIAN_POINT('',(30.247153,31.1016,0.035)); #557408=CARTESIAN_POINT('',(30.247153,31.1016,0.035)); #557409=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #557410=CARTESIAN_POINT('Origin',(27.2536,31.1016,0.)); #557411=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #557412=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #557413=CARTESIAN_POINT('',(27.2536,31.1016,0.035)); #557414=CARTESIAN_POINT('',(27.2536,31.1016,0.035)); #557415=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #557416=CARTESIAN_POINT('Origin',(27.226131,31.106722,0.)); #557417=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #557418=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #557419=CARTESIAN_POINT('',(27.226131,31.106722,0.035)); #557420=CARTESIAN_POINT('',(27.226131,31.106722,0.035)); #557421=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #557422=CARTESIAN_POINT('Origin',(27.200584,31.123066,0.)); #557423=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #557424=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #557425=CARTESIAN_POINT('',(27.200584,31.123066,0.035)); #557426=CARTESIAN_POINT('',(27.200584,31.123066,0.035)); #557427=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #557428=CARTESIAN_POINT('Origin',(27.183434,31.148078,0.)); #557429=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #557430=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #557431=CARTESIAN_POINT('',(27.183434,31.148078,0.035)); #557432=CARTESIAN_POINT('',(27.183434,31.148078,0.035)); #557433=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #557434=CARTESIAN_POINT('Origin',(27.177594,31.176841,0.)); #557435=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #557436=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #557437=CARTESIAN_POINT('',(27.177594,31.176841,0.035)); #557438=CARTESIAN_POINT('',(27.177594,31.176841,0.035)); #557439=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #557440=CARTESIAN_POINT('Origin',(27.000919,31.353516,0.)); #557441=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #557442=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #557443=CARTESIAN_POINT('',(27.000919,31.353516,0.035)); #557444=CARTESIAN_POINT('',(27.000919,31.353516,0.035)); #557445=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #557446=CARTESIAN_POINT('Origin',(26.984634,31.377675,0.)); #557447=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #557448=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #557449=CARTESIAN_POINT('',(26.984634,31.377675,0.035)); #557450=CARTESIAN_POINT('',(26.984634,31.377675,0.035)); #557451=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #557452=CARTESIAN_POINT('Origin',(26.9786,31.407397,0.)); #557453=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #557454=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #557455=CARTESIAN_POINT('',(26.9786,31.407397,0.035)); #557456=CARTESIAN_POINT('',(26.9786,31.407397,0.035)); #557457=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #557458=CARTESIAN_POINT('Origin',(26.9786,35.592603,0.)); #557459=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #557460=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #557461=CARTESIAN_POINT('',(26.9786,35.592603,0.035)); #557462=CARTESIAN_POINT('',(26.9786,35.592603,0.035)); #557463=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #557464=CARTESIAN_POINT('Origin',(26.984169,35.6212,0.)); #557465=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #557466=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #557467=CARTESIAN_POINT('',(26.984169,35.6212,0.035)); #557468=CARTESIAN_POINT('',(26.984169,35.6212,0.035)); #557469=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #557470=CARTESIAN_POINT('Origin',(27.000919,35.646484,0.)); #557471=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #557472=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #557473=CARTESIAN_POINT('',(27.000919,35.646484,0.035)); #557474=CARTESIAN_POINT('',(27.000919,35.646484,0.035)); #557475=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #557476=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #557477=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #557478=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #557479=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #557480=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #557481=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #557482=CARTESIAN_POINT('Origin',(27.1774,36.177034,0.)); #557483=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #557484=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #557485=CARTESIAN_POINT('',(27.1774,36.177034,0.035)); #557486=CARTESIAN_POINT('',(27.1774,36.177034,0.035)); #557487=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #557488=CARTESIAN_POINT('Origin',(26.927034,36.4274,0.)); #557489=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #557490=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #557491=CARTESIAN_POINT('',(26.927034,36.4274,0.035)); #557492=CARTESIAN_POINT('',(26.927034,36.4274,0.035)); #557493=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #557494=CARTESIAN_POINT('Origin',(26.572966,36.4274,0.)); #557495=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #557496=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #557497=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #557498=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #557499=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #557500=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #557501=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #557502=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #557503=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #557504=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #557505=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #557506=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #557507=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #557508=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #557509=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #557510=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #557511=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #557512=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #557513=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #557514=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #557515=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #557516=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #557517=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #557518=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #557519=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #557520=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #557521=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #557522=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #557523=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #557524=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #557525=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #557526=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #557527=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #557528=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #557529=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #557530=CARTESIAN_POINT('Origin',(26.177034,36.4274,0.)); #557531=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #557532=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #557533=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #557534=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #557535=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #557536=CARTESIAN_POINT('Origin',(25.822966,36.4274,0.)); #557537=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #557538=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #557539=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #557540=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #557541=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #557542=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #557543=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #557544=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #557545=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #557546=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #557547=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #557548=CARTESIAN_POINT('Origin',(25.5726,35.822966,0.)); #557549=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #557550=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #557551=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #557552=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #557553=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #557554=CARTESIAN_POINT('Origin',(25.749081,35.646484,0.)); #557555=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #557556=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #557557=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #557558=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #557559=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #557560=CARTESIAN_POINT('Origin',(25.765366,35.622325,0.)); #557561=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #557562=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #557563=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #557564=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #557565=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #557566=CARTESIAN_POINT('Origin',(25.7714,35.592603,0.)); #557567=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #557568=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #557569=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #557570=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #557571=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #557572=CARTESIAN_POINT('Origin',(25.7714,31.407397,0.)); #557573=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #557574=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #557575=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #557576=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #557577=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #557578=CARTESIAN_POINT('Origin',(25.765831,31.3788,0.)); #557579=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #557580=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #557581=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #557582=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #557583=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #557584=CARTESIAN_POINT('Origin',(25.749081,31.353516,0.)); #557585=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #557586=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #557587=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #557588=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #557589=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #557590=CARTESIAN_POINT('Origin',(25.572425,31.176859,0.)); #557591=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #557592=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #557593=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #557594=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #557595=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #557596=CARTESIAN_POINT('Origin',(25.567478,31.150331,0.)); #557597=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #557598=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #557599=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #557600=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #557601=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #557602=CARTESIAN_POINT('Origin',(25.551134,31.124784,0.)); #557603=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #557604=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #557605=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #557606=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #557607=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #557608=CARTESIAN_POINT('Origin',(25.526122,31.107634,0.)); #557609=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #557610=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #557611=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #557612=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #557613=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #557614=CARTESIAN_POINT('Origin',(25.4964,31.1016,0.)); #557615=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #557616=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #557617=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #557618=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #557619=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #557620=CARTESIAN_POINT('Origin',(25.32365,31.1016,0.)); #557621=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #557622=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #557623=CARTESIAN_POINT('',(25.32365,31.1016,0.035)); #557624=CARTESIAN_POINT('',(25.32365,31.1016,0.035)); #557625=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #557626=CARTESIAN_POINT('Origin',(25.295053,31.107169,0.)); #557627=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #557628=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #557629=CARTESIAN_POINT('',(25.295053,31.107169,0.035)); #557630=CARTESIAN_POINT('',(25.295053,31.107169,0.035)); #557631=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #557632=CARTESIAN_POINT('Origin',(25.269769,31.123919,0.)); #557633=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #557634=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #557635=CARTESIAN_POINT('',(25.269769,31.123919,0.035)); #557636=CARTESIAN_POINT('',(25.269769,31.123919,0.035)); #557637=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #557638=CARTESIAN_POINT('Origin',(23.123919,33.269769,0.)); #557639=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #557640=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #557641=CARTESIAN_POINT('',(23.123919,33.269769,0.035)); #557642=CARTESIAN_POINT('',(23.123919,33.269769,0.035)); #557643=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #557644=CARTESIAN_POINT('Origin',(23.107634,33.293928,0.)); #557645=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #557646=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #557647=CARTESIAN_POINT('',(23.107634,33.293928,0.035)); #557648=CARTESIAN_POINT('',(23.107634,33.293928,0.035)); #557649=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #557650=CARTESIAN_POINT('Origin',(23.1016,33.32365,0.)); #557651=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #557652=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #557653=CARTESIAN_POINT('',(23.1016,33.32365,0.035)); #557654=CARTESIAN_POINT('',(23.1016,33.32365,0.035)); #557655=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #557656=CARTESIAN_POINT('Origin',(23.1016,34.745953,0.)); #557657=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #557658=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #557659=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #557660=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #557661=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #557662=CARTESIAN_POINT('Origin',(23.0989,34.771309,0.)); #557663=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #557664=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #557665=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #557666=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #557667=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #557668=CARTESIAN_POINT('Origin',(23.092194,34.791663,0.)); #557669=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #557670=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #557671=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #557672=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #557673=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #557674=CARTESIAN_POINT('Origin',(23.080731,34.811197,0.)); #557675=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #557676=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #557677=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #557678=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #557679=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #557680=CARTESIAN_POINT('Origin',(23.069822,34.823866,0.)); #557681=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #557682=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #557683=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #557684=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #557685=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #557686=CARTESIAN_POINT('Origin',(22.074709,35.818978,0.)); #557687=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #557688=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #557689=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #557690=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #557691=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #557692=CARTESIAN_POINT('Origin',(22.054869,35.834997,0.)); #557693=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #557694=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #557695=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #557696=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #557697=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #557698=CARTESIAN_POINT('Origin',(22.035728,35.844647,0.)); #557699=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #557700=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #557701=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #557702=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #557703=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #557704=CARTESIAN_POINT('Origin',(22.013816,35.850359,0.)); #557705=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #557706=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #557707=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #557708=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #557709=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #557710=CARTESIAN_POINT('Origin',(21.997153,35.8516,0.)); #557711=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #557712=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #557713=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #557714=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #557715=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #557716=CARTESIAN_POINT('Origin',(15.004047,35.8516,0.)); #557717=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #557718=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #557719=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #557720=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #557721=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #557722=CARTESIAN_POINT('Origin',(14.978691,35.8489,0.)); #557723=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #557724=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #557725=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #557726=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #557727=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #557728=CARTESIAN_POINT('Origin',(14.958338,35.842194,0.)); #557729=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #557730=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #557731=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #557732=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #557733=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #557734=CARTESIAN_POINT('Origin',(14.938803,35.830731,0.)); #557735=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #557736=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #557737=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #557738=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #557739=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #557740=CARTESIAN_POINT('Origin',(14.926134,35.819822,0.)); #557741=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #557742=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #557743=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #557744=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #557745=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #557746=CARTESIAN_POINT('Origin',(13.931022,34.824709,0.)); #557747=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #557748=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #557749=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #557750=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #557751=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #557752=CARTESIAN_POINT('Origin',(13.915003,34.804869,0.)); #557753=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #557754=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #557755=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #557756=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #557757=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #557758=CARTESIAN_POINT('Origin',(13.905353,34.785728,0.)); #557759=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #557760=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #557761=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #557762=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #557763=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #557764=CARTESIAN_POINT('Origin',(13.899641,34.763816,0.)); #557765=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #557766=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #557767=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #557768=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #557769=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #557770=CARTESIAN_POINT('Origin',(13.8984,34.747153,0.)); #557771=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #557772=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #557773=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #557774=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #557775=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #557776=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #557777=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #557778=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #557779=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #557780=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #557781=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #557782=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #557783=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #557784=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #557785=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #557786=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #557787=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #557788=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #557789=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #557790=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #557791=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #557792=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #557793=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #557794=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #557795=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #557796=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #557797=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #557798=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #557799=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #557800=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #557801=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #557802=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #557803=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #557804=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #557805=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #557806=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #557807=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #557808=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #557809=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #557810=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #557811=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #557812=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #557813=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #557814=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #557815=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #557816=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #557817=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #557818=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #557819=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #557820=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #557821=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #557822=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #557823=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #557824=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #557825=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #557826=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #557827=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #557828=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #557829=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #557830=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #557831=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #557832=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #557833=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #557834=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #557835=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #557836=CARTESIAN_POINT('Origin',(16.495953,19.1484,0.)); #557837=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #557838=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #557839=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #557840=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #557841=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #557842=CARTESIAN_POINT('Origin',(16.521309,19.1511,0.)); #557843=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #557844=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #557845=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #557846=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #557847=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #557848=CARTESIAN_POINT('Origin',(16.541663,19.157806,0.)); #557849=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #557850=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #557851=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #557852=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #557853=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #557854=CARTESIAN_POINT('Origin',(16.561197,19.169269,0.)); #557855=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #557856=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #557857=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #557858=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #557859=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #557860=CARTESIAN_POINT('Origin',(16.573866,19.180178,0.)); #557861=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #557862=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #557863=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #557864=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #557865=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #557866=CARTESIAN_POINT('Origin',(16.818978,19.425291,0.)); #557867=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #557868=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #557869=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #557870=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #557871=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #557872=CARTESIAN_POINT('Origin',(16.834997,19.445131,0.)); #557873=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #557874=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #557875=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #557876=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #557877=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #557878=CARTESIAN_POINT('Origin',(16.844647,19.464272,0.)); #557879=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #557880=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #557881=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #557882=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #557883=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #557884=CARTESIAN_POINT('Origin',(16.850359,19.486184,0.)); #557885=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #557886=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #557887=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #557888=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #557889=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #557890=CARTESIAN_POINT('Origin',(16.8516,19.502847,0.)); #557891=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #557892=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #557893=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #557894=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #557895=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #557896=CARTESIAN_POINT('Origin',(16.8516,25.30135,0.)); #557897=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #557898=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #557899=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #557900=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #557901=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #557902=CARTESIAN_POINT('Origin',(16.857169,25.329947,0.)); #557903=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #557904=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #557905=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #557906=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #557907=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #557908=CARTESIAN_POINT('Origin',(16.873919,25.355231,0.)); #557909=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #557910=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #557911=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #557912=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #557913=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #557914=CARTESIAN_POINT('Origin',(18.019769,26.501081,0.)); #557915=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #557916=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #557917=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #557918=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #557919=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #557920=CARTESIAN_POINT('Origin',(18.043928,26.517366,0.)); #557921=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #557922=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #557923=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #557924=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #557925=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #557926=CARTESIAN_POINT('Origin',(18.07365,26.5234,0.)); #557927=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #557928=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #557929=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #557930=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #557931=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #557932=CARTESIAN_POINT('Origin',(20.1143,26.5234,0.)); #557933=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #557934=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #557935=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #557936=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #557937=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #557938=CARTESIAN_POINT('Origin',(20.141769,26.518278,0.)); #557939=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #557940=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #557941=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #557942=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #557943=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #557944=CARTESIAN_POINT('Origin',(20.167316,26.501934,0.)); #557945=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #557946=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #557947=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #557948=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #557949=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #557950=CARTESIAN_POINT('Origin',(20.184466,26.476922,0.)); #557951=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #557952=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #557953=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #557954=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #557955=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #557956=CARTESIAN_POINT('Origin',(20.1905,26.4472,0.)); #557957=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #557958=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #557959=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #557960=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #557961=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #557962=CARTESIAN_POINT('Origin',(20.1905,25.492372,0.)); #557963=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #557964=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #557965=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #557966=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #557967=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #557968=CARTESIAN_POINT('Origin',(20.279772,25.4031,0.)); #557969=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #557970=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #557971=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #557972=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #557973=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #557974=CARTESIAN_POINT('Origin',(20.6452,25.4031,0.)); #557975=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #557976=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #557977=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #557978=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #557979=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #557980=CARTESIAN_POINT('Origin',(20.672669,25.397978,0.)); #557981=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #557982=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #557983=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #557984=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #557985=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #557986=CARTESIAN_POINT('Origin',(20.698216,25.381634,0.)); #557987=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #557988=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #557989=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #557990=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #557991=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #557992=CARTESIAN_POINT('Origin',(20.715366,25.356622,0.)); #557993=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #557994=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #557995=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #557996=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #557997=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #557998=CARTESIAN_POINT('Origin',(20.7214,25.3269,0.)); #557999=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #558000=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #558001=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #558002=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #558003=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #558004=CARTESIAN_POINT('Origin',(20.7214,25.0136,0.)); #558005=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #558006=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #558007=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #558008=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #558009=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #558010=CARTESIAN_POINT('Origin',(20.716278,24.986131,0.)); #558011=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #558012=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #558013=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #558014=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #558015=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #558016=CARTESIAN_POINT('Origin',(20.699934,24.960584,0.)); #558017=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #558018=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #558019=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #558020=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #558021=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #558022=CARTESIAN_POINT('Origin',(20.674922,24.943434,0.)); #558023=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #558024=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #558025=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #558026=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #558027=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #558028=CARTESIAN_POINT('Origin',(20.6452,24.9374,0.)); #558029=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #558030=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #558031=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #558032=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #558033=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #558034=CARTESIAN_POINT('Origin',(20.569372,24.9374,0.)); #558035=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #558036=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #558037=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #558038=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #558039=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #558040=CARTESIAN_POINT('Origin',(20.4801,24.848128,0.)); #558041=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #558042=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #558043=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #558044=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #558045=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #558046=CARTESIAN_POINT('Origin',(20.4801,23.577438,0.)); #558047=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #558048=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #558049=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #558050=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #558051=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #558052=CARTESIAN_POINT('Origin',(20.474847,23.549638,0.)); #558053=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #558054=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #558055=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #558056=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #558057=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #558058=CARTESIAN_POINT('Origin',(20.458384,23.524166,0.)); #558059=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #558060=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #558061=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #558062=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #558063=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #558064=CARTESIAN_POINT('Origin',(20.411809,23.476528,0.)); #558065=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #558066=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #558067=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #558068=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #558069=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #558070=CARTESIAN_POINT('Origin',(20.387047,23.459634,0.)); #558071=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #558072=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #558073=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #558074=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #558075=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #558076=CARTESIAN_POINT('Origin',(20.357325,23.4536,0.)); #558077=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #558078=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #558079=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #558080=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #558081=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #558082=CARTESIAN_POINT('Origin',(19.642675,23.4536,0.)); #558083=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #558084=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #558085=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #558086=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #558087=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #558088=CARTESIAN_POINT('Origin',(19.613281,23.459497,0.)); #558089=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #558090=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #558091=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #558092=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #558093=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #558094=CARTESIAN_POINT('Origin',(19.588191,23.476528,0.)); #558095=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #558096=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #558097=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #558098=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #558099=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #558100=CARTESIAN_POINT('Origin',(19.541616,23.524166,0.)); #558101=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #558102=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #558103=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #558104=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #558105=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #558106=CARTESIAN_POINT('Origin',(19.525934,23.547716,0.)); #558107=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #558108=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #558109=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #558110=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #558111=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #558112=CARTESIAN_POINT('Origin',(19.5199,23.577438,0.)); #558113=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #558114=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #558115=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #558116=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #558117=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #558118=CARTESIAN_POINT('Origin',(19.5199,24.848128,0.)); #558119=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #558120=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #558121=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #558122=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #558123=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #558124=CARTESIAN_POINT('Origin',(19.430628,24.9374,0.)); #558125=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #558126=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #558127=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #558128=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #558129=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #558130=CARTESIAN_POINT('Origin',(18.669372,24.9374,0.)); #558131=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #558132=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #558133=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #558134=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #558135=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #558136=CARTESIAN_POINT('Origin',(18.5801,24.848128,0.)); #558137=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #558138=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #558139=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #558140=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #558141=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #558142=CARTESIAN_POINT('Origin',(18.5801,23.451872,0.)); #558143=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #558144=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #558145=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #558146=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #558147=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #558148=CARTESIAN_POINT('Origin',(18.669372,23.3626,0.)); #558149=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #558150=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #558151=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #558152=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #558153=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #558154=CARTESIAN_POINT('Origin',(19.0281,23.3626,0.)); #558155=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #558156=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #558157=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #558158=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #558159=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #558160=CARTESIAN_POINT('Origin',(19.057494,23.356703,0.)); #558161=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #558162=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #558163=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #558164=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #558165=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #558166=CARTESIAN_POINT('Origin',(19.082588,23.339669,0.)); #558167=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #558168=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #558169=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #558170=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #558171=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #558172=CARTESIAN_POINT('Origin',(19.264088,23.154025,0.)); #558173=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #558174=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #558175=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #558176=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #558177=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #558178=CARTESIAN_POINT('Origin',(19.268078,23.148031,0.)); #558179=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #558180=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #558181=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #558182=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #558183=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #558184=CARTESIAN_POINT('Origin',(19.351516,23.064594,0.)); #558185=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #558186=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #558187=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #558188=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #558189=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #558190=CARTESIAN_POINT('Origin',(19.352125,23.063978,0.)); #558191=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #558192=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #558193=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #558194=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #558195=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #558196=CARTESIAN_POINT('Origin',(19.362716,23.053144,0.)); #558197=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #558198=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #558199=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #558200=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #558201=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #558202=CARTESIAN_POINT('Origin',(19.377906,23.030712,0.)); #558203=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #558204=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #558205=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #558206=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #558207=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #558208=CARTESIAN_POINT('Origin',(19.384416,23.001094,0.)); #558209=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #558210=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #558211=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #558212=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #558213=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #558214=CARTESIAN_POINT('Origin',(19.378856,22.971281,0.)); #558215=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #558216=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #558217=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #558218=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #558219=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #558220=CARTESIAN_POINT('Origin',(19.362106,22.945997,0.)); #558221=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #558222=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #558223=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #558224=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #558225=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #558226=CARTESIAN_POINT('Origin',(19.357516,22.941406,0.)); #558227=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #558228=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #558229=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #558230=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #558231=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #558232=CARTESIAN_POINT('Origin',(19.355078,22.939075,0.)); #558233=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #558234=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #558235=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #558236=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #558237=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #558238=CARTESIAN_POINT('Origin',(19.047278,22.657388,0.)); #558239=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #558240=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #558241=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #558242=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #558243=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #558244=CARTESIAN_POINT('Origin',(19.025556,22.643434,0.)); #558245=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #558246=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #558247=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #558248=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #558249=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #558250=CARTESIAN_POINT('Origin',(18.995834,22.6374,0.)); #558251=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #558252=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #558253=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #558254=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #558255=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #558256=CARTESIAN_POINT('Origin',(18.669372,22.6374,0.)); #558257=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #558258=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #558259=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #558260=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #558261=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #558262=CARTESIAN_POINT('Origin',(18.5801,22.548128,0.)); #558263=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #558264=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #558265=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #558266=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #558267=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #558268=CARTESIAN_POINT('Origin',(18.5801,21.151872,0.)); #558269=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #558270=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #558271=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #558272=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #558273=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #558274=CARTESIAN_POINT('Origin',(18.669372,21.0626,0.)); #558275=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #558276=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #558277=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #558278=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #558279=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #558280=CARTESIAN_POINT('Origin',(18.6952,21.0626,0.)); #558281=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #558282=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #558283=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #558284=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #558285=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #558286=CARTESIAN_POINT('Origin',(18.722669,21.057478,0.)); #558287=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #558288=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #558289=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #558290=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #558291=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #558292=CARTESIAN_POINT('Origin',(18.748216,21.041134,0.)); #558293=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #558294=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #558295=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #558296=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #558297=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #558298=CARTESIAN_POINT('Origin',(18.765366,21.016122,0.)); #558299=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #558300=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #558301=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #558302=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #558303=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #558304=CARTESIAN_POINT('Origin',(18.7714,20.9864,0.)); #558305=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #558306=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #558307=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #558308=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #558309=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #558310=CARTESIAN_POINT('Origin',(18.7714,20.842809,0.)); #558311=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #558312=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #558313=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #558314=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #558315=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #558316=CARTESIAN_POINT('Origin',(18.887228,20.726981,0.)); #558317=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #558318=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #558319=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #558320=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #558321=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #558322=CARTESIAN_POINT('Origin',(18.902528,20.705044,0.)); #558323=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #558324=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #558325=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #558326=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #558327=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #558328=CARTESIAN_POINT('Origin',(18.909509,20.675528,0.)); #558329=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #558330=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #558331=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #558332=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #558333=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #558334=CARTESIAN_POINT('Origin',(18.904425,20.645631,0.)); #558335=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #558336=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #558337=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #558338=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #558339=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #558340=CARTESIAN_POINT('Origin',(18.888081,20.620084,0.)); #558341=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #558342=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #558343=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #558344=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #558345=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #558346=CARTESIAN_POINT('Origin',(18.863069,20.602934,0.)); #558347=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #558348=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #558349=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #558350=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #558351=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #558352=CARTESIAN_POINT('Origin',(18.833347,20.5969,0.)); #558353=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #558354=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #558355=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #558356=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #558357=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #558358=CARTESIAN_POINT('Origin',(18.755772,20.5969,0.)); #558359=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #558360=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #558361=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #558362=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #558363=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #558364=CARTESIAN_POINT('Origin',(18.6665,20.507628,0.)); #558365=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #558366=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #558367=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #558368=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #558369=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #558370=CARTESIAN_POINT('Origin',(18.6665,19.492372,0.)); #558371=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #558372=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #558373=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #558374=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #558375=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #558376=CARTESIAN_POINT('Origin',(18.755772,19.4031,0.)); #558377=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #558378=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #558379=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #558380=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #558381=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #558382=CARTESIAN_POINT('Origin',(19.720228,19.4031,0.)); #558383=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #558384=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #558385=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #558386=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #558387=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #558388=CARTESIAN_POINT('Origin',(19.8095,19.492372,0.)); #558389=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #558390=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #558391=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #558392=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #558393=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #558394=CARTESIAN_POINT('Origin',(19.8095,20.507628,0.)); #558395=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #558396=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #558397=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #558398=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #558399=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #558400=CARTESIAN_POINT('Origin',(19.720228,20.5969,0.)); #558401=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #558402=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #558403=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #558404=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #558405=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #558406=CARTESIAN_POINT('Origin',(19.5428,20.5969,0.)); #558407=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #558408=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #558409=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #558410=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #558411=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #558412=CARTESIAN_POINT('Origin',(19.515331,20.602022,0.)); #558413=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #558414=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #558415=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #558416=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #558417=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #558418=CARTESIAN_POINT('Origin',(19.489784,20.618366,0.)); #558419=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #558420=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #558421=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #558422=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #558423=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #558424=CARTESIAN_POINT('Origin',(19.472634,20.643378,0.)); #558425=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #558426=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #558427=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #558428=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #558429=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #558430=CARTESIAN_POINT('Origin',(19.4666,20.6731,0.)); #558431=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #558432=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #558433=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #558434=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #558435=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #558436=CARTESIAN_POINT('Origin',(19.4666,20.794191,0.)); #558437=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #558438=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #558439=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #558440=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #558441=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #558442=CARTESIAN_POINT('Origin',(19.328272,20.932519,0.)); #558443=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #558444=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #558445=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #558446=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #558447=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #558448=CARTESIAN_POINT('Origin',(19.312972,20.954456,0.)); #558449=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #558450=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #558451=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #558452=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #558453=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #558454=CARTESIAN_POINT('Origin',(19.305991,20.983972,0.)); #558455=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #558456=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #558457=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #558458=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #558459=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #558460=CARTESIAN_POINT('Origin',(19.311075,21.013869,0.)); #558461=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #558462=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #558463=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #558464=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #558465=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #558466=CARTESIAN_POINT('Origin',(19.327419,21.039416,0.)); #558467=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #558468=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #558469=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #558470=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #558471=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #558472=CARTESIAN_POINT('Origin',(19.352431,21.056566,0.)); #558473=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #558474=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #558475=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #558476=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #558477=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #558478=CARTESIAN_POINT('Origin',(19.382153,21.0626,0.)); #558479=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #558480=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #558481=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #558482=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #558483=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #558484=CARTESIAN_POINT('Origin',(19.430628,21.0626,0.)); #558485=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #558486=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #558487=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #558488=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #558489=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #558490=CARTESIAN_POINT('Origin',(19.5199,21.151872,0.)); #558491=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #558492=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #558493=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #558494=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #558495=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #558496=CARTESIAN_POINT('Origin',(19.5199,22.436597,0.)); #558497=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #558498=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #558499=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #558500=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #558501=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #558502=CARTESIAN_POINT('Origin',(19.526806,22.468294,0.)); #558503=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #558504=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #558505=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #558506=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #558507=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #558508=CARTESIAN_POINT('Origin',(19.544656,22.492809,0.)); #558509=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #558510=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #558511=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #558512=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #558513=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #558514=CARTESIAN_POINT('Origin',(19.581375,22.526413,0.)); #558515=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #558516=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #558517=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #558518=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #558519=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #558520=CARTESIAN_POINT('Origin',(19.603097,22.540366,0.)); #558521=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #558522=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #558523=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #558524=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #558525=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #558526=CARTESIAN_POINT('Origin',(19.632819,22.5464,0.)); #558527=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #558528=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #558529=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #558530=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #558531=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #558532=CARTESIAN_POINT('Origin',(20.4039,22.5464,0.)); #558533=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #558534=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #558535=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #558536=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #558537=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #558538=CARTESIAN_POINT('Origin',(20.431369,22.541278,0.)); #558539=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #558540=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #558541=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #558542=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #558543=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #558544=CARTESIAN_POINT('Origin',(20.456916,22.524934,0.)); #558545=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #558546=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #558547=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #558548=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #558549=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #558550=CARTESIAN_POINT('Origin',(20.474066,22.499922,0.)); #558551=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #558552=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #558553=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #558554=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #558555=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #558556=CARTESIAN_POINT('Origin',(20.4801,22.4702,0.)); #558557=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #558558=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #558559=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #558560=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #558561=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #558562=CARTESIAN_POINT('Origin',(20.4801,21.151872,0.)); #558563=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #558564=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #558565=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #558566=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #558567=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #558568=CARTESIAN_POINT('Origin',(20.569372,21.0626,0.)); #558569=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #558570=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #558571=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #558572=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #558573=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #558574=CARTESIAN_POINT('Origin',(21.330628,21.0626,0.)); #558575=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #558576=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #558577=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #558578=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #558579=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #558580=CARTESIAN_POINT('Origin',(21.4199,21.151872,0.)); #558581=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #558582=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #558583=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #558584=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #558585=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #558586=CARTESIAN_POINT('Origin',(21.4199,22.548128,0.)); #558587=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #558588=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #558589=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #558590=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #558591=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #558592=CARTESIAN_POINT('Origin',(21.326709,22.641319,0.)); #558593=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #558594=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #558595=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #558596=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #558597=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #558598=CARTESIAN_POINT('Origin',(21.311409,22.663256,0.)); #558599=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #558600=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #558601=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #558602=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #558603=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #558604=CARTESIAN_POINT('Origin',(21.304428,22.692772,0.)); #558605=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #558606=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #558607=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #558608=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #558609=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #558610=CARTESIAN_POINT('Origin',(21.309513,22.722669,0.)); #558611=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #558612=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #558613=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #558614=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #558615=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #558616=CARTESIAN_POINT('Origin',(21.325856,22.748216,0.)); #558617=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #558618=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #558619=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #558620=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #558621=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #558622=CARTESIAN_POINT('Origin',(21.350869,22.765366,0.)); #558623=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #558624=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #558625=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #558626=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #558627=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #558628=CARTESIAN_POINT('Origin',(21.380591,22.7714,0.)); #558629=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #558630=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #558631=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #558632=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #558633=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #558634=CARTESIAN_POINT('Origin',(25.5903,22.7714,0.)); #558635=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #558636=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #558637=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #558638=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #558639=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #558640=CARTESIAN_POINT('Origin',(25.617769,22.766278,0.)); #558641=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #558642=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #558643=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #558644=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #558645=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #558646=CARTESIAN_POINT('Origin',(25.643316,22.749934,0.)); #558647=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #558648=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #558649=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #558650=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #558651=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #558652=CARTESIAN_POINT('Origin',(25.660466,22.724922,0.)); #558653=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #558654=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #558655=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #558656=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #558657=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #558658=CARTESIAN_POINT('Origin',(25.6665,22.6952,0.)); #558659=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #558660=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #558661=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #558662=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #558663=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #558664=CARTESIAN_POINT('Origin',(25.6665,22.492372,0.)); #558665=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #558666=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #558667=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #558668=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #558669=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #558670=CARTESIAN_POINT('Origin',(25.755772,22.4031,0.)); #558671=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #558672=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #558673=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #558674=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #558675=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #558676=CARTESIAN_POINT('Origin',(26.464059,22.4031,0.)); #558677=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #558678=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #558679=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #558680=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #558681=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #558682=CARTESIAN_POINT('Origin',(26.493903,22.397013,0.)); #558683=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #558684=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #558685=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #558686=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #558687=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #558688=CARTESIAN_POINT('Origin',(26.518888,22.379819,0.)); #558689=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #558690=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #558691=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #558692=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #558693=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #558694=CARTESIAN_POINT('Origin',(26.711928,22.179819,0.)); #558695=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #558696=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #558697=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #558698=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #558699=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #558700=CARTESIAN_POINT('Origin',(26.71805,22.170559,0.)); #558701=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #558702=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #558703=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #558704=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #558705=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #558706=CARTESIAN_POINT('Origin',(26.798431,22.090178,0.)); #558707=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #558708=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #558709=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #558710=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #558711=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #558712=CARTESIAN_POINT('Origin',(26.799378,22.089216,0.)); #558713=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #558714=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #558715=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #558716=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #558717=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #558718=CARTESIAN_POINT('Origin',(26.864834,22.0214,0.)); #558719=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #558720=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #558721=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #558722=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #558723=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #558724=CARTESIAN_POINT('Origin',(26.959238,22.0214,0.)); #558725=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #558726=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #558727=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #558728=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #558729=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #558730=CARTESIAN_POINT('Origin',(26.960588,22.021387,0.)); #558731=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #558732=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #558733=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #558734=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #558735=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #558736=CARTESIAN_POINT('Origin',(27.074959,22.019362,0.)); #558737=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #558738=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #558739=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #558740=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #558741=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #558742=CARTESIAN_POINT('Origin',(27.085,22.0214,0.)); #558743=CARTESIAN_POINT('',(27.085,22.0214,0.)); #558744=CARTESIAN_POINT('',(27.085,22.0214,0.)); #558745=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #558746=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #558747=CARTESIAN_POINT('',(27.085,22.0214,0.)); #558748=CARTESIAN_POINT('Origin',(27.639147,22.0214,0.)); #558749=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #558750=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #558751=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #558752=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #558753=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #558754=CARTESIAN_POINT('Origin',(27.665478,22.016706,0.)); #558755=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #558756=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #558757=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #558758=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #558759=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #558760=CARTESIAN_POINT('Origin',(27.691284,22.000772,0.)); #558761=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #558762=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #558763=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #558764=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #558765=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #558766=CARTESIAN_POINT('Origin',(27.708828,21.976034,0.)); #558767=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #558768=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #558769=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #558770=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #558771=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #558772=CARTESIAN_POINT('Origin',(27.715338,21.946416,0.)); #558773=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #558774=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #558775=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #558776=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #558777=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #558778=CARTESIAN_POINT('Origin',(27.709778,21.916603,0.)); #558779=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #558780=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #558781=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #558782=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #558783=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #558784=CARTESIAN_POINT('Origin',(27.693028,21.891319,0.)); #558785=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #558786=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #558787=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #558788=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #558789=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #558790=CARTESIAN_POINT('Origin',(26.7714,20.969691,0.)); #558791=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #558792=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #558793=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #558794=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #558795=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #558796=CARTESIAN_POINT('Origin',(26.7714,19.6731,0.)); #558797=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #558798=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #558799=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #558800=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #558801=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #558802=CARTESIAN_POINT('Origin',(26.766278,19.645631,0.)); #558803=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #558804=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #558805=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #558806=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #558807=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #558808=CARTESIAN_POINT('Origin',(26.749934,19.620084,0.)); #558809=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #558810=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #558811=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #558812=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #558813=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #558814=CARTESIAN_POINT('Origin',(26.724922,19.602934,0.)); #558815=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #558816=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #558817=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #558818=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #558819=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #558820=CARTESIAN_POINT('Origin',(26.6952,19.5969,0.)); #558821=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #558822=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #558823=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #558824=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #558825=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #558826=CARTESIAN_POINT('Origin',(25.755772,19.5969,0.)); #558827=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #558828=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #558829=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #558830=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #558831=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #558832=CARTESIAN_POINT('Origin',(25.6665,19.507628,0.)); #558833=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #558834=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #558835=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #558836=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #558837=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #558838=CARTESIAN_POINT('Origin',(25.6665,18.492372,0.)); #558839=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #558840=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #558841=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #558842=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #558843=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #558844=CARTESIAN_POINT('Origin',(25.755772,18.4031,0.)); #558845=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #558846=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #558847=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #558848=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #558849=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #558850=CARTESIAN_POINT('Origin',(25.9332,18.4031,0.)); #558851=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #558852=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #558853=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #558854=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #558855=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #558856=CARTESIAN_POINT('Origin',(25.960669,18.397978,0.)); #558857=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #558858=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #558859=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #558860=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #558861=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #558862=CARTESIAN_POINT('Origin',(25.986216,18.381634,0.)); #558863=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #558864=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #558865=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #558866=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #558867=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #558868=CARTESIAN_POINT('Origin',(26.003366,18.356622,0.)); #558869=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #558870=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #558871=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #558872=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #558873=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #558874=CARTESIAN_POINT('Origin',(26.0094,18.3269,0.)); #558875=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #558876=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #558877=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #558878=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #558879=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #558880=CARTESIAN_POINT('Origin',(26.0094,15.856647,0.)); #558881=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #558882=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #558883=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #558884=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #558885=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #558886=CARTESIAN_POINT('Origin',(29.844647,12.0214,0.)); #558887=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #558888=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #558889=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #558890=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #558891=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #558892=CARTESIAN_POINT('Origin',(33.655103,12.0214,0.)); #558893=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #558894=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #558895=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #558896=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #558897=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #558898=CARTESIAN_POINT('Origin',(33.6837,12.015831,0.)); #558899=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #558900=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #558901=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #558902=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #558903=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #558904=CARTESIAN_POINT('Origin',(33.708984,11.999081,0.)); #558905=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #558906=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #558907=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #558908=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #558909=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #558910=CARTESIAN_POINT('Origin',(33.885466,11.8226,0.)); #558911=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #558912=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #558913=CARTESIAN_POINT('Origin',(18.995953,40.6484,0.)); #558914=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #558915=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #558916=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #558917=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #558918=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #558919=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #558920=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #558921=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #558922=CARTESIAN_POINT('Origin',(19.021309,40.6511,0.)); #558923=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #558924=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #558925=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #558926=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #558927=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #558928=CARTESIAN_POINT('Origin',(19.041663,40.657806,0.)); #558929=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #558930=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #558931=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #558932=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #558933=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #558934=CARTESIAN_POINT('Origin',(19.061197,40.669269,0.)); #558935=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #558936=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #558937=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #558938=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #558939=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #558940=CARTESIAN_POINT('Origin',(19.073866,40.680178,0.)); #558941=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #558942=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #558943=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #558944=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #558945=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #558946=CARTESIAN_POINT('Origin',(20.769769,42.376081,0.)); #558947=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #558948=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #558949=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #558950=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #558951=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #558952=CARTESIAN_POINT('Origin',(20.793928,42.392366,0.)); #558953=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #558954=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #558955=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #558956=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #558957=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #558958=CARTESIAN_POINT('Origin',(20.82365,42.3984,0.)); #558959=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #558960=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #558961=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #558962=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #558963=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #558964=CARTESIAN_POINT('Origin',(24.245953,42.3984,0.)); #558965=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #558966=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #558967=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #558968=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #558969=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #558970=CARTESIAN_POINT('Origin',(24.271309,42.4011,0.)); #558971=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #558972=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #558973=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #558974=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #558975=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #558976=CARTESIAN_POINT('Origin',(24.291663,42.407806,0.)); #558977=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #558978=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #558979=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #558980=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #558981=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #558982=CARTESIAN_POINT('Origin',(24.311197,42.419269,0.)); #558983=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #558984=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #558985=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #558986=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #558987=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #558988=CARTESIAN_POINT('Origin',(24.323866,42.430178,0.)); #558989=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #558990=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #558991=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #558992=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #558993=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #558994=CARTESIAN_POINT('Origin',(25.068978,43.175291,0.)); #558995=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #558996=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #558997=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #558998=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #558999=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #559000=CARTESIAN_POINT('Origin',(25.084997,43.195131,0.)); #559001=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #559002=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #559003=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #559004=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #559005=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #559006=CARTESIAN_POINT('Origin',(25.094647,43.214272,0.)); #559007=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #559008=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #559009=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #559010=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #559011=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #559012=CARTESIAN_POINT('Origin',(25.100359,43.236184,0.)); #559013=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #559014=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #559015=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #559016=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #559017=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #559018=CARTESIAN_POINT('Origin',(25.1016,43.252847,0.)); #559019=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #559020=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #559021=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #559022=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #559023=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #559024=CARTESIAN_POINT('Origin',(25.1016,49.80135,0.)); #559025=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #559026=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #559027=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #559028=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #559029=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #559030=CARTESIAN_POINT('Origin',(25.107169,49.829947,0.)); #559031=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #559032=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #559033=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #559034=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #559035=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #559036=CARTESIAN_POINT('Origin',(25.123919,49.855231,0.)); #559037=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #559038=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #559039=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #559040=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #559041=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #559042=CARTESIAN_POINT('Origin',(26.394769,51.126081,0.)); #559043=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #559044=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #559045=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #559046=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #559047=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #559048=CARTESIAN_POINT('Origin',(26.418928,51.142366,0.)); #559049=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #559050=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #559051=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #559052=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #559053=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #559054=CARTESIAN_POINT('Origin',(26.44865,51.1484,0.)); #559055=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #559056=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #559057=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #559058=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #559059=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #559060=CARTESIAN_POINT('Origin',(30.245953,51.1484,0.)); #559061=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #559062=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #559063=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #559064=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #559065=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #559066=CARTESIAN_POINT('Origin',(30.271309,51.1511,0.)); #559067=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #559068=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #559069=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #559070=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #559071=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #559072=CARTESIAN_POINT('Origin',(30.291663,51.157806,0.)); #559073=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #559074=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #559075=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #559076=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #559077=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #559078=CARTESIAN_POINT('Origin',(30.311197,51.169269,0.)); #559079=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #559080=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #559081=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #559082=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #559083=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #559084=CARTESIAN_POINT('Origin',(30.323866,51.180178,0.)); #559085=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #559086=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #559087=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #559088=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #559089=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #559090=CARTESIAN_POINT('Origin',(31.068978,51.925291,0.)); #559091=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #559092=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #559093=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #559094=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #559095=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #559096=CARTESIAN_POINT('Origin',(31.084997,51.945131,0.)); #559097=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #559098=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #559099=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #559100=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #559101=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #559102=CARTESIAN_POINT('Origin',(31.094647,51.964272,0.)); #559103=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #559104=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #559105=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #559106=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #559107=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #559108=CARTESIAN_POINT('Origin',(31.100359,51.986184,0.)); #559109=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #559110=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #559111=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #559112=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #559113=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #559114=CARTESIAN_POINT('Origin',(31.1016,52.002847,0.)); #559115=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #559116=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #559117=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #559118=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #559119=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #559120=CARTESIAN_POINT('Origin',(31.1016,57.995953,0.)); #559121=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #559122=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #559123=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #559124=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #559125=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #559126=CARTESIAN_POINT('Origin',(31.0989,58.021309,0.)); #559127=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #559128=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #559129=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #559130=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #559131=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #559132=CARTESIAN_POINT('Origin',(31.092194,58.041663,0.)); #559133=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #559134=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #559135=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #559136=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #559137=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #559138=CARTESIAN_POINT('Origin',(31.080731,58.061197,0.)); #559139=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #559140=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #559141=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #559142=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #559143=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #559144=CARTESIAN_POINT('Origin',(31.069822,58.073866,0.)); #559145=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #559146=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #559147=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #559148=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #559149=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #559150=CARTESIAN_POINT('Origin',(30.324709,58.818978,0.)); #559151=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #559152=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #559153=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #559154=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #559155=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #559156=CARTESIAN_POINT('Origin',(30.304869,58.834997,0.)); #559157=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #559158=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #559159=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #559160=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #559161=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #559162=CARTESIAN_POINT('Origin',(30.285728,58.844647,0.)); #559163=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #559164=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #559165=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #559166=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #559167=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #559168=CARTESIAN_POINT('Origin',(30.263816,58.850359,0.)); #559169=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #559170=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #559171=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #559172=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #559173=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #559174=CARTESIAN_POINT('Origin',(30.247153,58.8516,0.)); #559175=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #559176=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #559177=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #559178=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #559179=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #559180=CARTESIAN_POINT('Origin',(25.254047,58.8516,0.)); #559181=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #559182=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #559183=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #559184=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #559185=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #559186=CARTESIAN_POINT('Origin',(25.228691,58.8489,0.)); #559187=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #559188=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #559189=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #559190=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #559191=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #559192=CARTESIAN_POINT('Origin',(25.208338,58.842194,0.)); #559193=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #559194=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #559195=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #559196=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #559197=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #559198=CARTESIAN_POINT('Origin',(25.188803,58.830731,0.)); #559199=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #559200=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #559201=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #559202=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #559203=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #559204=CARTESIAN_POINT('Origin',(25.176134,58.819822,0.)); #559205=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #559206=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #559207=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #559208=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #559209=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #559210=CARTESIAN_POINT('Origin',(24.487481,58.131169,0.)); #559211=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #559212=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #559213=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #559214=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #559215=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #559216=CARTESIAN_POINT('Origin',(24.465544,58.115869,0.)); #559217=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #559218=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #559219=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #559220=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #559221=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #559222=CARTESIAN_POINT('Origin',(24.436028,58.108888,0.)); #559223=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #559224=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #559225=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #559226=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #559227=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #559228=CARTESIAN_POINT('Origin',(24.406131,58.113972,0.)); #559229=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #559230=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #559231=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #559232=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #559233=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #559234=CARTESIAN_POINT('Origin',(24.380584,58.130316,0.)); #559235=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #559236=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #559237=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #559238=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #559239=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #559240=CARTESIAN_POINT('Origin',(24.363434,58.155328,0.)); #559241=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #559242=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #559243=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #559244=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #559245=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #559246=CARTESIAN_POINT('Origin',(24.3574,58.18505,0.)); #559247=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #559248=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #559249=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #559250=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #559251=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #559252=CARTESIAN_POINT('Origin',(24.3574,59.413128,0.)); #559253=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #559254=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #559255=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #559256=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #559257=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #559258=CARTESIAN_POINT('Origin',(24.268128,59.5024,0.)); #559259=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #559260=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #559261=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #559262=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #559263=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #559264=CARTESIAN_POINT('Origin',(23.541872,59.5024,0.)); #559265=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #559266=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #559267=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #559268=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #559269=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #559270=CARTESIAN_POINT('Origin',(23.4526,59.413128,0.)); #559271=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #559272=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #559273=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #559274=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #559275=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #559276=CARTESIAN_POINT('Origin',(23.4526,58.1778,0.)); #559277=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #559278=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #559279=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #559280=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #559281=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #559282=CARTESIAN_POINT('Origin',(23.447478,58.150331,0.)); #559283=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #559284=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #559285=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #559286=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #559287=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #559288=CARTESIAN_POINT('Origin',(23.431134,58.124784,0.)); #559289=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #559290=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #559291=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #559292=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #559293=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #559294=CARTESIAN_POINT('Origin',(23.406122,58.107634,0.)); #559295=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #559296=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #559297=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #559298=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #559299=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #559300=CARTESIAN_POINT('Origin',(23.3764,58.1016,0.)); #559301=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #559302=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #559303=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #559304=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #559305=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #559306=CARTESIAN_POINT('Origin',(19.379047,58.1016,0.)); #559307=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #559308=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #559309=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #559310=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #559311=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #559312=CARTESIAN_POINT('Origin',(19.353691,58.0989,0.)); #559313=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #559314=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #559315=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #559316=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #559317=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #559318=CARTESIAN_POINT('Origin',(19.333338,58.092194,0.)); #559319=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #559320=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #559321=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #559322=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #559323=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #559324=CARTESIAN_POINT('Origin',(19.313803,58.080731,0.)); #559325=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #559326=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #559327=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #559328=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #559329=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #559330=CARTESIAN_POINT('Origin',(19.301134,58.069822,0.)); #559331=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #559332=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #559333=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #559334=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #559335=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #559336=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #559337=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #559338=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #559339=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #559340=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #559341=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #559342=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #559343=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #559344=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #559345=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #559346=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #559347=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #559348=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #559349=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #559350=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #559351=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #559352=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #559353=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #559354=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #559355=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #559356=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #559357=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #559358=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #559359=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #559360=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #559361=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #559362=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #559363=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #559364=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #559365=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #559366=CARTESIAN_POINT('Origin',(18.2734,49.1778,0.)); #559367=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #559368=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #559369=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #559370=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #559371=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #559372=CARTESIAN_POINT('Origin',(18.268278,49.150331,0.)); #559373=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #559374=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #559375=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #559376=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #559377=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #559378=CARTESIAN_POINT('Origin',(18.251934,49.124784,0.)); #559379=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #559380=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #559381=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #559382=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #559383=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #559384=CARTESIAN_POINT('Origin',(18.226922,49.107634,0.)); #559385=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #559386=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #559387=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #559388=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #559389=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #559390=CARTESIAN_POINT('Origin',(18.1972,49.1016,0.)); #559391=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #559392=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #559393=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #559394=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #559395=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #559396=CARTESIAN_POINT('Origin',(14.754047,49.1016,0.)); #559397=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #559398=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #559399=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #559400=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #559401=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #559402=CARTESIAN_POINT('Origin',(14.728691,49.0989,0.)); #559403=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #559404=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #559405=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #559406=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #559407=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #559408=CARTESIAN_POINT('Origin',(14.708338,49.092194,0.)); #559409=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #559410=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #559411=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #559412=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #559413=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #559414=CARTESIAN_POINT('Origin',(14.688803,49.080731,0.)); #559415=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #559416=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #559417=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #559418=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #559419=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #559420=CARTESIAN_POINT('Origin',(14.676134,49.069822,0.)); #559421=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #559422=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #559423=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #559424=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #559425=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #559426=CARTESIAN_POINT('Origin',(13.931022,48.324709,0.)); #559427=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #559428=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #559429=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #559430=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #559431=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #559432=CARTESIAN_POINT('Origin',(13.915003,48.304869,0.)); #559433=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #559434=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #559435=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #559436=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #559437=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #559438=CARTESIAN_POINT('Origin',(13.905353,48.285728,0.)); #559439=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #559440=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #559441=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #559442=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #559443=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #559444=CARTESIAN_POINT('Origin',(13.899641,48.263816,0.)); #559445=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #559446=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #559447=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #559448=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #559449=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #559450=CARTESIAN_POINT('Origin',(13.8984,48.247153,0.)); #559451=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #559452=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #559453=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #559454=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #559455=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #559456=CARTESIAN_POINT('Origin',(13.8984,41.504047,0.)); #559457=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #559458=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #559459=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #559460=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #559461=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #559462=CARTESIAN_POINT('Origin',(13.9011,41.478691,0.)); #559463=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #559464=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #559465=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #559466=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #559467=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #559468=CARTESIAN_POINT('Origin',(13.907806,41.458337,0.)); #559469=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #559470=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #559471=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #559472=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #559473=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #559474=CARTESIAN_POINT('Origin',(13.919269,41.438803,0.)); #559475=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #559476=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #559477=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #559478=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #559479=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #559480=CARTESIAN_POINT('Origin',(13.930178,41.426134,0.)); #559481=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #559482=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #559483=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #559484=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #559485=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #559486=CARTESIAN_POINT('Origin',(14.675291,40.681022,0.)); #559487=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #559488=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #559489=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #559490=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #559491=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #559492=CARTESIAN_POINT('Origin',(14.695131,40.665003,0.)); #559493=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #559494=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #559495=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #559496=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #559497=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #559498=CARTESIAN_POINT('Origin',(14.714272,40.655353,0.)); #559499=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #559500=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #559501=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #559502=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #559503=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #559504=CARTESIAN_POINT('Origin',(14.736184,40.649641,0.)); #559505=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #559506=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #559507=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #559508=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #559509=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #559510=CARTESIAN_POINT('Origin',(14.752847,40.6484,0.)); #559511=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #559512=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #559513=CARTESIAN_POINT('Origin',(43.032191,13.3964,0.)); #559514=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #559515=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #559516=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #559517=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #559518=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #559519=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #559520=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #559521=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #559522=CARTESIAN_POINT('Origin',(43.259872,13.624081,0.)); #559523=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #559524=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #559525=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #559526=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #559527=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #559528=CARTESIAN_POINT('Origin',(43.284031,13.640366,0.)); #559529=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #559530=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #559531=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #559532=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #559533=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #559534=CARTESIAN_POINT('Origin',(43.313753,13.6464,0.)); #559535=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #559536=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #559537=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #559538=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #559539=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #559540=CARTESIAN_POINT('Origin',(54.780353,13.6464,0.)); #559541=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #559542=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #559543=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #559544=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #559545=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #559546=CARTESIAN_POINT('Origin',(60.4786,19.344647,0.)); #559547=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #559548=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #559549=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #559550=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #559551=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #559552=CARTESIAN_POINT('Origin',(60.4786,38.405353,0.)); #559553=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #559554=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #559555=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #559556=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #559557=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #559558=CARTESIAN_POINT('Origin',(57.905353,40.9786,0.)); #559559=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #559560=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #559561=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #559562=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #559563=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #559564=CARTESIAN_POINT('Origin',(53.55625,40.9786,0.)); #559565=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #559566=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #559567=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #559568=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #559569=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #559570=CARTESIAN_POINT('Origin',(53.529919,40.983294,0.)); #559571=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #559572=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #559573=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #559574=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #559575=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #559576=CARTESIAN_POINT('Origin',(53.504113,40.999228,0.)); #559577=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #559578=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #559579=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #559580=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #559581=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #559582=CARTESIAN_POINT('Origin',(53.486569,41.023966,0.)); #559583=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #559584=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #559585=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #559586=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #559587=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #559588=CARTESIAN_POINT('Origin',(53.480059,41.053584,0.)); #559589=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #559590=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #559591=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #559592=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #559593=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #559594=CARTESIAN_POINT('Origin',(53.485619,41.083397,0.)); #559595=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #559596=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #559597=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #559598=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #559599=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #559600=CARTESIAN_POINT('Origin',(53.502369,41.108681,0.)); #559601=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #559602=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #559603=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #559604=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #559605=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #559606=CARTESIAN_POINT('Origin',(54.068978,41.675291,0.)); #559607=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #559608=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #559609=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #559610=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #559611=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #559612=CARTESIAN_POINT('Origin',(54.084997,41.695131,0.)); #559613=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #559614=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #559615=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #559616=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #559617=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #559618=CARTESIAN_POINT('Origin',(54.094647,41.714272,0.)); #559619=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #559620=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #559621=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #559622=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #559623=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #559624=CARTESIAN_POINT('Origin',(54.100359,41.736184,0.)); #559625=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #559626=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #559627=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #559628=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #559629=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #559630=CARTESIAN_POINT('Origin',(54.1016,41.752847,0.)); #559631=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #559632=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #559633=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #559634=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #559635=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #559636=CARTESIAN_POINT('Origin',(54.1016,48.245953,0.)); #559637=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #559638=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #559639=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #559640=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #559641=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #559642=CARTESIAN_POINT('Origin',(54.0989,48.271309,0.)); #559643=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #559644=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #559645=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #559646=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #559647=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #559648=CARTESIAN_POINT('Origin',(54.092194,48.291663,0.)); #559649=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #559650=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #559651=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #559652=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #559653=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #559654=CARTESIAN_POINT('Origin',(54.080731,48.311197,0.)); #559655=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #559656=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #559657=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #559658=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #559659=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #559660=CARTESIAN_POINT('Origin',(54.069822,48.323866,0.)); #559661=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #559662=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #559663=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #559664=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #559665=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #559666=CARTESIAN_POINT('Origin',(53.324709,49.068978,0.)); #559667=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #559668=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #559669=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #559670=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #559671=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #559672=CARTESIAN_POINT('Origin',(53.304869,49.084997,0.)); #559673=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #559674=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #559675=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #559676=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #559677=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #559678=CARTESIAN_POINT('Origin',(53.285728,49.094647,0.)); #559679=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #559680=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #559681=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #559682=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #559683=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #559684=CARTESIAN_POINT('Origin',(53.263816,49.100359,0.)); #559685=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #559686=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #559687=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #559688=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #559689=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #559690=CARTESIAN_POINT('Origin',(53.247153,49.1016,0.)); #559691=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #559692=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #559693=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #559694=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #559695=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #559696=CARTESIAN_POINT('Origin',(49.8028,49.1016,0.)); #559697=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #559698=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #559699=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #559700=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #559701=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #559702=CARTESIAN_POINT('Origin',(49.775331,49.106722,0.)); #559703=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #559704=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #559705=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #559706=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #559707=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #559708=CARTESIAN_POINT('Origin',(49.749784,49.123066,0.)); #559709=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #559710=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #559711=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #559712=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #559713=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #559714=CARTESIAN_POINT('Origin',(49.732634,49.148078,0.)); #559715=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #559716=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #559717=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #559718=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #559719=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #559720=CARTESIAN_POINT('Origin',(49.7266,49.1778,0.)); #559721=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #559722=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #559723=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #559724=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #559725=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #559726=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #559727=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #559728=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #559729=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #559730=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #559731=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #559732=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #559733=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #559734=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #559735=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #559736=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #559737=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #559738=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #559739=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #559740=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #559741=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #559742=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #559743=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #559744=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #559745=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #559746=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #559747=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #559748=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #559749=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #559750=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #559751=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #559752=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #559753=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #559754=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #559755=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #559756=CARTESIAN_POINT('Origin',(48.699709,58.068978,0.)); #559757=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #559758=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #559759=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #559760=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #559761=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #559762=CARTESIAN_POINT('Origin',(48.679869,58.084997,0.)); #559763=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #559764=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #559765=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #559766=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #559767=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #559768=CARTESIAN_POINT('Origin',(48.660728,58.094647,0.)); #559769=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #559770=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #559771=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #559772=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #559773=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #559774=CARTESIAN_POINT('Origin',(48.638816,58.100359,0.)); #559775=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #559776=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #559777=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #559778=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #559779=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #559780=CARTESIAN_POINT('Origin',(48.622153,58.1016,0.)); #559781=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #559782=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #559783=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #559784=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #559785=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #559786=CARTESIAN_POINT('Origin',(48.4336,58.1016,0.)); #559787=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #559788=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #559789=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #559790=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #559791=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #559792=CARTESIAN_POINT('Origin',(48.406131,58.106722,0.)); #559793=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #559794=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #559795=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #559796=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #559797=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #559798=CARTESIAN_POINT('Origin',(48.380584,58.123066,0.)); #559799=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #559800=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #559801=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #559802=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #559803=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #559804=CARTESIAN_POINT('Origin',(48.363434,58.148078,0.)); #559805=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #559806=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #559807=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #559808=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #559809=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #559810=CARTESIAN_POINT('Origin',(48.3574,58.1778,0.)); #559811=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #559812=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #559813=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #559814=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #559815=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #559816=CARTESIAN_POINT('Origin',(48.3574,59.413128,0.)); #559817=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #559818=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #559819=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #559820=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #559821=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #559822=CARTESIAN_POINT('Origin',(48.268128,59.5024,0.)); #559823=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #559824=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #559825=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #559826=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #559827=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #559828=CARTESIAN_POINT('Origin',(47.541872,59.5024,0.)); #559829=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #559830=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #559831=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #559832=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #559833=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #559834=CARTESIAN_POINT('Origin',(47.4526,59.413128,0.)); #559835=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #559836=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #559837=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #559838=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #559839=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #559840=CARTESIAN_POINT('Origin',(47.4526,58.1778,0.)); #559841=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #559842=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #559843=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #559844=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #559845=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #559846=CARTESIAN_POINT('Origin',(47.447478,58.150331,0.)); #559847=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #559848=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #559849=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #559850=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #559851=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #559852=CARTESIAN_POINT('Origin',(47.431134,58.124784,0.)); #559853=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #559854=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #559855=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #559856=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #559857=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #559858=CARTESIAN_POINT('Origin',(47.406122,58.107634,0.)); #559859=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #559860=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #559861=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #559862=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #559863=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #559864=CARTESIAN_POINT('Origin',(47.3764,58.1016,0.)); #559865=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #559866=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #559867=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #559868=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #559869=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #559870=CARTESIAN_POINT('Origin',(43.57365,58.1016,0.)); #559871=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #559872=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #559873=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #559874=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #559875=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #559876=CARTESIAN_POINT('Origin',(43.545053,58.107169,0.)); #559877=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #559878=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #559879=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #559880=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #559881=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #559882=CARTESIAN_POINT('Origin',(43.519769,58.123919,0.)); #559883=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #559884=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #559885=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #559886=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #559887=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #559888=CARTESIAN_POINT('Origin',(42.824709,58.818978,0.)); #559889=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #559890=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #559891=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #559892=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #559893=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #559894=CARTESIAN_POINT('Origin',(42.804869,58.834997,0.)); #559895=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #559896=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #559897=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #559898=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #559899=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #559900=CARTESIAN_POINT('Origin',(42.785728,58.844647,0.)); #559901=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #559902=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #559903=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #559904=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #559905=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #559906=CARTESIAN_POINT('Origin',(42.763816,58.850359,0.)); #559907=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #559908=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #559909=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #559910=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #559911=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #559912=CARTESIAN_POINT('Origin',(42.747153,58.8516,0.)); #559913=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #559914=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #559915=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #559916=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #559917=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #559918=CARTESIAN_POINT('Origin',(37.754047,58.8516,0.)); #559919=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #559920=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #559921=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #559922=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #559923=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #559924=CARTESIAN_POINT('Origin',(37.728691,58.8489,0.)); #559925=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #559926=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #559927=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #559928=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #559929=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #559930=CARTESIAN_POINT('Origin',(37.708337,58.842194,0.)); #559931=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #559932=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #559933=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #559934=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #559935=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #559936=CARTESIAN_POINT('Origin',(37.688803,58.830731,0.)); #559937=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #559938=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #559939=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #559940=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #559941=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #559942=CARTESIAN_POINT('Origin',(37.676134,58.819822,0.)); #559943=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #559944=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #559945=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #559946=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #559947=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #559948=CARTESIAN_POINT('Origin',(36.931022,58.074709,0.)); #559949=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #559950=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #559951=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #559952=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #559953=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #559954=CARTESIAN_POINT('Origin',(36.915003,58.054869,0.)); #559955=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #559956=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #559957=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #559958=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #559959=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #559960=CARTESIAN_POINT('Origin',(36.905353,58.035728,0.)); #559961=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #559962=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #559963=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #559964=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #559965=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #559966=CARTESIAN_POINT('Origin',(36.899641,58.013816,0.)); #559967=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #559968=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #559969=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #559970=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #559971=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #559972=CARTESIAN_POINT('Origin',(36.8984,57.997153,0.)); #559973=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #559974=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #559975=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #559976=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #559977=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #559978=CARTESIAN_POINT('Origin',(36.8984,52.004047,0.)); #559979=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #559980=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #559981=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #559982=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #559983=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #559984=CARTESIAN_POINT('Origin',(36.9011,51.978691,0.)); #559985=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #559986=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #559987=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #559988=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #559989=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #559990=CARTESIAN_POINT('Origin',(36.907806,51.958337,0.)); #559991=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #559992=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #559993=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #559994=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #559995=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #559996=CARTESIAN_POINT('Origin',(36.919269,51.938803,0.)); #559997=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #559998=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #559999=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #560000=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #560001=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #560002=CARTESIAN_POINT('Origin',(36.930178,51.926134,0.)); #560003=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #560004=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #560005=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #560006=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #560007=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #560008=CARTESIAN_POINT('Origin',(37.497631,51.358681,0.)); #560009=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #560010=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #560011=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #560012=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #560013=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #560014=CARTESIAN_POINT('Origin',(37.512931,51.336744,0.)); #560015=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #560016=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #560017=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #560018=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #560019=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #560020=CARTESIAN_POINT('Origin',(37.519913,51.307228,0.)); #560021=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #560022=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #560023=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #560024=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #560025=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #560026=CARTESIAN_POINT('Origin',(37.514828,51.277331,0.)); #560027=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #560028=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #560029=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #560030=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #560031=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #560032=CARTESIAN_POINT('Origin',(37.498484,51.251784,0.)); #560033=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #560034=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #560035=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #560036=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #560037=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #560038=CARTESIAN_POINT('Origin',(37.473472,51.234634,0.)); #560039=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #560040=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #560041=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #560042=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #560043=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #560044=CARTESIAN_POINT('Origin',(37.44375,51.2286,0.)); #560045=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #560046=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #560047=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #560048=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #560049=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #560050=CARTESIAN_POINT('Origin',(36.813753,51.2286,0.)); #560051=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #560052=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #560053=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #560054=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #560055=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #560056=CARTESIAN_POINT('Origin',(36.785156,51.234169,0.)); #560057=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #560058=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #560059=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #560060=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #560061=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #560062=CARTESIAN_POINT('Origin',(36.759872,51.250919,0.)); #560063=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #560064=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #560065=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #560066=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #560067=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #560068=CARTESIAN_POINT('Origin',(34.625919,53.384872,0.)); #560069=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #560070=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #560071=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #560072=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #560073=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #560074=CARTESIAN_POINT('Origin',(34.609634,53.409031,0.)); #560075=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #560076=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #560077=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #560078=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #560079=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #560080=CARTESIAN_POINT('Origin',(34.6036,53.438753,0.)); #560081=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #560082=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #560083=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #560084=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #560085=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #560086=CARTESIAN_POINT('Origin',(34.6036,53.873747,0.)); #560087=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #560088=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #560089=CARTESIAN_POINT('',(34.6036,53.873747,0.035)); #560090=CARTESIAN_POINT('',(34.6036,53.873747,0.035)); #560091=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #560092=CARTESIAN_POINT('Origin',(34.609169,53.902344,0.)); #560093=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #560094=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #560095=CARTESIAN_POINT('',(34.609169,53.902344,0.035)); #560096=CARTESIAN_POINT('',(34.609169,53.902344,0.035)); #560097=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #560098=CARTESIAN_POINT('Origin',(34.625919,53.927628,0.)); #560099=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #560100=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #560101=CARTESIAN_POINT('',(34.625919,53.927628,0.035)); #560102=CARTESIAN_POINT('',(34.625919,53.927628,0.035)); #560103=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #560104=CARTESIAN_POINT('Origin',(34.9786,54.280309,0.)); #560105=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #560106=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #560107=CARTESIAN_POINT('',(34.9786,54.280309,0.035)); #560108=CARTESIAN_POINT('',(34.9786,54.280309,0.035)); #560109=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #560110=CARTESIAN_POINT('Origin',(34.9786,54.592603,0.)); #560111=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #560112=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #560113=CARTESIAN_POINT('',(34.9786,54.592603,0.035)); #560114=CARTESIAN_POINT('',(34.9786,54.592603,0.035)); #560115=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #560116=CARTESIAN_POINT('Origin',(34.984169,54.6212,0.)); #560117=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #560118=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #560119=CARTESIAN_POINT('',(34.984169,54.6212,0.035)); #560120=CARTESIAN_POINT('',(34.984169,54.6212,0.035)); #560121=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #560122=CARTESIAN_POINT('Origin',(35.000919,54.646484,0.)); #560123=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #560124=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #560125=CARTESIAN_POINT('',(35.000919,54.646484,0.035)); #560126=CARTESIAN_POINT('',(35.000919,54.646484,0.035)); #560127=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #560128=CARTESIAN_POINT('Origin',(35.1774,54.822966,0.)); #560129=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #560130=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #560131=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #560132=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #560133=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #560134=CARTESIAN_POINT('Origin',(35.1774,55.177034,0.)); #560135=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #560136=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #560137=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #560138=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #560139=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #560140=CARTESIAN_POINT('Origin',(34.927034,55.4274,0.)); #560141=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #560142=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #560143=CARTESIAN_POINT('',(34.927034,55.4274,0.035)); #560144=CARTESIAN_POINT('',(34.927034,55.4274,0.035)); #560145=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #560146=CARTESIAN_POINT('Origin',(34.572966,55.4274,0.)); #560147=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #560148=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #560149=CARTESIAN_POINT('',(34.572966,55.4274,0.035)); #560150=CARTESIAN_POINT('',(34.572966,55.4274,0.035)); #560151=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #560152=CARTESIAN_POINT('Origin',(34.428881,55.283316,0.)); #560153=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #560154=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #560155=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #560156=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #560157=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #560158=CARTESIAN_POINT('Origin',(34.405834,55.267516,0.)); #560159=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #560160=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #560161=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #560162=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #560163=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #560164=CARTESIAN_POINT('Origin',(34.376216,55.261006,0.)); #560165=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #560166=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #560167=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #560168=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #560169=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #560170=CARTESIAN_POINT('Origin',(34.346403,55.266566,0.)); #560171=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #560172=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #560173=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #560174=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #560175=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #560176=CARTESIAN_POINT('Origin',(34.321119,55.283316,0.)); #560177=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #560178=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #560179=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #560180=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #560181=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #560182=CARTESIAN_POINT('Origin',(34.177034,55.4274,0.)); #560183=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #560184=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #560185=CARTESIAN_POINT('',(34.177034,55.4274,0.035)); #560186=CARTESIAN_POINT('',(34.177034,55.4274,0.035)); #560187=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #560188=CARTESIAN_POINT('Origin',(33.822966,55.4274,0.)); #560189=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #560190=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #560191=CARTESIAN_POINT('',(33.822966,55.4274,0.035)); #560192=CARTESIAN_POINT('',(33.822966,55.4274,0.035)); #560193=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #560194=CARTESIAN_POINT('Origin',(33.678881,55.283316,0.)); #560195=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #560196=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #560197=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #560198=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #560199=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #560200=CARTESIAN_POINT('Origin',(33.655834,55.267516,0.)); #560201=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #560202=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #560203=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #560204=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #560205=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #560206=CARTESIAN_POINT('Origin',(33.626216,55.261006,0.)); #560207=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #560208=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #560209=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #560210=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #560211=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #560212=CARTESIAN_POINT('Origin',(33.596403,55.266566,0.)); #560213=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #560214=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #560215=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #560216=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #560217=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #560218=CARTESIAN_POINT('Origin',(33.571119,55.283316,0.)); #560219=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #560220=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #560221=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #560222=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #560223=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #560224=CARTESIAN_POINT('Origin',(33.427034,55.4274,0.)); #560225=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #560226=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #560227=CARTESIAN_POINT('',(33.427034,55.4274,0.035)); #560228=CARTESIAN_POINT('',(33.427034,55.4274,0.035)); #560229=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #560230=CARTESIAN_POINT('Origin',(33.072966,55.4274,0.)); #560231=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #560232=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #560233=CARTESIAN_POINT('',(33.072966,55.4274,0.035)); #560234=CARTESIAN_POINT('',(33.072966,55.4274,0.035)); #560235=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #560236=CARTESIAN_POINT('Origin',(32.8226,55.177034,0.)); #560237=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #560238=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #560239=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #560240=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #560241=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #560242=CARTESIAN_POINT('Origin',(32.8226,54.822966,0.)); #560243=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #560244=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #560245=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #560246=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #560247=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #560248=CARTESIAN_POINT('Origin',(32.999081,54.646484,0.)); #560249=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #560250=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #560251=CARTESIAN_POINT('',(32.999081,54.646484,0.035)); #560252=CARTESIAN_POINT('',(32.999081,54.646484,0.035)); #560253=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #560254=CARTESIAN_POINT('Origin',(33.015366,54.622325,0.)); #560255=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #560256=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #560257=CARTESIAN_POINT('',(33.015366,54.622325,0.035)); #560258=CARTESIAN_POINT('',(33.015366,54.622325,0.035)); #560259=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #560260=CARTESIAN_POINT('Origin',(33.0214,54.592603,0.)); #560261=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #560262=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #560263=CARTESIAN_POINT('',(33.0214,54.592603,0.035)); #560264=CARTESIAN_POINT('',(33.0214,54.592603,0.035)); #560265=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #560266=CARTESIAN_POINT('Origin',(33.0214,54.280309,0.)); #560267=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #560268=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #560269=CARTESIAN_POINT('',(33.0214,54.280309,0.035)); #560270=CARTESIAN_POINT('',(33.0214,54.280309,0.035)); #560271=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #560272=CARTESIAN_POINT('Origin',(33.374081,53.927628,0.)); #560273=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #560274=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #560275=CARTESIAN_POINT('',(33.374081,53.927628,0.035)); #560276=CARTESIAN_POINT('',(33.374081,53.927628,0.035)); #560277=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #560278=CARTESIAN_POINT('Origin',(33.390366,53.903469,0.)); #560279=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #560280=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #560281=CARTESIAN_POINT('',(33.390366,53.903469,0.035)); #560282=CARTESIAN_POINT('',(33.390366,53.903469,0.035)); #560283=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #560284=CARTESIAN_POINT('Origin',(33.3964,53.873747,0.)); #560285=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #560286=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #560287=CARTESIAN_POINT('',(33.3964,53.873747,0.035)); #560288=CARTESIAN_POINT('',(33.3964,53.873747,0.035)); #560289=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #560290=CARTESIAN_POINT('Origin',(33.3964,52.842809,0.)); #560291=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #560292=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #560293=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #560294=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #560295=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #560296=CARTESIAN_POINT('Origin',(36.217809,50.0214,0.)); #560297=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #560298=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #560299=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #560300=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #560301=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #560302=CARTESIAN_POINT('Origin',(41.123747,50.0214,0.)); #560303=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #560304=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #560305=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #560306=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #560307=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #560308=CARTESIAN_POINT('Origin',(41.152344,50.015831,0.)); #560309=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #560310=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #560311=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #560312=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #560313=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #560314=CARTESIAN_POINT('Origin',(41.177628,49.999081,0.)); #560315=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #560316=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #560317=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #560318=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #560319=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #560320=CARTESIAN_POINT('Origin',(41.749081,49.427628,0.)); #560321=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #560322=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #560323=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #560324=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #560325=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #560326=CARTESIAN_POINT('Origin',(41.765366,49.403469,0.)); #560327=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #560328=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #560329=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #560330=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #560331=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #560332=CARTESIAN_POINT('Origin',(41.7714,49.373747,0.)); #560333=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #560334=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #560335=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #560336=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #560337=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #560338=CARTESIAN_POINT('Origin',(41.7714,42.655309,0.)); #560339=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #560340=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #560341=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #560342=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #560343=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #560344=CARTESIAN_POINT('Origin',(43.155309,41.2714,0.)); #560345=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #560346=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #560347=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #560348=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #560349=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #560350=CARTESIAN_POINT('Origin',(46.623747,41.2714,0.)); #560351=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #560352=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #560353=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #560354=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #560355=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #560356=CARTESIAN_POINT('Origin',(46.652344,41.265831,0.)); #560357=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #560358=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #560359=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #560360=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #560361=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #560362=CARTESIAN_POINT('Origin',(46.677628,41.249081,0.)); #560363=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #560364=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #560365=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #560366=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #560367=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #560368=CARTESIAN_POINT('Origin',(48.155309,39.7714,0.)); #560369=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #560370=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #560371=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #560372=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #560373=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #560374=CARTESIAN_POINT('Origin',(57.373747,39.7714,0.)); #560375=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #560376=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #560377=CARTESIAN_POINT('',(57.373747,39.7714,0.035)); #560378=CARTESIAN_POINT('',(57.373747,39.7714,0.035)); #560379=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #560380=CARTESIAN_POINT('Origin',(57.402344,39.765831,0.)); #560381=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #560382=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #560383=CARTESIAN_POINT('',(57.402344,39.765831,0.035)); #560384=CARTESIAN_POINT('',(57.402344,39.765831,0.035)); #560385=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #560386=CARTESIAN_POINT('Origin',(57.427628,39.749081,0.)); #560387=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #560388=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #560389=CARTESIAN_POINT('',(57.427628,39.749081,0.035)); #560390=CARTESIAN_POINT('',(57.427628,39.749081,0.035)); #560391=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #560392=CARTESIAN_POINT('Origin',(59.249081,37.927628,0.)); #560393=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #560394=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #560395=CARTESIAN_POINT('',(59.249081,37.927628,0.035)); #560396=CARTESIAN_POINT('',(59.249081,37.927628,0.035)); #560397=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #560398=CARTESIAN_POINT('Origin',(59.265366,37.903469,0.)); #560399=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #560400=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #560401=CARTESIAN_POINT('',(59.265366,37.903469,0.035)); #560402=CARTESIAN_POINT('',(59.265366,37.903469,0.035)); #560403=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #560404=CARTESIAN_POINT('Origin',(59.2714,37.873747,0.)); #560405=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #560406=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #560407=CARTESIAN_POINT('',(59.2714,37.873747,0.035)); #560408=CARTESIAN_POINT('',(59.2714,37.873747,0.035)); #560409=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #560410=CARTESIAN_POINT('Origin',(59.2714,19.876253,0.)); #560411=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #560412=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #560413=CARTESIAN_POINT('',(59.2714,19.876253,0.035)); #560414=CARTESIAN_POINT('',(59.2714,19.876253,0.035)); #560415=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #560416=CARTESIAN_POINT('Origin',(59.265831,19.847656,0.)); #560417=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #560418=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #560419=CARTESIAN_POINT('',(59.265831,19.847656,0.035)); #560420=CARTESIAN_POINT('',(59.265831,19.847656,0.035)); #560421=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #560422=CARTESIAN_POINT('Origin',(59.249081,19.822372,0.)); #560423=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #560424=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #560425=CARTESIAN_POINT('',(59.249081,19.822372,0.035)); #560426=CARTESIAN_POINT('',(59.249081,19.822372,0.035)); #560427=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #560428=CARTESIAN_POINT('Origin',(54.302628,14.875919,0.)); #560429=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #560430=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #560431=CARTESIAN_POINT('',(54.302628,14.875919,0.035)); #560432=CARTESIAN_POINT('',(54.302628,14.875919,0.035)); #560433=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #560434=CARTESIAN_POINT('Origin',(54.278469,14.859634,0.)); #560435=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #560436=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #560437=CARTESIAN_POINT('',(54.278469,14.859634,0.035)); #560438=CARTESIAN_POINT('',(54.278469,14.859634,0.035)); #560439=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #560440=CARTESIAN_POINT('Origin',(54.248747,14.8536,0.)); #560441=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #560442=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #560443=CARTESIAN_POINT('',(54.248747,14.8536,0.035)); #560444=CARTESIAN_POINT('',(54.248747,14.8536,0.035)); #560445=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #560446=CARTESIAN_POINT('Origin',(43.313753,14.8536,0.)); #560447=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #560448=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #560449=CARTESIAN_POINT('',(43.313753,14.8536,0.035)); #560450=CARTESIAN_POINT('',(43.313753,14.8536,0.035)); #560451=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #560452=CARTESIAN_POINT('Origin',(43.285156,14.859169,0.)); #560453=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #560454=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #560455=CARTESIAN_POINT('',(43.285156,14.859169,0.035)); #560456=CARTESIAN_POINT('',(43.285156,14.859169,0.035)); #560457=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #560458=CARTESIAN_POINT('Origin',(43.259872,14.875919,0.)); #560459=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #560460=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #560461=CARTESIAN_POINT('',(43.259872,14.875919,0.035)); #560462=CARTESIAN_POINT('',(43.259872,14.875919,0.035)); #560463=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #560464=CARTESIAN_POINT('Origin',(43.032191,15.1036,0.)); #560465=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #560466=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #560467=CARTESIAN_POINT('',(43.032191,15.1036,0.035)); #560468=CARTESIAN_POINT('',(43.032191,15.1036,0.035)); #560469=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #560470=CARTESIAN_POINT('Origin',(41.751253,15.1036,0.)); #560471=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #560472=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #560473=CARTESIAN_POINT('',(41.751253,15.1036,0.035)); #560474=CARTESIAN_POINT('',(41.751253,15.1036,0.035)); #560475=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #560476=CARTESIAN_POINT('Origin',(41.722656,15.109169,0.)); #560477=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #560478=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #560479=CARTESIAN_POINT('',(41.722656,15.109169,0.035)); #560480=CARTESIAN_POINT('',(41.722656,15.109169,0.035)); #560481=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #560482=CARTESIAN_POINT('Origin',(41.697372,15.125919,0.)); #560483=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #560484=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #560485=CARTESIAN_POINT('',(41.697372,15.125919,0.035)); #560486=CARTESIAN_POINT('',(41.697372,15.125919,0.035)); #560487=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #560488=CARTESIAN_POINT('Origin',(40.094691,16.7286,0.)); #560489=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #560490=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #560491=CARTESIAN_POINT('',(40.094691,16.7286,0.035)); #560492=CARTESIAN_POINT('',(40.094691,16.7286,0.035)); #560493=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #560494=CARTESIAN_POINT('Origin',(39.657397,16.7286,0.)); #560495=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #560496=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #560497=CARTESIAN_POINT('',(39.657397,16.7286,0.035)); #560498=CARTESIAN_POINT('',(39.657397,16.7286,0.035)); #560499=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #560500=CARTESIAN_POINT('Origin',(39.6288,16.734169,0.)); #560501=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #560502=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #560503=CARTESIAN_POINT('',(39.6288,16.734169,0.035)); #560504=CARTESIAN_POINT('',(39.6288,16.734169,0.035)); #560505=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #560506=CARTESIAN_POINT('Origin',(39.603516,16.750919,0.)); #560507=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #560508=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #560509=CARTESIAN_POINT('',(39.603516,16.750919,0.035)); #560510=CARTESIAN_POINT('',(39.603516,16.750919,0.035)); #560511=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #560512=CARTESIAN_POINT('Origin',(39.408316,16.946119,0.)); #560513=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #560514=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #560515=CARTESIAN_POINT('',(39.408316,16.946119,0.035)); #560516=CARTESIAN_POINT('',(39.408316,16.946119,0.035)); #560517=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #560518=CARTESIAN_POINT('Origin',(39.392516,16.969166,0.)); #560519=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #560520=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #560521=CARTESIAN_POINT('',(39.392516,16.969166,0.035)); #560522=CARTESIAN_POINT('',(39.392516,16.969166,0.035)); #560523=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #560524=CARTESIAN_POINT('Origin',(39.386006,16.998784,0.)); #560525=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #560526=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #560527=CARTESIAN_POINT('',(39.386006,16.998784,0.035)); #560528=CARTESIAN_POINT('',(39.386006,16.998784,0.035)); #560529=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #560530=CARTESIAN_POINT('Origin',(39.391566,17.028597,0.)); #560531=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #560532=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #560533=CARTESIAN_POINT('',(39.391566,17.028597,0.035)); #560534=CARTESIAN_POINT('',(39.391566,17.028597,0.035)); #560535=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #560536=CARTESIAN_POINT('Origin',(39.408316,17.053881,0.)); #560537=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #560538=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #560539=CARTESIAN_POINT('',(39.408316,17.053881,0.035)); #560540=CARTESIAN_POINT('',(39.408316,17.053881,0.035)); #560541=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #560542=CARTESIAN_POINT('Origin',(39.6774,17.322966,0.)); #560543=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #560544=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #560545=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #560546=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #560547=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #560548=CARTESIAN_POINT('Origin',(39.6774,17.677034,0.)); #560549=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #560550=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #560551=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #560552=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #560553=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #560554=CARTESIAN_POINT('Origin',(39.533316,17.821119,0.)); #560555=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #560556=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #560557=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #560558=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #560559=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #560560=CARTESIAN_POINT('Origin',(39.517516,17.844166,0.)); #560561=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #560562=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #560563=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #560564=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #560565=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #560566=CARTESIAN_POINT('Origin',(39.511006,17.873784,0.)); #560567=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #560568=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #560569=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #560570=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #560571=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #560572=CARTESIAN_POINT('Origin',(39.516566,17.903597,0.)); #560573=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #560574=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #560575=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #560576=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #560577=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #560578=CARTESIAN_POINT('Origin',(39.533316,17.928881,0.)); #560579=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #560580=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #560581=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #560582=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #560583=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #560584=CARTESIAN_POINT('Origin',(39.603516,17.999081,0.)); #560585=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #560586=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #560587=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #560588=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #560589=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #560590=CARTESIAN_POINT('Origin',(39.627675,18.015366,0.)); #560591=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #560592=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #560593=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #560594=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #560595=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #560596=CARTESIAN_POINT('Origin',(39.657397,18.0214,0.)); #560597=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #560598=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #560599=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #560600=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #560601=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #560602=CARTESIAN_POINT('Origin',(41.373747,18.0214,0.)); #560603=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #560604=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #560605=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #560606=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #560607=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #560608=CARTESIAN_POINT('Origin',(41.402344,18.015831,0.)); #560609=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #560610=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #560611=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #560612=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #560613=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #560614=CARTESIAN_POINT('Origin',(41.427628,17.999081,0.)); #560615=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #560616=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #560617=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #560618=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #560619=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #560620=CARTESIAN_POINT('Origin',(42.249081,17.177628,0.)); #560621=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #560622=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #560623=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #560624=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #560625=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #560626=CARTESIAN_POINT('Origin',(42.265366,17.153469,0.)); #560627=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #560628=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #560629=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #560630=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #560631=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #560632=CARTESIAN_POINT('Origin',(42.2714,17.123747,0.)); #560633=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #560634=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #560635=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #560636=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #560637=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #560638=CARTESIAN_POINT('Origin',(42.2714,16.407397,0.)); #560639=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #560640=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #560641=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #560642=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #560643=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #560644=CARTESIAN_POINT('Origin',(42.265831,16.3788,0.)); #560645=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #560646=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #560647=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #560648=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #560649=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #560650=CARTESIAN_POINT('Origin',(42.249081,16.353516,0.)); #560651=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #560652=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #560653=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #560654=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #560655=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #560656=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #560657=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #560658=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #560659=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #560660=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #560661=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #560662=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #560663=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #560664=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #560665=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #560666=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #560667=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #560668=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #560669=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #560670=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #560671=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #560672=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #560673=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #560674=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #560675=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #560676=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #560677=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #560678=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #560679=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #560680=CARTESIAN_POINT('Origin',(42.9274,15.822966,0.)); #560681=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #560682=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #560683=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #560684=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #560685=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #560686=CARTESIAN_POINT('Origin',(42.9274,16.177034,0.)); #560687=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #560688=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #560689=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #560690=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #560691=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #560692=CARTESIAN_POINT('Origin',(42.750919,16.353516,0.)); #560693=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #560694=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #560695=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #560696=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #560697=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #560698=CARTESIAN_POINT('Origin',(42.734634,16.377675,0.)); #560699=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #560700=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #560701=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #560702=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #560703=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #560704=CARTESIAN_POINT('Origin',(42.7286,16.407397,0.)); #560705=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #560706=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #560707=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #560708=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #560709=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #560710=CARTESIAN_POINT('Origin',(42.7286,17.344691,0.)); #560711=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #560712=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #560713=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #560714=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #560715=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #560716=CARTESIAN_POINT('Origin',(41.594691,18.4786,0.)); #560717=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #560718=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #560719=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #560720=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #560721=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #560722=CARTESIAN_POINT('Origin',(39.657397,18.4786,0.)); #560723=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #560724=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #560725=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #560726=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #560727=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #560728=CARTESIAN_POINT('Origin',(39.6288,18.484169,0.)); #560729=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #560730=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #560731=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #560732=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #560733=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #560734=CARTESIAN_POINT('Origin',(39.603516,18.500919,0.)); #560735=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #560736=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #560737=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #560738=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #560739=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #560740=CARTESIAN_POINT('Origin',(39.533316,18.571119,0.)); #560741=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #560742=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #560743=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #560744=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #560745=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #560746=CARTESIAN_POINT('Origin',(39.517516,18.594166,0.)); #560747=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #560748=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #560749=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #560750=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #560751=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #560752=CARTESIAN_POINT('Origin',(39.511006,18.623784,0.)); #560753=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #560754=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #560755=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #560756=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #560757=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #560758=CARTESIAN_POINT('Origin',(39.516566,18.653597,0.)); #560759=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #560760=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #560761=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #560762=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #560763=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #560764=CARTESIAN_POINT('Origin',(39.533316,18.678881,0.)); #560765=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #560766=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #560767=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #560768=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #560769=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #560770=CARTESIAN_POINT('Origin',(39.6774,18.822966,0.)); #560771=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #560772=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #560773=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #560774=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #560775=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #560776=CARTESIAN_POINT('Origin',(39.6774,19.177034,0.)); #560777=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #560778=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #560779=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #560780=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #560781=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #560782=CARTESIAN_POINT('Origin',(39.427034,19.4274,0.)); #560783=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #560784=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #560785=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #560786=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #560787=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #560788=CARTESIAN_POINT('Origin',(39.072966,19.4274,0.)); #560789=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #560790=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #560791=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #560792=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #560793=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #560794=CARTESIAN_POINT('Origin',(38.8226,19.177034,0.)); #560795=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #560796=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #560797=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #560798=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #560799=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #560800=CARTESIAN_POINT('Origin',(38.8226,18.822966,0.)); #560801=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #560802=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #560803=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #560804=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #560805=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #560806=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #560807=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #560808=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #560809=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #560810=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #560811=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #560812=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #560813=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #560814=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #560815=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #560816=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #560817=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #560818=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #560819=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #560820=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #560821=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #560822=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #560823=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #560824=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #560825=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #560826=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #560827=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #560828=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #560829=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #560830=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #560831=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #560832=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #560833=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #560834=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #560835=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #560836=CARTESIAN_POINT('Origin',(38.8226,18.427034,0.)); #560837=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #560838=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #560839=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #560840=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #560841=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #560842=CARTESIAN_POINT('Origin',(38.8226,18.072966,0.)); #560843=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #560844=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #560845=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #560846=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #560847=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #560848=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #560849=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #560850=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #560851=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #560852=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #560853=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #560854=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #560855=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #560856=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #560857=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #560858=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #560859=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #560860=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #560861=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #560862=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #560863=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #560864=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #560865=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #560866=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #560867=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #560868=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #560869=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #560870=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #560871=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #560872=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #560873=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #560874=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #560875=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #560876=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #560877=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #560878=CARTESIAN_POINT('Origin',(38.8226,17.677034,0.)); #560879=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #560880=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #560881=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #560882=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #560883=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #560884=CARTESIAN_POINT('Origin',(38.8226,17.322966,0.)); #560885=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #560886=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #560887=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #560888=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #560889=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #560890=CARTESIAN_POINT('Origin',(39.091684,17.053881,0.)); #560891=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #560892=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #560893=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #560894=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #560895=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #560896=CARTESIAN_POINT('Origin',(39.107484,17.030834,0.)); #560897=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #560898=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #560899=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #560900=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #560901=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #560902=CARTESIAN_POINT('Origin',(39.113994,17.001216,0.)); #560903=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #560904=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #560905=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #560906=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #560907=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #560908=CARTESIAN_POINT('Origin',(39.108434,16.971403,0.)); #560909=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #560910=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #560911=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #560912=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #560913=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #560914=CARTESIAN_POINT('Origin',(39.091684,16.946119,0.)); #560915=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #560916=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #560917=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #560918=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #560919=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #560920=CARTESIAN_POINT('Origin',(38.8226,16.677034,0.)); #560921=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #560922=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #560923=CARTESIAN_POINT('',(38.8226,16.677034,0.035)); #560924=CARTESIAN_POINT('',(38.8226,16.677034,0.035)); #560925=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #560926=CARTESIAN_POINT('Origin',(38.8226,16.322966,0.)); #560927=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #560928=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #560929=CARTESIAN_POINT('',(38.8226,16.322966,0.035)); #560930=CARTESIAN_POINT('',(38.8226,16.322966,0.035)); #560931=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #560932=CARTESIAN_POINT('Origin',(38.966684,16.178881,0.)); #560933=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #560934=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #560935=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #560936=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #560937=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #560938=CARTESIAN_POINT('Origin',(38.982484,16.155834,0.)); #560939=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #560940=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #560941=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #560942=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #560943=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #560944=CARTESIAN_POINT('Origin',(38.988994,16.126216,0.)); #560945=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #560946=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #560947=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #560948=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #560949=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #560950=CARTESIAN_POINT('Origin',(38.983434,16.096403,0.)); #560951=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #560952=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #560953=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #560954=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #560955=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #560956=CARTESIAN_POINT('Origin',(38.966684,16.071119,0.)); #560957=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #560958=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #560959=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #560960=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #560961=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #560962=CARTESIAN_POINT('Origin',(38.8226,15.927034,0.)); #560963=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #560964=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #560965=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #560966=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #560967=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #560968=CARTESIAN_POINT('Origin',(38.8226,15.572966,0.)); #560969=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #560970=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #560971=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #560972=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #560973=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #560974=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #560975=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #560976=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #560977=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #560978=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #560979=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #560980=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #560981=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #560982=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #560983=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #560984=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #560985=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #560986=CARTESIAN_POINT('Origin',(39.585241,15.480806,0.)); #560987=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #560988=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #560989=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #560990=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #560991=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #560992=CARTESIAN_POINT('Origin',(39.608288,15.496606,0.)); #560993=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #560994=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #560995=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #560996=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #560997=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #560998=CARTESIAN_POINT('Origin',(39.637906,15.503116,0.)); #560999=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #561000=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #561001=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #561002=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #561003=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #561004=CARTESIAN_POINT('Origin',(39.667719,15.497556,0.)); #561005=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #561006=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #561007=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #561008=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #561009=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #561010=CARTESIAN_POINT('Origin',(39.693003,15.480806,0.)); #561011=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #561012=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #561013=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #561014=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #561015=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #561016=CARTESIAN_POINT('Origin',(41.777409,13.3964,0.)); #561017=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #561018=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #561019=CARTESIAN_POINT('Origin',(30.939034,59.8226,0.)); #561020=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #561021=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #561022=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #561023=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #561024=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #561025=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #561026=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #561027=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #561028=CARTESIAN_POINT('Origin',(31.1894,60.072966,0.)); #561029=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #561030=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #561031=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #561032=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #561033=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #561034=CARTESIAN_POINT('Origin',(31.1894,60.427034,0.)); #561035=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #561036=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #561037=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #561038=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #561039=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #561040=CARTESIAN_POINT('Origin',(31.012919,60.603516,0.)); #561041=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #561042=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #561043=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #561044=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #561045=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #561046=CARTESIAN_POINT('Origin',(30.996634,60.627675,0.)); #561047=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #561048=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #561049=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #561050=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #561051=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #561052=CARTESIAN_POINT('Origin',(30.9906,60.657397,0.)); #561053=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #561054=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #561055=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #561056=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #561057=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #561058=CARTESIAN_POINT('Origin',(30.9906,65.3269,0.)); #561059=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #561060=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #561061=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #561062=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #561063=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #561064=CARTESIAN_POINT('Origin',(30.995722,65.354369,0.)); #561065=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #561066=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #561067=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #561068=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #561069=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #561070=CARTESIAN_POINT('Origin',(31.012066,65.379916,0.)); #561071=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #561072=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #561073=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #561074=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #561075=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #561076=CARTESIAN_POINT('Origin',(31.037078,65.397066,0.)); #561077=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #561078=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #561079=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #561080=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #561081=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #561082=CARTESIAN_POINT('Origin',(31.0668,65.4031,0.)); #561083=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #561084=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #561085=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #561086=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #561087=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #561088=CARTESIAN_POINT('Origin',(31.244228,65.4031,0.)); #561089=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #561090=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #561091=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #561092=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #561093=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #561094=CARTESIAN_POINT('Origin',(31.3335,65.492372,0.)); #561095=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #561096=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #561097=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #561098=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #561099=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #561100=CARTESIAN_POINT('Origin',(31.3335,66.507628,0.)); #561101=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #561102=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #561103=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #561104=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #561105=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #561106=CARTESIAN_POINT('Origin',(31.244228,66.5969,0.)); #561107=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #561108=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #561109=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #561110=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #561111=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #561112=CARTESIAN_POINT('Origin',(30.279772,66.5969,0.)); #561113=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #561114=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #561115=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #561116=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #561117=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #561118=CARTESIAN_POINT('Origin',(30.1905,66.507628,0.)); #561119=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #561120=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #561121=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #561122=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #561123=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #561124=CARTESIAN_POINT('Origin',(30.1905,65.492372,0.)); #561125=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #561126=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #561127=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #561128=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #561129=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #561130=CARTESIAN_POINT('Origin',(30.279772,65.4031,0.)); #561131=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #561132=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #561133=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #561134=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #561135=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #561136=CARTESIAN_POINT('Origin',(30.4572,65.4031,0.)); #561137=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #561138=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #561139=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #561140=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #561141=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #561142=CARTESIAN_POINT('Origin',(30.484669,65.397978,0.)); #561143=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #561144=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #561145=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #561146=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #561147=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #561148=CARTESIAN_POINT('Origin',(30.510216,65.381634,0.)); #561149=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #561150=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #561151=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #561152=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #561153=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #561154=CARTESIAN_POINT('Origin',(30.527366,65.356622,0.)); #561155=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #561156=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #561157=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #561158=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #561159=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #561160=CARTESIAN_POINT('Origin',(30.5334,65.3269,0.)); #561161=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #561162=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #561163=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #561164=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #561165=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #561166=CARTESIAN_POINT('Origin',(30.5334,60.657397,0.)); #561167=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #561168=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #561169=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #561170=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #561171=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #561172=CARTESIAN_POINT('Origin',(30.527831,60.6288,0.)); #561173=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #561174=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #561175=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #561176=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #561177=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #561178=CARTESIAN_POINT('Origin',(30.511081,60.603516,0.)); #561179=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #561180=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #561181=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #561182=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #561183=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #561184=CARTESIAN_POINT('Origin',(30.3346,60.427034,0.)); #561185=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #561186=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #561187=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #561188=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #561189=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #561190=CARTESIAN_POINT('Origin',(30.3346,60.072966,0.)); #561191=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #561192=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #561193=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #561194=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #561195=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #561196=CARTESIAN_POINT('Origin',(30.584966,59.8226,0.)); #561197=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #561198=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #561199=CARTESIAN_POINT('Origin',(34.939034,59.8226,0.)); #561200=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #561201=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #561202=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #561203=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #561204=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #561205=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #561206=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #561207=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #561208=CARTESIAN_POINT('Origin',(35.1894,60.072966,0.)); #561209=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #561210=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #561211=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #561212=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #561213=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #561214=CARTESIAN_POINT('Origin',(35.1894,60.427034,0.)); #561215=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #561216=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #561217=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #561218=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #561219=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #561220=CARTESIAN_POINT('Origin',(35.012919,60.603516,0.)); #561221=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #561222=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #561223=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #561224=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #561225=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #561226=CARTESIAN_POINT('Origin',(34.996634,60.627675,0.)); #561227=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #561228=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #561229=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #561230=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #561231=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #561232=CARTESIAN_POINT('Origin',(34.9906,60.657397,0.)); #561233=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #561234=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #561235=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #561236=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #561237=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #561238=CARTESIAN_POINT('Origin',(34.9906,65.3269,0.)); #561239=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #561240=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #561241=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #561242=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #561243=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #561244=CARTESIAN_POINT('Origin',(34.995722,65.354369,0.)); #561245=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #561246=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #561247=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #561248=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #561249=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #561250=CARTESIAN_POINT('Origin',(35.012066,65.379916,0.)); #561251=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #561252=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #561253=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #561254=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #561255=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #561256=CARTESIAN_POINT('Origin',(35.037078,65.397066,0.)); #561257=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #561258=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #561259=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #561260=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #561261=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #561262=CARTESIAN_POINT('Origin',(35.0668,65.4031,0.)); #561263=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #561264=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #561265=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #561266=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #561267=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #561268=CARTESIAN_POINT('Origin',(35.244228,65.4031,0.)); #561269=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #561270=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #561271=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #561272=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #561273=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #561274=CARTESIAN_POINT('Origin',(35.3335,65.492372,0.)); #561275=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #561276=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #561277=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #561278=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #561279=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #561280=CARTESIAN_POINT('Origin',(35.3335,66.507628,0.)); #561281=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #561282=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #561283=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #561284=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #561285=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #561286=CARTESIAN_POINT('Origin',(35.244228,66.5969,0.)); #561287=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #561288=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #561289=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #561290=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #561291=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #561292=CARTESIAN_POINT('Origin',(34.279772,66.5969,0.)); #561293=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #561294=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #561295=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #561296=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #561297=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #561298=CARTESIAN_POINT('Origin',(34.1905,66.507628,0.)); #561299=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #561300=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #561301=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #561302=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #561303=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #561304=CARTESIAN_POINT('Origin',(34.1905,65.492372,0.)); #561305=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #561306=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #561307=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #561308=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #561309=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #561310=CARTESIAN_POINT('Origin',(34.279772,65.4031,0.)); #561311=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #561312=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #561313=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #561314=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #561315=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #561316=CARTESIAN_POINT('Origin',(34.4572,65.4031,0.)); #561317=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #561318=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #561319=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #561320=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #561321=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #561322=CARTESIAN_POINT('Origin',(34.484669,65.397978,0.)); #561323=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #561324=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #561325=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #561326=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #561327=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #561328=CARTESIAN_POINT('Origin',(34.510216,65.381634,0.)); #561329=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #561330=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #561331=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #561332=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #561333=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #561334=CARTESIAN_POINT('Origin',(34.527366,65.356622,0.)); #561335=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #561336=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #561337=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #561338=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #561339=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #561340=CARTESIAN_POINT('Origin',(34.5334,65.3269,0.)); #561341=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #561342=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #561343=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #561344=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #561345=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #561346=CARTESIAN_POINT('Origin',(34.5334,60.657397,0.)); #561347=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #561348=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #561349=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #561350=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #561351=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #561352=CARTESIAN_POINT('Origin',(34.527831,60.6288,0.)); #561353=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #561354=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #561355=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #561356=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #561357=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #561358=CARTESIAN_POINT('Origin',(34.511081,60.603516,0.)); #561359=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #561360=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #561361=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #561362=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #561363=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #561364=CARTESIAN_POINT('Origin',(34.3346,60.427034,0.)); #561365=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #561366=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #561367=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #561368=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #561369=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #561370=CARTESIAN_POINT('Origin',(34.3346,60.072966,0.)); #561371=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #561372=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #561373=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #561374=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #561375=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #561376=CARTESIAN_POINT('Origin',(34.584966,59.8226,0.)); #561377=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #561378=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #561379=CARTESIAN_POINT('Origin',(38.939034,59.8226,0.)); #561380=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #561381=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #561382=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #561383=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #561384=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #561385=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #561386=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #561387=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #561388=CARTESIAN_POINT('Origin',(39.1894,60.072966,0.)); #561389=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #561390=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #561391=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #561392=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #561393=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #561394=CARTESIAN_POINT('Origin',(39.1894,60.427034,0.)); #561395=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #561396=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #561397=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #561398=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #561399=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #561400=CARTESIAN_POINT('Origin',(39.012919,60.603516,0.)); #561401=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #561402=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #561403=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #561404=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #561405=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #561406=CARTESIAN_POINT('Origin',(38.996634,60.627675,0.)); #561407=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #561408=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #561409=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #561410=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #561411=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #561412=CARTESIAN_POINT('Origin',(38.9906,60.657397,0.)); #561413=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #561414=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #561415=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #561416=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #561417=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #561418=CARTESIAN_POINT('Origin',(38.9906,65.3269,0.)); #561419=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #561420=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #561421=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #561422=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #561423=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #561424=CARTESIAN_POINT('Origin',(38.995722,65.354369,0.)); #561425=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #561426=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #561427=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #561428=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #561429=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #561430=CARTESIAN_POINT('Origin',(39.012066,65.379916,0.)); #561431=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #561432=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #561433=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #561434=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #561435=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #561436=CARTESIAN_POINT('Origin',(39.037078,65.397066,0.)); #561437=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #561438=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #561439=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #561440=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #561441=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #561442=CARTESIAN_POINT('Origin',(39.0668,65.4031,0.)); #561443=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #561444=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #561445=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #561446=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #561447=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #561448=CARTESIAN_POINT('Origin',(39.244228,65.4031,0.)); #561449=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #561450=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #561451=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #561452=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #561453=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #561454=CARTESIAN_POINT('Origin',(39.3335,65.492372,0.)); #561455=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #561456=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #561457=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #561458=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #561459=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #561460=CARTESIAN_POINT('Origin',(39.3335,66.507628,0.)); #561461=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #561462=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #561463=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #561464=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #561465=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #561466=CARTESIAN_POINT('Origin',(39.244228,66.5969,0.)); #561467=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #561468=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #561469=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #561470=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #561471=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #561472=CARTESIAN_POINT('Origin',(38.279772,66.5969,0.)); #561473=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #561474=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #561475=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #561476=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #561477=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #561478=CARTESIAN_POINT('Origin',(38.1905,66.507628,0.)); #561479=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #561480=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #561481=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #561482=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #561483=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #561484=CARTESIAN_POINT('Origin',(38.1905,65.492372,0.)); #561485=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #561486=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #561487=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #561488=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #561489=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #561490=CARTESIAN_POINT('Origin',(38.279772,65.4031,0.)); #561491=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #561492=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #561493=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #561494=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #561495=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #561496=CARTESIAN_POINT('Origin',(38.4572,65.4031,0.)); #561497=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #561498=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #561499=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #561500=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #561501=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #561502=CARTESIAN_POINT('Origin',(38.484669,65.397978,0.)); #561503=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #561504=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #561505=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #561506=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #561507=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #561508=CARTESIAN_POINT('Origin',(38.510216,65.381634,0.)); #561509=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #561510=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #561511=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #561512=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #561513=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #561514=CARTESIAN_POINT('Origin',(38.527366,65.356622,0.)); #561515=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #561516=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #561517=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #561518=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #561519=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #561520=CARTESIAN_POINT('Origin',(38.5334,65.3269,0.)); #561521=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #561522=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #561523=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #561524=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #561525=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #561526=CARTESIAN_POINT('Origin',(38.5334,60.657397,0.)); #561527=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #561528=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #561529=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #561530=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #561531=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #561532=CARTESIAN_POINT('Origin',(38.527831,60.6288,0.)); #561533=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #561534=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #561535=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #561536=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #561537=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #561538=CARTESIAN_POINT('Origin',(38.511081,60.603516,0.)); #561539=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #561540=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #561541=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #561542=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #561543=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #561544=CARTESIAN_POINT('Origin',(38.3346,60.427034,0.)); #561545=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #561546=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #561547=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #561548=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #561549=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #561550=CARTESIAN_POINT('Origin',(38.3346,60.072966,0.)); #561551=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #561552=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #561553=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #561554=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #561555=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #561556=CARTESIAN_POINT('Origin',(38.584966,59.8226,0.)); #561557=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #561558=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #561559=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #561560=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #561561=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #561562=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #561563=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #561564=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #561565=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #561566=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #561567=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #561568=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #561569=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #561570=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #561571=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #561572=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #561573=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #561574=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #561575=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #561576=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #561577=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #561578=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #561579=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #561580=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #561581=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #561582=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #561583=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #561584=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #561585=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #561586=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #561587=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #561588=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #561589=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #561590=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #561591=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #561592=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #561593=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #561594=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #561595=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #561596=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #561597=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #561598=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #561599=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #561600=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #561601=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #561602=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #561603=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #561604=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #561605=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #561606=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #561607=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #561608=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #561609=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #561610=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #561611=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #561612=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #561613=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #561614=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #561615=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #561616=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #561617=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #561618=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #561619=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #561620=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #561621=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #561622=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #561623=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #561624=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #561625=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #561626=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #561627=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #561628=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #561629=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #561630=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #561631=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #561632=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #561633=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #561634=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #561635=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #561636=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #561637=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #561638=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #561639=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #561640=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #561641=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #561642=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #561643=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #561644=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #561645=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #561646=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #561647=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #561648=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #561649=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #561650=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #561651=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #561652=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #561653=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #561654=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #561655=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #561656=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #561657=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #561658=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #561659=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #561660=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #561661=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #561662=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #561663=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #561664=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #561665=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #561666=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #561667=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #561668=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #561669=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #561670=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #561671=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #561672=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #561673=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #561674=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #561675=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #561676=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #561677=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #561678=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #561679=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #561680=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #561681=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #561682=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #561683=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #561684=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #561685=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #561686=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #561687=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #561688=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #561689=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #561690=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #561691=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #561692=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #561693=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #561694=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #561695=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #561696=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #561697=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #561698=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #561699=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #561700=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #561701=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #561702=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #561703=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #561704=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #561705=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #561706=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #561707=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #561708=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #561709=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #561710=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #561711=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #561712=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #561713=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #561714=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #561715=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #561716=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #561717=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #561718=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #561719=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #561720=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #561721=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #561722=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #561723=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #561724=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #561725=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #561726=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #561727=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #561728=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #561729=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #561730=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #561731=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #561732=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #561733=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #561734=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #561735=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #561736=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #561737=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #561738=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #561739=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #561740=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #561741=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #561742=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #561743=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #561744=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #561745=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #561746=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #561747=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #561748=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #561749=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #561750=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #561751=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #561752=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #561753=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #561754=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #561755=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #561756=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #561757=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #561758=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #561759=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #561760=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #561761=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #561762=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #561763=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #561764=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #561765=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #561766=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #561767=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #561768=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #561769=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #561770=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #561771=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #561772=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #561773=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #561774=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #561775=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #561776=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #561777=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #561778=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #561779=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #561780=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #561781=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #561782=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #561783=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #561784=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #561785=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #561786=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #561787=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #561788=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #561789=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #561790=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #561791=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #561792=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #561793=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #561794=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #561795=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #561796=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #561797=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #561798=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #561799=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #561800=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #561801=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #561802=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #561803=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #561804=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #561805=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #561806=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #561807=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #561808=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #561809=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #561810=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #561811=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #561812=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #561813=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #561814=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #561815=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #561816=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #561817=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #561818=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #561819=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #561820=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #561821=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #561822=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #561823=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #561824=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #561825=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #561826=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #561827=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #561828=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #561829=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #561830=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #561831=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #561832=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #561833=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #561834=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #561835=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #561836=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #561837=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #561838=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #561839=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #561840=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #561841=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #561842=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #561843=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #561844=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #561845=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #561846=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #561847=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #561848=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #561849=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #561850=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #561851=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #561852=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #561853=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #561854=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #561855=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #561856=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #561857=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #561858=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #561859=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #561860=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #561861=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #561862=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #561863=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #561864=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #561865=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #561866=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #561867=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #561868=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #561869=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #561870=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #561871=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #561872=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #561873=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #561874=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #561875=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #561876=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #561877=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #561878=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #561879=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #561880=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #561881=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #561882=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #561883=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #561884=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #561885=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #561886=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #561887=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #561888=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #561889=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #561890=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #561891=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #561892=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #561893=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #561894=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #561895=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #561896=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #561897=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #561898=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #561899=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #561900=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #561901=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #561902=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #561903=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #561904=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #561905=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #561906=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #561907=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #561908=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #561909=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #561910=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #561911=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #561912=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #561913=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #561914=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #561915=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #561916=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #561917=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #561918=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #561919=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #561920=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #561921=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #561922=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #561923=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #561924=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #561925=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #561926=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #561927=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #561928=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #561929=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #561930=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #561931=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #561932=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #561933=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #561934=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #561935=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #561936=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #561937=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #561938=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #561939=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #561940=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #561941=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #561942=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #561943=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #561944=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #561945=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #561946=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #561947=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #561948=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #561949=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #561950=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #561951=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #561952=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #561953=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #561954=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #561955=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #561956=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #561957=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #561958=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #561959=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #561960=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #561961=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #561962=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #561963=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #561964=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #561965=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #561966=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #561967=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #561968=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #561969=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #561970=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #561971=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #561972=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #561973=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #561974=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #561975=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #561976=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #561977=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #561978=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #561979=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #561980=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #561981=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #561982=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #561983=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #561984=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #561985=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #561986=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #561987=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #561988=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #561989=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #561990=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #561991=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #561992=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #561993=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #561994=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #561995=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #561996=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #561997=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #561998=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #561999=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #562000=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #562001=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #562002=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #562003=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #562004=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #562005=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #562006=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #562007=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #562008=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #562009=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #562010=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #562011=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #562012=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #562013=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #562014=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #562015=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #562016=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #562017=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #562018=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #562019=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #562020=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #562021=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #562022=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #562023=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #562024=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #562025=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #562026=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #562027=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #562028=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #562029=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #562030=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #562031=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #562032=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #562033=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #562034=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #562035=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #562036=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #562037=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #562038=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #562039=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #562040=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #562041=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #562042=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #562043=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #562044=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #562045=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #562046=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #562047=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #562048=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #562049=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #562050=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #562051=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #562052=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #562053=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #562054=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #562055=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #562056=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #562057=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #562058=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #562059=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #562060=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #562061=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #562062=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #562063=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #562064=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #562065=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #562066=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #562067=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #562068=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #562069=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #562070=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #562071=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #562072=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #562073=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #562074=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #562075=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #562076=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #562077=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #562078=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #562079=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #562080=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #562081=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #562082=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #562083=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #562084=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #562085=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #562086=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #562087=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #562088=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #562089=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #562090=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #562091=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #562092=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #562093=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #562094=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #562095=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #562096=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #562097=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #562098=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #562099=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #562100=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #562101=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #562102=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #562103=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #562104=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #562105=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #562106=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #562107=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #562108=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #562109=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #562110=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #562111=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #562112=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #562113=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #562114=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #562115=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #562116=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #562117=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #562118=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #562119=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #562120=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #562121=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #562122=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #562123=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #562124=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #562125=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #562126=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #562127=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #562128=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #562129=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #562130=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #562131=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #562132=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #562133=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #562134=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #562135=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #562136=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #562137=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #562138=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #562139=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #562140=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #562141=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #562142=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #562143=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #562144=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #562145=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #562146=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #562147=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #562148=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #562149=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #562150=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #562151=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #562152=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #562153=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #562154=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #562155=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #562156=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #562157=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #562158=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #562159=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #562160=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #562161=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #562162=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #562163=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #562164=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #562165=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #562166=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #562167=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #562168=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #562169=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #562170=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #562171=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #562172=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #562173=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #562174=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #562175=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #562176=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #562177=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #562178=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #562179=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #562180=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #562181=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #562182=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #562183=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #562184=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #562185=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #562186=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #562187=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #562188=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #562189=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #562190=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #562191=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #562192=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #562193=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #562194=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #562195=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #562196=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #562197=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #562198=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #562199=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #562200=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #562201=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #562202=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #562203=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #562204=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #562205=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #562206=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #562207=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #562208=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #562209=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #562210=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #562211=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #562212=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #562213=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #562214=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #562215=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #562216=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #562217=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #562218=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #562219=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #562220=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #562221=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #562222=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #562223=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #562224=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #562225=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #562226=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #562227=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #562228=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #562229=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #562230=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #562231=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #562232=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #562233=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #562234=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #562235=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #562236=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #562237=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #562238=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #562239=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #562240=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #562241=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #562242=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #562243=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #562244=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #562245=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #562246=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #562247=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #562248=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #562249=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #562250=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #562251=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #562252=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #562253=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #562254=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #562255=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #562256=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #562257=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #562258=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #562259=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #562260=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #562261=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #562262=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #562263=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #562264=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #562265=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #562266=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #562267=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #562268=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #562269=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #562270=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #562271=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #562272=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #562273=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #562274=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #562275=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #562276=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #562277=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #562278=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #562279=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #562280=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #562281=CARTESIAN_POINT('Origin',(25.5903,23.2286,0.)); #562282=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #562283=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #562284=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #562285=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #562286=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #562287=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #562288=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #562289=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #562290=CARTESIAN_POINT('Origin',(21.380591,23.2286,0.)); #562291=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #562292=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #562293=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #562294=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #562295=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #562296=CARTESIAN_POINT('Origin',(21.354259,23.233294,0.)); #562297=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #562298=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #562299=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #562300=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #562301=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #562302=CARTESIAN_POINT('Origin',(21.328453,23.249228,0.)); #562303=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #562304=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #562305=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #562306=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #562307=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #562308=CARTESIAN_POINT('Origin',(21.310909,23.273966,0.)); #562309=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #562310=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #562311=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #562312=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #562313=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #562314=CARTESIAN_POINT('Origin',(21.3044,23.303584,0.)); #562315=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #562316=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #562317=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #562318=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #562319=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #562320=CARTESIAN_POINT('Origin',(21.309959,23.333397,0.)); #562321=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #562322=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #562323=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #562324=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #562325=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #562326=CARTESIAN_POINT('Origin',(21.326709,23.358681,0.)); #562327=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #562328=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #562329=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #562330=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #562331=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #562332=CARTESIAN_POINT('Origin',(21.4199,23.451872,0.)); #562333=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #562334=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #562335=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #562336=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #562337=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #562338=CARTESIAN_POINT('Origin',(21.4199,23.8452,0.)); #562339=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #562340=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #562341=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #562342=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #562343=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #562344=CARTESIAN_POINT('Origin',(21.425022,23.872669,0.)); #562345=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #562346=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #562347=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #562348=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #562349=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #562350=CARTESIAN_POINT('Origin',(21.441366,23.898216,0.)); #562351=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #562352=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #562353=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #562354=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #562355=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #562356=CARTESIAN_POINT('Origin',(21.466378,23.915366,0.)); #562357=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #562358=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #562359=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #562360=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #562361=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #562362=CARTESIAN_POINT('Origin',(21.4961,23.9214,0.)); #562363=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #562364=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #562365=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #562366=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #562367=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #562368=CARTESIAN_POINT('Origin',(23.223747,23.9214,0.)); #562369=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #562370=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #562371=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #562372=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #562373=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #562374=CARTESIAN_POINT('Origin',(23.252344,23.915831,0.)); #562375=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #562376=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #562377=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #562378=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #562379=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #562380=CARTESIAN_POINT('Origin',(23.277628,23.899081,0.)); #562381=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #562382=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #562383=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #562384=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #562385=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #562386=CARTESIAN_POINT('Origin',(23.655309,23.5214,0.)); #562387=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #562388=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #562389=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #562390=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #562391=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #562392=CARTESIAN_POINT('Origin',(25.5903,23.5214,0.)); #562393=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #562394=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #562395=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #562396=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #562397=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #562398=CARTESIAN_POINT('Origin',(25.617769,23.516278,0.)); #562399=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #562400=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #562401=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #562402=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #562403=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #562404=CARTESIAN_POINT('Origin',(25.643316,23.499934,0.)); #562405=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #562406=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #562407=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #562408=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #562409=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #562410=CARTESIAN_POINT('Origin',(25.660466,23.474922,0.)); #562411=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #562412=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #562413=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #562414=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #562415=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #562416=CARTESIAN_POINT('Origin',(25.6665,23.4452,0.)); #562417=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #562418=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #562419=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #562420=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #562421=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #562422=CARTESIAN_POINT('Origin',(25.6665,23.3048,0.)); #562423=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #562424=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #562425=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #562426=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #562427=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #562428=CARTESIAN_POINT('Origin',(25.661378,23.277331,0.)); #562429=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #562430=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #562431=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #562432=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #562433=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #562434=CARTESIAN_POINT('Origin',(25.645034,23.251784,0.)); #562435=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #562436=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #562437=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #562438=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #562439=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #562440=CARTESIAN_POINT('Origin',(25.620022,23.234634,0.)); #562441=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #562442=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #562443=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.035)); #562444=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.)); #562445=CARTESIAN_POINT('Origin',(34.307228,54.284691,0.)); #562446=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #562447=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #562448=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #562449=CARTESIAN_POINT('',(34.336744,54.291672,0.035)); #562450=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #562451=CARTESIAN_POINT('',(34.307228,54.284691,0.035)); #562452=CARTESIAN_POINT('',(34.307228,54.284691,0.035)); #562453=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #562454=CARTESIAN_POINT('Origin',(34.277331,54.289775,0.)); #562455=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #562456=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #562457=CARTESIAN_POINT('',(34.277331,54.289775,0.035)); #562458=CARTESIAN_POINT('',(34.277331,54.289775,0.035)); #562459=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #562460=CARTESIAN_POINT('Origin',(34.251784,54.306119,0.)); #562461=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #562462=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #562463=CARTESIAN_POINT('',(34.251784,54.306119,0.035)); #562464=CARTESIAN_POINT('',(34.251784,54.306119,0.035)); #562465=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #562466=CARTESIAN_POINT('Origin',(34.234634,54.331131,0.)); #562467=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #562468=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #562469=CARTESIAN_POINT('',(34.234634,54.331131,0.035)); #562470=CARTESIAN_POINT('',(34.234634,54.331131,0.035)); #562471=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #562472=CARTESIAN_POINT('Origin',(34.2286,54.360853,0.)); #562473=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #562474=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #562475=CARTESIAN_POINT('',(34.2286,54.360853,0.035)); #562476=CARTESIAN_POINT('',(34.2286,54.360853,0.035)); #562477=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #562478=CARTESIAN_POINT('Origin',(34.2286,54.592603,0.)); #562479=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #562480=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #562481=CARTESIAN_POINT('',(34.2286,54.592603,0.035)); #562482=CARTESIAN_POINT('',(34.2286,54.592603,0.035)); #562483=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #562484=CARTESIAN_POINT('Origin',(34.234169,54.6212,0.)); #562485=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #562486=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #562487=CARTESIAN_POINT('',(34.234169,54.6212,0.035)); #562488=CARTESIAN_POINT('',(34.234169,54.6212,0.035)); #562489=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #562490=CARTESIAN_POINT('Origin',(34.250919,54.646484,0.)); #562491=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #562492=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #562493=CARTESIAN_POINT('',(34.250919,54.646484,0.035)); #562494=CARTESIAN_POINT('',(34.250919,54.646484,0.035)); #562495=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #562496=CARTESIAN_POINT('Origin',(34.321119,54.716684,0.)); #562497=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #562498=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #562499=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #562500=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #562501=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #562502=CARTESIAN_POINT('Origin',(34.344166,54.732484,0.)); #562503=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #562504=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #562505=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #562506=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #562507=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #562508=CARTESIAN_POINT('Origin',(34.373784,54.738994,0.)); #562509=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #562510=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #562511=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #562512=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #562513=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #562514=CARTESIAN_POINT('Origin',(34.403597,54.733434,0.)); #562515=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #562516=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #562517=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #562518=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #562519=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #562520=CARTESIAN_POINT('Origin',(34.428881,54.716684,0.)); #562521=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #562522=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #562523=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #562524=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #562525=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #562526=CARTESIAN_POINT('Origin',(34.499081,54.646484,0.)); #562527=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #562528=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #562529=CARTESIAN_POINT('',(34.499081,54.646484,0.035)); #562530=CARTESIAN_POINT('',(34.499081,54.646484,0.035)); #562531=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #562532=CARTESIAN_POINT('Origin',(34.515366,54.622325,0.)); #562533=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #562534=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #562535=CARTESIAN_POINT('',(34.515366,54.622325,0.035)); #562536=CARTESIAN_POINT('',(34.515366,54.622325,0.035)); #562537=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #562538=CARTESIAN_POINT('Origin',(34.5214,54.592603,0.)); #562539=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #562540=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #562541=CARTESIAN_POINT('',(34.5214,54.592603,0.035)); #562542=CARTESIAN_POINT('',(34.5214,54.592603,0.035)); #562543=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #562544=CARTESIAN_POINT('Origin',(34.5214,54.501253,0.)); #562545=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #562546=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #562547=CARTESIAN_POINT('',(34.5214,54.501253,0.035)); #562548=CARTESIAN_POINT('',(34.5214,54.501253,0.035)); #562549=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #562550=CARTESIAN_POINT('Origin',(34.515831,54.472656,0.)); #562551=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #562552=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #562553=CARTESIAN_POINT('',(34.515831,54.472656,0.035)); #562554=CARTESIAN_POINT('',(34.515831,54.472656,0.035)); #562555=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #562556=CARTESIAN_POINT('Origin',(34.499081,54.447372,0.)); #562557=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #562558=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #562559=CARTESIAN_POINT('',(34.499081,54.447372,0.035)); #562560=CARTESIAN_POINT('',(34.499081,54.447372,0.035)); #562561=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #562562=CARTESIAN_POINT('Origin',(34.358681,54.306972,0.)); #562563=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #562564=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #562565=CARTESIAN_POINT('',(34.358681,54.306972,0.035)); #562566=CARTESIAN_POINT('',(34.358681,54.306972,0.035)); #562567=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #562568=CARTESIAN_POINT('Origin',(34.336744,54.291672,0.)); #562569=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #562570=CARTESIAN_POINT('',(34.336744,54.291672,0.035)); #562571=CARTESIAN_POINT('Origin',(34.3597813809394,54.5135348173605,0.035)); #562572=CARTESIAN_POINT('Origin',(34.3597813809394,54.5135348173605,0.)); #562573=CARTESIAN_POINT('Origin',(30.210778,22.4786,0.)); #562574=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #562575=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #562576=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #562577=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #562578=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #562579=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #562580=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #562581=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #562582=CARTESIAN_POINT('Origin',(28.4097,22.4786,0.)); #562583=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #562584=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #562585=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #562586=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #562587=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #562588=CARTESIAN_POINT('Origin',(28.382231,22.483722,0.)); #562589=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #562590=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #562591=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #562592=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #562593=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #562594=CARTESIAN_POINT('Origin',(28.356684,22.500066,0.)); #562595=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #562596=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #562597=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #562598=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #562599=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #562600=CARTESIAN_POINT('Origin',(28.339534,22.525078,0.)); #562601=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #562602=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #562603=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #562604=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #562605=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #562606=CARTESIAN_POINT('Origin',(28.3335,22.5548,0.)); #562607=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #562608=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #562609=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #562610=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #562611=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #562612=CARTESIAN_POINT('Origin',(28.3335,22.6952,0.)); #562613=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #562614=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #562615=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #562616=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #562617=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #562618=CARTESIAN_POINT('Origin',(28.338622,22.722669,0.)); #562619=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #562620=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #562621=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #562622=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #562623=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #562624=CARTESIAN_POINT('Origin',(28.354966,22.748216,0.)); #562625=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #562626=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #562627=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #562628=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #562629=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #562630=CARTESIAN_POINT('Origin',(28.379978,22.765366,0.)); #562631=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #562632=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #562633=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #562634=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #562635=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #562636=CARTESIAN_POINT('Origin',(28.4097,22.7714,0.)); #562637=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #562638=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #562639=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #562640=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #562641=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #562642=CARTESIAN_POINT('Origin',(30.348734,22.7714,0.)); #562643=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #562644=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #562645=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #562646=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #562647=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #562648=CARTESIAN_POINT('Origin',(30.374438,22.766934,0.)); #562649=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #562650=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #562651=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #562652=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #562653=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #562654=CARTESIAN_POINT('Origin',(30.400381,22.751228,0.)); #562655=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #562656=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #562657=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #562658=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #562659=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #562660=CARTESIAN_POINT('Origin',(30.418144,22.726647,0.)); #562661=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #562662=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #562663=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #562664=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #562665=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #562666=CARTESIAN_POINT('Origin',(30.424913,22.697084,0.)); #562667=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #562668=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #562669=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #562670=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #562671=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #562672=CARTESIAN_POINT('Origin',(30.419613,22.667222,0.)); #562673=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #562674=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #562675=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #562676=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #562677=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #562678=CARTESIAN_POINT('Origin',(30.403088,22.641794,0.)); #562679=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #562680=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #562681=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #562682=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #562683=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #562684=CARTESIAN_POINT('Origin',(30.265131,22.501394,0.)); #562685=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #562686=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #562687=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #562688=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #562689=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #562690=CARTESIAN_POINT('Origin',(30.2405,22.484634,0.)); #562691=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #562692=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #562693=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.035)); #562694=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.)); #562695=CARTESIAN_POINT('Origin',(26.858003,23.9786,0.)); #562696=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #562697=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #562698=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #562699=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #562700=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #562701=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #562702=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #562703=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #562704=CARTESIAN_POINT('Origin',(23.876253,23.9786,0.)); #562705=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #562706=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #562707=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #562708=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #562709=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #562710=CARTESIAN_POINT('Origin',(23.847656,23.984169,0.)); #562711=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #562712=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #562713=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #562714=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #562715=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #562716=CARTESIAN_POINT('Origin',(23.822372,24.000919,0.)); #562717=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #562718=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #562719=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #562720=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #562721=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #562722=CARTESIAN_POINT('Origin',(23.444691,24.3786,0.)); #562723=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #562724=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #562725=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #562726=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #562727=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #562728=CARTESIAN_POINT('Origin',(21.4961,24.3786,0.)); #562729=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #562730=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #562731=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #562732=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #562733=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #562734=CARTESIAN_POINT('Origin',(21.468631,24.383722,0.)); #562735=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #562736=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #562737=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #562738=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #562739=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #562740=CARTESIAN_POINT('Origin',(21.443084,24.400066,0.)); #562741=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #562742=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #562743=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #562744=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #562745=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #562746=CARTESIAN_POINT('Origin',(21.425934,24.425078,0.)); #562747=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #562748=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #562749=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #562750=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #562751=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #562752=CARTESIAN_POINT('Origin',(21.4199,24.4548,0.)); #562753=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #562754=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #562755=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #562756=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #562757=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #562758=CARTESIAN_POINT('Origin',(21.4199,24.848128,0.)); #562759=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #562760=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #562761=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #562762=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #562763=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #562764=CARTESIAN_POINT('Origin',(21.330628,24.9374,0.)); #562765=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #562766=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #562767=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #562768=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #562769=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #562770=CARTESIAN_POINT('Origin',(21.2548,24.9374,0.)); #562771=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #562772=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #562773=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #562774=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #562775=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #562776=CARTESIAN_POINT('Origin',(21.227331,24.942522,0.)); #562777=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #562778=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #562779=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #562780=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #562781=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #562782=CARTESIAN_POINT('Origin',(21.201784,24.958866,0.)); #562783=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #562784=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #562785=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #562786=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #562787=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #562788=CARTESIAN_POINT('Origin',(21.184634,24.983878,0.)); #562789=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #562790=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #562791=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #562792=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #562793=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #562794=CARTESIAN_POINT('Origin',(21.1786,25.0136,0.)); #562795=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #562796=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #562797=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #562798=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #562799=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #562800=CARTESIAN_POINT('Origin',(21.1786,25.3269,0.)); #562801=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #562802=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #562803=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #562804=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #562805=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #562806=CARTESIAN_POINT('Origin',(21.183722,25.354369,0.)); #562807=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #562808=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #562809=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #562810=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #562811=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #562812=CARTESIAN_POINT('Origin',(21.200066,25.379916,0.)); #562813=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #562814=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #562815=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #562816=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #562817=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #562818=CARTESIAN_POINT('Origin',(21.225078,25.397066,0.)); #562819=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #562820=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #562821=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #562822=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #562823=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #562824=CARTESIAN_POINT('Origin',(21.241534,25.400406,0.)); #562825=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #562826=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #562827=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #562828=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #562829=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #562830=CARTESIAN_POINT('Origin',(21.3335,25.492372,0.)); #562831=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #562832=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #562833=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #562834=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #562835=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #562836=CARTESIAN_POINT('Origin',(21.3335,26.4472,0.)); #562837=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #562838=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #562839=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #562840=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #562841=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #562842=CARTESIAN_POINT('Origin',(21.338622,26.474669,0.)); #562843=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #562844=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #562845=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #562846=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #562847=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #562848=CARTESIAN_POINT('Origin',(21.354966,26.500216,0.)); #562849=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #562850=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #562851=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #562852=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #562853=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #562854=CARTESIAN_POINT('Origin',(21.379978,26.517366,0.)); #562855=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #562856=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #562857=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #562858=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #562859=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #562860=CARTESIAN_POINT('Origin',(21.4097,26.5234,0.)); #562861=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #562862=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #562863=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #562864=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #562865=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #562866=CARTESIAN_POINT('Origin',(24.4964,26.5234,0.)); #562867=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #562868=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #562869=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #562870=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #562871=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #562872=CARTESIAN_POINT('Origin',(24.523869,26.518278,0.)); #562873=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #562874=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #562875=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #562876=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #562877=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #562878=CARTESIAN_POINT('Origin',(24.549416,26.501934,0.)); #562879=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #562880=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #562881=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #562882=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #562883=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #562884=CARTESIAN_POINT('Origin',(24.566566,26.476922,0.)); #562885=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #562886=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #562887=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #562888=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #562889=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #562890=CARTESIAN_POINT('Origin',(24.572406,26.448159,0.)); #562891=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #562892=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #562893=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #562894=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #562895=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #562896=CARTESIAN_POINT('Origin',(24.822966,26.1976,0.)); #562897=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #562898=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #562899=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #562900=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #562901=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #562902=CARTESIAN_POINT('Origin',(25.072547,26.1976,0.)); #562903=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #562904=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #562905=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #562906=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #562907=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #562908=CARTESIAN_POINT('Origin',(25.101144,26.192031,0.)); #562909=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #562910=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #562911=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #562912=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #562913=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #562914=CARTESIAN_POINT('Origin',(25.126428,26.175281,0.)); #562915=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #562916=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #562917=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #562918=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #562919=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #562920=CARTESIAN_POINT('Origin',(26.800281,24.501428,0.)); #562921=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #562922=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #562923=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #562924=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #562925=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #562926=CARTESIAN_POINT('Origin',(26.816566,24.477269,0.)); #562927=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #562928=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #562929=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #562930=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #562931=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #562932=CARTESIAN_POINT('Origin',(26.8226,24.447547,0.)); #562933=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #562934=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #562935=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #562936=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #562937=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #562938=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #562939=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #562940=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #562941=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #562942=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #562943=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #562944=CARTESIAN_POINT('Origin',(26.911884,24.108681,0.)); #562945=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #562946=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #562947=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #562948=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #562949=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #562950=CARTESIAN_POINT('Origin',(26.927184,24.086744,0.)); #562951=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #562952=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #562953=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #562954=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #562955=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #562956=CARTESIAN_POINT('Origin',(26.934166,24.057228,0.)); #562957=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #562958=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #562959=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #562960=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #562961=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #562962=CARTESIAN_POINT('Origin',(26.929081,24.027331,0.)); #562963=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #562964=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #562965=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #562966=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #562967=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #562968=CARTESIAN_POINT('Origin',(26.912738,24.001784,0.)); #562969=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #562970=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #562971=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #562972=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #562973=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #562974=CARTESIAN_POINT('Origin',(26.887725,23.984634,0.)); #562975=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #562976=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #562977=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.035)); #562978=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.)); #562979=CARTESIAN_POINT('Origin',(36.764147,22.1036,0.)); #562980=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #562981=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #562982=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #562983=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #562984=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #562985=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #562986=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #562987=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #562988=CARTESIAN_POINT('Origin',(30.696941,22.1036,0.)); #562989=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #562990=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #562991=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #562992=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #562993=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #562994=CARTESIAN_POINT('Origin',(30.671238,22.108066,0.)); #562995=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #562996=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #562997=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #562998=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #562999=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #563000=CARTESIAN_POINT('Origin',(30.645294,22.123772,0.)); #563001=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #563002=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #563003=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #563004=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #563005=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #563006=CARTESIAN_POINT('Origin',(30.627531,22.148353,0.)); #563007=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #563008=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #563009=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #563010=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #563011=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #563012=CARTESIAN_POINT('Origin',(30.620763,22.177916,0.)); #563013=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #563014=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #563015=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #563016=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #563017=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #563018=CARTESIAN_POINT('Origin',(30.626062,22.207778,0.)); #563019=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #563020=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #563021=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #563022=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #563023=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #563024=CARTESIAN_POINT('Origin',(30.642588,22.233206,0.)); #563025=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #563026=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #563027=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #563028=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #563029=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #563030=CARTESIAN_POINT('Origin',(31.885969,23.498606,0.)); #563031=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #563032=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #563033=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #563034=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #563035=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #563036=CARTESIAN_POINT('Origin',(31.9106,23.515366,0.)); #563037=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #563038=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #563039=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #563040=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #563041=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #563042=CARTESIAN_POINT('Origin',(31.940322,23.5214,0.)); #563043=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #563044=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #563045=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #563046=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #563047=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #563048=CARTESIAN_POINT('Origin',(33.608003,23.5214,0.)); #563049=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #563050=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #563051=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #563052=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #563053=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #563054=CARTESIAN_POINT('Origin',(33.634334,23.516706,0.)); #563055=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #563056=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #563057=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #563058=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #563059=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #563060=CARTESIAN_POINT('Origin',(33.660141,23.500772,0.)); #563061=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #563062=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #563063=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #563064=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #563065=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #563066=CARTESIAN_POINT('Origin',(33.677684,23.476034,0.)); #563067=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #563068=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #563069=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #563070=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #563071=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #563072=CARTESIAN_POINT('Origin',(33.684194,23.446416,0.)); #563073=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #563074=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #563075=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #563076=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #563077=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #563078=CARTESIAN_POINT('Origin',(33.678634,23.416603,0.)); #563079=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #563080=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #563081=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #563082=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #563083=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #563084=CARTESIAN_POINT('Origin',(33.661884,23.391319,0.)); #563085=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #563086=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #563087=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #563088=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #563089=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #563090=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #563091=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #563092=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #563093=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #563094=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #563095=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #563096=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #563097=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #563098=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #563099=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #563100=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #563101=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #563102=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #563103=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #563104=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #563105=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #563106=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #563107=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #563108=CARTESIAN_POINT('Origin',(34.177034,22.6976,0.)); #563109=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #563110=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #563111=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #563112=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #563113=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #563114=CARTESIAN_POINT('Origin',(34.353516,22.874081,0.)); #563115=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #563116=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #563117=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #563118=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #563119=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #563120=CARTESIAN_POINT('Origin',(34.377675,22.890366,0.)); #563121=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #563122=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #563123=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #563124=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #563125=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #563126=CARTESIAN_POINT('Origin',(34.407397,22.8964,0.)); #563127=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #563128=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #563129=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #563130=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #563131=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #563132=CARTESIAN_POINT('Origin',(36.123747,22.8964,0.)); #563133=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #563134=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #563135=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #563136=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #563137=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #563138=CARTESIAN_POINT('Origin',(36.152344,22.890831,0.)); #563139=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #563140=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #563141=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #563142=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #563143=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #563144=CARTESIAN_POINT('Origin',(36.177628,22.874081,0.)); #563145=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #563146=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #563147=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #563148=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #563149=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #563150=CARTESIAN_POINT('Origin',(36.818028,22.233681,0.)); #563151=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #563152=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #563153=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #563154=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #563155=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #563156=CARTESIAN_POINT('Origin',(36.833328,22.211744,0.)); #563157=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #563158=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #563159=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #563160=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #563161=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #563162=CARTESIAN_POINT('Origin',(36.840309,22.182228,0.)); #563163=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #563164=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #563165=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #563166=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #563167=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #563168=CARTESIAN_POINT('Origin',(36.835225,22.152331,0.)); #563169=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #563170=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #563171=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #563172=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #563173=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #563174=CARTESIAN_POINT('Origin',(36.818881,22.126784,0.)); #563175=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #563176=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #563177=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #563178=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #563179=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #563180=CARTESIAN_POINT('Origin',(36.793869,22.109634,0.)); #563181=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #563182=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #563183=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.035)); #563184=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.)); #563185=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #563186=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #563187=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #563188=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #563189=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #563190=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #563191=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #563192=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #563193=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #563194=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #563195=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #563196=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #563197=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #563198=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #563199=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #563200=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #563201=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #563202=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #563203=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #563204=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #563205=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #563206=CARTESIAN_POINT('Origin',(26.250919,31.353516,0.)); #563207=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #563208=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #563209=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #563210=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #563211=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #563212=CARTESIAN_POINT('Origin',(26.234634,31.377675,0.)); #563213=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #563214=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #563215=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #563216=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #563217=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #563218=CARTESIAN_POINT('Origin',(26.2286,31.407397,0.)); #563219=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #563220=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #563221=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #563222=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #563223=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #563224=CARTESIAN_POINT('Origin',(26.2286,35.592603,0.)); #563225=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #563226=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #563227=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #563228=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #563229=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #563230=CARTESIAN_POINT('Origin',(26.234169,35.6212,0.)); #563231=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #563232=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #563233=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #563234=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #563235=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #563236=CARTESIAN_POINT('Origin',(26.250919,35.646484,0.)); #563237=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #563238=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #563239=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #563240=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #563241=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #563242=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #563243=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #563244=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #563245=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #563246=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #563247=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #563248=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #563249=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #563250=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #563251=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #563252=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #563253=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #563254=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #563255=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #563256=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #563257=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #563258=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #563259=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #563260=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #563261=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #563262=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #563263=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #563264=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #563265=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #563266=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #563267=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #563268=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #563269=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #563270=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #563271=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #563272=CARTESIAN_POINT('Origin',(26.499081,35.646484,0.)); #563273=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #563274=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #563275=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #563276=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #563277=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #563278=CARTESIAN_POINT('Origin',(26.515366,35.622325,0.)); #563279=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #563280=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #563281=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #563282=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #563283=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #563284=CARTESIAN_POINT('Origin',(26.5214,35.592603,0.)); #563285=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #563286=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #563287=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #563288=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #563289=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #563290=CARTESIAN_POINT('Origin',(26.5214,31.407397,0.)); #563291=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #563292=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #563293=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #563294=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #563295=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #563296=CARTESIAN_POINT('Origin',(26.515831,31.3788,0.)); #563297=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #563298=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #563299=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #563300=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #563301=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #563302=CARTESIAN_POINT('Origin',(26.499081,31.353516,0.)); #563303=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #563304=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #563305=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #563306=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #563307=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #563308=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #563309=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #563310=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #563311=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #563312=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #563313=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #563314=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #563315=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #563316=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #563317=CARTESIAN_POINT('Origin',(26.375,33.5,0.035)); #563318=CARTESIAN_POINT('Origin',(26.375,33.5,0.)); #563319=CARTESIAN_POINT('Origin',(39.5903,22.4786,0.)); #563320=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #563321=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #563322=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #563323=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #563324=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #563325=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #563326=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #563327=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #563328=CARTESIAN_POINT('Origin',(37.813753,22.4786,0.)); #563329=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #563330=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #563331=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #563332=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #563333=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #563334=CARTESIAN_POINT('Origin',(37.785156,22.484169,0.)); #563335=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #563336=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #563337=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #563338=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #563339=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #563340=CARTESIAN_POINT('Origin',(37.759872,22.500919,0.)); #563341=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #563342=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #563343=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #563344=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #563345=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #563346=CARTESIAN_POINT('Origin',(37.619472,22.641319,0.)); #563347=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #563348=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #563349=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #563350=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #563351=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #563352=CARTESIAN_POINT('Origin',(37.604172,22.663256,0.)); #563353=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #563354=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #563355=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #563356=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #563357=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #563358=CARTESIAN_POINT('Origin',(37.597191,22.692772,0.)); #563359=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #563360=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #563361=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #563362=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #563363=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #563364=CARTESIAN_POINT('Origin',(37.602275,22.722669,0.)); #563365=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #563366=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #563367=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #563368=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #563369=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #563370=CARTESIAN_POINT('Origin',(37.618619,22.748216,0.)); #563371=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #563372=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #563373=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #563374=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #563375=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #563376=CARTESIAN_POINT('Origin',(37.643631,22.765366,0.)); #563377=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #563378=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #563379=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #563380=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #563381=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #563382=CARTESIAN_POINT('Origin',(37.673353,22.7714,0.)); #563383=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #563384=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #563385=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #563386=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #563387=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #563388=CARTESIAN_POINT('Origin',(39.5903,22.7714,0.)); #563389=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #563390=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #563391=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #563392=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #563393=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #563394=CARTESIAN_POINT('Origin',(39.617769,22.766278,0.)); #563395=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #563396=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #563397=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #563398=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #563399=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #563400=CARTESIAN_POINT('Origin',(39.643316,22.749934,0.)); #563401=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #563402=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #563403=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #563404=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #563405=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #563406=CARTESIAN_POINT('Origin',(39.660466,22.724922,0.)); #563407=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #563408=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #563409=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #563410=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #563411=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #563412=CARTESIAN_POINT('Origin',(39.6665,22.6952,0.)); #563413=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #563414=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #563415=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #563416=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #563417=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #563418=CARTESIAN_POINT('Origin',(39.6665,22.5548,0.)); #563419=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #563420=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #563421=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #563422=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #563423=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #563424=CARTESIAN_POINT('Origin',(39.661378,22.527331,0.)); #563425=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #563426=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #563427=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #563428=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #563429=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #563430=CARTESIAN_POINT('Origin',(39.645034,22.501784,0.)); #563431=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #563432=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #563433=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #563434=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #563435=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #563436=CARTESIAN_POINT('Origin',(39.620022,22.484634,0.)); #563437=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #563438=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #563439=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.035)); #563440=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.)); #563441=CARTESIAN_POINT('Origin',(31.811072,23.9786,0.)); #563442=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #563443=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #563444=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #563445=CARTESIAN_POINT('',(31.811741,23.978603,0.035)); #563446=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #563447=CARTESIAN_POINT('',(31.811072,23.9786,0.035)); #563448=CARTESIAN_POINT('',(31.811072,23.9786,0.035)); #563449=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #563450=CARTESIAN_POINT('Origin',(31.8048,23.9786,0.)); #563451=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #563452=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #563453=CARTESIAN_POINT('',(31.8048,23.9786,0.035)); #563454=CARTESIAN_POINT('',(31.8048,23.9786,0.035)); #563455=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #563456=CARTESIAN_POINT('Origin',(31.777331,23.983722,0.)); #563457=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #563458=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #563459=CARTESIAN_POINT('',(31.777331,23.983722,0.035)); #563460=CARTESIAN_POINT('',(31.777331,23.983722,0.035)); #563461=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #563462=CARTESIAN_POINT('Origin',(31.751784,24.000066,0.)); #563463=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #563464=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #563465=CARTESIAN_POINT('',(31.751784,24.000066,0.035)); #563466=CARTESIAN_POINT('',(31.751784,24.000066,0.035)); #563467=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #563468=CARTESIAN_POINT('Origin',(31.734634,24.025078,0.)); #563469=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #563470=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #563471=CARTESIAN_POINT('',(31.734634,24.025078,0.035)); #563472=CARTESIAN_POINT('',(31.734634,24.025078,0.035)); #563473=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #563474=CARTESIAN_POINT('Origin',(31.7286,24.0548,0.)); #563475=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #563476=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #563477=CARTESIAN_POINT('',(31.7286,24.0548,0.035)); #563478=CARTESIAN_POINT('',(31.7286,24.0548,0.035)); #563479=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #563480=CARTESIAN_POINT('Origin',(31.7286,24.317025,0.)); #563481=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #563482=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #563483=CARTESIAN_POINT('',(31.7286,24.317025,0.035)); #563484=CARTESIAN_POINT('',(31.7286,24.317025,0.035)); #563485=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #563486=CARTESIAN_POINT('Origin',(31.733944,24.345059,0.)); #563487=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #563488=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #563489=CARTESIAN_POINT('',(31.733944,24.345059,0.035)); #563490=CARTESIAN_POINT('',(31.733944,24.345059,0.035)); #563491=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #563492=CARTESIAN_POINT('Origin',(31.750491,24.370475,0.)); #563493=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #563494=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #563495=CARTESIAN_POINT('',(31.750491,24.370475,0.035)); #563496=CARTESIAN_POINT('',(31.750491,24.370475,0.035)); #563497=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #563498=CARTESIAN_POINT('Origin',(31.775641,24.387425,0.)); #563499=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #563500=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #563501=CARTESIAN_POINT('',(31.775641,24.387425,0.035)); #563502=CARTESIAN_POINT('',(31.775641,24.387425,0.035)); #563503=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #563504=CARTESIAN_POINT('Origin',(32.011169,24.484984,0.)); #563505=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #563506=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #563507=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #563508=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #563509=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #563510=CARTESIAN_POINT('Origin',(32.265016,24.738831,0.)); #563511=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #563512=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #563513=CARTESIAN_POINT('',(32.265016,24.738831,0.035)); #563514=CARTESIAN_POINT('',(32.265016,24.738831,0.035)); #563515=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #563516=CARTESIAN_POINT('Origin',(32.4024,25.0705,0.)); #563517=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #563518=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #563519=CARTESIAN_POINT('',(32.4024,25.0705,0.035)); #563520=CARTESIAN_POINT('',(32.4024,25.0705,0.035)); #563521=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #563522=CARTESIAN_POINT('Origin',(32.4024,25.4295,0.)); #563523=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #563524=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #563525=CARTESIAN_POINT('',(32.4024,25.4295,0.035)); #563526=CARTESIAN_POINT('',(32.4024,25.4295,0.035)); #563527=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #563528=CARTESIAN_POINT('Origin',(32.265016,25.761169,0.)); #563529=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #563530=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #563531=CARTESIAN_POINT('',(32.265016,25.761169,0.035)); #563532=CARTESIAN_POINT('',(32.265016,25.761169,0.035)); #563533=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #563534=CARTESIAN_POINT('Origin',(32.072366,25.953819,0.)); #563535=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #563536=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #563537=CARTESIAN_POINT('',(32.072366,25.953819,0.035)); #563538=CARTESIAN_POINT('',(32.072366,25.953819,0.035)); #563539=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #563540=CARTESIAN_POINT('Origin',(32.057066,25.975756,0.)); #563541=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #563542=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #563543=CARTESIAN_POINT('',(32.057066,25.975756,0.035)); #563544=CARTESIAN_POINT('',(32.057066,25.975756,0.035)); #563545=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #563546=CARTESIAN_POINT('Origin',(32.050084,26.005272,0.)); #563547=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #563548=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #563549=CARTESIAN_POINT('',(32.050084,26.005272,0.035)); #563550=CARTESIAN_POINT('',(32.050084,26.005272,0.035)); #563551=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #563552=CARTESIAN_POINT('Origin',(32.055169,26.035169,0.)); #563553=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #563554=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #563555=CARTESIAN_POINT('',(32.055169,26.035169,0.035)); #563556=CARTESIAN_POINT('',(32.055169,26.035169,0.035)); #563557=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #563558=CARTESIAN_POINT('Origin',(32.071513,26.060716,0.)); #563559=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #563560=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #563561=CARTESIAN_POINT('',(32.071513,26.060716,0.035)); #563562=CARTESIAN_POINT('',(32.071513,26.060716,0.035)); #563563=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #563564=CARTESIAN_POINT('Origin',(32.096525,26.077866,0.)); #563565=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #563566=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #563567=CARTESIAN_POINT('',(32.096525,26.077866,0.035)); #563568=CARTESIAN_POINT('',(32.096525,26.077866,0.035)); #563569=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #563570=CARTESIAN_POINT('Origin',(32.126247,26.0839,0.)); #563571=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #563572=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #563573=CARTESIAN_POINT('',(32.126247,26.0839,0.035)); #563574=CARTESIAN_POINT('',(32.126247,26.0839,0.035)); #563575=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #563576=CARTESIAN_POINT('Origin',(33.373753,26.0839,0.)); #563577=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #563578=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #563579=CARTESIAN_POINT('',(33.373753,26.0839,0.035)); #563580=CARTESIAN_POINT('',(33.373753,26.0839,0.035)); #563581=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #563582=CARTESIAN_POINT('Origin',(33.400084,26.079206,0.)); #563583=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #563584=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #563585=CARTESIAN_POINT('',(33.400084,26.079206,0.035)); #563586=CARTESIAN_POINT('',(33.400084,26.079206,0.035)); #563587=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #563588=CARTESIAN_POINT('Origin',(33.425891,26.063272,0.)); #563589=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #563590=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #563591=CARTESIAN_POINT('',(33.425891,26.063272,0.035)); #563592=CARTESIAN_POINT('',(33.425891,26.063272,0.035)); #563593=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #563594=CARTESIAN_POINT('Origin',(33.443434,26.038534,0.)); #563595=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #563596=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #563597=CARTESIAN_POINT('',(33.443434,26.038534,0.035)); #563598=CARTESIAN_POINT('',(33.443434,26.038534,0.035)); #563599=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #563600=CARTESIAN_POINT('Origin',(33.449944,26.008916,0.)); #563601=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #563602=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #563603=CARTESIAN_POINT('',(33.449944,26.008916,0.035)); #563604=CARTESIAN_POINT('',(33.449944,26.008916,0.035)); #563605=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #563606=CARTESIAN_POINT('Origin',(33.444384,25.979103,0.)); #563607=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #563608=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #563609=CARTESIAN_POINT('',(33.444384,25.979103,0.035)); #563610=CARTESIAN_POINT('',(33.444384,25.979103,0.035)); #563611=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #563612=CARTESIAN_POINT('Origin',(33.427634,25.953819,0.)); #563613=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #563614=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #563615=CARTESIAN_POINT('',(33.427634,25.953819,0.035)); #563616=CARTESIAN_POINT('',(33.427634,25.953819,0.035)); #563617=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #563618=CARTESIAN_POINT('Origin',(33.234984,25.761169,0.)); #563619=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #563620=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #563621=CARTESIAN_POINT('',(33.234984,25.761169,0.035)); #563622=CARTESIAN_POINT('',(33.234984,25.761169,0.035)); #563623=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #563624=CARTESIAN_POINT('Origin',(33.0976,25.4295,0.)); #563625=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #563626=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #563627=CARTESIAN_POINT('',(33.0976,25.4295,0.035)); #563628=CARTESIAN_POINT('',(33.0976,25.4295,0.035)); #563629=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #563630=CARTESIAN_POINT('Origin',(33.0976,25.0705,0.)); #563631=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #563632=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #563633=CARTESIAN_POINT('',(33.0976,25.0705,0.035)); #563634=CARTESIAN_POINT('',(33.0976,25.0705,0.035)); #563635=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #563636=CARTESIAN_POINT('Origin',(33.234984,24.738831,0.)); #563637=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #563638=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #563639=CARTESIAN_POINT('',(33.234984,24.738831,0.035)); #563640=CARTESIAN_POINT('',(33.234984,24.738831,0.035)); #563641=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #563642=CARTESIAN_POINT('Origin',(33.488831,24.484984,0.)); #563643=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #563644=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #563645=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #563646=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #563647=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #563648=CARTESIAN_POINT('Origin',(33.8205,24.3476,0.)); #563649=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #563650=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #563651=CARTESIAN_POINT('',(33.8205,24.3476,0.035)); #563652=CARTESIAN_POINT('',(33.8205,24.3476,0.035)); #563653=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #563654=CARTESIAN_POINT('Origin',(34.1795,24.3476,0.)); #563655=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #563656=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #563657=CARTESIAN_POINT('',(34.1795,24.3476,0.035)); #563658=CARTESIAN_POINT('',(34.1795,24.3476,0.035)); #563659=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #563660=CARTESIAN_POINT('Origin',(34.511169,24.484984,0.)); #563661=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #563662=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #563663=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #563664=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #563665=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #563666=CARTESIAN_POINT('Origin',(34.765016,24.738831,0.)); #563667=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #563668=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #563669=CARTESIAN_POINT('',(34.765016,24.738831,0.035)); #563670=CARTESIAN_POINT('',(34.765016,24.738831,0.035)); #563671=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #563672=CARTESIAN_POINT('Origin',(34.9024,25.0705,0.)); #563673=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #563674=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #563675=CARTESIAN_POINT('',(34.9024,25.0705,0.035)); #563676=CARTESIAN_POINT('',(34.9024,25.0705,0.035)); #563677=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #563678=CARTESIAN_POINT('Origin',(34.9024,25.4295,0.)); #563679=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #563680=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #563681=CARTESIAN_POINT('',(34.9024,25.4295,0.035)); #563682=CARTESIAN_POINT('',(34.9024,25.4295,0.035)); #563683=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #563684=CARTESIAN_POINT('Origin',(34.765016,25.761169,0.)); #563685=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #563686=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #563687=CARTESIAN_POINT('',(34.765016,25.761169,0.035)); #563688=CARTESIAN_POINT('',(34.765016,25.761169,0.035)); #563689=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #563690=CARTESIAN_POINT('Origin',(34.572366,25.953819,0.)); #563691=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #563692=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #563693=CARTESIAN_POINT('',(34.572366,25.953819,0.035)); #563694=CARTESIAN_POINT('',(34.572366,25.953819,0.035)); #563695=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #563696=CARTESIAN_POINT('Origin',(34.557066,25.975756,0.)); #563697=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #563698=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #563699=CARTESIAN_POINT('',(34.557066,25.975756,0.035)); #563700=CARTESIAN_POINT('',(34.557066,25.975756,0.035)); #563701=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #563702=CARTESIAN_POINT('Origin',(34.550084,26.005272,0.)); #563703=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #563704=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #563705=CARTESIAN_POINT('',(34.550084,26.005272,0.035)); #563706=CARTESIAN_POINT('',(34.550084,26.005272,0.035)); #563707=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #563708=CARTESIAN_POINT('Origin',(34.555169,26.035169,0.)); #563709=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #563710=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #563711=CARTESIAN_POINT('',(34.555169,26.035169,0.035)); #563712=CARTESIAN_POINT('',(34.555169,26.035169,0.035)); #563713=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #563714=CARTESIAN_POINT('Origin',(34.571513,26.060716,0.)); #563715=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #563716=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #563717=CARTESIAN_POINT('',(34.571513,26.060716,0.035)); #563718=CARTESIAN_POINT('',(34.571513,26.060716,0.035)); #563719=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #563720=CARTESIAN_POINT('Origin',(34.596525,26.077866,0.)); #563721=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #563722=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #563723=CARTESIAN_POINT('',(34.596525,26.077866,0.035)); #563724=CARTESIAN_POINT('',(34.596525,26.077866,0.035)); #563725=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #563726=CARTESIAN_POINT('Origin',(34.626247,26.0839,0.)); #563727=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #563728=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #563729=CARTESIAN_POINT('',(34.626247,26.0839,0.035)); #563730=CARTESIAN_POINT('',(34.626247,26.0839,0.035)); #563731=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #563732=CARTESIAN_POINT('Origin',(35.873753,26.0839,0.)); #563733=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #563734=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #563735=CARTESIAN_POINT('',(35.873753,26.0839,0.035)); #563736=CARTESIAN_POINT('',(35.873753,26.0839,0.035)); #563737=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #563738=CARTESIAN_POINT('Origin',(35.900084,26.079206,0.)); #563739=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #563740=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #563741=CARTESIAN_POINT('',(35.900084,26.079206,0.035)); #563742=CARTESIAN_POINT('',(35.900084,26.079206,0.035)); #563743=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #563744=CARTESIAN_POINT('Origin',(35.925891,26.063272,0.)); #563745=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #563746=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #563747=CARTESIAN_POINT('',(35.925891,26.063272,0.035)); #563748=CARTESIAN_POINT('',(35.925891,26.063272,0.035)); #563749=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #563750=CARTESIAN_POINT('Origin',(35.943434,26.038534,0.)); #563751=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #563752=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #563753=CARTESIAN_POINT('',(35.943434,26.038534,0.035)); #563754=CARTESIAN_POINT('',(35.943434,26.038534,0.035)); #563755=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #563756=CARTESIAN_POINT('Origin',(35.949944,26.008916,0.)); #563757=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #563758=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #563759=CARTESIAN_POINT('',(35.949944,26.008916,0.035)); #563760=CARTESIAN_POINT('',(35.949944,26.008916,0.035)); #563761=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #563762=CARTESIAN_POINT('Origin',(35.944384,25.979103,0.)); #563763=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #563764=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #563765=CARTESIAN_POINT('',(35.944384,25.979103,0.035)); #563766=CARTESIAN_POINT('',(35.944384,25.979103,0.035)); #563767=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #563768=CARTESIAN_POINT('Origin',(35.927634,25.953819,0.)); #563769=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #563770=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #563771=CARTESIAN_POINT('',(35.927634,25.953819,0.035)); #563772=CARTESIAN_POINT('',(35.927634,25.953819,0.035)); #563773=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #563774=CARTESIAN_POINT('Origin',(35.734984,25.761169,0.)); #563775=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #563776=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #563777=CARTESIAN_POINT('',(35.734984,25.761169,0.035)); #563778=CARTESIAN_POINT('',(35.734984,25.761169,0.035)); #563779=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #563780=CARTESIAN_POINT('Origin',(35.5976,25.4295,0.)); #563781=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #563782=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #563783=CARTESIAN_POINT('',(35.5976,25.4295,0.035)); #563784=CARTESIAN_POINT('',(35.5976,25.4295,0.035)); #563785=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #563786=CARTESIAN_POINT('Origin',(35.5976,25.0705,0.)); #563787=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #563788=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #563789=CARTESIAN_POINT('',(35.5976,25.0705,0.035)); #563790=CARTESIAN_POINT('',(35.5976,25.0705,0.035)); #563791=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #563792=CARTESIAN_POINT('Origin',(35.734984,24.738831,0.)); #563793=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #563794=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #563795=CARTESIAN_POINT('',(35.734984,24.738831,0.035)); #563796=CARTESIAN_POINT('',(35.734984,24.738831,0.035)); #563797=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #563798=CARTESIAN_POINT('Origin',(35.988831,24.484984,0.)); #563799=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #563800=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #563801=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #563802=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #563803=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #563804=CARTESIAN_POINT('Origin',(36.224359,24.387425,0.)); #563805=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #563806=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #563807=CARTESIAN_POINT('',(36.224359,24.387425,0.035)); #563808=CARTESIAN_POINT('',(36.224359,24.387425,0.035)); #563809=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #563810=CARTESIAN_POINT('Origin',(36.248216,24.371759,0.)); #563811=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #563812=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #563813=CARTESIAN_POINT('',(36.248216,24.371759,0.035)); #563814=CARTESIAN_POINT('',(36.248216,24.371759,0.035)); #563815=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #563816=CARTESIAN_POINT('Origin',(36.265366,24.346747,0.)); #563817=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #563818=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #563819=CARTESIAN_POINT('',(36.265366,24.346747,0.035)); #563820=CARTESIAN_POINT('',(36.265366,24.346747,0.035)); #563821=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #563822=CARTESIAN_POINT('Origin',(36.2714,24.317025,0.)); #563823=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #563824=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #563825=CARTESIAN_POINT('',(36.2714,24.317025,0.035)); #563826=CARTESIAN_POINT('',(36.2714,24.317025,0.035)); #563827=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #563828=CARTESIAN_POINT('Origin',(36.2714,24.0548,0.)); #563829=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #563830=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #563831=CARTESIAN_POINT('',(36.2714,24.0548,0.035)); #563832=CARTESIAN_POINT('',(36.2714,24.0548,0.035)); #563833=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #563834=CARTESIAN_POINT('Origin',(36.266278,24.027331,0.)); #563835=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #563836=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #563837=CARTESIAN_POINT('',(36.266278,24.027331,0.035)); #563838=CARTESIAN_POINT('',(36.266278,24.027331,0.035)); #563839=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #563840=CARTESIAN_POINT('Origin',(36.249934,24.001784,0.)); #563841=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #563842=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #563843=CARTESIAN_POINT('',(36.249934,24.001784,0.035)); #563844=CARTESIAN_POINT('',(36.249934,24.001784,0.035)); #563845=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #563846=CARTESIAN_POINT('Origin',(36.224922,23.984634,0.)); #563847=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #563848=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #563849=CARTESIAN_POINT('',(36.224922,23.984634,0.035)); #563850=CARTESIAN_POINT('',(36.224922,23.984634,0.035)); #563851=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #563852=CARTESIAN_POINT('Origin',(36.1952,23.9786,0.)); #563853=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #563854=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #563855=CARTESIAN_POINT('',(36.1952,23.9786,0.035)); #563856=CARTESIAN_POINT('',(36.1952,23.9786,0.035)); #563857=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #563858=CARTESIAN_POINT('Origin',(31.937188,23.9786,0.)); #563859=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #563860=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #563861=CARTESIAN_POINT('',(31.937188,23.9786,0.035)); #563862=CARTESIAN_POINT('',(31.937188,23.9786,0.035)); #563863=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #563864=CARTESIAN_POINT('Origin',(31.931644,23.979656,0.)); #563865=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #563866=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #563867=CARTESIAN_POINT('',(31.931644,23.979656,0.035)); #563868=CARTESIAN_POINT('',(31.931644,23.979656,0.035)); #563869=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #563870=CARTESIAN_POINT('Origin',(31.811741,23.978603,0.)); #563871=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #563872=CARTESIAN_POINT('',(31.811741,23.978603,0.035)); #563873=CARTESIAN_POINT('Origin',(33.999986734692,24.9227932678856,0.035)); #563874=CARTESIAN_POINT('Origin',(33.999986734692,24.9227932678856,0.)); #563875=CARTESIAN_POINT('Origin',(30.733003,12.4786,0.)); #563876=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #563877=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #563878=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #563879=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #563880=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #563881=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #563882=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #563883=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #563884=CARTESIAN_POINT('Origin',(30.065591,12.4786,0.)); #563885=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #563886=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #563887=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #563888=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #563889=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #563890=CARTESIAN_POINT('Origin',(30.036994,12.484169,0.)); #563891=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #563892=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #563893=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #563894=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #563895=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #563896=CARTESIAN_POINT('Origin',(30.011709,12.500919,0.)); #563897=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #563898=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #563899=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #563900=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #563901=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #563902=CARTESIAN_POINT('Origin',(26.488919,16.023709,0.)); #563903=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #563904=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #563905=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #563906=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #563907=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #563908=CARTESIAN_POINT('Origin',(26.472634,16.047869,0.)); #563909=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #563910=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #563911=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #563912=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #563913=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #563914=CARTESIAN_POINT('Origin',(26.4666,16.077591,0.)); #563915=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #563916=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #563917=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #563918=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #563919=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #563920=CARTESIAN_POINT('Origin',(26.4666,18.3269,0.)); #563921=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #563922=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #563923=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #563924=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #563925=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #563926=CARTESIAN_POINT('Origin',(26.471722,18.354369,0.)); #563927=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #563928=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #563929=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #563930=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #563931=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #563932=CARTESIAN_POINT('Origin',(26.488066,18.379916,0.)); #563933=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #563934=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #563935=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #563936=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #563937=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #563938=CARTESIAN_POINT('Origin',(26.513078,18.397066,0.)); #563939=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #563940=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #563941=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #563942=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #563943=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #563944=CARTESIAN_POINT('Origin',(26.5428,18.4031,0.)); #563945=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #563946=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #563947=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #563948=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #563949=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #563950=CARTESIAN_POINT('Origin',(26.6952,18.4031,0.)); #563951=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #563952=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #563953=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #563954=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #563955=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #563956=CARTESIAN_POINT('Origin',(26.722669,18.397978,0.)); #563957=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #563958=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #563959=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #563960=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #563961=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #563962=CARTESIAN_POINT('Origin',(26.748216,18.381634,0.)); #563963=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #563964=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #563965=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #563966=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #563967=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #563968=CARTESIAN_POINT('Origin',(26.765366,18.356622,0.)); #563969=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #563970=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #563971=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #563972=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #563973=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #563974=CARTESIAN_POINT('Origin',(26.7714,18.3269,0.)); #563975=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #563976=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #563977=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #563978=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #563979=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #563980=CARTESIAN_POINT('Origin',(26.7714,16.155309,0.)); #563981=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #563982=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #563983=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #563984=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #563985=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #563986=CARTESIAN_POINT('Origin',(30.155309,12.7714,0.)); #563987=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #563988=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #563989=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #563990=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #563991=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #563992=CARTESIAN_POINT('Origin',(30.592603,12.7714,0.)); #563993=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #563994=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #563995=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #563996=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #563997=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #563998=CARTESIAN_POINT('Origin',(30.6212,12.765831,0.)); #563999=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #564000=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #564001=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #564002=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #564003=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #564004=CARTESIAN_POINT('Origin',(30.646484,12.749081,0.)); #564005=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #564006=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #564007=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #564008=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #564009=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #564010=CARTESIAN_POINT('Origin',(30.786884,12.608681,0.)); #564011=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #564012=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #564013=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #564014=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #564015=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #564016=CARTESIAN_POINT('Origin',(30.802184,12.586744,0.)); #564017=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #564018=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #564019=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #564020=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #564021=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #564022=CARTESIAN_POINT('Origin',(30.809166,12.557228,0.)); #564023=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #564024=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #564025=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #564026=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #564027=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #564028=CARTESIAN_POINT('Origin',(30.804081,12.527331,0.)); #564029=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #564030=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #564031=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #564032=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #564033=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #564034=CARTESIAN_POINT('Origin',(30.787738,12.501784,0.)); #564035=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #564036=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #564037=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #564038=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #564039=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #564040=CARTESIAN_POINT('Origin',(30.762725,12.484634,0.)); #564041=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #564042=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #564043=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.035)); #564044=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.)); #564045=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #564046=CARTESIAN_POINT('',(44.985,56.75,0.)); #564047=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #564048=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #564049=CARTESIAN_POINT('',(44.985,56.75,-200.)); #564050=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #564051=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #564052=CARTESIAN_POINT('',(47.61,56.75,0.)); #564053=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #564054=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #564055=CARTESIAN_POINT('',(47.61,56.75,-200.)); #564056=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #564057=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #564058=CARTESIAN_POINT('',(47.61,55.25,0.)); #564059=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #564060=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #564061=CARTESIAN_POINT('',(47.61,55.25,-200.)); #564062=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #564063=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #564064=CARTESIAN_POINT('',(47.61,56.,0.)); #564065=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #564066=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #564067=CARTESIAN_POINT('',(47.61,56.,-200.)); #564068=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #564069=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #564070=CARTESIAN_POINT('',(45.86,56.,0.)); #564071=CARTESIAN_POINT('Origin',(46.,56.,0.)); #564072=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #564073=CARTESIAN_POINT('',(45.86,56.,-200.)); #564074=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #564075=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #564076=CARTESIAN_POINT('',(44.11,56.,0.)); #564077=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #564078=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #564079=CARTESIAN_POINT('',(44.11,56.,-200.)); #564080=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #564081=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #564082=CARTESIAN_POINT('',(45.86,56.75,0.)); #564083=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #564084=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #564085=CARTESIAN_POINT('',(45.86,56.75,-200.)); #564086=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #564087=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #564088=CARTESIAN_POINT('',(45.86,55.25,0.)); #564089=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #564090=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #564091=CARTESIAN_POINT('',(45.86,55.25,-200.)); #564092=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #564093=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #564094=CARTESIAN_POINT('',(44.11,56.75,0.)); #564095=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #564096=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #564097=CARTESIAN_POINT('',(44.11,56.75,-200.)); #564098=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #564099=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #564100=CARTESIAN_POINT('',(44.11,55.25,0.)); #564101=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #564102=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #564103=CARTESIAN_POINT('',(44.11,55.25,-200.)); #564104=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #564105=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #564106=CARTESIAN_POINT('',(46.735,56.,0.)); #564107=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #564108=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #564109=CARTESIAN_POINT('',(46.735,56.,-200.)); #564110=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #564111=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #564112=CARTESIAN_POINT('',(46.735,55.25,0.)); #564113=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #564114=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #564115=CARTESIAN_POINT('',(46.735,55.25,-200.)); #564116=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #564117=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #564118=CARTESIAN_POINT('',(44.985,56.,0.)); #564119=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #564120=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #564121=CARTESIAN_POINT('',(44.985,56.,-200.)); #564122=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #564123=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #564124=CARTESIAN_POINT('',(44.985,55.25,0.)); #564125=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #564126=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #564127=CARTESIAN_POINT('',(44.985,55.25,-200.)); #564128=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #564129=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #564130=CARTESIAN_POINT('',(38.372,53.,0.)); #564131=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #564132=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #564133=CARTESIAN_POINT('',(38.372,53.,-200.)); #564134=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #564135=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #564136=CARTESIAN_POINT('',(46.735,56.75,0.)); #564137=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #564138=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #564139=CARTESIAN_POINT('',(46.735,56.75,-200.)); #564140=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #564141=CARTESIAN_POINT('Origin',(47.3256,49.1016,0.)); #564142=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #564143=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #564144=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #564145=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #564146=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #564147=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #564148=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #564149=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #564150=CARTESIAN_POINT('Origin',(43.127,49.1016,0.)); #564151=CARTESIAN_POINT('',(43.127,49.1016,0.)); #564152=CARTESIAN_POINT('',(43.127,49.1016,0.)); #564153=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #564154=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #564155=CARTESIAN_POINT('',(43.127,49.1016,0.)); #564156=CARTESIAN_POINT('Origin',(43.081219,49.110138,0.)); #564157=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #564158=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #564159=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #564160=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #564161=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #564162=CARTESIAN_POINT('Origin',(43.038641,49.137378,0.)); #564163=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #564164=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #564165=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #564166=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #564167=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #564168=CARTESIAN_POINT('Origin',(43.010059,49.179066,0.)); #564169=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #564170=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #564171=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #564172=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #564173=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #564174=CARTESIAN_POINT('Origin',(43.,49.2286,0.)); #564175=CARTESIAN_POINT('',(43.,49.2286,0.)); #564176=CARTESIAN_POINT('',(43.,49.2286,0.)); #564177=CARTESIAN_POINT('',(43.,49.2286,0.035)); #564178=CARTESIAN_POINT('',(43.,49.2286,0.035)); #564179=CARTESIAN_POINT('',(43.,49.2286,0.)); #564180=CARTESIAN_POINT('Origin',(43.,49.866006,0.)); #564181=CARTESIAN_POINT('',(43.,49.866006,0.)); #564182=CARTESIAN_POINT('',(43.,49.866006,0.)); #564183=CARTESIAN_POINT('',(43.,49.866006,0.035)); #564184=CARTESIAN_POINT('',(43.,49.866006,0.035)); #564185=CARTESIAN_POINT('',(43.,49.866006,0.)); #564186=CARTESIAN_POINT('Origin',(42.998622,49.875663,0.)); #564187=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #564188=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #564189=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #564190=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #564191=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #564192=CARTESIAN_POINT('Origin',(42.9949,49.884263,0.)); #564193=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #564194=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #564195=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #564196=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #564197=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #564198=CARTESIAN_POINT('Origin',(42.988659,49.894906,0.)); #564199=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #564200=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #564201=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #564202=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #564203=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #564204=CARTESIAN_POINT('Origin',(42.988559,49.895403,0.)); #564205=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #564206=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #564207=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #564208=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #564209=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #564210=CARTESIAN_POINT('Origin',(41.641172,51.242791,0.)); #564211=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #564212=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #564213=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #564214=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #564215=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #564216=CARTESIAN_POINT('Origin',(41.639556,51.243556,0.)); #564217=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #564218=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #564219=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #564220=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #564221=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #564222=CARTESIAN_POINT('Origin',(41.639422,51.243219,0.)); #564223=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #564224=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #564225=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #564226=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #564227=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #564228=CARTESIAN_POINT('Origin',(41.62475,51.249025,0.)); #564229=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #564230=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #564231=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #564232=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #564233=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #564234=CARTESIAN_POINT('Origin',(41.617016,51.25,0.)); #564235=CARTESIAN_POINT('',(41.617016,51.25,0.)); #564236=CARTESIAN_POINT('',(41.617016,51.25,0.)); #564237=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #564238=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #564239=CARTESIAN_POINT('',(41.617016,51.25,0.)); #564240=CARTESIAN_POINT('Origin',(37.802606,51.25,0.)); #564241=CARTESIAN_POINT('',(37.802606,51.25,0.)); #564242=CARTESIAN_POINT('',(37.802606,51.25,0.)); #564243=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #564244=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #564245=CARTESIAN_POINT('',(37.802606,51.25,0.)); #564246=CARTESIAN_POINT('Origin',(37.754944,51.259284,0.)); #564247=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #564248=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #564249=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #564250=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #564251=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #564252=CARTESIAN_POINT('Origin',(37.712803,51.287197,0.)); #564253=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #564254=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #564255=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #564256=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #564257=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #564258=CARTESIAN_POINT('Origin',(37.037197,51.962803,0.)); #564259=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #564260=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #564261=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #564262=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #564263=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #564264=CARTESIAN_POINT('Origin',(37.010059,52.003072,0.)); #564265=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #564266=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #564267=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #564268=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #564269=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #564270=CARTESIAN_POINT('Origin',(37.,52.052606,0.)); #564271=CARTESIAN_POINT('',(37.,52.052606,0.)); #564272=CARTESIAN_POINT('',(37.,52.052606,0.)); #564273=CARTESIAN_POINT('',(37.,52.052606,0.035)); #564274=CARTESIAN_POINT('',(37.,52.052606,0.035)); #564275=CARTESIAN_POINT('',(37.,52.052606,0.)); #564276=CARTESIAN_POINT('Origin',(37.,57.947394,0.)); #564277=CARTESIAN_POINT('',(37.,57.947394,0.)); #564278=CARTESIAN_POINT('',(37.,57.947394,0.)); #564279=CARTESIAN_POINT('',(37.,57.947394,0.035)); #564280=CARTESIAN_POINT('',(37.,57.947394,0.035)); #564281=CARTESIAN_POINT('',(37.,57.947394,0.)); #564282=CARTESIAN_POINT('Origin',(37.009284,57.995056,0.)); #564283=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #564284=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #564285=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #564286=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #564287=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #564288=CARTESIAN_POINT('Origin',(37.037197,58.037197,0.)); #564289=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #564290=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #564291=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #564292=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #564293=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #564294=CARTESIAN_POINT('Origin',(37.712803,58.712803,0.)); #564295=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #564296=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #564297=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #564298=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #564299=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #564300=CARTESIAN_POINT('Origin',(37.753072,58.739941,0.)); #564301=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #564302=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #564303=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #564304=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #564305=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #564306=CARTESIAN_POINT('Origin',(37.802606,58.75,0.)); #564307=CARTESIAN_POINT('',(37.802606,58.75,0.)); #564308=CARTESIAN_POINT('',(37.802606,58.75,0.)); #564309=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #564310=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #564311=CARTESIAN_POINT('',(37.802606,58.75,0.)); #564312=CARTESIAN_POINT('Origin',(42.697394,58.75,0.)); #564313=CARTESIAN_POINT('',(42.697394,58.75,0.)); #564314=CARTESIAN_POINT('',(42.697394,58.75,0.)); #564315=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #564316=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #564317=CARTESIAN_POINT('',(42.697394,58.75,0.)); #564318=CARTESIAN_POINT('Origin',(42.745056,58.740716,0.)); #564319=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #564320=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #564321=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #564322=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #564323=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #564324=CARTESIAN_POINT('Origin',(42.787197,58.712803,0.)); #564325=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #564326=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #564327=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #564328=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #564329=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #564330=CARTESIAN_POINT('Origin',(43.493634,58.006366,0.)); #564331=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #564332=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #564333=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #564334=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #564335=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #564336=CARTESIAN_POINT('Origin',(43.500928,58.000891,0.)); #564337=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #564338=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #564339=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #564340=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #564341=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #564342=CARTESIAN_POINT('Origin',(43.507984,58.,0.)); #564343=CARTESIAN_POINT('',(43.507984,58.,0.)); #564344=CARTESIAN_POINT('',(43.507984,58.,0.)); #564345=CARTESIAN_POINT('',(43.507984,58.,0.035)); #564346=CARTESIAN_POINT('',(43.507984,58.,0.035)); #564347=CARTESIAN_POINT('',(43.507984,58.,0.)); #564348=CARTESIAN_POINT('Origin',(43.676869,58.,0.)); #564349=CARTESIAN_POINT('',(43.676869,58.,0.)); #564350=CARTESIAN_POINT('',(43.676869,58.,0.)); #564351=CARTESIAN_POINT('',(43.676869,58.,0.035)); #564352=CARTESIAN_POINT('',(43.676869,58.,0.035)); #564353=CARTESIAN_POINT('',(43.676869,58.,0.)); #564354=CARTESIAN_POINT('Origin',(43.689191,57.9976,0.)); #564355=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #564356=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #564357=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #564358=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #564359=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #564360=CARTESIAN_POINT('Origin',(44.501313,57.9976,0.)); #564361=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #564362=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #564363=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #564364=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #564365=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #564366=CARTESIAN_POINT('Origin',(44.513131,58.,0.)); #564367=CARTESIAN_POINT('',(44.513131,58.,0.)); #564368=CARTESIAN_POINT('',(44.513131,58.,0.)); #564369=CARTESIAN_POINT('',(44.513131,58.,0.035)); #564370=CARTESIAN_POINT('',(44.513131,58.,0.035)); #564371=CARTESIAN_POINT('',(44.513131,58.,0.)); #564372=CARTESIAN_POINT('Origin',(44.946869,58.,0.)); #564373=CARTESIAN_POINT('',(44.946869,58.,0.)); #564374=CARTESIAN_POINT('',(44.946869,58.,0.)); #564375=CARTESIAN_POINT('',(44.946869,58.,0.035)); #564376=CARTESIAN_POINT('',(44.946869,58.,0.035)); #564377=CARTESIAN_POINT('',(44.946869,58.,0.)); #564378=CARTESIAN_POINT('Origin',(44.959191,57.9976,0.)); #564379=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #564380=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #564381=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #564382=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #564383=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #564384=CARTESIAN_POINT('Origin',(45.771313,57.9976,0.)); #564385=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #564386=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #564387=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #564388=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #564389=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #564390=CARTESIAN_POINT('Origin',(45.783131,58.,0.)); #564391=CARTESIAN_POINT('',(45.783131,58.,0.)); #564392=CARTESIAN_POINT('',(45.783131,58.,0.)); #564393=CARTESIAN_POINT('',(45.783131,58.,0.035)); #564394=CARTESIAN_POINT('',(45.783131,58.,0.035)); #564395=CARTESIAN_POINT('',(45.783131,58.,0.)); #564396=CARTESIAN_POINT('Origin',(46.216869,58.,0.)); #564397=CARTESIAN_POINT('',(46.216869,58.,0.)); #564398=CARTESIAN_POINT('',(46.216869,58.,0.)); #564399=CARTESIAN_POINT('',(46.216869,58.,0.035)); #564400=CARTESIAN_POINT('',(46.216869,58.,0.035)); #564401=CARTESIAN_POINT('',(46.216869,58.,0.)); #564402=CARTESIAN_POINT('Origin',(46.229191,57.9976,0.)); #564403=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #564404=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #564405=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #564406=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #564407=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #564408=CARTESIAN_POINT('Origin',(47.041313,57.9976,0.)); #564409=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #564410=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #564411=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #564412=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #564413=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #564414=CARTESIAN_POINT('Origin',(47.053131,58.,0.)); #564415=CARTESIAN_POINT('',(47.053131,58.,0.)); #564416=CARTESIAN_POINT('',(47.053131,58.,0.)); #564417=CARTESIAN_POINT('',(47.053131,58.,0.035)); #564418=CARTESIAN_POINT('',(47.053131,58.,0.035)); #564419=CARTESIAN_POINT('',(47.053131,58.,0.)); #564420=CARTESIAN_POINT('Origin',(47.486869,58.,0.)); #564421=CARTESIAN_POINT('',(47.486869,58.,0.)); #564422=CARTESIAN_POINT('',(47.486869,58.,0.)); #564423=CARTESIAN_POINT('',(47.486869,58.,0.035)); #564424=CARTESIAN_POINT('',(47.486869,58.,0.035)); #564425=CARTESIAN_POINT('',(47.486869,58.,0.)); #564426=CARTESIAN_POINT('Origin',(47.499191,57.9976,0.)); #564427=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #564428=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #564429=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #564430=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #564431=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #564432=CARTESIAN_POINT('Origin',(48.311312,57.9976,0.)); #564433=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #564434=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #564435=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #564436=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #564437=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #564438=CARTESIAN_POINT('Origin',(48.323131,58.,0.)); #564439=CARTESIAN_POINT('',(48.323131,58.,0.)); #564440=CARTESIAN_POINT('',(48.323131,58.,0.)); #564441=CARTESIAN_POINT('',(48.323131,58.,0.035)); #564442=CARTESIAN_POINT('',(48.323131,58.,0.035)); #564443=CARTESIAN_POINT('',(48.323131,58.,0.)); #564444=CARTESIAN_POINT('Origin',(48.572394,58.,0.)); #564445=CARTESIAN_POINT('',(48.572394,58.,0.)); #564446=CARTESIAN_POINT('',(48.572394,58.,0.)); #564447=CARTESIAN_POINT('',(48.572394,58.,0.035)); #564448=CARTESIAN_POINT('',(48.572394,58.,0.035)); #564449=CARTESIAN_POINT('',(48.572394,58.,0.)); #564450=CARTESIAN_POINT('Origin',(48.620056,57.990716,0.)); #564451=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #564452=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #564453=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #564454=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #564455=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #564456=CARTESIAN_POINT('Origin',(48.662197,57.962803,0.)); #564457=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #564458=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #564459=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #564460=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #564461=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #564462=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #564463=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #564464=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #564465=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #564466=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #564467=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #564468=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #564469=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #564470=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #564471=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #564472=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #564473=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #564474=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #564475=CARTESIAN_POINT('',(49.625,56.947394,0.)); #564476=CARTESIAN_POINT('',(49.625,56.947394,0.)); #564477=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #564478=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #564479=CARTESIAN_POINT('',(49.625,56.947394,0.)); #564480=CARTESIAN_POINT('Origin',(49.625,49.2286,0.)); #564481=CARTESIAN_POINT('',(49.625,49.2286,0.)); #564482=CARTESIAN_POINT('',(49.625,49.2286,0.)); #564483=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #564484=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #564485=CARTESIAN_POINT('',(49.625,49.2286,0.)); #564486=CARTESIAN_POINT('Origin',(49.616463,49.182819,0.)); #564487=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #564488=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #564489=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #564490=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #564491=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #564492=CARTESIAN_POINT('Origin',(49.589222,49.140241,0.)); #564493=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #564494=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #564495=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #564496=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #564497=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #564498=CARTESIAN_POINT('Origin',(49.547534,49.111659,0.)); #564499=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #564500=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #564501=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #564502=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #564503=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #564504=CARTESIAN_POINT('Origin',(49.498,49.1016,0.)); #564505=CARTESIAN_POINT('',(49.498,49.1016,0.)); #564506=CARTESIAN_POINT('',(49.498,49.1016,0.)); #564507=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #564508=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #564509=CARTESIAN_POINT('',(49.498,49.1016,0.)); #564510=CARTESIAN_POINT('Origin',(48.4844,49.1016,0.)); #564511=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #564512=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #564513=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #564514=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #564515=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #564516=CARTESIAN_POINT('Origin',(48.438619,49.110138,0.)); #564517=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #564518=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #564519=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #564520=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #564521=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #564522=CARTESIAN_POINT('Origin',(48.396041,49.137378,0.)); #564523=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #564524=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #564525=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #564526=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #564527=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #564528=CARTESIAN_POINT('Origin',(48.367459,49.179066,0.)); #564529=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #564530=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #564531=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #564532=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #564533=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #564534=CARTESIAN_POINT('Origin',(48.3574,49.2286,0.)); #564535=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #564536=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #564537=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #564538=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #564539=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #564540=CARTESIAN_POINT('Origin',(48.3574,50.413125,0.)); #564541=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #564542=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #564543=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #564544=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #564545=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #564546=CARTESIAN_POINT('Origin',(48.268125,50.5024,0.)); #564547=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #564548=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #564549=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #564550=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #564551=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #564552=CARTESIAN_POINT('Origin',(47.541875,50.5024,0.)); #564553=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #564554=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #564555=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #564556=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #564557=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #564558=CARTESIAN_POINT('Origin',(47.4526,50.413125,0.)); #564559=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #564560=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #564561=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #564562=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #564563=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #564564=CARTESIAN_POINT('Origin',(47.4526,49.2286,0.)); #564565=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #564566=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #564567=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #564568=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #564569=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #564570=CARTESIAN_POINT('Origin',(47.444063,49.182819,0.)); #564571=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #564572=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #564573=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #564574=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #564575=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #564576=CARTESIAN_POINT('Origin',(47.416822,49.140241,0.)); #564577=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #564578=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #564579=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #564580=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #564581=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #564582=CARTESIAN_POINT('Origin',(47.375134,49.111659,0.)); #564583=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #564584=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #564585=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.035)); #564586=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.)); #564587=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #564588=CARTESIAN_POINT('',(23.61,56.,0.)); #564589=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #564590=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #564591=CARTESIAN_POINT('',(23.61,56.,-200.)); #564592=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #564593=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #564594=CARTESIAN_POINT('',(23.61,55.25,0.)); #564595=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #564596=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #564597=CARTESIAN_POINT('',(23.61,55.25,-200.)); #564598=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #564599=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #564600=CARTESIAN_POINT('',(21.86,56.75,0.)); #564601=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #564602=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #564603=CARTESIAN_POINT('',(21.86,56.75,-200.)); #564604=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #564605=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #564606=CARTESIAN_POINT('',(21.86,55.25,0.)); #564607=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #564608=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #564609=CARTESIAN_POINT('',(21.86,55.25,-200.)); #564610=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #564611=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #564612=CARTESIAN_POINT('',(20.11,55.25,0.)); #564613=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #564614=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #564615=CARTESIAN_POINT('',(20.11,55.25,-200.)); #564616=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #564617=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #564618=CARTESIAN_POINT('',(20.11,56.75,0.)); #564619=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #564620=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #564621=CARTESIAN_POINT('',(20.11,56.75,-200.)); #564622=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #564623=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #564624=CARTESIAN_POINT('',(20.11,56.,0.)); #564625=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #564626=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #564627=CARTESIAN_POINT('',(20.11,56.,-200.)); #564628=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #564629=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #564630=CARTESIAN_POINT('',(21.86,56.,0.)); #564631=CARTESIAN_POINT('Origin',(22.,56.,0.)); #564632=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #564633=CARTESIAN_POINT('',(21.86,56.,-200.)); #564634=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #564635=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #564636=CARTESIAN_POINT('',(29.348,53.,0.)); #564637=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #564638=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #564639=CARTESIAN_POINT('',(29.348,53.,-200.)); #564640=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #564641=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #564642=CARTESIAN_POINT('',(20.985,56.75,0.)); #564643=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #564644=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #564645=CARTESIAN_POINT('',(20.985,56.75,-200.)); #564646=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #564647=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #564648=CARTESIAN_POINT('',(20.985,55.25,0.)); #564649=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #564650=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #564651=CARTESIAN_POINT('',(20.985,55.25,-200.)); #564652=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #564653=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #564654=CARTESIAN_POINT('',(20.985,56.,0.)); #564655=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #564656=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #564657=CARTESIAN_POINT('',(20.985,56.,-200.)); #564658=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #564659=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #564660=CARTESIAN_POINT('',(22.735,56.75,0.)); #564661=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #564662=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #564663=CARTESIAN_POINT('',(22.735,56.75,-200.)); #564664=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #564665=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #564666=CARTESIAN_POINT('',(23.61,56.75,0.)); #564667=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #564668=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #564669=CARTESIAN_POINT('',(23.61,56.75,-200.)); #564670=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #564671=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #564672=CARTESIAN_POINT('',(22.735,56.,0.)); #564673=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #564674=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #564675=CARTESIAN_POINT('',(22.735,56.,-200.)); #564676=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #564677=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #564678=CARTESIAN_POINT('',(22.735,55.25,0.)); #564679=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #564680=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #564681=CARTESIAN_POINT('',(22.735,55.25,-200.)); #564682=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #564683=CARTESIAN_POINT('Origin',(23.3256,49.1016,0.)); #564684=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #564685=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #564686=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #564687=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #564688=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #564689=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #564690=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #564691=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #564692=CARTESIAN_POINT('Origin',(18.502,49.1016,0.)); #564693=CARTESIAN_POINT('',(18.502,49.1016,0.)); #564694=CARTESIAN_POINT('',(18.502,49.1016,0.)); #564695=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #564696=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #564697=CARTESIAN_POINT('',(18.502,49.1016,0.)); #564698=CARTESIAN_POINT('Origin',(18.456219,49.110138,0.)); #564699=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #564700=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #564701=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #564702=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #564703=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #564704=CARTESIAN_POINT('Origin',(18.413641,49.137378,0.)); #564705=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #564706=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #564707=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #564708=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #564709=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #564710=CARTESIAN_POINT('Origin',(18.385059,49.179066,0.)); #564711=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #564712=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #564713=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #564714=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #564715=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #564716=CARTESIAN_POINT('Origin',(18.375,49.2286,0.)); #564717=CARTESIAN_POINT('',(18.375,49.2286,0.)); #564718=CARTESIAN_POINT('',(18.375,49.2286,0.)); #564719=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #564720=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #564721=CARTESIAN_POINT('',(18.375,49.2286,0.)); #564722=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #564723=CARTESIAN_POINT('',(18.375,56.947394,0.)); #564724=CARTESIAN_POINT('',(18.375,56.947394,0.)); #564725=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #564726=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #564727=CARTESIAN_POINT('',(18.375,56.947394,0.)); #564728=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #564729=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #564730=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #564731=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #564732=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #564733=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #564734=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #564735=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #564736=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #564737=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #564738=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #564739=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #564740=CARTESIAN_POINT('Origin',(19.337803,57.962803,0.)); #564741=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #564742=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #564743=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #564744=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #564745=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #564746=CARTESIAN_POINT('Origin',(19.378072,57.989941,0.)); #564747=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #564748=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #564749=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #564750=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #564751=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #564752=CARTESIAN_POINT('Origin',(19.427606,58.,0.)); #564753=CARTESIAN_POINT('',(19.427606,58.,0.)); #564754=CARTESIAN_POINT('',(19.427606,58.,0.)); #564755=CARTESIAN_POINT('',(19.427606,58.,0.035)); #564756=CARTESIAN_POINT('',(19.427606,58.,0.035)); #564757=CARTESIAN_POINT('',(19.427606,58.,0.)); #564758=CARTESIAN_POINT('Origin',(19.676869,58.,0.)); #564759=CARTESIAN_POINT('',(19.676869,58.,0.)); #564760=CARTESIAN_POINT('',(19.676869,58.,0.)); #564761=CARTESIAN_POINT('',(19.676869,58.,0.035)); #564762=CARTESIAN_POINT('',(19.676869,58.,0.035)); #564763=CARTESIAN_POINT('',(19.676869,58.,0.)); #564764=CARTESIAN_POINT('Origin',(19.689191,57.9976,0.)); #564765=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #564766=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #564767=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #564768=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #564769=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #564770=CARTESIAN_POINT('Origin',(20.501313,57.9976,0.)); #564771=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #564772=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #564773=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #564774=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #564775=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #564776=CARTESIAN_POINT('Origin',(20.513131,58.,0.)); #564777=CARTESIAN_POINT('',(20.513131,58.,0.)); #564778=CARTESIAN_POINT('',(20.513131,58.,0.)); #564779=CARTESIAN_POINT('',(20.513131,58.,0.035)); #564780=CARTESIAN_POINT('',(20.513131,58.,0.035)); #564781=CARTESIAN_POINT('',(20.513131,58.,0.)); #564782=CARTESIAN_POINT('Origin',(20.946869,58.,0.)); #564783=CARTESIAN_POINT('',(20.946869,58.,0.)); #564784=CARTESIAN_POINT('',(20.946869,58.,0.)); #564785=CARTESIAN_POINT('',(20.946869,58.,0.035)); #564786=CARTESIAN_POINT('',(20.946869,58.,0.035)); #564787=CARTESIAN_POINT('',(20.946869,58.,0.)); #564788=CARTESIAN_POINT('Origin',(20.959191,57.9976,0.)); #564789=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #564790=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #564791=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #564792=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #564793=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #564794=CARTESIAN_POINT('Origin',(21.771313,57.9976,0.)); #564795=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #564796=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #564797=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #564798=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #564799=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #564800=CARTESIAN_POINT('Origin',(21.783131,58.,0.)); #564801=CARTESIAN_POINT('',(21.783131,58.,0.)); #564802=CARTESIAN_POINT('',(21.783131,58.,0.)); #564803=CARTESIAN_POINT('',(21.783131,58.,0.035)); #564804=CARTESIAN_POINT('',(21.783131,58.,0.035)); #564805=CARTESIAN_POINT('',(21.783131,58.,0.)); #564806=CARTESIAN_POINT('Origin',(22.216869,58.,0.)); #564807=CARTESIAN_POINT('',(22.216869,58.,0.)); #564808=CARTESIAN_POINT('',(22.216869,58.,0.)); #564809=CARTESIAN_POINT('',(22.216869,58.,0.035)); #564810=CARTESIAN_POINT('',(22.216869,58.,0.035)); #564811=CARTESIAN_POINT('',(22.216869,58.,0.)); #564812=CARTESIAN_POINT('Origin',(22.229191,57.9976,0.)); #564813=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #564814=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #564815=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #564816=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #564817=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #564818=CARTESIAN_POINT('Origin',(23.041313,57.9976,0.)); #564819=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #564820=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #564821=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #564822=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #564823=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #564824=CARTESIAN_POINT('Origin',(23.053131,58.,0.)); #564825=CARTESIAN_POINT('',(23.053131,58.,0.)); #564826=CARTESIAN_POINT('',(23.053131,58.,0.)); #564827=CARTESIAN_POINT('',(23.053131,58.,0.035)); #564828=CARTESIAN_POINT('',(23.053131,58.,0.035)); #564829=CARTESIAN_POINT('',(23.053131,58.,0.)); #564830=CARTESIAN_POINT('Origin',(23.486869,58.,0.)); #564831=CARTESIAN_POINT('',(23.486869,58.,0.)); #564832=CARTESIAN_POINT('',(23.486869,58.,0.)); #564833=CARTESIAN_POINT('',(23.486869,58.,0.035)); #564834=CARTESIAN_POINT('',(23.486869,58.,0.035)); #564835=CARTESIAN_POINT('',(23.486869,58.,0.)); #564836=CARTESIAN_POINT('Origin',(23.499191,57.9976,0.)); #564837=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #564838=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #564839=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #564840=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #564841=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #564842=CARTESIAN_POINT('Origin',(24.311312,57.9976,0.)); #564843=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #564844=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #564845=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #564846=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #564847=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #564848=CARTESIAN_POINT('Origin',(24.323131,58.,0.)); #564849=CARTESIAN_POINT('',(24.323131,58.,0.)); #564850=CARTESIAN_POINT('',(24.323131,58.,0.)); #564851=CARTESIAN_POINT('',(24.323131,58.,0.035)); #564852=CARTESIAN_POINT('',(24.323131,58.,0.035)); #564853=CARTESIAN_POINT('',(24.323131,58.,0.)); #564854=CARTESIAN_POINT('Origin',(24.491006,58.,0.)); #564855=CARTESIAN_POINT('',(24.491006,58.,0.)); #564856=CARTESIAN_POINT('',(24.491006,58.,0.)); #564857=CARTESIAN_POINT('',(24.491006,58.,0.035)); #564858=CARTESIAN_POINT('',(24.491006,58.,0.035)); #564859=CARTESIAN_POINT('',(24.491006,58.,0.)); #564860=CARTESIAN_POINT('Origin',(24.500025,58.001284,0.)); #564861=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #564862=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #564863=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #564864=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #564865=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #564866=CARTESIAN_POINT('Origin',(24.505653,58.005653,0.)); #564867=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #564868=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #564869=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #564870=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #564871=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #564872=CARTESIAN_POINT('Origin',(25.212803,58.712803,0.)); #564873=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #564874=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #564875=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #564876=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #564877=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #564878=CARTESIAN_POINT('Origin',(25.253072,58.739941,0.)); #564879=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #564880=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #564881=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #564882=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #564883=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #564884=CARTESIAN_POINT('Origin',(25.302606,58.75,0.)); #564885=CARTESIAN_POINT('',(25.302606,58.75,0.)); #564886=CARTESIAN_POINT('',(25.302606,58.75,0.)); #564887=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #564888=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #564889=CARTESIAN_POINT('',(25.302606,58.75,0.)); #564890=CARTESIAN_POINT('Origin',(30.197394,58.75,0.)); #564891=CARTESIAN_POINT('',(30.197394,58.75,0.)); #564892=CARTESIAN_POINT('',(30.197394,58.75,0.)); #564893=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #564894=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #564895=CARTESIAN_POINT('',(30.197394,58.75,0.)); #564896=CARTESIAN_POINT('Origin',(30.245056,58.740716,0.)); #564897=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #564898=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #564899=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #564900=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #564901=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #564902=CARTESIAN_POINT('Origin',(30.287197,58.712803,0.)); #564903=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #564904=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #564905=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #564906=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #564907=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #564908=CARTESIAN_POINT('Origin',(30.962803,58.037197,0.)); #564909=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #564910=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #564911=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #564912=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #564913=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #564914=CARTESIAN_POINT('Origin',(30.989941,57.996928,0.)); #564915=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #564916=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #564917=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #564918=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #564919=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #564920=CARTESIAN_POINT('Origin',(31.,57.947394,0.)); #564921=CARTESIAN_POINT('',(31.,57.947394,0.)); #564922=CARTESIAN_POINT('',(31.,57.947394,0.)); #564923=CARTESIAN_POINT('',(31.,57.947394,0.035)); #564924=CARTESIAN_POINT('',(31.,57.947394,0.035)); #564925=CARTESIAN_POINT('',(31.,57.947394,0.)); #564926=CARTESIAN_POINT('Origin',(31.,52.052606,0.)); #564927=CARTESIAN_POINT('',(31.,52.052606,0.)); #564928=CARTESIAN_POINT('',(31.,52.052606,0.)); #564929=CARTESIAN_POINT('',(31.,52.052606,0.035)); #564930=CARTESIAN_POINT('',(31.,52.052606,0.035)); #564931=CARTESIAN_POINT('',(31.,52.052606,0.)); #564932=CARTESIAN_POINT('Origin',(30.990716,52.004944,0.)); #564933=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #564934=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #564935=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #564936=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #564937=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #564938=CARTESIAN_POINT('Origin',(30.962803,51.962803,0.)); #564939=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #564940=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #564941=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #564942=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #564943=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #564944=CARTESIAN_POINT('Origin',(30.287197,51.287197,0.)); #564945=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #564946=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #564947=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #564948=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #564949=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #564950=CARTESIAN_POINT('Origin',(30.246928,51.260059,0.)); #564951=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #564952=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #564953=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #564954=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #564955=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #564956=CARTESIAN_POINT('Origin',(30.197394,51.25,0.)); #564957=CARTESIAN_POINT('',(30.197394,51.25,0.)); #564958=CARTESIAN_POINT('',(30.197394,51.25,0.)); #564959=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #564960=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #564961=CARTESIAN_POINT('',(30.197394,51.25,0.)); #564962=CARTESIAN_POINT('Origin',(26.383994,51.25,0.)); #564963=CARTESIAN_POINT('',(26.383994,51.25,0.)); #564964=CARTESIAN_POINT('',(26.383994,51.25,0.)); #564965=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #564966=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #564967=CARTESIAN_POINT('',(26.383994,51.25,0.)); #564968=CARTESIAN_POINT('Origin',(26.374975,51.248716,0.)); #564969=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #564970=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #564971=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #564972=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #564973=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #564974=CARTESIAN_POINT('Origin',(26.369347,51.244347,0.)); #564975=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #564976=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #564977=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #564978=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #564979=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #564980=CARTESIAN_POINT('Origin',(25.006366,49.881366,0.)); #564981=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #564982=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #564983=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #564984=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #564985=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #564986=CARTESIAN_POINT('Origin',(25.000891,49.874072,0.)); #564987=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #564988=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #564989=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #564990=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #564991=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #564992=CARTESIAN_POINT('Origin',(25.,49.867016,0.)); #564993=CARTESIAN_POINT('',(25.,49.867016,0.)); #564994=CARTESIAN_POINT('',(25.,49.867016,0.)); #564995=CARTESIAN_POINT('',(25.,49.867016,0.035)); #564996=CARTESIAN_POINT('',(25.,49.867016,0.035)); #564997=CARTESIAN_POINT('',(25.,49.867016,0.)); #564998=CARTESIAN_POINT('Origin',(25.,49.2286,0.)); #564999=CARTESIAN_POINT('',(25.,49.2286,0.)); #565000=CARTESIAN_POINT('',(25.,49.2286,0.)); #565001=CARTESIAN_POINT('',(25.,49.2286,0.035)); #565002=CARTESIAN_POINT('',(25.,49.2286,0.035)); #565003=CARTESIAN_POINT('',(25.,49.2286,0.)); #565004=CARTESIAN_POINT('Origin',(24.991463,49.182819,0.)); #565005=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #565006=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #565007=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #565008=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #565009=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #565010=CARTESIAN_POINT('Origin',(24.964222,49.140241,0.)); #565011=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #565012=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #565013=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #565014=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #565015=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #565016=CARTESIAN_POINT('Origin',(24.922534,49.111659,0.)); #565017=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #565018=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #565019=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #565020=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #565021=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #565022=CARTESIAN_POINT('Origin',(24.873,49.1016,0.)); #565023=CARTESIAN_POINT('',(24.873,49.1016,0.)); #565024=CARTESIAN_POINT('',(24.873,49.1016,0.)); #565025=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #565026=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #565027=CARTESIAN_POINT('',(24.873,49.1016,0.)); #565028=CARTESIAN_POINT('Origin',(24.4844,49.1016,0.)); #565029=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #565030=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #565031=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #565032=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #565033=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #565034=CARTESIAN_POINT('Origin',(24.438619,49.110138,0.)); #565035=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #565036=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #565037=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #565038=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #565039=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #565040=CARTESIAN_POINT('Origin',(24.396041,49.137378,0.)); #565041=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #565042=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #565043=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #565044=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #565045=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #565046=CARTESIAN_POINT('Origin',(24.367459,49.179066,0.)); #565047=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #565048=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #565049=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #565050=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #565051=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #565052=CARTESIAN_POINT('Origin',(24.3574,49.2286,0.)); #565053=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #565054=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #565055=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #565056=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #565057=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #565058=CARTESIAN_POINT('Origin',(24.3574,50.413125,0.)); #565059=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #565060=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #565061=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #565062=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #565063=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #565064=CARTESIAN_POINT('Origin',(24.268125,50.5024,0.)); #565065=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #565066=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #565067=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #565068=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #565069=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #565070=CARTESIAN_POINT('Origin',(23.541875,50.5024,0.)); #565071=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #565072=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #565073=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #565074=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #565075=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #565076=CARTESIAN_POINT('Origin',(23.4526,50.413125,0.)); #565077=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #565078=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #565079=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #565080=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #565081=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #565082=CARTESIAN_POINT('Origin',(23.4526,49.2286,0.)); #565083=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #565084=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #565085=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #565086=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #565087=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #565088=CARTESIAN_POINT('Origin',(23.444063,49.182819,0.)); #565089=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #565090=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #565091=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #565092=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #565093=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #565094=CARTESIAN_POINT('Origin',(23.416822,49.140241,0.)); #565095=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #565096=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #565097=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #565098=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #565099=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #565100=CARTESIAN_POINT('Origin',(23.375134,49.111659,0.)); #565101=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #565102=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #565103=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.035)); #565104=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.)); #565105=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #565106=CARTESIAN_POINT('',(16.485,47.75,0.)); #565107=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #565108=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #565109=CARTESIAN_POINT('',(16.485,47.75,-200.)); #565110=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #565111=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #565112=CARTESIAN_POINT('',(15.61,47.75,0.)); #565113=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #565114=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #565115=CARTESIAN_POINT('',(15.61,47.75,-200.)); #565116=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #565117=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #565118=CARTESIAN_POINT('',(16.485,47.,0.)); #565119=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #565120=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #565121=CARTESIAN_POINT('',(16.485,47.,-200.)); #565122=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #565123=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #565124=CARTESIAN_POINT('',(16.485,46.25,0.)); #565125=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #565126=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #565127=CARTESIAN_POINT('',(16.485,46.25,-200.)); #565128=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #565129=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #565130=CARTESIAN_POINT('',(17.36,47.75,0.)); #565131=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #565132=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #565133=CARTESIAN_POINT('',(17.36,47.75,-200.)); #565134=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #565135=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #565136=CARTESIAN_POINT('',(19.235,46.25,0.)); #565137=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #565138=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #565139=CARTESIAN_POINT('',(19.235,46.25,-200.)); #565140=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #565141=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #565142=CARTESIAN_POINT('',(19.235,47.75,0.)); #565143=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #565144=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #565145=CARTESIAN_POINT('',(19.235,47.75,-200.)); #565146=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #565147=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #565148=CARTESIAN_POINT('',(19.235,47.,0.)); #565149=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #565150=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #565151=CARTESIAN_POINT('',(19.235,47.,-200.)); #565152=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #565153=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #565154=CARTESIAN_POINT('',(17.36,46.25,0.)); #565155=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #565156=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #565157=CARTESIAN_POINT('',(17.36,46.25,-200.)); #565158=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #565159=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #565160=CARTESIAN_POINT('',(17.36,47.,0.)); #565161=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #565162=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #565163=CARTESIAN_POINT('',(17.36,47.,-200.)); #565164=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #565165=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #565166=CARTESIAN_POINT('',(15.61,46.25,0.)); #565167=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #565168=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #565169=CARTESIAN_POINT('',(15.61,46.25,-200.)); #565170=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #565171=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #565172=CARTESIAN_POINT('',(15.61,47.,0.)); #565173=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #565174=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #565175=CARTESIAN_POINT('',(15.61,47.,-200.)); #565176=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #565177=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #565178=CARTESIAN_POINT('',(23.61,47.,0.)); #565179=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #565180=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #565181=CARTESIAN_POINT('',(23.61,47.,-200.)); #565182=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #565183=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #565184=CARTESIAN_POINT('',(20.11,47.,0.)); #565185=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #565186=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #565187=CARTESIAN_POINT('',(20.11,47.,-200.)); #565188=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #565189=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #565190=CARTESIAN_POINT('',(23.61,46.25,0.)); #565191=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #565192=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #565193=CARTESIAN_POINT('',(23.61,46.25,-200.)); #565194=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #565195=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #565196=CARTESIAN_POINT('',(21.86,46.25,0.)); #565197=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #565198=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #565199=CARTESIAN_POINT('',(21.86,46.25,-200.)); #565200=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #565201=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #565202=CARTESIAN_POINT('',(20.11,46.25,0.)); #565203=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #565204=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #565205=CARTESIAN_POINT('',(20.11,46.25,-200.)); #565206=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #565207=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #565208=CARTESIAN_POINT('',(18.36,47.75,0.)); #565209=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #565210=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #565211=CARTESIAN_POINT('',(18.36,47.75,-200.)); #565212=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #565213=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #565214=CARTESIAN_POINT('',(20.11,47.75,0.)); #565215=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #565216=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #565217=CARTESIAN_POINT('',(20.11,47.75,-200.)); #565218=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #565219=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #565220=CARTESIAN_POINT('',(23.61,47.75,0.)); #565221=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #565222=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #565223=CARTESIAN_POINT('',(23.61,47.75,-200.)); #565224=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #565225=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #565226=CARTESIAN_POINT('',(20.985,47.,0.)); #565227=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #565228=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #565229=CARTESIAN_POINT('',(20.985,47.,-200.)); #565230=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #565231=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #565232=CARTESIAN_POINT('',(20.985,47.75,0.)); #565233=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #565234=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #565235=CARTESIAN_POINT('',(20.985,47.75,-200.)); #565236=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #565237=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #565238=CARTESIAN_POINT('',(21.86,47.,0.)); #565239=CARTESIAN_POINT('Origin',(22.,47.,0.)); #565240=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #565241=CARTESIAN_POINT('',(21.86,47.,-200.)); #565242=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #565243=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #565244=CARTESIAN_POINT('',(21.86,47.75,0.)); #565245=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #565246=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #565247=CARTESIAN_POINT('',(21.86,47.75,-200.)); #565248=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #565249=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #565250=CARTESIAN_POINT('',(22.735,46.25,0.)); #565251=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #565252=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #565253=CARTESIAN_POINT('',(22.735,46.25,-200.)); #565254=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #565255=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #565256=CARTESIAN_POINT('',(20.985,46.25,0.)); #565257=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #565258=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #565259=CARTESIAN_POINT('',(20.985,46.25,-200.)); #565260=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #565261=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #565262=CARTESIAN_POINT('',(22.735,47.,0.)); #565263=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #565264=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #565265=CARTESIAN_POINT('',(22.735,47.,-200.)); #565266=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #565267=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #565268=CARTESIAN_POINT('',(22.735,47.75,0.)); #565269=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #565270=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #565271=CARTESIAN_POINT('',(22.735,47.75,-200.)); #565272=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #565273=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #565274=CARTESIAN_POINT('',(18.36,47.,0.)); #565275=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #565276=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #565277=CARTESIAN_POINT('',(18.36,47.,-200.)); #565278=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #565279=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #565280=CARTESIAN_POINT('',(18.36,46.25,0.)); #565281=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #565282=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #565283=CARTESIAN_POINT('',(18.36,46.25,-200.)); #565284=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #565285=CARTESIAN_POINT('Origin',(18.947394,40.75,0.)); #565286=CARTESIAN_POINT('',(18.947394,40.75,0.)); #565287=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #565288=CARTESIAN_POINT('',(18.947394,40.75,0.)); #565289=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #565290=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #565291=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #565292=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #565293=CARTESIAN_POINT('',(18.947394,40.75,0.)); #565294=CARTESIAN_POINT('Origin',(14.802606,40.75,0.)); #565295=CARTESIAN_POINT('',(14.802606,40.75,0.)); #565296=CARTESIAN_POINT('',(14.802606,40.75,0.)); #565297=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #565298=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #565299=CARTESIAN_POINT('',(14.802606,40.75,0.)); #565300=CARTESIAN_POINT('Origin',(14.754944,40.759284,0.)); #565301=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #565302=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #565303=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #565304=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #565305=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #565306=CARTESIAN_POINT('Origin',(14.712803,40.787197,0.)); #565307=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #565308=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #565309=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #565310=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #565311=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #565312=CARTESIAN_POINT('Origin',(14.037197,41.462803,0.)); #565313=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #565314=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #565315=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #565316=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #565317=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #565318=CARTESIAN_POINT('Origin',(14.010059,41.503072,0.)); #565319=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #565320=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #565321=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #565322=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #565323=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #565324=CARTESIAN_POINT('Origin',(14.,41.552606,0.)); #565325=CARTESIAN_POINT('',(14.,41.552606,0.)); #565326=CARTESIAN_POINT('',(14.,41.552606,0.)); #565327=CARTESIAN_POINT('',(14.,41.552606,0.035)); #565328=CARTESIAN_POINT('',(14.,41.552606,0.035)); #565329=CARTESIAN_POINT('',(14.,41.552606,0.)); #565330=CARTESIAN_POINT('Origin',(14.,48.197394,0.)); #565331=CARTESIAN_POINT('',(14.,48.197394,0.)); #565332=CARTESIAN_POINT('',(14.,48.197394,0.)); #565333=CARTESIAN_POINT('',(14.,48.197394,0.035)); #565334=CARTESIAN_POINT('',(14.,48.197394,0.035)); #565335=CARTESIAN_POINT('',(14.,48.197394,0.)); #565336=CARTESIAN_POINT('Origin',(14.009284,48.245056,0.)); #565337=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #565338=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #565339=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #565340=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #565341=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #565342=CARTESIAN_POINT('Origin',(14.037197,48.287197,0.)); #565343=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #565344=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #565345=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #565346=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #565347=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #565348=CARTESIAN_POINT('Origin',(14.712803,48.962803,0.)); #565349=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #565350=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #565351=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #565352=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #565353=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #565354=CARTESIAN_POINT('Origin',(14.753072,48.989941,0.)); #565355=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #565356=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #565357=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #565358=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #565359=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #565360=CARTESIAN_POINT('Origin',(14.802606,49.,0.)); #565361=CARTESIAN_POINT('',(14.802606,49.,0.)); #565362=CARTESIAN_POINT('',(14.802606,49.,0.)); #565363=CARTESIAN_POINT('',(14.802606,49.,0.035)); #565364=CARTESIAN_POINT('',(14.802606,49.,0.035)); #565365=CARTESIAN_POINT('',(14.802606,49.,0.)); #565366=CARTESIAN_POINT('Origin',(19.676869,49.,0.)); #565367=CARTESIAN_POINT('',(19.676869,49.,0.)); #565368=CARTESIAN_POINT('',(19.676869,49.,0.)); #565369=CARTESIAN_POINT('',(19.676869,49.,0.035)); #565370=CARTESIAN_POINT('',(19.676869,49.,0.035)); #565371=CARTESIAN_POINT('',(19.676869,49.,0.)); #565372=CARTESIAN_POINT('Origin',(19.689191,48.9976,0.)); #565373=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #565374=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #565375=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #565376=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #565377=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #565378=CARTESIAN_POINT('Origin',(20.501313,48.9976,0.)); #565379=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #565380=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #565381=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #565382=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #565383=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #565384=CARTESIAN_POINT('Origin',(20.513131,49.,0.)); #565385=CARTESIAN_POINT('',(20.513131,49.,0.)); #565386=CARTESIAN_POINT('',(20.513131,49.,0.)); #565387=CARTESIAN_POINT('',(20.513131,49.,0.035)); #565388=CARTESIAN_POINT('',(20.513131,49.,0.035)); #565389=CARTESIAN_POINT('',(20.513131,49.,0.)); #565390=CARTESIAN_POINT('Origin',(20.946869,49.,0.)); #565391=CARTESIAN_POINT('',(20.946869,49.,0.)); #565392=CARTESIAN_POINT('',(20.946869,49.,0.)); #565393=CARTESIAN_POINT('',(20.946869,49.,0.035)); #565394=CARTESIAN_POINT('',(20.946869,49.,0.035)); #565395=CARTESIAN_POINT('',(20.946869,49.,0.)); #565396=CARTESIAN_POINT('Origin',(20.959191,48.9976,0.)); #565397=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #565398=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #565399=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #565400=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #565401=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #565402=CARTESIAN_POINT('Origin',(21.771313,48.9976,0.)); #565403=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #565404=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #565405=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #565406=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #565407=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #565408=CARTESIAN_POINT('Origin',(21.783131,49.,0.)); #565409=CARTESIAN_POINT('',(21.783131,49.,0.)); #565410=CARTESIAN_POINT('',(21.783131,49.,0.)); #565411=CARTESIAN_POINT('',(21.783131,49.,0.035)); #565412=CARTESIAN_POINT('',(21.783131,49.,0.035)); #565413=CARTESIAN_POINT('',(21.783131,49.,0.)); #565414=CARTESIAN_POINT('Origin',(22.216869,49.,0.)); #565415=CARTESIAN_POINT('',(22.216869,49.,0.)); #565416=CARTESIAN_POINT('',(22.216869,49.,0.)); #565417=CARTESIAN_POINT('',(22.216869,49.,0.035)); #565418=CARTESIAN_POINT('',(22.216869,49.,0.035)); #565419=CARTESIAN_POINT('',(22.216869,49.,0.)); #565420=CARTESIAN_POINT('Origin',(22.229191,48.9976,0.)); #565421=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #565422=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #565423=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #565424=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #565425=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #565426=CARTESIAN_POINT('Origin',(23.041313,48.9976,0.)); #565427=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #565428=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #565429=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #565430=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #565431=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #565432=CARTESIAN_POINT('Origin',(23.053131,49.,0.)); #565433=CARTESIAN_POINT('',(23.053131,49.,0.)); #565434=CARTESIAN_POINT('',(23.053131,49.,0.)); #565435=CARTESIAN_POINT('',(23.053131,49.,0.035)); #565436=CARTESIAN_POINT('',(23.053131,49.,0.035)); #565437=CARTESIAN_POINT('',(23.053131,49.,0.)); #565438=CARTESIAN_POINT('Origin',(23.486869,49.,0.)); #565439=CARTESIAN_POINT('',(23.486869,49.,0.)); #565440=CARTESIAN_POINT('',(23.486869,49.,0.)); #565441=CARTESIAN_POINT('',(23.486869,49.,0.035)); #565442=CARTESIAN_POINT('',(23.486869,49.,0.035)); #565443=CARTESIAN_POINT('',(23.486869,49.,0.)); #565444=CARTESIAN_POINT('Origin',(23.499191,48.9976,0.)); #565445=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #565446=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #565447=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #565448=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #565449=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #565450=CARTESIAN_POINT('Origin',(24.311312,48.9976,0.)); #565451=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #565452=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #565453=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #565454=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #565455=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #565456=CARTESIAN_POINT('Origin',(24.323131,49.,0.)); #565457=CARTESIAN_POINT('',(24.323131,49.,0.)); #565458=CARTESIAN_POINT('',(24.323131,49.,0.)); #565459=CARTESIAN_POINT('',(24.323131,49.,0.035)); #565460=CARTESIAN_POINT('',(24.323131,49.,0.035)); #565461=CARTESIAN_POINT('',(24.323131,49.,0.)); #565462=CARTESIAN_POINT('Origin',(24.873,49.,0.)); #565463=CARTESIAN_POINT('',(24.873,49.,0.)); #565464=CARTESIAN_POINT('',(24.873,49.,0.)); #565465=CARTESIAN_POINT('',(24.873,49.,0.035)); #565466=CARTESIAN_POINT('',(24.873,49.,0.035)); #565467=CARTESIAN_POINT('',(24.873,49.,0.)); #565468=CARTESIAN_POINT('Origin',(24.918781,48.991463,0.)); #565469=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #565470=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #565471=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #565472=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #565473=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #565474=CARTESIAN_POINT('Origin',(24.961359,48.964222,0.)); #565475=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #565476=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #565477=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #565478=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #565479=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #565480=CARTESIAN_POINT('Origin',(24.989941,48.922534,0.)); #565481=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #565482=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #565483=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #565484=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #565485=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #565486=CARTESIAN_POINT('Origin',(25.,48.873,0.)); #565487=CARTESIAN_POINT('',(25.,48.873,0.)); #565488=CARTESIAN_POINT('',(25.,48.873,0.)); #565489=CARTESIAN_POINT('',(25.,48.873,0.035)); #565490=CARTESIAN_POINT('',(25.,48.873,0.035)); #565491=CARTESIAN_POINT('',(25.,48.873,0.)); #565492=CARTESIAN_POINT('Origin',(25.,43.302606,0.)); #565493=CARTESIAN_POINT('',(25.,43.302606,0.)); #565494=CARTESIAN_POINT('',(25.,43.302606,0.)); #565495=CARTESIAN_POINT('',(25.,43.302606,0.035)); #565496=CARTESIAN_POINT('',(25.,43.302606,0.035)); #565497=CARTESIAN_POINT('',(25.,43.302606,0.)); #565498=CARTESIAN_POINT('Origin',(24.990716,43.254944,0.)); #565499=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #565500=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #565501=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #565502=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #565503=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #565504=CARTESIAN_POINT('Origin',(24.962803,43.212803,0.)); #565505=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #565506=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #565507=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #565508=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #565509=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #565510=CARTESIAN_POINT('Origin',(24.287197,42.537197,0.)); #565511=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #565512=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #565513=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #565514=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #565515=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #565516=CARTESIAN_POINT('Origin',(24.246928,42.510059,0.)); #565517=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #565518=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #565519=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #565520=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #565521=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #565522=CARTESIAN_POINT('Origin',(24.197394,42.5,0.)); #565523=CARTESIAN_POINT('',(24.197394,42.5,0.)); #565524=CARTESIAN_POINT('',(24.197394,42.5,0.)); #565525=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #565526=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #565527=CARTESIAN_POINT('',(24.197394,42.5,0.)); #565528=CARTESIAN_POINT('Origin',(20.758994,42.5,0.)); #565529=CARTESIAN_POINT('',(20.758994,42.5,0.)); #565530=CARTESIAN_POINT('',(20.758994,42.5,0.)); #565531=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #565532=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #565533=CARTESIAN_POINT('',(20.758994,42.5,0.)); #565534=CARTESIAN_POINT('Origin',(20.749975,42.498716,0.)); #565535=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #565536=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #565537=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #565538=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #565539=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #565540=CARTESIAN_POINT('Origin',(20.744347,42.494347,0.)); #565541=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #565542=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #565543=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #565544=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #565545=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #565546=CARTESIAN_POINT('Origin',(19.037197,40.787197,0.)); #565547=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #565548=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #565549=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #565550=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #565551=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #565552=CARTESIAN_POINT('Origin',(18.996928,40.760059,0.)); #565553=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #565554=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #565555=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.035)); #565556=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.)); #565557=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #565558=CARTESIAN_POINT('',(26.61,36.,0.)); #565559=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #565560=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #565561=CARTESIAN_POINT('',(26.61,36.,-200.)); #565562=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #565563=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #565564=CARTESIAN_POINT('',(26.61,31.,0.)); #565565=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #565566=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #565567=CARTESIAN_POINT('',(26.61,31.,-200.)); #565568=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #565569=CARTESIAN_POINT('Origin',(26.771644,30.725,0.)); #565570=CARTESIAN_POINT('',(26.771644,30.725,0.)); #565571=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #565572=CARTESIAN_POINT('',(26.771644,30.725,0.)); #565573=CARTESIAN_POINT('',(26.814397,30.731772,0.035)); #565574=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #565575=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #565576=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #565577=CARTESIAN_POINT('',(26.771644,30.725,0.)); #565578=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #565579=CARTESIAN_POINT('',(26.623,31.243928,0.)); #565580=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #565581=CARTESIAN_POINT('',(26.623,31.243928,0.035)); #565582=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.035)); #565583=CARTESIAN_POINT('',(26.623,31.243928,0.)); #565584=CARTESIAN_POINT('Origin',(26.623,35.756072,0.)); #565585=CARTESIAN_POINT('',(26.623,35.756072,0.)); #565586=CARTESIAN_POINT('',(26.623,35.756072,0.)); #565587=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #565588=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #565589=CARTESIAN_POINT('',(26.623,35.756072,0.)); #565590=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #565591=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #565592=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #565593=CARTESIAN_POINT('',(26.644438,36.254853,0.035)); #565594=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.035)); #565595=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #565596=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #565597=CARTESIAN_POINT('',(26.877,35.756072,0.)); #565598=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #565599=CARTESIAN_POINT('',(26.877,35.756072,0.035)); #565600=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.035)); #565601=CARTESIAN_POINT('',(26.877,35.756072,0.)); #565602=CARTESIAN_POINT('Origin',(26.877,31.243928,0.)); #565603=CARTESIAN_POINT('',(26.877,31.243928,0.)); #565604=CARTESIAN_POINT('',(26.877,31.243928,0.)); #565605=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #565606=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #565607=CARTESIAN_POINT('',(26.877,31.243928,0.)); #565608=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #565609=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #565610=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.035)); #565611=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.035)); #565612=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.)); #565613=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #565614=CARTESIAN_POINT('',(53.36,20.25,0.)); #565615=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #565616=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #565617=CARTESIAN_POINT('',(53.36,20.25,-200.)); #565618=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #565619=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #565620=CARTESIAN_POINT('',(52.61,20.25,0.)); #565621=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #565622=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #565623=CARTESIAN_POINT('',(52.61,20.25,-200.)); #565624=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #565625=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #565626=CARTESIAN_POINT('',(51.86,21.75,0.)); #565627=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #565628=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #565629=CARTESIAN_POINT('',(51.86,21.75,-200.)); #565630=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #565631=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #565632=CARTESIAN_POINT('',(51.86,20.25,0.)); #565633=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #565634=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #565635=CARTESIAN_POINT('',(51.86,20.25,-200.)); #565636=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #565637=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #565638=CARTESIAN_POINT('',(52.61,21.,0.)); #565639=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #565640=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #565641=CARTESIAN_POINT('',(52.61,21.,-200.)); #565642=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #565643=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #565644=CARTESIAN_POINT('',(53.36,21.,0.)); #565645=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #565646=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #565647=CARTESIAN_POINT('',(53.36,21.,-200.)); #565648=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #565649=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #565650=CARTESIAN_POINT('',(51.86,21.,0.)); #565651=CARTESIAN_POINT('Origin',(52.,21.,0.)); #565652=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #565653=CARTESIAN_POINT('',(51.86,21.,-200.)); #565654=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #565655=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #565656=CARTESIAN_POINT('',(53.36,21.75,0.)); #565657=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #565658=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #565659=CARTESIAN_POINT('',(53.36,21.75,-200.)); #565660=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #565661=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #565662=CARTESIAN_POINT('',(52.61,21.75,0.)); #565663=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #565664=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #565665=CARTESIAN_POINT('',(52.61,21.75,-200.)); #565666=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #565667=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #565668=CARTESIAN_POINT('',(51.785,34.,0.)); #565669=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #565670=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #565671=CARTESIAN_POINT('',(51.785,34.,-200.)); #565672=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #565673=CARTESIAN_POINT('Origin',(53.697394,19.25,0.)); #565674=CARTESIAN_POINT('',(53.697394,19.25,0.)); #565675=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #565676=CARTESIAN_POINT('',(53.697394,19.25,0.)); #565677=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #565678=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #565679=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #565680=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #565681=CARTESIAN_POINT('',(53.697394,19.25,0.)); #565682=CARTESIAN_POINT('Origin',(51.552606,19.25,0.)); #565683=CARTESIAN_POINT('',(51.552606,19.25,0.)); #565684=CARTESIAN_POINT('',(51.552606,19.25,0.)); #565685=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #565686=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #565687=CARTESIAN_POINT('',(51.552606,19.25,0.)); #565688=CARTESIAN_POINT('Origin',(51.504944,19.259284,0.)); #565689=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #565690=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #565691=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #565692=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #565693=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #565694=CARTESIAN_POINT('Origin',(51.462803,19.287197,0.)); #565695=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #565696=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #565697=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #565698=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #565699=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #565700=CARTESIAN_POINT('Origin',(51.287197,19.462803,0.)); #565701=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #565702=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #565703=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #565704=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #565705=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #565706=CARTESIAN_POINT('Origin',(51.260059,19.503072,0.)); #565707=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #565708=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #565709=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #565710=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #565711=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #565712=CARTESIAN_POINT('Origin',(51.25,19.552606,0.)); #565713=CARTESIAN_POINT('',(51.25,19.552606,0.)); #565714=CARTESIAN_POINT('',(51.25,19.552606,0.)); #565715=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #565716=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #565717=CARTESIAN_POINT('',(51.25,19.552606,0.)); #565718=CARTESIAN_POINT('Origin',(51.25,35.447394,0.)); #565719=CARTESIAN_POINT('',(51.25,35.447394,0.)); #565720=CARTESIAN_POINT('',(51.25,35.447394,0.)); #565721=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #565722=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #565723=CARTESIAN_POINT('',(51.25,35.447394,0.)); #565724=CARTESIAN_POINT('Origin',(51.259284,35.495056,0.)); #565725=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #565726=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #565727=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #565728=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #565729=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #565730=CARTESIAN_POINT('Origin',(51.287197,35.537197,0.)); #565731=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #565732=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #565733=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #565734=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #565735=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #565736=CARTESIAN_POINT('Origin',(51.462803,35.712803,0.)); #565737=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #565738=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #565739=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #565740=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #565741=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #565742=CARTESIAN_POINT('Origin',(51.503072,35.739941,0.)); #565743=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #565744=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #565745=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #565746=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #565747=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #565748=CARTESIAN_POINT('Origin',(51.552606,35.75,0.)); #565749=CARTESIAN_POINT('',(51.552606,35.75,0.)); #565750=CARTESIAN_POINT('',(51.552606,35.75,0.)); #565751=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #565752=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #565753=CARTESIAN_POINT('',(51.552606,35.75,0.)); #565754=CARTESIAN_POINT('Origin',(53.697394,35.75,0.)); #565755=CARTESIAN_POINT('',(53.697394,35.75,0.)); #565756=CARTESIAN_POINT('',(53.697394,35.75,0.)); #565757=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #565758=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #565759=CARTESIAN_POINT('',(53.697394,35.75,0.)); #565760=CARTESIAN_POINT('Origin',(53.745056,35.740716,0.)); #565761=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #565762=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #565763=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #565764=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #565765=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #565766=CARTESIAN_POINT('Origin',(53.787197,35.712803,0.)); #565767=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #565768=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #565769=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #565770=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #565771=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #565772=CARTESIAN_POINT('Origin',(53.962803,35.537197,0.)); #565773=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #565774=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #565775=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #565776=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #565777=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #565778=CARTESIAN_POINT('Origin',(53.989941,35.496928,0.)); #565779=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #565780=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #565781=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #565782=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #565783=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #565784=CARTESIAN_POINT('Origin',(54.,35.447394,0.)); #565785=CARTESIAN_POINT('',(54.,35.447394,0.)); #565786=CARTESIAN_POINT('',(54.,35.447394,0.)); #565787=CARTESIAN_POINT('',(54.,35.447394,0.035)); #565788=CARTESIAN_POINT('',(54.,35.447394,0.035)); #565789=CARTESIAN_POINT('',(54.,35.447394,0.)); #565790=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #565791=CARTESIAN_POINT('',(54.,19.552606,0.)); #565792=CARTESIAN_POINT('',(54.,19.552606,0.)); #565793=CARTESIAN_POINT('',(54.,19.552606,0.035)); #565794=CARTESIAN_POINT('',(54.,19.552606,0.035)); #565795=CARTESIAN_POINT('',(54.,19.552606,0.)); #565796=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #565797=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #565798=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #565799=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #565800=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #565801=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #565802=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #565803=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #565804=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #565805=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #565806=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #565807=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #565808=CARTESIAN_POINT('Origin',(53.787197,19.287197,0.)); #565809=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #565810=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #565811=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #565812=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #565813=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #565814=CARTESIAN_POINT('Origin',(53.746928,19.260059,0.)); #565815=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #565816=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #565817=CARTESIAN_POINT('Origin',(52.625,27.5,0.035)); #565818=CARTESIAN_POINT('Origin',(52.625,27.5,0.)); #565819=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #565820=CARTESIAN_POINT('',(39.11,19.,0.)); #565821=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #565822=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #565823=CARTESIAN_POINT('',(39.11,19.,-200.)); #565824=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #565825=CARTESIAN_POINT('Origin',(39.271644,18.725,0.)); #565826=CARTESIAN_POINT('',(39.271644,18.725,0.)); #565827=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #565828=CARTESIAN_POINT('',(39.271644,18.725,0.)); #565829=CARTESIAN_POINT('',(39.314397,18.731772,0.035)); #565830=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #565831=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #565832=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #565833=CARTESIAN_POINT('',(39.271644,18.725,0.)); #565834=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #565835=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #565836=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #565837=CARTESIAN_POINT('',(39.185603,19.268228,0.035)); #565838=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.035)); #565839=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #565840=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #565841=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #565842=CARTESIAN_POINT('Origin',(39.25,19.,0.035)); #565843=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.035)); #565844=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.)); #565845=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #565846=CARTESIAN_POINT('',(44.985,47.75,0.)); #565847=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #565848=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #565849=CARTESIAN_POINT('',(44.985,47.75,-200.)); #565850=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #565851=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #565852=CARTESIAN_POINT('',(45.86,47.,0.)); #565853=CARTESIAN_POINT('Origin',(46.,47.,0.)); #565854=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #565855=CARTESIAN_POINT('',(45.86,47.,-200.)); #565856=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #565857=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #565858=CARTESIAN_POINT('',(45.86,47.75,0.)); #565859=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #565860=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #565861=CARTESIAN_POINT('',(45.86,47.75,-200.)); #565862=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #565863=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #565864=CARTESIAN_POINT('',(47.61,47.75,0.)); #565865=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #565866=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #565867=CARTESIAN_POINT('',(47.61,47.75,-200.)); #565868=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #565869=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #565870=CARTESIAN_POINT('',(47.61,46.25,0.)); #565871=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #565872=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #565873=CARTESIAN_POINT('',(47.61,46.25,-200.)); #565874=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #565875=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #565876=CARTESIAN_POINT('',(45.86,46.25,0.)); #565877=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #565878=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #565879=CARTESIAN_POINT('',(45.86,46.25,-200.)); #565880=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #565881=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #565882=CARTESIAN_POINT('',(47.61,47.,0.)); #565883=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #565884=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #565885=CARTESIAN_POINT('',(47.61,47.,-200.)); #565886=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #565887=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #565888=CARTESIAN_POINT('',(44.11,47.,0.)); #565889=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #565890=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #565891=CARTESIAN_POINT('',(44.11,47.,-200.)); #565892=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #565893=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #565894=CARTESIAN_POINT('',(44.11,47.75,0.)); #565895=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #565896=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #565897=CARTESIAN_POINT('',(44.11,47.75,-200.)); #565898=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #565899=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #565900=CARTESIAN_POINT('',(44.11,46.25,0.)); #565901=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #565902=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #565903=CARTESIAN_POINT('',(44.11,46.25,-200.)); #565904=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #565905=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #565906=CARTESIAN_POINT('',(51.985,47.75,0.)); #565907=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #565908=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #565909=CARTESIAN_POINT('',(51.985,47.75,-200.)); #565910=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #565911=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #565912=CARTESIAN_POINT('',(51.985,47.,0.)); #565913=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #565914=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #565915=CARTESIAN_POINT('',(51.985,47.,-200.)); #565916=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #565917=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #565918=CARTESIAN_POINT('',(51.11,46.25,0.)); #565919=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #565920=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #565921=CARTESIAN_POINT('',(51.11,46.25,-200.)); #565922=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #565923=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #565924=CARTESIAN_POINT('',(51.985,46.25,0.)); #565925=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #565926=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #565927=CARTESIAN_POINT('',(51.985,46.25,-200.)); #565928=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #565929=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #565930=CARTESIAN_POINT('',(48.485,47.75,0.)); #565931=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #565932=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #565933=CARTESIAN_POINT('',(48.485,47.75,-200.)); #565934=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #565935=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #565936=CARTESIAN_POINT('',(48.485,47.,0.)); #565937=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #565938=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #565939=CARTESIAN_POINT('',(48.485,47.,-200.)); #565940=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #565941=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #565942=CARTESIAN_POINT('',(46.735,47.75,0.)); #565943=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #565944=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #565945=CARTESIAN_POINT('',(46.735,47.75,-200.)); #565946=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #565947=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #565948=CARTESIAN_POINT('',(48.485,46.25,0.)); #565949=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #565950=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #565951=CARTESIAN_POINT('',(48.485,46.25,-200.)); #565952=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #565953=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #565954=CARTESIAN_POINT('',(44.985,46.25,0.)); #565955=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #565956=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #565957=CARTESIAN_POINT('',(44.985,46.25,-200.)); #565958=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #565959=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #565960=CARTESIAN_POINT('',(44.985,47.,0.)); #565961=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #565962=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #565963=CARTESIAN_POINT('',(44.985,47.,-200.)); #565964=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #565965=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #565966=CARTESIAN_POINT('',(46.735,46.25,0.)); #565967=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #565968=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #565969=CARTESIAN_POINT('',(46.735,46.25,-200.)); #565970=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #565971=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #565972=CARTESIAN_POINT('',(46.735,47.,0.)); #565973=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #565974=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #565975=CARTESIAN_POINT('',(46.735,47.,-200.)); #565976=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #565977=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #565978=CARTESIAN_POINT('',(50.235,46.25,0.)); #565979=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #565980=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #565981=CARTESIAN_POINT('',(50.235,46.25,-200.)); #565982=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #565983=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #565984=CARTESIAN_POINT('',(49.36,46.25,0.)); #565985=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #565986=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #565987=CARTESIAN_POINT('',(49.36,46.25,-200.)); #565988=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #565989=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #565990=CARTESIAN_POINT('',(49.36,47.75,0.)); #565991=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #565992=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #565993=CARTESIAN_POINT('',(49.36,47.75,-200.)); #565994=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #565995=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #565996=CARTESIAN_POINT('',(49.36,47.,0.)); #565997=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #565998=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #565999=CARTESIAN_POINT('',(49.36,47.,-200.)); #566000=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #566001=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #566002=CARTESIAN_POINT('',(50.235,47.75,0.)); #566003=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #566004=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #566005=CARTESIAN_POINT('',(50.235,47.75,-200.)); #566006=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #566007=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #566008=CARTESIAN_POINT('',(50.235,47.,0.)); #566009=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #566010=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #566011=CARTESIAN_POINT('',(50.235,47.,-200.)); #566012=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #566013=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #566014=CARTESIAN_POINT('',(51.11,47.75,0.)); #566015=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #566016=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #566017=CARTESIAN_POINT('',(51.11,47.75,-200.)); #566018=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #566019=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #566020=CARTESIAN_POINT('',(51.11,47.,0.)); #566021=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #566022=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #566023=CARTESIAN_POINT('',(51.11,47.,-200.)); #566024=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #566025=CARTESIAN_POINT('Origin',(53.197394,41.,0.)); #566026=CARTESIAN_POINT('',(53.197394,41.,0.)); #566027=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #566028=CARTESIAN_POINT('',(53.197394,41.,0.)); #566029=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #566030=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #566031=CARTESIAN_POINT('',(53.197394,41.,0.035)); #566032=CARTESIAN_POINT('',(53.197394,41.,0.035)); #566033=CARTESIAN_POINT('',(53.197394,41.,0.)); #566034=CARTESIAN_POINT('Origin',(48.802606,41.,0.)); #566035=CARTESIAN_POINT('',(48.802606,41.,0.)); #566036=CARTESIAN_POINT('',(48.802606,41.,0.)); #566037=CARTESIAN_POINT('',(48.802606,41.,0.035)); #566038=CARTESIAN_POINT('',(48.802606,41.,0.035)); #566039=CARTESIAN_POINT('',(48.802606,41.,0.)); #566040=CARTESIAN_POINT('Origin',(48.754944,41.009284,0.)); #566041=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #566042=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #566043=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #566044=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #566045=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #566046=CARTESIAN_POINT('Origin',(48.712803,41.037197,0.)); #566047=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #566048=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #566049=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #566050=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #566051=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #566052=CARTESIAN_POINT('Origin',(47.256366,42.493634,0.)); #566053=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #566054=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #566055=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #566056=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #566057=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #566058=CARTESIAN_POINT('Origin',(47.249072,42.499109,0.)); #566059=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #566060=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #566061=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #566062=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #566063=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #566064=CARTESIAN_POINT('Origin',(47.242016,42.5,0.)); #566065=CARTESIAN_POINT('',(47.242016,42.5,0.)); #566066=CARTESIAN_POINT('',(47.242016,42.5,0.)); #566067=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #566068=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #566069=CARTESIAN_POINT('',(47.242016,42.5,0.)); #566070=CARTESIAN_POINT('Origin',(43.802606,42.5,0.)); #566071=CARTESIAN_POINT('',(43.802606,42.5,0.)); #566072=CARTESIAN_POINT('',(43.802606,42.5,0.)); #566073=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #566074=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #566075=CARTESIAN_POINT('',(43.802606,42.5,0.)); #566076=CARTESIAN_POINT('Origin',(43.754944,42.509284,0.)); #566077=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #566078=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #566079=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #566080=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #566081=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #566082=CARTESIAN_POINT('Origin',(43.712803,42.537197,0.)); #566083=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #566084=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #566085=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #566086=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #566087=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #566088=CARTESIAN_POINT('Origin',(43.037197,43.212803,0.)); #566089=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #566090=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #566091=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #566092=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #566093=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #566094=CARTESIAN_POINT('Origin',(43.010059,43.253072,0.)); #566095=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #566096=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #566097=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #566098=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #566099=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #566100=CARTESIAN_POINT('Origin',(43.,43.302606,0.)); #566101=CARTESIAN_POINT('',(43.,43.302606,0.)); #566102=CARTESIAN_POINT('',(43.,43.302606,0.)); #566103=CARTESIAN_POINT('',(43.,43.302606,0.035)); #566104=CARTESIAN_POINT('',(43.,43.302606,0.035)); #566105=CARTESIAN_POINT('',(43.,43.302606,0.)); #566106=CARTESIAN_POINT('Origin',(43.,48.873,0.)); #566107=CARTESIAN_POINT('',(43.,48.873,0.)); #566108=CARTESIAN_POINT('',(43.,48.873,0.)); #566109=CARTESIAN_POINT('',(43.,48.873,0.035)); #566110=CARTESIAN_POINT('',(43.,48.873,0.035)); #566111=CARTESIAN_POINT('',(43.,48.873,0.)); #566112=CARTESIAN_POINT('Origin',(43.008538,48.918781,0.)); #566113=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #566114=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #566115=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #566116=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #566117=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #566118=CARTESIAN_POINT('Origin',(43.035778,48.961359,0.)); #566119=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #566120=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #566121=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #566122=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #566123=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #566124=CARTESIAN_POINT('Origin',(43.077466,48.989941,0.)); #566125=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #566126=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #566127=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #566128=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #566129=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #566130=CARTESIAN_POINT('Origin',(43.127,49.,0.)); #566131=CARTESIAN_POINT('',(43.127,49.,0.)); #566132=CARTESIAN_POINT('',(43.127,49.,0.)); #566133=CARTESIAN_POINT('',(43.127,49.,0.035)); #566134=CARTESIAN_POINT('',(43.127,49.,0.035)); #566135=CARTESIAN_POINT('',(43.127,49.,0.)); #566136=CARTESIAN_POINT('Origin',(43.676869,49.,0.)); #566137=CARTESIAN_POINT('',(43.676869,49.,0.)); #566138=CARTESIAN_POINT('',(43.676869,49.,0.)); #566139=CARTESIAN_POINT('',(43.676869,49.,0.035)); #566140=CARTESIAN_POINT('',(43.676869,49.,0.035)); #566141=CARTESIAN_POINT('',(43.676869,49.,0.)); #566142=CARTESIAN_POINT('Origin',(43.689191,48.9976,0.)); #566143=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #566144=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #566145=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #566146=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #566147=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #566148=CARTESIAN_POINT('Origin',(44.501313,48.9976,0.)); #566149=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #566150=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #566151=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #566152=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #566153=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #566154=CARTESIAN_POINT('Origin',(44.513131,49.,0.)); #566155=CARTESIAN_POINT('',(44.513131,49.,0.)); #566156=CARTESIAN_POINT('',(44.513131,49.,0.)); #566157=CARTESIAN_POINT('',(44.513131,49.,0.035)); #566158=CARTESIAN_POINT('',(44.513131,49.,0.035)); #566159=CARTESIAN_POINT('',(44.513131,49.,0.)); #566160=CARTESIAN_POINT('Origin',(44.946869,49.,0.)); #566161=CARTESIAN_POINT('',(44.946869,49.,0.)); #566162=CARTESIAN_POINT('',(44.946869,49.,0.)); #566163=CARTESIAN_POINT('',(44.946869,49.,0.035)); #566164=CARTESIAN_POINT('',(44.946869,49.,0.035)); #566165=CARTESIAN_POINT('',(44.946869,49.,0.)); #566166=CARTESIAN_POINT('Origin',(44.959191,48.9976,0.)); #566167=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #566168=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #566169=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #566170=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #566171=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #566172=CARTESIAN_POINT('Origin',(45.771313,48.9976,0.)); #566173=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #566174=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #566175=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #566176=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #566177=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #566178=CARTESIAN_POINT('Origin',(45.783131,49.,0.)); #566179=CARTESIAN_POINT('',(45.783131,49.,0.)); #566180=CARTESIAN_POINT('',(45.783131,49.,0.)); #566181=CARTESIAN_POINT('',(45.783131,49.,0.035)); #566182=CARTESIAN_POINT('',(45.783131,49.,0.035)); #566183=CARTESIAN_POINT('',(45.783131,49.,0.)); #566184=CARTESIAN_POINT('Origin',(46.216869,49.,0.)); #566185=CARTESIAN_POINT('',(46.216869,49.,0.)); #566186=CARTESIAN_POINT('',(46.216869,49.,0.)); #566187=CARTESIAN_POINT('',(46.216869,49.,0.035)); #566188=CARTESIAN_POINT('',(46.216869,49.,0.035)); #566189=CARTESIAN_POINT('',(46.216869,49.,0.)); #566190=CARTESIAN_POINT('Origin',(46.229191,48.9976,0.)); #566191=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #566192=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #566193=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #566194=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #566195=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #566196=CARTESIAN_POINT('Origin',(47.041313,48.9976,0.)); #566197=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #566198=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #566199=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #566200=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #566201=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #566202=CARTESIAN_POINT('Origin',(47.053131,49.,0.)); #566203=CARTESIAN_POINT('',(47.053131,49.,0.)); #566204=CARTESIAN_POINT('',(47.053131,49.,0.)); #566205=CARTESIAN_POINT('',(47.053131,49.,0.035)); #566206=CARTESIAN_POINT('',(47.053131,49.,0.035)); #566207=CARTESIAN_POINT('',(47.053131,49.,0.)); #566208=CARTESIAN_POINT('Origin',(47.486869,49.,0.)); #566209=CARTESIAN_POINT('',(47.486869,49.,0.)); #566210=CARTESIAN_POINT('',(47.486869,49.,0.)); #566211=CARTESIAN_POINT('',(47.486869,49.,0.035)); #566212=CARTESIAN_POINT('',(47.486869,49.,0.035)); #566213=CARTESIAN_POINT('',(47.486869,49.,0.)); #566214=CARTESIAN_POINT('Origin',(47.499191,48.9976,0.)); #566215=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #566216=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #566217=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #566218=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #566219=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #566220=CARTESIAN_POINT('Origin',(48.311312,48.9976,0.)); #566221=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #566222=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #566223=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #566224=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #566225=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #566226=CARTESIAN_POINT('Origin',(48.323131,49.,0.)); #566227=CARTESIAN_POINT('',(48.323131,49.,0.)); #566228=CARTESIAN_POINT('',(48.323131,49.,0.)); #566229=CARTESIAN_POINT('',(48.323131,49.,0.035)); #566230=CARTESIAN_POINT('',(48.323131,49.,0.035)); #566231=CARTESIAN_POINT('',(48.323131,49.,0.)); #566232=CARTESIAN_POINT('Origin',(53.197394,49.,0.)); #566233=CARTESIAN_POINT('',(53.197394,49.,0.)); #566234=CARTESIAN_POINT('',(53.197394,49.,0.)); #566235=CARTESIAN_POINT('',(53.197394,49.,0.035)); #566236=CARTESIAN_POINT('',(53.197394,49.,0.035)); #566237=CARTESIAN_POINT('',(53.197394,49.,0.)); #566238=CARTESIAN_POINT('Origin',(53.245056,48.990716,0.)); #566239=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #566240=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #566241=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #566242=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #566243=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #566244=CARTESIAN_POINT('Origin',(53.287197,48.962803,0.)); #566245=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #566246=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #566247=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #566248=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #566249=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #566250=CARTESIAN_POINT('Origin',(53.962803,48.287197,0.)); #566251=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #566252=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #566253=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #566254=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #566255=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #566256=CARTESIAN_POINT('Origin',(53.989941,48.246928,0.)); #566257=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #566258=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #566259=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #566260=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #566261=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #566262=CARTESIAN_POINT('Origin',(54.,48.197394,0.)); #566263=CARTESIAN_POINT('',(54.,48.197394,0.)); #566264=CARTESIAN_POINT('',(54.,48.197394,0.)); #566265=CARTESIAN_POINT('',(54.,48.197394,0.035)); #566266=CARTESIAN_POINT('',(54.,48.197394,0.035)); #566267=CARTESIAN_POINT('',(54.,48.197394,0.)); #566268=CARTESIAN_POINT('Origin',(54.,41.802606,0.)); #566269=CARTESIAN_POINT('',(54.,41.802606,0.)); #566270=CARTESIAN_POINT('',(54.,41.802606,0.)); #566271=CARTESIAN_POINT('',(54.,41.802606,0.035)); #566272=CARTESIAN_POINT('',(54.,41.802606,0.035)); #566273=CARTESIAN_POINT('',(54.,41.802606,0.)); #566274=CARTESIAN_POINT('Origin',(53.990716,41.754944,0.)); #566275=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #566276=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #566277=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #566278=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #566279=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #566280=CARTESIAN_POINT('Origin',(53.962803,41.712803,0.)); #566281=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #566282=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #566283=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #566284=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #566285=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #566286=CARTESIAN_POINT('Origin',(53.287197,41.037197,0.)); #566287=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #566288=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #566289=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #566290=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #566291=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #566292=CARTESIAN_POINT('Origin',(53.246928,41.010059,0.)); #566293=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #566294=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #566295=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.035)); #566296=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.)); #566297=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #566298=CARTESIAN_POINT('',(41.11,31.,0.)); #566299=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #566300=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #566301=CARTESIAN_POINT('',(41.11,31.,-200.)); #566302=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #566303=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #566304=CARTESIAN_POINT('',(41.11,36.,0.)); #566305=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #566306=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #566307=CARTESIAN_POINT('',(41.11,36.,-200.)); #566308=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #566309=CARTESIAN_POINT('Origin',(41.271644,30.725,0.)); #566310=CARTESIAN_POINT('',(41.271644,30.725,0.)); #566311=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #566312=CARTESIAN_POINT('',(41.271644,30.725,0.)); #566313=CARTESIAN_POINT('',(41.314397,30.731772,0.035)); #566314=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #566315=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #566316=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #566317=CARTESIAN_POINT('',(41.271644,30.725,0.)); #566318=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #566319=CARTESIAN_POINT('',(41.123,31.243928,0.)); #566320=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #566321=CARTESIAN_POINT('',(41.123,31.243928,0.035)); #566322=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.035)); #566323=CARTESIAN_POINT('',(41.123,31.243928,0.)); #566324=CARTESIAN_POINT('Origin',(41.123,35.756072,0.)); #566325=CARTESIAN_POINT('',(41.123,35.756072,0.)); #566326=CARTESIAN_POINT('',(41.123,35.756072,0.)); #566327=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #566328=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #566329=CARTESIAN_POINT('',(41.123,35.756072,0.)); #566330=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #566331=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #566332=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #566333=CARTESIAN_POINT('',(41.144438,36.254853,0.035)); #566334=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.035)); #566335=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #566336=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #566337=CARTESIAN_POINT('',(41.377,35.756072,0.)); #566338=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #566339=CARTESIAN_POINT('',(41.377,35.756072,0.035)); #566340=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.035)); #566341=CARTESIAN_POINT('',(41.377,35.756072,0.)); #566342=CARTESIAN_POINT('Origin',(41.377,31.243928,0.)); #566343=CARTESIAN_POINT('',(41.377,31.243928,0.)); #566344=CARTESIAN_POINT('',(41.377,31.243928,0.)); #566345=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #566346=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #566347=CARTESIAN_POINT('',(41.377,31.243928,0.)); #566348=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #566349=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #566350=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.035)); #566351=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.035)); #566352=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.)); #566353=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #566354=CARTESIAN_POINT('',(39.11,17.5,0.)); #566355=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #566356=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #566357=CARTESIAN_POINT('',(39.11,17.5,-200.)); #566358=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #566359=CARTESIAN_POINT('Origin',(39.271644,17.225,0.)); #566360=CARTESIAN_POINT('',(39.271644,17.225,0.)); #566361=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #566362=CARTESIAN_POINT('',(39.271644,17.225,0.)); #566363=CARTESIAN_POINT('',(39.314397,17.231772,0.035)); #566364=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #566365=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #566366=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #566367=CARTESIAN_POINT('',(39.271644,17.225,0.)); #566368=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #566369=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #566370=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #566371=CARTESIAN_POINT('',(39.185603,17.768228,0.035)); #566372=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.035)); #566373=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #566374=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #566375=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #566376=CARTESIAN_POINT('Origin',(39.25,17.5,0.035)); #566377=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.035)); #566378=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.)); #566379=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #566380=CARTESIAN_POINT('',(33.11,5.5,0.)); #566381=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #566382=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #566383=CARTESIAN_POINT('',(33.11,5.5,-200.)); #566384=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #566385=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #566386=CARTESIAN_POINT('',(33.86,5.5,0.)); #566387=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #566388=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #566389=CARTESIAN_POINT('',(33.86,5.5,-200.)); #566390=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #566391=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #566392=CARTESIAN_POINT('',(37.11,8.75,0.)); #566393=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #566394=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #566395=CARTESIAN_POINT('',(37.11,8.75,-200.)); #566396=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #566397=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #566398=CARTESIAN_POINT('',(31.485,7.6,0.)); #566399=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #566400=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #566401=CARTESIAN_POINT('',(31.485,7.6,-200.)); #566402=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #566403=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #566404=CARTESIAN_POINT('',(36.285,7.1,0.)); #566405=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #566406=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #566407=CARTESIAN_POINT('',(36.285,7.1,-200.)); #566408=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #566409=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #566410=CARTESIAN_POINT('',(36.285,7.6,0.)); #566411=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #566412=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #566413=CARTESIAN_POINT('',(36.285,7.6,-200.)); #566414=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #566415=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #566416=CARTESIAN_POINT('',(31.485,7.1,0.)); #566417=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #566418=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #566419=CARTESIAN_POINT('',(31.485,7.1,-200.)); #566420=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #566421=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #566422=CARTESIAN_POINT('',(30.61,9.5,0.)); #566423=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #566424=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #566425=CARTESIAN_POINT('',(30.61,9.5,-200.)); #566426=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #566427=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #566428=CARTESIAN_POINT('',(30.61,8.75,0.)); #566429=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #566430=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #566431=CARTESIAN_POINT('',(30.61,8.75,-200.)); #566432=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #566433=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #566434=CARTESIAN_POINT('',(34.61,5.5,0.)); #566435=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #566436=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #566437=CARTESIAN_POINT('',(34.61,5.5,-200.)); #566438=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #566439=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #566440=CARTESIAN_POINT('',(31.36,8.75,0.)); #566441=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #566442=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #566443=CARTESIAN_POINT('',(31.36,8.75,-200.)); #566444=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #566445=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #566446=CARTESIAN_POINT('',(36.36,8.75,0.)); #566447=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #566448=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #566449=CARTESIAN_POINT('',(36.36,8.75,-200.)); #566450=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #566451=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #566452=CARTESIAN_POINT('',(31.36,9.5,0.)); #566453=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #566454=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #566455=CARTESIAN_POINT('',(31.36,9.5,-200.)); #566456=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #566457=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #566458=CARTESIAN_POINT('',(36.36,9.5,0.)); #566459=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #566460=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #566461=CARTESIAN_POINT('',(36.36,9.5,-200.)); #566462=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #566463=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #566464=CARTESIAN_POINT('',(37.11,9.5,0.)); #566465=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #566466=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #566467=CARTESIAN_POINT('',(37.11,9.5,-200.)); #566468=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #566469=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #566470=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #566471=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #566472=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #566473=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #566474=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #566475=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #566476=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #566477=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #566478=CARTESIAN_POINT('Origin',(34.1808,6.0689656,0.)); #566479=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #566480=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #566481=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #566482=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #566483=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #566484=CARTESIAN_POINT('Origin',(34.186684,6.0991688,0.)); #566485=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #566486=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #566487=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #566488=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #566489=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #566490=CARTESIAN_POINT('Origin',(34.214597,6.1413094,0.)); #566491=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #566492=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #566493=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #566494=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #566495=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #566496=CARTESIAN_POINT('Origin',(34.481972,6.4086844,0.)); #566497=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #566498=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #566499=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #566500=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #566501=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #566502=CARTESIAN_POINT('Origin',(34.487138,6.4363813,0.)); #566503=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #566504=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #566505=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #566506=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #566507=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #566508=CARTESIAN_POINT('Origin',(34.514378,6.4789594,0.)); #566509=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #566510=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #566511=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #566512=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #566513=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #566514=CARTESIAN_POINT('Origin',(34.556066,6.5075406,0.)); #566515=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #566516=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #566517=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #566518=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #566519=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #566520=CARTESIAN_POINT('Origin',(34.6056,6.5176,0.)); #566521=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #566522=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #566523=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #566524=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #566525=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #566526=CARTESIAN_POINT('Origin',(34.6956,6.5176,0.)); #566527=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #566528=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #566529=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #566530=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #566531=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #566532=CARTESIAN_POINT('Origin',(34.741381,6.5090625,0.)); #566533=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #566534=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #566535=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #566536=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #566537=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #566538=CARTESIAN_POINT('Origin',(34.783959,6.4818219,0.)); #566539=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #566540=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #566541=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #566542=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #566543=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #566544=CARTESIAN_POINT('Origin',(34.812541,6.4401344,0.)); #566545=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #566546=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #566547=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #566548=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #566549=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #566550=CARTESIAN_POINT('Origin',(34.8226,6.3906,0.)); #566551=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #566552=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #566553=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #566554=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #566555=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #566556=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #566557=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #566558=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #566559=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #566560=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #566561=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #566562=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #566563=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #566564=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #566565=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #566566=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #566567=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #566568=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #566569=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #566570=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #566571=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #566572=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #566573=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #566574=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #566575=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #566576=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #566577=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #566578=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #566579=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #566580=CARTESIAN_POINT('Origin',(35.6774,6.3906,0.)); #566581=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #566582=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #566583=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #566584=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #566585=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #566586=CARTESIAN_POINT('Origin',(35.685938,6.4363813,0.)); #566587=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #566588=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #566589=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #566590=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #566591=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #566592=CARTESIAN_POINT('Origin',(35.713178,6.4789594,0.)); #566593=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #566594=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #566595=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #566596=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #566597=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #566598=CARTESIAN_POINT('Origin',(35.754866,6.5075406,0.)); #566599=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #566600=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #566601=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #566602=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #566603=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #566604=CARTESIAN_POINT('Origin',(35.8044,6.5176,0.)); #566605=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #566606=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #566607=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #566608=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #566609=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #566610=CARTESIAN_POINT('Origin',(35.963125,6.5176,0.)); #566611=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #566612=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #566613=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #566614=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #566615=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #566616=CARTESIAN_POINT('Origin',(36.0524,6.606875,0.)); #566617=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #566618=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #566619=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #566620=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #566621=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #566622=CARTESIAN_POINT('Origin',(36.0524,7.883125,0.)); #566623=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #566624=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #566625=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #566626=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #566627=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #566628=CARTESIAN_POINT('Origin',(35.963125,7.9724,0.)); #566629=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #566630=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #566631=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #566632=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #566633=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #566634=CARTESIAN_POINT('Origin',(35.1056,7.9724,0.)); #566635=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #566636=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #566637=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #566638=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #566639=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #566640=CARTESIAN_POINT('Origin',(35.059819,7.9809375,0.)); #566641=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #566642=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #566643=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #566644=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #566645=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #566646=CARTESIAN_POINT('Origin',(35.017241,8.0081781,0.)); #566647=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #566648=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #566649=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #566650=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #566651=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #566652=CARTESIAN_POINT('Origin',(34.988659,8.0498656,0.)); #566653=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #566654=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #566655=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #566656=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #566657=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #566658=CARTESIAN_POINT('Origin',(34.9798,8.0934875,0.)); #566659=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #566660=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #566661=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #566662=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #566663=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #566664=CARTESIAN_POINT('Origin',(34.714597,8.3586906,0.)); #566665=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #566666=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #566667=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #566668=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #566669=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #566670=CARTESIAN_POINT('Origin',(34.687459,8.3989594,0.)); #566671=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #566672=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #566673=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #566674=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #566675=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #566676=CARTESIAN_POINT('Origin',(34.682869,8.4215656,0.)); #566677=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #566678=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #566679=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #566680=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #566681=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #566682=CARTESIAN_POINT('Origin',(34.427034,8.6774,0.)); #566683=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #566684=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #566685=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #566686=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #566687=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #566688=CARTESIAN_POINT('Origin',(34.072966,8.6774,0.)); #566689=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #566690=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #566691=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #566692=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #566693=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #566694=CARTESIAN_POINT('Origin',(33.817409,8.4218438,0.)); #566695=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #566696=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #566697=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #566698=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #566699=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #566700=CARTESIAN_POINT('Origin',(33.813316,8.4008312,0.)); #566701=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #566702=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #566703=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #566704=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #566705=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #566706=CARTESIAN_POINT('Origin',(33.785403,8.3586906,0.)); #566707=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #566708=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #566709=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #566710=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #566711=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #566712=CARTESIAN_POINT('Origin',(33.520319,8.0936063,0.)); #566713=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #566714=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #566715=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #566716=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #566717=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #566718=CARTESIAN_POINT('Origin',(33.512863,8.0536188,0.)); #566719=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #566720=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #566721=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #566722=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #566723=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #566724=CARTESIAN_POINT('Origin',(33.485622,8.0110406,0.)); #566725=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #566726=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #566727=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #566728=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #566729=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #566730=CARTESIAN_POINT('Origin',(33.443934,7.9824594,0.)); #566731=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #566732=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #566733=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #566734=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #566735=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #566736=CARTESIAN_POINT('Origin',(33.3944,7.9724,0.)); #566737=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #566738=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #566739=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #566740=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #566741=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #566742=CARTESIAN_POINT('Origin',(33.3044,7.9724,0.)); #566743=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #566744=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #566745=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #566746=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #566747=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #566748=CARTESIAN_POINT('Origin',(33.258619,7.9809375,0.)); #566749=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #566750=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #566751=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #566752=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #566753=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #566754=CARTESIAN_POINT('Origin',(33.216041,8.0081781,0.)); #566755=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #566756=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #566757=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #566758=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #566759=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #566760=CARTESIAN_POINT('Origin',(33.187459,8.0498656,0.)); #566761=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #566762=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #566763=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #566764=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #566765=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #566766=CARTESIAN_POINT('Origin',(33.1774,8.0994,0.)); #566767=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #566768=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #566769=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #566770=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #566771=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #566772=CARTESIAN_POINT('Origin',(33.1774,8.4270344,0.)); #566773=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #566774=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #566775=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #566776=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #566777=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #566778=CARTESIAN_POINT('Origin',(32.927034,8.6774,0.)); #566779=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #566780=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #566781=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #566782=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #566783=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #566784=CARTESIAN_POINT('Origin',(32.572966,8.6774,0.)); #566785=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #566786=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #566787=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #566788=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #566789=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #566790=CARTESIAN_POINT('Origin',(32.317409,8.4218438,0.)); #566791=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #566792=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #566793=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #566794=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #566795=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #566796=CARTESIAN_POINT('Origin',(32.313316,8.4008312,0.)); #566797=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #566798=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #566799=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #566800=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #566801=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #566802=CARTESIAN_POINT('Origin',(32.285403,8.3586906,0.)); #566803=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #566804=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #566805=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #566806=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #566807=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #566808=CARTESIAN_POINT('Origin',(32.0214,8.0946875,0.)); #566809=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #566810=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #566811=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #566812=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #566813=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #566814=CARTESIAN_POINT('Origin',(32.0214,8.0095313,0.)); #566815=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #566816=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #566817=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #566818=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #566819=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #566820=CARTESIAN_POINT('Origin',(32.012116,7.9618688,0.)); #566821=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #566822=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #566823=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #566824=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #566825=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #566826=CARTESIAN_POINT('Origin',(31.984203,7.9197281,0.)); #566827=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #566828=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #566829=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #566830=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #566831=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #566832=CARTESIAN_POINT('Origin',(31.9476,7.883125,0.)); #566833=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #566834=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #566835=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #566836=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #566837=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #566838=CARTESIAN_POINT('Origin',(31.9476,6.606875,0.)); #566839=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #566840=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #566841=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #566842=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #566843=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #566844=CARTESIAN_POINT('Origin',(32.036875,6.5176,0.)); #566845=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #566846=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #566847=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #566848=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #566849=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #566850=CARTESIAN_POINT('Origin',(32.8944,6.5176,0.)); #566851=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #566852=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #566853=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #566854=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #566855=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #566856=CARTESIAN_POINT('Origin',(32.940181,6.5090625,0.)); #566857=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #566858=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #566859=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #566860=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #566861=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #566862=CARTESIAN_POINT('Origin',(32.982759,6.4818219,0.)); #566863=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #566864=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #566865=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #566866=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #566867=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #566868=CARTESIAN_POINT('Origin',(33.011341,6.4401344,0.)); #566869=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #566870=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #566871=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #566872=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #566873=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #566874=CARTESIAN_POINT('Origin',(33.01765,6.4090625,0.)); #566875=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #566876=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #566877=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #566878=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #566879=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #566880=CARTESIAN_POINT('Origin',(33.285403,6.1413094,0.)); #566881=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #566882=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #566883=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #566884=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #566885=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #566886=CARTESIAN_POINT('Origin',(33.312541,6.1010406,0.)); #566887=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #566888=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #566889=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #566890=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #566891=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #566892=CARTESIAN_POINT('Origin',(33.319019,6.0691469,0.)); #566893=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #566894=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #566895=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #566896=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #566897=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #566898=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #566899=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #566900=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #566901=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #566902=CARTESIAN_POINT('',(35.697394,5.,0.)); #566903=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #566904=CARTESIAN_POINT('',(35.697394,5.,0.)); #566905=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #566906=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #566907=CARTESIAN_POINT('',(35.697394,5.,0.035)); #566908=CARTESIAN_POINT('',(35.697394,5.,0.035)); #566909=CARTESIAN_POINT('',(35.697394,5.,0.)); #566910=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #566911=CARTESIAN_POINT('',(32.302606,5.,0.)); #566912=CARTESIAN_POINT('',(32.302606,5.,0.)); #566913=CARTESIAN_POINT('',(32.302606,5.,0.035)); #566914=CARTESIAN_POINT('',(32.302606,5.,0.035)); #566915=CARTESIAN_POINT('',(32.302606,5.,0.)); #566916=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #566917=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #566918=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #566919=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #566920=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #566921=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #566922=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #566923=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #566924=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #566925=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #566926=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #566927=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #566928=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #566929=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #566930=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #566931=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #566932=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #566933=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #566934=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #566935=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #566936=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #566937=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #566938=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #566939=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #566940=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #566941=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #566942=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #566943=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #566944=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #566945=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #566946=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #566947=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #566948=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #566949=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #566950=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #566951=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #566952=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #566953=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #566954=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #566955=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #566956=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #566957=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #566958=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #566959=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #566960=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #566961=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #566962=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #566963=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #566964=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #566965=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #566966=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #566967=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #566968=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #566969=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #566970=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #566971=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #566972=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #566973=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #566974=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #566975=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #566976=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #566977=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #566978=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #566979=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #566980=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #566981=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #566982=CARTESIAN_POINT('Origin',(31.503884,6.67,0.)); #566983=CARTESIAN_POINT('',(31.503884,6.67,0.)); #566984=CARTESIAN_POINT('',(31.503884,6.67,0.)); #566985=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #566986=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #566987=CARTESIAN_POINT('',(31.503884,6.67,0.)); #566988=CARTESIAN_POINT('Origin',(31.3,6.67,0.)); #566989=CARTESIAN_POINT('',(31.3,6.67,0.)); #566990=CARTESIAN_POINT('',(31.3,6.67,0.)); #566991=CARTESIAN_POINT('',(31.3,6.67,0.035)); #566992=CARTESIAN_POINT('',(31.3,6.67,0.035)); #566993=CARTESIAN_POINT('',(31.3,6.67,0.)); #566994=CARTESIAN_POINT('Origin',(31.3,6.7864781,0.)); #566995=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #566996=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #566997=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #566998=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #566999=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #567000=CARTESIAN_POINT('Origin',(31.296041,6.7890781,0.)); #567001=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #567002=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #567003=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #567004=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #567005=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #567006=CARTESIAN_POINT('Origin',(31.267459,6.8307656,0.)); #567007=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #567008=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #567009=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #567010=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #567011=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #567012=CARTESIAN_POINT('Origin',(31.2574,6.8803,0.)); #567013=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #567014=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #567015=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #567016=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #567017=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #567018=CARTESIAN_POINT('Origin',(31.2574,7.8196406,0.)); #567019=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #567020=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #567021=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #567022=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #567023=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #567024=CARTESIAN_POINT('Origin',(31.253863,7.8613281,0.)); #567025=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #567026=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #567027=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #567028=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #567029=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #567030=CARTESIAN_POINT('Origin',(31.254263,7.8613625,0.)); #567031=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #567032=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #567033=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #567034=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #567035=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #567036=CARTESIAN_POINT('Origin',(31.2524,7.8759438,0.)); #567037=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #567038=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #567039=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #567040=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #567041=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #567042=CARTESIAN_POINT('Origin',(31.2524,7.9263125,0.)); #567043=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #567044=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #567045=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #567046=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #567047=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #567048=CARTESIAN_POINT('Origin',(31.25,7.9381313,0.)); #567049=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #567050=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #567051=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #567052=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #567053=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #567054=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #567055=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #567056=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #567057=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #567058=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #567059=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #567060=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #567061=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #567062=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #567063=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #567064=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #567065=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #567066=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #567067=CARTESIAN_POINT('',(31.242016,8.,0.)); #567068=CARTESIAN_POINT('',(31.242016,8.,0.)); #567069=CARTESIAN_POINT('',(31.242016,8.,0.035)); #567070=CARTESIAN_POINT('',(31.242016,8.,0.035)); #567071=CARTESIAN_POINT('',(31.242016,8.,0.)); #567072=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #567073=CARTESIAN_POINT('',(31.021684,8.,0.)); #567074=CARTESIAN_POINT('',(31.021684,8.,0.)); #567075=CARTESIAN_POINT('',(31.021684,8.,0.035)); #567076=CARTESIAN_POINT('',(31.021684,8.,0.035)); #567077=CARTESIAN_POINT('',(31.021684,8.,0.)); #567078=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #567079=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #567080=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #567081=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #567082=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #567083=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #567084=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #567085=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #567086=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #567087=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #567088=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #567089=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #567090=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #567091=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #567092=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #567093=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #567094=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #567095=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #567096=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #567097=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #567098=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #567099=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #567100=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #567101=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #567102=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #567103=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #567104=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #567105=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #567106=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #567107=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #567108=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #567109=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #567110=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #567111=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #567112=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #567113=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #567114=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #567115=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #567116=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #567117=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #567118=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #567119=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #567120=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #567121=CARTESIAN_POINT('',(30.25,9.9456,0.)); #567122=CARTESIAN_POINT('',(30.25,9.9456,0.)); #567123=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #567124=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #567125=CARTESIAN_POINT('',(30.25,9.9456,0.)); #567126=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #567127=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #567128=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #567129=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #567130=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #567131=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #567132=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #567133=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #567134=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #567135=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #567136=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #567137=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #567138=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #567139=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #567140=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #567141=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #567142=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #567143=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #567144=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #567145=CARTESIAN_POINT('',(30.377,10.0726,0.)); #567146=CARTESIAN_POINT('',(30.377,10.0726,0.)); #567147=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #567148=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #567149=CARTESIAN_POINT('',(30.377,10.0726,0.)); #567150=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #567151=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #567152=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #567153=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #567154=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #567155=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #567156=CARTESIAN_POINT('Origin',(30.838638,10.234203,0.)); #567157=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #567158=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #567159=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #567160=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #567161=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #567162=CARTESIAN_POINT('Origin',(30.878906,10.261341,0.)); #567163=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #567164=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #567165=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #567166=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #567167=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #567168=CARTESIAN_POINT('Origin',(30.928441,10.2714,0.)); #567169=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #567170=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #567171=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #567172=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #567173=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #567174=CARTESIAN_POINT('Origin',(36.071559,10.2714,0.)); #567175=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #567176=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #567177=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #567178=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #567179=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #567180=CARTESIAN_POINT('Origin',(36.119222,10.262116,0.)); #567181=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #567182=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #567183=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #567184=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #567185=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #567186=CARTESIAN_POINT('Origin',(36.161363,10.234203,0.)); #567187=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #567188=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #567189=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #567190=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #567191=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #567192=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #567193=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #567194=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #567195=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #567196=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #567197=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #567198=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #567199=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #567200=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #567201=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #567202=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #567203=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #567204=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #567205=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #567206=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #567207=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #567208=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #567209=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #567210=CARTESIAN_POINT('Origin',(36.9274,10.373,0.)); #567211=CARTESIAN_POINT('',(36.9274,10.373,0.)); #567212=CARTESIAN_POINT('',(36.9274,10.373,0.)); #567213=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #567214=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #567215=CARTESIAN_POINT('',(36.9274,10.373,0.)); #567216=CARTESIAN_POINT('Origin',(36.935938,10.418781,0.)); #567217=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #567218=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #567219=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #567220=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #567221=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #567222=CARTESIAN_POINT('Origin',(36.963178,10.461359,0.)); #567223=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #567224=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #567225=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #567226=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #567227=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #567228=CARTESIAN_POINT('Origin',(37.004866,10.489941,0.)); #567229=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #567230=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #567231=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #567232=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #567233=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #567234=CARTESIAN_POINT('Origin',(37.0544,10.5,0.)); #567235=CARTESIAN_POINT('',(37.0544,10.5,0.)); #567236=CARTESIAN_POINT('',(37.0544,10.5,0.)); #567237=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #567238=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #567239=CARTESIAN_POINT('',(37.0544,10.5,0.)); #567240=CARTESIAN_POINT('Origin',(37.197394,10.5,0.)); #567241=CARTESIAN_POINT('',(37.197394,10.5,0.)); #567242=CARTESIAN_POINT('',(37.197394,10.5,0.)); #567243=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #567244=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #567245=CARTESIAN_POINT('',(37.197394,10.5,0.)); #567246=CARTESIAN_POINT('Origin',(37.245056,10.490716,0.)); #567247=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #567248=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #567249=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #567250=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #567251=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #567252=CARTESIAN_POINT('Origin',(37.287197,10.462803,0.)); #567253=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #567254=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #567255=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #567256=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #567257=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #567258=CARTESIAN_POINT('Origin',(37.712803,10.037197,0.)); #567259=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #567260=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #567261=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #567262=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #567263=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #567264=CARTESIAN_POINT('Origin',(37.739941,9.9969281,0.)); #567265=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #567266=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #567267=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #567268=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #567269=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #567270=CARTESIAN_POINT('Origin',(37.75,9.9473937,0.)); #567271=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #567272=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #567273=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #567274=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #567275=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #567276=CARTESIAN_POINT('Origin',(37.75,8.5526063,0.)); #567277=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #567278=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #567279=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #567280=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #567281=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #567282=CARTESIAN_POINT('Origin',(37.740716,8.5049438,0.)); #567283=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #567284=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #567285=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #567286=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #567287=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #567288=CARTESIAN_POINT('Origin',(37.712803,8.4628031,0.)); #567289=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #567290=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #567291=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #567292=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #567293=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #567294=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #567295=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #567296=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #567297=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #567298=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #567299=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #567300=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #567301=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #567302=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #567303=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #567304=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #567305=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #567306=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #567307=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #567308=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #567309=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #567310=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #567311=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #567312=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #567313=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #567314=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #567315=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #567316=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #567317=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #567318=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #567319=CARTESIAN_POINT('',(36.978316,8.,0.)); #567320=CARTESIAN_POINT('',(36.978316,8.,0.)); #567321=CARTESIAN_POINT('',(36.978316,8.,0.035)); #567322=CARTESIAN_POINT('',(36.978316,8.,0.035)); #567323=CARTESIAN_POINT('',(36.978316,8.,0.)); #567324=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #567325=CARTESIAN_POINT('',(36.760022,8.,0.)); #567326=CARTESIAN_POINT('',(36.760022,8.,0.)); #567327=CARTESIAN_POINT('',(36.760022,8.,0.035)); #567328=CARTESIAN_POINT('',(36.760022,8.,0.035)); #567329=CARTESIAN_POINT('',(36.760022,8.,0.)); #567330=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #567331=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #567332=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #567333=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #567334=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #567335=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #567336=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #567337=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #567338=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #567339=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #567340=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #567341=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #567342=CARTESIAN_POINT('Origin',(36.75,7.9381313,0.)); #567343=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #567344=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #567345=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #567346=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #567347=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #567348=CARTESIAN_POINT('Origin',(36.7476,7.9258094,0.)); #567349=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #567350=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #567351=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #567352=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #567353=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #567354=CARTESIAN_POINT('Origin',(36.7476,7.8709656,0.)); #567355=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #567356=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #567357=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #567358=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #567359=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #567360=CARTESIAN_POINT('Origin',(36.746856,7.8572313,0.)); #567361=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #567362=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #567363=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #567364=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #567365=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #567366=CARTESIAN_POINT('Origin',(36.7426,7.8181031,0.)); #567367=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #567368=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #567369=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #567370=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #567371=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #567372=CARTESIAN_POINT('Origin',(36.7426,6.8803,0.)); #567373=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #567374=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #567375=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #567376=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #567377=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #567378=CARTESIAN_POINT('Origin',(36.733694,6.833575,0.)); #567379=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #567380=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #567381=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #567382=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #567383=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #567384=CARTESIAN_POINT('Origin',(36.706116,6.7912156,0.)); #567385=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #567386=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #567387=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #567388=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #567389=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #567390=CARTESIAN_POINT('Origin',(36.7,6.7870938,0.)); #567391=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #567392=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #567393=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #567394=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #567395=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #567396=CARTESIAN_POINT('Origin',(36.7,6.67,0.)); #567397=CARTESIAN_POINT('',(36.7,6.67,0.)); #567398=CARTESIAN_POINT('',(36.7,6.67,0.)); #567399=CARTESIAN_POINT('',(36.7,6.67,0.035)); #567400=CARTESIAN_POINT('',(36.7,6.67,0.035)); #567401=CARTESIAN_POINT('',(36.7,6.67,0.)); #567402=CARTESIAN_POINT('Origin',(36.496116,6.67,0.)); #567403=CARTESIAN_POINT('',(36.496116,6.67,0.)); #567404=CARTESIAN_POINT('',(36.496116,6.67,0.)); #567405=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #567406=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #567407=CARTESIAN_POINT('',(36.496116,6.67,0.)); #567408=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #567409=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #567410=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #567411=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #567412=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #567413=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #567414=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #567415=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #567416=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #567417=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #567418=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #567419=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #567420=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #567421=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #567422=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #567423=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #567424=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #567425=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #567426=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #567427=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #567428=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #567429=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #567430=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #567431=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #567432=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #567433=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #567434=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #567435=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #567436=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #567437=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #567438=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #567439=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #567440=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #567441=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #567442=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #567443=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #567444=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #567445=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #567446=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #567447=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #567448=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #567449=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #567450=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #567451=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #567452=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #567453=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #567454=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #567455=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #567456=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #567457=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #567458=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #567459=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #567460=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #567461=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #567462=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #567463=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #567464=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #567465=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #567466=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #567467=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #567468=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #567469=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #567470=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #567471=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.035)); #567472=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.)); #567473=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #567474=CARTESIAN_POINT('',(32.165,45.,-0.0349999999999895)); #567475=CARTESIAN_POINT('Origin',(32.73,45.,-0.0349999999999895)); #567476=CARTESIAN_POINT('',(32.165,45.,0.)); #567477=CARTESIAN_POINT('',(32.165,45.,-200.)); #567478=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #567479=CARTESIAN_POINT('Origin',(32.769294,44.1,-0.035)); #567480=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #567481=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #567482=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #567483=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #567484=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #567485=CARTESIAN_POINT('',(32.769294,44.1,0.)); #567486=CARTESIAN_POINT('',(32.769294,44.1,0.)); #567487=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #567488=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #567489=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #567490=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #567491=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #567492=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #567493=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #567494=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #567495=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #567496=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #567497=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #567498=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,-0.035)); #567499=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #567500=CARTESIAN_POINT('',(34.705,45.,-0.0349999999999895)); #567501=CARTESIAN_POINT('Origin',(35.27,45.,-0.0349999999999895)); #567502=CARTESIAN_POINT('',(34.705,45.,0.)); #567503=CARTESIAN_POINT('',(34.705,45.,-200.)); #567504=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #567505=CARTESIAN_POINT('Origin',(35.309294,44.1,-0.035)); #567506=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #567507=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #567508=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #567509=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #567510=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #567511=CARTESIAN_POINT('',(35.309294,44.1,0.)); #567512=CARTESIAN_POINT('',(35.309294,44.1,0.)); #567513=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #567514=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #567515=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #567516=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #567517=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #567518=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #567519=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #567520=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #567521=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #567522=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #567523=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #567524=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,-0.035)); #567525=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #567526=CARTESIAN_POINT('',(36.035,27.75,-0.0349999999999895)); #567527=CARTESIAN_POINT('Origin',(36.5,27.75,-0.0349999999999895)); #567528=CARTESIAN_POINT('',(36.035,27.75,0.)); #567529=CARTESIAN_POINT('',(36.035,27.75,-200.)); #567530=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #567531=CARTESIAN_POINT('Origin',(36.536844,27.,-0.035)); #567532=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #567533=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #567534=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #567535=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #567536=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #567537=CARTESIAN_POINT('',(36.536844,27.,0.)); #567538=CARTESIAN_POINT('',(36.536844,27.,0.)); #567539=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #567540=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #567541=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #567542=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #567543=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #567544=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #567545=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #567546=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #567547=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #567548=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #567549=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #567550=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,-0.035)); #567551=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #567552=CARTESIAN_POINT('',(45.735,34.,-0.0349999999999895)); #567553=CARTESIAN_POINT('Origin',(46.25,34.,-0.0349999999999895)); #567554=CARTESIAN_POINT('',(45.735,34.,0.)); #567555=CARTESIAN_POINT('',(45.735,34.,-200.)); #567556=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #567557=CARTESIAN_POINT('Origin',(46.290528,33.175,-0.035)); #567558=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #567559=CARTESIAN_POINT('',(46.3712,33.182944,-0.035)); #567560=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #567561=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #567562=CARTESIAN_POINT('',(46.3712,33.182944,-0.035)); #567563=CARTESIAN_POINT('',(46.290528,33.175,0.)); #567564=CARTESIAN_POINT('',(46.290528,33.175,0.)); #567565=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #567566=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,-0.035)); #567567=CARTESIAN_POINT('',(46.1288,34.817056,-0.035)); #567568=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,-0.035)); #567569=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #567570=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #567571=CARTESIAN_POINT('',(46.1288,34.817056,-0.035)); #567572=CARTESIAN_POINT('Origin',(46.25,34.,-0.035)); #567573=CARTESIAN_POINT('Origin',(46.25,34.,-0.035)); #567574=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #567575=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.)); #567576=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,-0.035)); #567577=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #567578=CARTESIAN_POINT('',(21.235,34.,-0.0349999999999895)); #567579=CARTESIAN_POINT('Origin',(21.75,34.,-0.0349999999999895)); #567580=CARTESIAN_POINT('',(21.235,34.,0.)); #567581=CARTESIAN_POINT('',(21.235,34.,-200.)); #567582=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #567583=CARTESIAN_POINT('Origin',(22.575,33.175,-0.035)); #567584=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #567585=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #567586=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #567587=CARTESIAN_POINT('',(22.575,34.825,0.)); #567588=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #567589=CARTESIAN_POINT('',(22.575,33.175,0.)); #567590=CARTESIAN_POINT('',(22.575,33.175,0.)); #567591=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #567592=CARTESIAN_POINT('Origin',(20.925,33.175,-0.035)); #567593=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #567594=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #567595=CARTESIAN_POINT('',(20.925,33.175,0.)); #567596=CARTESIAN_POINT('',(20.925,33.175,0.)); #567597=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #567598=CARTESIAN_POINT('Origin',(20.925,34.825,-0.035)); #567599=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #567600=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #567601=CARTESIAN_POINT('',(20.925,34.825,0.)); #567602=CARTESIAN_POINT('',(20.925,34.825,0.)); #567603=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #567604=CARTESIAN_POINT('Origin',(22.575,34.825,-0.035)); #567605=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #567606=CARTESIAN_POINT('',(22.575,34.825,0.)); #567607=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #567608=CARTESIAN_POINT('Origin',(21.75,34.,-0.035)); #567609=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #567610=CARTESIAN_POINT('',(31.035,27.75,-0.0349999999999895)); #567611=CARTESIAN_POINT('Origin',(31.5,27.75,-0.0349999999999895)); #567612=CARTESIAN_POINT('',(31.035,27.75,0.)); #567613=CARTESIAN_POINT('',(31.035,27.75,-200.)); #567614=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #567615=CARTESIAN_POINT('Origin',(31.536844,27.,-0.035)); #567616=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #567617=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #567618=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #567619=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #567620=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #567621=CARTESIAN_POINT('',(31.536844,27.,0.)); #567622=CARTESIAN_POINT('',(31.536844,27.,0.)); #567623=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #567624=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #567625=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #567626=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #567627=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #567628=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #567629=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #567630=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #567631=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #567632=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #567633=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #567634=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,-0.035)); #567635=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #567636=CARTESIAN_POINT('',(15.285,34.,-0.0349999999999895)); #567637=CARTESIAN_POINT('Origin',(15.75,34.,-0.0349999999999895)); #567638=CARTESIAN_POINT('',(15.285,34.,0.)); #567639=CARTESIAN_POINT('',(15.285,34.,-200.)); #567640=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #567641=CARTESIAN_POINT('Origin',(15.786844,33.25,-0.035)); #567642=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #567643=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #567644=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #567645=CARTESIAN_POINT('',(15.860181,33.257222,0.)); #567646=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #567647=CARTESIAN_POINT('',(15.786844,33.25,0.)); #567648=CARTESIAN_POINT('',(15.786844,33.25,0.)); #567649=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #567650=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #567651=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #567652=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #567653=CARTESIAN_POINT('',(15.639819,34.742778,0.)); #567654=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.)); #567655=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #567656=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #567657=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #567658=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #567659=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.)); #567660=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,-0.035)); #567661=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #567662=CARTESIAN_POINT('',(37.245,45.,-0.0349999999999895)); #567663=CARTESIAN_POINT('Origin',(37.81,45.,-0.0349999999999895)); #567664=CARTESIAN_POINT('',(37.245,45.,0.)); #567665=CARTESIAN_POINT('',(37.245,45.,-200.)); #567666=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #567667=CARTESIAN_POINT('Origin',(37.849294,44.1,-0.035)); #567668=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #567669=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #567670=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #567671=CARTESIAN_POINT('',(37.927584,44.10685,0.)); #567672=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #567673=CARTESIAN_POINT('',(37.849294,44.1,0.)); #567674=CARTESIAN_POINT('',(37.849294,44.1,0.)); #567675=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #567676=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #567677=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #567678=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #567679=CARTESIAN_POINT('',(37.692416,45.89315,0.)); #567680=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.)); #567681=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #567682=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #567683=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #567684=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #567685=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.)); #567686=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,-0.035)); #567687=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #567688=CARTESIAN_POINT('',(55.285,34.,-0.0349999999999895)); #567689=CARTESIAN_POINT('Origin',(55.75,34.,-0.0349999999999895)); #567690=CARTESIAN_POINT('',(55.285,34.,0.)); #567691=CARTESIAN_POINT('',(55.285,34.,-200.)); #567692=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #567693=CARTESIAN_POINT('Origin',(55.786844,33.25,-0.035)); #567694=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #567695=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #567696=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #567697=CARTESIAN_POINT('',(55.860181,33.257222,0.)); #567698=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #567699=CARTESIAN_POINT('',(55.786844,33.25,0.)); #567700=CARTESIAN_POINT('',(55.786844,33.25,0.)); #567701=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #567702=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #567703=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #567704=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #567705=CARTESIAN_POINT('',(55.639819,34.742778,0.)); #567706=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.)); #567707=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #567708=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #567709=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #567710=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #567711=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.)); #567712=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,-0.035)); #567713=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #567714=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #567715=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #567716=CARTESIAN_POINT('',(38.635,2.29999998733941,0.)); #567717=CARTESIAN_POINT('',(38.635,2.89999999155961,-0.0349999999999895)); #567718=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #567719=CARTESIAN_POINT('',(38.635,2.09999997467882,-0.0349999999999895)); #567720=CARTESIAN_POINT('',(38.635,2.29999998733941,-0.0350000000000072)); #567721=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #567722=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #567723=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #567724=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #567725=CARTESIAN_POINT('',(38.005,2.10000000844039,-0.0349999999999895)); #567726=CARTESIAN_POINT('Origin',(38.32,2.1,-0.0349999999999895)); #567727=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #567728=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #567729=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #567730=CARTESIAN_POINT('',(38.005,2.70000001266059,0.)); #567731=CARTESIAN_POINT('',(38.005,2.90000002532118,-0.0349999999999895)); #567732=CARTESIAN_POINT('',(38.005,2.70000001266059,-0.0350000000000072)); #567733=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #567734=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #567735=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #567736=CARTESIAN_POINT('Origin',(38.32,2.9,-0.0349999999999895)); #567737=CARTESIAN_POINT('Origin',(38.350887,1.55,-0.035)); #567738=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #567739=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #567740=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #567741=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #567742=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #567743=CARTESIAN_POINT('',(38.350887,1.55,0.)); #567744=CARTESIAN_POINT('',(38.350887,1.55,0.)); #567745=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #567746=CARTESIAN_POINT('Origin',(38.289113,1.55,-0.035)); #567747=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #567748=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #567749=CARTESIAN_POINT('',(38.289113,1.55,0.)); #567750=CARTESIAN_POINT('',(38.289113,1.55,0.)); #567751=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #567752=CARTESIAN_POINT('Origin',(38.227725,1.5569156,-0.035)); #567753=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #567754=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #567755=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #567756=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #567757=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #567758=CARTESIAN_POINT('Origin',(38.1675,1.5706625,-0.035)); #567759=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #567760=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #567761=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #567762=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #567763=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #567764=CARTESIAN_POINT('Origin',(38.109194,1.5910656,-0.035)); #567765=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #567766=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #567767=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #567768=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #567769=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #567770=CARTESIAN_POINT('Origin',(38.053534,1.6178687,-0.035)); #567771=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #567772=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #567773=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #567774=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #567775=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #567776=CARTESIAN_POINT('Origin',(38.001228,1.6507344,-0.035)); #567777=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #567778=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #567779=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #567780=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #567781=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #567782=CARTESIAN_POINT('Origin',(37.952931,1.68925,-0.035)); #567783=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #567784=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #567785=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #567786=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #567787=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #567788=CARTESIAN_POINT('Origin',(37.90925,1.7329313,-0.035)); #567789=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #567790=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #567791=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #567792=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #567793=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #567794=CARTESIAN_POINT('Origin',(37.870734,1.7812281,-0.035)); #567795=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #567796=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #567797=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #567798=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #567799=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #567800=CARTESIAN_POINT('Origin',(37.837869,1.8335344,-0.035)); #567801=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #567802=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #567803=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #567804=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #567805=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #567806=CARTESIAN_POINT('Origin',(37.811066,1.8891938,-0.035)); #567807=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #567808=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #567809=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #567810=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #567811=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #567812=CARTESIAN_POINT('Origin',(37.790663,1.9475,-0.035)); #567813=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #567814=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #567815=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #567816=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #567817=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #567818=CARTESIAN_POINT('Origin',(37.776916,2.007725,-0.035)); #567819=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #567820=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #567821=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #567822=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #567823=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #567824=CARTESIAN_POINT('Origin',(37.77,2.0691125,-0.035)); #567825=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #567826=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #567827=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #567828=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #567829=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #567830=CARTESIAN_POINT('Origin',(37.77,2.9308875,-0.035)); #567831=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #567832=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #567833=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #567834=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #567835=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #567836=CARTESIAN_POINT('Origin',(37.776916,2.992275,-0.035)); #567837=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #567838=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #567839=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #567840=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #567841=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #567842=CARTESIAN_POINT('Origin',(37.790663,3.0525,-0.035)); #567843=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #567844=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #567845=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #567846=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #567847=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #567848=CARTESIAN_POINT('Origin',(37.811066,3.1108062,-0.035)); #567849=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #567850=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #567851=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #567852=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #567853=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #567854=CARTESIAN_POINT('Origin',(37.837869,3.1664656,-0.035)); #567855=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #567856=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #567857=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #567858=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #567859=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #567860=CARTESIAN_POINT('Origin',(37.870734,3.2187719,-0.035)); #567861=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #567862=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #567863=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #567864=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #567865=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #567866=CARTESIAN_POINT('Origin',(37.90925,3.2670687,-0.035)); #567867=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #567868=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #567869=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #567870=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #567871=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #567872=CARTESIAN_POINT('Origin',(37.952931,3.31075,-0.035)); #567873=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #567874=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #567875=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #567876=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #567877=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #567878=CARTESIAN_POINT('Origin',(38.001228,3.3492656,-0.035)); #567879=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #567880=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #567881=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #567882=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #567883=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #567884=CARTESIAN_POINT('Origin',(38.053534,3.3821313,-0.035)); #567885=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #567886=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #567887=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #567888=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #567889=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #567890=CARTESIAN_POINT('Origin',(38.109194,3.4089344,-0.035)); #567891=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #567892=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #567893=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #567894=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #567895=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #567896=CARTESIAN_POINT('Origin',(38.1675,3.4293375,-0.035)); #567897=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #567898=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #567899=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #567900=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #567901=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #567902=CARTESIAN_POINT('Origin',(38.227725,3.4430844,-0.035)); #567903=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #567904=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #567905=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #567906=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #567907=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #567908=CARTESIAN_POINT('Origin',(38.289113,3.45,-0.035)); #567909=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #567910=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #567911=CARTESIAN_POINT('',(38.289113,3.45,0.)); #567912=CARTESIAN_POINT('',(38.289113,3.45,0.)); #567913=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #567914=CARTESIAN_POINT('Origin',(38.350887,3.45,-0.035)); #567915=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #567916=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #567917=CARTESIAN_POINT('',(38.350887,3.45,0.)); #567918=CARTESIAN_POINT('',(38.350887,3.45,0.)); #567919=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #567920=CARTESIAN_POINT('Origin',(38.412275,3.4430844,-0.035)); #567921=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #567922=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #567923=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #567924=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #567925=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #567926=CARTESIAN_POINT('Origin',(38.4725,3.4293375,-0.035)); #567927=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #567928=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #567929=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #567930=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #567931=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #567932=CARTESIAN_POINT('Origin',(38.530806,3.4089344,-0.035)); #567933=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #567934=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #567935=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #567936=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #567937=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #567938=CARTESIAN_POINT('Origin',(38.586466,3.3821313,-0.035)); #567939=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #567940=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #567941=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #567942=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #567943=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #567944=CARTESIAN_POINT('Origin',(38.638772,3.3492656,-0.035)); #567945=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #567946=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #567947=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #567948=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #567949=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #567950=CARTESIAN_POINT('Origin',(38.687069,3.31075,-0.035)); #567951=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #567952=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #567953=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #567954=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #567955=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #567956=CARTESIAN_POINT('Origin',(38.73075,3.2670687,-0.035)); #567957=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #567958=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #567959=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #567960=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #567961=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #567962=CARTESIAN_POINT('Origin',(38.769266,3.2187719,-0.035)); #567963=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #567964=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #567965=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #567966=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #567967=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #567968=CARTESIAN_POINT('Origin',(38.802131,3.1664656,-0.035)); #567969=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #567970=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #567971=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #567972=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #567973=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #567974=CARTESIAN_POINT('Origin',(38.828934,3.1108062,-0.035)); #567975=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #567976=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #567977=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #567978=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #567979=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #567980=CARTESIAN_POINT('Origin',(38.849338,3.0525,-0.035)); #567981=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #567982=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #567983=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #567984=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #567985=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #567986=CARTESIAN_POINT('Origin',(38.863084,2.992275,-0.035)); #567987=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #567988=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #567989=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #567990=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #567991=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #567992=CARTESIAN_POINT('Origin',(38.87,2.9308875,-0.035)); #567993=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #567994=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #567995=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #567996=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #567997=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #567998=CARTESIAN_POINT('Origin',(38.87,2.0691125,-0.035)); #567999=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #568000=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #568001=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #568002=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #568003=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #568004=CARTESIAN_POINT('Origin',(38.863084,2.007725,-0.035)); #568005=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #568006=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #568007=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #568008=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #568009=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #568010=CARTESIAN_POINT('Origin',(38.849338,1.9475,-0.035)); #568011=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #568012=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #568013=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #568014=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #568015=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #568016=CARTESIAN_POINT('Origin',(38.828934,1.8891938,-0.035)); #568017=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #568018=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #568019=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #568020=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #568021=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #568022=CARTESIAN_POINT('Origin',(38.802131,1.8335344,-0.035)); #568023=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #568024=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #568025=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #568026=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #568027=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #568028=CARTESIAN_POINT('Origin',(38.769266,1.7812281,-0.035)); #568029=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #568030=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #568031=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #568032=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #568033=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #568034=CARTESIAN_POINT('Origin',(38.73075,1.7329313,-0.035)); #568035=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #568036=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #568037=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #568038=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #568039=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #568040=CARTESIAN_POINT('Origin',(38.687069,1.68925,-0.035)); #568041=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #568042=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #568043=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #568044=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #568045=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #568046=CARTESIAN_POINT('Origin',(38.638772,1.6507344,-0.035)); #568047=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #568048=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #568049=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #568050=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #568051=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #568052=CARTESIAN_POINT('Origin',(38.586466,1.6178687,-0.035)); #568053=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #568054=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #568055=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #568056=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #568057=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #568058=CARTESIAN_POINT('Origin',(38.530806,1.5910656,-0.035)); #568059=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #568060=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #568061=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #568062=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #568063=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #568064=CARTESIAN_POINT('Origin',(38.4725,1.5706625,-0.035)); #568065=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #568066=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #568067=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #568068=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #568069=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #568070=CARTESIAN_POINT('Origin',(38.412275,1.5569156,-0.035)); #568071=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #568072=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #568073=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.)); #568074=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,-0.035)); #568075=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #568076=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #568077=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #568078=CARTESIAN_POINT('',(29.3000000471749,34.565,0.)); #568079=CARTESIAN_POINT('',(28.8500000151391,34.565,-0.0349999999999895)); #568080=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #568081=CARTESIAN_POINT('',(29.4500000454174,34.565,-0.0349999999999895)); #568082=CARTESIAN_POINT('',(29.3000000471749,34.565,-0.0350000000000072)); #568083=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #568084=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #568085=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #568086=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #568087=CARTESIAN_POINT('',(29.4499999848609,33.435,-0.0349999999999895)); #568088=CARTESIAN_POINT('Origin',(29.45,34.,-0.0349999999999895)); #568089=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #568090=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #568091=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #568092=CARTESIAN_POINT('',(29.0000000017575,33.435,0.)); #568093=CARTESIAN_POINT('',(28.8499999545827,33.435,-0.0349999999999895)); #568094=CARTESIAN_POINT('',(29.0000000017575,33.435,-0.0350000000000072)); #568095=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #568096=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #568097=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #568098=CARTESIAN_POINT('Origin',(28.85,34.,-0.0349999999999895)); #568099=CARTESIAN_POINT('Origin',(29.489294,33.1,-0.035)); #568100=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #568101=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #568102=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #568103=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #568104=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #568105=CARTESIAN_POINT('',(29.489294,33.1,0.)); #568106=CARTESIAN_POINT('',(29.489294,33.1,0.)); #568107=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #568108=CARTESIAN_POINT('Origin',(28.810706,33.1,-0.035)); #568109=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #568110=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #568111=CARTESIAN_POINT('',(28.810706,33.1,0.)); #568112=CARTESIAN_POINT('',(28.810706,33.1,0.)); #568113=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #568114=CARTESIAN_POINT('Origin',(28.732416,33.10685,-0.035)); #568115=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #568116=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #568117=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #568118=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #568119=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #568120=CARTESIAN_POINT('Origin',(28.655019,33.120497,-0.035)); #568121=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #568122=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #568123=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #568124=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #568125=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #568126=CARTESIAN_POINT('Origin',(28.579106,33.140838,-0.035)); #568127=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #568128=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #568129=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #568130=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #568131=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #568132=CARTESIAN_POINT('Origin',(28.505256,33.167716,-0.035)); #568133=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #568134=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #568135=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #568136=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #568137=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #568138=CARTESIAN_POINT('Origin',(28.434031,33.200931,-0.035)); #568139=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #568140=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #568141=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #568142=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #568143=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #568144=CARTESIAN_POINT('Origin',(28.365969,33.240225,-0.035)); #568145=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #568146=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #568147=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #568148=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #568149=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #568150=CARTESIAN_POINT('Origin',(28.301594,33.285303,-0.035)); #568151=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #568152=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #568153=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #568154=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #568155=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #568156=CARTESIAN_POINT('Origin',(28.241391,33.335819,-0.035)); #568157=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #568158=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #568159=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #568160=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #568161=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #568162=CARTESIAN_POINT('Origin',(28.185819,33.391391,-0.035)); #568163=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #568164=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #568165=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #568166=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #568167=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #568168=CARTESIAN_POINT('Origin',(28.135303,33.451594,-0.035)); #568169=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #568170=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #568171=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #568172=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #568173=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #568174=CARTESIAN_POINT('Origin',(28.090225,33.515969,-0.035)); #568175=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #568176=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #568177=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #568178=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #568179=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #568180=CARTESIAN_POINT('Origin',(28.050931,33.584031,-0.035)); #568181=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #568182=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #568183=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #568184=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #568185=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #568186=CARTESIAN_POINT('Origin',(28.017716,33.655256,-0.035)); #568187=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #568188=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #568189=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #568190=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #568191=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #568192=CARTESIAN_POINT('Origin',(27.990838,33.729106,-0.035)); #568193=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #568194=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #568195=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #568196=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #568197=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #568198=CARTESIAN_POINT('Origin',(27.970497,33.805019,-0.035)); #568199=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #568200=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #568201=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #568202=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #568203=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #568204=CARTESIAN_POINT('Origin',(27.95685,33.882416,-0.035)); #568205=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #568206=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #568207=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #568208=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #568209=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #568210=CARTESIAN_POINT('Origin',(27.95,33.960706,-0.035)); #568211=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #568212=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #568213=CARTESIAN_POINT('',(27.95,33.960706,0.)); #568214=CARTESIAN_POINT('',(27.95,33.960706,0.)); #568215=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #568216=CARTESIAN_POINT('Origin',(27.95,34.039294,-0.035)); #568217=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #568218=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #568219=CARTESIAN_POINT('',(27.95,34.039294,0.)); #568220=CARTESIAN_POINT('',(27.95,34.039294,0.)); #568221=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #568222=CARTESIAN_POINT('Origin',(27.95685,34.117584,-0.035)); #568223=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #568224=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #568225=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #568226=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #568227=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #568228=CARTESIAN_POINT('Origin',(27.970497,34.194981,-0.035)); #568229=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #568230=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #568231=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #568232=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #568233=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #568234=CARTESIAN_POINT('Origin',(27.990838,34.270894,-0.035)); #568235=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #568236=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #568237=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #568238=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #568239=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #568240=CARTESIAN_POINT('Origin',(28.017716,34.344744,-0.035)); #568241=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #568242=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #568243=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #568244=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #568245=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #568246=CARTESIAN_POINT('Origin',(28.050931,34.415969,-0.035)); #568247=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #568248=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #568249=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #568250=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #568251=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #568252=CARTESIAN_POINT('Origin',(28.090225,34.484031,-0.035)); #568253=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #568254=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #568255=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #568256=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #568257=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #568258=CARTESIAN_POINT('Origin',(28.135303,34.548406,-0.035)); #568259=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #568260=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #568261=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #568262=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #568263=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #568264=CARTESIAN_POINT('Origin',(28.185819,34.608609,-0.035)); #568265=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #568266=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #568267=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #568268=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #568269=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #568270=CARTESIAN_POINT('Origin',(28.241391,34.664181,-0.035)); #568271=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #568272=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #568273=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #568274=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #568275=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #568276=CARTESIAN_POINT('Origin',(28.301594,34.714697,-0.035)); #568277=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #568278=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #568279=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #568280=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #568281=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #568282=CARTESIAN_POINT('Origin',(28.365969,34.759775,-0.035)); #568283=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #568284=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #568285=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #568286=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #568287=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #568288=CARTESIAN_POINT('Origin',(28.434031,34.799069,-0.035)); #568289=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #568290=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #568291=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #568292=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #568293=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #568294=CARTESIAN_POINT('Origin',(28.505256,34.832284,-0.035)); #568295=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #568296=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #568297=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #568298=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #568299=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #568300=CARTESIAN_POINT('Origin',(28.579106,34.859163,-0.035)); #568301=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #568302=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #568303=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #568304=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #568305=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #568306=CARTESIAN_POINT('Origin',(28.655019,34.879503,-0.035)); #568307=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #568308=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #568309=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #568310=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #568311=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #568312=CARTESIAN_POINT('Origin',(28.732416,34.89315,-0.035)); #568313=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #568314=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #568315=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #568316=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #568317=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #568318=CARTESIAN_POINT('Origin',(28.810706,34.9,-0.035)); #568319=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #568320=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #568321=CARTESIAN_POINT('',(28.810706,34.9,0.)); #568322=CARTESIAN_POINT('',(28.810706,34.9,0.)); #568323=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #568324=CARTESIAN_POINT('Origin',(29.489294,34.9,-0.035)); #568325=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #568326=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #568327=CARTESIAN_POINT('',(29.489294,34.9,0.)); #568328=CARTESIAN_POINT('',(29.489294,34.9,0.)); #568329=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #568330=CARTESIAN_POINT('Origin',(29.567584,34.89315,-0.035)); #568331=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #568332=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #568333=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #568334=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #568335=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #568336=CARTESIAN_POINT('Origin',(29.644981,34.879503,-0.035)); #568337=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #568338=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #568339=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #568340=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #568341=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #568342=CARTESIAN_POINT('Origin',(29.720894,34.859163,-0.035)); #568343=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #568344=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #568345=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #568346=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #568347=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #568348=CARTESIAN_POINT('Origin',(29.794744,34.832284,-0.035)); #568349=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #568350=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #568351=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #568352=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #568353=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #568354=CARTESIAN_POINT('Origin',(29.865969,34.799069,-0.035)); #568355=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #568356=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #568357=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #568358=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #568359=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #568360=CARTESIAN_POINT('Origin',(29.934031,34.759775,-0.035)); #568361=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #568362=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #568363=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #568364=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #568365=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #568366=CARTESIAN_POINT('Origin',(29.998406,34.714697,-0.035)); #568367=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #568368=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #568369=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #568370=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #568371=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #568372=CARTESIAN_POINT('Origin',(30.058609,34.664181,-0.035)); #568373=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #568374=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #568375=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #568376=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #568377=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #568378=CARTESIAN_POINT('Origin',(30.114181,34.608609,-0.035)); #568379=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #568380=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #568381=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #568382=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #568383=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #568384=CARTESIAN_POINT('Origin',(30.164697,34.548406,-0.035)); #568385=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #568386=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #568387=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #568388=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #568389=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #568390=CARTESIAN_POINT('Origin',(30.209775,34.484031,-0.035)); #568391=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #568392=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #568393=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #568394=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #568395=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #568396=CARTESIAN_POINT('Origin',(30.249069,34.415969,-0.035)); #568397=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #568398=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #568399=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #568400=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #568401=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #568402=CARTESIAN_POINT('Origin',(30.282284,34.344744,-0.035)); #568403=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #568404=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #568405=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #568406=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #568407=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #568408=CARTESIAN_POINT('Origin',(30.309163,34.270894,-0.035)); #568409=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #568410=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #568411=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #568412=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #568413=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #568414=CARTESIAN_POINT('Origin',(30.329503,34.194981,-0.035)); #568415=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #568416=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #568417=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #568418=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #568419=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #568420=CARTESIAN_POINT('Origin',(30.34315,34.117584,-0.035)); #568421=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #568422=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #568423=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #568424=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #568425=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #568426=CARTESIAN_POINT('Origin',(30.35,34.039294,-0.035)); #568427=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #568428=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #568429=CARTESIAN_POINT('',(30.35,34.039294,0.)); #568430=CARTESIAN_POINT('',(30.35,34.039294,0.)); #568431=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #568432=CARTESIAN_POINT('Origin',(30.35,33.960706,-0.035)); #568433=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #568434=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #568435=CARTESIAN_POINT('',(30.35,33.960706,0.)); #568436=CARTESIAN_POINT('',(30.35,33.960706,0.)); #568437=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #568438=CARTESIAN_POINT('Origin',(30.34315,33.882416,-0.035)); #568439=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #568440=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #568441=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #568442=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #568443=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #568444=CARTESIAN_POINT('Origin',(30.329503,33.805019,-0.035)); #568445=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #568446=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #568447=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #568448=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #568449=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #568450=CARTESIAN_POINT('Origin',(30.309163,33.729106,-0.035)); #568451=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #568452=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #568453=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #568454=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #568455=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #568456=CARTESIAN_POINT('Origin',(30.282284,33.655256,-0.035)); #568457=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #568458=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #568459=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #568460=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #568461=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #568462=CARTESIAN_POINT('Origin',(30.249069,33.584031,-0.035)); #568463=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #568464=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #568465=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #568466=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #568467=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #568468=CARTESIAN_POINT('Origin',(30.209775,33.515969,-0.035)); #568469=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #568470=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #568471=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #568472=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #568473=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #568474=CARTESIAN_POINT('Origin',(30.164697,33.451594,-0.035)); #568475=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #568476=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #568477=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #568478=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #568479=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #568480=CARTESIAN_POINT('Origin',(30.114181,33.391391,-0.035)); #568481=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #568482=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #568483=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #568484=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #568485=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #568486=CARTESIAN_POINT('Origin',(30.058609,33.335819,-0.035)); #568487=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #568488=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #568489=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #568490=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #568491=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #568492=CARTESIAN_POINT('Origin',(29.998406,33.285303,-0.035)); #568493=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #568494=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #568495=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #568496=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #568497=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #568498=CARTESIAN_POINT('Origin',(29.934031,33.240225,-0.035)); #568499=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #568500=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #568501=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #568502=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #568503=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #568504=CARTESIAN_POINT('Origin',(29.865969,33.200931,-0.035)); #568505=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #568506=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #568507=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #568508=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #568509=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #568510=CARTESIAN_POINT('Origin',(29.794744,33.167716,-0.035)); #568511=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #568512=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #568513=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #568514=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #568515=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #568516=CARTESIAN_POINT('Origin',(29.720894,33.140838,-0.035)); #568517=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #568518=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #568519=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #568520=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #568521=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #568522=CARTESIAN_POINT('Origin',(29.644981,33.120497,-0.035)); #568523=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #568524=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #568525=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #568526=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #568527=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #568528=CARTESIAN_POINT('Origin',(29.567584,33.10685,-0.035)); #568529=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #568530=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #568531=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.)); #568532=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,-0.035)); #568533=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #568534=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #568535=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #568536=CARTESIAN_POINT('',(29.995,6.47999999235904,0.)); #568537=CARTESIAN_POINT('',(29.995,7.07999999155961,-0.0349999999999895)); #568538=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #568539=CARTESIAN_POINT('',(29.995,6.27999997467882,-0.0349999999999895)); #568540=CARTESIAN_POINT('',(29.995,6.47999999235904,-0.0350000000000072)); #568541=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #568542=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #568543=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #568544=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #568545=CARTESIAN_POINT('',(29.365,6.28000000844039,-0.0349999999999895)); #568546=CARTESIAN_POINT('Origin',(29.68,6.28,-0.0349999999999895)); #568547=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #568548=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #568549=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #568550=CARTESIAN_POINT('',(29.365,6.88000001768022,0.)); #568551=CARTESIAN_POINT('',(29.365,7.08000002532118,-0.0349999999999895)); #568552=CARTESIAN_POINT('',(29.365,6.88000001768022,-0.0350000000000072)); #568553=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #568554=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #568555=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #568556=CARTESIAN_POINT('Origin',(29.68,7.08,-0.0349999999999895)); #568557=CARTESIAN_POINT('Origin',(29.710888,5.73,-0.035)); #568558=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #568559=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #568560=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #568561=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #568562=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #568563=CARTESIAN_POINT('',(29.710888,5.73,0.)); #568564=CARTESIAN_POINT('',(29.710888,5.73,0.)); #568565=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #568566=CARTESIAN_POINT('Origin',(29.649113,5.73,-0.035)); #568567=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #568568=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #568569=CARTESIAN_POINT('',(29.649113,5.73,0.)); #568570=CARTESIAN_POINT('',(29.649113,5.73,0.)); #568571=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #568572=CARTESIAN_POINT('Origin',(29.587725,5.7369156,-0.035)); #568573=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #568574=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #568575=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #568576=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #568577=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #568578=CARTESIAN_POINT('Origin',(29.5275,5.7506625,-0.035)); #568579=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #568580=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #568581=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #568582=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #568583=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #568584=CARTESIAN_POINT('Origin',(29.469194,5.7710656,-0.035)); #568585=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #568586=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #568587=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #568588=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #568589=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #568590=CARTESIAN_POINT('Origin',(29.413534,5.7978688,-0.035)); #568591=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #568592=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #568593=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #568594=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #568595=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #568596=CARTESIAN_POINT('Origin',(29.361228,5.8307344,-0.035)); #568597=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #568598=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #568599=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #568600=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #568601=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #568602=CARTESIAN_POINT('Origin',(29.312931,5.86925,-0.035)); #568603=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #568604=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #568605=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #568606=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #568607=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #568608=CARTESIAN_POINT('Origin',(29.26925,5.9129313,-0.035)); #568609=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #568610=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #568611=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #568612=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #568613=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #568614=CARTESIAN_POINT('Origin',(29.230734,5.9612281,-0.035)); #568615=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #568616=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #568617=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #568618=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #568619=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #568620=CARTESIAN_POINT('Origin',(29.197869,6.0135344,-0.035)); #568621=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #568622=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #568623=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #568624=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #568625=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #568626=CARTESIAN_POINT('Origin',(29.171066,6.0691938,-0.035)); #568627=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #568628=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #568629=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #568630=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #568631=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #568632=CARTESIAN_POINT('Origin',(29.150663,6.1275,-0.035)); #568633=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #568634=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #568635=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #568636=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #568637=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #568638=CARTESIAN_POINT('Origin',(29.136916,6.187725,-0.035)); #568639=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #568640=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #568641=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #568642=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #568643=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #568644=CARTESIAN_POINT('Origin',(29.13,6.2491125,-0.035)); #568645=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #568646=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #568647=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #568648=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #568649=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #568650=CARTESIAN_POINT('Origin',(29.13,7.1108875,-0.035)); #568651=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #568652=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #568653=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #568654=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #568655=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #568656=CARTESIAN_POINT('Origin',(29.136916,7.172275,-0.035)); #568657=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #568658=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #568659=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #568660=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #568661=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #568662=CARTESIAN_POINT('Origin',(29.150663,7.2325,-0.035)); #568663=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #568664=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #568665=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #568666=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #568667=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #568668=CARTESIAN_POINT('Origin',(29.171066,7.2908063,-0.035)); #568669=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #568670=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #568671=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #568672=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #568673=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #568674=CARTESIAN_POINT('Origin',(29.197869,7.3464656,-0.035)); #568675=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #568676=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #568677=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #568678=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #568679=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #568680=CARTESIAN_POINT('Origin',(29.230734,7.3987719,-0.035)); #568681=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #568682=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #568683=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #568684=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #568685=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #568686=CARTESIAN_POINT('Origin',(29.26925,7.4470688,-0.035)); #568687=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #568688=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #568689=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #568690=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #568691=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #568692=CARTESIAN_POINT('Origin',(29.312931,7.49075,-0.035)); #568693=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #568694=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #568695=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #568696=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #568697=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #568698=CARTESIAN_POINT('Origin',(29.361228,7.5292656,-0.035)); #568699=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #568700=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #568701=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #568702=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #568703=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #568704=CARTESIAN_POINT('Origin',(29.413534,7.5621313,-0.035)); #568705=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #568706=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #568707=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #568708=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #568709=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #568710=CARTESIAN_POINT('Origin',(29.469194,7.5889344,-0.035)); #568711=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #568712=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #568713=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #568714=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #568715=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #568716=CARTESIAN_POINT('Origin',(29.5275,7.6093375,-0.035)); #568717=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #568718=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #568719=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #568720=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #568721=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #568722=CARTESIAN_POINT('Origin',(29.587725,7.6230844,-0.035)); #568723=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #568724=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #568725=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #568726=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #568727=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #568728=CARTESIAN_POINT('Origin',(29.649113,7.63,-0.035)); #568729=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #568730=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #568731=CARTESIAN_POINT('',(29.649113,7.63,0.)); #568732=CARTESIAN_POINT('',(29.649113,7.63,0.)); #568733=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #568734=CARTESIAN_POINT('Origin',(29.710888,7.63,-0.035)); #568735=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #568736=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #568737=CARTESIAN_POINT('',(29.710888,7.63,0.)); #568738=CARTESIAN_POINT('',(29.710888,7.63,0.)); #568739=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #568740=CARTESIAN_POINT('Origin',(29.772275,7.6230844,-0.035)); #568741=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #568742=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #568743=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #568744=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #568745=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #568746=CARTESIAN_POINT('Origin',(29.8325,7.6093375,-0.035)); #568747=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #568748=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #568749=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #568750=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #568751=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #568752=CARTESIAN_POINT('Origin',(29.890806,7.5889344,-0.035)); #568753=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #568754=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #568755=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #568756=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #568757=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #568758=CARTESIAN_POINT('Origin',(29.946466,7.5621313,-0.035)); #568759=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #568760=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #568761=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #568762=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #568763=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #568764=CARTESIAN_POINT('Origin',(29.998772,7.5292656,-0.035)); #568765=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #568766=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #568767=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #568768=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #568769=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #568770=CARTESIAN_POINT('Origin',(30.047069,7.49075,-0.035)); #568771=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #568772=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #568773=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #568774=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #568775=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #568776=CARTESIAN_POINT('Origin',(30.09075,7.4470688,-0.035)); #568777=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #568778=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #568779=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #568780=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #568781=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #568782=CARTESIAN_POINT('Origin',(30.129266,7.3987719,-0.035)); #568783=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #568784=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #568785=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #568786=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #568787=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #568788=CARTESIAN_POINT('Origin',(30.162131,7.3464656,-0.035)); #568789=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #568790=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #568791=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #568792=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #568793=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #568794=CARTESIAN_POINT('Origin',(30.188934,7.2908063,-0.035)); #568795=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #568796=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #568797=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #568798=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #568799=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #568800=CARTESIAN_POINT('Origin',(30.209338,7.2325,-0.035)); #568801=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #568802=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #568803=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #568804=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #568805=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #568806=CARTESIAN_POINT('Origin',(30.223084,7.172275,-0.035)); #568807=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #568808=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #568809=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #568810=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #568811=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #568812=CARTESIAN_POINT('Origin',(30.23,7.1108875,-0.035)); #568813=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #568814=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #568815=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #568816=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #568817=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #568818=CARTESIAN_POINT('Origin',(30.23,6.2491125,-0.035)); #568819=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #568820=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #568821=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #568822=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #568823=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #568824=CARTESIAN_POINT('Origin',(30.223084,6.187725,-0.035)); #568825=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #568826=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #568827=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #568828=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #568829=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #568830=CARTESIAN_POINT('Origin',(30.209338,6.1275,-0.035)); #568831=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #568832=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #568833=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #568834=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #568835=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #568836=CARTESIAN_POINT('Origin',(30.188934,6.0691938,-0.035)); #568837=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #568838=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #568839=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #568840=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #568841=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #568842=CARTESIAN_POINT('Origin',(30.162131,6.0135344,-0.035)); #568843=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #568844=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #568845=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #568846=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #568847=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #568848=CARTESIAN_POINT('Origin',(30.129266,5.9612281,-0.035)); #568849=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #568850=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #568851=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #568852=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #568853=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #568854=CARTESIAN_POINT('Origin',(30.09075,5.9129313,-0.035)); #568855=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #568856=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #568857=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #568858=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #568859=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #568860=CARTESIAN_POINT('Origin',(30.047069,5.86925,-0.035)); #568861=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #568862=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #568863=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #568864=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #568865=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #568866=CARTESIAN_POINT('Origin',(29.998772,5.8307344,-0.035)); #568867=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #568868=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #568869=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #568870=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #568871=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #568872=CARTESIAN_POINT('Origin',(29.946466,5.7978688,-0.035)); #568873=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #568874=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #568875=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #568876=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #568877=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #568878=CARTESIAN_POINT('Origin',(29.890806,5.7710656,-0.035)); #568879=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #568880=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #568881=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #568882=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #568883=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #568884=CARTESIAN_POINT('Origin',(29.8325,5.7506625,-0.035)); #568885=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #568886=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #568887=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #568888=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #568889=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #568890=CARTESIAN_POINT('Origin',(29.772275,5.7369156,-0.035)); #568891=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #568892=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #568893=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.)); #568894=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,-0.035)); #568895=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #568896=CARTESIAN_POINT('',(43.235,34.,-0.0349999999999895)); #568897=CARTESIAN_POINT('Origin',(43.75,34.,-0.0349999999999895)); #568898=CARTESIAN_POINT('',(43.235,34.,0.)); #568899=CARTESIAN_POINT('',(43.235,34.,-200.)); #568900=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #568901=CARTESIAN_POINT('Origin',(44.575,33.175,-0.035)); #568902=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #568903=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #568904=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #568905=CARTESIAN_POINT('',(44.575,34.825,0.)); #568906=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #568907=CARTESIAN_POINT('',(44.575,33.175,0.)); #568908=CARTESIAN_POINT('',(44.575,33.175,0.)); #568909=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #568910=CARTESIAN_POINT('Origin',(42.925,33.175,-0.035)); #568911=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #568912=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #568913=CARTESIAN_POINT('',(42.925,33.175,0.)); #568914=CARTESIAN_POINT('',(42.925,33.175,0.)); #568915=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #568916=CARTESIAN_POINT('Origin',(42.925,34.825,-0.035)); #568917=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #568918=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #568919=CARTESIAN_POINT('',(42.925,34.825,0.)); #568920=CARTESIAN_POINT('',(42.925,34.825,0.)); #568921=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #568922=CARTESIAN_POINT('Origin',(44.575,34.825,-0.035)); #568923=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #568924=CARTESIAN_POINT('',(44.575,34.825,0.)); #568925=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #568926=CARTESIAN_POINT('Origin',(43.75,34.,-0.035)); #568927=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #568928=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #568929=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #568930=CARTESIAN_POINT('',(29.995,2.29999998733941,0.)); #568931=CARTESIAN_POINT('',(29.995,2.89999999155961,-0.0349999999999895)); #568932=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #568933=CARTESIAN_POINT('',(29.995,2.09999997467882,-0.0349999999999895)); #568934=CARTESIAN_POINT('',(29.995,2.29999998733941,-0.0350000000000072)); #568935=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #568936=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #568937=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #568938=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #568939=CARTESIAN_POINT('',(29.365,2.10000000844039,-0.0349999999999895)); #568940=CARTESIAN_POINT('Origin',(29.68,2.1,-0.0349999999999895)); #568941=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #568942=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #568943=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #568944=CARTESIAN_POINT('',(29.365,2.70000001266059,0.)); #568945=CARTESIAN_POINT('',(29.365,2.90000002532118,-0.0349999999999895)); #568946=CARTESIAN_POINT('',(29.365,2.70000001266059,-0.0350000000000072)); #568947=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #568948=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #568949=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #568950=CARTESIAN_POINT('Origin',(29.68,2.9,-0.0349999999999895)); #568951=CARTESIAN_POINT('Origin',(29.710888,1.55,-0.035)); #568952=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #568953=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #568954=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #568955=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #568956=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #568957=CARTESIAN_POINT('',(29.710888,1.55,0.)); #568958=CARTESIAN_POINT('',(29.710888,1.55,0.)); #568959=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #568960=CARTESIAN_POINT('Origin',(29.649113,1.55,-0.035)); #568961=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #568962=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #568963=CARTESIAN_POINT('',(29.649113,1.55,0.)); #568964=CARTESIAN_POINT('',(29.649113,1.55,0.)); #568965=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #568966=CARTESIAN_POINT('Origin',(29.587725,1.5569156,-0.035)); #568967=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #568968=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #568969=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #568970=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #568971=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #568972=CARTESIAN_POINT('Origin',(29.5275,1.5706625,-0.035)); #568973=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #568974=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #568975=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #568976=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #568977=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #568978=CARTESIAN_POINT('Origin',(29.469194,1.5910656,-0.035)); #568979=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #568980=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #568981=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #568982=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #568983=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #568984=CARTESIAN_POINT('Origin',(29.413534,1.6178687,-0.035)); #568985=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #568986=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #568987=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #568988=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #568989=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #568990=CARTESIAN_POINT('Origin',(29.361228,1.6507344,-0.035)); #568991=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #568992=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #568993=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #568994=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #568995=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #568996=CARTESIAN_POINT('Origin',(29.312931,1.68925,-0.035)); #568997=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #568998=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #568999=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #569000=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #569001=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #569002=CARTESIAN_POINT('Origin',(29.26925,1.7329313,-0.035)); #569003=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #569004=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #569005=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #569006=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #569007=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #569008=CARTESIAN_POINT('Origin',(29.230734,1.7812281,-0.035)); #569009=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #569010=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #569011=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #569012=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #569013=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #569014=CARTESIAN_POINT('Origin',(29.197869,1.8335344,-0.035)); #569015=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #569016=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #569017=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #569018=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #569019=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #569020=CARTESIAN_POINT('Origin',(29.171066,1.8891938,-0.035)); #569021=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #569022=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #569023=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #569024=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #569025=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #569026=CARTESIAN_POINT('Origin',(29.150663,1.9475,-0.035)); #569027=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #569028=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #569029=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #569030=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #569031=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #569032=CARTESIAN_POINT('Origin',(29.136916,2.007725,-0.035)); #569033=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #569034=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #569035=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #569036=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #569037=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #569038=CARTESIAN_POINT('Origin',(29.13,2.0691125,-0.035)); #569039=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #569040=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #569041=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #569042=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #569043=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #569044=CARTESIAN_POINT('Origin',(29.13,2.9308875,-0.035)); #569045=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #569046=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #569047=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #569048=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #569049=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #569050=CARTESIAN_POINT('Origin',(29.136916,2.992275,-0.035)); #569051=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #569052=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #569053=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #569054=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #569055=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #569056=CARTESIAN_POINT('Origin',(29.150663,3.0525,-0.035)); #569057=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #569058=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #569059=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #569060=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #569061=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #569062=CARTESIAN_POINT('Origin',(29.171066,3.1108062,-0.035)); #569063=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #569064=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #569065=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #569066=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #569067=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #569068=CARTESIAN_POINT('Origin',(29.197869,3.1664656,-0.035)); #569069=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #569070=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #569071=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #569072=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #569073=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #569074=CARTESIAN_POINT('Origin',(29.230734,3.2187719,-0.035)); #569075=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #569076=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #569077=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #569078=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #569079=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #569080=CARTESIAN_POINT('Origin',(29.26925,3.2670687,-0.035)); #569081=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #569082=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #569083=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #569084=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #569085=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #569086=CARTESIAN_POINT('Origin',(29.312931,3.31075,-0.035)); #569087=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #569088=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #569089=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #569090=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #569091=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #569092=CARTESIAN_POINT('Origin',(29.361228,3.3492656,-0.035)); #569093=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #569094=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #569095=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #569096=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #569097=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #569098=CARTESIAN_POINT('Origin',(29.413534,3.3821313,-0.035)); #569099=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #569100=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #569101=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #569102=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #569103=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #569104=CARTESIAN_POINT('Origin',(29.469194,3.4089344,-0.035)); #569105=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #569106=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #569107=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #569108=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #569109=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #569110=CARTESIAN_POINT('Origin',(29.5275,3.4293375,-0.035)); #569111=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #569112=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #569113=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #569114=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #569115=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #569116=CARTESIAN_POINT('Origin',(29.587725,3.4430844,-0.035)); #569117=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #569118=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #569119=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #569120=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #569121=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #569122=CARTESIAN_POINT('Origin',(29.649113,3.45,-0.035)); #569123=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #569124=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #569125=CARTESIAN_POINT('',(29.649113,3.45,0.)); #569126=CARTESIAN_POINT('',(29.649113,3.45,0.)); #569127=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #569128=CARTESIAN_POINT('Origin',(29.710888,3.45,-0.035)); #569129=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #569130=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #569131=CARTESIAN_POINT('',(29.710888,3.45,0.)); #569132=CARTESIAN_POINT('',(29.710888,3.45,0.)); #569133=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #569134=CARTESIAN_POINT('Origin',(29.772275,3.4430844,-0.035)); #569135=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #569136=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #569137=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #569138=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #569139=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #569140=CARTESIAN_POINT('Origin',(29.8325,3.4293375,-0.035)); #569141=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #569142=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #569143=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #569144=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #569145=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #569146=CARTESIAN_POINT('Origin',(29.890806,3.4089344,-0.035)); #569147=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #569148=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #569149=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #569150=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #569151=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #569152=CARTESIAN_POINT('Origin',(29.946466,3.3821313,-0.035)); #569153=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #569154=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #569155=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #569156=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #569157=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #569158=CARTESIAN_POINT('Origin',(29.998772,3.3492656,-0.035)); #569159=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #569160=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #569161=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #569162=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #569163=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #569164=CARTESIAN_POINT('Origin',(30.047069,3.31075,-0.035)); #569165=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #569166=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #569167=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #569168=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #569169=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #569170=CARTESIAN_POINT('Origin',(30.09075,3.2670687,-0.035)); #569171=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #569172=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #569173=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #569174=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #569175=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #569176=CARTESIAN_POINT('Origin',(30.129266,3.2187719,-0.035)); #569177=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #569178=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #569179=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #569180=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #569181=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #569182=CARTESIAN_POINT('Origin',(30.162131,3.1664656,-0.035)); #569183=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #569184=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #569185=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #569186=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #569187=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #569188=CARTESIAN_POINT('Origin',(30.188934,3.1108062,-0.035)); #569189=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #569190=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #569191=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #569192=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #569193=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #569194=CARTESIAN_POINT('Origin',(30.209338,3.0525,-0.035)); #569195=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #569196=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #569197=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #569198=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #569199=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #569200=CARTESIAN_POINT('Origin',(30.223084,2.992275,-0.035)); #569201=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #569202=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #569203=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #569204=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #569205=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #569206=CARTESIAN_POINT('Origin',(30.23,2.9308875,-0.035)); #569207=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #569208=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #569209=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #569210=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #569211=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #569212=CARTESIAN_POINT('Origin',(30.23,2.0691125,-0.035)); #569213=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #569214=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #569215=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #569216=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #569217=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #569218=CARTESIAN_POINT('Origin',(30.223084,2.007725,-0.035)); #569219=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #569220=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #569221=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #569222=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #569223=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #569224=CARTESIAN_POINT('Origin',(30.209338,1.9475,-0.035)); #569225=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #569226=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #569227=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #569228=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #569229=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #569230=CARTESIAN_POINT('Origin',(30.188934,1.8891938,-0.035)); #569231=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #569232=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #569233=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #569234=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #569235=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #569236=CARTESIAN_POINT('Origin',(30.162131,1.8335344,-0.035)); #569237=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #569238=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #569239=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #569240=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #569241=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #569242=CARTESIAN_POINT('Origin',(30.129266,1.7812281,-0.035)); #569243=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #569244=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #569245=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #569246=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #569247=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #569248=CARTESIAN_POINT('Origin',(30.09075,1.7329313,-0.035)); #569249=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #569250=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #569251=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #569252=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #569253=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #569254=CARTESIAN_POINT('Origin',(30.047069,1.68925,-0.035)); #569255=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #569256=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #569257=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #569258=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #569259=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #569260=CARTESIAN_POINT('Origin',(29.998772,1.6507344,-0.035)); #569261=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #569262=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #569263=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #569264=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #569265=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #569266=CARTESIAN_POINT('Origin',(29.946466,1.6178687,-0.035)); #569267=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #569268=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #569269=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #569270=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #569271=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #569272=CARTESIAN_POINT('Origin',(29.890806,1.5910656,-0.035)); #569273=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #569274=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #569275=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #569276=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #569277=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #569278=CARTESIAN_POINT('Origin',(29.8325,1.5706625,-0.035)); #569279=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #569280=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #569281=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #569282=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #569283=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #569284=CARTESIAN_POINT('Origin',(29.772275,1.5569156,-0.035)); #569285=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #569286=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #569287=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.)); #569288=CARTESIAN_POINT('Origin',(29.680000045263,2.5,-0.035)); #569289=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #569290=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #569291=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #569292=CARTESIAN_POINT('',(39.0000000471749,34.565,0.)); #569293=CARTESIAN_POINT('',(38.5500000151391,34.565,-0.0349999999999895)); #569294=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #569295=CARTESIAN_POINT('',(39.1500000454174,34.565,-0.0349999999999895)); #569296=CARTESIAN_POINT('',(39.0000000471749,34.565,-0.0350000000000072)); #569297=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #569298=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #569299=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #569300=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #569301=CARTESIAN_POINT('',(39.1499999848609,33.435,-0.0349999999999895)); #569302=CARTESIAN_POINT('Origin',(39.15,34.,-0.0349999999999895)); #569303=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #569304=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #569305=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #569306=CARTESIAN_POINT('',(38.7000000017575,33.435,0.)); #569307=CARTESIAN_POINT('',(38.5499999545827,33.435,-0.0349999999999895)); #569308=CARTESIAN_POINT('',(38.7000000017575,33.435,-0.0350000000000072)); #569309=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #569310=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #569311=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #569312=CARTESIAN_POINT('Origin',(38.55,34.,-0.0349999999999895)); #569313=CARTESIAN_POINT('Origin',(39.189294,33.1,-0.035)); #569314=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #569315=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #569316=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #569317=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #569318=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #569319=CARTESIAN_POINT('',(39.189294,33.1,0.)); #569320=CARTESIAN_POINT('',(39.189294,33.1,0.)); #569321=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #569322=CARTESIAN_POINT('Origin',(38.510706,33.1,-0.035)); #569323=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #569324=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #569325=CARTESIAN_POINT('',(38.510706,33.1,0.)); #569326=CARTESIAN_POINT('',(38.510706,33.1,0.)); #569327=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #569328=CARTESIAN_POINT('Origin',(38.432416,33.10685,-0.035)); #569329=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #569330=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #569331=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #569332=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #569333=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #569334=CARTESIAN_POINT('Origin',(38.355019,33.120497,-0.035)); #569335=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #569336=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #569337=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #569338=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #569339=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #569340=CARTESIAN_POINT('Origin',(38.279106,33.140838,-0.035)); #569341=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #569342=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #569343=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #569344=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #569345=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #569346=CARTESIAN_POINT('Origin',(38.205256,33.167716,-0.035)); #569347=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #569348=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #569349=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #569350=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #569351=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #569352=CARTESIAN_POINT('Origin',(38.134031,33.200931,-0.035)); #569353=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #569354=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #569355=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #569356=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #569357=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #569358=CARTESIAN_POINT('Origin',(38.065969,33.240225,-0.035)); #569359=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #569360=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #569361=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #569362=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #569363=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #569364=CARTESIAN_POINT('Origin',(38.001594,33.285303,-0.035)); #569365=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #569366=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #569367=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #569368=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #569369=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #569370=CARTESIAN_POINT('Origin',(37.941391,33.335819,-0.035)); #569371=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #569372=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #569373=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #569374=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #569375=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #569376=CARTESIAN_POINT('Origin',(37.885819,33.391391,-0.035)); #569377=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #569378=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #569379=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #569380=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #569381=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #569382=CARTESIAN_POINT('Origin',(37.835303,33.451594,-0.035)); #569383=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #569384=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #569385=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #569386=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #569387=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #569388=CARTESIAN_POINT('Origin',(37.790225,33.515969,-0.035)); #569389=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #569390=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #569391=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #569392=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #569393=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #569394=CARTESIAN_POINT('Origin',(37.750931,33.584031,-0.035)); #569395=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #569396=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #569397=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #569398=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #569399=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #569400=CARTESIAN_POINT('Origin',(37.717716,33.655256,-0.035)); #569401=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #569402=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #569403=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #569404=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #569405=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #569406=CARTESIAN_POINT('Origin',(37.690838,33.729106,-0.035)); #569407=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #569408=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #569409=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #569410=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #569411=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #569412=CARTESIAN_POINT('Origin',(37.670497,33.805019,-0.035)); #569413=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #569414=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #569415=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #569416=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #569417=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #569418=CARTESIAN_POINT('Origin',(37.65685,33.882416,-0.035)); #569419=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #569420=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #569421=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #569422=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #569423=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #569424=CARTESIAN_POINT('Origin',(37.65,33.960706,-0.035)); #569425=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #569426=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #569427=CARTESIAN_POINT('',(37.65,33.960706,0.)); #569428=CARTESIAN_POINT('',(37.65,33.960706,0.)); #569429=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #569430=CARTESIAN_POINT('Origin',(37.65,34.039294,-0.035)); #569431=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #569432=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #569433=CARTESIAN_POINT('',(37.65,34.039294,0.)); #569434=CARTESIAN_POINT('',(37.65,34.039294,0.)); #569435=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #569436=CARTESIAN_POINT('Origin',(37.65685,34.117584,-0.035)); #569437=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #569438=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #569439=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #569440=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #569441=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #569442=CARTESIAN_POINT('Origin',(37.670497,34.194981,-0.035)); #569443=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #569444=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #569445=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #569446=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #569447=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #569448=CARTESIAN_POINT('Origin',(37.690838,34.270894,-0.035)); #569449=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #569450=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #569451=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #569452=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #569453=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #569454=CARTESIAN_POINT('Origin',(37.717716,34.344744,-0.035)); #569455=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #569456=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #569457=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #569458=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #569459=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #569460=CARTESIAN_POINT('Origin',(37.750931,34.415969,-0.035)); #569461=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #569462=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #569463=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #569464=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #569465=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #569466=CARTESIAN_POINT('Origin',(37.790225,34.484031,-0.035)); #569467=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #569468=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #569469=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #569470=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #569471=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #569472=CARTESIAN_POINT('Origin',(37.835303,34.548406,-0.035)); #569473=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #569474=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #569475=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #569476=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #569477=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #569478=CARTESIAN_POINT('Origin',(37.885819,34.608609,-0.035)); #569479=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #569480=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #569481=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #569482=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #569483=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #569484=CARTESIAN_POINT('Origin',(37.941391,34.664181,-0.035)); #569485=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #569486=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #569487=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #569488=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #569489=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #569490=CARTESIAN_POINT('Origin',(38.001594,34.714697,-0.035)); #569491=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #569492=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #569493=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #569494=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #569495=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #569496=CARTESIAN_POINT('Origin',(38.065969,34.759775,-0.035)); #569497=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #569498=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #569499=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #569500=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #569501=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #569502=CARTESIAN_POINT('Origin',(38.134031,34.799069,-0.035)); #569503=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #569504=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #569505=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #569506=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #569507=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #569508=CARTESIAN_POINT('Origin',(38.205256,34.832284,-0.035)); #569509=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #569510=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #569511=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #569512=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #569513=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #569514=CARTESIAN_POINT('Origin',(38.279106,34.859163,-0.035)); #569515=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #569516=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #569517=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #569518=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #569519=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #569520=CARTESIAN_POINT('Origin',(38.355019,34.879503,-0.035)); #569521=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #569522=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #569523=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #569524=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #569525=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #569526=CARTESIAN_POINT('Origin',(38.432416,34.89315,-0.035)); #569527=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #569528=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #569529=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #569530=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #569531=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #569532=CARTESIAN_POINT('Origin',(38.510706,34.9,-0.035)); #569533=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #569534=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #569535=CARTESIAN_POINT('',(38.510706,34.9,0.)); #569536=CARTESIAN_POINT('',(38.510706,34.9,0.)); #569537=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #569538=CARTESIAN_POINT('Origin',(39.189294,34.9,-0.035)); #569539=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #569540=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #569541=CARTESIAN_POINT('',(39.189294,34.9,0.)); #569542=CARTESIAN_POINT('',(39.189294,34.9,0.)); #569543=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #569544=CARTESIAN_POINT('Origin',(39.267584,34.89315,-0.035)); #569545=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #569546=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #569547=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #569548=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #569549=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #569550=CARTESIAN_POINT('Origin',(39.344981,34.879503,-0.035)); #569551=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #569552=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #569553=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #569554=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #569555=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #569556=CARTESIAN_POINT('Origin',(39.420894,34.859163,-0.035)); #569557=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #569558=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #569559=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #569560=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #569561=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #569562=CARTESIAN_POINT('Origin',(39.494744,34.832284,-0.035)); #569563=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #569564=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #569565=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #569566=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #569567=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #569568=CARTESIAN_POINT('Origin',(39.565969,34.799069,-0.035)); #569569=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #569570=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #569571=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #569572=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #569573=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #569574=CARTESIAN_POINT('Origin',(39.634031,34.759775,-0.035)); #569575=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #569576=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #569577=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #569578=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #569579=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #569580=CARTESIAN_POINT('Origin',(39.698406,34.714697,-0.035)); #569581=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #569582=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #569583=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #569584=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #569585=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #569586=CARTESIAN_POINT('Origin',(39.758609,34.664181,-0.035)); #569587=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #569588=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #569589=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #569590=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #569591=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #569592=CARTESIAN_POINT('Origin',(39.814181,34.608609,-0.035)); #569593=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #569594=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #569595=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #569596=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #569597=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #569598=CARTESIAN_POINT('Origin',(39.864697,34.548406,-0.035)); #569599=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #569600=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #569601=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #569602=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #569603=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #569604=CARTESIAN_POINT('Origin',(39.909775,34.484031,-0.035)); #569605=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #569606=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #569607=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #569608=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #569609=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #569610=CARTESIAN_POINT('Origin',(39.949069,34.415969,-0.035)); #569611=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #569612=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #569613=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #569614=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #569615=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #569616=CARTESIAN_POINT('Origin',(39.982284,34.344744,-0.035)); #569617=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #569618=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #569619=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #569620=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #569621=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #569622=CARTESIAN_POINT('Origin',(40.009163,34.270894,-0.035)); #569623=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #569624=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #569625=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #569626=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #569627=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #569628=CARTESIAN_POINT('Origin',(40.029503,34.194981,-0.035)); #569629=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #569630=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #569631=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #569632=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #569633=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #569634=CARTESIAN_POINT('Origin',(40.04315,34.117584,-0.035)); #569635=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #569636=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #569637=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #569638=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #569639=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #569640=CARTESIAN_POINT('Origin',(40.05,34.039294,-0.035)); #569641=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #569642=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #569643=CARTESIAN_POINT('',(40.05,34.039294,0.)); #569644=CARTESIAN_POINT('',(40.05,34.039294,0.)); #569645=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #569646=CARTESIAN_POINT('Origin',(40.05,33.960706,-0.035)); #569647=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #569648=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #569649=CARTESIAN_POINT('',(40.05,33.960706,0.)); #569650=CARTESIAN_POINT('',(40.05,33.960706,0.)); #569651=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #569652=CARTESIAN_POINT('Origin',(40.04315,33.882416,-0.035)); #569653=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #569654=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #569655=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #569656=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #569657=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #569658=CARTESIAN_POINT('Origin',(40.029503,33.805019,-0.035)); #569659=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #569660=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #569661=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #569662=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #569663=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #569664=CARTESIAN_POINT('Origin',(40.009163,33.729106,-0.035)); #569665=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #569666=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #569667=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #569668=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #569669=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #569670=CARTESIAN_POINT('Origin',(39.982284,33.655256,-0.035)); #569671=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #569672=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #569673=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #569674=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #569675=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #569676=CARTESIAN_POINT('Origin',(39.949069,33.584031,-0.035)); #569677=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #569678=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #569679=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #569680=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #569681=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #569682=CARTESIAN_POINT('Origin',(39.909775,33.515969,-0.035)); #569683=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #569684=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #569685=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #569686=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #569687=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #569688=CARTESIAN_POINT('Origin',(39.864697,33.451594,-0.035)); #569689=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #569690=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #569691=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #569692=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #569693=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #569694=CARTESIAN_POINT('Origin',(39.814181,33.391391,-0.035)); #569695=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #569696=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #569697=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #569698=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #569699=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #569700=CARTESIAN_POINT('Origin',(39.758609,33.335819,-0.035)); #569701=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #569702=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #569703=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #569704=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #569705=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #569706=CARTESIAN_POINT('Origin',(39.698406,33.285303,-0.035)); #569707=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #569708=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #569709=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #569710=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #569711=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #569712=CARTESIAN_POINT('Origin',(39.634031,33.240225,-0.035)); #569713=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #569714=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #569715=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #569716=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #569717=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #569718=CARTESIAN_POINT('Origin',(39.565969,33.200931,-0.035)); #569719=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #569720=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #569721=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #569722=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #569723=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #569724=CARTESIAN_POINT('Origin',(39.494744,33.167716,-0.035)); #569725=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #569726=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #569727=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #569728=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #569729=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #569730=CARTESIAN_POINT('Origin',(39.420894,33.140838,-0.035)); #569731=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #569732=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #569733=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #569734=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #569735=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #569736=CARTESIAN_POINT('Origin',(39.344981,33.120497,-0.035)); #569737=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #569738=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #569739=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #569740=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #569741=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #569742=CARTESIAN_POINT('Origin',(39.267584,33.10685,-0.035)); #569743=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #569744=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #569745=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.)); #569746=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,-0.035)); #569747=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #569748=CARTESIAN_POINT('',(11.785,34.,-0.0349999999999895)); #569749=CARTESIAN_POINT('Origin',(12.25,34.,-0.0349999999999895)); #569750=CARTESIAN_POINT('',(11.785,34.,0.)); #569751=CARTESIAN_POINT('',(11.785,34.,-200.)); #569752=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #569753=CARTESIAN_POINT('Origin',(12.286844,33.25,-0.035)); #569754=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #569755=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #569756=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #569757=CARTESIAN_POINT('',(12.360181,33.257222,0.)); #569758=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #569759=CARTESIAN_POINT('',(12.286844,33.25,0.)); #569760=CARTESIAN_POINT('',(12.286844,33.25,0.)); #569761=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #569762=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #569763=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #569764=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #569765=CARTESIAN_POINT('',(12.139819,34.742778,0.)); #569766=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.)); #569767=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #569768=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #569769=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #569770=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #569771=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.)); #569772=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,-0.035)); #569773=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #569774=CARTESIAN_POINT('',(23.735,34.,-0.0349999999999895)); #569775=CARTESIAN_POINT('Origin',(24.25,34.,-0.0349999999999895)); #569776=CARTESIAN_POINT('',(23.735,34.,0.)); #569777=CARTESIAN_POINT('',(23.735,34.,-200.)); #569778=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #569779=CARTESIAN_POINT('Origin',(24.290528,33.175,-0.035)); #569780=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #569781=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #569782=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #569783=CARTESIAN_POINT('',(24.3712,33.182944,0.)); #569784=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #569785=CARTESIAN_POINT('',(24.290528,33.175,0.)); #569786=CARTESIAN_POINT('',(24.290528,33.175,0.)); #569787=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #569788=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #569789=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #569790=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #569791=CARTESIAN_POINT('',(24.1288,34.817056,0.)); #569792=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.)); #569793=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #569794=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #569795=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #569796=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #569797=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.)); #569798=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,-0.035)); #569799=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #569800=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #569801=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #569802=CARTESIAN_POINT('',(38.635,6.47999999235904,0.)); #569803=CARTESIAN_POINT('',(38.635,7.07999999155961,-0.0349999999999895)); #569804=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #569805=CARTESIAN_POINT('',(38.635,6.27999997467882,-0.0349999999999895)); #569806=CARTESIAN_POINT('',(38.635,6.47999999235904,-0.0350000000000072)); #569807=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #569808=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #569809=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #569810=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #569811=CARTESIAN_POINT('',(38.005,6.28000000844039,-0.0349999999999895)); #569812=CARTESIAN_POINT('Origin',(38.32,6.28,-0.0349999999999895)); #569813=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #569814=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #569815=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #569816=CARTESIAN_POINT('',(38.005,6.88000001768022,0.)); #569817=CARTESIAN_POINT('',(38.005,7.08000002532118,-0.0349999999999895)); #569818=CARTESIAN_POINT('',(38.005,6.88000001768022,-0.0350000000000072)); #569819=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #569820=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #569821=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #569822=CARTESIAN_POINT('Origin',(38.32,7.08,-0.0349999999999895)); #569823=CARTESIAN_POINT('Origin',(38.350887,5.73,-0.035)); #569824=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #569825=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #569826=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #569827=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #569828=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #569829=CARTESIAN_POINT('',(38.350887,5.73,0.)); #569830=CARTESIAN_POINT('',(38.350887,5.73,0.)); #569831=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #569832=CARTESIAN_POINT('Origin',(38.289113,5.73,-0.035)); #569833=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #569834=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #569835=CARTESIAN_POINT('',(38.289113,5.73,0.)); #569836=CARTESIAN_POINT('',(38.289113,5.73,0.)); #569837=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #569838=CARTESIAN_POINT('Origin',(38.227725,5.7369156,-0.035)); #569839=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #569840=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #569841=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #569842=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #569843=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #569844=CARTESIAN_POINT('Origin',(38.1675,5.7506625,-0.035)); #569845=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #569846=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #569847=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #569848=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #569849=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #569850=CARTESIAN_POINT('Origin',(38.109194,5.7710656,-0.035)); #569851=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #569852=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #569853=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #569854=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #569855=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #569856=CARTESIAN_POINT('Origin',(38.053534,5.7978688,-0.035)); #569857=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #569858=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #569859=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #569860=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #569861=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #569862=CARTESIAN_POINT('Origin',(38.001228,5.8307344,-0.035)); #569863=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #569864=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #569865=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #569866=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #569867=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #569868=CARTESIAN_POINT('Origin',(37.952931,5.86925,-0.035)); #569869=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #569870=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #569871=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #569872=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #569873=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #569874=CARTESIAN_POINT('Origin',(37.90925,5.9129313,-0.035)); #569875=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #569876=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #569877=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #569878=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #569879=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #569880=CARTESIAN_POINT('Origin',(37.870734,5.9612281,-0.035)); #569881=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #569882=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #569883=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #569884=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #569885=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #569886=CARTESIAN_POINT('Origin',(37.837869,6.0135344,-0.035)); #569887=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #569888=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #569889=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #569890=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #569891=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #569892=CARTESIAN_POINT('Origin',(37.811066,6.0691938,-0.035)); #569893=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #569894=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #569895=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #569896=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #569897=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #569898=CARTESIAN_POINT('Origin',(37.790663,6.1275,-0.035)); #569899=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #569900=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #569901=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #569902=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #569903=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #569904=CARTESIAN_POINT('Origin',(37.776916,6.187725,-0.035)); #569905=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #569906=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #569907=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #569908=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #569909=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #569910=CARTESIAN_POINT('Origin',(37.77,6.2491125,-0.035)); #569911=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #569912=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #569913=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #569914=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #569915=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #569916=CARTESIAN_POINT('Origin',(37.77,7.1108875,-0.035)); #569917=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #569918=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #569919=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #569920=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #569921=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #569922=CARTESIAN_POINT('Origin',(37.776916,7.172275,-0.035)); #569923=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #569924=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #569925=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #569926=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #569927=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #569928=CARTESIAN_POINT('Origin',(37.790663,7.2325,-0.035)); #569929=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #569930=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #569931=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #569932=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #569933=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #569934=CARTESIAN_POINT('Origin',(37.811066,7.2908063,-0.035)); #569935=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #569936=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #569937=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #569938=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #569939=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #569940=CARTESIAN_POINT('Origin',(37.837869,7.3464656,-0.035)); #569941=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #569942=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #569943=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #569944=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #569945=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #569946=CARTESIAN_POINT('Origin',(37.870734,7.3987719,-0.035)); #569947=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #569948=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #569949=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #569950=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #569951=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #569952=CARTESIAN_POINT('Origin',(37.90925,7.4470688,-0.035)); #569953=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #569954=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #569955=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #569956=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #569957=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #569958=CARTESIAN_POINT('Origin',(37.952931,7.49075,-0.035)); #569959=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #569960=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #569961=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #569962=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #569963=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #569964=CARTESIAN_POINT('Origin',(38.001228,7.5292656,-0.035)); #569965=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #569966=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #569967=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #569968=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #569969=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #569970=CARTESIAN_POINT('Origin',(38.053534,7.5621313,-0.035)); #569971=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #569972=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #569973=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #569974=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #569975=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #569976=CARTESIAN_POINT('Origin',(38.109194,7.5889344,-0.035)); #569977=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #569978=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #569979=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #569980=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #569981=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #569982=CARTESIAN_POINT('Origin',(38.1675,7.6093375,-0.035)); #569983=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #569984=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #569985=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #569986=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #569987=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #569988=CARTESIAN_POINT('Origin',(38.227725,7.6230844,-0.035)); #569989=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #569990=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #569991=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #569992=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #569993=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #569994=CARTESIAN_POINT('Origin',(38.289113,7.63,-0.035)); #569995=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #569996=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #569997=CARTESIAN_POINT('',(38.289113,7.63,0.)); #569998=CARTESIAN_POINT('',(38.289113,7.63,0.)); #569999=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #570000=CARTESIAN_POINT('Origin',(38.350887,7.63,-0.035)); #570001=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #570002=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #570003=CARTESIAN_POINT('',(38.350887,7.63,0.)); #570004=CARTESIAN_POINT('',(38.350887,7.63,0.)); #570005=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #570006=CARTESIAN_POINT('Origin',(38.412275,7.6230844,-0.035)); #570007=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #570008=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #570009=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #570010=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #570011=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #570012=CARTESIAN_POINT('Origin',(38.4725,7.6093375,-0.035)); #570013=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #570014=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #570015=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #570016=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #570017=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #570018=CARTESIAN_POINT('Origin',(38.530806,7.5889344,-0.035)); #570019=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #570020=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #570021=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #570022=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #570023=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #570024=CARTESIAN_POINT('Origin',(38.586466,7.5621313,-0.035)); #570025=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #570026=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #570027=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #570028=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #570029=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #570030=CARTESIAN_POINT('Origin',(38.638772,7.5292656,-0.035)); #570031=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #570032=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #570033=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #570034=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #570035=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #570036=CARTESIAN_POINT('Origin',(38.687069,7.49075,-0.035)); #570037=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #570038=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #570039=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #570040=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #570041=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #570042=CARTESIAN_POINT('Origin',(38.73075,7.4470688,-0.035)); #570043=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #570044=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #570045=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #570046=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #570047=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #570048=CARTESIAN_POINT('Origin',(38.769266,7.3987719,-0.035)); #570049=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #570050=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #570051=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #570052=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #570053=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #570054=CARTESIAN_POINT('Origin',(38.802131,7.3464656,-0.035)); #570055=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #570056=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #570057=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #570058=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #570059=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #570060=CARTESIAN_POINT('Origin',(38.828934,7.2908063,-0.035)); #570061=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #570062=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #570063=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #570064=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #570065=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #570066=CARTESIAN_POINT('Origin',(38.849338,7.2325,-0.035)); #570067=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #570068=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #570069=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #570070=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #570071=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #570072=CARTESIAN_POINT('Origin',(38.863084,7.172275,-0.035)); #570073=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #570074=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #570075=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #570076=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #570077=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #570078=CARTESIAN_POINT('Origin',(38.87,7.1108875,-0.035)); #570079=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #570080=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #570081=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #570082=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #570083=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #570084=CARTESIAN_POINT('Origin',(38.87,6.2491125,-0.035)); #570085=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #570086=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #570087=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #570088=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #570089=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #570090=CARTESIAN_POINT('Origin',(38.863084,6.187725,-0.035)); #570091=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #570092=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #570093=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #570094=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #570095=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #570096=CARTESIAN_POINT('Origin',(38.849338,6.1275,-0.035)); #570097=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #570098=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #570099=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #570100=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #570101=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #570102=CARTESIAN_POINT('Origin',(38.828934,6.0691938,-0.035)); #570103=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #570104=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #570105=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #570106=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #570107=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #570108=CARTESIAN_POINT('Origin',(38.802131,6.0135344,-0.035)); #570109=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #570110=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #570111=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #570112=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #570113=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #570114=CARTESIAN_POINT('Origin',(38.769266,5.9612281,-0.035)); #570115=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #570116=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #570117=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #570118=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #570119=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #570120=CARTESIAN_POINT('Origin',(38.73075,5.9129313,-0.035)); #570121=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #570122=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #570123=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #570124=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #570125=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #570126=CARTESIAN_POINT('Origin',(38.687069,5.86925,-0.035)); #570127=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #570128=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #570129=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #570130=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #570131=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #570132=CARTESIAN_POINT('Origin',(38.638772,5.8307344,-0.035)); #570133=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #570134=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #570135=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #570136=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #570137=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #570138=CARTESIAN_POINT('Origin',(38.586466,5.7978688,-0.035)); #570139=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #570140=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #570141=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #570142=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #570143=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #570144=CARTESIAN_POINT('Origin',(38.530806,5.7710656,-0.035)); #570145=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #570146=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #570147=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #570148=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #570149=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #570150=CARTESIAN_POINT('Origin',(38.4725,5.7506625,-0.035)); #570151=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #570152=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #570153=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #570154=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #570155=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #570156=CARTESIAN_POINT('Origin',(38.412275,5.7369156,-0.035)); #570157=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #570158=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #570159=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.)); #570160=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,-0.035)); #570161=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #570162=CARTESIAN_POINT('',(31.035,25.25,-0.0349999999999895)); #570163=CARTESIAN_POINT('Origin',(31.5,25.25,-0.0349999999999895)); #570164=CARTESIAN_POINT('',(31.035,25.25,0.)); #570165=CARTESIAN_POINT('',(31.035,25.25,-200.)); #570166=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #570167=CARTESIAN_POINT('Origin',(31.536844,24.5,-0.035)); #570168=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #570169=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #570170=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #570171=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #570172=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #570173=CARTESIAN_POINT('',(31.536844,24.5,0.)); #570174=CARTESIAN_POINT('',(31.536844,24.5,0.)); #570175=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #570176=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #570177=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #570178=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #570179=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #570180=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #570181=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #570182=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #570183=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #570184=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #570185=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #570186=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,-0.035)); #570187=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #570188=CARTESIAN_POINT('',(33.535,25.25,-0.0349999999999895)); #570189=CARTESIAN_POINT('Origin',(34.,25.25,-0.0349999999999895)); #570190=CARTESIAN_POINT('',(33.535,25.25,0.)); #570191=CARTESIAN_POINT('',(33.535,25.25,-200.)); #570192=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #570193=CARTESIAN_POINT('Origin',(34.036844,24.5,-0.035)); #570194=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #570195=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #570196=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #570197=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #570198=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #570199=CARTESIAN_POINT('',(34.036844,24.5,0.)); #570200=CARTESIAN_POINT('',(34.036844,24.5,0.)); #570201=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #570202=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #570203=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #570204=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #570205=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #570206=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #570207=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #570208=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #570209=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #570210=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #570211=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #570212=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,-0.035)); #570213=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #570214=CARTESIAN_POINT('',(36.035,25.25,-0.0349999999999895)); #570215=CARTESIAN_POINT('Origin',(36.5,25.25,-0.0349999999999895)); #570216=CARTESIAN_POINT('',(36.035,25.25,0.)); #570217=CARTESIAN_POINT('',(36.035,25.25,-200.)); #570218=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #570219=CARTESIAN_POINT('Origin',(36.536844,24.5,-0.035)); #570220=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #570221=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #570222=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #570223=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #570224=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #570225=CARTESIAN_POINT('',(36.536844,24.5,0.)); #570226=CARTESIAN_POINT('',(36.536844,24.5,0.)); #570227=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #570228=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #570229=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #570230=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #570231=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #570232=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #570233=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #570234=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #570235=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #570236=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #570237=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #570238=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,-0.035)); #570239=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #570240=CARTESIAN_POINT('',(29.625,45.,-0.0349999999999895)); #570241=CARTESIAN_POINT('Origin',(30.19,45.,-0.0349999999999895)); #570242=CARTESIAN_POINT('',(29.625,45.,0.)); #570243=CARTESIAN_POINT('',(29.625,45.,-200.)); #570244=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #570245=CARTESIAN_POINT('Origin',(31.09,44.1,-0.035)); #570246=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #570247=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #570248=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #570249=CARTESIAN_POINT('',(31.09,45.9,0.)); #570250=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #570251=CARTESIAN_POINT('',(31.09,44.1,0.)); #570252=CARTESIAN_POINT('',(31.09,44.1,0.)); #570253=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #570254=CARTESIAN_POINT('Origin',(29.29,44.1,-0.035)); #570255=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #570256=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #570257=CARTESIAN_POINT('',(29.29,44.1,0.)); #570258=CARTESIAN_POINT('',(29.29,44.1,0.)); #570259=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #570260=CARTESIAN_POINT('Origin',(29.29,45.9,-0.035)); #570261=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #570262=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #570263=CARTESIAN_POINT('',(29.29,45.9,0.)); #570264=CARTESIAN_POINT('',(29.29,45.9,0.)); #570265=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #570266=CARTESIAN_POINT('Origin',(31.09,45.9,-0.035)); #570267=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #570268=CARTESIAN_POINT('',(31.09,45.9,0.)); #570269=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #570270=CARTESIAN_POINT('Origin',(30.19,45.,-0.035)); #570271=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #570272=CARTESIAN_POINT('',(51.785,34.,-0.0349999999999895)); #570273=CARTESIAN_POINT('Origin',(52.25,34.,-0.0349999999999895)); #570274=CARTESIAN_POINT('',(51.785,34.,0.)); #570275=CARTESIAN_POINT('',(51.785,34.,-200.)); #570276=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #570277=CARTESIAN_POINT('Origin',(52.286844,33.25,-0.035)); #570278=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #570279=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #570280=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #570281=CARTESIAN_POINT('',(52.360181,33.257222,0.)); #570282=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #570283=CARTESIAN_POINT('',(52.286844,33.25,0.)); #570284=CARTESIAN_POINT('',(52.286844,33.25,0.)); #570285=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #570286=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #570287=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #570288=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #570289=CARTESIAN_POINT('',(52.139819,34.742778,0.)); #570290=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.)); #570291=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #570292=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #570293=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #570294=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #570295=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.)); #570296=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,-0.035)); #570297=CARTESIAN_POINT('Origin',(21.2675,21.215,-0.035)); #570298=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #570299=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #570300=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #570301=CARTESIAN_POINT('',(21.2675,22.485,0.)); #570302=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #570303=CARTESIAN_POINT('',(21.2675,21.215,0.)); #570304=CARTESIAN_POINT('',(21.2675,21.215,0.)); #570305=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #570306=CARTESIAN_POINT('Origin',(20.6325,21.215,-0.035)); #570307=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #570308=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #570309=CARTESIAN_POINT('',(20.6325,21.215,0.)); #570310=CARTESIAN_POINT('',(20.6325,21.215,0.)); #570311=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #570312=CARTESIAN_POINT('Origin',(20.6325,22.485,-0.035)); #570313=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #570314=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #570315=CARTESIAN_POINT('',(20.6325,22.485,0.)); #570316=CARTESIAN_POINT('',(20.6325,22.485,0.)); #570317=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #570318=CARTESIAN_POINT('Origin',(21.2675,22.485,-0.035)); #570319=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #570320=CARTESIAN_POINT('',(21.2675,22.485,0.)); #570321=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #570322=CARTESIAN_POINT('Origin',(20.95,21.85,-0.035)); #570323=CARTESIAN_POINT('Origin',(32.9,6.67,-0.035)); #570324=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #570325=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #570326=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #570327=CARTESIAN_POINT('',(32.9,7.82,0.)); #570328=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #570329=CARTESIAN_POINT('',(32.9,6.67,0.)); #570330=CARTESIAN_POINT('',(32.9,6.67,0.)); #570331=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #570332=CARTESIAN_POINT('Origin',(32.6,6.67,-0.035)); #570333=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #570334=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #570335=CARTESIAN_POINT('',(32.6,6.67,0.)); #570336=CARTESIAN_POINT('',(32.6,6.67,0.)); #570337=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #570338=CARTESIAN_POINT('Origin',(32.6,7.82,-0.035)); #570339=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #570340=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #570341=CARTESIAN_POINT('',(32.6,7.82,0.)); #570342=CARTESIAN_POINT('',(32.6,7.82,0.)); #570343=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #570344=CARTESIAN_POINT('Origin',(32.9,7.82,-0.035)); #570345=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #570346=CARTESIAN_POINT('',(32.9,7.82,0.)); #570347=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #570348=CARTESIAN_POINT('Origin',(32.75,7.245,-0.035)); #570349=CARTESIAN_POINT('Origin',(48.9398,17.5585,-0.035)); #570350=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #570351=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #570352=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #570353=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #570354=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #570355=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #570356=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #570357=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #570358=CARTESIAN_POINT('Origin',(48.3302,17.5585,-0.035)); #570359=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #570360=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #570361=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #570362=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #570363=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #570364=CARTESIAN_POINT('Origin',(48.3302,19.2095,-0.035)); #570365=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #570366=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #570367=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #570368=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #570369=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #570370=CARTESIAN_POINT('Origin',(48.9398,19.2095,-0.035)); #570371=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #570372=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #570373=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #570374=CARTESIAN_POINT('Origin',(48.635,18.384,-0.035)); #570375=CARTESIAN_POINT('Origin',(35.9,6.67,-0.035)); #570376=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #570377=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #570378=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #570379=CARTESIAN_POINT('',(35.9,7.82,0.)); #570380=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #570381=CARTESIAN_POINT('',(35.9,6.67,0.)); #570382=CARTESIAN_POINT('',(35.9,6.67,0.)); #570383=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #570384=CARTESIAN_POINT('Origin',(35.6,6.67,-0.035)); #570385=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #570386=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #570387=CARTESIAN_POINT('',(35.6,6.67,0.)); #570388=CARTESIAN_POINT('',(35.6,6.67,0.)); #570389=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #570390=CARTESIAN_POINT('Origin',(35.6,7.82,-0.035)); #570391=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #570392=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #570393=CARTESIAN_POINT('',(35.6,7.82,0.)); #570394=CARTESIAN_POINT('',(35.6,7.82,0.)); #570395=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #570396=CARTESIAN_POINT('Origin',(35.9,7.82,-0.035)); #570397=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #570398=CARTESIAN_POINT('',(35.9,7.82,0.)); #570399=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #570400=CARTESIAN_POINT('Origin',(35.75,7.245,-0.035)); #570401=CARTESIAN_POINT('Origin',(50.2098,17.5585,-0.035)); #570402=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #570403=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #570404=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #570405=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #570406=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #570407=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #570408=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #570409=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #570410=CARTESIAN_POINT('Origin',(49.6002,17.5585,-0.035)); #570411=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #570412=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #570413=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #570414=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #570415=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #570416=CARTESIAN_POINT('Origin',(49.6002,19.2095,-0.035)); #570417=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #570418=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #570419=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #570420=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #570421=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #570422=CARTESIAN_POINT('Origin',(50.2098,19.2095,-0.035)); #570423=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #570424=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #570425=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #570426=CARTESIAN_POINT('Origin',(49.905,18.384,-0.035)); #570427=CARTESIAN_POINT('Origin',(46.3998,17.5585,-0.035)); #570428=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #570429=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #570430=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #570431=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #570432=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #570433=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #570434=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #570435=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #570436=CARTESIAN_POINT('Origin',(45.7902,17.5585,-0.035)); #570437=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #570438=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #570439=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #570440=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #570441=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #570442=CARTESIAN_POINT('Origin',(45.7902,19.2095,-0.035)); #570443=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #570444=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #570445=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #570446=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #570447=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #570448=CARTESIAN_POINT('Origin',(46.3998,19.2095,-0.035)); #570449=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #570450=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #570451=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #570452=CARTESIAN_POINT('Origin',(46.095,18.384,-0.035)); #570453=CARTESIAN_POINT('Origin',(21.1811,25.5555,-0.035)); #570454=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #570455=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #570456=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #570457=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #570458=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #570459=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #570460=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #570461=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #570462=CARTESIAN_POINT('Origin',(20.3429,25.5555,-0.035)); #570463=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #570464=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #570465=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #570466=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #570467=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #570468=CARTESIAN_POINT('Origin',(20.3429,26.4445,-0.035)); #570469=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #570470=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #570471=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #570472=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #570473=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #570474=CARTESIAN_POINT('Origin',(21.1811,26.4445,-0.035)); #570475=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #570476=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #570477=CARTESIAN_POINT('Origin',(20.762,26.,0.)); #570478=CARTESIAN_POINT('Origin',(20.762,26.,-0.035)); #570479=CARTESIAN_POINT('Origin',(19.3675,23.515,-0.035)); #570480=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #570481=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #570482=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #570483=CARTESIAN_POINT('',(19.3675,24.785,0.)); #570484=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #570485=CARTESIAN_POINT('',(19.3675,23.515,0.)); #570486=CARTESIAN_POINT('',(19.3675,23.515,0.)); #570487=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #570488=CARTESIAN_POINT('Origin',(18.7325,23.515,-0.035)); #570489=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #570490=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #570491=CARTESIAN_POINT('',(18.7325,23.515,0.)); #570492=CARTESIAN_POINT('',(18.7325,23.515,0.)); #570493=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #570494=CARTESIAN_POINT('Origin',(18.7325,24.785,-0.035)); #570495=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #570496=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #570497=CARTESIAN_POINT('',(18.7325,24.785,0.)); #570498=CARTESIAN_POINT('',(18.7325,24.785,0.)); #570499=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #570500=CARTESIAN_POINT('Origin',(19.3675,24.785,-0.035)); #570501=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #570502=CARTESIAN_POINT('',(19.3675,24.785,0.)); #570503=CARTESIAN_POINT('Origin',(19.05,24.15,0.)); #570504=CARTESIAN_POINT('Origin',(19.05,24.15,-0.035)); #570505=CARTESIAN_POINT('Origin',(21.2675,23.515,-0.035)); #570506=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #570507=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #570508=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #570509=CARTESIAN_POINT('',(21.2675,24.785,0.)); #570510=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #570511=CARTESIAN_POINT('',(21.2675,23.515,0.)); #570512=CARTESIAN_POINT('',(21.2675,23.515,0.)); #570513=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #570514=CARTESIAN_POINT('Origin',(20.6325,23.515,-0.035)); #570515=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #570516=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #570517=CARTESIAN_POINT('',(20.6325,23.515,0.)); #570518=CARTESIAN_POINT('',(20.6325,23.515,0.)); #570519=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #570520=CARTESIAN_POINT('Origin',(20.6325,24.785,-0.035)); #570521=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #570522=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #570523=CARTESIAN_POINT('',(20.6325,24.785,0.)); #570524=CARTESIAN_POINT('',(20.6325,24.785,0.)); #570525=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #570526=CARTESIAN_POINT('Origin',(21.2675,24.785,-0.035)); #570527=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #570528=CARTESIAN_POINT('',(21.2675,24.785,0.)); #570529=CARTESIAN_POINT('Origin',(20.95,24.15,0.)); #570530=CARTESIAN_POINT('Origin',(20.95,24.15,-0.035)); #570531=CARTESIAN_POINT('Origin',(50.2098,22.7905,-0.035)); #570532=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #570533=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #570534=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #570535=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #570536=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #570537=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #570538=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #570539=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #570540=CARTESIAN_POINT('Origin',(49.6002,22.7905,-0.035)); #570541=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #570542=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #570543=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #570544=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #570545=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #570546=CARTESIAN_POINT('Origin',(49.6002,24.4415,-0.035)); #570547=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #570548=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #570549=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #570550=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #570551=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #570552=CARTESIAN_POINT('Origin',(50.2098,24.4415,-0.035)); #570553=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #570554=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #570555=CARTESIAN_POINT('Origin',(49.905,23.616,0.)); #570556=CARTESIAN_POINT('Origin',(49.905,23.616,-0.035)); #570557=CARTESIAN_POINT('Origin',(19.3675,21.215,-0.035)); #570558=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #570559=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #570560=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #570561=CARTESIAN_POINT('',(19.3675,22.485,0.)); #570562=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #570563=CARTESIAN_POINT('',(19.3675,21.215,0.)); #570564=CARTESIAN_POINT('',(19.3675,21.215,0.)); #570565=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #570566=CARTESIAN_POINT('Origin',(18.7325,21.215,-0.035)); #570567=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #570568=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #570569=CARTESIAN_POINT('',(18.7325,21.215,0.)); #570570=CARTESIAN_POINT('',(18.7325,21.215,0.)); #570571=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #570572=CARTESIAN_POINT('Origin',(18.7325,22.485,-0.035)); #570573=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #570574=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #570575=CARTESIAN_POINT('',(18.7325,22.485,0.)); #570576=CARTESIAN_POINT('',(18.7325,22.485,0.)); #570577=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #570578=CARTESIAN_POINT('Origin',(19.3675,22.485,-0.035)); #570579=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #570580=CARTESIAN_POINT('',(19.3675,22.485,0.)); #570581=CARTESIAN_POINT('Origin',(19.05,21.85,0.)); #570582=CARTESIAN_POINT('Origin',(19.05,21.85,-0.035)); #570583=CARTESIAN_POINT('Origin',(26.6571,22.5555,-0.035)); #570584=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #570585=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #570586=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #570587=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #570588=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #570589=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #570590=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #570591=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #570592=CARTESIAN_POINT('Origin',(25.8189,22.5555,-0.035)); #570593=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #570594=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #570595=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #570596=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #570597=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #570598=CARTESIAN_POINT('Origin',(25.8189,23.4445,-0.035)); #570599=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #570600=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #570601=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #570602=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #570603=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #570604=CARTESIAN_POINT('Origin',(26.6571,23.4445,-0.035)); #570605=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #570606=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #570607=CARTESIAN_POINT('Origin',(26.238,23.,0.)); #570608=CARTESIAN_POINT('Origin',(26.238,23.,-0.035)); #570609=CARTESIAN_POINT('Origin',(19.6571,19.5555,-0.035)); #570610=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #570611=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #570612=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #570613=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #570614=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #570615=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #570616=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #570617=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #570618=CARTESIAN_POINT('Origin',(18.8189,19.5555,-0.035)); #570619=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #570620=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #570621=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #570622=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #570623=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #570624=CARTESIAN_POINT('Origin',(18.8189,20.4445,-0.035)); #570625=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #570626=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #570627=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #570628=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #570629=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #570630=CARTESIAN_POINT('Origin',(19.6571,20.4445,-0.035)); #570631=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #570632=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #570633=CARTESIAN_POINT('Origin',(19.238,20.,0.)); #570634=CARTESIAN_POINT('Origin',(19.238,20.,-0.035)); #570635=CARTESIAN_POINT('Origin',(16.3622,24.6665,-0.035)); #570636=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #570637=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #570638=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #570639=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #570640=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #570641=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #570642=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #570643=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #570644=CARTESIAN_POINT('Origin',(14.6858,24.6665,-0.035)); #570645=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #570646=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #570647=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #570648=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #570649=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #570650=CARTESIAN_POINT('Origin',(14.6858,27.3335,-0.035)); #570651=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #570652=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #570653=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #570654=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #570655=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #570656=CARTESIAN_POINT('Origin',(16.3622,27.3335,-0.035)); #570657=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #570658=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #570659=CARTESIAN_POINT('Origin',(15.524,26.,0.)); #570660=CARTESIAN_POINT('Origin',(15.524,26.,-0.035)); #570661=CARTESIAN_POINT('Origin',(35.4,6.67,-0.035)); #570662=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #570663=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #570664=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #570665=CARTESIAN_POINT('',(35.4,7.82,0.)); #570666=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #570667=CARTESIAN_POINT('',(35.4,6.67,0.)); #570668=CARTESIAN_POINT('',(35.4,6.67,0.)); #570669=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #570670=CARTESIAN_POINT('Origin',(35.1,6.67,-0.035)); #570671=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #570672=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #570673=CARTESIAN_POINT('',(35.1,6.67,0.)); #570674=CARTESIAN_POINT('',(35.1,6.67,0.)); #570675=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #570676=CARTESIAN_POINT('Origin',(35.1,7.82,-0.035)); #570677=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #570678=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #570679=CARTESIAN_POINT('',(35.1,7.82,0.)); #570680=CARTESIAN_POINT('',(35.1,7.82,0.)); #570681=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #570682=CARTESIAN_POINT('Origin',(35.4,7.82,-0.035)); #570683=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #570684=CARTESIAN_POINT('',(35.4,7.82,0.)); #570685=CARTESIAN_POINT('Origin',(35.25,7.245,0.)); #570686=CARTESIAN_POINT('Origin',(35.25,7.245,-0.035)); #570687=CARTESIAN_POINT('Origin',(32.4,6.67,-0.035)); #570688=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #570689=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #570690=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #570691=CARTESIAN_POINT('',(32.4,7.82,0.)); #570692=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #570693=CARTESIAN_POINT('',(32.4,6.67,0.)); #570694=CARTESIAN_POINT('',(32.4,6.67,0.)); #570695=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #570696=CARTESIAN_POINT('Origin',(32.1,6.67,-0.035)); #570697=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #570698=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #570699=CARTESIAN_POINT('',(32.1,6.67,0.)); #570700=CARTESIAN_POINT('',(32.1,6.67,0.)); #570701=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #570702=CARTESIAN_POINT('Origin',(32.1,7.82,-0.035)); #570703=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #570704=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #570705=CARTESIAN_POINT('',(32.1,7.82,0.)); #570706=CARTESIAN_POINT('',(32.1,7.82,0.)); #570707=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #570708=CARTESIAN_POINT('Origin',(32.4,7.82,-0.035)); #570709=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #570710=CARTESIAN_POINT('',(32.4,7.82,0.)); #570711=CARTESIAN_POINT('Origin',(32.25,7.245,0.)); #570712=CARTESIAN_POINT('Origin',(32.25,7.245,-0.035)); #570713=CARTESIAN_POINT('Origin',(34.9,6.67,-0.035)); #570714=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #570715=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #570716=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #570717=CARTESIAN_POINT('',(34.9,7.82,0.)); #570718=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #570719=CARTESIAN_POINT('',(34.9,6.67,0.)); #570720=CARTESIAN_POINT('',(34.9,6.67,0.)); #570721=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #570722=CARTESIAN_POINT('Origin',(34.6,6.67,-0.035)); #570723=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #570724=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #570725=CARTESIAN_POINT('',(34.6,6.67,0.)); #570726=CARTESIAN_POINT('',(34.6,6.67,0.)); #570727=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #570728=CARTESIAN_POINT('Origin',(34.6,7.82,-0.035)); #570729=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #570730=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #570731=CARTESIAN_POINT('',(34.6,7.82,0.)); #570732=CARTESIAN_POINT('',(34.6,7.82,0.)); #570733=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #570734=CARTESIAN_POINT('Origin',(34.9,7.82,-0.035)); #570735=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #570736=CARTESIAN_POINT('',(34.9,7.82,0.)); #570737=CARTESIAN_POINT('Origin',(34.75,7.245,0.)); #570738=CARTESIAN_POINT('Origin',(34.75,7.245,-0.035)); #570739=CARTESIAN_POINT('Origin',(33.9,6.67,-0.035)); #570740=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #570741=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #570742=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #570743=CARTESIAN_POINT('',(33.9,7.82,0.)); #570744=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #570745=CARTESIAN_POINT('',(33.9,6.67,0.)); #570746=CARTESIAN_POINT('',(33.9,6.67,0.)); #570747=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #570748=CARTESIAN_POINT('Origin',(33.6,6.67,-0.035)); #570749=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #570750=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #570751=CARTESIAN_POINT('',(33.6,6.67,0.)); #570752=CARTESIAN_POINT('',(33.6,6.67,0.)); #570753=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #570754=CARTESIAN_POINT('Origin',(33.6,7.82,-0.035)); #570755=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #570756=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #570757=CARTESIAN_POINT('',(33.6,7.82,0.)); #570758=CARTESIAN_POINT('',(33.6,7.82,0.)); #570759=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #570760=CARTESIAN_POINT('Origin',(33.9,7.82,-0.035)); #570761=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #570762=CARTESIAN_POINT('',(33.9,7.82,0.)); #570763=CARTESIAN_POINT('Origin',(33.75,7.245,0.)); #570764=CARTESIAN_POINT('Origin',(33.75,7.245,-0.035)); #570765=CARTESIAN_POINT('Origin',(34.4,6.67,-0.035)); #570766=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #570767=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #570768=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #570769=CARTESIAN_POINT('',(34.4,7.82,0.)); #570770=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #570771=CARTESIAN_POINT('',(34.4,6.67,0.)); #570772=CARTESIAN_POINT('',(34.4,6.67,0.)); #570773=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #570774=CARTESIAN_POINT('Origin',(34.1,6.67,-0.035)); #570775=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #570776=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #570777=CARTESIAN_POINT('',(34.1,6.67,0.)); #570778=CARTESIAN_POINT('',(34.1,6.67,0.)); #570779=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #570780=CARTESIAN_POINT('Origin',(34.1,7.82,-0.035)); #570781=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #570782=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #570783=CARTESIAN_POINT('',(34.1,7.82,0.)); #570784=CARTESIAN_POINT('',(34.1,7.82,0.)); #570785=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #570786=CARTESIAN_POINT('Origin',(34.4,7.82,-0.035)); #570787=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #570788=CARTESIAN_POINT('',(34.4,7.82,0.)); #570789=CARTESIAN_POINT('Origin',(34.25,7.245,0.)); #570790=CARTESIAN_POINT('Origin',(34.25,7.245,-0.035)); #570791=CARTESIAN_POINT('Origin',(33.4,6.67,-0.035)); #570792=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #570793=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #570794=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #570795=CARTESIAN_POINT('',(33.4,7.82,0.)); #570796=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #570797=CARTESIAN_POINT('',(33.4,6.67,0.)); #570798=CARTESIAN_POINT('',(33.4,6.67,0.)); #570799=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #570800=CARTESIAN_POINT('Origin',(33.1,6.67,-0.035)); #570801=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #570802=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #570803=CARTESIAN_POINT('',(33.1,6.67,0.)); #570804=CARTESIAN_POINT('',(33.1,6.67,0.)); #570805=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #570806=CARTESIAN_POINT('Origin',(33.1,7.82,-0.035)); #570807=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #570808=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #570809=CARTESIAN_POINT('',(33.1,7.82,0.)); #570810=CARTESIAN_POINT('',(33.1,7.82,0.)); #570811=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #570812=CARTESIAN_POINT('Origin',(33.4,7.82,-0.035)); #570813=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #570814=CARTESIAN_POINT('',(33.4,7.82,0.)); #570815=CARTESIAN_POINT('Origin',(33.25,7.245,0.)); #570816=CARTESIAN_POINT('Origin',(33.25,7.245,-0.035)); #570817=CARTESIAN_POINT('Origin',(29.6571,65.5555,-0.035)); #570818=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #570819=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #570820=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #570821=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #570822=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #570823=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #570824=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #570825=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #570826=CARTESIAN_POINT('Origin',(28.8189,65.5555,-0.035)); #570827=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #570828=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #570829=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #570830=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #570831=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #570832=CARTESIAN_POINT('Origin',(28.8189,66.4445,-0.035)); #570833=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #570834=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #570835=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #570836=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #570837=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #570838=CARTESIAN_POINT('Origin',(29.6571,66.4445,-0.035)); #570839=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #570840=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #570841=CARTESIAN_POINT('Origin',(29.238,66.,0.)); #570842=CARTESIAN_POINT('Origin',(29.238,66.,-0.035)); #570843=CARTESIAN_POINT('Origin',(55.1811,41.5555,-0.035)); #570844=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #570845=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #570846=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #570847=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #570848=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #570849=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #570850=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #570851=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #570852=CARTESIAN_POINT('Origin',(54.3429,41.5555,-0.035)); #570853=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #570854=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #570855=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #570856=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #570857=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #570858=CARTESIAN_POINT('Origin',(54.3429,42.4445,-0.035)); #570859=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #570860=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #570861=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #570862=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #570863=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #570864=CARTESIAN_POINT('Origin',(55.1811,42.4445,-0.035)); #570865=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #570866=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #570867=CARTESIAN_POINT('Origin',(54.762,42.,0.)); #570868=CARTESIAN_POINT('Origin',(54.762,42.,-0.035)); #570869=CARTESIAN_POINT('Origin',(46.935,58.15,-0.035)); #570870=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #570871=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #570872=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #570873=CARTESIAN_POINT('',(46.935,59.35,0.)); #570874=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #570875=CARTESIAN_POINT('',(46.935,58.15,0.)); #570876=CARTESIAN_POINT('',(46.935,58.15,0.)); #570877=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #570878=CARTESIAN_POINT('Origin',(46.335,58.15,-0.035)); #570879=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #570880=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #570881=CARTESIAN_POINT('',(46.335,58.15,0.)); #570882=CARTESIAN_POINT('',(46.335,58.15,0.)); #570883=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #570884=CARTESIAN_POINT('Origin',(46.335,59.35,-0.035)); #570885=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #570886=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #570887=CARTESIAN_POINT('',(46.335,59.35,0.)); #570888=CARTESIAN_POINT('',(46.335,59.35,0.)); #570889=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #570890=CARTESIAN_POINT('Origin',(46.935,59.35,-0.035)); #570891=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #570892=CARTESIAN_POINT('',(46.935,59.35,0.)); #570893=CARTESIAN_POINT('Origin',(46.635,58.75,0.)); #570894=CARTESIAN_POINT('Origin',(46.635,58.75,-0.035)); #570895=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #570896=CARTESIAN_POINT('',(37.085,7.1,-0.0349999999999895)); #570897=CARTESIAN_POINT('Origin',(37.2,7.1,-0.0349999999999895)); #570898=CARTESIAN_POINT('',(37.085,7.1,0.)); #570899=CARTESIAN_POINT('',(37.085,7.1,-200.)); #570900=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #570901=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #570902=CARTESIAN_POINT('',(37.085,7.6,-0.0349999999999895)); #570903=CARTESIAN_POINT('Origin',(37.2,7.6,-0.0349999999999895)); #570904=CARTESIAN_POINT('',(37.085,7.6,0.)); #570905=CARTESIAN_POINT('',(37.085,7.6,-200.)); #570906=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #570907=CARTESIAN_POINT('Origin',(36.9,7.82,-0.035)); #570908=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #570909=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #570910=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #570911=CARTESIAN_POINT('',(36.9,6.67,0.)); #570912=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #570913=CARTESIAN_POINT('',(36.9,7.82,0.)); #570914=CARTESIAN_POINT('',(36.9,7.82,0.)); #570915=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #570916=CARTESIAN_POINT('Origin',(37.5,7.82,-0.035)); #570917=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #570918=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #570919=CARTESIAN_POINT('',(37.5,7.82,0.)); #570920=CARTESIAN_POINT('',(37.5,7.82,0.)); #570921=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #570922=CARTESIAN_POINT('Origin',(37.5,6.67,-0.035)); #570923=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #570924=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #570925=CARTESIAN_POINT('',(37.5,6.67,0.)); #570926=CARTESIAN_POINT('',(37.5,6.67,0.)); #570927=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #570928=CARTESIAN_POINT('Origin',(36.9,6.67,-0.035)); #570929=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #570930=CARTESIAN_POINT('',(36.9,6.67,0.)); #570931=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #570932=CARTESIAN_POINT('Origin',(37.2,7.245,-0.035)); #570933=CARTESIAN_POINT('Origin',(13.6571,44.5555,-0.035)); #570934=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #570935=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #570936=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #570937=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #570938=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #570939=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #570940=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #570941=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #570942=CARTESIAN_POINT('Origin',(12.8189,44.5555,-0.035)); #570943=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #570944=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #570945=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #570946=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #570947=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #570948=CARTESIAN_POINT('Origin',(12.8189,45.4445,-0.035)); #570949=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #570950=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #570951=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #570952=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #570953=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #570954=CARTESIAN_POINT('Origin',(13.6571,45.4445,-0.035)); #570955=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #570956=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #570957=CARTESIAN_POINT('Origin',(13.238,45.,0.)); #570958=CARTESIAN_POINT('Origin',(13.238,45.,-0.035)); #570959=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #570960=CARTESIAN_POINT('',(30.685,7.6,-0.0349999999999895)); #570961=CARTESIAN_POINT('Origin',(30.8,7.6,-0.0349999999999895)); #570962=CARTESIAN_POINT('',(30.685,7.6,0.)); #570963=CARTESIAN_POINT('',(30.685,7.6,-200.)); #570964=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #570965=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #570966=CARTESIAN_POINT('',(30.685,7.1,-0.0349999999999895)); #570967=CARTESIAN_POINT('Origin',(30.8,7.1,-0.0349999999999895)); #570968=CARTESIAN_POINT('',(30.685,7.1,0.)); #570969=CARTESIAN_POINT('',(30.685,7.1,-200.)); #570970=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #570971=CARTESIAN_POINT('Origin',(30.5,7.82,-0.035)); #570972=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #570973=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #570974=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #570975=CARTESIAN_POINT('',(30.5,6.67,0.)); #570976=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #570977=CARTESIAN_POINT('',(30.5,7.82,0.)); #570978=CARTESIAN_POINT('',(30.5,7.82,0.)); #570979=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #570980=CARTESIAN_POINT('Origin',(31.1,7.82,-0.035)); #570981=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #570982=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #570983=CARTESIAN_POINT('',(31.1,7.82,0.)); #570984=CARTESIAN_POINT('',(31.1,7.82,0.)); #570985=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #570986=CARTESIAN_POINT('Origin',(31.1,6.67,-0.035)); #570987=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #570988=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #570989=CARTESIAN_POINT('',(31.1,6.67,0.)); #570990=CARTESIAN_POINT('',(31.1,6.67,0.)); #570991=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #570992=CARTESIAN_POINT('Origin',(30.5,6.67,-0.035)); #570993=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #570994=CARTESIAN_POINT('',(30.5,6.67,0.)); #570995=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #570996=CARTESIAN_POINT('Origin',(30.8,7.245,-0.035)); #570997=CARTESIAN_POINT('Origin',(37.6571,65.5555,-0.035)); #570998=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #570999=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #571000=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #571001=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #571002=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #571003=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #571004=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #571005=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #571006=CARTESIAN_POINT('Origin',(36.8189,65.5555,-0.035)); #571007=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #571008=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #571009=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #571010=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #571011=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #571012=CARTESIAN_POINT('Origin',(36.8189,66.4445,-0.035)); #571013=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #571014=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #571015=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #571016=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #571017=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #571018=CARTESIAN_POINT('Origin',(37.6571,66.4445,-0.035)); #571019=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #571020=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #571021=CARTESIAN_POINT('Origin',(37.238,66.,0.)); #571022=CARTESIAN_POINT('Origin',(37.238,66.,-0.035)); #571023=CARTESIAN_POINT('Origin',(46.3998,22.7905,-0.035)); #571024=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #571025=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #571026=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #571027=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #571028=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #571029=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #571030=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #571031=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #571032=CARTESIAN_POINT('Origin',(45.7902,22.7905,-0.035)); #571033=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #571034=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #571035=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #571036=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #571037=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #571038=CARTESIAN_POINT('Origin',(45.7902,24.4415,-0.035)); #571039=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #571040=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #571041=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #571042=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #571043=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #571044=CARTESIAN_POINT('Origin',(46.3998,24.4415,-0.035)); #571045=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #571046=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #571047=CARTESIAN_POINT('Origin',(46.095,23.616,0.)); #571048=CARTESIAN_POINT('Origin',(46.095,23.616,-0.035)); #571049=CARTESIAN_POINT('Origin',(22.935,58.15,-0.035)); #571050=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #571051=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #571052=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #571053=CARTESIAN_POINT('',(22.935,59.35,0.)); #571054=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #571055=CARTESIAN_POINT('',(22.935,58.15,0.)); #571056=CARTESIAN_POINT('',(22.935,58.15,0.)); #571057=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #571058=CARTESIAN_POINT('Origin',(22.335,58.15,-0.035)); #571059=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #571060=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #571061=CARTESIAN_POINT('',(22.335,58.15,0.)); #571062=CARTESIAN_POINT('',(22.335,58.15,0.)); #571063=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #571064=CARTESIAN_POINT('Origin',(22.335,59.35,-0.035)); #571065=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #571066=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #571067=CARTESIAN_POINT('',(22.335,59.35,0.)); #571068=CARTESIAN_POINT('',(22.335,59.35,0.)); #571069=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #571070=CARTESIAN_POINT('Origin',(22.935,59.35,-0.035)); #571071=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #571072=CARTESIAN_POINT('',(22.935,59.35,0.)); #571073=CARTESIAN_POINT('Origin',(22.635,58.75,0.)); #571074=CARTESIAN_POINT('Origin',(22.635,58.75,-0.035)); #571075=CARTESIAN_POINT('Origin',(20.3175,23.515,-0.035)); #571076=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #571077=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #571078=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #571079=CARTESIAN_POINT('',(20.3175,24.785,0.)); #571080=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #571081=CARTESIAN_POINT('',(20.3175,23.515,0.)); #571082=CARTESIAN_POINT('',(20.3175,23.515,0.)); #571083=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #571084=CARTESIAN_POINT('Origin',(19.6825,23.515,-0.035)); #571085=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #571086=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #571087=CARTESIAN_POINT('',(19.6825,23.515,0.)); #571088=CARTESIAN_POINT('',(19.6825,23.515,0.)); #571089=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #571090=CARTESIAN_POINT('Origin',(19.6825,24.785,-0.035)); #571091=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #571092=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #571093=CARTESIAN_POINT('',(19.6825,24.785,0.)); #571094=CARTESIAN_POINT('',(19.6825,24.785,0.)); #571095=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #571096=CARTESIAN_POINT('Origin',(20.3175,24.785,-0.035)); #571097=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #571098=CARTESIAN_POINT('',(20.3175,24.785,0.)); #571099=CARTESIAN_POINT('Origin',(20.,24.15,0.)); #571100=CARTESIAN_POINT('Origin',(20.,24.15,-0.035)); #571101=CARTESIAN_POINT('Origin',(45.665,58.15,-0.035)); #571102=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #571103=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #571104=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #571105=CARTESIAN_POINT('',(45.665,59.35,0.)); #571106=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #571107=CARTESIAN_POINT('',(45.665,58.15,0.)); #571108=CARTESIAN_POINT('',(45.665,58.15,0.)); #571109=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #571110=CARTESIAN_POINT('Origin',(45.065,58.15,-0.035)); #571111=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #571112=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #571113=CARTESIAN_POINT('',(45.065,58.15,0.)); #571114=CARTESIAN_POINT('',(45.065,58.15,0.)); #571115=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #571116=CARTESIAN_POINT('Origin',(45.065,59.35,-0.035)); #571117=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #571118=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #571119=CARTESIAN_POINT('',(45.065,59.35,0.)); #571120=CARTESIAN_POINT('',(45.065,59.35,0.)); #571121=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #571122=CARTESIAN_POINT('Origin',(45.665,59.35,-0.035)); #571123=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #571124=CARTESIAN_POINT('',(45.665,59.35,0.)); #571125=CARTESIAN_POINT('Origin',(45.365,58.75,0.)); #571126=CARTESIAN_POINT('Origin',(45.365,58.75,-0.035)); #571127=CARTESIAN_POINT('Origin',(42.1811,22.5555,-0.035)); #571128=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #571129=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #571130=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #571131=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #571132=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #571133=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #571134=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #571135=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #571136=CARTESIAN_POINT('Origin',(41.3429,22.5555,-0.035)); #571137=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #571138=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #571139=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #571140=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #571141=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #571142=CARTESIAN_POINT('Origin',(41.3429,23.4445,-0.035)); #571143=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #571144=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #571145=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #571146=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #571147=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #571148=CARTESIAN_POINT('Origin',(42.1811,23.4445,-0.035)); #571149=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #571150=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #571151=CARTESIAN_POINT('Origin',(41.762,23.,0.)); #571152=CARTESIAN_POINT('Origin',(41.762,23.,-0.035)); #571153=CARTESIAN_POINT('Origin',(55.1811,44.5555,-0.035)); #571154=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #571155=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #571156=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #571157=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #571158=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #571159=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #571160=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #571161=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #571162=CARTESIAN_POINT('Origin',(54.3429,44.5555,-0.035)); #571163=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #571164=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #571165=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #571166=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #571167=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #571168=CARTESIAN_POINT('Origin',(54.3429,45.4445,-0.035)); #571169=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #571170=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #571171=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #571172=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #571173=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #571174=CARTESIAN_POINT('Origin',(55.1811,45.4445,-0.035)); #571175=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #571176=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #571177=CARTESIAN_POINT('Origin',(54.762,45.,0.)); #571178=CARTESIAN_POINT('Origin',(54.762,45.,-0.035)); #571179=CARTESIAN_POINT('Origin',(21.1811,19.5555,-0.035)); #571180=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #571181=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #571182=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #571183=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #571184=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #571185=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #571186=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #571187=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #571188=CARTESIAN_POINT('Origin',(20.3429,19.5555,-0.035)); #571189=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #571190=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #571191=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #571192=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #571193=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #571194=CARTESIAN_POINT('Origin',(20.3429,20.4445,-0.035)); #571195=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #571196=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #571197=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #571198=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #571199=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #571200=CARTESIAN_POINT('Origin',(21.1811,20.4445,-0.035)); #571201=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #571202=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #571203=CARTESIAN_POINT('Origin',(20.762,20.,0.)); #571204=CARTESIAN_POINT('Origin',(20.762,20.,-0.035)); #571205=CARTESIAN_POINT('Origin',(33.6571,65.5555,-0.035)); #571206=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #571207=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #571208=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #571209=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #571210=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #571211=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #571212=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #571213=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #571214=CARTESIAN_POINT('Origin',(32.8189,65.5555,-0.035)); #571215=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #571216=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #571217=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #571218=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #571219=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #571220=CARTESIAN_POINT('Origin',(32.8189,66.4445,-0.035)); #571221=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #571222=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #571223=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #571224=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #571225=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #571226=CARTESIAN_POINT('Origin',(33.6571,66.4445,-0.035)); #571227=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #571228=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #571229=CARTESIAN_POINT('Origin',(33.238,66.,0.)); #571230=CARTESIAN_POINT('Origin',(33.238,66.,-0.035)); #571231=CARTESIAN_POINT('Origin',(56.3622,24.6665,-0.035)); #571232=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #571233=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #571234=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #571235=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #571236=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #571237=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #571238=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #571239=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #571240=CARTESIAN_POINT('Origin',(54.6858,24.6665,-0.035)); #571241=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #571242=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #571243=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #571244=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #571245=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #571246=CARTESIAN_POINT('Origin',(54.6858,27.3335,-0.035)); #571247=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #571248=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #571249=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #571250=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #571251=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #571252=CARTESIAN_POINT('Origin',(56.3622,27.3335,-0.035)); #571253=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #571254=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #571255=CARTESIAN_POINT('Origin',(55.524,26.,0.)); #571256=CARTESIAN_POINT('Origin',(55.524,26.,-0.035)); #571257=CARTESIAN_POINT('Origin',(20.395,58.15,-0.035)); #571258=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #571259=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #571260=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #571261=CARTESIAN_POINT('',(20.395,59.35,0.)); #571262=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #571263=CARTESIAN_POINT('',(20.395,58.15,0.)); #571264=CARTESIAN_POINT('',(20.395,58.15,0.)); #571265=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #571266=CARTESIAN_POINT('Origin',(19.795,58.15,-0.035)); #571267=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #571268=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #571269=CARTESIAN_POINT('',(19.795,58.15,0.)); #571270=CARTESIAN_POINT('',(19.795,58.15,0.)); #571271=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #571272=CARTESIAN_POINT('Origin',(19.795,59.35,-0.035)); #571273=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #571274=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #571275=CARTESIAN_POINT('',(19.795,59.35,0.)); #571276=CARTESIAN_POINT('',(19.795,59.35,0.)); #571277=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #571278=CARTESIAN_POINT('Origin',(20.395,59.35,-0.035)); #571279=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #571280=CARTESIAN_POINT('',(20.395,59.35,0.)); #571281=CARTESIAN_POINT('Origin',(20.095,58.75,0.)); #571282=CARTESIAN_POINT('Origin',(20.095,58.75,-0.035)); #571283=CARTESIAN_POINT('Origin',(13.6571,41.5555,-0.035)); #571284=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #571285=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #571286=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #571287=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #571288=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #571289=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #571290=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #571291=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #571292=CARTESIAN_POINT('Origin',(12.8189,41.5555,-0.035)); #571293=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #571294=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #571295=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #571296=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #571297=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #571298=CARTESIAN_POINT('Origin',(12.8189,42.4445,-0.035)); #571299=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #571300=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #571301=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #571302=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #571303=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #571304=CARTESIAN_POINT('Origin',(13.6571,42.4445,-0.035)); #571305=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #571306=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #571307=CARTESIAN_POINT('Origin',(13.238,42.,0.)); #571308=CARTESIAN_POINT('Origin',(13.238,42.,-0.035)); #571309=CARTESIAN_POINT('Origin',(44.395,58.15,-0.035)); #571310=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #571311=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #571312=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #571313=CARTESIAN_POINT('',(44.395,59.35,0.)); #571314=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #571315=CARTESIAN_POINT('',(44.395,58.15,0.)); #571316=CARTESIAN_POINT('',(44.395,58.15,0.)); #571317=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #571318=CARTESIAN_POINT('Origin',(43.795,58.15,-0.035)); #571319=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #571320=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #571321=CARTESIAN_POINT('',(43.795,58.15,0.)); #571322=CARTESIAN_POINT('',(43.795,58.15,0.)); #571323=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #571324=CARTESIAN_POINT('Origin',(43.795,59.35,-0.035)); #571325=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #571326=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #571327=CARTESIAN_POINT('',(43.795,59.35,0.)); #571328=CARTESIAN_POINT('',(43.795,59.35,0.)); #571329=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #571330=CARTESIAN_POINT('Origin',(44.395,59.35,-0.035)); #571331=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #571332=CARTESIAN_POINT('',(44.395,59.35,0.)); #571333=CARTESIAN_POINT('Origin',(44.095,58.75,0.)); #571334=CARTESIAN_POINT('Origin',(44.095,58.75,-0.035)); #571335=CARTESIAN_POINT('Origin',(13.3142,24.6665,-0.035)); #571336=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #571337=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #571338=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #571339=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #571340=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #571341=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #571342=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #571343=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #571344=CARTESIAN_POINT('Origin',(11.6378,24.6665,-0.035)); #571345=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #571346=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #571347=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #571348=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #571349=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #571350=CARTESIAN_POINT('Origin',(11.6378,27.3335,-0.035)); #571351=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #571352=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #571353=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #571354=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #571355=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #571356=CARTESIAN_POINT('Origin',(13.3142,27.3335,-0.035)); #571357=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #571358=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #571359=CARTESIAN_POINT('Origin',(12.476,26.,0.)); #571360=CARTESIAN_POINT('Origin',(12.476,26.,-0.035)); #571361=CARTESIAN_POINT('Origin',(21.665,58.15,-0.035)); #571362=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #571363=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #571364=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #571365=CARTESIAN_POINT('',(21.665,59.35,0.)); #571366=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #571367=CARTESIAN_POINT('',(21.665,58.15,0.)); #571368=CARTESIAN_POINT('',(21.665,58.15,0.)); #571369=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #571370=CARTESIAN_POINT('Origin',(21.065,58.15,-0.035)); #571371=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #571372=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #571373=CARTESIAN_POINT('',(21.065,58.15,0.)); #571374=CARTESIAN_POINT('',(21.065,58.15,0.)); #571375=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #571376=CARTESIAN_POINT('Origin',(21.065,59.35,-0.035)); #571377=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #571378=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #571379=CARTESIAN_POINT('',(21.065,59.35,0.)); #571380=CARTESIAN_POINT('',(21.065,59.35,0.)); #571381=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #571382=CARTESIAN_POINT('Origin',(21.665,59.35,-0.035)); #571383=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #571384=CARTESIAN_POINT('',(21.665,59.35,0.)); #571385=CARTESIAN_POINT('Origin',(21.365,58.75,0.)); #571386=CARTESIAN_POINT('Origin',(21.365,58.75,-0.035)); #571387=CARTESIAN_POINT('Origin',(19.6571,25.5555,-0.035)); #571388=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #571389=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #571390=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #571391=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #571392=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #571393=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #571394=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #571395=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #571396=CARTESIAN_POINT('Origin',(18.8189,25.5555,-0.035)); #571397=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #571398=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #571399=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #571400=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #571401=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #571402=CARTESIAN_POINT('Origin',(18.8189,26.4445,-0.035)); #571403=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #571404=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #571405=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #571406=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #571407=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #571408=CARTESIAN_POINT('Origin',(19.6571,26.4445,-0.035)); #571409=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #571410=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #571411=CARTESIAN_POINT('Origin',(19.238,26.,0.)); #571412=CARTESIAN_POINT('Origin',(19.238,26.,-0.035)); #571413=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #571414=CARTESIAN_POINT('',(38.372,53.,-0.0349999999999895)); #571415=CARTESIAN_POINT('Origin',(38.512,53.,-0.0349999999999895)); #571416=CARTESIAN_POINT('',(38.372,53.,0.)); #571417=CARTESIAN_POINT('',(38.372,53.,-200.)); #571418=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #571419=CARTESIAN_POINT('Origin',(41.25,52.25,-0.035)); #571420=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #571421=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #571422=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #571423=CARTESIAN_POINT('',(41.25,57.75,0.)); #571424=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #571425=CARTESIAN_POINT('',(41.25,52.25,0.)); #571426=CARTESIAN_POINT('',(41.25,52.25,0.)); #571427=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #571428=CARTESIAN_POINT('Origin',(38.,52.25,-0.035)); #571429=CARTESIAN_POINT('',(38.,52.25,-0.035)); #571430=CARTESIAN_POINT('',(38.,52.25,-0.035)); #571431=CARTESIAN_POINT('',(38.,52.25,0.)); #571432=CARTESIAN_POINT('',(38.,52.25,0.)); #571433=CARTESIAN_POINT('',(38.,52.25,-0.035)); #571434=CARTESIAN_POINT('Origin',(38.,57.75,-0.035)); #571435=CARTESIAN_POINT('',(38.,57.75,-0.035)); #571436=CARTESIAN_POINT('',(38.,57.75,-0.035)); #571437=CARTESIAN_POINT('',(38.,57.75,0.)); #571438=CARTESIAN_POINT('',(38.,57.75,0.)); #571439=CARTESIAN_POINT('',(38.,57.75,-0.035)); #571440=CARTESIAN_POINT('Origin',(41.25,57.75,-0.035)); #571441=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #571442=CARTESIAN_POINT('',(41.25,57.75,0.)); #571443=CARTESIAN_POINT('Origin',(39.625,55.,0.)); #571444=CARTESIAN_POINT('Origin',(39.625,55.,-0.035)); #571445=CARTESIAN_POINT('Origin',(48.205,52.65,-0.035)); #571446=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #571447=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #571448=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #571449=CARTESIAN_POINT('',(48.205,53.85,0.)); #571450=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #571451=CARTESIAN_POINT('',(48.205,52.65,0.)); #571452=CARTESIAN_POINT('',(48.205,52.65,0.)); #571453=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #571454=CARTESIAN_POINT('Origin',(47.605,52.65,-0.035)); #571455=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #571456=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #571457=CARTESIAN_POINT('',(47.605,52.65,0.)); #571458=CARTESIAN_POINT('',(47.605,52.65,0.)); #571459=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #571460=CARTESIAN_POINT('Origin',(47.605,53.85,-0.035)); #571461=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #571462=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #571463=CARTESIAN_POINT('',(47.605,53.85,0.)); #571464=CARTESIAN_POINT('',(47.605,53.85,0.)); #571465=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #571466=CARTESIAN_POINT('Origin',(48.205,53.85,-0.035)); #571467=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #571468=CARTESIAN_POINT('',(48.205,53.85,0.)); #571469=CARTESIAN_POINT('Origin',(47.905,53.25,0.)); #571470=CARTESIAN_POINT('Origin',(47.905,53.25,-0.035)); #571471=CARTESIAN_POINT('Origin',(44.395,52.65,-0.035)); #571472=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #571473=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #571474=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #571475=CARTESIAN_POINT('',(44.395,53.85,0.)); #571476=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #571477=CARTESIAN_POINT('',(44.395,52.65,0.)); #571478=CARTESIAN_POINT('',(44.395,52.65,0.)); #571479=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #571480=CARTESIAN_POINT('Origin',(43.795,52.65,-0.035)); #571481=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #571482=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #571483=CARTESIAN_POINT('',(43.795,52.65,0.)); #571484=CARTESIAN_POINT('',(43.795,52.65,0.)); #571485=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #571486=CARTESIAN_POINT('Origin',(43.795,53.85,-0.035)); #571487=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #571488=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #571489=CARTESIAN_POINT('',(43.795,53.85,0.)); #571490=CARTESIAN_POINT('',(43.795,53.85,0.)); #571491=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #571492=CARTESIAN_POINT('Origin',(44.395,53.85,-0.035)); #571493=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #571494=CARTESIAN_POINT('',(44.395,53.85,0.)); #571495=CARTESIAN_POINT('Origin',(44.095,53.25,0.)); #571496=CARTESIAN_POINT('Origin',(44.095,53.25,-0.035)); #571497=CARTESIAN_POINT('Origin',(46.935,49.15,-0.035)); #571498=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #571499=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #571500=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #571501=CARTESIAN_POINT('',(46.935,50.35,0.)); #571502=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #571503=CARTESIAN_POINT('',(46.935,49.15,0.)); #571504=CARTESIAN_POINT('',(46.935,49.15,0.)); #571505=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #571506=CARTESIAN_POINT('Origin',(46.335,49.15,-0.035)); #571507=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #571508=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #571509=CARTESIAN_POINT('',(46.335,49.15,0.)); #571510=CARTESIAN_POINT('',(46.335,49.15,0.)); #571511=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #571512=CARTESIAN_POINT('Origin',(46.335,50.35,-0.035)); #571513=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #571514=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #571515=CARTESIAN_POINT('',(46.335,50.35,0.)); #571516=CARTESIAN_POINT('',(46.335,50.35,0.)); #571517=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #571518=CARTESIAN_POINT('Origin',(46.935,50.35,-0.035)); #571519=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #571520=CARTESIAN_POINT('',(46.935,50.35,0.)); #571521=CARTESIAN_POINT('Origin',(46.635,49.75,0.)); #571522=CARTESIAN_POINT('Origin',(46.635,49.75,-0.035)); #571523=CARTESIAN_POINT('Origin',(46.935,52.65,-0.035)); #571524=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #571525=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #571526=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #571527=CARTESIAN_POINT('',(46.935,53.85,0.)); #571528=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #571529=CARTESIAN_POINT('',(46.935,52.65,0.)); #571530=CARTESIAN_POINT('',(46.935,52.65,0.)); #571531=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #571532=CARTESIAN_POINT('Origin',(46.335,52.65,-0.035)); #571533=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #571534=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #571535=CARTESIAN_POINT('',(46.335,52.65,0.)); #571536=CARTESIAN_POINT('',(46.335,52.65,0.)); #571537=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #571538=CARTESIAN_POINT('Origin',(46.335,53.85,-0.035)); #571539=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #571540=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #571541=CARTESIAN_POINT('',(46.335,53.85,0.)); #571542=CARTESIAN_POINT('',(46.335,53.85,0.)); #571543=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #571544=CARTESIAN_POINT('Origin',(46.935,53.85,-0.035)); #571545=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #571546=CARTESIAN_POINT('',(46.935,53.85,0.)); #571547=CARTESIAN_POINT('Origin',(46.635,53.25,0.)); #571548=CARTESIAN_POINT('Origin',(46.635,53.25,-0.035)); #571549=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #571550=CARTESIAN_POINT('',(44.985,56.75,-0.0349999999999895)); #571551=CARTESIAN_POINT('Origin',(45.125,56.75,-0.0349999999999895)); #571552=CARTESIAN_POINT('',(44.985,56.75,0.)); #571553=CARTESIAN_POINT('',(44.985,56.75,-200.)); #571554=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #571555=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #571556=CARTESIAN_POINT('',(47.61,56.75,-0.0349999999999895)); #571557=CARTESIAN_POINT('Origin',(47.75,56.75,-0.0349999999999895)); #571558=CARTESIAN_POINT('',(47.61,56.75,0.)); #571559=CARTESIAN_POINT('',(47.61,56.75,-200.)); #571560=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #571561=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #571562=CARTESIAN_POINT('',(47.61,55.25,-0.0349999999999895)); #571563=CARTESIAN_POINT('Origin',(47.75,55.25,-0.0349999999999895)); #571564=CARTESIAN_POINT('',(47.61,55.25,0.)); #571565=CARTESIAN_POINT('',(47.61,55.25,-200.)); #571566=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #571567=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #571568=CARTESIAN_POINT('',(47.61,56.,-0.0349999999999895)); #571569=CARTESIAN_POINT('Origin',(47.75,56.,-0.0349999999999895)); #571570=CARTESIAN_POINT('',(47.61,56.,0.)); #571571=CARTESIAN_POINT('',(47.61,56.,-200.)); #571572=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #571573=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #571574=CARTESIAN_POINT('',(45.86,56.,-0.0349999999999895)); #571575=CARTESIAN_POINT('Origin',(46.,56.,-0.0349999999999895)); #571576=CARTESIAN_POINT('',(45.86,56.,0.)); #571577=CARTESIAN_POINT('',(45.86,56.,-200.)); #571578=CARTESIAN_POINT('Origin',(46.,56.,0.)); #571579=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #571580=CARTESIAN_POINT('',(44.11,56.,-0.0349999999999895)); #571581=CARTESIAN_POINT('Origin',(44.25,56.,-0.0349999999999895)); #571582=CARTESIAN_POINT('',(44.11,56.,0.)); #571583=CARTESIAN_POINT('',(44.11,56.,-200.)); #571584=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #571585=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #571586=CARTESIAN_POINT('',(45.86,56.75,-0.0349999999999895)); #571587=CARTESIAN_POINT('Origin',(46.,56.75,-0.0349999999999895)); #571588=CARTESIAN_POINT('',(45.86,56.75,0.)); #571589=CARTESIAN_POINT('',(45.86,56.75,-200.)); #571590=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #571591=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #571592=CARTESIAN_POINT('',(45.86,55.25,-0.0349999999999895)); #571593=CARTESIAN_POINT('Origin',(46.,55.25,-0.0349999999999895)); #571594=CARTESIAN_POINT('',(45.86,55.25,0.)); #571595=CARTESIAN_POINT('',(45.86,55.25,-200.)); #571596=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #571597=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #571598=CARTESIAN_POINT('',(44.11,56.75,-0.0349999999999895)); #571599=CARTESIAN_POINT('Origin',(44.25,56.75,-0.0349999999999895)); #571600=CARTESIAN_POINT('',(44.11,56.75,0.)); #571601=CARTESIAN_POINT('',(44.11,56.75,-200.)); #571602=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #571603=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #571604=CARTESIAN_POINT('',(44.11,55.25,-0.0349999999999895)); #571605=CARTESIAN_POINT('Origin',(44.25,55.25,-0.0349999999999895)); #571606=CARTESIAN_POINT('',(44.11,55.25,0.)); #571607=CARTESIAN_POINT('',(44.11,55.25,-200.)); #571608=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #571609=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #571610=CARTESIAN_POINT('',(46.735,56.,-0.0349999999999895)); #571611=CARTESIAN_POINT('Origin',(46.875,56.,-0.0349999999999895)); #571612=CARTESIAN_POINT('',(46.735,56.,0.)); #571613=CARTESIAN_POINT('',(46.735,56.,-200.)); #571614=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #571615=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #571616=CARTESIAN_POINT('',(46.735,55.25,-0.0349999999999895)); #571617=CARTESIAN_POINT('Origin',(46.875,55.25,-0.0349999999999895)); #571618=CARTESIAN_POINT('',(46.735,55.25,0.)); #571619=CARTESIAN_POINT('',(46.735,55.25,-200.)); #571620=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #571621=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #571622=CARTESIAN_POINT('',(44.985,56.,-0.0349999999999895)); #571623=CARTESIAN_POINT('Origin',(45.125,56.,-0.0349999999999895)); #571624=CARTESIAN_POINT('',(44.985,56.,0.)); #571625=CARTESIAN_POINT('',(44.985,56.,-200.)); #571626=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #571627=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #571628=CARTESIAN_POINT('',(44.985,55.25,-0.0349999999999895)); #571629=CARTESIAN_POINT('Origin',(45.125,55.25,-0.0349999999999895)); #571630=CARTESIAN_POINT('',(44.985,55.25,0.)); #571631=CARTESIAN_POINT('',(44.985,55.25,-200.)); #571632=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #571633=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #571634=CARTESIAN_POINT('',(46.735,56.75,-0.0349999999999895)); #571635=CARTESIAN_POINT('Origin',(46.875,56.75,-0.0349999999999895)); #571636=CARTESIAN_POINT('',(46.735,56.75,0.)); #571637=CARTESIAN_POINT('',(46.735,56.75,-200.)); #571638=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #571639=CARTESIAN_POINT('Origin',(48.205,54.75,-0.035)); #571640=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #571641=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #571642=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #571643=CARTESIAN_POINT('',(48.205,57.25,0.)); #571644=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #571645=CARTESIAN_POINT('',(48.205,54.75,0.)); #571646=CARTESIAN_POINT('',(48.205,54.75,0.)); #571647=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #571648=CARTESIAN_POINT('Origin',(43.795,54.75,-0.035)); #571649=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #571650=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #571651=CARTESIAN_POINT('',(43.795,54.75,0.)); #571652=CARTESIAN_POINT('',(43.795,54.75,0.)); #571653=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #571654=CARTESIAN_POINT('Origin',(43.795,57.25,-0.035)); #571655=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #571656=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #571657=CARTESIAN_POINT('',(43.795,57.25,0.)); #571658=CARTESIAN_POINT('',(43.795,57.25,0.)); #571659=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #571660=CARTESIAN_POINT('Origin',(48.205,57.25,-0.035)); #571661=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #571662=CARTESIAN_POINT('',(48.205,57.25,0.)); #571663=CARTESIAN_POINT('Origin',(46.,56.,0.)); #571664=CARTESIAN_POINT('Origin',(46.,56.,-0.035)); #571665=CARTESIAN_POINT('Origin',(44.395,49.15,-0.035)); #571666=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #571667=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #571668=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #571669=CARTESIAN_POINT('',(44.395,50.35,0.)); #571670=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #571671=CARTESIAN_POINT('',(44.395,49.15,0.)); #571672=CARTESIAN_POINT('',(44.395,49.15,0.)); #571673=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #571674=CARTESIAN_POINT('Origin',(43.795,49.15,-0.035)); #571675=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #571676=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #571677=CARTESIAN_POINT('',(43.795,49.15,0.)); #571678=CARTESIAN_POINT('',(43.795,49.15,0.)); #571679=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #571680=CARTESIAN_POINT('Origin',(43.795,50.35,-0.035)); #571681=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #571682=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #571683=CARTESIAN_POINT('',(43.795,50.35,0.)); #571684=CARTESIAN_POINT('',(43.795,50.35,0.)); #571685=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #571686=CARTESIAN_POINT('Origin',(44.395,50.35,-0.035)); #571687=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #571688=CARTESIAN_POINT('',(44.395,50.35,0.)); #571689=CARTESIAN_POINT('Origin',(44.095,49.75,0.)); #571690=CARTESIAN_POINT('Origin',(44.095,49.75,-0.035)); #571691=CARTESIAN_POINT('Origin',(45.665,52.65,-0.035)); #571692=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #571693=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #571694=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #571695=CARTESIAN_POINT('',(45.665,53.85,0.)); #571696=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #571697=CARTESIAN_POINT('',(45.665,52.65,0.)); #571698=CARTESIAN_POINT('',(45.665,52.65,0.)); #571699=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #571700=CARTESIAN_POINT('Origin',(45.065,52.65,-0.035)); #571701=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #571702=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #571703=CARTESIAN_POINT('',(45.065,52.65,0.)); #571704=CARTESIAN_POINT('',(45.065,52.65,0.)); #571705=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #571706=CARTESIAN_POINT('Origin',(45.065,53.85,-0.035)); #571707=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #571708=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #571709=CARTESIAN_POINT('',(45.065,53.85,0.)); #571710=CARTESIAN_POINT('',(45.065,53.85,0.)); #571711=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #571712=CARTESIAN_POINT('Origin',(45.665,53.85,-0.035)); #571713=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #571714=CARTESIAN_POINT('',(45.665,53.85,0.)); #571715=CARTESIAN_POINT('Origin',(45.365,53.25,0.)); #571716=CARTESIAN_POINT('Origin',(45.365,53.25,-0.035)); #571717=CARTESIAN_POINT('Origin',(45.665,49.15,-0.035)); #571718=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #571719=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #571720=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #571721=CARTESIAN_POINT('',(45.665,50.35,0.)); #571722=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #571723=CARTESIAN_POINT('',(45.665,49.15,0.)); #571724=CARTESIAN_POINT('',(45.665,49.15,0.)); #571725=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #571726=CARTESIAN_POINT('Origin',(45.065,49.15,-0.035)); #571727=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #571728=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #571729=CARTESIAN_POINT('',(45.065,49.15,0.)); #571730=CARTESIAN_POINT('',(45.065,49.15,0.)); #571731=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #571732=CARTESIAN_POINT('Origin',(45.065,50.35,-0.035)); #571733=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #571734=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #571735=CARTESIAN_POINT('',(45.065,50.35,0.)); #571736=CARTESIAN_POINT('',(45.065,50.35,0.)); #571737=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #571738=CARTESIAN_POINT('Origin',(45.665,50.35,-0.035)); #571739=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #571740=CARTESIAN_POINT('',(45.665,50.35,0.)); #571741=CARTESIAN_POINT('Origin',(45.365,49.75,0.)); #571742=CARTESIAN_POINT('Origin',(45.365,49.75,-0.035)); #571743=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #571744=CARTESIAN_POINT('',(29.348,53.,-0.0349999999999895)); #571745=CARTESIAN_POINT('Origin',(29.488,53.,-0.0349999999999895)); #571746=CARTESIAN_POINT('',(29.348,53.,0.)); #571747=CARTESIAN_POINT('',(29.348,53.,-200.)); #571748=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #571749=CARTESIAN_POINT('Origin',(30.,52.25,-0.035)); #571750=CARTESIAN_POINT('',(30.,52.25,-0.035)); #571751=CARTESIAN_POINT('',(30.,57.75,-0.035)); #571752=CARTESIAN_POINT('',(30.,52.25,-0.035)); #571753=CARTESIAN_POINT('',(30.,57.75,0.)); #571754=CARTESIAN_POINT('',(30.,57.75,-0.035)); #571755=CARTESIAN_POINT('',(30.,52.25,0.)); #571756=CARTESIAN_POINT('',(30.,52.25,0.)); #571757=CARTESIAN_POINT('',(30.,52.25,-0.035)); #571758=CARTESIAN_POINT('Origin',(26.75,52.25,-0.035)); #571759=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #571760=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #571761=CARTESIAN_POINT('',(26.75,52.25,0.)); #571762=CARTESIAN_POINT('',(26.75,52.25,0.)); #571763=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #571764=CARTESIAN_POINT('Origin',(26.75,57.75,-0.035)); #571765=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #571766=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #571767=CARTESIAN_POINT('',(26.75,57.75,0.)); #571768=CARTESIAN_POINT('',(26.75,57.75,0.)); #571769=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #571770=CARTESIAN_POINT('Origin',(30.,57.75,-0.035)); #571771=CARTESIAN_POINT('',(30.,57.75,-0.035)); #571772=CARTESIAN_POINT('',(30.,57.75,0.)); #571773=CARTESIAN_POINT('Origin',(28.375,55.,0.)); #571774=CARTESIAN_POINT('Origin',(28.375,55.,-0.035)); #571775=CARTESIAN_POINT('Origin',(24.205,52.65,-0.035)); #571776=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #571777=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #571778=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #571779=CARTESIAN_POINT('',(24.205,53.85,0.)); #571780=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #571781=CARTESIAN_POINT('',(24.205,52.65,0.)); #571782=CARTESIAN_POINT('',(24.205,52.65,0.)); #571783=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #571784=CARTESIAN_POINT('Origin',(23.605,52.65,-0.035)); #571785=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #571786=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #571787=CARTESIAN_POINT('',(23.605,52.65,0.)); #571788=CARTESIAN_POINT('',(23.605,52.65,0.)); #571789=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #571790=CARTESIAN_POINT('Origin',(23.605,53.85,-0.035)); #571791=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #571792=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #571793=CARTESIAN_POINT('',(23.605,53.85,0.)); #571794=CARTESIAN_POINT('',(23.605,53.85,0.)); #571795=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #571796=CARTESIAN_POINT('Origin',(24.205,53.85,-0.035)); #571797=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #571798=CARTESIAN_POINT('',(24.205,53.85,0.)); #571799=CARTESIAN_POINT('Origin',(23.905,53.25,0.)); #571800=CARTESIAN_POINT('Origin',(23.905,53.25,-0.035)); #571801=CARTESIAN_POINT('Origin',(20.395,52.65,-0.035)); #571802=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #571803=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #571804=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #571805=CARTESIAN_POINT('',(20.395,53.85,0.)); #571806=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #571807=CARTESIAN_POINT('',(20.395,52.65,0.)); #571808=CARTESIAN_POINT('',(20.395,52.65,0.)); #571809=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #571810=CARTESIAN_POINT('Origin',(19.795,52.65,-0.035)); #571811=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #571812=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #571813=CARTESIAN_POINT('',(19.795,52.65,0.)); #571814=CARTESIAN_POINT('',(19.795,52.65,0.)); #571815=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #571816=CARTESIAN_POINT('Origin',(19.795,53.85,-0.035)); #571817=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #571818=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #571819=CARTESIAN_POINT('',(19.795,53.85,0.)); #571820=CARTESIAN_POINT('',(19.795,53.85,0.)); #571821=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #571822=CARTESIAN_POINT('Origin',(20.395,53.85,-0.035)); #571823=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #571824=CARTESIAN_POINT('',(20.395,53.85,0.)); #571825=CARTESIAN_POINT('Origin',(20.095,53.25,0.)); #571826=CARTESIAN_POINT('Origin',(20.095,53.25,-0.035)); #571827=CARTESIAN_POINT('Origin',(22.935,49.15,-0.035)); #571828=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #571829=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #571830=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #571831=CARTESIAN_POINT('',(22.935,50.35,0.)); #571832=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #571833=CARTESIAN_POINT('',(22.935,49.15,0.)); #571834=CARTESIAN_POINT('',(22.935,49.15,0.)); #571835=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #571836=CARTESIAN_POINT('Origin',(22.335,49.15,-0.035)); #571837=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #571838=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #571839=CARTESIAN_POINT('',(22.335,49.15,0.)); #571840=CARTESIAN_POINT('',(22.335,49.15,0.)); #571841=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #571842=CARTESIAN_POINT('Origin',(22.335,50.35,-0.035)); #571843=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #571844=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #571845=CARTESIAN_POINT('',(22.335,50.35,0.)); #571846=CARTESIAN_POINT('',(22.335,50.35,0.)); #571847=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #571848=CARTESIAN_POINT('Origin',(22.935,50.35,-0.035)); #571849=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #571850=CARTESIAN_POINT('',(22.935,50.35,0.)); #571851=CARTESIAN_POINT('Origin',(22.635,49.75,0.)); #571852=CARTESIAN_POINT('Origin',(22.635,49.75,-0.035)); #571853=CARTESIAN_POINT('Origin',(22.935,52.65,-0.035)); #571854=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #571855=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #571856=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #571857=CARTESIAN_POINT('',(22.935,53.85,0.)); #571858=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #571859=CARTESIAN_POINT('',(22.935,52.65,0.)); #571860=CARTESIAN_POINT('',(22.935,52.65,0.)); #571861=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #571862=CARTESIAN_POINT('Origin',(22.335,52.65,-0.035)); #571863=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #571864=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #571865=CARTESIAN_POINT('',(22.335,52.65,0.)); #571866=CARTESIAN_POINT('',(22.335,52.65,0.)); #571867=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #571868=CARTESIAN_POINT('Origin',(22.335,53.85,-0.035)); #571869=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #571870=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #571871=CARTESIAN_POINT('',(22.335,53.85,0.)); #571872=CARTESIAN_POINT('',(22.335,53.85,0.)); #571873=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #571874=CARTESIAN_POINT('Origin',(22.935,53.85,-0.035)); #571875=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #571876=CARTESIAN_POINT('',(22.935,53.85,0.)); #571877=CARTESIAN_POINT('Origin',(22.635,53.25,0.)); #571878=CARTESIAN_POINT('Origin',(22.635,53.25,-0.035)); #571879=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #571880=CARTESIAN_POINT('',(23.61,56.,-0.0349999999999895)); #571881=CARTESIAN_POINT('Origin',(23.75,56.,-0.0349999999999895)); #571882=CARTESIAN_POINT('',(23.61,56.,0.)); #571883=CARTESIAN_POINT('',(23.61,56.,-200.)); #571884=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #571885=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #571886=CARTESIAN_POINT('',(23.61,55.25,-0.0349999999999895)); #571887=CARTESIAN_POINT('Origin',(23.75,55.25,-0.0349999999999895)); #571888=CARTESIAN_POINT('',(23.61,55.25,0.)); #571889=CARTESIAN_POINT('',(23.61,55.25,-200.)); #571890=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #571891=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #571892=CARTESIAN_POINT('',(21.86,56.75,-0.0349999999999895)); #571893=CARTESIAN_POINT('Origin',(22.,56.75,-0.0349999999999895)); #571894=CARTESIAN_POINT('',(21.86,56.75,0.)); #571895=CARTESIAN_POINT('',(21.86,56.75,-200.)); #571896=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #571897=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #571898=CARTESIAN_POINT('',(21.86,55.25,-0.0349999999999895)); #571899=CARTESIAN_POINT('Origin',(22.,55.25,-0.0349999999999895)); #571900=CARTESIAN_POINT('',(21.86,55.25,0.)); #571901=CARTESIAN_POINT('',(21.86,55.25,-200.)); #571902=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #571903=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #571904=CARTESIAN_POINT('',(20.11,55.25,-0.0349999999999895)); #571905=CARTESIAN_POINT('Origin',(20.25,55.25,-0.0349999999999895)); #571906=CARTESIAN_POINT('',(20.11,55.25,0.)); #571907=CARTESIAN_POINT('',(20.11,55.25,-200.)); #571908=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #571909=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #571910=CARTESIAN_POINT('',(20.11,56.75,-0.0349999999999895)); #571911=CARTESIAN_POINT('Origin',(20.25,56.75,-0.0349999999999895)); #571912=CARTESIAN_POINT('',(20.11,56.75,0.)); #571913=CARTESIAN_POINT('',(20.11,56.75,-200.)); #571914=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #571915=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #571916=CARTESIAN_POINT('',(20.11,56.,-0.0349999999999895)); #571917=CARTESIAN_POINT('Origin',(20.25,56.,-0.0349999999999895)); #571918=CARTESIAN_POINT('',(20.11,56.,0.)); #571919=CARTESIAN_POINT('',(20.11,56.,-200.)); #571920=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #571921=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #571922=CARTESIAN_POINT('',(21.86,56.,-0.0349999999999895)); #571923=CARTESIAN_POINT('Origin',(22.,56.,-0.0349999999999895)); #571924=CARTESIAN_POINT('',(21.86,56.,0.)); #571925=CARTESIAN_POINT('',(21.86,56.,-200.)); #571926=CARTESIAN_POINT('Origin',(22.,56.,0.)); #571927=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #571928=CARTESIAN_POINT('',(20.985,56.75,-0.0349999999999895)); #571929=CARTESIAN_POINT('Origin',(21.125,56.75,-0.0349999999999895)); #571930=CARTESIAN_POINT('',(20.985,56.75,0.)); #571931=CARTESIAN_POINT('',(20.985,56.75,-200.)); #571932=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #571933=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #571934=CARTESIAN_POINT('',(20.985,55.25,-0.0349999999999895)); #571935=CARTESIAN_POINT('Origin',(21.125,55.25,-0.0349999999999895)); #571936=CARTESIAN_POINT('',(20.985,55.25,0.)); #571937=CARTESIAN_POINT('',(20.985,55.25,-200.)); #571938=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #571939=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #571940=CARTESIAN_POINT('',(20.985,56.,-0.0349999999999895)); #571941=CARTESIAN_POINT('Origin',(21.125,56.,-0.0349999999999895)); #571942=CARTESIAN_POINT('',(20.985,56.,0.)); #571943=CARTESIAN_POINT('',(20.985,56.,-200.)); #571944=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #571945=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #571946=CARTESIAN_POINT('',(22.735,56.75,-0.0349999999999895)); #571947=CARTESIAN_POINT('Origin',(22.875,56.75,-0.0349999999999895)); #571948=CARTESIAN_POINT('',(22.735,56.75,0.)); #571949=CARTESIAN_POINT('',(22.735,56.75,-200.)); #571950=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #571951=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #571952=CARTESIAN_POINT('',(23.61,56.75,-0.0349999999999895)); #571953=CARTESIAN_POINT('Origin',(23.75,56.75,-0.0349999999999895)); #571954=CARTESIAN_POINT('',(23.61,56.75,0.)); #571955=CARTESIAN_POINT('',(23.61,56.75,-200.)); #571956=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #571957=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #571958=CARTESIAN_POINT('',(22.735,56.,-0.0349999999999895)); #571959=CARTESIAN_POINT('Origin',(22.875,56.,-0.0349999999999895)); #571960=CARTESIAN_POINT('',(22.735,56.,0.)); #571961=CARTESIAN_POINT('',(22.735,56.,-200.)); #571962=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #571963=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #571964=CARTESIAN_POINT('',(22.735,55.25,-0.0349999999999895)); #571965=CARTESIAN_POINT('Origin',(22.875,55.25,-0.0349999999999895)); #571966=CARTESIAN_POINT('',(22.735,55.25,0.)); #571967=CARTESIAN_POINT('',(22.735,55.25,-200.)); #571968=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #571969=CARTESIAN_POINT('Origin',(24.205,54.75,-0.035)); #571970=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #571971=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #571972=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #571973=CARTESIAN_POINT('',(24.205,57.25,0.)); #571974=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #571975=CARTESIAN_POINT('',(24.205,54.75,0.)); #571976=CARTESIAN_POINT('',(24.205,54.75,0.)); #571977=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #571978=CARTESIAN_POINT('Origin',(19.795,54.75,-0.035)); #571979=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #571980=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #571981=CARTESIAN_POINT('',(19.795,54.75,0.)); #571982=CARTESIAN_POINT('',(19.795,54.75,0.)); #571983=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #571984=CARTESIAN_POINT('Origin',(19.795,57.25,-0.035)); #571985=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #571986=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #571987=CARTESIAN_POINT('',(19.795,57.25,0.)); #571988=CARTESIAN_POINT('',(19.795,57.25,0.)); #571989=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #571990=CARTESIAN_POINT('Origin',(24.205,57.25,-0.035)); #571991=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #571992=CARTESIAN_POINT('',(24.205,57.25,0.)); #571993=CARTESIAN_POINT('Origin',(22.,56.,0.)); #571994=CARTESIAN_POINT('Origin',(22.,56.,-0.035)); #571995=CARTESIAN_POINT('Origin',(20.395,49.15,-0.035)); #571996=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #571997=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #571998=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #571999=CARTESIAN_POINT('',(20.395,50.35,0.)); #572000=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #572001=CARTESIAN_POINT('',(20.395,49.15,0.)); #572002=CARTESIAN_POINT('',(20.395,49.15,0.)); #572003=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #572004=CARTESIAN_POINT('Origin',(19.795,49.15,-0.035)); #572005=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #572006=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #572007=CARTESIAN_POINT('',(19.795,49.15,0.)); #572008=CARTESIAN_POINT('',(19.795,49.15,0.)); #572009=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #572010=CARTESIAN_POINT('Origin',(19.795,50.35,-0.035)); #572011=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #572012=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #572013=CARTESIAN_POINT('',(19.795,50.35,0.)); #572014=CARTESIAN_POINT('',(19.795,50.35,0.)); #572015=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #572016=CARTESIAN_POINT('Origin',(20.395,50.35,-0.035)); #572017=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #572018=CARTESIAN_POINT('',(20.395,50.35,0.)); #572019=CARTESIAN_POINT('Origin',(20.095,49.75,0.)); #572020=CARTESIAN_POINT('Origin',(20.095,49.75,-0.035)); #572021=CARTESIAN_POINT('Origin',(21.665,52.65,-0.035)); #572022=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #572023=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #572024=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #572025=CARTESIAN_POINT('',(21.665,53.85,0.)); #572026=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #572027=CARTESIAN_POINT('',(21.665,52.65,0.)); #572028=CARTESIAN_POINT('',(21.665,52.65,0.)); #572029=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #572030=CARTESIAN_POINT('Origin',(21.065,52.65,-0.035)); #572031=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #572032=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #572033=CARTESIAN_POINT('',(21.065,52.65,0.)); #572034=CARTESIAN_POINT('',(21.065,52.65,0.)); #572035=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #572036=CARTESIAN_POINT('Origin',(21.065,53.85,-0.035)); #572037=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #572038=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #572039=CARTESIAN_POINT('',(21.065,53.85,0.)); #572040=CARTESIAN_POINT('',(21.065,53.85,0.)); #572041=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #572042=CARTESIAN_POINT('Origin',(21.665,53.85,-0.035)); #572043=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #572044=CARTESIAN_POINT('',(21.665,53.85,0.)); #572045=CARTESIAN_POINT('Origin',(21.365,53.25,0.)); #572046=CARTESIAN_POINT('Origin',(21.365,53.25,-0.035)); #572047=CARTESIAN_POINT('Origin',(21.665,49.15,-0.035)); #572048=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #572049=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #572050=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #572051=CARTESIAN_POINT('',(21.665,50.35,0.)); #572052=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #572053=CARTESIAN_POINT('',(21.665,49.15,0.)); #572054=CARTESIAN_POINT('',(21.665,49.15,0.)); #572055=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #572056=CARTESIAN_POINT('Origin',(21.065,49.15,-0.035)); #572057=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #572058=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #572059=CARTESIAN_POINT('',(21.065,49.15,0.)); #572060=CARTESIAN_POINT('',(21.065,49.15,0.)); #572061=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #572062=CARTESIAN_POINT('Origin',(21.065,50.35,-0.035)); #572063=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #572064=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #572065=CARTESIAN_POINT('',(21.065,50.35,0.)); #572066=CARTESIAN_POINT('',(21.065,50.35,0.)); #572067=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #572068=CARTESIAN_POINT('Origin',(21.665,50.35,-0.035)); #572069=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #572070=CARTESIAN_POINT('',(21.665,50.35,0.)); #572071=CARTESIAN_POINT('Origin',(21.365,49.75,0.)); #572072=CARTESIAN_POINT('Origin',(21.365,49.75,-0.035)); #572073=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #572074=CARTESIAN_POINT('',(23.765,49.75,-0.0349999999999895)); #572075=CARTESIAN_POINT('Origin',(23.905,49.75,-0.0349999999999895)); #572076=CARTESIAN_POINT('',(23.765,49.75,0.)); #572077=CARTESIAN_POINT('',(23.765,49.75,-200.)); #572078=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #572079=CARTESIAN_POINT('Origin',(24.205,49.15,-0.035)); #572080=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #572081=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #572082=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #572083=CARTESIAN_POINT('',(24.205,50.35,0.)); #572084=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #572085=CARTESIAN_POINT('',(24.205,49.15,0.)); #572086=CARTESIAN_POINT('',(24.205,49.15,0.)); #572087=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #572088=CARTESIAN_POINT('Origin',(23.605,49.15,-0.035)); #572089=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #572090=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #572091=CARTESIAN_POINT('',(23.605,49.15,0.)); #572092=CARTESIAN_POINT('',(23.605,49.15,0.)); #572093=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #572094=CARTESIAN_POINT('Origin',(23.605,50.35,-0.035)); #572095=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #572096=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #572097=CARTESIAN_POINT('',(23.605,50.35,0.)); #572098=CARTESIAN_POINT('',(23.605,50.35,0.)); #572099=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #572100=CARTESIAN_POINT('Origin',(24.205,50.35,-0.035)); #572101=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #572102=CARTESIAN_POINT('',(24.205,50.35,0.)); #572103=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #572104=CARTESIAN_POINT('Origin',(23.905,49.75,-0.035)); #572105=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #572106=CARTESIAN_POINT('',(23.765,58.75,-0.0349999999999895)); #572107=CARTESIAN_POINT('Origin',(23.905,58.75,-0.0349999999999895)); #572108=CARTESIAN_POINT('',(23.765,58.75,0.)); #572109=CARTESIAN_POINT('',(23.765,58.75,-200.)); #572110=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #572111=CARTESIAN_POINT('Origin',(24.205,58.15,-0.035)); #572112=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #572113=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #572114=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #572115=CARTESIAN_POINT('',(24.205,59.35,0.)); #572116=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #572117=CARTESIAN_POINT('',(24.205,58.15,0.)); #572118=CARTESIAN_POINT('',(24.205,58.15,0.)); #572119=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #572120=CARTESIAN_POINT('Origin',(23.605,58.15,-0.035)); #572121=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #572122=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #572123=CARTESIAN_POINT('',(23.605,58.15,0.)); #572124=CARTESIAN_POINT('',(23.605,58.15,0.)); #572125=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #572126=CARTESIAN_POINT('Origin',(23.605,59.35,-0.035)); #572127=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #572128=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #572129=CARTESIAN_POINT('',(23.605,59.35,0.)); #572130=CARTESIAN_POINT('',(23.605,59.35,0.)); #572131=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #572132=CARTESIAN_POINT('Origin',(24.205,59.35,-0.035)); #572133=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #572134=CARTESIAN_POINT('',(24.205,59.35,0.)); #572135=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #572136=CARTESIAN_POINT('Origin',(23.905,58.75,-0.035)); #572137=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #572138=CARTESIAN_POINT('',(47.765,49.75,-0.0349999999999895)); #572139=CARTESIAN_POINT('Origin',(47.905,49.75,-0.0349999999999895)); #572140=CARTESIAN_POINT('',(47.765,49.75,0.)); #572141=CARTESIAN_POINT('',(47.765,49.75,-200.)); #572142=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #572143=CARTESIAN_POINT('Origin',(48.205,49.15,-0.035)); #572144=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #572145=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #572146=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #572147=CARTESIAN_POINT('',(48.205,50.35,0.)); #572148=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #572149=CARTESIAN_POINT('',(48.205,49.15,0.)); #572150=CARTESIAN_POINT('',(48.205,49.15,0.)); #572151=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #572152=CARTESIAN_POINT('Origin',(47.605,49.15,-0.035)); #572153=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #572154=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #572155=CARTESIAN_POINT('',(47.605,49.15,0.)); #572156=CARTESIAN_POINT('',(47.605,49.15,0.)); #572157=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #572158=CARTESIAN_POINT('Origin',(47.605,50.35,-0.035)); #572159=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #572160=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #572161=CARTESIAN_POINT('',(47.605,50.35,0.)); #572162=CARTESIAN_POINT('',(47.605,50.35,0.)); #572163=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #572164=CARTESIAN_POINT('Origin',(48.205,50.35,-0.035)); #572165=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #572166=CARTESIAN_POINT('',(48.205,50.35,0.)); #572167=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #572168=CARTESIAN_POINT('Origin',(47.905,49.75,-0.035)); #572169=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #572170=CARTESIAN_POINT('',(23.61,47.,-0.0349999999999895)); #572171=CARTESIAN_POINT('Origin',(23.75,47.,-0.0349999999999895)); #572172=CARTESIAN_POINT('',(23.61,47.,0.)); #572173=CARTESIAN_POINT('',(23.61,47.,-200.)); #572174=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #572175=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #572176=CARTESIAN_POINT('',(20.11,47.,-0.0349999999999895)); #572177=CARTESIAN_POINT('Origin',(20.25,47.,-0.0349999999999895)); #572178=CARTESIAN_POINT('',(20.11,47.,0.)); #572179=CARTESIAN_POINT('',(20.11,47.,-200.)); #572180=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #572181=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #572182=CARTESIAN_POINT('',(23.61,46.25,-0.0349999999999895)); #572183=CARTESIAN_POINT('Origin',(23.75,46.25,-0.0349999999999895)); #572184=CARTESIAN_POINT('',(23.61,46.25,0.)); #572185=CARTESIAN_POINT('',(23.61,46.25,-200.)); #572186=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #572187=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #572188=CARTESIAN_POINT('',(21.86,46.25,-0.0349999999999895)); #572189=CARTESIAN_POINT('Origin',(22.,46.25,-0.0349999999999895)); #572190=CARTESIAN_POINT('',(21.86,46.25,0.)); #572191=CARTESIAN_POINT('',(21.86,46.25,-200.)); #572192=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #572193=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #572194=CARTESIAN_POINT('',(20.11,46.25,-0.0349999999999895)); #572195=CARTESIAN_POINT('Origin',(20.25,46.25,-0.0349999999999895)); #572196=CARTESIAN_POINT('',(20.11,46.25,0.)); #572197=CARTESIAN_POINT('',(20.11,46.25,-200.)); #572198=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #572199=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #572200=CARTESIAN_POINT('',(20.11,47.75,-0.0349999999999895)); #572201=CARTESIAN_POINT('Origin',(20.25,47.75,-0.0349999999999895)); #572202=CARTESIAN_POINT('',(20.11,47.75,0.)); #572203=CARTESIAN_POINT('',(20.11,47.75,-200.)); #572204=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #572205=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #572206=CARTESIAN_POINT('',(23.61,47.75,-0.0349999999999895)); #572207=CARTESIAN_POINT('Origin',(23.75,47.75,-0.0349999999999895)); #572208=CARTESIAN_POINT('',(23.61,47.75,0.)); #572209=CARTESIAN_POINT('',(23.61,47.75,-200.)); #572210=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #572211=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #572212=CARTESIAN_POINT('',(20.985,47.,-0.0349999999999895)); #572213=CARTESIAN_POINT('Origin',(21.125,47.,-0.0349999999999895)); #572214=CARTESIAN_POINT('',(20.985,47.,0.)); #572215=CARTESIAN_POINT('',(20.985,47.,-200.)); #572216=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #572217=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #572218=CARTESIAN_POINT('',(20.985,47.75,-0.0349999999999895)); #572219=CARTESIAN_POINT('Origin',(21.125,47.75,-0.0349999999999895)); #572220=CARTESIAN_POINT('',(20.985,47.75,0.)); #572221=CARTESIAN_POINT('',(20.985,47.75,-200.)); #572222=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #572223=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #572224=CARTESIAN_POINT('',(21.86,47.,-0.0349999999999895)); #572225=CARTESIAN_POINT('Origin',(22.,47.,-0.0349999999999895)); #572226=CARTESIAN_POINT('',(21.86,47.,0.)); #572227=CARTESIAN_POINT('',(21.86,47.,-200.)); #572228=CARTESIAN_POINT('Origin',(22.,47.,0.)); #572229=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #572230=CARTESIAN_POINT('',(21.86,47.75,-0.0349999999999895)); #572231=CARTESIAN_POINT('Origin',(22.,47.75,-0.0349999999999895)); #572232=CARTESIAN_POINT('',(21.86,47.75,0.)); #572233=CARTESIAN_POINT('',(21.86,47.75,-200.)); #572234=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #572235=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #572236=CARTESIAN_POINT('',(22.735,46.25,-0.0349999999999895)); #572237=CARTESIAN_POINT('Origin',(22.875,46.25,-0.0349999999999895)); #572238=CARTESIAN_POINT('',(22.735,46.25,0.)); #572239=CARTESIAN_POINT('',(22.735,46.25,-200.)); #572240=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #572241=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #572242=CARTESIAN_POINT('',(20.985,46.25,-0.0349999999999895)); #572243=CARTESIAN_POINT('Origin',(21.125,46.25,-0.0349999999999895)); #572244=CARTESIAN_POINT('',(20.985,46.25,0.)); #572245=CARTESIAN_POINT('',(20.985,46.25,-200.)); #572246=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #572247=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #572248=CARTESIAN_POINT('',(22.735,47.,-0.0349999999999895)); #572249=CARTESIAN_POINT('Origin',(22.875,47.,-0.0349999999999895)); #572250=CARTESIAN_POINT('',(22.735,47.,0.)); #572251=CARTESIAN_POINT('',(22.735,47.,-200.)); #572252=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #572253=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #572254=CARTESIAN_POINT('',(22.735,47.75,-0.0349999999999895)); #572255=CARTESIAN_POINT('Origin',(22.875,47.75,-0.0349999999999895)); #572256=CARTESIAN_POINT('',(22.735,47.75,0.)); #572257=CARTESIAN_POINT('',(22.735,47.75,-200.)); #572258=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #572259=CARTESIAN_POINT('Origin',(24.205,45.75,-0.035)); #572260=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #572261=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #572262=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #572263=CARTESIAN_POINT('',(24.205,48.25,0.)); #572264=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #572265=CARTESIAN_POINT('',(24.205,45.75,0.)); #572266=CARTESIAN_POINT('',(24.205,45.75,0.)); #572267=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #572268=CARTESIAN_POINT('Origin',(19.795,45.75,-0.035)); #572269=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #572270=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #572271=CARTESIAN_POINT('',(19.795,45.75,0.)); #572272=CARTESIAN_POINT('',(19.795,45.75,0.)); #572273=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #572274=CARTESIAN_POINT('Origin',(19.795,48.25,-0.035)); #572275=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #572276=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #572277=CARTESIAN_POINT('',(19.795,48.25,0.)); #572278=CARTESIAN_POINT('',(19.795,48.25,0.)); #572279=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #572280=CARTESIAN_POINT('Origin',(24.205,48.25,-0.035)); #572281=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #572282=CARTESIAN_POINT('',(24.205,48.25,0.)); #572283=CARTESIAN_POINT('Origin',(22.,47.,0.)); #572284=CARTESIAN_POINT('Origin',(22.,47.,-0.035)); #572285=CARTESIAN_POINT('Origin',(24.205,43.65,-0.035)); #572286=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #572287=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #572288=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #572289=CARTESIAN_POINT('',(24.205,44.85,0.)); #572290=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #572291=CARTESIAN_POINT('',(24.205,43.65,0.)); #572292=CARTESIAN_POINT('',(24.205,43.65,0.)); #572293=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #572294=CARTESIAN_POINT('Origin',(23.605,43.65,-0.035)); #572295=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #572296=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #572297=CARTESIAN_POINT('',(23.605,43.65,0.)); #572298=CARTESIAN_POINT('',(23.605,43.65,0.)); #572299=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #572300=CARTESIAN_POINT('Origin',(23.605,44.85,-0.035)); #572301=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #572302=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #572303=CARTESIAN_POINT('',(23.605,44.85,0.)); #572304=CARTESIAN_POINT('',(23.605,44.85,0.)); #572305=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #572306=CARTESIAN_POINT('Origin',(24.205,44.85,-0.035)); #572307=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #572308=CARTESIAN_POINT('',(24.205,44.85,0.)); #572309=CARTESIAN_POINT('Origin',(23.905,44.25,0.)); #572310=CARTESIAN_POINT('Origin',(23.905,44.25,-0.035)); #572311=CARTESIAN_POINT('Origin',(20.395,43.65,-0.035)); #572312=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #572313=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #572314=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #572315=CARTESIAN_POINT('',(20.395,44.85,0.)); #572316=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #572317=CARTESIAN_POINT('',(20.395,43.65,0.)); #572318=CARTESIAN_POINT('',(20.395,43.65,0.)); #572319=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #572320=CARTESIAN_POINT('Origin',(19.795,43.65,-0.035)); #572321=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #572322=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #572323=CARTESIAN_POINT('',(19.795,43.65,0.)); #572324=CARTESIAN_POINT('',(19.795,43.65,0.)); #572325=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #572326=CARTESIAN_POINT('Origin',(19.795,44.85,-0.035)); #572327=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #572328=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #572329=CARTESIAN_POINT('',(19.795,44.85,0.)); #572330=CARTESIAN_POINT('',(19.795,44.85,0.)); #572331=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #572332=CARTESIAN_POINT('Origin',(20.395,44.85,-0.035)); #572333=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #572334=CARTESIAN_POINT('',(20.395,44.85,0.)); #572335=CARTESIAN_POINT('Origin',(20.095,44.25,0.)); #572336=CARTESIAN_POINT('Origin',(20.095,44.25,-0.035)); #572337=CARTESIAN_POINT('Origin',(22.935,43.65,-0.035)); #572338=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #572339=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #572340=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #572341=CARTESIAN_POINT('',(22.935,44.85,0.)); #572342=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #572343=CARTESIAN_POINT('',(22.935,43.65,0.)); #572344=CARTESIAN_POINT('',(22.935,43.65,0.)); #572345=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #572346=CARTESIAN_POINT('Origin',(22.335,43.65,-0.035)); #572347=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #572348=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #572349=CARTESIAN_POINT('',(22.335,43.65,0.)); #572350=CARTESIAN_POINT('',(22.335,43.65,0.)); #572351=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #572352=CARTESIAN_POINT('Origin',(22.335,44.85,-0.035)); #572353=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #572354=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #572355=CARTESIAN_POINT('',(22.335,44.85,0.)); #572356=CARTESIAN_POINT('',(22.335,44.85,0.)); #572357=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #572358=CARTESIAN_POINT('Origin',(22.935,44.85,-0.035)); #572359=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #572360=CARTESIAN_POINT('',(22.935,44.85,0.)); #572361=CARTESIAN_POINT('Origin',(22.635,44.25,0.)); #572362=CARTESIAN_POINT('Origin',(22.635,44.25,-0.035)); #572363=CARTESIAN_POINT('Origin',(15.1811,44.5555,-0.035)); #572364=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #572365=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #572366=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #572367=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #572368=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #572369=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #572370=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #572371=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #572372=CARTESIAN_POINT('Origin',(14.3429,44.5555,-0.035)); #572373=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #572374=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #572375=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #572376=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #572377=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #572378=CARTESIAN_POINT('Origin',(14.3429,45.4445,-0.035)); #572379=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #572380=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #572381=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #572382=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #572383=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #572384=CARTESIAN_POINT('Origin',(15.1811,45.4445,-0.035)); #572385=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #572386=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #572387=CARTESIAN_POINT('Origin',(14.762,45.,0.)); #572388=CARTESIAN_POINT('Origin',(14.762,45.,-0.035)); #572389=CARTESIAN_POINT('Origin',(15.1811,41.5555,-0.035)); #572390=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #572391=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #572392=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #572393=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #572394=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #572395=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #572396=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #572397=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #572398=CARTESIAN_POINT('Origin',(14.3429,41.5555,-0.035)); #572399=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #572400=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #572401=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #572402=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #572403=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #572404=CARTESIAN_POINT('Origin',(14.3429,42.4445,-0.035)); #572405=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #572406=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #572407=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #572408=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #572409=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #572410=CARTESIAN_POINT('Origin',(15.1811,42.4445,-0.035)); #572411=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #572412=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #572413=CARTESIAN_POINT('Origin',(14.762,42.,0.)); #572414=CARTESIAN_POINT('Origin',(14.762,42.,-0.035)); #572415=CARTESIAN_POINT('Origin',(21.665,43.65,-0.035)); #572416=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #572417=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #572418=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #572419=CARTESIAN_POINT('',(21.665,44.85,0.)); #572420=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #572421=CARTESIAN_POINT('',(21.665,43.65,0.)); #572422=CARTESIAN_POINT('',(21.665,43.65,0.)); #572423=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #572424=CARTESIAN_POINT('Origin',(21.065,43.65,-0.035)); #572425=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #572426=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #572427=CARTESIAN_POINT('',(21.065,43.65,0.)); #572428=CARTESIAN_POINT('',(21.065,43.65,0.)); #572429=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #572430=CARTESIAN_POINT('Origin',(21.065,44.85,-0.035)); #572431=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #572432=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #572433=CARTESIAN_POINT('',(21.065,44.85,0.)); #572434=CARTESIAN_POINT('',(21.065,44.85,0.)); #572435=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #572436=CARTESIAN_POINT('Origin',(21.665,44.85,-0.035)); #572437=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #572438=CARTESIAN_POINT('',(21.665,44.85,0.)); #572439=CARTESIAN_POINT('Origin',(21.365,44.25,0.)); #572440=CARTESIAN_POINT('Origin',(21.365,44.25,-0.035)); #572441=CARTESIAN_POINT('Origin',(31.1811,65.5555,-0.035)); #572442=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #572443=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #572444=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #572445=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #572446=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #572447=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #572448=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #572449=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #572450=CARTESIAN_POINT('Origin',(30.3429,65.5555,-0.035)); #572451=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #572452=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #572453=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #572454=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #572455=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #572456=CARTESIAN_POINT('Origin',(30.3429,66.4445,-0.035)); #572457=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #572458=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #572459=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #572460=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #572461=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #572462=CARTESIAN_POINT('Origin',(31.1811,66.4445,-0.035)); #572463=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #572464=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #572465=CARTESIAN_POINT('Origin',(30.762,66.,0.)); #572466=CARTESIAN_POINT('Origin',(30.762,66.,-0.035)); #572467=CARTESIAN_POINT('Origin',(35.1811,65.5555,-0.035)); #572468=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #572469=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #572470=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #572471=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #572472=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #572473=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #572474=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #572475=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #572476=CARTESIAN_POINT('Origin',(34.3429,65.5555,-0.035)); #572477=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #572478=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #572479=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #572480=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #572481=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #572482=CARTESIAN_POINT('Origin',(34.3429,66.4445,-0.035)); #572483=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #572484=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #572485=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #572486=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #572487=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #572488=CARTESIAN_POINT('Origin',(35.1811,66.4445,-0.035)); #572489=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #572490=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #572491=CARTESIAN_POINT('Origin',(34.762,66.,0.)); #572492=CARTESIAN_POINT('Origin',(34.762,66.,-0.035)); #572493=CARTESIAN_POINT('Origin',(39.1811,65.5555,-0.035)); #572494=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #572495=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #572496=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #572497=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #572498=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #572499=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #572500=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #572501=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #572502=CARTESIAN_POINT('Origin',(38.3429,65.5555,-0.035)); #572503=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #572504=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #572505=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #572506=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #572507=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #572508=CARTESIAN_POINT('Origin',(38.3429,66.4445,-0.035)); #572509=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #572510=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #572511=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #572512=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #572513=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #572514=CARTESIAN_POINT('Origin',(39.1811,66.4445,-0.035)); #572515=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #572516=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #572517=CARTESIAN_POINT('Origin',(38.762,66.,0.)); #572518=CARTESIAN_POINT('Origin',(38.762,66.,-0.035)); #572519=CARTESIAN_POINT('Origin',(26.6571,18.5555,-0.035)); #572520=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #572521=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #572522=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #572523=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #572524=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #572525=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #572526=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #572527=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #572528=CARTESIAN_POINT('Origin',(25.8189,18.5555,-0.035)); #572529=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #572530=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #572531=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #572532=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #572533=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #572534=CARTESIAN_POINT('Origin',(25.8189,19.4445,-0.035)); #572535=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #572536=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #572537=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #572538=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #572539=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #572540=CARTESIAN_POINT('Origin',(26.6571,19.4445,-0.035)); #572541=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #572542=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #572543=CARTESIAN_POINT('Origin',(26.238,19.,0.)); #572544=CARTESIAN_POINT('Origin',(26.238,19.,-0.035)); #572545=CARTESIAN_POINT('Origin',(28.1811,22.5555,-0.035)); #572546=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #572547=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #572548=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #572549=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #572550=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #572551=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #572552=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #572553=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #572554=CARTESIAN_POINT('Origin',(27.3429,22.5555,-0.035)); #572555=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #572556=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #572557=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #572558=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #572559=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #572560=CARTESIAN_POINT('Origin',(27.3429,23.4445,-0.035)); #572561=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #572562=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #572563=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #572564=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #572565=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #572566=CARTESIAN_POINT('Origin',(28.1811,23.4445,-0.035)); #572567=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #572568=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #572569=CARTESIAN_POINT('Origin',(27.762,23.,0.)); #572570=CARTESIAN_POINT('Origin',(27.762,23.,-0.035)); #572571=CARTESIAN_POINT('Origin',(47.6698,17.5585,-0.035)); #572572=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #572573=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #572574=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #572575=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #572576=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #572577=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #572578=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #572579=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #572580=CARTESIAN_POINT('Origin',(47.0602,17.5585,-0.035)); #572581=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #572582=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #572583=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #572584=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #572585=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #572586=CARTESIAN_POINT('Origin',(47.0602,19.2095,-0.035)); #572587=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #572588=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #572589=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #572590=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #572591=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #572592=CARTESIAN_POINT('Origin',(47.6698,19.2095,-0.035)); #572593=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #572594=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #572595=CARTESIAN_POINT('Origin',(47.365,18.384,0.)); #572596=CARTESIAN_POINT('Origin',(47.365,18.384,-0.035)); #572597=CARTESIAN_POINT('Origin',(40.6571,22.5555,-0.035)); #572598=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #572599=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #572600=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #572601=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #572602=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #572603=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #572604=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #572605=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #572606=CARTESIAN_POINT('Origin',(39.8189,22.5555,-0.035)); #572607=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #572608=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #572609=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #572610=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #572611=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #572612=CARTESIAN_POINT('Origin',(39.8189,23.4445,-0.035)); #572613=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #572614=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #572615=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #572616=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #572617=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #572618=CARTESIAN_POINT('Origin',(40.6571,23.4445,-0.035)); #572619=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #572620=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #572621=CARTESIAN_POINT('Origin',(40.238,23.,0.)); #572622=CARTESIAN_POINT('Origin',(40.238,23.,-0.035)); #572623=CARTESIAN_POINT('Origin',(49.6945,25.8189,-0.035)); #572624=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #572625=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #572626=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #572627=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #572628=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #572629=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #572630=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #572631=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #572632=CARTESIAN_POINT('Origin',(48.8055,25.8189,-0.035)); #572633=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #572634=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #572635=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #572636=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #572637=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #572638=CARTESIAN_POINT('Origin',(48.8055,26.6571,-0.035)); #572639=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #572640=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #572641=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #572642=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #572643=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #572644=CARTESIAN_POINT('Origin',(49.6945,26.6571,-0.035)); #572645=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #572646=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #572647=CARTESIAN_POINT('Origin',(49.25,26.238,0.)); #572648=CARTESIAN_POINT('Origin',(49.25,26.238,-0.035)); #572649=CARTESIAN_POINT('Origin',(48.9398,22.7905,-0.035)); #572650=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #572651=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #572652=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #572653=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #572654=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #572655=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #572656=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #572657=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #572658=CARTESIAN_POINT('Origin',(48.3302,22.7905,-0.035)); #572659=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #572660=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #572661=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #572662=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #572663=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #572664=CARTESIAN_POINT('Origin',(48.3302,24.4415,-0.035)); #572665=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #572666=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #572667=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #572668=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #572669=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #572670=CARTESIAN_POINT('Origin',(48.9398,24.4415,-0.035)); #572671=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #572672=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #572673=CARTESIAN_POINT('Origin',(48.635,23.616,0.)); #572674=CARTESIAN_POINT('Origin',(48.635,23.616,-0.035)); #572675=CARTESIAN_POINT('Origin',(47.1945,25.8189,-0.035)); #572676=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #572677=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #572678=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #572679=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #572680=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #572681=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #572682=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #572683=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #572684=CARTESIAN_POINT('Origin',(46.3055,25.8189,-0.035)); #572685=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #572686=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #572687=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #572688=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #572689=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #572690=CARTESIAN_POINT('Origin',(46.3055,26.6571,-0.035)); #572691=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #572692=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #572693=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #572694=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #572695=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #572696=CARTESIAN_POINT('Origin',(47.1945,26.6571,-0.035)); #572697=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #572698=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #572699=CARTESIAN_POINT('Origin',(46.75,26.238,0.)); #572700=CARTESIAN_POINT('Origin',(46.75,26.238,-0.035)); #572701=CARTESIAN_POINT('Origin',(47.6698,22.7905,-0.035)); #572702=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #572703=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #572704=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #572705=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #572706=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #572707=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #572708=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #572709=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #572710=CARTESIAN_POINT('Origin',(47.0602,22.7905,-0.035)); #572711=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #572712=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #572713=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #572714=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #572715=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #572716=CARTESIAN_POINT('Origin',(47.0602,24.4415,-0.035)); #572717=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #572718=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #572719=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #572720=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #572721=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #572722=CARTESIAN_POINT('Origin',(47.6698,24.4415,-0.035)); #572723=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #572724=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #572725=CARTESIAN_POINT('Origin',(47.365,23.616,0.)); #572726=CARTESIAN_POINT('Origin',(47.365,23.616,-0.035)); #572727=CARTESIAN_POINT('Origin',(49.6945,27.3429,-0.035)); #572728=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #572729=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #572730=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #572731=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #572732=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #572733=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #572734=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #572735=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #572736=CARTESIAN_POINT('Origin',(48.8055,27.3429,-0.035)); #572737=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #572738=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #572739=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #572740=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #572741=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #572742=CARTESIAN_POINT('Origin',(48.8055,28.1811,-0.035)); #572743=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #572744=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #572745=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #572746=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #572747=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #572748=CARTESIAN_POINT('Origin',(49.6945,28.1811,-0.035)); #572749=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #572750=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #572751=CARTESIAN_POINT('Origin',(49.25,27.762,0.)); #572752=CARTESIAN_POINT('Origin',(49.25,27.762,-0.035)); #572753=CARTESIAN_POINT('Origin',(47.1945,27.3429,-0.035)); #572754=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #572755=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #572756=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #572757=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #572758=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #572759=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #572760=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #572761=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #572762=CARTESIAN_POINT('Origin',(46.3055,27.3429,-0.035)); #572763=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #572764=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #572765=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #572766=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #572767=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #572768=CARTESIAN_POINT('Origin',(46.3055,28.1811,-0.035)); #572769=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #572770=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #572771=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #572772=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #572773=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #572774=CARTESIAN_POINT('Origin',(47.1945,28.1811,-0.035)); #572775=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #572776=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #572777=CARTESIAN_POINT('Origin',(46.75,27.762,0.)); #572778=CARTESIAN_POINT('Origin',(46.75,27.762,-0.035)); #572779=CARTESIAN_POINT('Origin',(53.3142,24.6665,-0.035)); #572780=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #572781=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #572782=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #572783=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #572784=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #572785=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #572786=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #572787=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #572788=CARTESIAN_POINT('Origin',(51.6378,24.6665,-0.035)); #572789=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #572790=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #572791=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #572792=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #572793=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #572794=CARTESIAN_POINT('Origin',(51.6378,27.3335,-0.035)); #572795=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #572796=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #572797=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #572798=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #572799=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #572800=CARTESIAN_POINT('Origin',(53.3142,27.3335,-0.035)); #572801=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #572802=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #572803=CARTESIAN_POINT('Origin',(52.476,26.,0.)); #572804=CARTESIAN_POINT('Origin',(52.476,26.,-0.035)); #572805=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #572806=CARTESIAN_POINT('',(44.985,47.75,-0.0349999999999895)); #572807=CARTESIAN_POINT('Origin',(45.125,47.75,-0.0349999999999895)); #572808=CARTESIAN_POINT('',(44.985,47.75,0.)); #572809=CARTESIAN_POINT('',(44.985,47.75,-200.)); #572810=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #572811=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #572812=CARTESIAN_POINT('',(45.86,47.,-0.0349999999999895)); #572813=CARTESIAN_POINT('Origin',(46.,47.,-0.0349999999999895)); #572814=CARTESIAN_POINT('',(45.86,47.,0.)); #572815=CARTESIAN_POINT('',(45.86,47.,-200.)); #572816=CARTESIAN_POINT('Origin',(46.,47.,0.)); #572817=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #572818=CARTESIAN_POINT('',(45.86,47.75,-0.0349999999999895)); #572819=CARTESIAN_POINT('Origin',(46.,47.75,-0.0349999999999895)); #572820=CARTESIAN_POINT('',(45.86,47.75,0.)); #572821=CARTESIAN_POINT('',(45.86,47.75,-200.)); #572822=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #572823=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #572824=CARTESIAN_POINT('',(47.61,47.75,-0.0349999999999895)); #572825=CARTESIAN_POINT('Origin',(47.75,47.75,-0.0349999999999895)); #572826=CARTESIAN_POINT('',(47.61,47.75,0.)); #572827=CARTESIAN_POINT('',(47.61,47.75,-200.)); #572828=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #572829=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #572830=CARTESIAN_POINT('',(47.61,46.25,-0.0349999999999895)); #572831=CARTESIAN_POINT('Origin',(47.75,46.25,-0.0349999999999895)); #572832=CARTESIAN_POINT('',(47.61,46.25,0.)); #572833=CARTESIAN_POINT('',(47.61,46.25,-200.)); #572834=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #572835=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #572836=CARTESIAN_POINT('',(45.86,46.25,-0.0349999999999895)); #572837=CARTESIAN_POINT('Origin',(46.,46.25,-0.0349999999999895)); #572838=CARTESIAN_POINT('',(45.86,46.25,0.)); #572839=CARTESIAN_POINT('',(45.86,46.25,-200.)); #572840=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #572841=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #572842=CARTESIAN_POINT('',(47.61,47.,-0.0349999999999895)); #572843=CARTESIAN_POINT('Origin',(47.75,47.,-0.0349999999999895)); #572844=CARTESIAN_POINT('',(47.61,47.,0.)); #572845=CARTESIAN_POINT('',(47.61,47.,-200.)); #572846=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #572847=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #572848=CARTESIAN_POINT('',(44.11,47.,-0.0349999999999895)); #572849=CARTESIAN_POINT('Origin',(44.25,47.,-0.0349999999999895)); #572850=CARTESIAN_POINT('',(44.11,47.,0.)); #572851=CARTESIAN_POINT('',(44.11,47.,-200.)); #572852=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #572853=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #572854=CARTESIAN_POINT('',(44.11,47.75,-0.0349999999999895)); #572855=CARTESIAN_POINT('Origin',(44.25,47.75,-0.0349999999999895)); #572856=CARTESIAN_POINT('',(44.11,47.75,0.)); #572857=CARTESIAN_POINT('',(44.11,47.75,-200.)); #572858=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #572859=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #572860=CARTESIAN_POINT('',(44.11,46.25,-0.0349999999999895)); #572861=CARTESIAN_POINT('Origin',(44.25,46.25,-0.0349999999999895)); #572862=CARTESIAN_POINT('',(44.11,46.25,0.)); #572863=CARTESIAN_POINT('',(44.11,46.25,-200.)); #572864=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #572865=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #572866=CARTESIAN_POINT('',(46.735,47.75,-0.0349999999999895)); #572867=CARTESIAN_POINT('Origin',(46.875,47.75,-0.0349999999999895)); #572868=CARTESIAN_POINT('',(46.735,47.75,0.)); #572869=CARTESIAN_POINT('',(46.735,47.75,-200.)); #572870=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #572871=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #572872=CARTESIAN_POINT('',(44.985,46.25,-0.0349999999999895)); #572873=CARTESIAN_POINT('Origin',(45.125,46.25,-0.0349999999999895)); #572874=CARTESIAN_POINT('',(44.985,46.25,0.)); #572875=CARTESIAN_POINT('',(44.985,46.25,-200.)); #572876=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #572877=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #572878=CARTESIAN_POINT('',(44.985,47.,-0.0349999999999895)); #572879=CARTESIAN_POINT('Origin',(45.125,47.,-0.0349999999999895)); #572880=CARTESIAN_POINT('',(44.985,47.,0.)); #572881=CARTESIAN_POINT('',(44.985,47.,-200.)); #572882=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #572883=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #572884=CARTESIAN_POINT('',(46.735,46.25,-0.0349999999999895)); #572885=CARTESIAN_POINT('Origin',(46.875,46.25,-0.0349999999999895)); #572886=CARTESIAN_POINT('',(46.735,46.25,0.)); #572887=CARTESIAN_POINT('',(46.735,46.25,-200.)); #572888=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #572889=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #572890=CARTESIAN_POINT('',(46.735,47.,-0.0349999999999895)); #572891=CARTESIAN_POINT('Origin',(46.875,47.,-0.0349999999999895)); #572892=CARTESIAN_POINT('',(46.735,47.,0.)); #572893=CARTESIAN_POINT('',(46.735,47.,-200.)); #572894=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #572895=CARTESIAN_POINT('Origin',(48.205,45.75,-0.035)); #572896=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #572897=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #572898=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #572899=CARTESIAN_POINT('',(48.205,48.25,0.)); #572900=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #572901=CARTESIAN_POINT('',(48.205,45.75,0.)); #572902=CARTESIAN_POINT('',(48.205,45.75,0.)); #572903=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #572904=CARTESIAN_POINT('Origin',(43.795,45.75,-0.035)); #572905=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #572906=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #572907=CARTESIAN_POINT('',(43.795,45.75,0.)); #572908=CARTESIAN_POINT('',(43.795,45.75,0.)); #572909=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #572910=CARTESIAN_POINT('Origin',(43.795,48.25,-0.035)); #572911=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #572912=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #572913=CARTESIAN_POINT('',(43.795,48.25,0.)); #572914=CARTESIAN_POINT('',(43.795,48.25,0.)); #572915=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #572916=CARTESIAN_POINT('Origin',(48.205,48.25,-0.035)); #572917=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #572918=CARTESIAN_POINT('',(48.205,48.25,0.)); #572919=CARTESIAN_POINT('Origin',(46.,47.,0.)); #572920=CARTESIAN_POINT('Origin',(46.,47.,-0.035)); #572921=CARTESIAN_POINT('Origin',(48.205,43.65,-0.035)); #572922=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #572923=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #572924=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #572925=CARTESIAN_POINT('',(48.205,44.85,0.)); #572926=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #572927=CARTESIAN_POINT('',(48.205,43.65,0.)); #572928=CARTESIAN_POINT('',(48.205,43.65,0.)); #572929=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #572930=CARTESIAN_POINT('Origin',(47.605,43.65,-0.035)); #572931=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #572932=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #572933=CARTESIAN_POINT('',(47.605,43.65,0.)); #572934=CARTESIAN_POINT('',(47.605,43.65,0.)); #572935=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #572936=CARTESIAN_POINT('Origin',(47.605,44.85,-0.035)); #572937=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #572938=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #572939=CARTESIAN_POINT('',(47.605,44.85,0.)); #572940=CARTESIAN_POINT('',(47.605,44.85,0.)); #572941=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #572942=CARTESIAN_POINT('Origin',(48.205,44.85,-0.035)); #572943=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #572944=CARTESIAN_POINT('',(48.205,44.85,0.)); #572945=CARTESIAN_POINT('Origin',(47.905,44.25,0.)); #572946=CARTESIAN_POINT('Origin',(47.905,44.25,-0.035)); #572947=CARTESIAN_POINT('Origin',(44.395,43.65,-0.035)); #572948=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #572949=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #572950=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #572951=CARTESIAN_POINT('',(44.395,44.85,0.)); #572952=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #572953=CARTESIAN_POINT('',(44.395,43.65,0.)); #572954=CARTESIAN_POINT('',(44.395,43.65,0.)); #572955=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #572956=CARTESIAN_POINT('Origin',(43.795,43.65,-0.035)); #572957=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #572958=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #572959=CARTESIAN_POINT('',(43.795,43.65,0.)); #572960=CARTESIAN_POINT('',(43.795,43.65,0.)); #572961=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #572962=CARTESIAN_POINT('Origin',(43.795,44.85,-0.035)); #572963=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #572964=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #572965=CARTESIAN_POINT('',(43.795,44.85,0.)); #572966=CARTESIAN_POINT('',(43.795,44.85,0.)); #572967=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #572968=CARTESIAN_POINT('Origin',(44.395,44.85,-0.035)); #572969=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #572970=CARTESIAN_POINT('',(44.395,44.85,0.)); #572971=CARTESIAN_POINT('Origin',(44.095,44.25,0.)); #572972=CARTESIAN_POINT('Origin',(44.095,44.25,-0.035)); #572973=CARTESIAN_POINT('Origin',(46.935,43.65,-0.035)); #572974=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #572975=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #572976=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #572977=CARTESIAN_POINT('',(46.935,44.85,0.)); #572978=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #572979=CARTESIAN_POINT('',(46.935,43.65,0.)); #572980=CARTESIAN_POINT('',(46.935,43.65,0.)); #572981=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #572982=CARTESIAN_POINT('Origin',(46.335,43.65,-0.035)); #572983=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #572984=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #572985=CARTESIAN_POINT('',(46.335,43.65,0.)); #572986=CARTESIAN_POINT('',(46.335,43.65,0.)); #572987=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #572988=CARTESIAN_POINT('Origin',(46.335,44.85,-0.035)); #572989=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #572990=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #572991=CARTESIAN_POINT('',(46.335,44.85,0.)); #572992=CARTESIAN_POINT('',(46.335,44.85,0.)); #572993=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #572994=CARTESIAN_POINT('Origin',(46.935,44.85,-0.035)); #572995=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #572996=CARTESIAN_POINT('',(46.935,44.85,0.)); #572997=CARTESIAN_POINT('Origin',(46.635,44.25,0.)); #572998=CARTESIAN_POINT('Origin',(46.635,44.25,-0.035)); #572999=CARTESIAN_POINT('Origin',(53.6571,44.5555,-0.035)); #573000=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #573001=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #573002=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #573003=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #573004=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #573005=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #573006=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #573007=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #573008=CARTESIAN_POINT('Origin',(52.8189,44.5555,-0.035)); #573009=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #573010=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #573011=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #573012=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #573013=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #573014=CARTESIAN_POINT('Origin',(52.8189,45.4445,-0.035)); #573015=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #573016=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #573017=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #573018=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #573019=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #573020=CARTESIAN_POINT('Origin',(53.6571,45.4445,-0.035)); #573021=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #573022=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #573023=CARTESIAN_POINT('Origin',(53.238,45.,0.)); #573024=CARTESIAN_POINT('Origin',(53.238,45.,-0.035)); #573025=CARTESIAN_POINT('Origin',(53.6571,41.5555,-0.035)); #573026=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #573027=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #573028=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #573029=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #573030=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #573031=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #573032=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #573033=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #573034=CARTESIAN_POINT('Origin',(52.8189,41.5555,-0.035)); #573035=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #573036=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #573037=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #573038=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #573039=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #573040=CARTESIAN_POINT('Origin',(52.8189,42.4445,-0.035)); #573041=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #573042=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #573043=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #573044=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #573045=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #573046=CARTESIAN_POINT('Origin',(53.6571,42.4445,-0.035)); #573047=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #573048=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #573049=CARTESIAN_POINT('Origin',(53.238,42.,0.)); #573050=CARTESIAN_POINT('Origin',(53.238,42.,-0.035)); #573051=CARTESIAN_POINT('Origin',(45.665,43.65,-0.035)); #573052=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #573053=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #573054=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #573055=CARTESIAN_POINT('',(45.665,44.85,0.)); #573056=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #573057=CARTESIAN_POINT('',(45.665,43.65,0.)); #573058=CARTESIAN_POINT('',(45.665,43.65,0.)); #573059=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #573060=CARTESIAN_POINT('Origin',(45.065,43.65,-0.035)); #573061=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #573062=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #573063=CARTESIAN_POINT('',(45.065,43.65,0.)); #573064=CARTESIAN_POINT('',(45.065,43.65,0.)); #573065=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #573066=CARTESIAN_POINT('Origin',(45.065,44.85,-0.035)); #573067=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #573068=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #573069=CARTESIAN_POINT('',(45.065,44.85,0.)); #573070=CARTESIAN_POINT('',(45.065,44.85,0.)); #573071=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #573072=CARTESIAN_POINT('Origin',(45.665,44.85,-0.035)); #573073=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #573074=CARTESIAN_POINT('',(45.665,44.85,0.)); #573075=CARTESIAN_POINT('Origin',(45.365,44.25,0.)); #573076=CARTESIAN_POINT('Origin',(45.365,44.25,-0.035)); #573077=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #573078=CARTESIAN_POINT('',(47.765,58.75,-0.0349999999999895)); #573079=CARTESIAN_POINT('Origin',(47.905,58.75,-0.0349999999999895)); #573080=CARTESIAN_POINT('',(47.765,58.75,0.)); #573081=CARTESIAN_POINT('',(47.765,58.75,-200.)); #573082=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #573083=CARTESIAN_POINT('Origin',(48.205,58.15,-0.035)); #573084=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #573085=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #573086=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #573087=CARTESIAN_POINT('',(48.205,59.35,0.)); #573088=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #573089=CARTESIAN_POINT('',(48.205,58.15,0.)); #573090=CARTESIAN_POINT('',(48.205,58.15,0.)); #573091=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #573092=CARTESIAN_POINT('Origin',(47.605,58.15,-0.035)); #573093=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #573094=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #573095=CARTESIAN_POINT('',(47.605,58.15,0.)); #573096=CARTESIAN_POINT('',(47.605,58.15,0.)); #573097=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #573098=CARTESIAN_POINT('Origin',(47.605,59.35,-0.035)); #573099=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #573100=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #573101=CARTESIAN_POINT('',(47.605,59.35,0.)); #573102=CARTESIAN_POINT('',(47.605,59.35,0.)); #573103=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #573104=CARTESIAN_POINT('Origin',(48.205,59.35,-0.035)); #573105=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #573106=CARTESIAN_POINT('',(48.205,59.35,0.)); #573107=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #573108=CARTESIAN_POINT('Origin',(47.905,58.75,-0.035)); #573109=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #573110=CARTESIAN_POINT('',(36.285,7.1,-0.0349999999999895)); #573111=CARTESIAN_POINT('Origin',(36.4,7.1,-0.0349999999999895)); #573112=CARTESIAN_POINT('',(36.285,7.1,0.)); #573113=CARTESIAN_POINT('',(36.285,7.1,-200.)); #573114=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #573115=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #573116=CARTESIAN_POINT('',(36.285,7.6,-0.0349999999999895)); #573117=CARTESIAN_POINT('Origin',(36.4,7.6,-0.0349999999999895)); #573118=CARTESIAN_POINT('',(36.285,7.6,0.)); #573119=CARTESIAN_POINT('',(36.285,7.6,-200.)); #573120=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #573121=CARTESIAN_POINT('Origin',(36.1,7.82,-0.035)); #573122=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #573123=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #573124=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #573125=CARTESIAN_POINT('',(36.1,6.67,0.)); #573126=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #573127=CARTESIAN_POINT('',(36.1,7.82,0.)); #573128=CARTESIAN_POINT('',(36.1,7.82,0.)); #573129=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #573130=CARTESIAN_POINT('Origin',(36.7,7.82,-0.035)); #573131=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #573132=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #573133=CARTESIAN_POINT('',(36.7,7.82,0.)); #573134=CARTESIAN_POINT('',(36.7,7.82,0.)); #573135=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #573136=CARTESIAN_POINT('Origin',(36.7,6.67,-0.035)); #573137=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #573138=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #573139=CARTESIAN_POINT('',(36.7,6.67,0.)); #573140=CARTESIAN_POINT('',(36.7,6.67,0.)); #573141=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #573142=CARTESIAN_POINT('Origin',(36.1,6.67,-0.035)); #573143=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #573144=CARTESIAN_POINT('',(36.1,6.67,0.)); #573145=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #573146=CARTESIAN_POINT('Origin',(36.4,7.245,-0.035)); #573147=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #573148=CARTESIAN_POINT('',(31.485,7.6,-0.0349999999999895)); #573149=CARTESIAN_POINT('Origin',(31.6,7.6,-0.0349999999999895)); #573150=CARTESIAN_POINT('',(31.485,7.6,0.)); #573151=CARTESIAN_POINT('',(31.485,7.6,-200.)); #573152=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #573153=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #573154=CARTESIAN_POINT('',(31.485,7.1,-0.0349999999999895)); #573155=CARTESIAN_POINT('Origin',(31.6,7.1,-0.0349999999999895)); #573156=CARTESIAN_POINT('',(31.485,7.1,0.)); #573157=CARTESIAN_POINT('',(31.485,7.1,-200.)); #573158=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #573159=CARTESIAN_POINT('Origin',(31.3,7.82,-0.035)); #573160=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #573161=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #573162=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #573163=CARTESIAN_POINT('',(31.3,6.67,0.)); #573164=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #573165=CARTESIAN_POINT('',(31.3,7.82,0.)); #573166=CARTESIAN_POINT('',(31.3,7.82,0.)); #573167=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #573168=CARTESIAN_POINT('Origin',(31.9,7.82,-0.035)); #573169=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #573170=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #573171=CARTESIAN_POINT('',(31.9,7.82,0.)); #573172=CARTESIAN_POINT('',(31.9,7.82,0.)); #573173=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #573174=CARTESIAN_POINT('Origin',(31.9,6.67,-0.035)); #573175=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #573176=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #573177=CARTESIAN_POINT('',(31.9,6.67,0.)); #573178=CARTESIAN_POINT('',(31.9,6.67,0.)); #573179=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #573180=CARTESIAN_POINT('Origin',(31.3,6.67,-0.035)); #573181=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #573182=CARTESIAN_POINT('',(31.3,6.67,0.)); #573183=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #573184=CARTESIAN_POINT('Origin',(31.6,7.245,-0.035)); #573185=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #573186=CARTESIAN_POINT('',(27.622,19.,-0.0349999999999895)); #573187=CARTESIAN_POINT('Origin',(27.762,19.,-0.0349999999999895)); #573188=CARTESIAN_POINT('',(27.622,19.,0.)); #573189=CARTESIAN_POINT('',(27.622,19.,-200.)); #573190=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #573191=CARTESIAN_POINT('Origin',(28.1811,18.5555,-0.035)); #573192=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #573193=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #573194=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #573195=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #573196=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #573197=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #573198=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #573199=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #573200=CARTESIAN_POINT('Origin',(27.3429,18.5555,-0.035)); #573201=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #573202=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #573203=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #573204=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #573205=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #573206=CARTESIAN_POINT('Origin',(27.3429,19.4445,-0.035)); #573207=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #573208=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #573209=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #573210=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #573211=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #573212=CARTESIAN_POINT('Origin',(28.1811,19.4445,-0.035)); #573213=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #573214=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #573215=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #573216=CARTESIAN_POINT('Origin',(27.762,19.,-0.035)); #573217=CARTESIAN_POINT('',(0.,0.,0.)); #573218=CARTESIAN_POINT('Origin',(31.11,6.18,-0.750499999999998)); #573219=CARTESIAN_POINT('',(30.785,6.18,0.0525)); #573220=CARTESIAN_POINT('Origin',(31.11,6.18,0.0525)); #573221=CARTESIAN_POINT('',(30.785,6.18,0.)); #573222=CARTESIAN_POINT('',(30.785,6.18,-0.750499999999998)); #573223=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #573224=CARTESIAN_POINT('Origin',(36.89,6.18,-0.750499999999998)); #573225=CARTESIAN_POINT('',(36.565,6.18,0.0525)); #573226=CARTESIAN_POINT('Origin',(36.89,6.18,0.0525)); #573227=CARTESIAN_POINT('',(36.565,6.18,0.)); #573228=CARTESIAN_POINT('',(36.565,6.18,-0.750499999999998)); #573229=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #573230=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #573231=CARTESIAN_POINT('',(29.59,45.,0.)); #573232=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #573233=CARTESIAN_POINT('',(29.59,45.,0.052500000000002)); #573234=CARTESIAN_POINT('',(29.59,45.,-200.)); #573235=CARTESIAN_POINT('Origin',(30.19,45.,0.052500000000002)); #573236=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #573237=CARTESIAN_POINT('',(44.95,47.75,0.)); #573238=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #573239=CARTESIAN_POINT('',(44.95,47.75,0.052500000000002)); #573240=CARTESIAN_POINT('',(44.95,47.75,-200.)); #573241=CARTESIAN_POINT('Origin',(45.125,47.75,0.052500000000002)); #573242=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #573243=CARTESIAN_POINT('',(40.825,25.25,0.)); #573244=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #573245=CARTESIAN_POINT('',(40.825,25.25,0.052500000000002)); #573246=CARTESIAN_POINT('',(40.825,25.25,-200.)); #573247=CARTESIAN_POINT('Origin',(41.,25.25,0.052500000000002)); #573248=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #573249=CARTESIAN_POINT('',(45.825,47.,0.)); #573250=CARTESIAN_POINT('Origin',(46.,47.,0.)); #573251=CARTESIAN_POINT('',(45.825,47.,0.052500000000002)); #573252=CARTESIAN_POINT('',(45.825,47.,-200.)); #573253=CARTESIAN_POINT('Origin',(46.,47.,0.052500000000002)); #573254=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #573255=CARTESIAN_POINT('',(32.325,18.5,0.)); #573256=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #573257=CARTESIAN_POINT('',(32.325,18.5,0.052500000000002)); #573258=CARTESIAN_POINT('',(32.325,18.5,-200.)); #573259=CARTESIAN_POINT('Origin',(32.5,18.5,0.052500000000002)); #573260=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #573261=CARTESIAN_POINT('',(45.825,47.75,0.)); #573262=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #573263=CARTESIAN_POINT('',(45.825,47.75,0.052500000000002)); #573264=CARTESIAN_POINT('',(45.825,47.75,-200.)); #573265=CARTESIAN_POINT('Origin',(46.,47.75,0.052500000000002)); #573266=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #573267=CARTESIAN_POINT('',(33.075,48.5,0.)); #573268=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #573269=CARTESIAN_POINT('',(33.075,48.5,0.052500000000002)); #573270=CARTESIAN_POINT('',(33.075,48.5,-200.)); #573271=CARTESIAN_POINT('Origin',(33.25,48.5,0.052500000000002)); #573272=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #573273=CARTESIAN_POINT('',(47.575,47.75,0.)); #573274=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #573275=CARTESIAN_POINT('',(47.575,47.75,0.052500000000002)); #573276=CARTESIAN_POINT('',(47.575,47.75,-200.)); #573277=CARTESIAN_POINT('Origin',(47.75,47.75,0.052500000000002)); #573278=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #573279=CARTESIAN_POINT('',(33.075,5.5,0.)); #573280=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #573281=CARTESIAN_POINT('',(33.075,5.5,0.052500000000002)); #573282=CARTESIAN_POINT('',(33.075,5.5,-200.)); #573283=CARTESIAN_POINT('Origin',(33.25,5.5,0.052500000000002)); #573284=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #573285=CARTESIAN_POINT('',(47.575,46.25,0.)); #573286=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #573287=CARTESIAN_POINT('',(47.575,46.25,0.052500000000002)); #573288=CARTESIAN_POINT('',(47.575,46.25,-200.)); #573289=CARTESIAN_POINT('Origin',(47.75,46.25,0.052500000000002)); #573290=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #573291=CARTESIAN_POINT('',(33.075,50.,0.)); #573292=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #573293=CARTESIAN_POINT('',(33.075,50.,0.052500000000002)); #573294=CARTESIAN_POINT('',(33.075,50.,-200.)); #573295=CARTESIAN_POINT('Origin',(33.25,50.,0.052500000000002)); #573296=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #573297=CARTESIAN_POINT('',(45.825,46.25,0.)); #573298=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #573299=CARTESIAN_POINT('',(45.825,46.25,0.052500000000002)); #573300=CARTESIAN_POINT('',(45.825,46.25,-200.)); #573301=CARTESIAN_POINT('Origin',(46.,46.25,0.052500000000002)); #573302=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #573303=CARTESIAN_POINT('',(33.075,18.5,0.)); #573304=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #573305=CARTESIAN_POINT('',(33.075,18.5,0.052500000000002)); #573306=CARTESIAN_POINT('',(33.075,18.5,-200.)); #573307=CARTESIAN_POINT('Origin',(33.25,18.5,0.052500000000002)); #573308=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #573309=CARTESIAN_POINT('',(47.575,47.,0.)); #573310=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #573311=CARTESIAN_POINT('',(47.575,47.,0.052500000000002)); #573312=CARTESIAN_POINT('',(47.575,47.,-200.)); #573313=CARTESIAN_POINT('Origin',(47.75,47.,0.052500000000002)); #573314=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #573315=CARTESIAN_POINT('',(33.075,49.25,0.)); #573316=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #573317=CARTESIAN_POINT('',(33.075,49.25,0.052500000000002)); #573318=CARTESIAN_POINT('',(33.075,49.25,-200.)); #573319=CARTESIAN_POINT('Origin',(33.25,49.25,0.052500000000002)); #573320=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #573321=CARTESIAN_POINT('',(44.075,47.,0.)); #573322=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #573323=CARTESIAN_POINT('',(44.075,47.,0.052500000000002)); #573324=CARTESIAN_POINT('',(44.075,47.,-200.)); #573325=CARTESIAN_POINT('Origin',(44.25,47.,0.052500000000002)); #573326=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #573327=CARTESIAN_POINT('',(11.75,34.,0.)); #573328=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #573329=CARTESIAN_POINT('',(11.75,34.,0.052500000000002)); #573330=CARTESIAN_POINT('',(11.75,34.,-200.)); #573331=CARTESIAN_POINT('Origin',(12.25,34.,0.052500000000002)); #573332=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #573333=CARTESIAN_POINT('',(53.325,20.25,0.)); #573334=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #573335=CARTESIAN_POINT('',(53.325,20.25,0.052500000000002)); #573336=CARTESIAN_POINT('',(53.325,20.25,-200.)); #573337=CARTESIAN_POINT('Origin',(53.5,20.25,0.052500000000002)); #573338=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #573339=CARTESIAN_POINT('',(34.575,48.5,0.)); #573340=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #573341=CARTESIAN_POINT('',(34.575,48.5,0.052500000000002)); #573342=CARTESIAN_POINT('',(34.575,48.5,-200.)); #573343=CARTESIAN_POINT('Origin',(34.75,48.5,0.052500000000002)); #573344=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #573345=CARTESIAN_POINT('',(52.575,20.25,0.)); #573346=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #573347=CARTESIAN_POINT('',(52.575,20.25,0.052500000000002)); #573348=CARTESIAN_POINT('',(52.575,20.25,-200.)); #573349=CARTESIAN_POINT('Origin',(52.75,20.25,0.052500000000002)); #573350=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #573351=CARTESIAN_POINT('',(34.575,18.5,0.)); #573352=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #573353=CARTESIAN_POINT('',(34.575,18.5,0.052500000000002)); #573354=CARTESIAN_POINT('',(34.575,18.5,-200.)); #573355=CARTESIAN_POINT('Origin',(34.75,18.5,0.052500000000002)); #573356=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #573357=CARTESIAN_POINT('',(51.825,21.75,0.)); #573358=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #573359=CARTESIAN_POINT('',(51.825,21.75,0.052500000000002)); #573360=CARTESIAN_POINT('',(51.825,21.75,-200.)); #573361=CARTESIAN_POINT('Origin',(52.,21.75,0.052500000000002)); #573362=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #573363=CARTESIAN_POINT('',(33.825,48.5,0.)); #573364=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #573365=CARTESIAN_POINT('',(33.825,48.5,0.052500000000002)); #573366=CARTESIAN_POINT('',(33.825,48.5,-200.)); #573367=CARTESIAN_POINT('Origin',(34.,48.5,0.052500000000002)); #573368=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #573369=CARTESIAN_POINT('',(51.825,20.25,0.)); #573370=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #573371=CARTESIAN_POINT('',(51.825,20.25,0.052500000000002)); #573372=CARTESIAN_POINT('',(51.825,20.25,-200.)); #573373=CARTESIAN_POINT('Origin',(52.,20.25,0.052500000000002)); #573374=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #573375=CARTESIAN_POINT('',(33.825,5.5,0.)); #573376=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #573377=CARTESIAN_POINT('',(33.825,5.5,0.052500000000002)); #573378=CARTESIAN_POINT('',(33.825,5.5,-200.)); #573379=CARTESIAN_POINT('Origin',(34.,5.5,0.052500000000002)); #573380=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #573381=CARTESIAN_POINT('',(41.825,31.,0.)); #573382=CARTESIAN_POINT('Origin',(42.,31.,0.)); #573383=CARTESIAN_POINT('',(41.825,31.,0.052500000000002)); #573384=CARTESIAN_POINT('',(41.825,31.,-200.)); #573385=CARTESIAN_POINT('Origin',(42.,31.,0.052500000000002)); #573386=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #573387=CARTESIAN_POINT('',(34.575,50.,0.)); #573388=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #573389=CARTESIAN_POINT('',(34.575,50.,0.052500000000002)); #573390=CARTESIAN_POINT('',(34.575,50.,-200.)); #573391=CARTESIAN_POINT('Origin',(34.75,50.,0.052500000000002)); #573392=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #573393=CARTESIAN_POINT('',(41.825,36.,0.)); #573394=CARTESIAN_POINT('Origin',(42.,36.,0.)); #573395=CARTESIAN_POINT('',(41.825,36.,0.052500000000002)); #573396=CARTESIAN_POINT('',(41.825,36.,-200.)); #573397=CARTESIAN_POINT('Origin',(42.,36.,0.052500000000002)); #573398=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #573399=CARTESIAN_POINT('',(33.825,18.5,0.)); #573400=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #573401=CARTESIAN_POINT('',(33.825,18.5,0.052500000000002)); #573402=CARTESIAN_POINT('',(33.825,18.5,-200.)); #573403=CARTESIAN_POINT('Origin',(34.,18.5,0.052500000000002)); #573404=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #573405=CARTESIAN_POINT('',(44.075,47.75,0.)); #573406=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #573407=CARTESIAN_POINT('',(44.075,47.75,0.052500000000002)); #573408=CARTESIAN_POINT('',(44.075,47.75,-200.)); #573409=CARTESIAN_POINT('Origin',(44.25,47.75,0.052500000000002)); #573410=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #573411=CARTESIAN_POINT('',(33.825,50.,0.)); #573412=CARTESIAN_POINT('Origin',(34.,50.,0.)); #573413=CARTESIAN_POINT('',(33.825,50.,0.052500000000002)); #573414=CARTESIAN_POINT('',(33.825,50.,-200.)); #573415=CARTESIAN_POINT('Origin',(34.,50.,0.052500000000002)); #573416=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #573417=CARTESIAN_POINT('',(44.075,46.25,0.)); #573418=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #573419=CARTESIAN_POINT('',(44.075,46.25,0.052500000000002)); #573420=CARTESIAN_POINT('',(44.075,46.25,-200.)); #573421=CARTESIAN_POINT('Origin',(44.25,46.25,0.052500000000002)); #573422=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #573423=CARTESIAN_POINT('',(23.7,34.,0.)); #573424=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #573425=CARTESIAN_POINT('',(23.7,34.,0.052500000000002)); #573426=CARTESIAN_POINT('',(23.7,34.,-200.)); #573427=CARTESIAN_POINT('Origin',(24.25,34.,0.052500000000002)); #573428=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #573429=CARTESIAN_POINT('',(14.325,21.75,0.)); #573430=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #573431=CARTESIAN_POINT('',(14.325,21.75,0.052500000000002)); #573432=CARTESIAN_POINT('',(14.325,21.75,-200.)); #573433=CARTESIAN_POINT('Origin',(14.5,21.75,0.052500000000002)); #573434=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #573435=CARTESIAN_POINT('',(24.825,26.625,0.)); #573436=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #573437=CARTESIAN_POINT('',(24.825,26.625,0.052500000000002)); #573438=CARTESIAN_POINT('',(24.825,26.625,-200.)); #573439=CARTESIAN_POINT('Origin',(25.,26.625,0.052500000000002)); #573440=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #573441=CARTESIAN_POINT('',(14.325,21.,0.)); #573442=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #573443=CARTESIAN_POINT('',(14.325,21.,0.052500000000002)); #573444=CARTESIAN_POINT('',(14.325,21.,-200.)); #573445=CARTESIAN_POINT('Origin',(14.5,21.,0.052500000000002)); #573446=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #573447=CARTESIAN_POINT('',(34.575,16.25,0.)); #573448=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #573449=CARTESIAN_POINT('',(34.575,16.25,0.052500000000002)); #573450=CARTESIAN_POINT('',(34.575,16.25,-200.)); #573451=CARTESIAN_POINT('Origin',(34.75,16.25,0.052500000000002)); #573452=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #573453=CARTESIAN_POINT('',(15.825,20.25,0.)); #573454=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #573455=CARTESIAN_POINT('',(15.825,20.25,0.052500000000002)); #573456=CARTESIAN_POINT('',(15.825,20.25,-200.)); #573457=CARTESIAN_POINT('Origin',(16.,20.25,0.052500000000002)); #573458=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #573459=CARTESIAN_POINT('',(27.075,24.375,0.)); #573460=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #573461=CARTESIAN_POINT('',(27.075,24.375,0.052500000000002)); #573462=CARTESIAN_POINT('',(27.075,24.375,-200.)); #573463=CARTESIAN_POINT('Origin',(27.25,24.375,0.052500000000002)); #573464=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #573465=CARTESIAN_POINT('',(14.325,20.25,0.)); #573466=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #573467=CARTESIAN_POINT('',(14.325,20.25,0.052500000000002)); #573468=CARTESIAN_POINT('',(14.325,20.25,-200.)); #573469=CARTESIAN_POINT('Origin',(14.5,20.25,0.052500000000002)); #573470=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #573471=CARTESIAN_POINT('',(33.8875,12.25,0.)); #573472=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #573473=CARTESIAN_POINT('',(33.8875,12.25,0.052500000000002)); #573474=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #573475=CARTESIAN_POINT('Origin',(34.0625,12.25,0.052500000000002)); #573476=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #573477=CARTESIAN_POINT('',(15.075,20.25,0.)); #573478=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #573479=CARTESIAN_POINT('',(15.075,20.25,0.052500000000002)); #573480=CARTESIAN_POINT('',(15.075,20.25,-200.)); #573481=CARTESIAN_POINT('Origin',(15.25,20.25,0.052500000000002)); #573482=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #573483=CARTESIAN_POINT('',(31.575,18.5,0.)); #573484=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #573485=CARTESIAN_POINT('',(31.575,18.5,0.052500000000002)); #573486=CARTESIAN_POINT('',(31.575,18.5,-200.)); #573487=CARTESIAN_POINT('Origin',(31.75,18.5,0.052500000000002)); #573488=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #573489=CARTESIAN_POINT('',(15.075,21.75,0.)); #573490=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #573491=CARTESIAN_POINT('',(15.075,21.75,0.052500000000002)); #573492=CARTESIAN_POINT('',(15.075,21.75,-200.)); #573493=CARTESIAN_POINT('Origin',(15.25,21.75,0.052500000000002)); #573494=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #573495=CARTESIAN_POINT('',(33.075,16.25,0.)); #573496=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #573497=CARTESIAN_POINT('',(33.075,16.25,0.052500000000002)); #573498=CARTESIAN_POINT('',(33.075,16.25,-200.)); #573499=CARTESIAN_POINT('Origin',(33.25,16.25,0.052500000000002)); #573500=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #573501=CARTESIAN_POINT('',(15.825,21.75,0.)); #573502=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #573503=CARTESIAN_POINT('',(15.825,21.75,0.052500000000002)); #573504=CARTESIAN_POINT('',(15.825,21.75,-200.)); #573505=CARTESIAN_POINT('Origin',(16.,21.75,0.052500000000002)); #573506=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #573507=CARTESIAN_POINT('',(31.575,19.25,0.)); #573508=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #573509=CARTESIAN_POINT('',(31.575,19.25,0.052500000000002)); #573510=CARTESIAN_POINT('',(31.575,19.25,-200.)); #573511=CARTESIAN_POINT('Origin',(31.75,19.25,0.052500000000002)); #573512=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #573513=CARTESIAN_POINT('',(15.825,21.,0.)); #573514=CARTESIAN_POINT('Origin',(16.,21.,0.)); #573515=CARTESIAN_POINT('',(15.825,21.,0.052500000000002)); #573516=CARTESIAN_POINT('',(15.825,21.,-200.)); #573517=CARTESIAN_POINT('Origin',(16.,21.,0.052500000000002)); #573518=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #573519=CARTESIAN_POINT('',(15.25,34.,0.)); #573520=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #573521=CARTESIAN_POINT('',(15.25,34.,0.052500000000002)); #573522=CARTESIAN_POINT('',(15.25,34.,-200.)); #573523=CARTESIAN_POINT('Origin',(15.75,34.,0.052500000000002)); #573524=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #573525=CARTESIAN_POINT('',(52.575,21.,0.)); #573526=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #573527=CARTESIAN_POINT('',(52.575,21.,0.052500000000002)); #573528=CARTESIAN_POINT('',(52.575,21.,-200.)); #573529=CARTESIAN_POINT('Origin',(52.75,21.,0.052500000000002)); #573530=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #573531=CARTESIAN_POINT('',(37.325,21.25,0.)); #573532=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #573533=CARTESIAN_POINT('',(37.325,21.25,0.052500000000002)); #573534=CARTESIAN_POINT('',(37.325,21.25,-200.)); #573535=CARTESIAN_POINT('Origin',(37.5,21.25,0.052500000000002)); #573536=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #573537=CARTESIAN_POINT('',(39.075,19.,0.)); #573538=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #573539=CARTESIAN_POINT('',(39.075,19.,0.052500000000002)); #573540=CARTESIAN_POINT('',(39.075,19.,-200.)); #573541=CARTESIAN_POINT('Origin',(39.25,19.,0.052500000000002)); #573542=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #573543=CARTESIAN_POINT('',(35.325,17.75,0.)); #573544=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #573545=CARTESIAN_POINT('',(35.325,17.75,0.052500000000002)); #573546=CARTESIAN_POINT('',(35.325,17.75,-200.)); #573547=CARTESIAN_POINT('Origin',(35.5,17.75,0.052500000000002)); #573548=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #573549=CARTESIAN_POINT('',(39.075,18.25,0.)); #573550=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #573551=CARTESIAN_POINT('',(39.075,18.25,0.052500000000002)); #573552=CARTESIAN_POINT('',(39.075,18.25,-200.)); #573553=CARTESIAN_POINT('Origin',(39.25,18.25,0.052500000000002)); #573554=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #573555=CARTESIAN_POINT('',(30.825,13.,0.)); #573556=CARTESIAN_POINT('Origin',(31.,13.,0.)); #573557=CARTESIAN_POINT('',(30.825,13.,0.052500000000002)); #573558=CARTESIAN_POINT('',(30.825,13.,-200.)); #573559=CARTESIAN_POINT('Origin',(31.,13.,0.052500000000002)); #573560=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #573561=CARTESIAN_POINT('',(42.325,16.,0.)); #573562=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #573563=CARTESIAN_POINT('',(42.325,16.,0.052500000000002)); #573564=CARTESIAN_POINT('',(42.325,16.,-200.)); #573565=CARTESIAN_POINT('Origin',(42.5,16.,0.052500000000002)); #573566=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #573567=CARTESIAN_POINT('',(27.587,19.,0.)); #573568=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #573569=CARTESIAN_POINT('',(27.587,19.,0.052500000000002)); #573570=CARTESIAN_POINT('',(27.587,19.,-200.)); #573571=CARTESIAN_POINT('Origin',(27.762,19.,0.052500000000002)); #573572=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #573573=CARTESIAN_POINT('',(53.325,21.,0.)); #573574=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #573575=CARTESIAN_POINT('',(53.325,21.,0.052500000000002)); #573576=CARTESIAN_POINT('',(53.325,21.,-200.)); #573577=CARTESIAN_POINT('Origin',(53.5,21.,0.052500000000002)); #573578=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #573579=CARTESIAN_POINT('',(34.575,49.25,0.)); #573580=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #573581=CARTESIAN_POINT('',(34.575,49.25,0.052500000000002)); #573582=CARTESIAN_POINT('',(34.575,49.25,-200.)); #573583=CARTESIAN_POINT('Origin',(34.75,49.25,0.052500000000002)); #573584=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #573585=CARTESIAN_POINT('',(51.825,21.,0.)); #573586=CARTESIAN_POINT('Origin',(52.,21.,0.)); #573587=CARTESIAN_POINT('',(51.825,21.,0.052500000000002)); #573588=CARTESIAN_POINT('',(51.825,21.,-200.)); #573589=CARTESIAN_POINT('Origin',(52.,21.,0.052500000000002)); #573590=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #573591=CARTESIAN_POINT('',(35.325,18.5,0.)); #573592=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #573593=CARTESIAN_POINT('',(35.325,18.5,0.052500000000002)); #573594=CARTESIAN_POINT('',(35.325,18.5,-200.)); #573595=CARTESIAN_POINT('Origin',(35.5,18.5,0.052500000000002)); #573596=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #573597=CARTESIAN_POINT('',(53.325,21.75,0.)); #573598=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #573599=CARTESIAN_POINT('',(53.325,21.75,0.052500000000002)); #573600=CARTESIAN_POINT('',(53.325,21.75,-200.)); #573601=CARTESIAN_POINT('Origin',(53.5,21.75,0.052500000000002)); #573602=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #573603=CARTESIAN_POINT('',(33.825,49.25,0.)); #573604=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #573605=CARTESIAN_POINT('',(33.825,49.25,0.052500000000002)); #573606=CARTESIAN_POINT('',(33.825,49.25,-200.)); #573607=CARTESIAN_POINT('Origin',(34.,49.25,0.052500000000002)); #573608=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #573609=CARTESIAN_POINT('',(52.575,21.75,0.)); #573610=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #573611=CARTESIAN_POINT('',(52.575,21.75,0.052500000000002)); #573612=CARTESIAN_POINT('',(52.575,21.75,-200.)); #573613=CARTESIAN_POINT('Origin',(52.75,21.75,0.052500000000002)); #573614=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #573615=CARTESIAN_POINT('',(34.67,45.,0.)); #573616=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #573617=CARTESIAN_POINT('',(34.67,45.,0.052500000000002)); #573618=CARTESIAN_POINT('',(34.67,45.,-200.)); #573619=CARTESIAN_POINT('Origin',(35.27,45.,0.052500000000002)); #573620=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #573621=CARTESIAN_POINT('',(25.825,31.,0.)); #573622=CARTESIAN_POINT('Origin',(26.,31.,0.)); #573623=CARTESIAN_POINT('',(25.825,31.,0.052500000000002)); #573624=CARTESIAN_POINT('',(25.825,31.,-200.)); #573625=CARTESIAN_POINT('Origin',(26.,31.,0.052500000000002)); #573626=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #573627=CARTESIAN_POINT('',(10.075,33.25,0.)); #573628=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #573629=CARTESIAN_POINT('',(10.075,33.25,0.052500000000002)); #573630=CARTESIAN_POINT('',(10.075,33.25,-200.)); #573631=CARTESIAN_POINT('Origin',(10.25,33.25,0.052500000000002)); #573632=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #573633=CARTESIAN_POINT('',(25.825,36.,0.)); #573634=CARTESIAN_POINT('Origin',(26.,36.,0.)); #573635=CARTESIAN_POINT('',(25.825,36.,0.052500000000002)); #573636=CARTESIAN_POINT('',(25.825,36.,-200.)); #573637=CARTESIAN_POINT('Origin',(26.,36.,0.052500000000002)); #573638=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #573639=CARTESIAN_POINT('',(34.575,14.75,0.)); #573640=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #573641=CARTESIAN_POINT('',(34.575,14.75,0.052500000000002)); #573642=CARTESIAN_POINT('',(34.575,14.75,-200.)); #573643=CARTESIAN_POINT('Origin',(34.75,14.75,0.052500000000002)); #573644=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #573645=CARTESIAN_POINT('',(26.575,36.,0.)); #573646=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #573647=CARTESIAN_POINT('',(26.575,36.,0.052500000000002)); #573648=CARTESIAN_POINT('',(26.575,36.,-200.)); #573649=CARTESIAN_POINT('Origin',(26.75,36.,0.052500000000002)); #573650=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #573651=CARTESIAN_POINT('',(9.325,33.25,0.)); #573652=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #573653=CARTESIAN_POINT('',(9.325,33.25,0.052500000000002)); #573654=CARTESIAN_POINT('',(9.325,33.25,-200.)); #573655=CARTESIAN_POINT('Origin',(9.5,33.25,0.052500000000002)); #573656=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #573657=CARTESIAN_POINT('',(26.575,31.,0.)); #573658=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #573659=CARTESIAN_POINT('',(26.575,31.,0.052500000000002)); #573660=CARTESIAN_POINT('',(26.575,31.,-200.)); #573661=CARTESIAN_POINT('Origin',(26.75,31.,0.052500000000002)); #573662=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #573663=CARTESIAN_POINT('',(34.575,17.,0.)); #573664=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #573665=CARTESIAN_POINT('',(34.575,17.,0.052500000000002)); #573666=CARTESIAN_POINT('',(34.575,17.,-200.)); #573667=CARTESIAN_POINT('Origin',(34.75,17.,0.052500000000002)); #573668=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #573669=CARTESIAN_POINT('',(16.45,47.75,0.)); #573670=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #573671=CARTESIAN_POINT('',(16.45,47.75,0.052500000000002)); #573672=CARTESIAN_POINT('',(16.45,47.75,-200.)); #573673=CARTESIAN_POINT('Origin',(16.625,47.75,0.052500000000002)); #573674=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #573675=CARTESIAN_POINT('',(10.075,34.,0.)); #573676=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #573677=CARTESIAN_POINT('',(10.075,34.,0.052500000000002)); #573678=CARTESIAN_POINT('',(10.075,34.,-200.)); #573679=CARTESIAN_POINT('Origin',(10.25,34.,0.052500000000002)); #573680=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #573681=CARTESIAN_POINT('',(15.575,47.75,0.)); #573682=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #573683=CARTESIAN_POINT('',(15.575,47.75,0.052500000000002)); #573684=CARTESIAN_POINT('',(15.575,47.75,-200.)); #573685=CARTESIAN_POINT('Origin',(15.75,47.75,0.052500000000002)); #573686=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #573687=CARTESIAN_POINT('',(35.325,14.75,0.)); #573688=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #573689=CARTESIAN_POINT('',(35.325,14.75,0.052500000000002)); #573690=CARTESIAN_POINT('',(35.325,14.75,-200.)); #573691=CARTESIAN_POINT('Origin',(35.5,14.75,0.052500000000002)); #573692=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #573693=CARTESIAN_POINT('',(16.45,47.,0.)); #573694=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #573695=CARTESIAN_POINT('',(16.45,47.,0.052500000000002)); #573696=CARTESIAN_POINT('',(16.45,47.,-200.)); #573697=CARTESIAN_POINT('Origin',(16.625,47.,0.052500000000002)); #573698=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #573699=CARTESIAN_POINT('',(9.325,34.,0.)); #573700=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #573701=CARTESIAN_POINT('',(9.325,34.,0.052500000000002)); #573702=CARTESIAN_POINT('',(9.325,34.,-200.)); #573703=CARTESIAN_POINT('Origin',(9.5,34.,0.052500000000002)); #573704=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #573705=CARTESIAN_POINT('',(16.45,46.25,0.)); #573706=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #573707=CARTESIAN_POINT('',(16.45,46.25,0.052500000000002)); #573708=CARTESIAN_POINT('',(16.45,46.25,-200.)); #573709=CARTESIAN_POINT('Origin',(16.625,46.25,0.052500000000002)); #573710=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #573711=CARTESIAN_POINT('',(39.075,17.5,0.)); #573712=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #573713=CARTESIAN_POINT('',(39.075,17.5,0.052500000000002)); #573714=CARTESIAN_POINT('',(39.075,17.5,-200.)); #573715=CARTESIAN_POINT('Origin',(39.25,17.5,0.052500000000002)); #573716=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #573717=CARTESIAN_POINT('',(17.325,47.75,0.)); #573718=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #573719=CARTESIAN_POINT('',(17.325,47.75,0.052500000000002)); #573720=CARTESIAN_POINT('',(17.325,47.75,-200.)); #573721=CARTESIAN_POINT('Origin',(17.5,47.75,0.052500000000002)); #573722=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #573723=CARTESIAN_POINT('',(57.575,33.25,0.)); #573724=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #573725=CARTESIAN_POINT('',(57.575,33.25,0.052500000000002)); #573726=CARTESIAN_POINT('',(57.575,33.25,-200.)); #573727=CARTESIAN_POINT('Origin',(57.75,33.25,0.052500000000002)); #573728=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #573729=CARTESIAN_POINT('',(19.2,46.25,0.)); #573730=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #573731=CARTESIAN_POINT('',(19.2,46.25,0.052500000000002)); #573732=CARTESIAN_POINT('',(19.2,46.25,-200.)); #573733=CARTESIAN_POINT('Origin',(19.375,46.25,0.052500000000002)); #573734=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #573735=CARTESIAN_POINT('',(36.075,15.5,0.)); #573736=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #573737=CARTESIAN_POINT('',(36.075,15.5,0.052500000000002)); #573738=CARTESIAN_POINT('',(36.075,15.5,-200.)); #573739=CARTESIAN_POINT('Origin',(36.25,15.5,0.052500000000002)); #573740=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #573741=CARTESIAN_POINT('',(19.2,47.75,0.)); #573742=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #573743=CARTESIAN_POINT('',(19.2,47.75,0.052500000000002)); #573744=CARTESIAN_POINT('',(19.2,47.75,-200.)); #573745=CARTESIAN_POINT('Origin',(19.375,47.75,0.052500000000002)); #573746=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #573747=CARTESIAN_POINT('',(61.825,33.25,0.)); #573748=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #573749=CARTESIAN_POINT('',(61.825,33.25,0.052500000000002)); #573750=CARTESIAN_POINT('',(61.825,33.25,-200.)); #573751=CARTESIAN_POINT('Origin',(62.,33.25,0.052500000000002)); #573752=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #573753=CARTESIAN_POINT('',(19.2,47.,0.)); #573754=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #573755=CARTESIAN_POINT('',(19.2,47.,0.052500000000002)); #573756=CARTESIAN_POINT('',(19.2,47.,-200.)); #573757=CARTESIAN_POINT('Origin',(19.375,47.,0.052500000000002)); #573758=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #573759=CARTESIAN_POINT('',(33.825,17.75,0.)); #573760=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #573761=CARTESIAN_POINT('',(33.825,17.75,0.052500000000002)); #573762=CARTESIAN_POINT('',(33.825,17.75,-200.)); #573763=CARTESIAN_POINT('Origin',(34.,17.75,0.052500000000002)); #573764=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #573765=CARTESIAN_POINT('',(17.325,46.25,0.)); #573766=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #573767=CARTESIAN_POINT('',(17.325,46.25,0.052500000000002)); #573768=CARTESIAN_POINT('',(17.325,46.25,-200.)); #573769=CARTESIAN_POINT('Origin',(17.5,46.25,0.052500000000002)); #573770=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #573771=CARTESIAN_POINT('',(57.575,34.,0.)); #573772=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #573773=CARTESIAN_POINT('',(57.575,34.,0.052500000000002)); #573774=CARTESIAN_POINT('',(57.575,34.,-200.)); #573775=CARTESIAN_POINT('Origin',(57.75,34.,0.052500000000002)); #573776=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #573777=CARTESIAN_POINT('',(17.325,47.,0.)); #573778=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #573779=CARTESIAN_POINT('',(17.325,47.,0.052500000000002)); #573780=CARTESIAN_POINT('',(17.325,47.,-200.)); #573781=CARTESIAN_POINT('Origin',(17.5,47.,0.052500000000002)); #573782=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #573783=CARTESIAN_POINT('',(36.075,14.75,0.)); #573784=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #573785=CARTESIAN_POINT('',(36.075,14.75,0.052500000000002)); #573786=CARTESIAN_POINT('',(36.075,14.75,-200.)); #573787=CARTESIAN_POINT('Origin',(36.25,14.75,0.052500000000002)); #573788=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #573789=CARTESIAN_POINT('',(15.575,46.25,0.)); #573790=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #573791=CARTESIAN_POINT('',(15.575,46.25,0.052500000000002)); #573792=CARTESIAN_POINT('',(15.575,46.25,-200.)); #573793=CARTESIAN_POINT('Origin',(15.75,46.25,0.052500000000002)); #573794=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #573795=CARTESIAN_POINT('',(57.575,34.75,0.)); #573796=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #573797=CARTESIAN_POINT('',(57.575,34.75,0.052500000000002)); #573798=CARTESIAN_POINT('',(57.575,34.75,-200.)); #573799=CARTESIAN_POINT('Origin',(57.75,34.75,0.052500000000002)); #573800=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #573801=CARTESIAN_POINT('',(15.575,47.,0.)); #573802=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #573803=CARTESIAN_POINT('',(15.575,47.,0.052500000000002)); #573804=CARTESIAN_POINT('',(15.575,47.,-200.)); #573805=CARTESIAN_POINT('Origin',(15.75,47.,0.052500000000002)); #573806=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #573807=CARTESIAN_POINT('',(51.75,34.,0.)); #573808=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #573809=CARTESIAN_POINT('',(51.75,34.,0.052500000000002)); #573810=CARTESIAN_POINT('',(51.75,34.,-200.)); #573811=CARTESIAN_POINT('Origin',(52.25,34.,0.052500000000002)); #573812=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #573813=CARTESIAN_POINT('',(23.575,47.,0.)); #573814=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #573815=CARTESIAN_POINT('',(23.575,47.,0.052500000000002)); #573816=CARTESIAN_POINT('',(23.575,47.,-200.)); #573817=CARTESIAN_POINT('Origin',(23.75,47.,0.052500000000002)); #573818=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #573819=CARTESIAN_POINT('',(61.075,33.25,0.)); #573820=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #573821=CARTESIAN_POINT('',(61.075,33.25,0.052500000000002)); #573822=CARTESIAN_POINT('',(61.075,33.25,-200.)); #573823=CARTESIAN_POINT('Origin',(61.25,33.25,0.052500000000002)); #573824=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #573825=CARTESIAN_POINT('',(20.075,47.,0.)); #573826=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #573827=CARTESIAN_POINT('',(20.075,47.,0.052500000000002)); #573828=CARTESIAN_POINT('',(20.075,47.,-200.)); #573829=CARTESIAN_POINT('Origin',(20.25,47.,0.052500000000002)); #573830=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #573831=CARTESIAN_POINT('',(36.075,17.75,0.)); #573832=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #573833=CARTESIAN_POINT('',(36.075,17.75,0.052500000000002)); #573834=CARTESIAN_POINT('',(36.075,17.75,-200.)); #573835=CARTESIAN_POINT('Origin',(36.25,17.75,0.052500000000002)); #573836=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #573837=CARTESIAN_POINT('',(23.575,46.25,0.)); #573838=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #573839=CARTESIAN_POINT('',(23.575,46.25,0.052500000000002)); #573840=CARTESIAN_POINT('',(23.575,46.25,-200.)); #573841=CARTESIAN_POINT('Origin',(23.75,46.25,0.052500000000002)); #573842=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #573843=CARTESIAN_POINT('',(58.325,33.25,0.)); #573844=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #573845=CARTESIAN_POINT('',(58.325,33.25,0.052500000000002)); #573846=CARTESIAN_POINT('',(58.325,33.25,-200.)); #573847=CARTESIAN_POINT('Origin',(58.5,33.25,0.052500000000002)); #573848=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #573849=CARTESIAN_POINT('',(21.825,46.25,0.)); #573850=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #573851=CARTESIAN_POINT('',(21.825,46.25,0.052500000000002)); #573852=CARTESIAN_POINT('',(21.825,46.25,-200.)); #573853=CARTESIAN_POINT('Origin',(22.,46.25,0.052500000000002)); #573854=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #573855=CARTESIAN_POINT('',(37.075,8.75,0.)); #573856=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #573857=CARTESIAN_POINT('',(37.075,8.75,0.052500000000002)); #573858=CARTESIAN_POINT('',(37.075,8.75,-200.)); #573859=CARTESIAN_POINT('Origin',(37.25,8.75,0.052500000000002)); #573860=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #573861=CARTESIAN_POINT('',(20.075,46.25,0.)); #573862=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #573863=CARTESIAN_POINT('',(20.075,46.25,0.052500000000002)); #573864=CARTESIAN_POINT('',(20.075,46.25,-200.)); #573865=CARTESIAN_POINT('Origin',(20.25,46.25,0.052500000000002)); #573866=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #573867=CARTESIAN_POINT('',(58.325,34.,0.)); #573868=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #573869=CARTESIAN_POINT('',(58.325,34.,0.052500000000002)); #573870=CARTESIAN_POINT('',(58.325,34.,-200.)); #573871=CARTESIAN_POINT('Origin',(58.5,34.,0.052500000000002)); #573872=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #573873=CARTESIAN_POINT('',(18.325,47.75,0.)); #573874=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #573875=CARTESIAN_POINT('',(18.325,47.75,0.052500000000002)); #573876=CARTESIAN_POINT('',(18.325,47.75,-200.)); #573877=CARTESIAN_POINT('Origin',(18.5,47.75,0.052500000000002)); #573878=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #573879=CARTESIAN_POINT('',(36.075,18.5,0.)); #573880=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #573881=CARTESIAN_POINT('',(36.075,18.5,0.052500000000002)); #573882=CARTESIAN_POINT('',(36.075,18.5,-200.)); #573883=CARTESIAN_POINT('Origin',(36.25,18.5,0.052500000000002)); #573884=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #573885=CARTESIAN_POINT('',(20.075,47.75,0.)); #573886=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #573887=CARTESIAN_POINT('',(20.075,47.75,0.052500000000002)); #573888=CARTESIAN_POINT('',(20.075,47.75,-200.)); #573889=CARTESIAN_POINT('Origin',(20.25,47.75,0.052500000000002)); #573890=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #573891=CARTESIAN_POINT('',(58.325,34.75,0.)); #573892=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #573893=CARTESIAN_POINT('',(58.325,34.75,0.052500000000002)); #573894=CARTESIAN_POINT('',(58.325,34.75,-200.)); #573895=CARTESIAN_POINT('Origin',(58.5,34.75,0.052500000000002)); #573896=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #573897=CARTESIAN_POINT('',(23.575,47.75,0.)); #573898=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #573899=CARTESIAN_POINT('',(23.575,47.75,0.052500000000002)); #573900=CARTESIAN_POINT('',(23.575,47.75,-200.)); #573901=CARTESIAN_POINT('Origin',(23.75,47.75,0.052500000000002)); #573902=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #573903=CARTESIAN_POINT('',(31.,27.75,0.)); #573904=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #573905=CARTESIAN_POINT('',(31.,27.75,0.052500000000002)); #573906=CARTESIAN_POINT('',(31.,27.75,-200.)); #573907=CARTESIAN_POINT('Origin',(31.5,27.75,0.052500000000002)); #573908=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #573909=CARTESIAN_POINT('',(20.95,47.,0.)); #573910=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #573911=CARTESIAN_POINT('',(20.95,47.,0.052500000000002)); #573912=CARTESIAN_POINT('',(20.95,47.,-200.)); #573913=CARTESIAN_POINT('Origin',(21.125,47.,0.052500000000002)); #573914=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #573915=CARTESIAN_POINT('',(61.075,34.75,0.)); #573916=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #573917=CARTESIAN_POINT('',(61.075,34.75,0.052500000000002)); #573918=CARTESIAN_POINT('',(61.075,34.75,-200.)); #573919=CARTESIAN_POINT('Origin',(61.25,34.75,0.052500000000002)); #573920=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #573921=CARTESIAN_POINT('',(20.95,47.75,0.)); #573922=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #573923=CARTESIAN_POINT('',(20.95,47.75,0.052500000000002)); #573924=CARTESIAN_POINT('',(20.95,47.75,-200.)); #573925=CARTESIAN_POINT('Origin',(21.125,47.75,0.052500000000002)); #573926=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #573927=CARTESIAN_POINT('',(36.075,17.,0.)); #573928=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #573929=CARTESIAN_POINT('',(36.075,17.,0.052500000000002)); #573930=CARTESIAN_POINT('',(36.075,17.,-200.)); #573931=CARTESIAN_POINT('Origin',(36.25,17.,0.052500000000002)); #573932=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #573933=CARTESIAN_POINT('',(21.825,47.,0.)); #573934=CARTESIAN_POINT('Origin',(22.,47.,0.)); #573935=CARTESIAN_POINT('',(21.825,47.,0.052500000000002)); #573936=CARTESIAN_POINT('',(21.825,47.,-200.)); #573937=CARTESIAN_POINT('Origin',(22.,47.,0.052500000000002)); #573938=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #573939=CARTESIAN_POINT('',(61.075,34.,0.)); #573940=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #573941=CARTESIAN_POINT('',(61.075,34.,0.052500000000002)); #573942=CARTESIAN_POINT('',(61.075,34.,-200.)); #573943=CARTESIAN_POINT('Origin',(61.25,34.,0.052500000000002)); #573944=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #573945=CARTESIAN_POINT('',(21.825,47.75,0.)); #573946=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #573947=CARTESIAN_POINT('',(21.825,47.75,0.052500000000002)); #573948=CARTESIAN_POINT('',(21.825,47.75,-200.)); #573949=CARTESIAN_POINT('Origin',(22.,47.75,0.052500000000002)); #573950=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #573951=CARTESIAN_POINT('',(33.825,17.,0.)); #573952=CARTESIAN_POINT('Origin',(34.,17.,0.)); #573953=CARTESIAN_POINT('',(33.825,17.,0.052500000000002)); #573954=CARTESIAN_POINT('',(33.825,17.,-200.)); #573955=CARTESIAN_POINT('Origin',(34.,17.,0.052500000000002)); #573956=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #573957=CARTESIAN_POINT('',(22.7,46.25,0.)); #573958=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #573959=CARTESIAN_POINT('',(22.7,46.25,0.052500000000002)); #573960=CARTESIAN_POINT('',(22.7,46.25,-200.)); #573961=CARTESIAN_POINT('Origin',(22.875,46.25,0.052500000000002)); #573962=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #573963=CARTESIAN_POINT('',(61.825,34.75,0.)); #573964=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #573965=CARTESIAN_POINT('',(61.825,34.75,0.052500000000002)); #573966=CARTESIAN_POINT('',(61.825,34.75,-200.)); #573967=CARTESIAN_POINT('Origin',(62.,34.75,0.052500000000002)); #573968=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #573969=CARTESIAN_POINT('',(20.95,46.25,0.)); #573970=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #573971=CARTESIAN_POINT('',(20.95,46.25,0.052500000000002)); #573972=CARTESIAN_POINT('',(20.95,46.25,-200.)); #573973=CARTESIAN_POINT('Origin',(21.125,46.25,0.052500000000002)); #573974=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #573975=CARTESIAN_POINT('',(36.075,16.25,0.)); #573976=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #573977=CARTESIAN_POINT('',(36.075,16.25,0.052500000000002)); #573978=CARTESIAN_POINT('',(36.075,16.25,-200.)); #573979=CARTESIAN_POINT('Origin',(36.25,16.25,0.052500000000002)); #573980=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #573981=CARTESIAN_POINT('',(22.7,47.,0.)); #573982=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #573983=CARTESIAN_POINT('',(22.7,47.,0.052500000000002)); #573984=CARTESIAN_POINT('',(22.7,47.,-200.)); #573985=CARTESIAN_POINT('Origin',(22.875,47.,0.052500000000002)); #573986=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #573987=CARTESIAN_POINT('',(61.825,34.,0.)); #573988=CARTESIAN_POINT('Origin',(62.,34.,0.)); #573989=CARTESIAN_POINT('',(61.825,34.,0.052500000000002)); #573990=CARTESIAN_POINT('',(61.825,34.,-200.)); #573991=CARTESIAN_POINT('Origin',(62.,34.,0.052500000000002)); #573992=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #573993=CARTESIAN_POINT('',(22.7,47.75,0.)); #573994=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #573995=CARTESIAN_POINT('',(22.7,47.75,0.052500000000002)); #573996=CARTESIAN_POINT('',(22.7,47.75,-200.)); #573997=CARTESIAN_POINT('Origin',(22.875,47.75,0.052500000000002)); #573998=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #573999=CARTESIAN_POINT('',(21.2,34.,0.)); #574000=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #574001=CARTESIAN_POINT('',(21.2,34.,0.052500000000002)); #574002=CARTESIAN_POINT('',(21.2,34.,-200.)); #574003=CARTESIAN_POINT('Origin',(21.75,34.,0.052500000000002)); #574004=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #574005=CARTESIAN_POINT('',(31.45,7.6,0.)); #574006=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #574007=CARTESIAN_POINT('',(31.45,7.6,0.052500000000002)); #574008=CARTESIAN_POINT('',(31.45,7.6,-200.)); #574009=CARTESIAN_POINT('Origin',(31.6,7.6,0.052500000000002)); #574010=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #574011=CARTESIAN_POINT('',(6.325,34.75,0.)); #574012=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #574013=CARTESIAN_POINT('',(6.325,34.75,0.052500000000002)); #574014=CARTESIAN_POINT('',(6.325,34.75,-200.)); #574015=CARTESIAN_POINT('Origin',(6.5,34.75,0.052500000000002)); #574016=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #574017=CARTESIAN_POINT('',(36.25,7.1,0.)); #574018=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #574019=CARTESIAN_POINT('',(36.25,7.1,0.052500000000002)); #574020=CARTESIAN_POINT('',(36.25,7.1,-200.)); #574021=CARTESIAN_POINT('Origin',(36.4,7.1,0.052500000000002)); #574022=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #574023=CARTESIAN_POINT('',(31.575,14.75,0.)); #574024=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #574025=CARTESIAN_POINT('',(31.575,14.75,0.052500000000002)); #574026=CARTESIAN_POINT('',(31.575,14.75,-200.)); #574027=CARTESIAN_POINT('Origin',(31.75,14.75,0.052500000000002)); #574028=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #574029=CARTESIAN_POINT('',(41.075,13.0029,0.)); #574030=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #574031=CARTESIAN_POINT('',(41.075,13.0029,0.052500000000002)); #574032=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #574033=CARTESIAN_POINT('Origin',(41.25,13.0029,0.052500000000002)); #574034=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #574035=CARTESIAN_POINT('',(6.325,34.,0.)); #574036=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #574037=CARTESIAN_POINT('',(6.325,34.,0.052500000000002)); #574038=CARTESIAN_POINT('',(6.325,34.,-200.)); #574039=CARTESIAN_POINT('Origin',(6.5,34.,0.052500000000002)); #574040=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #574041=CARTESIAN_POINT('',(36.25,7.6,0.)); #574042=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #574043=CARTESIAN_POINT('',(36.25,7.6,0.052500000000002)); #574044=CARTESIAN_POINT('',(36.25,7.6,-200.)); #574045=CARTESIAN_POINT('Origin',(36.4,7.6,0.052500000000002)); #574046=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #574047=CARTESIAN_POINT('',(33.075,17.,0.)); #574048=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #574049=CARTESIAN_POINT('',(33.075,17.,0.052500000000002)); #574050=CARTESIAN_POINT('',(33.075,17.,-200.)); #574051=CARTESIAN_POINT('Origin',(33.25,17.,0.052500000000002)); #574052=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #574053=CARTESIAN_POINT('',(34.7625,12.25,0.)); #574054=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #574055=CARTESIAN_POINT('',(34.7625,12.25,0.052500000000002)); #574056=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #574057=CARTESIAN_POINT('Origin',(34.9375,12.25,0.052500000000002)); #574058=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #574059=CARTESIAN_POINT('',(7.075,33.25,0.)); #574060=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #574061=CARTESIAN_POINT('',(7.075,33.25,0.052500000000002)); #574062=CARTESIAN_POINT('',(7.075,33.25,-200.)); #574063=CARTESIAN_POINT('Origin',(7.25,33.25,0.052500000000002)); #574064=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #574065=CARTESIAN_POINT('',(38.587,60.25,0.)); #574066=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #574067=CARTESIAN_POINT('',(38.587,60.25,0.052500000000002)); #574068=CARTESIAN_POINT('',(38.587,60.25,-200.)); #574069=CARTESIAN_POINT('Origin',(38.762,60.25,0.052500000000002)); #574070=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #574071=CARTESIAN_POINT('',(32.325,14.75,0.)); #574072=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #574073=CARTESIAN_POINT('',(32.325,14.75,0.052500000000002)); #574074=CARTESIAN_POINT('',(32.325,14.75,-200.)); #574075=CARTESIAN_POINT('Origin',(32.5,14.75,0.052500000000002)); #574076=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #574077=CARTESIAN_POINT('',(33.825,55.,0.)); #574078=CARTESIAN_POINT('Origin',(34.,55.,0.)); #574079=CARTESIAN_POINT('',(33.825,55.,0.052500000000002)); #574080=CARTESIAN_POINT('',(33.825,55.,-200.)); #574081=CARTESIAN_POINT('Origin',(34.,55.,0.052500000000002)); #574082=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #574083=CARTESIAN_POINT('',(6.325,33.25,0.)); #574084=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #574085=CARTESIAN_POINT('',(6.325,33.25,0.052500000000002)); #574086=CARTESIAN_POINT('',(6.325,33.25,-200.)); #574087=CARTESIAN_POINT('Origin',(6.5,33.25,0.052500000000002)); #574088=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #574089=CARTESIAN_POINT('',(42.325,14.25,0.)); #574090=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #574091=CARTESIAN_POINT('',(42.325,14.25,0.052500000000002)); #574092=CARTESIAN_POINT('',(42.325,14.25,-200.)); #574093=CARTESIAN_POINT('Origin',(42.5,14.25,0.052500000000002)); #574094=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #574095=CARTESIAN_POINT('',(39.075,15.75,0.)); #574096=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #574097=CARTESIAN_POINT('',(39.075,15.75,0.052500000000002)); #574098=CARTESIAN_POINT('',(39.075,15.75,-200.)); #574099=CARTESIAN_POINT('Origin',(39.25,15.75,0.052500000000002)); #574100=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #574101=CARTESIAN_POINT('',(30.325,10.5,0.)); #574102=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #574103=CARTESIAN_POINT('',(30.325,10.5,0.052500000000002)); #574104=CARTESIAN_POINT('',(30.325,10.5,-200.)); #574105=CARTESIAN_POINT('Origin',(30.5,10.5,0.052500000000002)); #574106=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #574107=CARTESIAN_POINT('',(9.325,34.75,0.)); #574108=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #574109=CARTESIAN_POINT('',(9.325,34.75,0.052500000000002)); #574110=CARTESIAN_POINT('',(9.325,34.75,-200.)); #574111=CARTESIAN_POINT('Origin',(9.5,34.75,0.052500000000002)); #574112=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #574113=CARTESIAN_POINT('',(36.325,10.5,0.)); #574114=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #574115=CARTESIAN_POINT('',(36.325,10.5,0.052500000000002)); #574116=CARTESIAN_POINT('',(36.325,10.5,-200.)); #574117=CARTESIAN_POINT('Origin',(36.5,10.5,0.052500000000002)); #574118=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #574119=CARTESIAN_POINT('',(33.825,14.75,0.)); #574120=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #574121=CARTESIAN_POINT('',(33.825,14.75,0.052500000000002)); #574122=CARTESIAN_POINT('',(33.825,14.75,-200.)); #574123=CARTESIAN_POINT('Origin',(34.,14.75,0.052500000000002)); #574124=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #574125=CARTESIAN_POINT('',(35.575,11.25,0.)); #574126=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #574127=CARTESIAN_POINT('',(35.575,11.25,0.052500000000002)); #574128=CARTESIAN_POINT('',(35.575,11.25,-200.)); #574129=CARTESIAN_POINT('Origin',(35.75,11.25,0.052500000000002)); #574130=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #574131=CARTESIAN_POINT('',(10.075,34.75,0.)); #574132=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #574133=CARTESIAN_POINT('',(10.075,34.75,0.052500000000002)); #574134=CARTESIAN_POINT('',(10.075,34.75,-200.)); #574135=CARTESIAN_POINT('Origin',(10.25,34.75,0.052500000000002)); #574136=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #574137=CARTESIAN_POINT('',(30.325,11.25,0.)); #574138=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #574139=CARTESIAN_POINT('',(30.325,11.25,0.052500000000002)); #574140=CARTESIAN_POINT('',(30.325,11.25,-200.)); #574141=CARTESIAN_POINT('Origin',(30.5,11.25,0.052500000000002)); #574142=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #574143=CARTESIAN_POINT('',(33.825,16.25,0.)); #574144=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #574145=CARTESIAN_POINT('',(33.825,16.25,0.052500000000002)); #574146=CARTESIAN_POINT('',(33.825,16.25,-200.)); #574147=CARTESIAN_POINT('Origin',(34.,16.25,0.052500000000002)); #574148=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #574149=CARTESIAN_POINT('',(39.075,16.5,0.)); #574150=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #574151=CARTESIAN_POINT('',(39.075,16.5,0.052500000000002)); #574152=CARTESIAN_POINT('',(39.075,16.5,-200.)); #574153=CARTESIAN_POINT('Origin',(39.25,16.5,0.052500000000002)); #574154=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #574155=CARTESIAN_POINT('',(7.075,34.75,0.)); #574156=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #574157=CARTESIAN_POINT('',(7.075,34.75,0.052500000000002)); #574158=CARTESIAN_POINT('',(7.075,34.75,-200.)); #574159=CARTESIAN_POINT('Origin',(7.25,34.75,0.052500000000002)); #574160=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #574161=CARTESIAN_POINT('',(30.587,60.25,0.)); #574162=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #574163=CARTESIAN_POINT('',(30.587,60.25,0.052500000000002)); #574164=CARTESIAN_POINT('',(30.587,60.25,-200.)); #574165=CARTESIAN_POINT('Origin',(30.762,60.25,0.052500000000002)); #574166=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #574167=CARTESIAN_POINT('',(33.075,14.75,0.)); #574168=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #574169=CARTESIAN_POINT('',(33.075,14.75,0.052500000000002)); #574170=CARTESIAN_POINT('',(33.075,14.75,-200.)); #574171=CARTESIAN_POINT('Origin',(33.25,14.75,0.052500000000002)); #574172=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #574173=CARTESIAN_POINT('',(33.075,55.,0.)); #574174=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #574175=CARTESIAN_POINT('',(33.075,55.,0.052500000000002)); #574176=CARTESIAN_POINT('',(33.075,55.,-200.)); #574177=CARTESIAN_POINT('Origin',(33.25,55.,0.052500000000002)); #574178=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #574179=CARTESIAN_POINT('',(7.075,34.,0.)); #574180=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #574181=CARTESIAN_POINT('',(7.075,34.,0.052500000000002)); #574182=CARTESIAN_POINT('',(7.075,34.,-200.)); #574183=CARTESIAN_POINT('Origin',(7.25,34.,0.052500000000002)); #574184=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #574185=CARTESIAN_POINT('',(34.587,60.25,0.)); #574186=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #574187=CARTESIAN_POINT('',(34.587,60.25,0.052500000000002)); #574188=CARTESIAN_POINT('',(34.587,60.25,-200.)); #574189=CARTESIAN_POINT('Origin',(34.762,60.25,0.052500000000002)); #574190=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #574191=CARTESIAN_POINT('',(55.25,34.,0.)); #574192=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #574193=CARTESIAN_POINT('',(55.25,34.,0.052500000000002)); #574194=CARTESIAN_POINT('',(55.25,34.,-200.)); #574195=CARTESIAN_POINT('Origin',(55.75,34.,0.052500000000002)); #574196=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #574197=CARTESIAN_POINT('',(30.03,2.89999999062179,0.052500000000002)); #574198=CARTESIAN_POINT('',(30.03,2.09999997186536,0.052500000000002)); #574199=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.052500000000002)); #574200=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #574201=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #574202=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #574203=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #574204=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #574205=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #574206=CARTESIAN_POINT('',(29.33,2.10000000937821,0.052500000000002)); #574207=CARTESIAN_POINT('Origin',(29.68,2.1,0.052500000000002)); #574208=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #574209=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #574210=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #574211=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #574212=CARTESIAN_POINT('',(29.33,2.90000002813464,0.052500000000002)); #574213=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.052500000000002)); #574214=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #574215=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #574216=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #574217=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #574218=CARTESIAN_POINT('Origin',(29.68,2.9,0.052500000000002)); #574219=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #574220=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #574221=CARTESIAN_POINT('',(35.075,6.25,0.)); #574222=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #574223=CARTESIAN_POINT('',(35.075,6.25,0.052500000000002)); #574224=CARTESIAN_POINT('',(35.075,6.25,-200.)); #574225=CARTESIAN_POINT('Origin',(35.25,6.25,0.052500000000002)); #574226=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #574227=CARTESIAN_POINT('',(28.8500000160769,34.6,0.052500000000002)); #574228=CARTESIAN_POINT('',(29.4500000482308,34.6,0.052500000000002)); #574229=CARTESIAN_POINT('',(31.7250000241154,34.6,0.052500000000002)); #574230=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #574231=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #574232=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #574233=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #574234=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #574235=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #574236=CARTESIAN_POINT('',(29.4499999839231,33.4,0.052500000000002)); #574237=CARTESIAN_POINT('Origin',(29.45,34.,0.052500000000002)); #574238=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #574239=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #574240=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #574241=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #574242=CARTESIAN_POINT('',(28.8499999517692,33.4,0.052500000000002)); #574243=CARTESIAN_POINT('',(31.4249999758846,33.4,0.052500000000002)); #574244=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #574245=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #574246=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #574247=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #574248=CARTESIAN_POINT('Origin',(28.85,34.,0.052500000000002)); #574249=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #574250=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #574251=CARTESIAN_POINT('',(31.575,16.25,0.)); #574252=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #574253=CARTESIAN_POINT('',(31.575,16.25,0.052500000000002)); #574254=CARTESIAN_POINT('',(31.575,16.25,-200.)); #574255=CARTESIAN_POINT('Origin',(31.75,16.25,0.052500000000002)); #574256=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #574257=CARTESIAN_POINT('',(30.65,7.6,0.)); #574258=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #574259=CARTESIAN_POINT('',(30.65,7.6,0.052500000000002)); #574260=CARTESIAN_POINT('',(30.65,7.6,-200.)); #574261=CARTESIAN_POINT('Origin',(30.8,7.6,0.052500000000002)); #574262=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #574263=CARTESIAN_POINT('',(34.075,8.25,0.)); #574264=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #574265=CARTESIAN_POINT('',(34.075,8.25,0.052500000000002)); #574266=CARTESIAN_POINT('',(34.075,8.25,-200.)); #574267=CARTESIAN_POINT('Origin',(34.25,8.25,0.052500000000002)); #574268=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #574269=CARTESIAN_POINT('',(38.5500000160769,34.6,0.052500000000002)); #574270=CARTESIAN_POINT('',(39.1500000482308,34.6,0.052500000000002)); #574271=CARTESIAN_POINT('',(36.5750000241154,34.6,0.052500000000002)); #574272=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #574273=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #574274=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #574275=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #574276=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #574277=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #574278=CARTESIAN_POINT('',(39.1499999839231,33.4,0.052500000000002)); #574279=CARTESIAN_POINT('Origin',(39.15,34.,0.052500000000002)); #574280=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #574281=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #574282=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #574283=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #574284=CARTESIAN_POINT('',(38.5499999517692,33.4,0.052500000000002)); #574285=CARTESIAN_POINT('',(36.2749999758846,33.4,0.052500000000002)); #574286=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #574287=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #574288=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #574289=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #574290=CARTESIAN_POINT('Origin',(38.55,34.,0.052500000000002)); #574291=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #574292=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #574293=CARTESIAN_POINT('',(34.575,17.75,0.)); #574294=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #574295=CARTESIAN_POINT('',(34.575,17.75,0.052500000000002)); #574296=CARTESIAN_POINT('',(34.575,17.75,-200.)); #574297=CARTESIAN_POINT('Origin',(34.75,17.75,0.052500000000002)); #574298=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #574299=CARTESIAN_POINT('',(37.05,7.1,0.)); #574300=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #574301=CARTESIAN_POINT('',(37.05,7.1,0.052500000000002)); #574302=CARTESIAN_POINT('',(37.05,7.1,-200.)); #574303=CARTESIAN_POINT('Origin',(37.2,7.1,0.052500000000002)); #574304=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #574305=CARTESIAN_POINT('',(32.575,8.25,0.)); #574306=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #574307=CARTESIAN_POINT('',(32.575,8.25,0.052500000000002)); #574308=CARTESIAN_POINT('',(32.575,8.25,-200.)); #574309=CARTESIAN_POINT('Origin',(32.75,8.25,0.052500000000002)); #574310=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #574311=CARTESIAN_POINT('',(31.45,7.1,0.)); #574312=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #574313=CARTESIAN_POINT('',(31.45,7.1,0.052500000000002)); #574314=CARTESIAN_POINT('',(31.45,7.1,-200.)); #574315=CARTESIAN_POINT('Origin',(31.6,7.1,0.052500000000002)); #574316=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #574317=CARTESIAN_POINT('',(31.575,15.5,0.)); #574318=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #574319=CARTESIAN_POINT('',(31.575,15.5,0.052500000000002)); #574320=CARTESIAN_POINT('',(31.575,15.5,-200.)); #574321=CARTESIAN_POINT('Origin',(31.75,15.5,0.052500000000002)); #574322=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #574323=CARTESIAN_POINT('',(37.05,7.6,0.)); #574324=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #574325=CARTESIAN_POINT('',(37.05,7.6,0.052500000000002)); #574326=CARTESIAN_POINT('',(37.05,7.6,-200.)); #574327=CARTESIAN_POINT('Origin',(37.2,7.6,0.052500000000002)); #574328=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #574329=CARTESIAN_POINT('',(33.575,6.2426,0.)); #574330=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #574331=CARTESIAN_POINT('',(33.575,6.2426,0.052500000000002)); #574332=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #574333=CARTESIAN_POINT('Origin',(33.75,6.2426,0.052500000000002)); #574334=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #574335=CARTESIAN_POINT('',(30.65,7.1,0.)); #574336=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #574337=CARTESIAN_POINT('',(30.65,7.1,0.052500000000002)); #574338=CARTESIAN_POINT('',(30.65,7.1,-200.)); #574339=CARTESIAN_POINT('Origin',(30.8,7.1,0.052500000000002)); #574340=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #574341=CARTESIAN_POINT('',(34.575,55.,0.)); #574342=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #574343=CARTESIAN_POINT('',(34.575,55.,0.052500000000002)); #574344=CARTESIAN_POINT('',(34.575,55.,-200.)); #574345=CARTESIAN_POINT('Origin',(34.75,55.,0.052500000000002)); #574346=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #574347=CARTESIAN_POINT('',(42.075,28.5,0.)); #574348=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #574349=CARTESIAN_POINT('',(42.075,28.5,0.052500000000002)); #574350=CARTESIAN_POINT('',(42.075,28.5,-200.)); #574351=CARTESIAN_POINT('Origin',(42.25,28.5,0.052500000000002)); #574352=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #574353=CARTESIAN_POINT('',(30.03,7.07999999062179,0.052500000000002)); #574354=CARTESIAN_POINT('',(30.03,6.27999997186536,0.052500000000002)); #574355=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.052500000000002)); #574356=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #574357=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #574358=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #574359=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #574360=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #574361=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #574362=CARTESIAN_POINT('',(29.33,6.28000000937821,0.052500000000002)); #574363=CARTESIAN_POINT('Origin',(29.68,6.28,0.052500000000002)); #574364=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #574365=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #574366=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #574367=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #574368=CARTESIAN_POINT('',(29.33,7.08000002813464,0.052500000000002)); #574369=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.052500000000002)); #574370=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #574371=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #574372=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #574373=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #574374=CARTESIAN_POINT('Origin',(29.68,7.08,0.052500000000002)); #574375=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #574376=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #574377=CARTESIAN_POINT('',(31.575,17.,0.)); #574378=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #574379=CARTESIAN_POINT('',(31.575,17.,0.052500000000002)); #574380=CARTESIAN_POINT('',(31.575,17.,-200.)); #574381=CARTESIAN_POINT('Origin',(31.75,17.,0.052500000000002)); #574382=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #574383=CARTESIAN_POINT('',(38.67,2.89999999062179,0.052500000000002)); #574384=CARTESIAN_POINT('',(38.67,2.09999997186536,0.052500000000002)); #574385=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.052500000000002)); #574386=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #574387=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #574388=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #574389=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #574390=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #574391=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #574392=CARTESIAN_POINT('',(37.97,2.10000000937821,0.052500000000002)); #574393=CARTESIAN_POINT('Origin',(38.32,2.1,0.052500000000002)); #574394=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #574395=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #574396=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #574397=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #574398=CARTESIAN_POINT('',(37.97,2.90000002813464,0.052500000000002)); #574399=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.052500000000002)); #574400=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #574401=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #574402=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #574403=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #574404=CARTESIAN_POINT('Origin',(38.32,2.9,0.052500000000002)); #574405=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #574406=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #574407=CARTESIAN_POINT('',(33.825,23.125,0.)); #574408=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #574409=CARTESIAN_POINT('',(33.825,23.125,0.052500000000002)); #574410=CARTESIAN_POINT('',(33.825,23.125,-200.)); #574411=CARTESIAN_POINT('Origin',(34.,23.125,0.052500000000002)); #574412=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #574413=CARTESIAN_POINT('',(38.67,7.07999999062179,0.052500000000002)); #574414=CARTESIAN_POINT('',(38.67,6.27999997186536,0.052500000000002)); #574415=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.052500000000002)); #574416=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #574417=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #574418=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #574419=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #574420=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #574421=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #574422=CARTESIAN_POINT('',(37.97,6.28000000937821,0.052500000000002)); #574423=CARTESIAN_POINT('Origin',(38.32,6.28,0.052500000000002)); #574424=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #574425=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #574426=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #574427=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #574428=CARTESIAN_POINT('',(37.97,7.08000002813464,0.052500000000002)); #574429=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.052500000000002)); #574430=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #574431=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #574432=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #574433=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #574434=CARTESIAN_POINT('Origin',(38.32,7.08,0.052500000000002)); #574435=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #574436=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #574437=CARTESIAN_POINT('',(33.075,17.75,0.)); #574438=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #574439=CARTESIAN_POINT('',(33.075,17.75,0.052500000000002)); #574440=CARTESIAN_POINT('',(33.075,17.75,-200.)); #574441=CARTESIAN_POINT('Origin',(33.25,17.75,0.052500000000002)); #574442=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #574443=CARTESIAN_POINT('',(40.575,27.,0.)); #574444=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #574445=CARTESIAN_POINT('',(40.575,27.,0.052500000000002)); #574446=CARTESIAN_POINT('',(40.575,27.,-200.)); #574447=CARTESIAN_POINT('Origin',(40.75,27.,0.052500000000002)); #574448=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #574449=CARTESIAN_POINT('',(31.575,17.75,0.)); #574450=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #574451=CARTESIAN_POINT('',(31.575,17.75,0.052500000000002)); #574452=CARTESIAN_POINT('',(31.575,17.75,-200.)); #574453=CARTESIAN_POINT('Origin',(31.75,17.75,0.052500000000002)); #574454=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #574455=CARTESIAN_POINT('',(15.075,21.,0.)); #574456=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #574457=CARTESIAN_POINT('',(15.075,21.,0.052500000000002)); #574458=CARTESIAN_POINT('',(15.075,21.,-200.)); #574459=CARTESIAN_POINT('Origin',(15.25,21.,0.052500000000002)); #574460=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #574461=CARTESIAN_POINT('',(32.13,45.,0.)); #574462=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #574463=CARTESIAN_POINT('',(32.13,45.,0.052500000000002)); #574464=CARTESIAN_POINT('',(32.13,45.,-200.)); #574465=CARTESIAN_POINT('Origin',(32.73,45.,0.052500000000002)); #574466=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #574467=CARTESIAN_POINT('',(44.95,56.75,0.)); #574468=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #574469=CARTESIAN_POINT('',(44.95,56.75,0.052500000000002)); #574470=CARTESIAN_POINT('',(44.95,56.75,-200.)); #574471=CARTESIAN_POINT('Origin',(45.125,56.75,0.052500000000002)); #574472=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #574473=CARTESIAN_POINT('',(55.075,21.75,0.)); #574474=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #574475=CARTESIAN_POINT('',(55.075,21.75,0.052500000000002)); #574476=CARTESIAN_POINT('',(55.075,21.75,-200.)); #574477=CARTESIAN_POINT('Origin',(55.25,21.75,0.052500000000002)); #574478=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #574479=CARTESIAN_POINT('',(47.575,56.75,0.)); #574480=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #574481=CARTESIAN_POINT('',(47.575,56.75,0.052500000000002)); #574482=CARTESIAN_POINT('',(47.575,56.75,-200.)); #574483=CARTESIAN_POINT('Origin',(47.75,56.75,0.052500000000002)); #574484=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #574485=CARTESIAN_POINT('',(35.325,15.5,0.)); #574486=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #574487=CARTESIAN_POINT('',(35.325,15.5,0.052500000000002)); #574488=CARTESIAN_POINT('',(35.325,15.5,-200.)); #574489=CARTESIAN_POINT('Origin',(35.5,15.5,0.052500000000002)); #574490=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #574491=CARTESIAN_POINT('',(47.575,55.25,0.)); #574492=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #574493=CARTESIAN_POINT('',(47.575,55.25,0.052500000000002)); #574494=CARTESIAN_POINT('',(47.575,55.25,-200.)); #574495=CARTESIAN_POINT('Origin',(47.75,55.25,0.052500000000002)); #574496=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #574497=CARTESIAN_POINT('',(55.075,21.,0.)); #574498=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #574499=CARTESIAN_POINT('',(55.075,21.,0.052500000000002)); #574500=CARTESIAN_POINT('',(55.075,21.,-200.)); #574501=CARTESIAN_POINT('Origin',(55.25,21.,0.052500000000002)); #574502=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #574503=CARTESIAN_POINT('',(47.575,56.,0.)); #574504=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #574505=CARTESIAN_POINT('',(47.575,56.,0.052500000000002)); #574506=CARTESIAN_POINT('',(47.575,56.,-200.)); #574507=CARTESIAN_POINT('Origin',(47.75,56.,0.052500000000002)); #574508=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #574509=CARTESIAN_POINT('',(30.575,9.5,0.)); #574510=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #574511=CARTESIAN_POINT('',(30.575,9.5,0.052500000000002)); #574512=CARTESIAN_POINT('',(30.575,9.5,-200.)); #574513=CARTESIAN_POINT('Origin',(30.75,9.5,0.052500000000002)); #574514=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #574515=CARTESIAN_POINT('',(45.825,56.,0.)); #574516=CARTESIAN_POINT('Origin',(46.,56.,0.)); #574517=CARTESIAN_POINT('',(45.825,56.,0.052500000000002)); #574518=CARTESIAN_POINT('',(45.825,56.,-200.)); #574519=CARTESIAN_POINT('Origin',(46.,56.,0.052500000000002)); #574520=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #574521=CARTESIAN_POINT('',(39.825,38.75,0.)); #574522=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #574523=CARTESIAN_POINT('',(39.825,38.75,0.052500000000002)); #574524=CARTESIAN_POINT('',(39.825,38.75,-200.)); #574525=CARTESIAN_POINT('Origin',(40.,38.75,0.052500000000002)); #574526=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #574527=CARTESIAN_POINT('',(44.075,56.,0.)); #574528=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #574529=CARTESIAN_POINT('',(44.075,56.,0.052500000000002)); #574530=CARTESIAN_POINT('',(44.075,56.,-200.)); #574531=CARTESIAN_POINT('Origin',(44.25,56.,0.052500000000002)); #574532=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #574533=CARTESIAN_POINT('',(34.575,15.5,0.)); #574534=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #574535=CARTESIAN_POINT('',(34.575,15.5,0.052500000000002)); #574536=CARTESIAN_POINT('',(34.575,15.5,-200.)); #574537=CARTESIAN_POINT('Origin',(34.75,15.5,0.052500000000002)); #574538=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #574539=CARTESIAN_POINT('',(45.825,56.75,0.)); #574540=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #574541=CARTESIAN_POINT('',(45.825,56.75,0.052500000000002)); #574542=CARTESIAN_POINT('',(45.825,56.75,-200.)); #574543=CARTESIAN_POINT('Origin',(46.,56.75,0.052500000000002)); #574544=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #574545=CARTESIAN_POINT('',(39.825,39.5,0.)); #574546=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #574547=CARTESIAN_POINT('',(39.825,39.5,0.052500000000002)); #574548=CARTESIAN_POINT('',(39.825,39.5,-200.)); #574549=CARTESIAN_POINT('Origin',(40.,39.5,0.052500000000002)); #574550=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #574551=CARTESIAN_POINT('',(45.825,55.25,0.)); #574552=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #574553=CARTESIAN_POINT('',(45.825,55.25,0.052500000000002)); #574554=CARTESIAN_POINT('',(45.825,55.25,-200.)); #574555=CARTESIAN_POINT('Origin',(46.,55.25,0.052500000000002)); #574556=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #574557=CARTESIAN_POINT('',(33.5,25.25,0.)); #574558=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #574559=CARTESIAN_POINT('',(33.5,25.25,0.052500000000002)); #574560=CARTESIAN_POINT('',(33.5,25.25,-200.)); #574561=CARTESIAN_POINT('Origin',(34.,25.25,0.052500000000002)); #574562=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #574563=CARTESIAN_POINT('',(51.95,47.75,0.)); #574564=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #574565=CARTESIAN_POINT('',(51.95,47.75,0.052500000000002)); #574566=CARTESIAN_POINT('',(51.95,47.75,-200.)); #574567=CARTESIAN_POINT('Origin',(52.125,47.75,0.052500000000002)); #574568=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #574569=CARTESIAN_POINT('',(27.825,38.75,0.)); #574570=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #574571=CARTESIAN_POINT('',(27.825,38.75,0.052500000000002)); #574572=CARTESIAN_POINT('',(27.825,38.75,-200.)); #574573=CARTESIAN_POINT('Origin',(28.,38.75,0.052500000000002)); #574574=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #574575=CARTESIAN_POINT('',(51.95,47.,0.)); #574576=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #574577=CARTESIAN_POINT('',(51.95,47.,0.052500000000002)); #574578=CARTESIAN_POINT('',(51.95,47.,-200.)); #574579=CARTESIAN_POINT('Origin',(52.125,47.,0.052500000000002)); #574580=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #574581=CARTESIAN_POINT('',(33.075,15.5,0.)); #574582=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #574583=CARTESIAN_POINT('',(33.075,15.5,0.052500000000002)); #574584=CARTESIAN_POINT('',(33.075,15.5,-200.)); #574585=CARTESIAN_POINT('Origin',(33.25,15.5,0.052500000000002)); #574586=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #574587=CARTESIAN_POINT('',(51.075,46.25,0.)); #574588=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #574589=CARTESIAN_POINT('',(51.075,46.25,0.052500000000002)); #574590=CARTESIAN_POINT('',(51.075,46.25,-200.)); #574591=CARTESIAN_POINT('Origin',(51.25,46.25,0.052500000000002)); #574592=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #574593=CARTESIAN_POINT('',(27.825,38.,0.)); #574594=CARTESIAN_POINT('Origin',(28.,38.,0.)); #574595=CARTESIAN_POINT('',(27.825,38.,0.052500000000002)); #574596=CARTESIAN_POINT('',(27.825,38.,-200.)); #574597=CARTESIAN_POINT('Origin',(28.,38.,0.052500000000002)); #574598=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #574599=CARTESIAN_POINT('',(51.95,46.25,0.)); #574600=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #574601=CARTESIAN_POINT('',(51.95,46.25,0.052500000000002)); #574602=CARTESIAN_POINT('',(51.95,46.25,-200.)); #574603=CARTESIAN_POINT('Origin',(52.125,46.25,0.052500000000002)); #574604=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #574605=CARTESIAN_POINT('',(30.575,8.75,0.)); #574606=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #574607=CARTESIAN_POINT('',(30.575,8.75,0.052500000000002)); #574608=CARTESIAN_POINT('',(30.575,8.75,-200.)); #574609=CARTESIAN_POINT('Origin',(30.75,8.75,0.052500000000002)); #574610=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #574611=CARTESIAN_POINT('',(41.075,31.,0.)); #574612=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #574613=CARTESIAN_POINT('',(41.075,31.,0.052500000000002)); #574614=CARTESIAN_POINT('',(41.075,31.,-200.)); #574615=CARTESIAN_POINT('Origin',(41.25,31.,0.052500000000002)); #574616=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #574617=CARTESIAN_POINT('',(27.825,39.5,0.)); #574618=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #574619=CARTESIAN_POINT('',(27.825,39.5,0.052500000000002)); #574620=CARTESIAN_POINT('',(27.825,39.5,-200.)); #574621=CARTESIAN_POINT('Origin',(28.,39.5,0.052500000000002)); #574622=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #574623=CARTESIAN_POINT('',(41.075,36.,0.)); #574624=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #574625=CARTESIAN_POINT('',(41.075,36.,0.052500000000002)); #574626=CARTESIAN_POINT('',(41.075,36.,-200.)); #574627=CARTESIAN_POINT('Origin',(41.25,36.,0.052500000000002)); #574628=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #574629=CARTESIAN_POINT('',(33.825,15.5,0.)); #574630=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #574631=CARTESIAN_POINT('',(33.825,15.5,0.052500000000002)); #574632=CARTESIAN_POINT('',(33.825,15.5,-200.)); #574633=CARTESIAN_POINT('Origin',(34.,15.5,0.052500000000002)); #574634=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #574635=CARTESIAN_POINT('',(44.075,56.75,0.)); #574636=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #574637=CARTESIAN_POINT('',(44.075,56.75,0.052500000000002)); #574638=CARTESIAN_POINT('',(44.075,56.75,-200.)); #574639=CARTESIAN_POINT('Origin',(44.25,56.75,0.052500000000002)); #574640=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #574641=CARTESIAN_POINT('',(39.825,38.,0.)); #574642=CARTESIAN_POINT('Origin',(40.,38.,0.)); #574643=CARTESIAN_POINT('',(39.825,38.,0.052500000000002)); #574644=CARTESIAN_POINT('',(39.825,38.,-200.)); #574645=CARTESIAN_POINT('Origin',(40.,38.,0.052500000000002)); #574646=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #574647=CARTESIAN_POINT('',(44.075,55.25,0.)); #574648=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #574649=CARTESIAN_POINT('',(44.075,55.25,0.052500000000002)); #574650=CARTESIAN_POINT('',(44.075,55.25,-200.)); #574651=CARTESIAN_POINT('Origin',(44.25,55.25,0.052500000000002)); #574652=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #574653=CARTESIAN_POINT('',(43.2,34.,0.)); #574654=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #574655=CARTESIAN_POINT('',(43.2,34.,0.052500000000002)); #574656=CARTESIAN_POINT('',(43.2,34.,-200.)); #574657=CARTESIAN_POINT('Origin',(43.75,34.,0.052500000000002)); #574658=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #574659=CARTESIAN_POINT('',(48.45,47.75,0.)); #574660=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #574661=CARTESIAN_POINT('',(48.45,47.75,0.052500000000002)); #574662=CARTESIAN_POINT('',(48.45,47.75,-200.)); #574663=CARTESIAN_POINT('Origin',(48.625,47.75,0.052500000000002)); #574664=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #574665=CARTESIAN_POINT('',(40.825,24.5,0.)); #574666=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #574667=CARTESIAN_POINT('',(40.825,24.5,0.052500000000002)); #574668=CARTESIAN_POINT('',(40.825,24.5,-200.)); #574669=CARTESIAN_POINT('Origin',(41.,24.5,0.052500000000002)); #574670=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #574671=CARTESIAN_POINT('',(48.45,47.,0.)); #574672=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #574673=CARTESIAN_POINT('',(48.45,47.,0.052500000000002)); #574674=CARTESIAN_POINT('',(48.45,47.,-200.)); #574675=CARTESIAN_POINT('Origin',(48.625,47.,0.052500000000002)); #574676=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #574677=CARTESIAN_POINT('',(32.325,17.,0.)); #574678=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #574679=CARTESIAN_POINT('',(32.325,17.,0.052500000000002)); #574680=CARTESIAN_POINT('',(32.325,17.,-200.)); #574681=CARTESIAN_POINT('Origin',(32.5,17.,0.052500000000002)); #574682=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #574683=CARTESIAN_POINT('',(46.7,47.75,0.)); #574684=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #574685=CARTESIAN_POINT('',(46.7,47.75,0.052500000000002)); #574686=CARTESIAN_POINT('',(46.7,47.75,-200.)); #574687=CARTESIAN_POINT('Origin',(46.875,47.75,0.052500000000002)); #574688=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #574689=CARTESIAN_POINT('',(40.825,26.,0.)); #574690=CARTESIAN_POINT('Origin',(41.,26.,0.)); #574691=CARTESIAN_POINT('',(40.825,26.,0.052500000000002)); #574692=CARTESIAN_POINT('',(40.825,26.,-200.)); #574693=CARTESIAN_POINT('Origin',(41.,26.,0.052500000000002)); #574694=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #574695=CARTESIAN_POINT('',(48.45,46.25,0.)); #574696=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #574697=CARTESIAN_POINT('',(48.45,46.25,0.052500000000002)); #574698=CARTESIAN_POINT('',(48.45,46.25,-200.)); #574699=CARTESIAN_POINT('Origin',(48.625,46.25,0.052500000000002)); #574700=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #574701=CARTESIAN_POINT('',(34.575,5.5,0.)); #574702=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #574703=CARTESIAN_POINT('',(34.575,5.5,0.052500000000002)); #574704=CARTESIAN_POINT('',(34.575,5.5,-200.)); #574705=CARTESIAN_POINT('Origin',(34.75,5.5,0.052500000000002)); #574706=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #574707=CARTESIAN_POINT('',(44.95,46.25,0.)); #574708=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #574709=CARTESIAN_POINT('',(44.95,46.25,0.052500000000002)); #574710=CARTESIAN_POINT('',(44.95,46.25,-200.)); #574711=CARTESIAN_POINT('Origin',(45.125,46.25,0.052500000000002)); #574712=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #574713=CARTESIAN_POINT('',(41.575,25.25,0.)); #574714=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #574715=CARTESIAN_POINT('',(41.575,25.25,0.052500000000002)); #574716=CARTESIAN_POINT('',(41.575,25.25,-200.)); #574717=CARTESIAN_POINT('Origin',(41.75,25.25,0.052500000000002)); #574718=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #574719=CARTESIAN_POINT('',(44.95,47.,0.)); #574720=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #574721=CARTESIAN_POINT('',(44.95,47.,0.052500000000002)); #574722=CARTESIAN_POINT('',(44.95,47.,-200.)); #574723=CARTESIAN_POINT('Origin',(45.125,47.,0.052500000000002)); #574724=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #574725=CARTESIAN_POINT('',(32.325,17.75,0.)); #574726=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #574727=CARTESIAN_POINT('',(32.325,17.75,0.052500000000002)); #574728=CARTESIAN_POINT('',(32.325,17.75,-200.)); #574729=CARTESIAN_POINT('Origin',(32.5,17.75,0.052500000000002)); #574730=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #574731=CARTESIAN_POINT('',(46.7,46.25,0.)); #574732=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #574733=CARTESIAN_POINT('',(46.7,46.25,0.052500000000002)); #574734=CARTESIAN_POINT('',(46.7,46.25,-200.)); #574735=CARTESIAN_POINT('Origin',(46.875,46.25,0.052500000000002)); #574736=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #574737=CARTESIAN_POINT('',(41.575,26.,0.)); #574738=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #574739=CARTESIAN_POINT('',(41.575,26.,0.052500000000002)); #574740=CARTESIAN_POINT('',(41.575,26.,-200.)); #574741=CARTESIAN_POINT('Origin',(41.75,26.,0.052500000000002)); #574742=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #574743=CARTESIAN_POINT('',(46.7,47.,0.)); #574744=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #574745=CARTESIAN_POINT('',(46.7,47.,0.052500000000002)); #574746=CARTESIAN_POINT('',(46.7,47.,-200.)); #574747=CARTESIAN_POINT('Origin',(46.875,47.,0.052500000000002)); #574748=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #574749=CARTESIAN_POINT('',(36.,25.25,0.)); #574750=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #574751=CARTESIAN_POINT('',(36.,25.25,0.052500000000002)); #574752=CARTESIAN_POINT('',(36.,25.25,-200.)); #574753=CARTESIAN_POINT('Origin',(36.5,25.25,0.052500000000002)); #574754=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #574755=CARTESIAN_POINT('',(50.2,46.25,0.)); #574756=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #574757=CARTESIAN_POINT('',(50.2,46.25,0.052500000000002)); #574758=CARTESIAN_POINT('',(50.2,46.25,-200.)); #574759=CARTESIAN_POINT('Origin',(50.375,46.25,0.052500000000002)); #574760=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #574761=CARTESIAN_POINT('',(42.325,24.5,0.)); #574762=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #574763=CARTESIAN_POINT('',(42.325,24.5,0.052500000000002)); #574764=CARTESIAN_POINT('',(42.325,24.5,-200.)); #574765=CARTESIAN_POINT('Origin',(42.5,24.5,0.052500000000002)); #574766=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #574767=CARTESIAN_POINT('',(49.325,46.25,0.)); #574768=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #574769=CARTESIAN_POINT('',(49.325,46.25,0.052500000000002)); #574770=CARTESIAN_POINT('',(49.325,46.25,-200.)); #574771=CARTESIAN_POINT('Origin',(49.5,46.25,0.052500000000002)); #574772=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #574773=CARTESIAN_POINT('',(32.325,16.25,0.)); #574774=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #574775=CARTESIAN_POINT('',(32.325,16.25,0.052500000000002)); #574776=CARTESIAN_POINT('',(32.325,16.25,-200.)); #574777=CARTESIAN_POINT('Origin',(32.5,16.25,0.052500000000002)); #574778=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #574779=CARTESIAN_POINT('',(49.325,47.75,0.)); #574780=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #574781=CARTESIAN_POINT('',(49.325,47.75,0.052500000000002)); #574782=CARTESIAN_POINT('',(49.325,47.75,-200.)); #574783=CARTESIAN_POINT('Origin',(49.5,47.75,0.052500000000002)); #574784=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #574785=CARTESIAN_POINT('',(41.575,24.5,0.)); #574786=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #574787=CARTESIAN_POINT('',(41.575,24.5,0.052500000000002)); #574788=CARTESIAN_POINT('',(41.575,24.5,-200.)); #574789=CARTESIAN_POINT('Origin',(41.75,24.5,0.052500000000002)); #574790=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #574791=CARTESIAN_POINT('',(49.325,47.,0.)); #574792=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #574793=CARTESIAN_POINT('',(49.325,47.,0.052500000000002)); #574794=CARTESIAN_POINT('',(49.325,47.,-200.)); #574795=CARTESIAN_POINT('Origin',(49.5,47.,0.052500000000002)); #574796=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #574797=CARTESIAN_POINT('',(31.325,8.75,0.)); #574798=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #574799=CARTESIAN_POINT('',(31.325,8.75,0.052500000000002)); #574800=CARTESIAN_POINT('',(31.325,8.75,-200.)); #574801=CARTESIAN_POINT('Origin',(31.5,8.75,0.052500000000002)); #574802=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #574803=CARTESIAN_POINT('',(50.2,47.75,0.)); #574804=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #574805=CARTESIAN_POINT('',(50.2,47.75,0.052500000000002)); #574806=CARTESIAN_POINT('',(50.2,47.75,-200.)); #574807=CARTESIAN_POINT('Origin',(50.375,47.75,0.052500000000002)); #574808=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #574809=CARTESIAN_POINT('',(42.325,25.25,0.)); #574810=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #574811=CARTESIAN_POINT('',(42.325,25.25,0.052500000000002)); #574812=CARTESIAN_POINT('',(42.325,25.25,-200.)); #574813=CARTESIAN_POINT('Origin',(42.5,25.25,0.052500000000002)); #574814=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #574815=CARTESIAN_POINT('',(50.2,47.,0.)); #574816=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #574817=CARTESIAN_POINT('',(50.2,47.,0.052500000000002)); #574818=CARTESIAN_POINT('',(50.2,47.,-200.)); #574819=CARTESIAN_POINT('Origin',(50.375,47.,0.052500000000002)); #574820=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #574821=CARTESIAN_POINT('',(32.325,15.5,0.)); #574822=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #574823=CARTESIAN_POINT('',(32.325,15.5,0.052500000000002)); #574824=CARTESIAN_POINT('',(32.325,15.5,-200.)); #574825=CARTESIAN_POINT('Origin',(32.5,15.5,0.052500000000002)); #574826=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #574827=CARTESIAN_POINT('',(51.075,47.75,0.)); #574828=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #574829=CARTESIAN_POINT('',(51.075,47.75,0.052500000000002)); #574830=CARTESIAN_POINT('',(51.075,47.75,-200.)); #574831=CARTESIAN_POINT('Origin',(51.25,47.75,0.052500000000002)); #574832=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #574833=CARTESIAN_POINT('',(42.325,26.,0.)); #574834=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #574835=CARTESIAN_POINT('',(42.325,26.,0.052500000000002)); #574836=CARTESIAN_POINT('',(42.325,26.,-200.)); #574837=CARTESIAN_POINT('Origin',(42.5,26.,0.052500000000002)); #574838=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #574839=CARTESIAN_POINT('',(51.075,47.,0.)); #574840=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #574841=CARTESIAN_POINT('',(51.075,47.,0.052500000000002)); #574842=CARTESIAN_POINT('',(51.075,47.,-200.)); #574843=CARTESIAN_POINT('Origin',(51.25,47.,0.052500000000002)); #574844=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #574845=CARTESIAN_POINT('',(37.21,45.,0.)); #574846=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #574847=CARTESIAN_POINT('',(37.21,45.,0.052500000000002)); #574848=CARTESIAN_POINT('',(37.21,45.,-200.)); #574849=CARTESIAN_POINT('Origin',(37.81,45.,0.052500000000002)); #574850=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #574851=CARTESIAN_POINT('',(23.575,56.,0.)); #574852=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #574853=CARTESIAN_POINT('',(23.575,56.,0.052500000000002)); #574854=CARTESIAN_POINT('',(23.575,56.,-200.)); #574855=CARTESIAN_POINT('Origin',(23.75,56.,0.052500000000002)); #574856=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #574857=CARTESIAN_POINT('',(12.575,21.,0.)); #574858=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #574859=CARTESIAN_POINT('',(12.575,21.,0.052500000000002)); #574860=CARTESIAN_POINT('',(12.575,21.,-200.)); #574861=CARTESIAN_POINT('Origin',(12.75,21.,0.052500000000002)); #574862=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #574863=CARTESIAN_POINT('',(23.575,55.25,0.)); #574864=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #574865=CARTESIAN_POINT('',(23.575,55.25,0.052500000000002)); #574866=CARTESIAN_POINT('',(23.575,55.25,-200.)); #574867=CARTESIAN_POINT('Origin',(23.75,55.25,0.052500000000002)); #574868=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #574869=CARTESIAN_POINT('',(33.075,19.25,0.)); #574870=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #574871=CARTESIAN_POINT('',(33.075,19.25,0.052500000000002)); #574872=CARTESIAN_POINT('',(33.075,19.25,-200.)); #574873=CARTESIAN_POINT('Origin',(33.25,19.25,0.052500000000002)); #574874=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #574875=CARTESIAN_POINT('',(21.825,56.75,0.)); #574876=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #574877=CARTESIAN_POINT('',(21.825,56.75,0.052500000000002)); #574878=CARTESIAN_POINT('',(21.825,56.75,-200.)); #574879=CARTESIAN_POINT('Origin',(22.,56.75,0.052500000000002)); #574880=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #574881=CARTESIAN_POINT('',(55.825,20.25,0.)); #574882=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #574883=CARTESIAN_POINT('',(55.825,20.25,0.052500000000002)); #574884=CARTESIAN_POINT('',(55.825,20.25,-200.)); #574885=CARTESIAN_POINT('Origin',(56.,20.25,0.052500000000002)); #574886=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #574887=CARTESIAN_POINT('',(21.825,55.25,0.)); #574888=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #574889=CARTESIAN_POINT('',(21.825,55.25,0.052500000000002)); #574890=CARTESIAN_POINT('',(21.825,55.25,-200.)); #574891=CARTESIAN_POINT('Origin',(22.,55.25,0.052500000000002)); #574892=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #574893=CARTESIAN_POINT('',(36.325,8.75,0.)); #574894=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #574895=CARTESIAN_POINT('',(36.325,8.75,0.052500000000002)); #574896=CARTESIAN_POINT('',(36.325,8.75,-200.)); #574897=CARTESIAN_POINT('Origin',(36.5,8.75,0.052500000000002)); #574898=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #574899=CARTESIAN_POINT('',(20.075,55.25,0.)); #574900=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #574901=CARTESIAN_POINT('',(20.075,55.25,0.052500000000002)); #574902=CARTESIAN_POINT('',(20.075,55.25,-200.)); #574903=CARTESIAN_POINT('Origin',(20.25,55.25,0.052500000000002)); #574904=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #574905=CARTESIAN_POINT('',(55.825,21.75,0.)); #574906=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #574907=CARTESIAN_POINT('',(55.825,21.75,0.052500000000002)); #574908=CARTESIAN_POINT('',(55.825,21.75,-200.)); #574909=CARTESIAN_POINT('Origin',(56.,21.75,0.052500000000002)); #574910=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #574911=CARTESIAN_POINT('',(20.075,56.75,0.)); #574912=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #574913=CARTESIAN_POINT('',(20.075,56.75,0.052500000000002)); #574914=CARTESIAN_POINT('',(20.075,56.75,-200.)); #574915=CARTESIAN_POINT('Origin',(20.25,56.75,0.052500000000002)); #574916=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #574917=CARTESIAN_POINT('',(32.325,19.25,0.)); #574918=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #574919=CARTESIAN_POINT('',(32.325,19.25,0.052500000000002)); #574920=CARTESIAN_POINT('',(32.325,19.25,-200.)); #574921=CARTESIAN_POINT('Origin',(32.5,19.25,0.052500000000002)); #574922=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #574923=CARTESIAN_POINT('',(20.075,56.,0.)); #574924=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #574925=CARTESIAN_POINT('',(20.075,56.,0.052500000000002)); #574926=CARTESIAN_POINT('',(20.075,56.,-200.)); #574927=CARTESIAN_POINT('Origin',(20.25,56.,0.052500000000002)); #574928=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #574929=CARTESIAN_POINT('',(55.825,21.,0.)); #574930=CARTESIAN_POINT('Origin',(56.,21.,0.)); #574931=CARTESIAN_POINT('',(55.825,21.,0.052500000000002)); #574932=CARTESIAN_POINT('',(55.825,21.,-200.)); #574933=CARTESIAN_POINT('Origin',(56.,21.,0.052500000000002)); #574934=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #574935=CARTESIAN_POINT('',(21.825,56.,0.)); #574936=CARTESIAN_POINT('Origin',(22.,56.,0.)); #574937=CARTESIAN_POINT('',(21.825,56.,0.052500000000002)); #574938=CARTESIAN_POINT('',(21.825,56.,-200.)); #574939=CARTESIAN_POINT('Origin',(22.,56.,0.052500000000002)); #574940=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #574941=CARTESIAN_POINT('',(31.,25.25,0.)); #574942=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #574943=CARTESIAN_POINT('',(31.,25.25,0.052500000000002)); #574944=CARTESIAN_POINT('',(31.,25.25,-200.)); #574945=CARTESIAN_POINT('Origin',(31.5,25.25,0.052500000000002)); #574946=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #574947=CARTESIAN_POINT('',(46.7,56.,0.)); #574948=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #574949=CARTESIAN_POINT('',(46.7,56.,0.052500000000002)); #574950=CARTESIAN_POINT('',(46.7,56.,-200.)); #574951=CARTESIAN_POINT('Origin',(46.875,56.,0.052500000000002)); #574952=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #574953=CARTESIAN_POINT('',(54.325,20.25,0.)); #574954=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #574955=CARTESIAN_POINT('',(54.325,20.25,0.052500000000002)); #574956=CARTESIAN_POINT('',(54.325,20.25,-200.)); #574957=CARTESIAN_POINT('Origin',(54.5,20.25,0.052500000000002)); #574958=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #574959=CARTESIAN_POINT('',(46.7,55.25,0.)); #574960=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #574961=CARTESIAN_POINT('',(46.7,55.25,0.052500000000002)); #574962=CARTESIAN_POINT('',(46.7,55.25,-200.)); #574963=CARTESIAN_POINT('Origin',(46.875,55.25,0.052500000000002)); #574964=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #574965=CARTESIAN_POINT('',(35.325,16.25,0.)); #574966=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #574967=CARTESIAN_POINT('',(35.325,16.25,0.052500000000002)); #574968=CARTESIAN_POINT('',(35.325,16.25,-200.)); #574969=CARTESIAN_POINT('Origin',(35.5,16.25,0.052500000000002)); #574970=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #574971=CARTESIAN_POINT('',(44.95,56.,0.)); #574972=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #574973=CARTESIAN_POINT('',(44.95,56.,0.052500000000002)); #574974=CARTESIAN_POINT('',(44.95,56.,-200.)); #574975=CARTESIAN_POINT('Origin',(45.125,56.,0.052500000000002)); #574976=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #574977=CARTESIAN_POINT('',(55.075,20.25,0.)); #574978=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #574979=CARTESIAN_POINT('',(55.075,20.25,0.052500000000002)); #574980=CARTESIAN_POINT('',(55.075,20.25,-200.)); #574981=CARTESIAN_POINT('Origin',(55.25,20.25,0.052500000000002)); #574982=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #574983=CARTESIAN_POINT('',(44.95,55.25,0.)); #574984=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #574985=CARTESIAN_POINT('',(44.95,55.25,0.052500000000002)); #574986=CARTESIAN_POINT('',(44.95,55.25,-200.)); #574987=CARTESIAN_POINT('Origin',(45.125,55.25,0.052500000000002)); #574988=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #574989=CARTESIAN_POINT('',(31.325,9.5,0.)); #574990=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #574991=CARTESIAN_POINT('',(31.325,9.5,0.052500000000002)); #574992=CARTESIAN_POINT('',(31.325,9.5,-200.)); #574993=CARTESIAN_POINT('Origin',(31.5,9.5,0.052500000000002)); #574994=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #574995=CARTESIAN_POINT('',(38.337,53.,0.)); #574996=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #574997=CARTESIAN_POINT('',(38.337,53.,0.052500000000002)); #574998=CARTESIAN_POINT('',(38.337,53.,-200.)); #574999=CARTESIAN_POINT('Origin',(38.512,53.,0.052500000000002)); #575000=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #575001=CARTESIAN_POINT('',(54.325,21.,0.)); #575002=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #575003=CARTESIAN_POINT('',(54.325,21.,0.052500000000002)); #575004=CARTESIAN_POINT('',(54.325,21.,-200.)); #575005=CARTESIAN_POINT('Origin',(54.5,21.,0.052500000000002)); #575006=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #575007=CARTESIAN_POINT('',(46.7,56.75,0.)); #575008=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #575009=CARTESIAN_POINT('',(46.7,56.75,0.052500000000002)); #575010=CARTESIAN_POINT('',(46.7,56.75,-200.)); #575011=CARTESIAN_POINT('Origin',(46.875,56.75,0.052500000000002)); #575012=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #575013=CARTESIAN_POINT('',(35.325,17.,0.)); #575014=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #575015=CARTESIAN_POINT('',(35.325,17.,0.052500000000002)); #575016=CARTESIAN_POINT('',(35.325,17.,-200.)); #575017=CARTESIAN_POINT('Origin',(35.5,17.,0.052500000000002)); #575018=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #575019=CARTESIAN_POINT('',(38.575,36.75,0.)); #575020=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #575021=CARTESIAN_POINT('',(38.575,36.75,0.052500000000002)); #575022=CARTESIAN_POINT('',(38.575,36.75,-200.)); #575023=CARTESIAN_POINT('Origin',(38.75,36.75,0.052500000000002)); #575024=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #575025=CARTESIAN_POINT('',(54.325,21.75,0.)); #575026=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #575027=CARTESIAN_POINT('',(54.325,21.75,0.052500000000002)); #575028=CARTESIAN_POINT('',(54.325,21.75,-200.)); #575029=CARTESIAN_POINT('Origin',(54.5,21.75,0.052500000000002)); #575030=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #575031=CARTESIAN_POINT('',(37.0125,36.75,0.)); #575032=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #575033=CARTESIAN_POINT('',(37.0125,36.75,0.052500000000002)); #575034=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #575035=CARTESIAN_POINT('Origin',(37.1875,36.75,0.052500000000002)); #575036=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #575037=CARTESIAN_POINT('',(45.7,34.,0.)); #575038=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #575039=CARTESIAN_POINT('',(45.7,34.,0.052500000000002)); #575040=CARTESIAN_POINT('',(45.7,34.,-200.)); #575041=CARTESIAN_POINT('Origin',(46.25,34.,0.052500000000002)); #575042=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #575043=CARTESIAN_POINT('',(29.313,53.,0.)); #575044=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #575045=CARTESIAN_POINT('',(29.313,53.,0.052500000000002)); #575046=CARTESIAN_POINT('',(29.313,53.,-200.)); #575047=CARTESIAN_POINT('Origin',(29.488,53.,0.052500000000002)); #575048=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #575049=CARTESIAN_POINT('',(11.825,21.,0.)); #575050=CARTESIAN_POINT('Origin',(12.,21.,0.)); #575051=CARTESIAN_POINT('',(11.825,21.,0.052500000000002)); #575052=CARTESIAN_POINT('',(11.825,21.,-200.)); #575053=CARTESIAN_POINT('Origin',(12.,21.,0.052500000000002)); #575054=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #575055=CARTESIAN_POINT('',(20.95,56.75,0.)); #575056=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #575057=CARTESIAN_POINT('',(20.95,56.75,0.052500000000002)); #575058=CARTESIAN_POINT('',(20.95,56.75,-200.)); #575059=CARTESIAN_POINT('Origin',(21.125,56.75,0.052500000000002)); #575060=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #575061=CARTESIAN_POINT('',(34.575,19.25,0.)); #575062=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #575063=CARTESIAN_POINT('',(34.575,19.25,0.052500000000002)); #575064=CARTESIAN_POINT('',(34.575,19.25,-200.)); #575065=CARTESIAN_POINT('Origin',(34.75,19.25,0.052500000000002)); #575066=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #575067=CARTESIAN_POINT('',(20.95,55.25,0.)); #575068=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #575069=CARTESIAN_POINT('',(20.95,55.25,0.052500000000002)); #575070=CARTESIAN_POINT('',(20.95,55.25,-200.)); #575071=CARTESIAN_POINT('Origin',(21.125,55.25,0.052500000000002)); #575072=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #575073=CARTESIAN_POINT('',(11.825,20.25,0.)); #575074=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #575075=CARTESIAN_POINT('',(11.825,20.25,0.052500000000002)); #575076=CARTESIAN_POINT('',(11.825,20.25,-200.)); #575077=CARTESIAN_POINT('Origin',(12.,20.25,0.052500000000002)); #575078=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #575079=CARTESIAN_POINT('',(20.95,56.,0.)); #575080=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #575081=CARTESIAN_POINT('',(20.95,56.,0.052500000000002)); #575082=CARTESIAN_POINT('',(20.95,56.,-200.)); #575083=CARTESIAN_POINT('Origin',(21.125,56.,0.052500000000002)); #575084=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #575085=CARTESIAN_POINT('',(36.325,9.5,0.)); #575086=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #575087=CARTESIAN_POINT('',(36.325,9.5,0.052500000000002)); #575088=CARTESIAN_POINT('',(36.325,9.5,-200.)); #575089=CARTESIAN_POINT('Origin',(36.5,9.5,0.052500000000002)); #575090=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #575091=CARTESIAN_POINT('',(22.7,56.75,0.)); #575092=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #575093=CARTESIAN_POINT('',(22.7,56.75,0.052500000000002)); #575094=CARTESIAN_POINT('',(22.7,56.75,-200.)); #575095=CARTESIAN_POINT('Origin',(22.875,56.75,0.052500000000002)); #575096=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #575097=CARTESIAN_POINT('',(12.575,21.75,0.)); #575098=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #575099=CARTESIAN_POINT('',(12.575,21.75,0.052500000000002)); #575100=CARTESIAN_POINT('',(12.575,21.75,-200.)); #575101=CARTESIAN_POINT('Origin',(12.75,21.75,0.052500000000002)); #575102=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #575103=CARTESIAN_POINT('',(23.575,56.75,0.)); #575104=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #575105=CARTESIAN_POINT('',(23.575,56.75,0.052500000000002)); #575106=CARTESIAN_POINT('',(23.575,56.75,-200.)); #575107=CARTESIAN_POINT('Origin',(23.75,56.75,0.052500000000002)); #575108=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #575109=CARTESIAN_POINT('',(33.825,19.25,0.)); #575110=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #575111=CARTESIAN_POINT('',(33.825,19.25,0.052500000000002)); #575112=CARTESIAN_POINT('',(33.825,19.25,-200.)); #575113=CARTESIAN_POINT('Origin',(34.,19.25,0.052500000000002)); #575114=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #575115=CARTESIAN_POINT('',(22.7,56.,0.)); #575116=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #575117=CARTESIAN_POINT('',(22.7,56.,0.052500000000002)); #575118=CARTESIAN_POINT('',(22.7,56.,-200.)); #575119=CARTESIAN_POINT('Origin',(22.875,56.,0.052500000000002)); #575120=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #575121=CARTESIAN_POINT('',(12.575,20.25,0.)); #575122=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #575123=CARTESIAN_POINT('',(12.575,20.25,0.052500000000002)); #575124=CARTESIAN_POINT('',(12.575,20.25,-200.)); #575125=CARTESIAN_POINT('Origin',(12.75,20.25,0.052500000000002)); #575126=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #575127=CARTESIAN_POINT('',(22.7,55.25,0.)); #575128=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #575129=CARTESIAN_POINT('',(22.7,55.25,0.052500000000002)); #575130=CARTESIAN_POINT('',(22.7,55.25,-200.)); #575131=CARTESIAN_POINT('Origin',(22.875,55.25,0.052500000000002)); #575132=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #575133=CARTESIAN_POINT('',(36.,27.75,0.)); #575134=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #575135=CARTESIAN_POINT('',(36.,27.75,0.052500000000002)); #575136=CARTESIAN_POINT('',(36.,27.75,-200.)); #575137=CARTESIAN_POINT('Origin',(36.5,27.75,0.052500000000002)); #575138=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #575139=CARTESIAN_POINT('',(23.73,49.75,0.)); #575140=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #575141=CARTESIAN_POINT('',(23.73,49.75,0.052500000000002)); #575142=CARTESIAN_POINT('',(23.73,49.75,-200.)); #575143=CARTESIAN_POINT('Origin',(23.905,49.75,0.052500000000002)); #575144=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #575145=CARTESIAN_POINT('',(13.325,21.75,0.)); #575146=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #575147=CARTESIAN_POINT('',(13.325,21.75,0.052500000000002)); #575148=CARTESIAN_POINT('',(13.325,21.75,-200.)); #575149=CARTESIAN_POINT('Origin',(13.5,21.75,0.052500000000002)); #575150=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #575151=CARTESIAN_POINT('',(47.73,58.75,0.)); #575152=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #575153=CARTESIAN_POINT('',(47.73,58.75,0.052500000000002)); #575154=CARTESIAN_POINT('',(47.73,58.75,-200.)); #575155=CARTESIAN_POINT('Origin',(47.905,58.75,0.052500000000002)); #575156=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #575157=CARTESIAN_POINT('',(35.325,19.25,0.)); #575158=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #575159=CARTESIAN_POINT('',(35.325,19.25,0.052500000000002)); #575160=CARTESIAN_POINT('',(35.325,19.25,-200.)); #575161=CARTESIAN_POINT('Origin',(35.5,19.25,0.052500000000002)); #575162=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #575163=CARTESIAN_POINT('',(29.075,36.75,0.)); #575164=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #575165=CARTESIAN_POINT('',(29.075,36.75,0.052500000000002)); #575166=CARTESIAN_POINT('',(29.075,36.75,-200.)); #575167=CARTESIAN_POINT('Origin',(29.25,36.75,0.052500000000002)); #575168=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #575169=CARTESIAN_POINT('',(11.825,21.75,0.)); #575170=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #575171=CARTESIAN_POINT('',(11.825,21.75,0.052500000000002)); #575172=CARTESIAN_POINT('',(11.825,21.75,-200.)); #575173=CARTESIAN_POINT('Origin',(12.,21.75,0.052500000000002)); #575174=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #575175=CARTESIAN_POINT('',(30.6375,36.75,0.)); #575176=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #575177=CARTESIAN_POINT('',(30.6375,36.75,0.052500000000002)); #575178=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #575179=CARTESIAN_POINT('Origin',(30.8125,36.75,0.052500000000002)); #575180=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #575181=CARTESIAN_POINT('',(37.075,9.5,0.)); #575182=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #575183=CARTESIAN_POINT('',(37.075,9.5,0.052500000000002)); #575184=CARTESIAN_POINT('',(37.075,9.5,-200.)); #575185=CARTESIAN_POINT('Origin',(37.25,9.5,0.052500000000002)); #575186=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #575187=CARTESIAN_POINT('',(47.73,49.75,0.)); #575188=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #575189=CARTESIAN_POINT('',(47.73,49.75,0.052500000000002)); #575190=CARTESIAN_POINT('',(47.73,49.75,-200.)); #575191=CARTESIAN_POINT('Origin',(47.905,49.75,0.052500000000002)); #575192=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #575193=CARTESIAN_POINT('',(13.325,21.,0.)); #575194=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #575195=CARTESIAN_POINT('',(13.325,21.,0.052500000000002)); #575196=CARTESIAN_POINT('',(13.325,21.,-200.)); #575197=CARTESIAN_POINT('Origin',(13.5,21.,0.052500000000002)); #575198=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #575199=CARTESIAN_POINT('',(23.73,58.75,0.)); #575200=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #575201=CARTESIAN_POINT('',(23.73,58.75,0.052500000000002)); #575202=CARTESIAN_POINT('',(23.73,58.75,-200.)); #575203=CARTESIAN_POINT('Origin',(23.905,58.75,0.052500000000002)); #575204=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #575205=CARTESIAN_POINT('',(36.075,19.25,0.)); #575206=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #575207=CARTESIAN_POINT('',(36.075,19.25,0.052500000000002)); #575208=CARTESIAN_POINT('',(36.075,19.25,-200.)); #575209=CARTESIAN_POINT('Origin',(36.25,19.25,0.052500000000002)); #575210=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #575211=CARTESIAN_POINT('',(18.325,47.,0.)); #575212=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #575213=CARTESIAN_POINT('',(18.325,47.,0.052500000000002)); #575214=CARTESIAN_POINT('',(18.325,47.,-200.)); #575215=CARTESIAN_POINT('Origin',(18.5,47.,0.052500000000002)); #575216=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #575217=CARTESIAN_POINT('',(13.325,20.25,0.)); #575218=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #575219=CARTESIAN_POINT('',(13.325,20.25,0.052500000000002)); #575220=CARTESIAN_POINT('',(13.325,20.25,-200.)); #575221=CARTESIAN_POINT('Origin',(13.5,20.25,0.052500000000002)); #575222=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #575223=CARTESIAN_POINT('',(18.325,46.25,0.)); #575224=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #575225=CARTESIAN_POINT('',(18.325,46.25,0.052500000000002)); #575226=CARTESIAN_POINT('',(18.325,46.25,-200.)); #575227=CARTESIAN_POINT('Origin',(18.5,46.25,0.052500000000002)); #575228=CARTESIAN_POINT('Origin',(34.,34.,0.)); #575229=CARTESIAN_POINT('',(0.,34.,0.0525)); #575230=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #575231=CARTESIAN_POINT('',(0.,34.,0.)); #575232=CARTESIAN_POINT('',(0.,34.,0.)); #575233=CARTESIAN_POINT('Origin',(34.,34.,0.)); #575234=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #575235=CARTESIAN_POINT('Origin',(34.,34.,0.)); #575236=CARTESIAN_POINT('',(0.,0.,0.)); #575237=CARTESIAN_POINT('Origin',(31.11,6.18,-0.803)); #575238=CARTESIAN_POINT('',(30.785,6.18,0.)); #575239=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #575240=CARTESIAN_POINT('',(30.785,6.18,-0.0525)); #575241=CARTESIAN_POINT('',(30.785,6.18,-0.803)); #575242=CARTESIAN_POINT('Origin',(31.11,6.18,-0.0525)); #575243=CARTESIAN_POINT('Origin',(36.89,6.18,-0.803)); #575244=CARTESIAN_POINT('',(36.565,6.18,0.)); #575245=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #575246=CARTESIAN_POINT('',(36.565,6.18,-0.0525)); #575247=CARTESIAN_POINT('',(36.565,6.18,-0.803)); #575248=CARTESIAN_POINT('Origin',(36.89,6.18,-0.0525)); #575249=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #575250=CARTESIAN_POINT('',(29.59,45.,-0.052500000000002)); #575251=CARTESIAN_POINT('Origin',(30.19,45.,-0.052500000000002)); #575252=CARTESIAN_POINT('',(29.59,45.,0.)); #575253=CARTESIAN_POINT('',(29.59,45.,-200.)); #575254=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #575255=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #575256=CARTESIAN_POINT('',(44.95,47.75,-0.052500000000002)); #575257=CARTESIAN_POINT('Origin',(45.125,47.75,-0.052500000000002)); #575258=CARTESIAN_POINT('',(44.95,47.75,0.)); #575259=CARTESIAN_POINT('',(44.95,47.75,-200.)); #575260=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #575261=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #575262=CARTESIAN_POINT('',(40.825,25.25,-0.052500000000002)); #575263=CARTESIAN_POINT('Origin',(41.,25.25,-0.052500000000002)); #575264=CARTESIAN_POINT('',(40.825,25.25,0.)); #575265=CARTESIAN_POINT('',(40.825,25.25,-200.)); #575266=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #575267=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #575268=CARTESIAN_POINT('',(45.825,47.,-0.052500000000002)); #575269=CARTESIAN_POINT('Origin',(46.,47.,-0.052500000000002)); #575270=CARTESIAN_POINT('',(45.825,47.,0.)); #575271=CARTESIAN_POINT('',(45.825,47.,-200.)); #575272=CARTESIAN_POINT('Origin',(46.,47.,0.)); #575273=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #575274=CARTESIAN_POINT('',(32.325,18.5,-0.052500000000002)); #575275=CARTESIAN_POINT('Origin',(32.5,18.5,-0.052500000000002)); #575276=CARTESIAN_POINT('',(32.325,18.5,0.)); #575277=CARTESIAN_POINT('',(32.325,18.5,-200.)); #575278=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #575279=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #575280=CARTESIAN_POINT('',(45.825,47.75,-0.052500000000002)); #575281=CARTESIAN_POINT('Origin',(46.,47.75,-0.052500000000002)); #575282=CARTESIAN_POINT('',(45.825,47.75,0.)); #575283=CARTESIAN_POINT('',(45.825,47.75,-200.)); #575284=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #575285=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #575286=CARTESIAN_POINT('',(33.075,48.5,-0.052500000000002)); #575287=CARTESIAN_POINT('Origin',(33.25,48.5,-0.052500000000002)); #575288=CARTESIAN_POINT('',(33.075,48.5,0.)); #575289=CARTESIAN_POINT('',(33.075,48.5,-200.)); #575290=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #575291=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #575292=CARTESIAN_POINT('',(47.575,47.75,-0.052500000000002)); #575293=CARTESIAN_POINT('Origin',(47.75,47.75,-0.052500000000002)); #575294=CARTESIAN_POINT('',(47.575,47.75,0.)); #575295=CARTESIAN_POINT('',(47.575,47.75,-200.)); #575296=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #575297=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #575298=CARTESIAN_POINT('',(33.075,5.5,-0.052500000000002)); #575299=CARTESIAN_POINT('Origin',(33.25,5.5,-0.052500000000002)); #575300=CARTESIAN_POINT('',(33.075,5.5,0.)); #575301=CARTESIAN_POINT('',(33.075,5.5,-200.)); #575302=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #575303=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #575304=CARTESIAN_POINT('',(47.575,46.25,-0.052500000000002)); #575305=CARTESIAN_POINT('Origin',(47.75,46.25,-0.052500000000002)); #575306=CARTESIAN_POINT('',(47.575,46.25,0.)); #575307=CARTESIAN_POINT('',(47.575,46.25,-200.)); #575308=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #575309=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #575310=CARTESIAN_POINT('',(33.075,50.,-0.052500000000002)); #575311=CARTESIAN_POINT('Origin',(33.25,50.,-0.052500000000002)); #575312=CARTESIAN_POINT('',(33.075,50.,0.)); #575313=CARTESIAN_POINT('',(33.075,50.,-200.)); #575314=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #575315=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #575316=CARTESIAN_POINT('',(45.825,46.25,-0.052500000000002)); #575317=CARTESIAN_POINT('Origin',(46.,46.25,-0.052500000000002)); #575318=CARTESIAN_POINT('',(45.825,46.25,0.)); #575319=CARTESIAN_POINT('',(45.825,46.25,-200.)); #575320=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #575321=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #575322=CARTESIAN_POINT('',(33.075,18.5,-0.052500000000002)); #575323=CARTESIAN_POINT('Origin',(33.25,18.5,-0.052500000000002)); #575324=CARTESIAN_POINT('',(33.075,18.5,0.)); #575325=CARTESIAN_POINT('',(33.075,18.5,-200.)); #575326=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #575327=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #575328=CARTESIAN_POINT('',(47.575,47.,-0.052500000000002)); #575329=CARTESIAN_POINT('Origin',(47.75,47.,-0.052500000000002)); #575330=CARTESIAN_POINT('',(47.575,47.,0.)); #575331=CARTESIAN_POINT('',(47.575,47.,-200.)); #575332=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #575333=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #575334=CARTESIAN_POINT('',(33.075,49.25,-0.052500000000002)); #575335=CARTESIAN_POINT('Origin',(33.25,49.25,-0.052500000000002)); #575336=CARTESIAN_POINT('',(33.075,49.25,0.)); #575337=CARTESIAN_POINT('',(33.075,49.25,-200.)); #575338=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #575339=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #575340=CARTESIAN_POINT('',(44.075,47.,-0.052500000000002)); #575341=CARTESIAN_POINT('Origin',(44.25,47.,-0.052500000000002)); #575342=CARTESIAN_POINT('',(44.075,47.,0.)); #575343=CARTESIAN_POINT('',(44.075,47.,-200.)); #575344=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #575345=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #575346=CARTESIAN_POINT('',(11.75,34.,-0.052500000000002)); #575347=CARTESIAN_POINT('Origin',(12.25,34.,-0.052500000000002)); #575348=CARTESIAN_POINT('',(11.75,34.,0.)); #575349=CARTESIAN_POINT('',(11.75,34.,-200.)); #575350=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #575351=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #575352=CARTESIAN_POINT('',(53.325,20.25,-0.052500000000002)); #575353=CARTESIAN_POINT('Origin',(53.5,20.25,-0.052500000000002)); #575354=CARTESIAN_POINT('',(53.325,20.25,0.)); #575355=CARTESIAN_POINT('',(53.325,20.25,-200.)); #575356=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #575357=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #575358=CARTESIAN_POINT('',(34.575,48.5,-0.052500000000002)); #575359=CARTESIAN_POINT('Origin',(34.75,48.5,-0.052500000000002)); #575360=CARTESIAN_POINT('',(34.575,48.5,0.)); #575361=CARTESIAN_POINT('',(34.575,48.5,-200.)); #575362=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #575363=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #575364=CARTESIAN_POINT('',(52.575,20.25,-0.052500000000002)); #575365=CARTESIAN_POINT('Origin',(52.75,20.25,-0.052500000000002)); #575366=CARTESIAN_POINT('',(52.575,20.25,0.)); #575367=CARTESIAN_POINT('',(52.575,20.25,-200.)); #575368=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #575369=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #575370=CARTESIAN_POINT('',(34.575,18.5,-0.052500000000002)); #575371=CARTESIAN_POINT('Origin',(34.75,18.5,-0.052500000000002)); #575372=CARTESIAN_POINT('',(34.575,18.5,0.)); #575373=CARTESIAN_POINT('',(34.575,18.5,-200.)); #575374=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #575375=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #575376=CARTESIAN_POINT('',(51.825,21.75,-0.052500000000002)); #575377=CARTESIAN_POINT('Origin',(52.,21.75,-0.052500000000002)); #575378=CARTESIAN_POINT('',(51.825,21.75,0.)); #575379=CARTESIAN_POINT('',(51.825,21.75,-200.)); #575380=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #575381=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #575382=CARTESIAN_POINT('',(33.825,48.5,-0.052500000000002)); #575383=CARTESIAN_POINT('Origin',(34.,48.5,-0.052500000000002)); #575384=CARTESIAN_POINT('',(33.825,48.5,0.)); #575385=CARTESIAN_POINT('',(33.825,48.5,-200.)); #575386=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #575387=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #575388=CARTESIAN_POINT('',(51.825,20.25,-0.052500000000002)); #575389=CARTESIAN_POINT('Origin',(52.,20.25,-0.052500000000002)); #575390=CARTESIAN_POINT('',(51.825,20.25,0.)); #575391=CARTESIAN_POINT('',(51.825,20.25,-200.)); #575392=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #575393=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #575394=CARTESIAN_POINT('',(33.825,5.5,-0.052500000000002)); #575395=CARTESIAN_POINT('Origin',(34.,5.5,-0.052500000000002)); #575396=CARTESIAN_POINT('',(33.825,5.5,0.)); #575397=CARTESIAN_POINT('',(33.825,5.5,-200.)); #575398=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #575399=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #575400=CARTESIAN_POINT('',(41.825,31.,-0.052500000000002)); #575401=CARTESIAN_POINT('Origin',(42.,31.,-0.052500000000002)); #575402=CARTESIAN_POINT('',(41.825,31.,0.)); #575403=CARTESIAN_POINT('',(41.825,31.,-200.)); #575404=CARTESIAN_POINT('Origin',(42.,31.,0.)); #575405=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #575406=CARTESIAN_POINT('',(34.575,50.,-0.052500000000002)); #575407=CARTESIAN_POINT('Origin',(34.75,50.,-0.052500000000002)); #575408=CARTESIAN_POINT('',(34.575,50.,0.)); #575409=CARTESIAN_POINT('',(34.575,50.,-200.)); #575410=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #575411=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #575412=CARTESIAN_POINT('',(41.825,36.,-0.052500000000002)); #575413=CARTESIAN_POINT('Origin',(42.,36.,-0.052500000000002)); #575414=CARTESIAN_POINT('',(41.825,36.,0.)); #575415=CARTESIAN_POINT('',(41.825,36.,-200.)); #575416=CARTESIAN_POINT('Origin',(42.,36.,0.)); #575417=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #575418=CARTESIAN_POINT('',(33.825,18.5,-0.052500000000002)); #575419=CARTESIAN_POINT('Origin',(34.,18.5,-0.052500000000002)); #575420=CARTESIAN_POINT('',(33.825,18.5,0.)); #575421=CARTESIAN_POINT('',(33.825,18.5,-200.)); #575422=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #575423=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #575424=CARTESIAN_POINT('',(44.075,47.75,-0.052500000000002)); #575425=CARTESIAN_POINT('Origin',(44.25,47.75,-0.052500000000002)); #575426=CARTESIAN_POINT('',(44.075,47.75,0.)); #575427=CARTESIAN_POINT('',(44.075,47.75,-200.)); #575428=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #575429=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #575430=CARTESIAN_POINT('',(33.825,50.,-0.052500000000002)); #575431=CARTESIAN_POINT('Origin',(34.,50.,-0.052500000000002)); #575432=CARTESIAN_POINT('',(33.825,50.,0.)); #575433=CARTESIAN_POINT('',(33.825,50.,-200.)); #575434=CARTESIAN_POINT('Origin',(34.,50.,0.)); #575435=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #575436=CARTESIAN_POINT('',(44.075,46.25,-0.052500000000002)); #575437=CARTESIAN_POINT('Origin',(44.25,46.25,-0.052500000000002)); #575438=CARTESIAN_POINT('',(44.075,46.25,0.)); #575439=CARTESIAN_POINT('',(44.075,46.25,-200.)); #575440=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #575441=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #575442=CARTESIAN_POINT('',(23.7,34.,-0.052500000000002)); #575443=CARTESIAN_POINT('Origin',(24.25,34.,-0.052500000000002)); #575444=CARTESIAN_POINT('',(23.7,34.,0.)); #575445=CARTESIAN_POINT('',(23.7,34.,-200.)); #575446=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #575447=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #575448=CARTESIAN_POINT('',(14.325,21.75,-0.052500000000002)); #575449=CARTESIAN_POINT('Origin',(14.5,21.75,-0.052500000000002)); #575450=CARTESIAN_POINT('',(14.325,21.75,0.)); #575451=CARTESIAN_POINT('',(14.325,21.75,-200.)); #575452=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #575453=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #575454=CARTESIAN_POINT('',(24.825,26.625,-0.052500000000002)); #575455=CARTESIAN_POINT('Origin',(25.,26.625,-0.052500000000002)); #575456=CARTESIAN_POINT('',(24.825,26.625,0.)); #575457=CARTESIAN_POINT('',(24.825,26.625,-200.)); #575458=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #575459=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #575460=CARTESIAN_POINT('',(14.325,21.,-0.052500000000002)); #575461=CARTESIAN_POINT('Origin',(14.5,21.,-0.052500000000002)); #575462=CARTESIAN_POINT('',(14.325,21.,0.)); #575463=CARTESIAN_POINT('',(14.325,21.,-200.)); #575464=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #575465=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #575466=CARTESIAN_POINT('',(34.575,16.25,-0.052500000000002)); #575467=CARTESIAN_POINT('Origin',(34.75,16.25,-0.052500000000002)); #575468=CARTESIAN_POINT('',(34.575,16.25,0.)); #575469=CARTESIAN_POINT('',(34.575,16.25,-200.)); #575470=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #575471=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #575472=CARTESIAN_POINT('',(15.825,20.25,-0.052500000000002)); #575473=CARTESIAN_POINT('Origin',(16.,20.25,-0.052500000000002)); #575474=CARTESIAN_POINT('',(15.825,20.25,0.)); #575475=CARTESIAN_POINT('',(15.825,20.25,-200.)); #575476=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #575477=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #575478=CARTESIAN_POINT('',(27.075,24.375,-0.052500000000002)); #575479=CARTESIAN_POINT('Origin',(27.25,24.375,-0.052500000000002)); #575480=CARTESIAN_POINT('',(27.075,24.375,0.)); #575481=CARTESIAN_POINT('',(27.075,24.375,-200.)); #575482=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #575483=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #575484=CARTESIAN_POINT('',(14.325,20.25,-0.052500000000002)); #575485=CARTESIAN_POINT('Origin',(14.5,20.25,-0.052500000000002)); #575486=CARTESIAN_POINT('',(14.325,20.25,0.)); #575487=CARTESIAN_POINT('',(14.325,20.25,-200.)); #575488=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #575489=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #575490=CARTESIAN_POINT('',(33.8875,12.25,-0.052500000000002)); #575491=CARTESIAN_POINT('Origin',(34.0625,12.25,-0.052500000000002)); #575492=CARTESIAN_POINT('',(33.8875,12.25,0.)); #575493=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #575494=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #575495=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #575496=CARTESIAN_POINT('',(15.075,20.25,-0.052500000000002)); #575497=CARTESIAN_POINT('Origin',(15.25,20.25,-0.052500000000002)); #575498=CARTESIAN_POINT('',(15.075,20.25,0.)); #575499=CARTESIAN_POINT('',(15.075,20.25,-200.)); #575500=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #575501=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #575502=CARTESIAN_POINT('',(31.575,18.5,-0.052500000000002)); #575503=CARTESIAN_POINT('Origin',(31.75,18.5,-0.052500000000002)); #575504=CARTESIAN_POINT('',(31.575,18.5,0.)); #575505=CARTESIAN_POINT('',(31.575,18.5,-200.)); #575506=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #575507=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #575508=CARTESIAN_POINT('',(15.075,21.75,-0.052500000000002)); #575509=CARTESIAN_POINT('Origin',(15.25,21.75,-0.052500000000002)); #575510=CARTESIAN_POINT('',(15.075,21.75,0.)); #575511=CARTESIAN_POINT('',(15.075,21.75,-200.)); #575512=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #575513=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #575514=CARTESIAN_POINT('',(33.075,16.25,-0.052500000000002)); #575515=CARTESIAN_POINT('Origin',(33.25,16.25,-0.052500000000002)); #575516=CARTESIAN_POINT('',(33.075,16.25,0.)); #575517=CARTESIAN_POINT('',(33.075,16.25,-200.)); #575518=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #575519=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #575520=CARTESIAN_POINT('',(15.825,21.75,-0.052500000000002)); #575521=CARTESIAN_POINT('Origin',(16.,21.75,-0.052500000000002)); #575522=CARTESIAN_POINT('',(15.825,21.75,0.)); #575523=CARTESIAN_POINT('',(15.825,21.75,-200.)); #575524=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #575525=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #575526=CARTESIAN_POINT('',(31.575,19.25,-0.052500000000002)); #575527=CARTESIAN_POINT('Origin',(31.75,19.25,-0.052500000000002)); #575528=CARTESIAN_POINT('',(31.575,19.25,0.)); #575529=CARTESIAN_POINT('',(31.575,19.25,-200.)); #575530=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #575531=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #575532=CARTESIAN_POINT('',(15.825,21.,-0.052500000000002)); #575533=CARTESIAN_POINT('Origin',(16.,21.,-0.052500000000002)); #575534=CARTESIAN_POINT('',(15.825,21.,0.)); #575535=CARTESIAN_POINT('',(15.825,21.,-200.)); #575536=CARTESIAN_POINT('Origin',(16.,21.,0.)); #575537=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #575538=CARTESIAN_POINT('',(15.25,34.,-0.052500000000002)); #575539=CARTESIAN_POINT('Origin',(15.75,34.,-0.052500000000002)); #575540=CARTESIAN_POINT('',(15.25,34.,0.)); #575541=CARTESIAN_POINT('',(15.25,34.,-200.)); #575542=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #575543=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #575544=CARTESIAN_POINT('',(52.575,21.,-0.052500000000002)); #575545=CARTESIAN_POINT('Origin',(52.75,21.,-0.052500000000002)); #575546=CARTESIAN_POINT('',(52.575,21.,0.)); #575547=CARTESIAN_POINT('',(52.575,21.,-200.)); #575548=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #575549=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #575550=CARTESIAN_POINT('',(37.325,21.25,-0.052500000000002)); #575551=CARTESIAN_POINT('Origin',(37.5,21.25,-0.052500000000002)); #575552=CARTESIAN_POINT('',(37.325,21.25,0.)); #575553=CARTESIAN_POINT('',(37.325,21.25,-200.)); #575554=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #575555=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #575556=CARTESIAN_POINT('',(39.075,19.,-0.052500000000002)); #575557=CARTESIAN_POINT('Origin',(39.25,19.,-0.052500000000002)); #575558=CARTESIAN_POINT('',(39.075,19.,0.)); #575559=CARTESIAN_POINT('',(39.075,19.,-200.)); #575560=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #575561=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #575562=CARTESIAN_POINT('',(35.325,17.75,-0.052500000000002)); #575563=CARTESIAN_POINT('Origin',(35.5,17.75,-0.052500000000002)); #575564=CARTESIAN_POINT('',(35.325,17.75,0.)); #575565=CARTESIAN_POINT('',(35.325,17.75,-200.)); #575566=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #575567=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #575568=CARTESIAN_POINT('',(39.075,18.25,-0.052500000000002)); #575569=CARTESIAN_POINT('Origin',(39.25,18.25,-0.052500000000002)); #575570=CARTESIAN_POINT('',(39.075,18.25,0.)); #575571=CARTESIAN_POINT('',(39.075,18.25,-200.)); #575572=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #575573=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #575574=CARTESIAN_POINT('',(30.825,13.,-0.052500000000002)); #575575=CARTESIAN_POINT('Origin',(31.,13.,-0.052500000000002)); #575576=CARTESIAN_POINT('',(30.825,13.,0.)); #575577=CARTESIAN_POINT('',(30.825,13.,-200.)); #575578=CARTESIAN_POINT('Origin',(31.,13.,0.)); #575579=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #575580=CARTESIAN_POINT('',(42.325,16.,-0.052500000000002)); #575581=CARTESIAN_POINT('Origin',(42.5,16.,-0.052500000000002)); #575582=CARTESIAN_POINT('',(42.325,16.,0.)); #575583=CARTESIAN_POINT('',(42.325,16.,-200.)); #575584=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #575585=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #575586=CARTESIAN_POINT('',(27.587,19.,-0.052500000000002)); #575587=CARTESIAN_POINT('Origin',(27.762,19.,-0.052500000000002)); #575588=CARTESIAN_POINT('',(27.587,19.,0.)); #575589=CARTESIAN_POINT('',(27.587,19.,-200.)); #575590=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #575591=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #575592=CARTESIAN_POINT('',(53.325,21.,-0.052500000000002)); #575593=CARTESIAN_POINT('Origin',(53.5,21.,-0.052500000000002)); #575594=CARTESIAN_POINT('',(53.325,21.,0.)); #575595=CARTESIAN_POINT('',(53.325,21.,-200.)); #575596=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #575597=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #575598=CARTESIAN_POINT('',(34.575,49.25,-0.052500000000002)); #575599=CARTESIAN_POINT('Origin',(34.75,49.25,-0.052500000000002)); #575600=CARTESIAN_POINT('',(34.575,49.25,0.)); #575601=CARTESIAN_POINT('',(34.575,49.25,-200.)); #575602=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #575603=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #575604=CARTESIAN_POINT('',(51.825,21.,-0.052500000000002)); #575605=CARTESIAN_POINT('Origin',(52.,21.,-0.052500000000002)); #575606=CARTESIAN_POINT('',(51.825,21.,0.)); #575607=CARTESIAN_POINT('',(51.825,21.,-200.)); #575608=CARTESIAN_POINT('Origin',(52.,21.,0.)); #575609=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #575610=CARTESIAN_POINT('',(35.325,18.5,-0.052500000000002)); #575611=CARTESIAN_POINT('Origin',(35.5,18.5,-0.052500000000002)); #575612=CARTESIAN_POINT('',(35.325,18.5,0.)); #575613=CARTESIAN_POINT('',(35.325,18.5,-200.)); #575614=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #575615=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #575616=CARTESIAN_POINT('',(53.325,21.75,-0.052500000000002)); #575617=CARTESIAN_POINT('Origin',(53.5,21.75,-0.052500000000002)); #575618=CARTESIAN_POINT('',(53.325,21.75,0.)); #575619=CARTESIAN_POINT('',(53.325,21.75,-200.)); #575620=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #575621=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #575622=CARTESIAN_POINT('',(33.825,49.25,-0.052500000000002)); #575623=CARTESIAN_POINT('Origin',(34.,49.25,-0.052500000000002)); #575624=CARTESIAN_POINT('',(33.825,49.25,0.)); #575625=CARTESIAN_POINT('',(33.825,49.25,-200.)); #575626=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #575627=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #575628=CARTESIAN_POINT('',(52.575,21.75,-0.052500000000002)); #575629=CARTESIAN_POINT('Origin',(52.75,21.75,-0.052500000000002)); #575630=CARTESIAN_POINT('',(52.575,21.75,0.)); #575631=CARTESIAN_POINT('',(52.575,21.75,-200.)); #575632=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #575633=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #575634=CARTESIAN_POINT('',(34.67,45.,-0.052500000000002)); #575635=CARTESIAN_POINT('Origin',(35.27,45.,-0.052500000000002)); #575636=CARTESIAN_POINT('',(34.67,45.,0.)); #575637=CARTESIAN_POINT('',(34.67,45.,-200.)); #575638=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #575639=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #575640=CARTESIAN_POINT('',(25.825,31.,-0.052500000000002)); #575641=CARTESIAN_POINT('Origin',(26.,31.,-0.052500000000002)); #575642=CARTESIAN_POINT('',(25.825,31.,0.)); #575643=CARTESIAN_POINT('',(25.825,31.,-200.)); #575644=CARTESIAN_POINT('Origin',(26.,31.,0.)); #575645=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #575646=CARTESIAN_POINT('',(10.075,33.25,-0.052500000000002)); #575647=CARTESIAN_POINT('Origin',(10.25,33.25,-0.052500000000002)); #575648=CARTESIAN_POINT('',(10.075,33.25,0.)); #575649=CARTESIAN_POINT('',(10.075,33.25,-200.)); #575650=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #575651=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #575652=CARTESIAN_POINT('',(25.825,36.,-0.052500000000002)); #575653=CARTESIAN_POINT('Origin',(26.,36.,-0.052500000000002)); #575654=CARTESIAN_POINT('',(25.825,36.,0.)); #575655=CARTESIAN_POINT('',(25.825,36.,-200.)); #575656=CARTESIAN_POINT('Origin',(26.,36.,0.)); #575657=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #575658=CARTESIAN_POINT('',(34.575,14.75,-0.052500000000002)); #575659=CARTESIAN_POINT('Origin',(34.75,14.75,-0.052500000000002)); #575660=CARTESIAN_POINT('',(34.575,14.75,0.)); #575661=CARTESIAN_POINT('',(34.575,14.75,-200.)); #575662=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #575663=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #575664=CARTESIAN_POINT('',(26.575,36.,-0.052500000000002)); #575665=CARTESIAN_POINT('Origin',(26.75,36.,-0.052500000000002)); #575666=CARTESIAN_POINT('',(26.575,36.,0.)); #575667=CARTESIAN_POINT('',(26.575,36.,-200.)); #575668=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #575669=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #575670=CARTESIAN_POINT('',(9.325,33.25,-0.052500000000002)); #575671=CARTESIAN_POINT('Origin',(9.5,33.25,-0.052500000000002)); #575672=CARTESIAN_POINT('',(9.325,33.25,0.)); #575673=CARTESIAN_POINT('',(9.325,33.25,-200.)); #575674=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #575675=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #575676=CARTESIAN_POINT('',(26.575,31.,-0.052500000000002)); #575677=CARTESIAN_POINT('Origin',(26.75,31.,-0.052500000000002)); #575678=CARTESIAN_POINT('',(26.575,31.,0.)); #575679=CARTESIAN_POINT('',(26.575,31.,-200.)); #575680=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #575681=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #575682=CARTESIAN_POINT('',(34.575,17.,-0.052500000000002)); #575683=CARTESIAN_POINT('Origin',(34.75,17.,-0.052500000000002)); #575684=CARTESIAN_POINT('',(34.575,17.,0.)); #575685=CARTESIAN_POINT('',(34.575,17.,-200.)); #575686=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #575687=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #575688=CARTESIAN_POINT('',(16.45,47.75,-0.052500000000002)); #575689=CARTESIAN_POINT('Origin',(16.625,47.75,-0.052500000000002)); #575690=CARTESIAN_POINT('',(16.45,47.75,0.)); #575691=CARTESIAN_POINT('',(16.45,47.75,-200.)); #575692=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #575693=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #575694=CARTESIAN_POINT('',(10.075,34.,-0.052500000000002)); #575695=CARTESIAN_POINT('Origin',(10.25,34.,-0.052500000000002)); #575696=CARTESIAN_POINT('',(10.075,34.,0.)); #575697=CARTESIAN_POINT('',(10.075,34.,-200.)); #575698=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #575699=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #575700=CARTESIAN_POINT('',(15.575,47.75,-0.052500000000002)); #575701=CARTESIAN_POINT('Origin',(15.75,47.75,-0.052500000000002)); #575702=CARTESIAN_POINT('',(15.575,47.75,0.)); #575703=CARTESIAN_POINT('',(15.575,47.75,-200.)); #575704=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #575705=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #575706=CARTESIAN_POINT('',(35.325,14.75,-0.052500000000002)); #575707=CARTESIAN_POINT('Origin',(35.5,14.75,-0.052500000000002)); #575708=CARTESIAN_POINT('',(35.325,14.75,0.)); #575709=CARTESIAN_POINT('',(35.325,14.75,-200.)); #575710=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #575711=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #575712=CARTESIAN_POINT('',(16.45,47.,-0.052500000000002)); #575713=CARTESIAN_POINT('Origin',(16.625,47.,-0.052500000000002)); #575714=CARTESIAN_POINT('',(16.45,47.,0.)); #575715=CARTESIAN_POINT('',(16.45,47.,-200.)); #575716=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #575717=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #575718=CARTESIAN_POINT('',(9.325,34.,-0.052500000000002)); #575719=CARTESIAN_POINT('Origin',(9.5,34.,-0.052500000000002)); #575720=CARTESIAN_POINT('',(9.325,34.,0.)); #575721=CARTESIAN_POINT('',(9.325,34.,-200.)); #575722=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #575723=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #575724=CARTESIAN_POINT('',(16.45,46.25,-0.052500000000002)); #575725=CARTESIAN_POINT('Origin',(16.625,46.25,-0.052500000000002)); #575726=CARTESIAN_POINT('',(16.45,46.25,0.)); #575727=CARTESIAN_POINT('',(16.45,46.25,-200.)); #575728=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #575729=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #575730=CARTESIAN_POINT('',(39.075,17.5,-0.052500000000002)); #575731=CARTESIAN_POINT('Origin',(39.25,17.5,-0.052500000000002)); #575732=CARTESIAN_POINT('',(39.075,17.5,0.)); #575733=CARTESIAN_POINT('',(39.075,17.5,-200.)); #575734=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #575735=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #575736=CARTESIAN_POINT('',(17.325,47.75,-0.052500000000002)); #575737=CARTESIAN_POINT('Origin',(17.5,47.75,-0.052500000000002)); #575738=CARTESIAN_POINT('',(17.325,47.75,0.)); #575739=CARTESIAN_POINT('',(17.325,47.75,-200.)); #575740=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #575741=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #575742=CARTESIAN_POINT('',(57.575,33.25,-0.052500000000002)); #575743=CARTESIAN_POINT('Origin',(57.75,33.25,-0.052500000000002)); #575744=CARTESIAN_POINT('',(57.575,33.25,0.)); #575745=CARTESIAN_POINT('',(57.575,33.25,-200.)); #575746=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #575747=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #575748=CARTESIAN_POINT('',(19.2,46.25,-0.052500000000002)); #575749=CARTESIAN_POINT('Origin',(19.375,46.25,-0.052500000000002)); #575750=CARTESIAN_POINT('',(19.2,46.25,0.)); #575751=CARTESIAN_POINT('',(19.2,46.25,-200.)); #575752=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #575753=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #575754=CARTESIAN_POINT('',(36.075,15.5,-0.052500000000002)); #575755=CARTESIAN_POINT('Origin',(36.25,15.5,-0.052500000000002)); #575756=CARTESIAN_POINT('',(36.075,15.5,0.)); #575757=CARTESIAN_POINT('',(36.075,15.5,-200.)); #575758=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #575759=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #575760=CARTESIAN_POINT('',(19.2,47.75,-0.052500000000002)); #575761=CARTESIAN_POINT('Origin',(19.375,47.75,-0.052500000000002)); #575762=CARTESIAN_POINT('',(19.2,47.75,0.)); #575763=CARTESIAN_POINT('',(19.2,47.75,-200.)); #575764=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #575765=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #575766=CARTESIAN_POINT('',(61.825,33.25,-0.052500000000002)); #575767=CARTESIAN_POINT('Origin',(62.,33.25,-0.052500000000002)); #575768=CARTESIAN_POINT('',(61.825,33.25,0.)); #575769=CARTESIAN_POINT('',(61.825,33.25,-200.)); #575770=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #575771=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #575772=CARTESIAN_POINT('',(19.2,47.,-0.052500000000002)); #575773=CARTESIAN_POINT('Origin',(19.375,47.,-0.052500000000002)); #575774=CARTESIAN_POINT('',(19.2,47.,0.)); #575775=CARTESIAN_POINT('',(19.2,47.,-200.)); #575776=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #575777=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #575778=CARTESIAN_POINT('',(33.825,17.75,-0.052500000000002)); #575779=CARTESIAN_POINT('Origin',(34.,17.75,-0.052500000000002)); #575780=CARTESIAN_POINT('',(33.825,17.75,0.)); #575781=CARTESIAN_POINT('',(33.825,17.75,-200.)); #575782=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #575783=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #575784=CARTESIAN_POINT('',(17.325,46.25,-0.052500000000002)); #575785=CARTESIAN_POINT('Origin',(17.5,46.25,-0.052500000000002)); #575786=CARTESIAN_POINT('',(17.325,46.25,0.)); #575787=CARTESIAN_POINT('',(17.325,46.25,-200.)); #575788=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #575789=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #575790=CARTESIAN_POINT('',(57.575,34.,-0.052500000000002)); #575791=CARTESIAN_POINT('Origin',(57.75,34.,-0.052500000000002)); #575792=CARTESIAN_POINT('',(57.575,34.,0.)); #575793=CARTESIAN_POINT('',(57.575,34.,-200.)); #575794=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #575795=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #575796=CARTESIAN_POINT('',(17.325,47.,-0.052500000000002)); #575797=CARTESIAN_POINT('Origin',(17.5,47.,-0.052500000000002)); #575798=CARTESIAN_POINT('',(17.325,47.,0.)); #575799=CARTESIAN_POINT('',(17.325,47.,-200.)); #575800=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #575801=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #575802=CARTESIAN_POINT('',(36.075,14.75,-0.052500000000002)); #575803=CARTESIAN_POINT('Origin',(36.25,14.75,-0.052500000000002)); #575804=CARTESIAN_POINT('',(36.075,14.75,0.)); #575805=CARTESIAN_POINT('',(36.075,14.75,-200.)); #575806=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #575807=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #575808=CARTESIAN_POINT('',(15.575,46.25,-0.052500000000002)); #575809=CARTESIAN_POINT('Origin',(15.75,46.25,-0.052500000000002)); #575810=CARTESIAN_POINT('',(15.575,46.25,0.)); #575811=CARTESIAN_POINT('',(15.575,46.25,-200.)); #575812=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #575813=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #575814=CARTESIAN_POINT('',(57.575,34.75,-0.052500000000002)); #575815=CARTESIAN_POINT('Origin',(57.75,34.75,-0.052500000000002)); #575816=CARTESIAN_POINT('',(57.575,34.75,0.)); #575817=CARTESIAN_POINT('',(57.575,34.75,-200.)); #575818=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #575819=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #575820=CARTESIAN_POINT('',(15.575,47.,-0.052500000000002)); #575821=CARTESIAN_POINT('Origin',(15.75,47.,-0.052500000000002)); #575822=CARTESIAN_POINT('',(15.575,47.,0.)); #575823=CARTESIAN_POINT('',(15.575,47.,-200.)); #575824=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #575825=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #575826=CARTESIAN_POINT('',(51.75,34.,-0.052500000000002)); #575827=CARTESIAN_POINT('Origin',(52.25,34.,-0.052500000000002)); #575828=CARTESIAN_POINT('',(51.75,34.,0.)); #575829=CARTESIAN_POINT('',(51.75,34.,-200.)); #575830=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #575831=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #575832=CARTESIAN_POINT('',(23.575,47.,-0.052500000000002)); #575833=CARTESIAN_POINT('Origin',(23.75,47.,-0.052500000000002)); #575834=CARTESIAN_POINT('',(23.575,47.,0.)); #575835=CARTESIAN_POINT('',(23.575,47.,-200.)); #575836=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #575837=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #575838=CARTESIAN_POINT('',(61.075,33.25,-0.052500000000002)); #575839=CARTESIAN_POINT('Origin',(61.25,33.25,-0.052500000000002)); #575840=CARTESIAN_POINT('',(61.075,33.25,0.)); #575841=CARTESIAN_POINT('',(61.075,33.25,-200.)); #575842=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #575843=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #575844=CARTESIAN_POINT('',(20.075,47.,-0.052500000000002)); #575845=CARTESIAN_POINT('Origin',(20.25,47.,-0.052500000000002)); #575846=CARTESIAN_POINT('',(20.075,47.,0.)); #575847=CARTESIAN_POINT('',(20.075,47.,-200.)); #575848=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #575849=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #575850=CARTESIAN_POINT('',(36.075,17.75,-0.052500000000002)); #575851=CARTESIAN_POINT('Origin',(36.25,17.75,-0.052500000000002)); #575852=CARTESIAN_POINT('',(36.075,17.75,0.)); #575853=CARTESIAN_POINT('',(36.075,17.75,-200.)); #575854=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #575855=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #575856=CARTESIAN_POINT('',(23.575,46.25,-0.052500000000002)); #575857=CARTESIAN_POINT('Origin',(23.75,46.25,-0.052500000000002)); #575858=CARTESIAN_POINT('',(23.575,46.25,0.)); #575859=CARTESIAN_POINT('',(23.575,46.25,-200.)); #575860=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #575861=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #575862=CARTESIAN_POINT('',(58.325,33.25,-0.052500000000002)); #575863=CARTESIAN_POINT('Origin',(58.5,33.25,-0.052500000000002)); #575864=CARTESIAN_POINT('',(58.325,33.25,0.)); #575865=CARTESIAN_POINT('',(58.325,33.25,-200.)); #575866=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #575867=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #575868=CARTESIAN_POINT('',(21.825,46.25,-0.052500000000002)); #575869=CARTESIAN_POINT('Origin',(22.,46.25,-0.052500000000002)); #575870=CARTESIAN_POINT('',(21.825,46.25,0.)); #575871=CARTESIAN_POINT('',(21.825,46.25,-200.)); #575872=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #575873=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #575874=CARTESIAN_POINT('',(37.075,8.75,-0.052500000000002)); #575875=CARTESIAN_POINT('Origin',(37.25,8.75,-0.052500000000002)); #575876=CARTESIAN_POINT('',(37.075,8.75,0.)); #575877=CARTESIAN_POINT('',(37.075,8.75,-200.)); #575878=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #575879=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #575880=CARTESIAN_POINT('',(20.075,46.25,-0.052500000000002)); #575881=CARTESIAN_POINT('Origin',(20.25,46.25,-0.052500000000002)); #575882=CARTESIAN_POINT('',(20.075,46.25,0.)); #575883=CARTESIAN_POINT('',(20.075,46.25,-200.)); #575884=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #575885=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #575886=CARTESIAN_POINT('',(58.325,34.,-0.052500000000002)); #575887=CARTESIAN_POINT('Origin',(58.5,34.,-0.052500000000002)); #575888=CARTESIAN_POINT('',(58.325,34.,0.)); #575889=CARTESIAN_POINT('',(58.325,34.,-200.)); #575890=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #575891=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #575892=CARTESIAN_POINT('',(18.325,47.75,-0.052500000000002)); #575893=CARTESIAN_POINT('Origin',(18.5,47.75,-0.052500000000002)); #575894=CARTESIAN_POINT('',(18.325,47.75,0.)); #575895=CARTESIAN_POINT('',(18.325,47.75,-200.)); #575896=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #575897=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #575898=CARTESIAN_POINT('',(36.075,18.5,-0.052500000000002)); #575899=CARTESIAN_POINT('Origin',(36.25,18.5,-0.052500000000002)); #575900=CARTESIAN_POINT('',(36.075,18.5,0.)); #575901=CARTESIAN_POINT('',(36.075,18.5,-200.)); #575902=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #575903=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #575904=CARTESIAN_POINT('',(20.075,47.75,-0.052500000000002)); #575905=CARTESIAN_POINT('Origin',(20.25,47.75,-0.052500000000002)); #575906=CARTESIAN_POINT('',(20.075,47.75,0.)); #575907=CARTESIAN_POINT('',(20.075,47.75,-200.)); #575908=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #575909=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #575910=CARTESIAN_POINT('',(58.325,34.75,-0.052500000000002)); #575911=CARTESIAN_POINT('Origin',(58.5,34.75,-0.052500000000002)); #575912=CARTESIAN_POINT('',(58.325,34.75,0.)); #575913=CARTESIAN_POINT('',(58.325,34.75,-200.)); #575914=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #575915=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #575916=CARTESIAN_POINT('',(23.575,47.75,-0.052500000000002)); #575917=CARTESIAN_POINT('Origin',(23.75,47.75,-0.052500000000002)); #575918=CARTESIAN_POINT('',(23.575,47.75,0.)); #575919=CARTESIAN_POINT('',(23.575,47.75,-200.)); #575920=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #575921=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #575922=CARTESIAN_POINT('',(31.,27.75,-0.052500000000002)); #575923=CARTESIAN_POINT('Origin',(31.5,27.75,-0.052500000000002)); #575924=CARTESIAN_POINT('',(31.,27.75,0.)); #575925=CARTESIAN_POINT('',(31.,27.75,-200.)); #575926=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #575927=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #575928=CARTESIAN_POINT('',(20.95,47.,-0.052500000000002)); #575929=CARTESIAN_POINT('Origin',(21.125,47.,-0.052500000000002)); #575930=CARTESIAN_POINT('',(20.95,47.,0.)); #575931=CARTESIAN_POINT('',(20.95,47.,-200.)); #575932=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #575933=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #575934=CARTESIAN_POINT('',(61.075,34.75,-0.052500000000002)); #575935=CARTESIAN_POINT('Origin',(61.25,34.75,-0.052500000000002)); #575936=CARTESIAN_POINT('',(61.075,34.75,0.)); #575937=CARTESIAN_POINT('',(61.075,34.75,-200.)); #575938=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #575939=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #575940=CARTESIAN_POINT('',(20.95,47.75,-0.052500000000002)); #575941=CARTESIAN_POINT('Origin',(21.125,47.75,-0.052500000000002)); #575942=CARTESIAN_POINT('',(20.95,47.75,0.)); #575943=CARTESIAN_POINT('',(20.95,47.75,-200.)); #575944=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #575945=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #575946=CARTESIAN_POINT('',(36.075,17.,-0.052500000000002)); #575947=CARTESIAN_POINT('Origin',(36.25,17.,-0.052500000000002)); #575948=CARTESIAN_POINT('',(36.075,17.,0.)); #575949=CARTESIAN_POINT('',(36.075,17.,-200.)); #575950=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #575951=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #575952=CARTESIAN_POINT('',(21.825,47.,-0.052500000000002)); #575953=CARTESIAN_POINT('Origin',(22.,47.,-0.052500000000002)); #575954=CARTESIAN_POINT('',(21.825,47.,0.)); #575955=CARTESIAN_POINT('',(21.825,47.,-200.)); #575956=CARTESIAN_POINT('Origin',(22.,47.,0.)); #575957=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #575958=CARTESIAN_POINT('',(61.075,34.,-0.052500000000002)); #575959=CARTESIAN_POINT('Origin',(61.25,34.,-0.052500000000002)); #575960=CARTESIAN_POINT('',(61.075,34.,0.)); #575961=CARTESIAN_POINT('',(61.075,34.,-200.)); #575962=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #575963=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #575964=CARTESIAN_POINT('',(21.825,47.75,-0.052500000000002)); #575965=CARTESIAN_POINT('Origin',(22.,47.75,-0.052500000000002)); #575966=CARTESIAN_POINT('',(21.825,47.75,0.)); #575967=CARTESIAN_POINT('',(21.825,47.75,-200.)); #575968=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #575969=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #575970=CARTESIAN_POINT('',(33.825,17.,-0.052500000000002)); #575971=CARTESIAN_POINT('Origin',(34.,17.,-0.052500000000002)); #575972=CARTESIAN_POINT('',(33.825,17.,0.)); #575973=CARTESIAN_POINT('',(33.825,17.,-200.)); #575974=CARTESIAN_POINT('Origin',(34.,17.,0.)); #575975=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #575976=CARTESIAN_POINT('',(22.7,46.25,-0.052500000000002)); #575977=CARTESIAN_POINT('Origin',(22.875,46.25,-0.052500000000002)); #575978=CARTESIAN_POINT('',(22.7,46.25,0.)); #575979=CARTESIAN_POINT('',(22.7,46.25,-200.)); #575980=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #575981=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #575982=CARTESIAN_POINT('',(61.825,34.75,-0.052500000000002)); #575983=CARTESIAN_POINT('Origin',(62.,34.75,-0.052500000000002)); #575984=CARTESIAN_POINT('',(61.825,34.75,0.)); #575985=CARTESIAN_POINT('',(61.825,34.75,-200.)); #575986=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #575987=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #575988=CARTESIAN_POINT('',(20.95,46.25,-0.052500000000002)); #575989=CARTESIAN_POINT('Origin',(21.125,46.25,-0.052500000000002)); #575990=CARTESIAN_POINT('',(20.95,46.25,0.)); #575991=CARTESIAN_POINT('',(20.95,46.25,-200.)); #575992=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #575993=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #575994=CARTESIAN_POINT('',(36.075,16.25,-0.052500000000002)); #575995=CARTESIAN_POINT('Origin',(36.25,16.25,-0.052500000000002)); #575996=CARTESIAN_POINT('',(36.075,16.25,0.)); #575997=CARTESIAN_POINT('',(36.075,16.25,-200.)); #575998=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #575999=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #576000=CARTESIAN_POINT('',(22.7,47.,-0.052500000000002)); #576001=CARTESIAN_POINT('Origin',(22.875,47.,-0.052500000000002)); #576002=CARTESIAN_POINT('',(22.7,47.,0.)); #576003=CARTESIAN_POINT('',(22.7,47.,-200.)); #576004=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #576005=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #576006=CARTESIAN_POINT('',(61.825,34.,-0.052500000000002)); #576007=CARTESIAN_POINT('Origin',(62.,34.,-0.052500000000002)); #576008=CARTESIAN_POINT('',(61.825,34.,0.)); #576009=CARTESIAN_POINT('',(61.825,34.,-200.)); #576010=CARTESIAN_POINT('Origin',(62.,34.,0.)); #576011=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #576012=CARTESIAN_POINT('',(22.7,47.75,-0.052500000000002)); #576013=CARTESIAN_POINT('Origin',(22.875,47.75,-0.052500000000002)); #576014=CARTESIAN_POINT('',(22.7,47.75,0.)); #576015=CARTESIAN_POINT('',(22.7,47.75,-200.)); #576016=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #576017=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #576018=CARTESIAN_POINT('',(21.2,34.,-0.052500000000002)); #576019=CARTESIAN_POINT('Origin',(21.75,34.,-0.052500000000002)); #576020=CARTESIAN_POINT('',(21.2,34.,0.)); #576021=CARTESIAN_POINT('',(21.2,34.,-200.)); #576022=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #576023=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #576024=CARTESIAN_POINT('',(31.45,7.6,-0.052500000000002)); #576025=CARTESIAN_POINT('Origin',(31.6,7.6,-0.052500000000002)); #576026=CARTESIAN_POINT('',(31.45,7.6,0.)); #576027=CARTESIAN_POINT('',(31.45,7.6,-200.)); #576028=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #576029=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #576030=CARTESIAN_POINT('',(6.325,34.75,-0.052500000000002)); #576031=CARTESIAN_POINT('Origin',(6.5,34.75,-0.052500000000002)); #576032=CARTESIAN_POINT('',(6.325,34.75,0.)); #576033=CARTESIAN_POINT('',(6.325,34.75,-200.)); #576034=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #576035=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #576036=CARTESIAN_POINT('',(36.25,7.1,-0.052500000000002)); #576037=CARTESIAN_POINT('Origin',(36.4,7.1,-0.052500000000002)); #576038=CARTESIAN_POINT('',(36.25,7.1,0.)); #576039=CARTESIAN_POINT('',(36.25,7.1,-200.)); #576040=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #576041=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #576042=CARTESIAN_POINT('',(31.575,14.75,-0.052500000000002)); #576043=CARTESIAN_POINT('Origin',(31.75,14.75,-0.052500000000002)); #576044=CARTESIAN_POINT('',(31.575,14.75,0.)); #576045=CARTESIAN_POINT('',(31.575,14.75,-200.)); #576046=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #576047=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #576048=CARTESIAN_POINT('',(41.075,13.0029,-0.052500000000002)); #576049=CARTESIAN_POINT('Origin',(41.25,13.0029,-0.052500000000002)); #576050=CARTESIAN_POINT('',(41.075,13.0029,0.)); #576051=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #576052=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #576053=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #576054=CARTESIAN_POINT('',(6.325,34.,-0.052500000000002)); #576055=CARTESIAN_POINT('Origin',(6.5,34.,-0.052500000000002)); #576056=CARTESIAN_POINT('',(6.325,34.,0.)); #576057=CARTESIAN_POINT('',(6.325,34.,-200.)); #576058=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #576059=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #576060=CARTESIAN_POINT('',(36.25,7.6,-0.052500000000002)); #576061=CARTESIAN_POINT('Origin',(36.4,7.6,-0.052500000000002)); #576062=CARTESIAN_POINT('',(36.25,7.6,0.)); #576063=CARTESIAN_POINT('',(36.25,7.6,-200.)); #576064=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #576065=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #576066=CARTESIAN_POINT('',(33.075,17.,-0.052500000000002)); #576067=CARTESIAN_POINT('Origin',(33.25,17.,-0.052500000000002)); #576068=CARTESIAN_POINT('',(33.075,17.,0.)); #576069=CARTESIAN_POINT('',(33.075,17.,-200.)); #576070=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #576071=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #576072=CARTESIAN_POINT('',(34.7625,12.25,-0.052500000000002)); #576073=CARTESIAN_POINT('Origin',(34.9375,12.25,-0.052500000000002)); #576074=CARTESIAN_POINT('',(34.7625,12.25,0.)); #576075=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #576076=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #576077=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #576078=CARTESIAN_POINT('',(7.075,33.25,-0.052500000000002)); #576079=CARTESIAN_POINT('Origin',(7.25,33.25,-0.052500000000002)); #576080=CARTESIAN_POINT('',(7.075,33.25,0.)); #576081=CARTESIAN_POINT('',(7.075,33.25,-200.)); #576082=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #576083=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #576084=CARTESIAN_POINT('',(38.587,60.25,-0.052500000000002)); #576085=CARTESIAN_POINT('Origin',(38.762,60.25,-0.052500000000002)); #576086=CARTESIAN_POINT('',(38.587,60.25,0.)); #576087=CARTESIAN_POINT('',(38.587,60.25,-200.)); #576088=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #576089=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #576090=CARTESIAN_POINT('',(32.325,14.75,-0.052500000000002)); #576091=CARTESIAN_POINT('Origin',(32.5,14.75,-0.052500000000002)); #576092=CARTESIAN_POINT('',(32.325,14.75,0.)); #576093=CARTESIAN_POINT('',(32.325,14.75,-200.)); #576094=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #576095=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #576096=CARTESIAN_POINT('',(33.825,55.,-0.052500000000002)); #576097=CARTESIAN_POINT('Origin',(34.,55.,-0.052500000000002)); #576098=CARTESIAN_POINT('',(33.825,55.,0.)); #576099=CARTESIAN_POINT('',(33.825,55.,-200.)); #576100=CARTESIAN_POINT('Origin',(34.,55.,0.)); #576101=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #576102=CARTESIAN_POINT('',(6.325,33.25,-0.052500000000002)); #576103=CARTESIAN_POINT('Origin',(6.5,33.25,-0.052500000000002)); #576104=CARTESIAN_POINT('',(6.325,33.25,0.)); #576105=CARTESIAN_POINT('',(6.325,33.25,-200.)); #576106=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #576107=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #576108=CARTESIAN_POINT('',(42.325,14.25,-0.052500000000002)); #576109=CARTESIAN_POINT('Origin',(42.5,14.25,-0.052500000000002)); #576110=CARTESIAN_POINT('',(42.325,14.25,0.)); #576111=CARTESIAN_POINT('',(42.325,14.25,-200.)); #576112=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #576113=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #576114=CARTESIAN_POINT('',(39.075,15.75,-0.052500000000002)); #576115=CARTESIAN_POINT('Origin',(39.25,15.75,-0.052500000000002)); #576116=CARTESIAN_POINT('',(39.075,15.75,0.)); #576117=CARTESIAN_POINT('',(39.075,15.75,-200.)); #576118=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #576119=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #576120=CARTESIAN_POINT('',(30.325,10.5,-0.052500000000002)); #576121=CARTESIAN_POINT('Origin',(30.5,10.5,-0.052500000000002)); #576122=CARTESIAN_POINT('',(30.325,10.5,0.)); #576123=CARTESIAN_POINT('',(30.325,10.5,-200.)); #576124=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #576125=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #576126=CARTESIAN_POINT('',(9.325,34.75,-0.052500000000002)); #576127=CARTESIAN_POINT('Origin',(9.5,34.75,-0.052500000000002)); #576128=CARTESIAN_POINT('',(9.325,34.75,0.)); #576129=CARTESIAN_POINT('',(9.325,34.75,-200.)); #576130=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #576131=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #576132=CARTESIAN_POINT('',(36.325,10.5,-0.052500000000002)); #576133=CARTESIAN_POINT('Origin',(36.5,10.5,-0.052500000000002)); #576134=CARTESIAN_POINT('',(36.325,10.5,0.)); #576135=CARTESIAN_POINT('',(36.325,10.5,-200.)); #576136=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #576137=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #576138=CARTESIAN_POINT('',(33.825,14.75,-0.052500000000002)); #576139=CARTESIAN_POINT('Origin',(34.,14.75,-0.052500000000002)); #576140=CARTESIAN_POINT('',(33.825,14.75,0.)); #576141=CARTESIAN_POINT('',(33.825,14.75,-200.)); #576142=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #576143=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #576144=CARTESIAN_POINT('',(35.575,11.25,-0.052500000000002)); #576145=CARTESIAN_POINT('Origin',(35.75,11.25,-0.052500000000002)); #576146=CARTESIAN_POINT('',(35.575,11.25,0.)); #576147=CARTESIAN_POINT('',(35.575,11.25,-200.)); #576148=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #576149=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #576150=CARTESIAN_POINT('',(10.075,34.75,-0.052500000000002)); #576151=CARTESIAN_POINT('Origin',(10.25,34.75,-0.052500000000002)); #576152=CARTESIAN_POINT('',(10.075,34.75,0.)); #576153=CARTESIAN_POINT('',(10.075,34.75,-200.)); #576154=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #576155=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #576156=CARTESIAN_POINT('',(30.325,11.25,-0.052500000000002)); #576157=CARTESIAN_POINT('Origin',(30.5,11.25,-0.052500000000002)); #576158=CARTESIAN_POINT('',(30.325,11.25,0.)); #576159=CARTESIAN_POINT('',(30.325,11.25,-200.)); #576160=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #576161=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #576162=CARTESIAN_POINT('',(33.825,16.25,-0.052500000000002)); #576163=CARTESIAN_POINT('Origin',(34.,16.25,-0.052500000000002)); #576164=CARTESIAN_POINT('',(33.825,16.25,0.)); #576165=CARTESIAN_POINT('',(33.825,16.25,-200.)); #576166=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #576167=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #576168=CARTESIAN_POINT('',(39.075,16.5,-0.052500000000002)); #576169=CARTESIAN_POINT('Origin',(39.25,16.5,-0.052500000000002)); #576170=CARTESIAN_POINT('',(39.075,16.5,0.)); #576171=CARTESIAN_POINT('',(39.075,16.5,-200.)); #576172=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #576173=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #576174=CARTESIAN_POINT('',(7.075,34.75,-0.052500000000002)); #576175=CARTESIAN_POINT('Origin',(7.25,34.75,-0.052500000000002)); #576176=CARTESIAN_POINT('',(7.075,34.75,0.)); #576177=CARTESIAN_POINT('',(7.075,34.75,-200.)); #576178=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #576179=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #576180=CARTESIAN_POINT('',(30.587,60.25,-0.052500000000002)); #576181=CARTESIAN_POINT('Origin',(30.762,60.25,-0.052500000000002)); #576182=CARTESIAN_POINT('',(30.587,60.25,0.)); #576183=CARTESIAN_POINT('',(30.587,60.25,-200.)); #576184=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #576185=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #576186=CARTESIAN_POINT('',(33.075,14.75,-0.052500000000002)); #576187=CARTESIAN_POINT('Origin',(33.25,14.75,-0.052500000000002)); #576188=CARTESIAN_POINT('',(33.075,14.75,0.)); #576189=CARTESIAN_POINT('',(33.075,14.75,-200.)); #576190=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #576191=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #576192=CARTESIAN_POINT('',(33.075,55.,-0.052500000000002)); #576193=CARTESIAN_POINT('Origin',(33.25,55.,-0.052500000000002)); #576194=CARTESIAN_POINT('',(33.075,55.,0.)); #576195=CARTESIAN_POINT('',(33.075,55.,-200.)); #576196=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #576197=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #576198=CARTESIAN_POINT('',(7.075,34.,-0.052500000000002)); #576199=CARTESIAN_POINT('Origin',(7.25,34.,-0.052500000000002)); #576200=CARTESIAN_POINT('',(7.075,34.,0.)); #576201=CARTESIAN_POINT('',(7.075,34.,-200.)); #576202=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #576203=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #576204=CARTESIAN_POINT('',(34.587,60.25,-0.052500000000002)); #576205=CARTESIAN_POINT('Origin',(34.762,60.25,-0.052500000000002)); #576206=CARTESIAN_POINT('',(34.587,60.25,0.)); #576207=CARTESIAN_POINT('',(34.587,60.25,-200.)); #576208=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #576209=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #576210=CARTESIAN_POINT('',(55.25,34.,-0.052500000000002)); #576211=CARTESIAN_POINT('Origin',(55.75,34.,-0.052500000000002)); #576212=CARTESIAN_POINT('',(55.25,34.,0.)); #576213=CARTESIAN_POINT('',(55.25,34.,-200.)); #576214=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #576215=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #576216=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #576217=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #576218=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #576219=CARTESIAN_POINT('',(30.03,2.89999999062179,-0.052500000000002)); #576220=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #576221=CARTESIAN_POINT('',(30.03,2.09999997186536,-0.052500000000002)); #576222=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,-0.052500000000002)); #576223=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #576224=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #576225=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #576226=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #576227=CARTESIAN_POINT('',(29.33,2.10000000937821,-0.052500000000002)); #576228=CARTESIAN_POINT('Origin',(29.68,2.1,-0.052500000000002)); #576229=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #576230=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #576231=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #576232=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #576233=CARTESIAN_POINT('',(29.33,2.90000002813464,-0.052500000000002)); #576234=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,-0.052500000000002)); #576235=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #576236=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #576237=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #576238=CARTESIAN_POINT('Origin',(29.68,2.9,-0.052500000000002)); #576239=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #576240=CARTESIAN_POINT('',(35.075,6.25,-0.052500000000002)); #576241=CARTESIAN_POINT('Origin',(35.25,6.25,-0.052500000000002)); #576242=CARTESIAN_POINT('',(35.075,6.25,0.)); #576243=CARTESIAN_POINT('',(35.075,6.25,-200.)); #576244=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #576245=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #576246=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #576247=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #576248=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #576249=CARTESIAN_POINT('',(28.8500000160769,34.6,-0.052500000000002)); #576250=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #576251=CARTESIAN_POINT('',(29.4500000482308,34.6,-0.052500000000002)); #576252=CARTESIAN_POINT('',(31.7250000241154,34.6,-0.052500000000002)); #576253=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #576254=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #576255=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #576256=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #576257=CARTESIAN_POINT('',(29.4499999839231,33.4,-0.052500000000002)); #576258=CARTESIAN_POINT('Origin',(29.45,34.,-0.052500000000002)); #576259=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #576260=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #576261=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #576262=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #576263=CARTESIAN_POINT('',(28.8499999517692,33.4,-0.052500000000002)); #576264=CARTESIAN_POINT('',(31.4249999758846,33.4,-0.052500000000002)); #576265=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #576266=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #576267=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #576268=CARTESIAN_POINT('Origin',(28.85,34.,-0.052500000000002)); #576269=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #576270=CARTESIAN_POINT('',(31.575,16.25,-0.052500000000002)); #576271=CARTESIAN_POINT('Origin',(31.75,16.25,-0.052500000000002)); #576272=CARTESIAN_POINT('',(31.575,16.25,0.)); #576273=CARTESIAN_POINT('',(31.575,16.25,-200.)); #576274=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #576275=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #576276=CARTESIAN_POINT('',(30.65,7.6,-0.052500000000002)); #576277=CARTESIAN_POINT('Origin',(30.8,7.6,-0.052500000000002)); #576278=CARTESIAN_POINT('',(30.65,7.6,0.)); #576279=CARTESIAN_POINT('',(30.65,7.6,-200.)); #576280=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #576281=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #576282=CARTESIAN_POINT('',(34.075,8.25,-0.052500000000002)); #576283=CARTESIAN_POINT('Origin',(34.25,8.25,-0.052500000000002)); #576284=CARTESIAN_POINT('',(34.075,8.25,0.)); #576285=CARTESIAN_POINT('',(34.075,8.25,-200.)); #576286=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #576287=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #576288=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #576289=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #576290=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #576291=CARTESIAN_POINT('',(38.5500000160769,34.6,-0.052500000000002)); #576292=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #576293=CARTESIAN_POINT('',(39.1500000482308,34.6,-0.052500000000002)); #576294=CARTESIAN_POINT('',(36.5750000241154,34.6,-0.052500000000002)); #576295=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #576296=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #576297=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #576298=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #576299=CARTESIAN_POINT('',(39.1499999839231,33.4,-0.052500000000002)); #576300=CARTESIAN_POINT('Origin',(39.15,34.,-0.052500000000002)); #576301=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #576302=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #576303=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #576304=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #576305=CARTESIAN_POINT('',(38.5499999517692,33.4,-0.052500000000002)); #576306=CARTESIAN_POINT('',(36.2749999758846,33.4,-0.052500000000002)); #576307=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #576308=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #576309=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #576310=CARTESIAN_POINT('Origin',(38.55,34.,-0.052500000000002)); #576311=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #576312=CARTESIAN_POINT('',(34.575,17.75,-0.052500000000002)); #576313=CARTESIAN_POINT('Origin',(34.75,17.75,-0.052500000000002)); #576314=CARTESIAN_POINT('',(34.575,17.75,0.)); #576315=CARTESIAN_POINT('',(34.575,17.75,-200.)); #576316=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #576317=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #576318=CARTESIAN_POINT('',(37.05,7.1,-0.052500000000002)); #576319=CARTESIAN_POINT('Origin',(37.2,7.1,-0.052500000000002)); #576320=CARTESIAN_POINT('',(37.05,7.1,0.)); #576321=CARTESIAN_POINT('',(37.05,7.1,-200.)); #576322=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #576323=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #576324=CARTESIAN_POINT('',(32.575,8.25,-0.052500000000002)); #576325=CARTESIAN_POINT('Origin',(32.75,8.25,-0.052500000000002)); #576326=CARTESIAN_POINT('',(32.575,8.25,0.)); #576327=CARTESIAN_POINT('',(32.575,8.25,-200.)); #576328=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #576329=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #576330=CARTESIAN_POINT('',(31.45,7.1,-0.052500000000002)); #576331=CARTESIAN_POINT('Origin',(31.6,7.1,-0.052500000000002)); #576332=CARTESIAN_POINT('',(31.45,7.1,0.)); #576333=CARTESIAN_POINT('',(31.45,7.1,-200.)); #576334=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #576335=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #576336=CARTESIAN_POINT('',(31.575,15.5,-0.052500000000002)); #576337=CARTESIAN_POINT('Origin',(31.75,15.5,-0.052500000000002)); #576338=CARTESIAN_POINT('',(31.575,15.5,0.)); #576339=CARTESIAN_POINT('',(31.575,15.5,-200.)); #576340=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #576341=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #576342=CARTESIAN_POINT('',(37.05,7.6,-0.052500000000002)); #576343=CARTESIAN_POINT('Origin',(37.2,7.6,-0.052500000000002)); #576344=CARTESIAN_POINT('',(37.05,7.6,0.)); #576345=CARTESIAN_POINT('',(37.05,7.6,-200.)); #576346=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #576347=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #576348=CARTESIAN_POINT('',(33.575,6.2426,-0.052500000000002)); #576349=CARTESIAN_POINT('Origin',(33.75,6.2426,-0.052500000000002)); #576350=CARTESIAN_POINT('',(33.575,6.2426,0.)); #576351=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #576352=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #576353=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #576354=CARTESIAN_POINT('',(30.65,7.1,-0.052500000000002)); #576355=CARTESIAN_POINT('Origin',(30.8,7.1,-0.052500000000002)); #576356=CARTESIAN_POINT('',(30.65,7.1,0.)); #576357=CARTESIAN_POINT('',(30.65,7.1,-200.)); #576358=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #576359=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #576360=CARTESIAN_POINT('',(34.575,55.,-0.052500000000002)); #576361=CARTESIAN_POINT('Origin',(34.75,55.,-0.052500000000002)); #576362=CARTESIAN_POINT('',(34.575,55.,0.)); #576363=CARTESIAN_POINT('',(34.575,55.,-200.)); #576364=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #576365=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #576366=CARTESIAN_POINT('',(42.075,28.5,-0.052500000000002)); #576367=CARTESIAN_POINT('Origin',(42.25,28.5,-0.052500000000002)); #576368=CARTESIAN_POINT('',(42.075,28.5,0.)); #576369=CARTESIAN_POINT('',(42.075,28.5,-200.)); #576370=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #576371=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #576372=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #576373=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #576374=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #576375=CARTESIAN_POINT('',(30.03,7.07999999062179,-0.052500000000002)); #576376=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #576377=CARTESIAN_POINT('',(30.03,6.27999997186536,-0.052500000000002)); #576378=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,-0.052500000000002)); #576379=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #576380=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #576381=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #576382=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #576383=CARTESIAN_POINT('',(29.33,6.28000000937821,-0.052500000000002)); #576384=CARTESIAN_POINT('Origin',(29.68,6.28,-0.052500000000002)); #576385=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #576386=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #576387=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #576388=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #576389=CARTESIAN_POINT('',(29.33,7.08000002813464,-0.052500000000002)); #576390=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,-0.052500000000002)); #576391=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #576392=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #576393=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #576394=CARTESIAN_POINT('Origin',(29.68,7.08,-0.052500000000002)); #576395=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #576396=CARTESIAN_POINT('',(31.575,17.,-0.052500000000002)); #576397=CARTESIAN_POINT('Origin',(31.75,17.,-0.052500000000002)); #576398=CARTESIAN_POINT('',(31.575,17.,0.)); #576399=CARTESIAN_POINT('',(31.575,17.,-200.)); #576400=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #576401=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #576402=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #576403=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #576404=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #576405=CARTESIAN_POINT('',(38.67,2.89999999062179,-0.052500000000002)); #576406=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #576407=CARTESIAN_POINT('',(38.67,2.09999997186536,-0.052500000000002)); #576408=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,-0.052500000000002)); #576409=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #576410=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #576411=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #576412=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #576413=CARTESIAN_POINT('',(37.97,2.10000000937821,-0.052500000000002)); #576414=CARTESIAN_POINT('Origin',(38.32,2.1,-0.052500000000002)); #576415=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #576416=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #576417=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #576418=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #576419=CARTESIAN_POINT('',(37.97,2.90000002813464,-0.052500000000002)); #576420=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,-0.052500000000002)); #576421=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #576422=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #576423=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #576424=CARTESIAN_POINT('Origin',(38.32,2.9,-0.052500000000002)); #576425=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #576426=CARTESIAN_POINT('',(33.825,23.125,-0.052500000000002)); #576427=CARTESIAN_POINT('Origin',(34.,23.125,-0.052500000000002)); #576428=CARTESIAN_POINT('',(33.825,23.125,0.)); #576429=CARTESIAN_POINT('',(33.825,23.125,-200.)); #576430=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #576431=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #576432=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #576433=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #576434=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #576435=CARTESIAN_POINT('',(38.67,7.07999999062179,-0.052500000000002)); #576436=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #576437=CARTESIAN_POINT('',(38.67,6.27999997186536,-0.052500000000002)); #576438=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,-0.052500000000002)); #576439=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #576440=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #576441=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #576442=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #576443=CARTESIAN_POINT('',(37.97,6.28000000937821,-0.052500000000002)); #576444=CARTESIAN_POINT('Origin',(38.32,6.28,-0.052500000000002)); #576445=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #576446=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #576447=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #576448=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #576449=CARTESIAN_POINT('',(37.97,7.08000002813464,-0.052500000000002)); #576450=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,-0.052500000000002)); #576451=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #576452=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #576453=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #576454=CARTESIAN_POINT('Origin',(38.32,7.08,-0.052500000000002)); #576455=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #576456=CARTESIAN_POINT('',(33.075,17.75,-0.052500000000002)); #576457=CARTESIAN_POINT('Origin',(33.25,17.75,-0.052500000000002)); #576458=CARTESIAN_POINT('',(33.075,17.75,0.)); #576459=CARTESIAN_POINT('',(33.075,17.75,-200.)); #576460=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #576461=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #576462=CARTESIAN_POINT('',(40.575,27.,-0.052500000000002)); #576463=CARTESIAN_POINT('Origin',(40.75,27.,-0.052500000000002)); #576464=CARTESIAN_POINT('',(40.575,27.,0.)); #576465=CARTESIAN_POINT('',(40.575,27.,-200.)); #576466=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #576467=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #576468=CARTESIAN_POINT('',(31.575,17.75,-0.052500000000002)); #576469=CARTESIAN_POINT('Origin',(31.75,17.75,-0.052500000000002)); #576470=CARTESIAN_POINT('',(31.575,17.75,0.)); #576471=CARTESIAN_POINT('',(31.575,17.75,-200.)); #576472=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #576473=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #576474=CARTESIAN_POINT('',(15.075,21.,-0.052500000000002)); #576475=CARTESIAN_POINT('Origin',(15.25,21.,-0.052500000000002)); #576476=CARTESIAN_POINT('',(15.075,21.,0.)); #576477=CARTESIAN_POINT('',(15.075,21.,-200.)); #576478=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #576479=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #576480=CARTESIAN_POINT('',(32.13,45.,-0.052500000000002)); #576481=CARTESIAN_POINT('Origin',(32.73,45.,-0.052500000000002)); #576482=CARTESIAN_POINT('',(32.13,45.,0.)); #576483=CARTESIAN_POINT('',(32.13,45.,-200.)); #576484=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #576485=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #576486=CARTESIAN_POINT('',(44.95,56.75,-0.052500000000002)); #576487=CARTESIAN_POINT('Origin',(45.125,56.75,-0.052500000000002)); #576488=CARTESIAN_POINT('',(44.95,56.75,0.)); #576489=CARTESIAN_POINT('',(44.95,56.75,-200.)); #576490=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #576491=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #576492=CARTESIAN_POINT('',(55.075,21.75,-0.052500000000002)); #576493=CARTESIAN_POINT('Origin',(55.25,21.75,-0.052500000000002)); #576494=CARTESIAN_POINT('',(55.075,21.75,0.)); #576495=CARTESIAN_POINT('',(55.075,21.75,-200.)); #576496=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #576497=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #576498=CARTESIAN_POINT('',(47.575,56.75,-0.052500000000002)); #576499=CARTESIAN_POINT('Origin',(47.75,56.75,-0.052500000000002)); #576500=CARTESIAN_POINT('',(47.575,56.75,0.)); #576501=CARTESIAN_POINT('',(47.575,56.75,-200.)); #576502=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #576503=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #576504=CARTESIAN_POINT('',(35.325,15.5,-0.052500000000002)); #576505=CARTESIAN_POINT('Origin',(35.5,15.5,-0.052500000000002)); #576506=CARTESIAN_POINT('',(35.325,15.5,0.)); #576507=CARTESIAN_POINT('',(35.325,15.5,-200.)); #576508=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #576509=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #576510=CARTESIAN_POINT('',(47.575,55.25,-0.052500000000002)); #576511=CARTESIAN_POINT('Origin',(47.75,55.25,-0.052500000000002)); #576512=CARTESIAN_POINT('',(47.575,55.25,0.)); #576513=CARTESIAN_POINT('',(47.575,55.25,-200.)); #576514=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #576515=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #576516=CARTESIAN_POINT('',(55.075,21.,-0.052500000000002)); #576517=CARTESIAN_POINT('Origin',(55.25,21.,-0.052500000000002)); #576518=CARTESIAN_POINT('',(55.075,21.,0.)); #576519=CARTESIAN_POINT('',(55.075,21.,-200.)); #576520=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #576521=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #576522=CARTESIAN_POINT('',(47.575,56.,-0.052500000000002)); #576523=CARTESIAN_POINT('Origin',(47.75,56.,-0.052500000000002)); #576524=CARTESIAN_POINT('',(47.575,56.,0.)); #576525=CARTESIAN_POINT('',(47.575,56.,-200.)); #576526=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #576527=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #576528=CARTESIAN_POINT('',(30.575,9.5,-0.052500000000002)); #576529=CARTESIAN_POINT('Origin',(30.75,9.5,-0.052500000000002)); #576530=CARTESIAN_POINT('',(30.575,9.5,0.)); #576531=CARTESIAN_POINT('',(30.575,9.5,-200.)); #576532=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #576533=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #576534=CARTESIAN_POINT('',(45.825,56.,-0.052500000000002)); #576535=CARTESIAN_POINT('Origin',(46.,56.,-0.052500000000002)); #576536=CARTESIAN_POINT('',(45.825,56.,0.)); #576537=CARTESIAN_POINT('',(45.825,56.,-200.)); #576538=CARTESIAN_POINT('Origin',(46.,56.,0.)); #576539=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #576540=CARTESIAN_POINT('',(39.825,38.75,-0.052500000000002)); #576541=CARTESIAN_POINT('Origin',(40.,38.75,-0.052500000000002)); #576542=CARTESIAN_POINT('',(39.825,38.75,0.)); #576543=CARTESIAN_POINT('',(39.825,38.75,-200.)); #576544=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #576545=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #576546=CARTESIAN_POINT('',(44.075,56.,-0.052500000000002)); #576547=CARTESIAN_POINT('Origin',(44.25,56.,-0.052500000000002)); #576548=CARTESIAN_POINT('',(44.075,56.,0.)); #576549=CARTESIAN_POINT('',(44.075,56.,-200.)); #576550=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #576551=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #576552=CARTESIAN_POINT('',(34.575,15.5,-0.052500000000002)); #576553=CARTESIAN_POINT('Origin',(34.75,15.5,-0.052500000000002)); #576554=CARTESIAN_POINT('',(34.575,15.5,0.)); #576555=CARTESIAN_POINT('',(34.575,15.5,-200.)); #576556=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #576557=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #576558=CARTESIAN_POINT('',(45.825,56.75,-0.052500000000002)); #576559=CARTESIAN_POINT('Origin',(46.,56.75,-0.052500000000002)); #576560=CARTESIAN_POINT('',(45.825,56.75,0.)); #576561=CARTESIAN_POINT('',(45.825,56.75,-200.)); #576562=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #576563=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #576564=CARTESIAN_POINT('',(39.825,39.5,-0.052500000000002)); #576565=CARTESIAN_POINT('Origin',(40.,39.5,-0.052500000000002)); #576566=CARTESIAN_POINT('',(39.825,39.5,0.)); #576567=CARTESIAN_POINT('',(39.825,39.5,-200.)); #576568=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #576569=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #576570=CARTESIAN_POINT('',(45.825,55.25,-0.052500000000002)); #576571=CARTESIAN_POINT('Origin',(46.,55.25,-0.052500000000002)); #576572=CARTESIAN_POINT('',(45.825,55.25,0.)); #576573=CARTESIAN_POINT('',(45.825,55.25,-200.)); #576574=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #576575=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #576576=CARTESIAN_POINT('',(33.5,25.25,-0.052500000000002)); #576577=CARTESIAN_POINT('Origin',(34.,25.25,-0.052500000000002)); #576578=CARTESIAN_POINT('',(33.5,25.25,0.)); #576579=CARTESIAN_POINT('',(33.5,25.25,-200.)); #576580=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #576581=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #576582=CARTESIAN_POINT('',(51.95,47.75,-0.052500000000002)); #576583=CARTESIAN_POINT('Origin',(52.125,47.75,-0.052500000000002)); #576584=CARTESIAN_POINT('',(51.95,47.75,0.)); #576585=CARTESIAN_POINT('',(51.95,47.75,-200.)); #576586=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #576587=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #576588=CARTESIAN_POINT('',(27.825,38.75,-0.052500000000002)); #576589=CARTESIAN_POINT('Origin',(28.,38.75,-0.052500000000002)); #576590=CARTESIAN_POINT('',(27.825,38.75,0.)); #576591=CARTESIAN_POINT('',(27.825,38.75,-200.)); #576592=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #576593=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #576594=CARTESIAN_POINT('',(51.95,47.,-0.052500000000002)); #576595=CARTESIAN_POINT('Origin',(52.125,47.,-0.052500000000002)); #576596=CARTESIAN_POINT('',(51.95,47.,0.)); #576597=CARTESIAN_POINT('',(51.95,47.,-200.)); #576598=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #576599=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #576600=CARTESIAN_POINT('',(33.075,15.5,-0.052500000000002)); #576601=CARTESIAN_POINT('Origin',(33.25,15.5,-0.052500000000002)); #576602=CARTESIAN_POINT('',(33.075,15.5,0.)); #576603=CARTESIAN_POINT('',(33.075,15.5,-200.)); #576604=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #576605=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #576606=CARTESIAN_POINT('',(51.075,46.25,-0.052500000000002)); #576607=CARTESIAN_POINT('Origin',(51.25,46.25,-0.052500000000002)); #576608=CARTESIAN_POINT('',(51.075,46.25,0.)); #576609=CARTESIAN_POINT('',(51.075,46.25,-200.)); #576610=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #576611=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #576612=CARTESIAN_POINT('',(27.825,38.,-0.052500000000002)); #576613=CARTESIAN_POINT('Origin',(28.,38.,-0.052500000000002)); #576614=CARTESIAN_POINT('',(27.825,38.,0.)); #576615=CARTESIAN_POINT('',(27.825,38.,-200.)); #576616=CARTESIAN_POINT('Origin',(28.,38.,0.)); #576617=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #576618=CARTESIAN_POINT('',(51.95,46.25,-0.052500000000002)); #576619=CARTESIAN_POINT('Origin',(52.125,46.25,-0.052500000000002)); #576620=CARTESIAN_POINT('',(51.95,46.25,0.)); #576621=CARTESIAN_POINT('',(51.95,46.25,-200.)); #576622=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #576623=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #576624=CARTESIAN_POINT('',(30.575,8.75,-0.052500000000002)); #576625=CARTESIAN_POINT('Origin',(30.75,8.75,-0.052500000000002)); #576626=CARTESIAN_POINT('',(30.575,8.75,0.)); #576627=CARTESIAN_POINT('',(30.575,8.75,-200.)); #576628=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #576629=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #576630=CARTESIAN_POINT('',(41.075,31.,-0.052500000000002)); #576631=CARTESIAN_POINT('Origin',(41.25,31.,-0.052500000000002)); #576632=CARTESIAN_POINT('',(41.075,31.,0.)); #576633=CARTESIAN_POINT('',(41.075,31.,-200.)); #576634=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #576635=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #576636=CARTESIAN_POINT('',(27.825,39.5,-0.052500000000002)); #576637=CARTESIAN_POINT('Origin',(28.,39.5,-0.052500000000002)); #576638=CARTESIAN_POINT('',(27.825,39.5,0.)); #576639=CARTESIAN_POINT('',(27.825,39.5,-200.)); #576640=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #576641=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #576642=CARTESIAN_POINT('',(41.075,36.,-0.052500000000002)); #576643=CARTESIAN_POINT('Origin',(41.25,36.,-0.052500000000002)); #576644=CARTESIAN_POINT('',(41.075,36.,0.)); #576645=CARTESIAN_POINT('',(41.075,36.,-200.)); #576646=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #576647=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #576648=CARTESIAN_POINT('',(33.825,15.5,-0.052500000000002)); #576649=CARTESIAN_POINT('Origin',(34.,15.5,-0.052500000000002)); #576650=CARTESIAN_POINT('',(33.825,15.5,0.)); #576651=CARTESIAN_POINT('',(33.825,15.5,-200.)); #576652=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #576653=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #576654=CARTESIAN_POINT('',(44.075,56.75,-0.052500000000002)); #576655=CARTESIAN_POINT('Origin',(44.25,56.75,-0.052500000000002)); #576656=CARTESIAN_POINT('',(44.075,56.75,0.)); #576657=CARTESIAN_POINT('',(44.075,56.75,-200.)); #576658=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #576659=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #576660=CARTESIAN_POINT('',(39.825,38.,-0.052500000000002)); #576661=CARTESIAN_POINT('Origin',(40.,38.,-0.052500000000002)); #576662=CARTESIAN_POINT('',(39.825,38.,0.)); #576663=CARTESIAN_POINT('',(39.825,38.,-200.)); #576664=CARTESIAN_POINT('Origin',(40.,38.,0.)); #576665=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #576666=CARTESIAN_POINT('',(44.075,55.25,-0.052500000000002)); #576667=CARTESIAN_POINT('Origin',(44.25,55.25,-0.052500000000002)); #576668=CARTESIAN_POINT('',(44.075,55.25,0.)); #576669=CARTESIAN_POINT('',(44.075,55.25,-200.)); #576670=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #576671=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #576672=CARTESIAN_POINT('',(43.2,34.,-0.052500000000002)); #576673=CARTESIAN_POINT('Origin',(43.75,34.,-0.052500000000002)); #576674=CARTESIAN_POINT('',(43.2,34.,0.)); #576675=CARTESIAN_POINT('',(43.2,34.,-200.)); #576676=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #576677=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #576678=CARTESIAN_POINT('',(48.45,47.75,-0.052500000000002)); #576679=CARTESIAN_POINT('Origin',(48.625,47.75,-0.052500000000002)); #576680=CARTESIAN_POINT('',(48.45,47.75,0.)); #576681=CARTESIAN_POINT('',(48.45,47.75,-200.)); #576682=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #576683=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #576684=CARTESIAN_POINT('',(40.825,24.5,-0.052500000000002)); #576685=CARTESIAN_POINT('Origin',(41.,24.5,-0.052500000000002)); #576686=CARTESIAN_POINT('',(40.825,24.5,0.)); #576687=CARTESIAN_POINT('',(40.825,24.5,-200.)); #576688=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #576689=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #576690=CARTESIAN_POINT('',(48.45,47.,-0.052500000000002)); #576691=CARTESIAN_POINT('Origin',(48.625,47.,-0.052500000000002)); #576692=CARTESIAN_POINT('',(48.45,47.,0.)); #576693=CARTESIAN_POINT('',(48.45,47.,-200.)); #576694=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #576695=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #576696=CARTESIAN_POINT('',(32.325,17.,-0.052500000000002)); #576697=CARTESIAN_POINT('Origin',(32.5,17.,-0.052500000000002)); #576698=CARTESIAN_POINT('',(32.325,17.,0.)); #576699=CARTESIAN_POINT('',(32.325,17.,-200.)); #576700=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #576701=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #576702=CARTESIAN_POINT('',(46.7,47.75,-0.052500000000002)); #576703=CARTESIAN_POINT('Origin',(46.875,47.75,-0.052500000000002)); #576704=CARTESIAN_POINT('',(46.7,47.75,0.)); #576705=CARTESIAN_POINT('',(46.7,47.75,-200.)); #576706=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #576707=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #576708=CARTESIAN_POINT('',(40.825,26.,-0.052500000000002)); #576709=CARTESIAN_POINT('Origin',(41.,26.,-0.052500000000002)); #576710=CARTESIAN_POINT('',(40.825,26.,0.)); #576711=CARTESIAN_POINT('',(40.825,26.,-200.)); #576712=CARTESIAN_POINT('Origin',(41.,26.,0.)); #576713=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #576714=CARTESIAN_POINT('',(48.45,46.25,-0.052500000000002)); #576715=CARTESIAN_POINT('Origin',(48.625,46.25,-0.052500000000002)); #576716=CARTESIAN_POINT('',(48.45,46.25,0.)); #576717=CARTESIAN_POINT('',(48.45,46.25,-200.)); #576718=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #576719=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #576720=CARTESIAN_POINT('',(34.575,5.5,-0.052500000000002)); #576721=CARTESIAN_POINT('Origin',(34.75,5.5,-0.052500000000002)); #576722=CARTESIAN_POINT('',(34.575,5.5,0.)); #576723=CARTESIAN_POINT('',(34.575,5.5,-200.)); #576724=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #576725=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #576726=CARTESIAN_POINT('',(44.95,46.25,-0.052500000000002)); #576727=CARTESIAN_POINT('Origin',(45.125,46.25,-0.052500000000002)); #576728=CARTESIAN_POINT('',(44.95,46.25,0.)); #576729=CARTESIAN_POINT('',(44.95,46.25,-200.)); #576730=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #576731=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #576732=CARTESIAN_POINT('',(41.575,25.25,-0.052500000000002)); #576733=CARTESIAN_POINT('Origin',(41.75,25.25,-0.052500000000002)); #576734=CARTESIAN_POINT('',(41.575,25.25,0.)); #576735=CARTESIAN_POINT('',(41.575,25.25,-200.)); #576736=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #576737=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #576738=CARTESIAN_POINT('',(44.95,47.,-0.052500000000002)); #576739=CARTESIAN_POINT('Origin',(45.125,47.,-0.052500000000002)); #576740=CARTESIAN_POINT('',(44.95,47.,0.)); #576741=CARTESIAN_POINT('',(44.95,47.,-200.)); #576742=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #576743=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #576744=CARTESIAN_POINT('',(32.325,17.75,-0.052500000000002)); #576745=CARTESIAN_POINT('Origin',(32.5,17.75,-0.052500000000002)); #576746=CARTESIAN_POINT('',(32.325,17.75,0.)); #576747=CARTESIAN_POINT('',(32.325,17.75,-200.)); #576748=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #576749=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #576750=CARTESIAN_POINT('',(46.7,46.25,-0.052500000000002)); #576751=CARTESIAN_POINT('Origin',(46.875,46.25,-0.052500000000002)); #576752=CARTESIAN_POINT('',(46.7,46.25,0.)); #576753=CARTESIAN_POINT('',(46.7,46.25,-200.)); #576754=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #576755=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #576756=CARTESIAN_POINT('',(41.575,26.,-0.052500000000002)); #576757=CARTESIAN_POINT('Origin',(41.75,26.,-0.052500000000002)); #576758=CARTESIAN_POINT('',(41.575,26.,0.)); #576759=CARTESIAN_POINT('',(41.575,26.,-200.)); #576760=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #576761=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #576762=CARTESIAN_POINT('',(46.7,47.,-0.052500000000002)); #576763=CARTESIAN_POINT('Origin',(46.875,47.,-0.052500000000002)); #576764=CARTESIAN_POINT('',(46.7,47.,0.)); #576765=CARTESIAN_POINT('',(46.7,47.,-200.)); #576766=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #576767=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #576768=CARTESIAN_POINT('',(36.,25.25,-0.052500000000002)); #576769=CARTESIAN_POINT('Origin',(36.5,25.25,-0.052500000000002)); #576770=CARTESIAN_POINT('',(36.,25.25,0.)); #576771=CARTESIAN_POINT('',(36.,25.25,-200.)); #576772=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #576773=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #576774=CARTESIAN_POINT('',(50.2,46.25,-0.052500000000002)); #576775=CARTESIAN_POINT('Origin',(50.375,46.25,-0.052500000000002)); #576776=CARTESIAN_POINT('',(50.2,46.25,0.)); #576777=CARTESIAN_POINT('',(50.2,46.25,-200.)); #576778=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #576779=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #576780=CARTESIAN_POINT('',(42.325,24.5,-0.052500000000002)); #576781=CARTESIAN_POINT('Origin',(42.5,24.5,-0.052500000000002)); #576782=CARTESIAN_POINT('',(42.325,24.5,0.)); #576783=CARTESIAN_POINT('',(42.325,24.5,-200.)); #576784=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #576785=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #576786=CARTESIAN_POINT('',(49.325,46.25,-0.052500000000002)); #576787=CARTESIAN_POINT('Origin',(49.5,46.25,-0.052500000000002)); #576788=CARTESIAN_POINT('',(49.325,46.25,0.)); #576789=CARTESIAN_POINT('',(49.325,46.25,-200.)); #576790=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #576791=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #576792=CARTESIAN_POINT('',(32.325,16.25,-0.052500000000002)); #576793=CARTESIAN_POINT('Origin',(32.5,16.25,-0.052500000000002)); #576794=CARTESIAN_POINT('',(32.325,16.25,0.)); #576795=CARTESIAN_POINT('',(32.325,16.25,-200.)); #576796=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #576797=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #576798=CARTESIAN_POINT('',(49.325,47.75,-0.052500000000002)); #576799=CARTESIAN_POINT('Origin',(49.5,47.75,-0.052500000000002)); #576800=CARTESIAN_POINT('',(49.325,47.75,0.)); #576801=CARTESIAN_POINT('',(49.325,47.75,-200.)); #576802=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #576803=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #576804=CARTESIAN_POINT('',(41.575,24.5,-0.052500000000002)); #576805=CARTESIAN_POINT('Origin',(41.75,24.5,-0.052500000000002)); #576806=CARTESIAN_POINT('',(41.575,24.5,0.)); #576807=CARTESIAN_POINT('',(41.575,24.5,-200.)); #576808=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #576809=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #576810=CARTESIAN_POINT('',(49.325,47.,-0.052500000000002)); #576811=CARTESIAN_POINT('Origin',(49.5,47.,-0.052500000000002)); #576812=CARTESIAN_POINT('',(49.325,47.,0.)); #576813=CARTESIAN_POINT('',(49.325,47.,-200.)); #576814=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #576815=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #576816=CARTESIAN_POINT('',(31.325,8.75,-0.052500000000002)); #576817=CARTESIAN_POINT('Origin',(31.5,8.75,-0.052500000000002)); #576818=CARTESIAN_POINT('',(31.325,8.75,0.)); #576819=CARTESIAN_POINT('',(31.325,8.75,-200.)); #576820=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #576821=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #576822=CARTESIAN_POINT('',(50.2,47.75,-0.052500000000002)); #576823=CARTESIAN_POINT('Origin',(50.375,47.75,-0.052500000000002)); #576824=CARTESIAN_POINT('',(50.2,47.75,0.)); #576825=CARTESIAN_POINT('',(50.2,47.75,-200.)); #576826=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #576827=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #576828=CARTESIAN_POINT('',(42.325,25.25,-0.052500000000002)); #576829=CARTESIAN_POINT('Origin',(42.5,25.25,-0.052500000000002)); #576830=CARTESIAN_POINT('',(42.325,25.25,0.)); #576831=CARTESIAN_POINT('',(42.325,25.25,-200.)); #576832=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #576833=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #576834=CARTESIAN_POINT('',(50.2,47.,-0.052500000000002)); #576835=CARTESIAN_POINT('Origin',(50.375,47.,-0.052500000000002)); #576836=CARTESIAN_POINT('',(50.2,47.,0.)); #576837=CARTESIAN_POINT('',(50.2,47.,-200.)); #576838=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #576839=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #576840=CARTESIAN_POINT('',(32.325,15.5,-0.052500000000002)); #576841=CARTESIAN_POINT('Origin',(32.5,15.5,-0.052500000000002)); #576842=CARTESIAN_POINT('',(32.325,15.5,0.)); #576843=CARTESIAN_POINT('',(32.325,15.5,-200.)); #576844=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #576845=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #576846=CARTESIAN_POINT('',(51.075,47.75,-0.052500000000002)); #576847=CARTESIAN_POINT('Origin',(51.25,47.75,-0.052500000000002)); #576848=CARTESIAN_POINT('',(51.075,47.75,0.)); #576849=CARTESIAN_POINT('',(51.075,47.75,-200.)); #576850=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #576851=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #576852=CARTESIAN_POINT('',(42.325,26.,-0.052500000000002)); #576853=CARTESIAN_POINT('Origin',(42.5,26.,-0.052500000000002)); #576854=CARTESIAN_POINT('',(42.325,26.,0.)); #576855=CARTESIAN_POINT('',(42.325,26.,-200.)); #576856=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #576857=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #576858=CARTESIAN_POINT('',(51.075,47.,-0.052500000000002)); #576859=CARTESIAN_POINT('Origin',(51.25,47.,-0.052500000000002)); #576860=CARTESIAN_POINT('',(51.075,47.,0.)); #576861=CARTESIAN_POINT('',(51.075,47.,-200.)); #576862=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #576863=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #576864=CARTESIAN_POINT('',(37.21,45.,-0.052500000000002)); #576865=CARTESIAN_POINT('Origin',(37.81,45.,-0.052500000000002)); #576866=CARTESIAN_POINT('',(37.21,45.,0.)); #576867=CARTESIAN_POINT('',(37.21,45.,-200.)); #576868=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #576869=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #576870=CARTESIAN_POINT('',(23.575,56.,-0.052500000000002)); #576871=CARTESIAN_POINT('Origin',(23.75,56.,-0.052500000000002)); #576872=CARTESIAN_POINT('',(23.575,56.,0.)); #576873=CARTESIAN_POINT('',(23.575,56.,-200.)); #576874=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #576875=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #576876=CARTESIAN_POINT('',(12.575,21.,-0.052500000000002)); #576877=CARTESIAN_POINT('Origin',(12.75,21.,-0.052500000000002)); #576878=CARTESIAN_POINT('',(12.575,21.,0.)); #576879=CARTESIAN_POINT('',(12.575,21.,-200.)); #576880=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #576881=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #576882=CARTESIAN_POINT('',(23.575,55.25,-0.052500000000002)); #576883=CARTESIAN_POINT('Origin',(23.75,55.25,-0.052500000000002)); #576884=CARTESIAN_POINT('',(23.575,55.25,0.)); #576885=CARTESIAN_POINT('',(23.575,55.25,-200.)); #576886=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #576887=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #576888=CARTESIAN_POINT('',(33.075,19.25,-0.052500000000002)); #576889=CARTESIAN_POINT('Origin',(33.25,19.25,-0.052500000000002)); #576890=CARTESIAN_POINT('',(33.075,19.25,0.)); #576891=CARTESIAN_POINT('',(33.075,19.25,-200.)); #576892=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #576893=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #576894=CARTESIAN_POINT('',(21.825,56.75,-0.052500000000002)); #576895=CARTESIAN_POINT('Origin',(22.,56.75,-0.052500000000002)); #576896=CARTESIAN_POINT('',(21.825,56.75,0.)); #576897=CARTESIAN_POINT('',(21.825,56.75,-200.)); #576898=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #576899=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #576900=CARTESIAN_POINT('',(55.825,20.25,-0.052500000000002)); #576901=CARTESIAN_POINT('Origin',(56.,20.25,-0.052500000000002)); #576902=CARTESIAN_POINT('',(55.825,20.25,0.)); #576903=CARTESIAN_POINT('',(55.825,20.25,-200.)); #576904=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #576905=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #576906=CARTESIAN_POINT('',(21.825,55.25,-0.052500000000002)); #576907=CARTESIAN_POINT('Origin',(22.,55.25,-0.052500000000002)); #576908=CARTESIAN_POINT('',(21.825,55.25,0.)); #576909=CARTESIAN_POINT('',(21.825,55.25,-200.)); #576910=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #576911=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #576912=CARTESIAN_POINT('',(36.325,8.75,-0.052500000000002)); #576913=CARTESIAN_POINT('Origin',(36.5,8.75,-0.052500000000002)); #576914=CARTESIAN_POINT('',(36.325,8.75,0.)); #576915=CARTESIAN_POINT('',(36.325,8.75,-200.)); #576916=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #576917=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #576918=CARTESIAN_POINT('',(20.075,55.25,-0.052500000000002)); #576919=CARTESIAN_POINT('Origin',(20.25,55.25,-0.052500000000002)); #576920=CARTESIAN_POINT('',(20.075,55.25,0.)); #576921=CARTESIAN_POINT('',(20.075,55.25,-200.)); #576922=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #576923=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #576924=CARTESIAN_POINT('',(55.825,21.75,-0.052500000000002)); #576925=CARTESIAN_POINT('Origin',(56.,21.75,-0.052500000000002)); #576926=CARTESIAN_POINT('',(55.825,21.75,0.)); #576927=CARTESIAN_POINT('',(55.825,21.75,-200.)); #576928=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #576929=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #576930=CARTESIAN_POINT('',(20.075,56.75,-0.052500000000002)); #576931=CARTESIAN_POINT('Origin',(20.25,56.75,-0.052500000000002)); #576932=CARTESIAN_POINT('',(20.075,56.75,0.)); #576933=CARTESIAN_POINT('',(20.075,56.75,-200.)); #576934=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #576935=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #576936=CARTESIAN_POINT('',(32.325,19.25,-0.052500000000002)); #576937=CARTESIAN_POINT('Origin',(32.5,19.25,-0.052500000000002)); #576938=CARTESIAN_POINT('',(32.325,19.25,0.)); #576939=CARTESIAN_POINT('',(32.325,19.25,-200.)); #576940=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #576941=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #576942=CARTESIAN_POINT('',(20.075,56.,-0.052500000000002)); #576943=CARTESIAN_POINT('Origin',(20.25,56.,-0.052500000000002)); #576944=CARTESIAN_POINT('',(20.075,56.,0.)); #576945=CARTESIAN_POINT('',(20.075,56.,-200.)); #576946=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #576947=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #576948=CARTESIAN_POINT('',(55.825,21.,-0.052500000000002)); #576949=CARTESIAN_POINT('Origin',(56.,21.,-0.052500000000002)); #576950=CARTESIAN_POINT('',(55.825,21.,0.)); #576951=CARTESIAN_POINT('',(55.825,21.,-200.)); #576952=CARTESIAN_POINT('Origin',(56.,21.,0.)); #576953=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #576954=CARTESIAN_POINT('',(21.825,56.,-0.052500000000002)); #576955=CARTESIAN_POINT('Origin',(22.,56.,-0.052500000000002)); #576956=CARTESIAN_POINT('',(21.825,56.,0.)); #576957=CARTESIAN_POINT('',(21.825,56.,-200.)); #576958=CARTESIAN_POINT('Origin',(22.,56.,0.)); #576959=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #576960=CARTESIAN_POINT('',(31.,25.25,-0.052500000000002)); #576961=CARTESIAN_POINT('Origin',(31.5,25.25,-0.052500000000002)); #576962=CARTESIAN_POINT('',(31.,25.25,0.)); #576963=CARTESIAN_POINT('',(31.,25.25,-200.)); #576964=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #576965=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #576966=CARTESIAN_POINT('',(46.7,56.,-0.052500000000002)); #576967=CARTESIAN_POINT('Origin',(46.875,56.,-0.052500000000002)); #576968=CARTESIAN_POINT('',(46.7,56.,0.)); #576969=CARTESIAN_POINT('',(46.7,56.,-200.)); #576970=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #576971=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #576972=CARTESIAN_POINT('',(54.325,20.25,-0.052500000000002)); #576973=CARTESIAN_POINT('Origin',(54.5,20.25,-0.052500000000002)); #576974=CARTESIAN_POINT('',(54.325,20.25,0.)); #576975=CARTESIAN_POINT('',(54.325,20.25,-200.)); #576976=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #576977=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #576978=CARTESIAN_POINT('',(46.7,55.25,-0.052500000000002)); #576979=CARTESIAN_POINT('Origin',(46.875,55.25,-0.052500000000002)); #576980=CARTESIAN_POINT('',(46.7,55.25,0.)); #576981=CARTESIAN_POINT('',(46.7,55.25,-200.)); #576982=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #576983=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #576984=CARTESIAN_POINT('',(35.325,16.25,-0.052500000000002)); #576985=CARTESIAN_POINT('Origin',(35.5,16.25,-0.052500000000002)); #576986=CARTESIAN_POINT('',(35.325,16.25,0.)); #576987=CARTESIAN_POINT('',(35.325,16.25,-200.)); #576988=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #576989=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #576990=CARTESIAN_POINT('',(44.95,56.,-0.052500000000002)); #576991=CARTESIAN_POINT('Origin',(45.125,56.,-0.052500000000002)); #576992=CARTESIAN_POINT('',(44.95,56.,0.)); #576993=CARTESIAN_POINT('',(44.95,56.,-200.)); #576994=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #576995=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #576996=CARTESIAN_POINT('',(55.075,20.25,-0.052500000000002)); #576997=CARTESIAN_POINT('Origin',(55.25,20.25,-0.052500000000002)); #576998=CARTESIAN_POINT('',(55.075,20.25,0.)); #576999=CARTESIAN_POINT('',(55.075,20.25,-200.)); #577000=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #577001=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #577002=CARTESIAN_POINT('',(44.95,55.25,-0.052500000000002)); #577003=CARTESIAN_POINT('Origin',(45.125,55.25,-0.052500000000002)); #577004=CARTESIAN_POINT('',(44.95,55.25,0.)); #577005=CARTESIAN_POINT('',(44.95,55.25,-200.)); #577006=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #577007=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #577008=CARTESIAN_POINT('',(31.325,9.5,-0.052500000000002)); #577009=CARTESIAN_POINT('Origin',(31.5,9.5,-0.052500000000002)); #577010=CARTESIAN_POINT('',(31.325,9.5,0.)); #577011=CARTESIAN_POINT('',(31.325,9.5,-200.)); #577012=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #577013=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #577014=CARTESIAN_POINT('',(38.337,53.,-0.052500000000002)); #577015=CARTESIAN_POINT('Origin',(38.512,53.,-0.052500000000002)); #577016=CARTESIAN_POINT('',(38.337,53.,0.)); #577017=CARTESIAN_POINT('',(38.337,53.,-200.)); #577018=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #577019=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #577020=CARTESIAN_POINT('',(54.325,21.,-0.052500000000002)); #577021=CARTESIAN_POINT('Origin',(54.5,21.,-0.052500000000002)); #577022=CARTESIAN_POINT('',(54.325,21.,0.)); #577023=CARTESIAN_POINT('',(54.325,21.,-200.)); #577024=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #577025=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #577026=CARTESIAN_POINT('',(46.7,56.75,-0.052500000000002)); #577027=CARTESIAN_POINT('Origin',(46.875,56.75,-0.052500000000002)); #577028=CARTESIAN_POINT('',(46.7,56.75,0.)); #577029=CARTESIAN_POINT('',(46.7,56.75,-200.)); #577030=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #577031=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #577032=CARTESIAN_POINT('',(35.325,17.,-0.052500000000002)); #577033=CARTESIAN_POINT('Origin',(35.5,17.,-0.052500000000002)); #577034=CARTESIAN_POINT('',(35.325,17.,0.)); #577035=CARTESIAN_POINT('',(35.325,17.,-200.)); #577036=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #577037=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #577038=CARTESIAN_POINT('',(38.575,36.75,-0.052500000000002)); #577039=CARTESIAN_POINT('Origin',(38.75,36.75,-0.052500000000002)); #577040=CARTESIAN_POINT('',(38.575,36.75,0.)); #577041=CARTESIAN_POINT('',(38.575,36.75,-200.)); #577042=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #577043=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #577044=CARTESIAN_POINT('',(54.325,21.75,-0.052500000000002)); #577045=CARTESIAN_POINT('Origin',(54.5,21.75,-0.052500000000002)); #577046=CARTESIAN_POINT('',(54.325,21.75,0.)); #577047=CARTESIAN_POINT('',(54.325,21.75,-200.)); #577048=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #577049=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #577050=CARTESIAN_POINT('',(37.0125,36.75,-0.052500000000002)); #577051=CARTESIAN_POINT('Origin',(37.1875,36.75,-0.052500000000002)); #577052=CARTESIAN_POINT('',(37.0125,36.75,0.)); #577053=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #577054=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #577055=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #577056=CARTESIAN_POINT('',(45.7,34.,-0.052500000000002)); #577057=CARTESIAN_POINT('Origin',(46.25,34.,-0.052500000000002)); #577058=CARTESIAN_POINT('',(45.7,34.,0.)); #577059=CARTESIAN_POINT('',(45.7,34.,-200.)); #577060=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #577061=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #577062=CARTESIAN_POINT('',(29.313,53.,-0.052500000000002)); #577063=CARTESIAN_POINT('Origin',(29.488,53.,-0.052500000000002)); #577064=CARTESIAN_POINT('',(29.313,53.,0.)); #577065=CARTESIAN_POINT('',(29.313,53.,-200.)); #577066=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #577067=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #577068=CARTESIAN_POINT('',(11.825,21.,-0.052500000000002)); #577069=CARTESIAN_POINT('Origin',(12.,21.,-0.052500000000002)); #577070=CARTESIAN_POINT('',(11.825,21.,0.)); #577071=CARTESIAN_POINT('',(11.825,21.,-200.)); #577072=CARTESIAN_POINT('Origin',(12.,21.,0.)); #577073=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #577074=CARTESIAN_POINT('',(20.95,56.75,-0.052500000000002)); #577075=CARTESIAN_POINT('Origin',(21.125,56.75,-0.052500000000002)); #577076=CARTESIAN_POINT('',(20.95,56.75,0.)); #577077=CARTESIAN_POINT('',(20.95,56.75,-200.)); #577078=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #577079=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #577080=CARTESIAN_POINT('',(34.575,19.25,-0.052500000000002)); #577081=CARTESIAN_POINT('Origin',(34.75,19.25,-0.052500000000002)); #577082=CARTESIAN_POINT('',(34.575,19.25,0.)); #577083=CARTESIAN_POINT('',(34.575,19.25,-200.)); #577084=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #577085=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #577086=CARTESIAN_POINT('',(20.95,55.25,-0.052500000000002)); #577087=CARTESIAN_POINT('Origin',(21.125,55.25,-0.052500000000002)); #577088=CARTESIAN_POINT('',(20.95,55.25,0.)); #577089=CARTESIAN_POINT('',(20.95,55.25,-200.)); #577090=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #577091=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #577092=CARTESIAN_POINT('',(11.825,20.25,-0.052500000000002)); #577093=CARTESIAN_POINT('Origin',(12.,20.25,-0.052500000000002)); #577094=CARTESIAN_POINT('',(11.825,20.25,0.)); #577095=CARTESIAN_POINT('',(11.825,20.25,-200.)); #577096=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #577097=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #577098=CARTESIAN_POINT('',(20.95,56.,-0.052500000000002)); #577099=CARTESIAN_POINT('Origin',(21.125,56.,-0.052500000000002)); #577100=CARTESIAN_POINT('',(20.95,56.,0.)); #577101=CARTESIAN_POINT('',(20.95,56.,-200.)); #577102=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #577103=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #577104=CARTESIAN_POINT('',(36.325,9.5,-0.052500000000002)); #577105=CARTESIAN_POINT('Origin',(36.5,9.5,-0.052500000000002)); #577106=CARTESIAN_POINT('',(36.325,9.5,0.)); #577107=CARTESIAN_POINT('',(36.325,9.5,-200.)); #577108=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #577109=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #577110=CARTESIAN_POINT('',(22.7,56.75,-0.052500000000002)); #577111=CARTESIAN_POINT('Origin',(22.875,56.75,-0.052500000000002)); #577112=CARTESIAN_POINT('',(22.7,56.75,0.)); #577113=CARTESIAN_POINT('',(22.7,56.75,-200.)); #577114=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #577115=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #577116=CARTESIAN_POINT('',(12.575,21.75,-0.052500000000002)); #577117=CARTESIAN_POINT('Origin',(12.75,21.75,-0.052500000000002)); #577118=CARTESIAN_POINT('',(12.575,21.75,0.)); #577119=CARTESIAN_POINT('',(12.575,21.75,-200.)); #577120=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #577121=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #577122=CARTESIAN_POINT('',(23.575,56.75,-0.052500000000002)); #577123=CARTESIAN_POINT('Origin',(23.75,56.75,-0.052500000000002)); #577124=CARTESIAN_POINT('',(23.575,56.75,0.)); #577125=CARTESIAN_POINT('',(23.575,56.75,-200.)); #577126=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #577127=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #577128=CARTESIAN_POINT('',(33.825,19.25,-0.052500000000002)); #577129=CARTESIAN_POINT('Origin',(34.,19.25,-0.052500000000002)); #577130=CARTESIAN_POINT('',(33.825,19.25,0.)); #577131=CARTESIAN_POINT('',(33.825,19.25,-200.)); #577132=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #577133=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #577134=CARTESIAN_POINT('',(22.7,56.,-0.052500000000002)); #577135=CARTESIAN_POINT('Origin',(22.875,56.,-0.052500000000002)); #577136=CARTESIAN_POINT('',(22.7,56.,0.)); #577137=CARTESIAN_POINT('',(22.7,56.,-200.)); #577138=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #577139=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #577140=CARTESIAN_POINT('',(12.575,20.25,-0.052500000000002)); #577141=CARTESIAN_POINT('Origin',(12.75,20.25,-0.052500000000002)); #577142=CARTESIAN_POINT('',(12.575,20.25,0.)); #577143=CARTESIAN_POINT('',(12.575,20.25,-200.)); #577144=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #577145=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #577146=CARTESIAN_POINT('',(22.7,55.25,-0.052500000000002)); #577147=CARTESIAN_POINT('Origin',(22.875,55.25,-0.052500000000002)); #577148=CARTESIAN_POINT('',(22.7,55.25,0.)); #577149=CARTESIAN_POINT('',(22.7,55.25,-200.)); #577150=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #577151=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #577152=CARTESIAN_POINT('',(36.,27.75,-0.052500000000002)); #577153=CARTESIAN_POINT('Origin',(36.5,27.75,-0.052500000000002)); #577154=CARTESIAN_POINT('',(36.,27.75,0.)); #577155=CARTESIAN_POINT('',(36.,27.75,-200.)); #577156=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #577157=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #577158=CARTESIAN_POINT('',(23.73,49.75,-0.052500000000002)); #577159=CARTESIAN_POINT('Origin',(23.905,49.75,-0.052500000000002)); #577160=CARTESIAN_POINT('',(23.73,49.75,0.)); #577161=CARTESIAN_POINT('',(23.73,49.75,-200.)); #577162=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #577163=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #577164=CARTESIAN_POINT('',(13.325,21.75,-0.052500000000002)); #577165=CARTESIAN_POINT('Origin',(13.5,21.75,-0.052500000000002)); #577166=CARTESIAN_POINT('',(13.325,21.75,0.)); #577167=CARTESIAN_POINT('',(13.325,21.75,-200.)); #577168=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #577169=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #577170=CARTESIAN_POINT('',(47.73,58.75,-0.052500000000002)); #577171=CARTESIAN_POINT('Origin',(47.905,58.75,-0.052500000000002)); #577172=CARTESIAN_POINT('',(47.73,58.75,0.)); #577173=CARTESIAN_POINT('',(47.73,58.75,-200.)); #577174=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #577175=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #577176=CARTESIAN_POINT('',(35.325,19.25,-0.052500000000002)); #577177=CARTESIAN_POINT('Origin',(35.5,19.25,-0.052500000000002)); #577178=CARTESIAN_POINT('',(35.325,19.25,0.)); #577179=CARTESIAN_POINT('',(35.325,19.25,-200.)); #577180=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #577181=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #577182=CARTESIAN_POINT('',(29.075,36.75,-0.052500000000002)); #577183=CARTESIAN_POINT('Origin',(29.25,36.75,-0.052500000000002)); #577184=CARTESIAN_POINT('',(29.075,36.75,0.)); #577185=CARTESIAN_POINT('',(29.075,36.75,-200.)); #577186=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #577187=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #577188=CARTESIAN_POINT('',(11.825,21.75,-0.052500000000002)); #577189=CARTESIAN_POINT('Origin',(12.,21.75,-0.052500000000002)); #577190=CARTESIAN_POINT('',(11.825,21.75,0.)); #577191=CARTESIAN_POINT('',(11.825,21.75,-200.)); #577192=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #577193=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #577194=CARTESIAN_POINT('',(30.6375,36.75,-0.052500000000002)); #577195=CARTESIAN_POINT('Origin',(30.8125,36.75,-0.052500000000002)); #577196=CARTESIAN_POINT('',(30.6375,36.75,0.)); #577197=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #577198=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #577199=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #577200=CARTESIAN_POINT('',(37.075,9.5,-0.052500000000002)); #577201=CARTESIAN_POINT('Origin',(37.25,9.5,-0.052500000000002)); #577202=CARTESIAN_POINT('',(37.075,9.5,0.)); #577203=CARTESIAN_POINT('',(37.075,9.5,-200.)); #577204=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #577205=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #577206=CARTESIAN_POINT('',(47.73,49.75,-0.052500000000002)); #577207=CARTESIAN_POINT('Origin',(47.905,49.75,-0.052500000000002)); #577208=CARTESIAN_POINT('',(47.73,49.75,0.)); #577209=CARTESIAN_POINT('',(47.73,49.75,-200.)); #577210=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #577211=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #577212=CARTESIAN_POINT('',(13.325,21.,-0.052500000000002)); #577213=CARTESIAN_POINT('Origin',(13.5,21.,-0.052500000000002)); #577214=CARTESIAN_POINT('',(13.325,21.,0.)); #577215=CARTESIAN_POINT('',(13.325,21.,-200.)); #577216=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #577217=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #577218=CARTESIAN_POINT('',(23.73,58.75,-0.052500000000002)); #577219=CARTESIAN_POINT('Origin',(23.905,58.75,-0.052500000000002)); #577220=CARTESIAN_POINT('',(23.73,58.75,0.)); #577221=CARTESIAN_POINT('',(23.73,58.75,-200.)); #577222=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #577223=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #577224=CARTESIAN_POINT('',(36.075,19.25,-0.052500000000002)); #577225=CARTESIAN_POINT('Origin',(36.25,19.25,-0.052500000000002)); #577226=CARTESIAN_POINT('',(36.075,19.25,0.)); #577227=CARTESIAN_POINT('',(36.075,19.25,-200.)); #577228=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #577229=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #577230=CARTESIAN_POINT('',(18.325,47.,-0.052500000000002)); #577231=CARTESIAN_POINT('Origin',(18.5,47.,-0.052500000000002)); #577232=CARTESIAN_POINT('',(18.325,47.,0.)); #577233=CARTESIAN_POINT('',(18.325,47.,-200.)); #577234=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #577235=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #577236=CARTESIAN_POINT('',(13.325,20.25,-0.052500000000002)); #577237=CARTESIAN_POINT('Origin',(13.5,20.25,-0.052500000000002)); #577238=CARTESIAN_POINT('',(13.325,20.25,0.)); #577239=CARTESIAN_POINT('',(13.325,20.25,-200.)); #577240=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #577241=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #577242=CARTESIAN_POINT('',(18.325,46.25,-0.052500000000002)); #577243=CARTESIAN_POINT('Origin',(18.5,46.25,-0.052500000000002)); #577244=CARTESIAN_POINT('',(18.325,46.25,0.)); #577245=CARTESIAN_POINT('',(18.325,46.25,-200.)); #577246=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #577247=CARTESIAN_POINT('Origin',(34.,34.,0.)); #577248=CARTESIAN_POINT('',(0.,34.,-0.0525)); #577249=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #577250=CARTESIAN_POINT('',(0.,34.,0.)); #577251=CARTESIAN_POINT('',(0.,34.,0.)); #577252=CARTESIAN_POINT('Origin',(34.,34.,0.)); #577253=CARTESIAN_POINT('Origin',(34.,34.,0.)); #577254=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #577255=CARTESIAN_POINT('',(0.,0.,0.)); #577256=CARTESIAN_POINT('',(0.,0.,0.)); #577257=CARTESIAN_POINT('',(0.,0.,0.)); #577258=CARTESIAN_POINT('Origin',(3.559998152217,-0.350012700025019,11.350043525364)); #577259=CARTESIAN_POINT('',(3.809998152217,2.54998589352503,11.100043525364)); #577260=CARTESIAN_POINT('',(3.30999815221698,2.54998589352493,11.600043525364)); #577261=CARTESIAN_POINT('',(4.23749782364124,2.5499858935251,10.6725438539397)); #577262=CARTESIAN_POINT('',(3.30999815221699,1.64998633000993,11.600043525364)); #577263=CARTESIAN_POINT('',(3.309998152217,-0.35001270002502,11.600043525364)); #577264=CARTESIAN_POINT('',(3.809998152217,1.64998633001003,11.100043525364)); #577265=CARTESIAN_POINT('',(8.49881610053498,1.64998633000998,6.41122557704599)); #577266=CARTESIAN_POINT('',(3.809998152217,-0.350012700025018,11.100043525364)); #577267=CARTESIAN_POINT('Origin',(13.565274795314,-0.350012700025,11.600043525364)); #577268=CARTESIAN_POINT('',(-0.76999815221702,2.5499858935249,11.600043525364)); #577269=CARTESIAN_POINT('',(13.565274795314,2.549985893525,11.600043525364)); #577270=CARTESIAN_POINT('',(-0.769998152217013,1.6499863300099,11.600043525364)); #577271=CARTESIAN_POINT('',(-0.769998152217,-0.350012700025022,11.600043525364)); #577272=CARTESIAN_POINT('',(13.565274795314,1.64998633001,11.600043525364)); #577273=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577274=CARTESIAN_POINT('',(3.809998152217,2.549985893525,3.200047599217)); #577275=CARTESIAN_POINT('',(3.809998152217,2.549985893525,1.600048375189)); #577276=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #577277=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #577278=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #577279=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #577280=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #577281=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577282=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #577283=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #577284=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #577285=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #577286=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #577287=CARTESIAN_POINT('',(3.259998418957,2.549985893525,-1.19995026686)); #577288=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #577289=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577290=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #577291=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #577292=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #577293=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #577294=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #577295=CARTESIAN_POINT('',(0.719999650813,2.549985893525,-1.19995026686)); #577296=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #577297=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577298=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #577299=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #577300=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #577301=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #577302=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #577303=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,-1.19995026686)); #577304=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #577305=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577306=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #577307=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,-1.19995026686)); #577308=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #577309=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #577310=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #577311=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,-1.19995026686)); #577312=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577313=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577314=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #577315=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577316=CARTESIAN_POINT('',(-1.269998152217,2.54998589352502,3.200047599217)); #577317=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #577318=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352507,11.100043525364)); #577319=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352503,0.800048763174995)); #577320=CARTESIAN_POINT('',(-4.87249659178576,2.54998589352503,7.49754508579525)); #577321=CARTESIAN_POINT('Origin',(-1.019998152217,-0.350012700025025,11.350043525364)); #577322=CARTESIAN_POINT('',(-1.26999815221701,1.64998633000993,11.100043525364)); #577323=CARTESIAN_POINT('',(0.188821297121992,1.64998633000995,12.558862974703)); #577324=CARTESIAN_POINT('',(-1.269998152217,-0.350012700025027,11.100043525364)); #577325=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #577326=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,9.200044689322)); #577327=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #577328=CARTESIAN_POINT('',(3.809998152217,1.64998633001,9.200044689322)); #577329=CARTESIAN_POINT('',(13.565274795314,1.64998633001,9.200044689322)); #577330=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #577331=CARTESIAN_POINT('Origin',(-1.269998152217,-0.350012700024982,1.600048375189)); #577332=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,3.200047599217)); #577333=CARTESIAN_POINT('',(-1.269998152217,-0.350012700024982,3.200047599217)); #577334=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,6.20004614427)); #577335=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #577336=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,6.500045998775)); #577337=CARTESIAN_POINT('',(-1.26999815221702,2.94998569953218,4.90004677474672)); #577338=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,8.900044834817)); #577339=CARTESIAN_POINT('',(-1.26999815221701,1.3499864755039,1.600048375189)); #577340=CARTESIAN_POINT('',(-1.26999815221698,-3.15001134207407,4.40004701723803)); #577341=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,3.200047599217)); #577342=CARTESIAN_POINT('',(3.809998152217,1.64998633001,3.200047599217)); #577343=CARTESIAN_POINT('',(3.809998152217,-0.350012700025,3.200047599217)); #577344=CARTESIAN_POINT('',(13.565274795314,1.64998633001,3.200047599217)); #577345=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #577346=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #577347=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,3.200047599217)); #577348=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #577349=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #577350=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,3.200047599217)); #577351=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,3.200047599217)); #577352=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,3.200047599217)); #577353=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,3.200047599217)); #577354=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #577355=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,3.200047599217)); #577356=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,3.200047599217)); #577357=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #577358=CARTESIAN_POINT('',(3.489998307411,0.269986999285,3.200047599217)); #577359=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,3.200047599217)); #577360=CARTESIAN_POINT('',(3.489998307411,2.549985893525,3.200047599217)); #577361=CARTESIAN_POINT('',(4.129997997022,0.269986999285,3.200047599217)); #577362=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #577363=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,3.200047599217)); #577364=CARTESIAN_POINT('',(4.129997997022,2.549985893525,3.200047599217)); #577365=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #577366=CARTESIAN_POINT('',(0.949999539267,0.269986999285,3.200047599217)); #577367=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,3.200047599217)); #577368=CARTESIAN_POINT('',(0.949999539267,2.549985893525,3.200047599217)); #577369=CARTESIAN_POINT('',(1.589999228878,0.269986999285,3.200047599217)); #577370=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #577371=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,3.200047599217)); #577372=CARTESIAN_POINT('',(1.589999228878,2.549985893525,3.200047599217)); #577373=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #577374=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,3.200047599217)); #577375=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,3.200047599217)); #577376=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,3.200047599217)); #577377=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,3.200047599217)); #577378=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #577379=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,3.200047599217)); #577380=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,3.200047599217)); #577381=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #577382=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,1.200048569182)); #577383=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,1.200048569182)); #577384=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #577385=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #577386=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #577387=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #577388=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,1.200048569182)); #577389=CARTESIAN_POINT('',(3.489998307411,0.269986999285,1.200048569182)); #577390=CARTESIAN_POINT('',(3.489998307411,2.549985893525,1.200048569182)); #577391=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,1.200048569182)); #577392=CARTESIAN_POINT('',(3.259998418957,-0.370012690326,1.200048569182)); #577393=CARTESIAN_POINT('',(4.129997997022,0.269986999285,1.200048569182)); #577394=CARTESIAN_POINT('',(4.129997997022,2.549985893525,1.200048569182)); #577395=CARTESIAN_POINT('',(3.259998418957,0.269986999285,1.200048569182)); #577396=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,1.200048569182)); #577397=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,1.200048569182)); #577398=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #577399=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #577400=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #577401=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #577402=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,1.200048569182)); #577403=CARTESIAN_POINT('',(0.949999539267,0.269986999285,1.200048569182)); #577404=CARTESIAN_POINT('',(0.949999539267,2.549985893525,1.200048569182)); #577405=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,1.200048569182)); #577406=CARTESIAN_POINT('',(0.719999650813,-0.370012690326,1.200048569182)); #577407=CARTESIAN_POINT('',(1.589999228878,0.269986999285,1.200048569182)); #577408=CARTESIAN_POINT('',(1.589999228878,2.549985893525,1.200048569182)); #577409=CARTESIAN_POINT('',(0.719999650813,0.269986999285,1.200048569182)); #577410=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,1.200048569182)); #577411=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,1.200048569182)); #577412=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #577413=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #577414=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #577415=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #577416=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,1.200048569182)); #577417=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,1.200048569182)); #577418=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,1.200048569182)); #577419=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,1.200048569182)); #577420=CARTESIAN_POINT('',(-1.819999117332,-0.370012690326,1.200048569182)); #577421=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,1.200048569182)); #577422=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,1.200048569182)); #577423=CARTESIAN_POINT('',(-1.819999117332,0.269986999285,1.200048569182)); #577424=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577425=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,4.91511609997802E-5)); #577426=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,4.91511609997802E-5)); #577427=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577428=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #577429=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #577430=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577431=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,4.91511609997802E-5)); #577432=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #577433=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577434=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #577435=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #577436=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,1.200048569182)); #577437=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,1.200048569182)); #577438=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #577439=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #577440=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #577441=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #577442=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,1.200048569182)); #577443=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,1.200048569182)); #577444=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,1.200048569182)); #577445=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,1.200048569182)); #577446=CARTESIAN_POINT('',(-4.359997885476,-0.370012690326,1.200048569182)); #577447=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,1.200048569182)); #577448=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,1.200048569182)); #577449=CARTESIAN_POINT('',(-4.359997885476,0.269986999285,1.200048569182)); #577450=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577451=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,4.91511609997802E-5)); #577452=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #577453=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,4.91511609997802E-5)); #577454=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577455=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #577456=CARTESIAN_POINT('Origin',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577457=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,4.91511609997802E-5)); #577458=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,-1.19995026686)); #577459=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,4.91511609997802E-5)); #577460=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577461=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,-1.19995026686)); #577462=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,-1.19995026686)); #577463=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,-1.19995026686)); #577464=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,-1.19995026686)); #577465=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #577466=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577467=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577468=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,4.91511609997802E-5)); #577469=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #577470=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,-1.19995026686)); #577471=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,-1.19995026686)); #577472=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,-1.19995026686)); #577473=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577474=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #577475=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577476=CARTESIAN_POINT('Origin',(-5.079997536289,-0.350012700025,1.600048375189)); #577477=CARTESIAN_POINT('Origin',(5.079997536289,-0.350012700025,1.600048375189)); #577478=CARTESIAN_POINT('Origin',(3.809998152217,-0.350012700025,1.600048375189)); #577479=CARTESIAN_POINT('',(3.809998152217,1.349986475504,8.900044834817)); #577480=CARTESIAN_POINT('',(3.809998152217,-3.150011342074,4.400047017238)); #577481=CARTESIAN_POINT('',(3.809998152217,1.349986475504,6.500045998775)); #577482=CARTESIAN_POINT('',(3.809998152217,1.349986475504,1.600048375189)); #577483=CARTESIAN_POINT('',(3.809998152217,1.64998633001,6.20004614427)); #577484=CARTESIAN_POINT('',(3.809998152217,2.949985699532,4.900046774747)); #577485=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #577486=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #577487=CARTESIAN_POINT('',(13.565274795314,1.64998633001,6.20004614427)); #577488=CARTESIAN_POINT('Origin',(13.565274795314,2.949985699532,4.900046774747)); #577489=CARTESIAN_POINT('',(13.565274795314,1.349986475504,6.500045998775)); #577490=CARTESIAN_POINT('Origin',(13.565274795314,1.349986475504,1.600048375189)); #577491=CARTESIAN_POINT('',(13.565274795314,1.349986475504,8.900044834817)); #577492=CARTESIAN_POINT('Origin',(13.565274795314,-3.150011342074,4.400047017238)); #577493=CARTESIAN_POINT('Origin',(-3.259998418957,2.549985893525,-1.19995026686)); #577494=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,-1.19995026686)); #577495=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-3.399949199898)); #577496=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,-3.399949199898)); #577497=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,-3.399949199898)); #577498=CARTESIAN_POINT('',(-3.97999806977,0.269986999285,-3.399949199898)); #577499=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,-3.399949199898)); #577500=CARTESIAN_POINT('',(-3.489998307411,0.119987072033,-3.399949199898)); #577501=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,-3.399949199898)); #577502=CARTESIAN_POINT('',(-3.639998234664,-0.370012690326,-3.399949199898)); #577503=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,-3.399949199898)); #577504=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #577505=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,-2.987827786852)); #577506=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #577507=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,-2.987827786852)); #577508=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,-2.987827786852)); #577509=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #577510=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #577511=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #577512=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #577513=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #577514=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #577515=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #577516=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #577517=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #577518=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #577519=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #577520=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #577521=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #577522=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #577523=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #577524=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #577525=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #577526=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #577527=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,9.687922839792)); #577528=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #577529=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #577530=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #577531=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #577532=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #577533=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #577534=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #577535=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,9.687922839792)); #577536=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #577537=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,10.100044252838)); #577538=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,10.100044252838)); #577539=CARTESIAN_POINT('',(-3.97999806977,-0.370012690326,10.100044252838)); #577540=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,10.100044252838)); #577541=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,10.100044252838)); #577542=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,10.100044252838)); #577543=CARTESIAN_POINT('',(-3.639998234664,0.269986999285,10.100044252838)); #577544=CARTESIAN_POINT('',(-4.129997997022,0.119987072033,10.100044252838)); #577545=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-2.987827786852)); #577546=CARTESIAN_POINT('',(-3.49209284723,-0.367918150507,-2.993582487709)); #577547=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #577548=CARTESIAN_POINT('Origin',(-3.97999806977,-0.370012690326,-3.399949199898)); #577549=CARTESIAN_POINT('',(-3.928681844198,0.06867084646102,-3.540939370908)); #577550=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,-2.987827786852)); #577551=CARTESIAN_POINT('',(-3.554929041808,0.205056264888,-3.166223513436)); #577552=CARTESIAN_POINT('Origin',(-4.129997997022,0.119987072033,-3.399949199898)); #577553=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,9.687922839792)); #577554=CARTESIAN_POINT('',(-3.554929041808,0.205056264889,9.866318566376)); #577555=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #577556=CARTESIAN_POINT('Origin',(-3.97999806977,0.269986999285,10.100044252838)); #577557=CARTESIAN_POINT('',(-3.928681844198,-0.168696537501,10.241034423848)); #577558=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,9.687922839792)); #577559=CARTESIAN_POINT('',(-3.49209284723,-0.367918150506,9.693677540649)); #577560=CARTESIAN_POINT('Origin',(-4.129997997022,-0.220012763073,10.100044252838)); #577561=CARTESIAN_POINT('Origin',(4.359997885476,2.549985893525,-1.19995026686)); #577562=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,-1.19995026686)); #577563=CARTESIAN_POINT('Origin',(1.819999117332,2.549985893525,-1.19995026686)); #577564=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,-1.19995026686)); #577565=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #577566=CARTESIAN_POINT('Origin',(-0.719999650813,2.549985893525,-1.19995026686)); #577567=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #577568=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,-1.19995026686)); #577569=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-3.399949199898)); #577570=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,-3.399949199898)); #577571=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,-3.399949199898)); #577572=CARTESIAN_POINT('',(-1.439999301625,0.269986999285,-3.399949199898)); #577573=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,-3.399949199898)); #577574=CARTESIAN_POINT('',(-0.949999539267,0.119987072033,-3.399949199898)); #577575=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,-3.399949199898)); #577576=CARTESIAN_POINT('',(-1.099999466519,-0.370012690326,-3.399949199898)); #577577=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,-3.399949199898)); #577578=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #577579=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,-2.987827786852)); #577580=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #577581=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,-2.987827786852)); #577582=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,-2.987827786852)); #577583=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #577584=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #577585=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #577586=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #577587=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #577588=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #577589=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #577590=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #577591=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #577592=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #577593=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #577594=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #577595=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #577596=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #577597=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #577598=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #577599=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #577600=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #577601=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,9.687922839792)); #577602=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #577603=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #577604=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #577605=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #577606=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #577607=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #577608=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #577609=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,9.687922839792)); #577610=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #577611=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,10.100044252838)); #577612=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,10.100044252838)); #577613=CARTESIAN_POINT('',(-1.439999301625,-0.370012690326,10.100044252838)); #577614=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,10.100044252838)); #577615=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,10.100044252838)); #577616=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,10.100044252838)); #577617=CARTESIAN_POINT('',(-1.099999466519,0.269986999285,10.100044252838)); #577618=CARTESIAN_POINT('',(-1.589999228878,0.119987072033,10.100044252838)); #577619=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-3.399949199898)); #577620=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,-3.399949199898)); #577621=CARTESIAN_POINT('',(1.099999466519,0.119987072033,-3.399949199898)); #577622=CARTESIAN_POINT('',(1.099999466519,0.269986999285,-3.399949199898)); #577623=CARTESIAN_POINT('',(1.439999301625,0.119987072033,-3.399949199898)); #577624=CARTESIAN_POINT('',(1.589999228878,0.119987072033,-3.399949199898)); #577625=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,-3.399949199898)); #577626=CARTESIAN_POINT('',(1.439999301625,-0.370012690326,-3.399949199898)); #577627=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,-3.399949199898)); #577628=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #577629=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,-2.987827786852)); #577630=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #577631=CARTESIAN_POINT('',(1.589999228878,0.269986999285,-2.987827786852)); #577632=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,-2.987827786852)); #577633=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #577634=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #577635=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #577636=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #577637=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #577638=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #577639=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #577640=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #577641=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #577642=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #577643=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #577644=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #577645=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #577646=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #577647=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #577648=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #577649=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #577650=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #577651=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,9.687922839792)); #577652=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #577653=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #577654=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #577655=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #577656=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #577657=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #577658=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #577659=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,9.687922839792)); #577660=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #577661=CARTESIAN_POINT('',(1.099999466519,0.119987072033,10.100044252838)); #577662=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,10.100044252838)); #577663=CARTESIAN_POINT('',(1.099999466519,-0.370012690326,10.100044252838)); #577664=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,10.100044252838)); #577665=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,10.100044252838)); #577666=CARTESIAN_POINT('',(1.439999301625,0.119987072033,10.100044252838)); #577667=CARTESIAN_POINT('',(1.439999301625,0.269986999285,10.100044252838)); #577668=CARTESIAN_POINT('',(0.949999539267,0.119987072033,10.100044252838)); #577669=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-3.399949199898)); #577670=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,-3.399949199898)); #577671=CARTESIAN_POINT('',(3.639998234664,0.119987072033,-3.399949199898)); #577672=CARTESIAN_POINT('',(3.639998234664,0.269986999285,-3.399949199898)); #577673=CARTESIAN_POINT('',(3.97999806977,0.119987072033,-3.399949199898)); #577674=CARTESIAN_POINT('',(4.129997997022,0.119987072033,-3.399949199898)); #577675=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,-3.399949199898)); #577676=CARTESIAN_POINT('',(3.97999806977,-0.370012690326,-3.399949199898)); #577677=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,-3.399949199898)); #577678=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #577679=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,-2.987827786852)); #577680=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #577681=CARTESIAN_POINT('',(4.129997997022,0.269986999285,-2.987827786852)); #577682=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,-2.987827786852)); #577683=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #577684=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #577685=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #577686=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #577687=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #577688=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #577689=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #577690=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #577691=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #577692=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #577693=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #577694=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #577695=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #577696=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #577697=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #577698=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #577699=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #577700=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #577701=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,9.687922839792)); #577702=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #577703=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #577704=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #577705=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #577706=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #577707=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #577708=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #577709=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,9.687922839792)); #577710=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #577711=CARTESIAN_POINT('',(3.639998234664,0.119987072033,10.100044252838)); #577712=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,10.100044252838)); #577713=CARTESIAN_POINT('',(3.639998234664,-0.370012690326,10.100044252838)); #577714=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,10.100044252838)); #577715=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,10.100044252838)); #577716=CARTESIAN_POINT('',(3.97999806977,0.119987072033,10.100044252838)); #577717=CARTESIAN_POINT('',(3.97999806977,0.269986999285,10.100044252838)); #577718=CARTESIAN_POINT('',(3.489998307411,0.119987072033,10.100044252838)); #577719=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-2.987827786852)); #577720=CARTESIAN_POINT('',(-0.952094079086,-0.367918150507,-2.993582487709)); #577721=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #577722=CARTESIAN_POINT('Origin',(-1.439999301625,-0.370012690326,-3.399949199898)); #577723=CARTESIAN_POINT('',(-1.388683076053,0.06867084646104,-3.540939370908)); #577724=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,-2.987827786852)); #577725=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,-3.166223513436)); #577726=CARTESIAN_POINT('Origin',(-1.589999228878,0.119987072033,-3.399949199898)); #577727=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,9.687922839792)); #577728=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,9.866318566376)); #577729=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #577730=CARTESIAN_POINT('Origin',(-1.439999301625,0.269986999285,10.100044252838)); #577731=CARTESIAN_POINT('',(-1.388683076053,-0.168696537501,10.241034423848)); #577732=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,9.687922839792)); #577733=CARTESIAN_POINT('',(-0.952094079086,-0.367918150506,9.693677540649)); #577734=CARTESIAN_POINT('Origin',(-1.589999228878,-0.220012763073,10.100044252838)); #577735=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-2.987827786852)); #577736=CARTESIAN_POINT('',(1.587904689059,-0.367918150507,-2.993582487709)); #577737=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #577738=CARTESIAN_POINT('Origin',(1.099999466519,-0.370012690326,-3.399949199898)); #577739=CARTESIAN_POINT('',(1.151315692091,0.06867084646106,-3.540939370908)); #577740=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,-2.987827786852)); #577741=CARTESIAN_POINT('',(1.525068494481,0.205056264889,-3.166223513436)); #577742=CARTESIAN_POINT('Origin',(0.949999539267,0.119987072033,-3.399949199898)); #577743=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,9.687922839792)); #577744=CARTESIAN_POINT('',(1.525068494481,0.205056264889,9.866318566376)); #577745=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #577746=CARTESIAN_POINT('Origin',(1.099999466519,0.269986999285,10.100044252838)); #577747=CARTESIAN_POINT('',(1.151315692091,-0.168696537501,10.241034423848)); #577748=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,9.687922839792)); #577749=CARTESIAN_POINT('',(1.587904689059,-0.367918150506,9.693677540649)); #577750=CARTESIAN_POINT('Origin',(0.949999539267,-0.220012763073,10.100044252838)); #577751=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-2.987827786852)); #577752=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,-2.993582487709)); #577753=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #577754=CARTESIAN_POINT('Origin',(3.639998234664,-0.370012690326,-3.399949199898)); #577755=CARTESIAN_POINT('',(3.691314460235,0.06867084646107,-3.540939370908)); #577756=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,-2.987827786852)); #577757=CARTESIAN_POINT('',(4.065067262625,0.205056264889,-3.166223513436)); #577758=CARTESIAN_POINT('Origin',(3.489998307411,0.119987072033,-3.399949199898)); #577759=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,9.687922839792)); #577760=CARTESIAN_POINT('',(4.065067262625,0.205056264889,9.866318566376)); #577761=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #577762=CARTESIAN_POINT('Origin',(3.639998234664,0.269986999285,10.100044252838)); #577763=CARTESIAN_POINT('',(3.691314460235,-0.168696537501,10.241034423848)); #577764=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,9.687922839792)); #577765=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,9.693677540649)); #577766=CARTESIAN_POINT('Origin',(3.489998307411,-0.220012763073,10.100044252838)); #577767=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #577768=CARTESIAN_POINT('',(0.,0.,0.)); #577769=CARTESIAN_POINT('',(0.,0.,0.)); #577770=CARTESIAN_POINT('',(0.,0.,0.)); #577771=CARTESIAN_POINT('',(0.,0.,0.)); #577772=CARTESIAN_POINT('',(0.,0.,0.)); #577773=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #577774=CARTESIAN_POINT('',(-0.85,-0.434,0.164)); #577775=CARTESIAN_POINT('',(-0.834,-0.434,0.18)); #577776=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #577777=CARTESIAN_POINT('',(-0.834,-0.45,0.164)); #577778=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #577779=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #577780=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #577781=CARTESIAN_POINT('',(-0.666,-0.45,0.164)); #577782=CARTESIAN_POINT('',(-0.666,-0.434,0.18)); #577783=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #577784=CARTESIAN_POINT('',(-0.65,-0.434,0.164)); #577785=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #577786=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #577787=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #577788=CARTESIAN_POINT('',(-0.85,-0.434,0.016)); #577789=CARTESIAN_POINT('',(-0.834,-0.45,0.016)); #577790=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #577791=CARTESIAN_POINT('',(-0.834,-0.434,0.)); #577792=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #577793=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #577794=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #577795=CARTESIAN_POINT('',(-0.834,0.45,0.164)); #577796=CARTESIAN_POINT('',(-0.834,0.434,0.18)); #577797=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #577798=CARTESIAN_POINT('',(-0.85,0.434,0.164)); #577799=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #577800=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #577801=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #577802=CARTESIAN_POINT('',(-0.666,-0.45,0.016)); #577803=CARTESIAN_POINT('',(-0.65,-0.434,0.016)); #577804=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #577805=CARTESIAN_POINT('',(-0.666,-0.434,0.)); #577806=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #577807=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #577808=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.016)); #577809=CARTESIAN_POINT('',(-0.8,-0.45,0.016)); #577810=CARTESIAN_POINT('',(-0.8,-0.434,0.)); #577811=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #577812=CARTESIAN_POINT('',(-0.65,0.434,0.164)); #577813=CARTESIAN_POINT('',(-0.666,0.434,0.18)); #577814=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #577815=CARTESIAN_POINT('',(-0.666,0.45,0.164)); #577816=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #577817=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #577818=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #577819=CARTESIAN_POINT('',(-0.834,0.434,0.)); #577820=CARTESIAN_POINT('',(-0.834,0.45,0.016)); #577821=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #577822=CARTESIAN_POINT('',(-0.85,0.434,0.016)); #577823=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #577824=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #577825=CARTESIAN_POINT('Origin',(-0.834,0.225,0.016)); #577826=CARTESIAN_POINT('',(-0.85,0.225,0.016)); #577827=CARTESIAN_POINT('',(-0.834,0.225,0.)); #577828=CARTESIAN_POINT('Origin',(-0.834,0.434,0.)); #577829=CARTESIAN_POINT('',(-0.834,0.45,0.)); #577830=CARTESIAN_POINT('',(-0.85,0.434,0.)); #577831=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #577832=CARTESIAN_POINT('',(-0.65,0.434,0.016)); #577833=CARTESIAN_POINT('',(-0.666,0.45,0.016)); #577834=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #577835=CARTESIAN_POINT('',(-0.666,0.434,0.)); #577836=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #577837=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #577838=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.016)); #577839=CARTESIAN_POINT('',(-0.666,-0.225,0.)); #577840=CARTESIAN_POINT('',(-0.65,-0.225,0.016)); #577841=CARTESIAN_POINT('Origin',(-0.7,0.434,0.016)); #577842=CARTESIAN_POINT('',(-0.7,0.45,0.016)); #577843=CARTESIAN_POINT('',(-0.7,0.434,0.)); #577844=CARTESIAN_POINT('Origin',(-0.666,0.434,0.)); #577845=CARTESIAN_POINT('',(-0.65,0.434,0.)); #577846=CARTESIAN_POINT('',(-0.666,0.45,0.)); #577847=CARTESIAN_POINT('Origin',(-0.7,0.434,0.164)); #577848=CARTESIAN_POINT('',(-0.7,0.434,0.18)); #577849=CARTESIAN_POINT('',(-0.7,0.45,0.164)); #577850=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.164)); #577851=CARTESIAN_POINT('',(-0.666,-0.225,0.18)); #577852=CARTESIAN_POINT('',(-0.65,-0.225,0.164)); #577853=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.)); #577854=CARTESIAN_POINT('',(-0.666,-0.45,0.)); #577855=CARTESIAN_POINT('',(-0.65,-0.434,0.)); #577856=CARTESIAN_POINT('Origin',(-0.834,0.225,0.164)); #577857=CARTESIAN_POINT('',(-0.834,0.225,0.18)); #577858=CARTESIAN_POINT('',(-0.85,0.225,0.164)); #577859=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.)); #577860=CARTESIAN_POINT('',(-0.85,-0.434,0.)); #577861=CARTESIAN_POINT('',(-0.834,-0.45,0.)); #577862=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.164)); #577863=CARTESIAN_POINT('',(-0.8,-0.434,0.18)); #577864=CARTESIAN_POINT('',(-0.8,-0.45,0.164)); #577865=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #577866=CARTESIAN_POINT('Origin',(-0.75,0.,0.)); #577867=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #577868=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #577869=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #577870=CARTESIAN_POINT('Origin',(-0.75,0.,0.18)); #577871=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #577872=CARTESIAN_POINT('',(0.65,-0.434,0.164)); #577873=CARTESIAN_POINT('',(0.666,-0.434,0.18)); #577874=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #577875=CARTESIAN_POINT('',(0.666,-0.45,0.164)); #577876=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #577877=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #577878=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #577879=CARTESIAN_POINT('',(0.834,-0.45,0.164)); #577880=CARTESIAN_POINT('',(0.834,-0.434,0.18)); #577881=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #577882=CARTESIAN_POINT('',(0.85,-0.434,0.164)); #577883=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #577884=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #577885=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #577886=CARTESIAN_POINT('',(0.65,-0.434,0.016)); #577887=CARTESIAN_POINT('',(0.666,-0.45,0.016)); #577888=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #577889=CARTESIAN_POINT('',(0.666,-0.434,0.)); #577890=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #577891=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #577892=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #577893=CARTESIAN_POINT('',(0.666,0.45,0.164)); #577894=CARTESIAN_POINT('',(0.666,0.434,0.18)); #577895=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #577896=CARTESIAN_POINT('',(0.65,0.434,0.164)); #577897=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #577898=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #577899=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #577900=CARTESIAN_POINT('',(0.834,-0.45,0.016)); #577901=CARTESIAN_POINT('',(0.85,-0.434,0.016)); #577902=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #577903=CARTESIAN_POINT('',(0.834,-0.434,0.)); #577904=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #577905=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #577906=CARTESIAN_POINT('Origin',(0.7,-0.434,0.016)); #577907=CARTESIAN_POINT('',(0.7,-0.45,0.016)); #577908=CARTESIAN_POINT('',(0.7,-0.434,0.)); #577909=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #577910=CARTESIAN_POINT('',(0.85,0.434,0.164)); #577911=CARTESIAN_POINT('',(0.834,0.434,0.18)); #577912=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #577913=CARTESIAN_POINT('',(0.834,0.45,0.164)); #577914=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #577915=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #577916=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #577917=CARTESIAN_POINT('',(0.666,0.434,0.)); #577918=CARTESIAN_POINT('',(0.666,0.45,0.016)); #577919=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #577920=CARTESIAN_POINT('',(0.65,0.434,0.016)); #577921=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #577922=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #577923=CARTESIAN_POINT('Origin',(0.666,0.225,0.016)); #577924=CARTESIAN_POINT('',(0.65,0.225,0.016)); #577925=CARTESIAN_POINT('',(0.666,0.225,0.)); #577926=CARTESIAN_POINT('Origin',(0.666,0.434,0.)); #577927=CARTESIAN_POINT('',(0.666,0.45,0.)); #577928=CARTESIAN_POINT('',(0.65,0.434,0.)); #577929=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #577930=CARTESIAN_POINT('',(0.85,0.434,0.016)); #577931=CARTESIAN_POINT('',(0.834,0.45,0.016)); #577932=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #577933=CARTESIAN_POINT('',(0.834,0.434,0.)); #577934=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #577935=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #577936=CARTESIAN_POINT('Origin',(0.834,-0.225,0.016)); #577937=CARTESIAN_POINT('',(0.85,-0.225,0.016)); #577938=CARTESIAN_POINT('',(0.834,-0.225,0.)); #577939=CARTESIAN_POINT('Origin',(0.8,0.434,0.016)); #577940=CARTESIAN_POINT('',(0.8,0.45,0.016)); #577941=CARTESIAN_POINT('',(0.8,0.434,0.)); #577942=CARTESIAN_POINT('Origin',(0.834,0.434,0.)); #577943=CARTESIAN_POINT('',(0.85,0.434,0.)); #577944=CARTESIAN_POINT('',(0.834,0.45,0.)); #577945=CARTESIAN_POINT('Origin',(0.8,0.434,0.164)); #577946=CARTESIAN_POINT('',(0.8,0.434,0.18)); #577947=CARTESIAN_POINT('',(0.8,0.45,0.164)); #577948=CARTESIAN_POINT('Origin',(0.834,-0.225,0.164)); #577949=CARTESIAN_POINT('',(0.834,-0.225,0.18)); #577950=CARTESIAN_POINT('',(0.85,-0.225,0.164)); #577951=CARTESIAN_POINT('Origin',(0.834,-0.434,0.)); #577952=CARTESIAN_POINT('',(0.834,-0.45,0.)); #577953=CARTESIAN_POINT('',(0.85,-0.434,0.)); #577954=CARTESIAN_POINT('Origin',(0.666,0.225,0.164)); #577955=CARTESIAN_POINT('',(0.666,0.225,0.18)); #577956=CARTESIAN_POINT('',(0.65,0.225,0.164)); #577957=CARTESIAN_POINT('Origin',(0.666,-0.434,0.)); #577958=CARTESIAN_POINT('',(0.65,-0.434,0.)); #577959=CARTESIAN_POINT('',(0.666,-0.45,0.)); #577960=CARTESIAN_POINT('Origin',(0.7,-0.434,0.164)); #577961=CARTESIAN_POINT('',(0.7,-0.434,0.18)); #577962=CARTESIAN_POINT('',(0.7,-0.45,0.164)); #577963=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #577964=CARTESIAN_POINT('Origin',(0.75,0.,0.)); #577965=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #577966=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #577967=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #577968=CARTESIAN_POINT('Origin',(0.75,0.,0.18)); #577969=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #577970=CARTESIAN_POINT('',(0.65,0.45,0.)); #577971=CARTESIAN_POINT('',(0.65,-0.45,0.)); #577972=CARTESIAN_POINT('',(0.65,0.45,0.)); #577973=CARTESIAN_POINT('',(0.65,0.45,0.18)); #577974=CARTESIAN_POINT('',(0.65,0.45,0.)); #577975=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #577976=CARTESIAN_POINT('',(0.65,0.45,0.18)); #577977=CARTESIAN_POINT('',(0.65,-0.45,0.)); #577978=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #577979=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #577980=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #577981=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #577982=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #577983=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #577984=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #577985=CARTESIAN_POINT('',(-0.65,0.45,0.)); #577986=CARTESIAN_POINT('',(-0.65,0.45,0.)); #577987=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #577988=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #577989=CARTESIAN_POINT('',(-0.65,0.45,0.)); #577990=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #577991=CARTESIAN_POINT('',(0.85,0.45,0.)); #577992=CARTESIAN_POINT('',(0.85,0.45,0.18)); #577993=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #577994=CARTESIAN_POINT('Origin',(0.,0.,0.)); #577995=CARTESIAN_POINT('Origin',(-1.62579519772896E-18,0.,0.4)); #577996=CARTESIAN_POINT('',(0.565,0.405,0.4)); #577997=CARTESIAN_POINT('',(0.565,-0.405,0.4)); #577998=CARTESIAN_POINT('',(0.565,0.405,0.4)); #577999=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #578000=CARTESIAN_POINT('',(0.765,0.405,0.4)); #578001=CARTESIAN_POINT('',(-0.565,-0.405,0.4)); #578002=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #578003=CARTESIAN_POINT('',(-0.765,-0.405,0.4)); #578004=CARTESIAN_POINT('Origin',(1.29029018874308E-18,0.,0.18)); #578005=CARTESIAN_POINT('',(0.65,0.45,0.18)); #578006=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #578007=CARTESIAN_POINT('',(0.65,0.45,0.18)); #578008=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #578009=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #578010=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #578011=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #578012=CARTESIAN_POINT('',(0.85,0.45,0.18)); #578013=CARTESIAN_POINT('Origin',(0.65,0.45,0.18)); #578014=CARTESIAN_POINT('',(0.65,0.45,0.18)); #578015=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #578016=CARTESIAN_POINT('Origin',(0.65,-0.45,0.18)); #578017=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #578018=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.18)); #578019=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #578020=CARTESIAN_POINT('Origin',(-0.65,0.45,0.18)); #578021=CARTESIAN_POINT('Origin',(-0.085,-0.045,0.18)); #578022=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #578023=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #578024=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #578025=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #578026=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #578027=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #578028=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #578029=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #578030=CARTESIAN_POINT('Origin',(-0.085,0.045,0.18)); #578031=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #578032=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #578033=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #578034=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #578035=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #578036=CARTESIAN_POINT('Origin',(0.085,0.045,0.18)); #578037=CARTESIAN_POINT('',(0.085,0.045,0.18)); #578038=CARTESIAN_POINT('',(0.085,0.045,0.18)); #578039=CARTESIAN_POINT('',(0.085,0.045,0.198)); #578040=CARTESIAN_POINT('',(0.085,0.045,0.198)); #578041=CARTESIAN_POINT('',(0.085,0.045,0.18)); #578042=CARTESIAN_POINT('Origin',(0.085,-0.045,0.18)); #578043=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #578044=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #578045=CARTESIAN_POINT('Origin',(0.,0.,0.198)); #578046=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #578047=CARTESIAN_POINT('',(0.,0.,0.)); #578048=CARTESIAN_POINT('',(0.,0.,0.)); #578049=CARTESIAN_POINT('',(0.,0.,0.)); #578050=CARTESIAN_POINT('',(0.,0.,0.)); #578051=CARTESIAN_POINT('',(0.,0.,0.)); #578052=CARTESIAN_POINT('',(0.,0.,0.)); #578053=CARTESIAN_POINT('',(0.,0.,0.)); #578054=CARTESIAN_POINT('',(0.,0.,0.)); #578055=CARTESIAN_POINT('',(0.,0.,0.)); #578056=CARTESIAN_POINT('',(0.,0.,0.)); #578057=CARTESIAN_POINT('',(0.,0.,0.)); #578058=CARTESIAN_POINT('',(0.,0.,0.)); #578059=CARTESIAN_POINT('',(0.,0.,0.)); #578060=CARTESIAN_POINT('',(0.,0.,0.)); #578061=CARTESIAN_POINT('',(0.,0.,0.)); #578062=CARTESIAN_POINT('',(0.,0.,0.)); #578063=CARTESIAN_POINT('',(0.,0.,0.)); #578064=CARTESIAN_POINT('',(0.,0.,0.)); #578065=CARTESIAN_POINT('',(0.,0.,0.)); #578066=CARTESIAN_POINT('',(0.,0.,0.)); #578067=CARTESIAN_POINT('',(0.,0.,0.)); #578068=CARTESIAN_POINT('',(0.,0.,0.)); #578069=CARTESIAN_POINT('',(0.,0.,0.)); #578070=CARTESIAN_POINT('',(0.,0.,0.)); #578071=CARTESIAN_POINT('',(0.,0.,0.)); #578072=CARTESIAN_POINT('',(0.,0.,0.)); #578073=CARTESIAN_POINT('',(0.,0.,0.)); #578074=CARTESIAN_POINT('',(0.,0.,0.)); #578075=CARTESIAN_POINT('',(0.,0.,0.)); #578076=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.04)); #578077=CARTESIAN_POINT('',(3.32213604519991,2.42,-0.869999999999998)); #578078=CARTESIAN_POINT('',(3.32213604519991,2.47,-0.921410652473266)); #578079=CARTESIAN_POINT('',(3.32213604519991,2.58533538461547,-1.04)); #578080=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #578081=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #578082=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #578083=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #578084=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #578085=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #578086=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #578087=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #578088=CARTESIAN_POINT('',(-3.32213604519992,2.42,-0.869999999999999)); #578089=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #578090=CARTESIAN_POINT('',(-3.32213604519992,2.47,-0.921410652473267)); #578091=CARTESIAN_POINT('',(-3.32213604519992,2.58533538461547,-1.04)); #578092=CARTESIAN_POINT('',(-3.1,2.47,-0.921410652473267)); #578093=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #578094=CARTESIAN_POINT('',(-3.1,2.42,-0.869999999999998)); #578095=CARTESIAN_POINT('',(-3.1,2.58533538461547,-1.04)); #578096=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999999)); #578097=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999998)); #578098=CARTESIAN_POINT('',(-0.17,2.47,-0.921410652473266)); #578099=CARTESIAN_POINT('',(-0.17,2.58533538461547,-1.04)); #578100=CARTESIAN_POINT('',(0.17,2.47,-0.921410652473266)); #578101=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #578102=CARTESIAN_POINT('',(0.17,2.42,-0.869999999999999)); #578103=CARTESIAN_POINT('',(0.17,2.58533538461547,-1.04)); #578104=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #578105=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #578106=CARTESIAN_POINT('',(3.1,2.47,-0.921410652473266)); #578107=CARTESIAN_POINT('',(3.1,2.58533538461547,-1.04)); #578108=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #578109=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #578110=CARTESIAN_POINT('',(3.345,-0.38,0.15)); #578111=CARTESIAN_POINT('',(3.345,0.,0.15)); #578112=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #578113=CARTESIAN_POINT('',(3.345,-0.38,-0.149999999999999)); #578114=CARTESIAN_POINT('',(3.345,-0.38,-10.8234987569897)); #578115=CARTESIAN_POINT('',(3.345,0.,-0.15)); #578116=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #578117=CARTESIAN_POINT('',(3.345,0.,-0.15)); #578118=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #578119=CARTESIAN_POINT('',(-3.345,-0.38,-0.15)); #578120=CARTESIAN_POINT('',(-3.145,-0.38,-0.524165738677395)); #578121=CARTESIAN_POINT('Origin',(-2.895,-0.38,-0.15)); #578122=CARTESIAN_POINT('',(-3.345,0.,-0.15)); #578123=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #578124=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #578125=CARTESIAN_POINT('Origin',(-2.895,0.,-0.15)); #578126=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #578127=CARTESIAN_POINT('',(-2.895,-1.58,-0.6)); #578128=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #578129=CARTESIAN_POINT('Origin',(-2.895,-1.35,-0.15)); #578130=CARTESIAN_POINT('',(-3.345,-1.12,-0.150000000000001)); #578131=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #578132=CARTESIAN_POINT('',(-3.145,-1.12,-0.524165738677395)); #578133=CARTESIAN_POINT('Origin',(-2.895,-1.12,-0.15)); #578134=CARTESIAN_POINT('',(-3.145,-1.58,-0.524165738677395)); #578135=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #578136=CARTESIAN_POINT('',(-3.145,-0.38,0.524165738677395)); #578137=CARTESIAN_POINT('',(-3.345,-0.38,0.150000000000001)); #578138=CARTESIAN_POINT('Origin',(-2.895,-0.38,0.15)); #578139=CARTESIAN_POINT('',(-3.145,-1.12,0.524165738677395)); #578140=CARTESIAN_POINT('',(-3.145,-1.58,0.524165738677395)); #578141=CARTESIAN_POINT('',(-3.345,-1.12,0.150000000000001)); #578142=CARTESIAN_POINT('Origin',(-2.895,-1.12,0.15)); #578143=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #578144=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #578145=CARTESIAN_POINT('',(-2.895,-1.35,0.6)); #578146=CARTESIAN_POINT('Origin',(-2.895,-1.35,0.15)); #578147=CARTESIAN_POINT('',(-2.895,0.,0.6)); #578148=CARTESIAN_POINT('',(-2.895,-1.58,0.6)); #578149=CARTESIAN_POINT('',(-3.345,0.,0.15)); #578150=CARTESIAN_POINT('Origin',(-2.895,0.,0.15)); #578151=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #578152=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #578153=CARTESIAN_POINT('',(-3.345,-0.38,0.15)); #578154=CARTESIAN_POINT('',(-3.345,0.,0.15)); #578155=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #578156=CARTESIAN_POINT('',(-3.345,-1.12,0.15)); #578157=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #578158=CARTESIAN_POINT('Origin',(3.19,2.42,1.26)); #578159=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #578160=CARTESIAN_POINT('',(3.1,1.855,1.26)); #578161=CARTESIAN_POINT('',(3.1,1.855,1.26)); #578162=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #578163=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #578164=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #578165=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #578166=CARTESIAN_POINT('',(-3.19,0.150000000000001,1.26)); #578167=CARTESIAN_POINT('',(-3.19,2.42,1.26)); #578168=CARTESIAN_POINT('',(-2.65,0.15,1.26)); #578169=CARTESIAN_POINT('',(3.19,0.15,1.26)); #578170=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #578171=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #578172=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #578173=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #578174=CARTESIAN_POINT('',(-1.35,0.15,1.26)); #578175=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #578176=CARTESIAN_POINT('',(1.35,0.15,1.26)); #578177=CARTESIAN_POINT('',(3.19,0.15,1.26)); #578178=CARTESIAN_POINT('',(1.35,0.55,1.26)); #578179=CARTESIAN_POINT('',(1.35,0.55,1.26)); #578180=CARTESIAN_POINT('',(2.65,0.55,1.26)); #578181=CARTESIAN_POINT('',(2.65,0.55,1.26)); #578182=CARTESIAN_POINT('',(2.65,0.15,1.26)); #578183=CARTESIAN_POINT('',(2.65,0.55,1.26)); #578184=CARTESIAN_POINT('',(3.19,0.150000000000001,1.26)); #578185=CARTESIAN_POINT('',(3.19,0.15,1.26)); #578186=CARTESIAN_POINT('',(3.19,2.22,1.26)); #578187=CARTESIAN_POINT('',(3.19,2.42,1.26)); #578188=CARTESIAN_POINT('',(3.1,2.22,1.26)); #578189=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #578190=CARTESIAN_POINT('',(3.1,1.855,1.26)); #578191=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #578192=CARTESIAN_POINT('',(3.1,2.47,1.01)); #578193=CARTESIAN_POINT('',(3.1,2.22,1.26)); #578194=CARTESIAN_POINT('',(3.19,2.47,1.01)); #578195=CARTESIAN_POINT('',(3.19,2.42,1.06)); #578196=CARTESIAN_POINT('',(3.19,2.47,1.01)); #578197=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #578198=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #578199=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #578200=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #578201=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #578202=CARTESIAN_POINT('',(3.1,2.355,1.26)); #578203=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #578204=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #578205=CARTESIAN_POINT('',(0.17,2.355,0.369999999999999)); #578206=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #578207=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #578208=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #578209=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #578210=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #578211=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #578212=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #578213=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #578214=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #578215=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #578216=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #578217=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #578218=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #578219=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #578220=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #578221=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #578222=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #578223=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #578224=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #578225=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #578226=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #578227=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #578228=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #578229=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #578230=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #578231=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #578232=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #578233=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #578234=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #578235=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #578236=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #578237=CARTESIAN_POINT('',(3.6,2.42,0.939921870231046)); #578238=CARTESIAN_POINT('',(3.6,2.42,1.25086280059687)); #578239=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #578240=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #578241=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #578242=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #578243=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #578244=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #578245=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #578246=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #578247=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #578248=CARTESIAN_POINT('',(-3.6,2.42,0.939921870231047)); #578249=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #578250=CARTESIAN_POINT('',(-3.6,2.42,1.25086280059687)); #578251=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #578252=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #578253=CARTESIAN_POINT('',(-3.95,2.42,-1.26)); #578254=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #578255=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #578256=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #578257=CARTESIAN_POINT('',(-3.6,2.355,1.01590851370828)); #578258=CARTESIAN_POINT('',(-3.32213604519992,2.355,1.11434947384948)); #578259=CARTESIAN_POINT('Origin',(-3.19,2.355,0.3)); #578260=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.35500000000019,1.01590851370843)); #578261=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.37685164369348,0.990727075435561)); #578262=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.39853659842912,0.965412771468694)); #578263=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.42,0.939921870231046)); #578264=CARTESIAN_POINT('',(-4.15,2.22,0.3)); #578265=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #578266=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #578267=CARTESIAN_POINT('',(-3.19,2.47,1.01)); #578268=CARTESIAN_POINT('',(-3.19,2.42,1.06)); #578269=CARTESIAN_POINT('',(-3.32213604519992,2.47,0.997595918536602)); #578270=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #578271=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.47,0.997595918536603)); #578272=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.43171513226147, 1.03656153655711)); #578273=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.39337494808504, 1.07547263634076)); #578274=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.355,1.11434947384948)); #578275=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578276=CARTESIAN_POINT('',(-0.13,2.19497564091726,-1.56)); #578277=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.56)); #578278=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.56)); #578279=CARTESIAN_POINT('',(-0.37,2.19497564091726,-1.56)); #578280=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578281=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.56)); #578282=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.56)); #578283=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578284=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578285=CARTESIAN_POINT('',(-0.63,2.19497564091726,-1.56)); #578286=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #578287=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.56)); #578288=CARTESIAN_POINT('',(-0.87,2.19497564091726,-1.56)); #578289=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578290=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.56)); #578291=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.56)); #578292=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578293=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578294=CARTESIAN_POINT('',(-1.13,2.19497564091726,-1.56)); #578295=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #578296=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.56)); #578297=CARTESIAN_POINT('',(-1.37149064031341,2.19497564091726,-1.56)); #578298=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578299=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.56)); #578300=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.56)); #578301=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578302=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578303=CARTESIAN_POINT('',(-1.63149064031341,2.19497564091726,-1.56)); #578304=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #578305=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.56)); #578306=CARTESIAN_POINT('',(-1.87149064031341,2.19497564091726,-1.56)); #578307=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578308=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.56)); #578309=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.56)); #578310=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578311=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578312=CARTESIAN_POINT('',(-2.15,2.19497564091726,-1.56)); #578313=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #578314=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.56)); #578315=CARTESIAN_POINT('',(-2.65,2.19497564091726,-1.56)); #578316=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578317=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.56)); #578318=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.56)); #578319=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578320=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578321=CARTESIAN_POINT('',(-2.95,2.19497564091726,-1.56)); #578322=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #578323=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.56)); #578324=CARTESIAN_POINT('',(-3.45,2.19497564091726,-1.56)); #578325=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578326=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #578327=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.56)); #578328=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578329=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578330=CARTESIAN_POINT('',(0.37,2.19497564091726,-1.56)); #578331=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.56)); #578332=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.56)); #578333=CARTESIAN_POINT('',(0.13,2.19497564091726,-1.56)); #578334=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578335=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #578336=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.56)); #578337=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578338=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578339=CARTESIAN_POINT('',(0.87,2.19497564091726,-1.56)); #578340=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.56)); #578341=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.56)); #578342=CARTESIAN_POINT('',(0.63,2.19497564091726,-1.56)); #578343=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578344=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #578345=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.56)); #578346=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578347=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578348=CARTESIAN_POINT('',(1.37149064031341,2.19497564091726,-1.56)); #578349=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.56)); #578350=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.56)); #578351=CARTESIAN_POINT('',(1.13,2.19497564091726,-1.56)); #578352=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578353=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #578354=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.56)); #578355=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578356=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578357=CARTESIAN_POINT('',(1.87149064031341,2.19497564091726,-1.56)); #578358=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.56)); #578359=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.56)); #578360=CARTESIAN_POINT('',(1.63149064031341,2.19497564091726,-1.56)); #578361=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578362=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #578363=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.56)); #578364=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578365=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578366=CARTESIAN_POINT('',(2.65,2.19497564091726,-1.56)); #578367=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.56)); #578368=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.56)); #578369=CARTESIAN_POINT('',(2.15,2.19497564091726,-1.56)); #578370=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578371=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #578372=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.56)); #578373=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578374=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #578375=CARTESIAN_POINT('',(3.45,2.19497564091726,-1.56)); #578376=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #578377=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.56)); #578378=CARTESIAN_POINT('',(2.95,2.19497564091726,-1.56)); #578379=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #578380=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #578381=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.56)); #578382=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578383=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.56)); #578384=CARTESIAN_POINT('',(2.95,2.17733538461547,-1.46)); #578385=CARTESIAN_POINT('',(2.95,2.19166683333174,-1.54124285991705)); #578386=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #578387=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.46)); #578388=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #578389=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.46)); #578390=CARTESIAN_POINT('',(2.9,2.12733538461547,-1.41)); #578391=CARTESIAN_POINT('',(2.91933333333333,2.14666871794881,-1.42933333333333)); #578392=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #578393=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #578394=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #578395=CARTESIAN_POINT('Origin',(2.7,2.08533538461547,-1.41)); #578396=CARTESIAN_POINT('',(2.7,2.12733538461547,-1.41)); #578397=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #578398=CARTESIAN_POINT('',(2.7,2.08533538461547,-1.41)); #578399=CARTESIAN_POINT('',(2.65,2.17733538461547,-1.46)); #578400=CARTESIAN_POINT('',(2.714,2.11333538461547,-1.396)); #578401=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #578402=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.46)); #578403=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #578404=CARTESIAN_POINT('Origin',(2.65,2.08533538461547,-1.46)); #578405=CARTESIAN_POINT('',(2.65,2.17455893818844,-1.44426073646817)); #578406=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #578407=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.56)); #578408=CARTESIAN_POINT('',(2.15,2.17733538461547,-1.46)); #578409=CARTESIAN_POINT('',(2.15,2.19166683333174,-1.54124285991705)); #578410=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #578411=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.46)); #578412=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #578413=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.46)); #578414=CARTESIAN_POINT('',(2.1,2.12733538461547,-1.41)); #578415=CARTESIAN_POINT('',(2.11933333333333,2.1466687179488,-1.42933333333333)); #578416=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #578417=CARTESIAN_POINT('',(2.1,2.08533538461547,-1.41)); #578418=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #578419=CARTESIAN_POINT('Origin',(1.92149064031341,2.08533538461547,-1.41)); #578420=CARTESIAN_POINT('',(1.92149064031341,2.12733538461547,-1.41)); #578421=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #578422=CARTESIAN_POINT('',(1.92149064031341,2.08533538461547,-1.41)); #578423=CARTESIAN_POINT('',(1.87149064031341,2.17733538461547,-1.46)); #578424=CARTESIAN_POINT('',(1.93549064031341,2.11333538461547,-1.396)); #578425=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #578426=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.46)); #578427=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #578428=CARTESIAN_POINT('Origin',(1.87149064031341,2.08533538461547,-1.46)); #578429=CARTESIAN_POINT('',(1.87149064031341,2.17455893818844,-1.44426073646817)); #578430=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #578431=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.56)); #578432=CARTESIAN_POINT('',(1.63149064031341,2.17733538461547,-1.46)); #578433=CARTESIAN_POINT('',(1.63149064031341,2.19166683333174,-1.54124285991705)); #578434=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #578435=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.46)); #578436=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #578437=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.46)); #578438=CARTESIAN_POINT('',(1.58149064031341,2.12733538461547,-1.41)); #578439=CARTESIAN_POINT('',(1.60082397364674,2.1466687179488,-1.42933333333333)); #578440=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #578441=CARTESIAN_POINT('',(1.58149064031341,2.08533538461547,-1.41)); #578442=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #578443=CARTESIAN_POINT('Origin',(1.42149064031341,2.08533538461547,-1.41)); #578444=CARTESIAN_POINT('',(1.42149064031341,2.12733538461547,-1.41)); #578445=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #578446=CARTESIAN_POINT('',(1.42149064031341,2.08533538461547,-1.41)); #578447=CARTESIAN_POINT('',(1.37149064031341,2.17733538461547,-1.46)); #578448=CARTESIAN_POINT('',(1.43549064031341,2.11333538461547,-1.396)); #578449=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #578450=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.46)); #578451=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #578452=CARTESIAN_POINT('Origin',(1.37149064031341,2.08533538461547,-1.46)); #578453=CARTESIAN_POINT('',(1.37149064031341,2.17455893818844,-1.44426073646817)); #578454=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #578455=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.56)); #578456=CARTESIAN_POINT('',(1.13,2.17733538461547,-1.46)); #578457=CARTESIAN_POINT('',(1.13,2.19166683333174,-1.54124285991705)); #578458=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #578459=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.46)); #578460=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #578461=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.46)); #578462=CARTESIAN_POINT('',(1.08,2.12733538461547,-1.41)); #578463=CARTESIAN_POINT('',(1.09933333333333,2.1466687179488,-1.42933333333333)); #578464=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #578465=CARTESIAN_POINT('',(1.08,2.08533538461547,-1.41)); #578466=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #578467=CARTESIAN_POINT('Origin',(0.92,2.08533538461547,-1.41)); #578468=CARTESIAN_POINT('',(0.92,2.12733538461547,-1.41)); #578469=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #578470=CARTESIAN_POINT('',(0.92,2.08533538461547,-1.41)); #578471=CARTESIAN_POINT('',(0.87,2.17733538461547,-1.46)); #578472=CARTESIAN_POINT('',(0.934,2.11333538461547,-1.396)); #578473=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #578474=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.46)); #578475=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #578476=CARTESIAN_POINT('Origin',(0.87,2.08533538461547,-1.46)); #578477=CARTESIAN_POINT('',(0.87,2.17455893818844,-1.44426073646817)); #578478=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #578479=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.56)); #578480=CARTESIAN_POINT('',(0.630000000000001,2.17733538461547,-1.46)); #578481=CARTESIAN_POINT('',(0.63,2.19166683333174,-1.54124285991705)); #578482=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #578483=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.46)); #578484=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #578485=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.46)); #578486=CARTESIAN_POINT('',(0.58,2.12733538461547,-1.41)); #578487=CARTESIAN_POINT('',(0.599333333333333,2.1466687179488,-1.42933333333333)); #578488=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #578489=CARTESIAN_POINT('',(0.58,2.08533538461547,-1.41)); #578490=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #578491=CARTESIAN_POINT('Origin',(0.42,2.08533538461547,-1.41)); #578492=CARTESIAN_POINT('',(0.420000000000001,2.12733538461547,-1.41)); #578493=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #578494=CARTESIAN_POINT('',(0.42,2.08533538461547,-1.41)); #578495=CARTESIAN_POINT('',(0.37,2.17733538461547,-1.46)); #578496=CARTESIAN_POINT('',(0.434,2.11333538461547,-1.396)); #578497=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #578498=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.46)); #578499=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #578500=CARTESIAN_POINT('Origin',(0.37,2.08533538461547,-1.46)); #578501=CARTESIAN_POINT('',(0.37,2.17455893818844,-1.44426073646817)); #578502=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #578503=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.56)); #578504=CARTESIAN_POINT('',(0.130000000000001,2.17733538461547,-1.46)); #578505=CARTESIAN_POINT('',(0.13,2.19166683333174,-1.54124285991705)); #578506=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #578507=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.46)); #578508=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #578509=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.46)); #578510=CARTESIAN_POINT('',(0.0800000000000003,2.12733538461547,-1.41)); #578511=CARTESIAN_POINT('',(0.0993333333333333,2.1466687179488,-1.42933333333333)); #578512=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #578513=CARTESIAN_POINT('',(0.0800000000000003,2.08533538461547,-1.41)); #578514=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #578515=CARTESIAN_POINT('Origin',(-0.0800000000000003,2.08533538461547, -1.41)); #578516=CARTESIAN_POINT('',(-0.0799999999999999,2.12733538461547,-1.41)); #578517=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #578518=CARTESIAN_POINT('',(-0.0800000000000003,2.08533538461547,-1.41)); #578519=CARTESIAN_POINT('',(-0.13,2.17733538461547,-1.46)); #578520=CARTESIAN_POINT('',(-0.0660000000000001,2.11333538461547,-1.396)); #578521=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #578522=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.46)); #578523=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #578524=CARTESIAN_POINT('Origin',(-0.13,2.08533538461547,-1.46)); #578525=CARTESIAN_POINT('',(-0.13,2.17455893818844,-1.44426073646817)); #578526=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #578527=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.56)); #578528=CARTESIAN_POINT('',(-3.45,2.17733538461547,-1.46)); #578529=CARTESIAN_POINT('',(-3.45,2.19166683333174,-1.54124285991705)); #578530=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #578531=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.46)); #578532=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #578533=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.46)); #578534=CARTESIAN_POINT('',(-3.5,2.12733538461547,-1.41)); #578535=CARTESIAN_POINT('',(-3.48066666666667,2.1466687179488,-1.42933333333333)); #578536=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #578537=CARTESIAN_POINT('',(-3.5,2.08533538461547,-1.41)); #578538=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #578539=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.56)); #578540=CARTESIAN_POINT('',(-2.95,2.17733538461547,-1.46)); #578541=CARTESIAN_POINT('',(-2.95,2.19166683333174,-1.54124285991705)); #578542=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #578543=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #578544=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.46)); #578545=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.46)); #578546=CARTESIAN_POINT('',(-2.9,2.12733538461547,-1.41)); #578547=CARTESIAN_POINT('',(-2.91933333333333,2.1466687179488,-1.42933333333333)); #578548=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #578549=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #578550=CARTESIAN_POINT('',(-2.9,2.08533538461547,-1.41)); #578551=CARTESIAN_POINT('Origin',(-2.7,2.08533538461547,-1.41)); #578552=CARTESIAN_POINT('',(-2.7,2.12733538461547,-1.41)); #578553=CARTESIAN_POINT('',(-2.65,2.17733538461547,-1.46)); #578554=CARTESIAN_POINT('',(-2.714,2.11333538461547,-1.396)); #578555=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #578556=CARTESIAN_POINT('',(-2.7,2.08533538461547,-1.41)); #578557=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #578558=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #578559=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.46)); #578560=CARTESIAN_POINT('Origin',(-2.65,2.08533538461547,-1.46)); #578561=CARTESIAN_POINT('',(-2.65,2.17455893818844,-1.44426073646817)); #578562=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #578563=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.56)); #578564=CARTESIAN_POINT('',(-2.15,2.17733538461547,-1.46)); #578565=CARTESIAN_POINT('',(-2.15,2.19166683333174,-1.54124285991705)); #578566=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #578567=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #578568=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.46)); #578569=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.46)); #578570=CARTESIAN_POINT('',(-2.1,2.12733538461547,-1.41)); #578571=CARTESIAN_POINT('',(-2.11933333333333,2.1466687179488,-1.42933333333333)); #578572=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #578573=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #578574=CARTESIAN_POINT('',(-2.1,2.08533538461547,-1.41)); #578575=CARTESIAN_POINT('Origin',(-1.92149064031341,2.08533538461547,-1.41)); #578576=CARTESIAN_POINT('',(-1.92149064031341,2.12733538461547,-1.41)); #578577=CARTESIAN_POINT('',(-1.87149064031341,2.17733538461547,-1.46)); #578578=CARTESIAN_POINT('',(-1.93549064031341,2.11333538461547,-1.396)); #578579=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #578580=CARTESIAN_POINT('',(-1.92149064031341,2.08533538461547,-1.41)); #578581=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #578582=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #578583=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.46)); #578584=CARTESIAN_POINT('Origin',(-1.87149064031341,2.08533538461547,-1.46)); #578585=CARTESIAN_POINT('',(-1.87149064031341,2.17455893818844,-1.44426073646817)); #578586=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #578587=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.56)); #578588=CARTESIAN_POINT('',(-1.63149064031341,2.17733538461547,-1.46)); #578589=CARTESIAN_POINT('',(-1.63149064031341,2.19166683333174,-1.54124285991705)); #578590=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #578591=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #578592=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.46)); #578593=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.46)); #578594=CARTESIAN_POINT('',(-1.58149064031341,2.12733538461547,-1.41)); #578595=CARTESIAN_POINT('',(-1.60082397364674,2.1466687179488,-1.42933333333333)); #578596=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #578597=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #578598=CARTESIAN_POINT('',(-1.58149064031341,2.08533538461547,-1.41)); #578599=CARTESIAN_POINT('Origin',(-1.42149064031341,2.08533538461547,-1.41)); #578600=CARTESIAN_POINT('',(-1.42149064031341,2.12733538461547,-1.41)); #578601=CARTESIAN_POINT('',(-1.37149064031341,2.17733538461547,-1.46)); #578602=CARTESIAN_POINT('',(-1.43549064031341,2.11333538461547,-1.396)); #578603=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #578604=CARTESIAN_POINT('',(-1.42149064031341,2.08533538461547,-1.41)); #578605=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #578606=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #578607=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.46)); #578608=CARTESIAN_POINT('Origin',(-1.37149064031341,2.08533538461547,-1.46)); #578609=CARTESIAN_POINT('',(-1.37149064031341,2.17455893818844,-1.44426073646817)); #578610=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #578611=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.56)); #578612=CARTESIAN_POINT('',(-1.13,2.17733538461547,-1.46)); #578613=CARTESIAN_POINT('',(-1.13,2.19166683333174,-1.54124285991705)); #578614=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #578615=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #578616=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.46)); #578617=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.46)); #578618=CARTESIAN_POINT('',(-1.08,2.12733538461547,-1.41)); #578619=CARTESIAN_POINT('',(-1.09933333333333,2.1466687179488,-1.42933333333333)); #578620=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #578621=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #578622=CARTESIAN_POINT('',(-1.08,2.08533538461547,-1.41)); #578623=CARTESIAN_POINT('Origin',(-0.92,2.08533538461547,-1.41)); #578624=CARTESIAN_POINT('',(-0.919999999999999,2.12733538461547,-1.41)); #578625=CARTESIAN_POINT('',(-0.87,2.17733538461547,-1.46)); #578626=CARTESIAN_POINT('',(-0.934,2.11333538461547,-1.396)); #578627=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #578628=CARTESIAN_POINT('',(-0.92,2.08533538461547,-1.41)); #578629=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #578630=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #578631=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.46)); #578632=CARTESIAN_POINT('Origin',(-0.87,2.08533538461547,-1.46)); #578633=CARTESIAN_POINT('',(-0.87,2.17455893818844,-1.44426073646817)); #578634=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #578635=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.56)); #578636=CARTESIAN_POINT('',(-0.63,2.17733538461547,-1.46)); #578637=CARTESIAN_POINT('',(-0.63,2.19166683333174,-1.54124285991705)); #578638=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #578639=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #578640=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.46)); #578641=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.46)); #578642=CARTESIAN_POINT('',(-0.58,2.12733538461547,-1.41)); #578643=CARTESIAN_POINT('',(-0.599333333333333,2.1466687179488,-1.42933333333333)); #578644=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #578645=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #578646=CARTESIAN_POINT('',(-0.58,2.08533538461547,-1.41)); #578647=CARTESIAN_POINT('Origin',(-0.42,2.08533538461547,-1.41)); #578648=CARTESIAN_POINT('',(-0.42,2.12733538461547,-1.41)); #578649=CARTESIAN_POINT('',(-0.37,2.17733538461547,-1.46)); #578650=CARTESIAN_POINT('',(-0.434,2.11333538461547,-1.396)); #578651=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #578652=CARTESIAN_POINT('',(-0.42,2.08533538461547,-1.41)); #578653=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #578654=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #578655=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.46)); #578656=CARTESIAN_POINT('Origin',(-0.37,2.08533538461547,-1.46)); #578657=CARTESIAN_POINT('',(-0.37,2.17455893818844,-1.44426073646817)); #578658=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #578659=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.56)); #578660=CARTESIAN_POINT('',(3.45,2.17733538461547,-1.46)); #578661=CARTESIAN_POINT('',(3.45,2.19166683333174,-1.54124285991705)); #578662=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #578663=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #578664=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.46)); #578665=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.46)); #578666=CARTESIAN_POINT('',(3.5,2.12733538461547,-1.41)); #578667=CARTESIAN_POINT('',(3.48066666666667,2.14666871794881,-1.42933333333333)); #578668=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #578669=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #578670=CARTESIAN_POINT('',(3.5,2.08533538461547,-1.41)); #578671=CARTESIAN_POINT('Origin',(3.6,0.,3.67065312314842E-16)); #578672=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #578673=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #578674=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #578675=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.41)); #578676=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #578677=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.56)); #578678=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #578679=CARTESIAN_POINT('Origin',(3.6,2.08533538461547,-1.16)); #578680=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #578681=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #578682=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #578683=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #578684=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #578685=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #578686=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #578687=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #578688=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #578689=CARTESIAN_POINT('Origin',(-3.6,0.,-3.67065312314842E-16)); #578690=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.41)); #578691=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #578692=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #578693=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #578694=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.56)); #578695=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #578696=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #578697=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #578698=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #578699=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #578700=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #578701=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #578702=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #578703=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #578704=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #578705=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #578706=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #578707=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #578708=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #578709=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.26)); #578710=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #578711=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #578712=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #578713=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #578714=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #578715=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #578716=CARTESIAN_POINT('',(-4.15,2.42,-1.26)); #578717=CARTESIAN_POINT('',(-3.57,2.8,-1.26)); #578718=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #578719=CARTESIAN_POINT('',(4.15,2.22,-1.26)); #578720=CARTESIAN_POINT('',(0.380000000000008,5.99,-1.26)); #578721=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #578722=CARTESIAN_POINT('',(4.15,2.42,-1.26)); #578723=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #578724=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #578725=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #578726=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #578727=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #578728=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #578729=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #578730=CARTESIAN_POINT('',(-4.15,0.150000000000001,-0.3)); #578731=CARTESIAN_POINT('',(-4.15,2.42,-0.3)); #578732=CARTESIAN_POINT('',(-3.19,1.08533538461547,-1.26)); #578733=CARTESIAN_POINT('Origin',(-3.19,1.08533538461547,-0.3)); #578734=CARTESIAN_POINT('',(-3.19,0.150000000000001,-1.26)); #578735=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #578736=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,-0.3)); #578737=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #578738=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #578739=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #578740=CARTESIAN_POINT('',(-2.65,0.15,-1.26)); #578741=CARTESIAN_POINT('',(-2.65,0.,-1.26)); #578742=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #578743=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #578744=CARTESIAN_POINT('',(2.65,0.15,-1.26)); #578745=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #578746=CARTESIAN_POINT('',(2.65,0.,-1.26)); #578747=CARTESIAN_POINT('',(3.19,1.08533538461547,-1.26)); #578748=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #578749=CARTESIAN_POINT('',(3.19,0.150000000000001,-1.26)); #578750=CARTESIAN_POINT('',(3.19,2.42,-1.26)); #578751=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #578752=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #578753=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #578754=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #578755=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #578756=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #578757=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #578758=CARTESIAN_POINT('',(-1.07,1.47,-1.26)); #578759=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #578760=CARTESIAN_POINT('',(-1.07,0.749999999999999,-1.26)); #578761=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.26)); #578762=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #578763=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #578764=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #578765=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #578766=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #578767=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #578768=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.26)); #578769=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #578770=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #578771=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #578772=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #578773=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #578774=CARTESIAN_POINT('',(1.35,0.15,-1.26)); #578775=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #578776=CARTESIAN_POINT('',(-1.35,0.15,-1.26)); #578777=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #578778=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #578779=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #578780=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #578781=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #578782=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #578783=CARTESIAN_POINT('',(1.35,0.,-0.6)); #578784=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #578785=CARTESIAN_POINT('',(-1.35,2.834968878138E-33,-1.11)); #578786=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #578787=CARTESIAN_POINT('',(1.35,0.,-1.11)); #578788=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #578789=CARTESIAN_POINT('',(1.35,0.,-0.6)); #578790=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #578791=CARTESIAN_POINT('',(-2.65,-5.42101086242752E-17,-1.11)); #578792=CARTESIAN_POINT('',(-2.65,3.32800694390114E-33,-1.11)); #578793=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #578794=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #578795=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #578796=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #578797=CARTESIAN_POINT('',(2.65,0.,-0.6)); #578798=CARTESIAN_POINT('',(2.895,0.,-0.6)); #578799=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #578800=CARTESIAN_POINT('',(2.65,-3.35655325655722E-17,-1.11)); #578801=CARTESIAN_POINT('',(2.65,0.,-0.6)); #578802=CARTESIAN_POINT('',(3.19,0.,-1.11)); #578803=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #578804=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #578805=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #578806=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #578807=CARTESIAN_POINT('',(4.,0.,-0.3)); #578808=CARTESIAN_POINT('',(3.19,0.,1.11)); #578809=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #578810=CARTESIAN_POINT('',(2.65,-3.39875289180411E-17,1.11)); #578811=CARTESIAN_POINT('',(-3.19,0.,1.11)); #578812=CARTESIAN_POINT('',(2.65,0.,0.6)); #578813=CARTESIAN_POINT('',(2.65,0.,0.6)); #578814=CARTESIAN_POINT('',(2.895,0.,0.6)); #578815=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #578816=CARTESIAN_POINT('Origin',(2.895,0.,0.15)); #578817=CARTESIAN_POINT('Origin',(2.895,0.,-0.15)); #578818=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #578819=CARTESIAN_POINT('',(2.65,1.16678047672032E-15,-1.11)); #578820=CARTESIAN_POINT('',(3.19,0.,-1.11)); #578821=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #578822=CARTESIAN_POINT('',(-1.35,1.1339875512552E-32,-1.11)); #578823=CARTESIAN_POINT('',(1.35,-1.09383952512982E-15,-1.11)); #578824=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #578825=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #578826=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #578827=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #578828=CARTESIAN_POINT('',(-2.65,0.,0.6)); #578829=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #578830=CARTESIAN_POINT('',(-2.65,-4.7327634018372E-17,1.11)); #578831=CARTESIAN_POINT('',(-2.65,0.,0.6)); #578832=CARTESIAN_POINT('',(-3.19,0.,1.11)); #578833=CARTESIAN_POINT('',(-3.19,0.,1.11)); #578834=CARTESIAN_POINT('',(-4.,0.,0.3)); #578835=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #578836=CARTESIAN_POINT('',(-4.,0.,-0.3)); #578837=CARTESIAN_POINT('',(-4.,0.,-0.3)); #578838=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #578839=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #578840=CARTESIAN_POINT('',(1.35,3.65365935868924E-18,1.11)); #578841=CARTESIAN_POINT('',(-1.35,0.,1.11)); #578842=CARTESIAN_POINT('',(-3.19,0.,1.11)); #578843=CARTESIAN_POINT('',(-1.35,0.,0.6)); #578844=CARTESIAN_POINT('',(-1.35,0.,1.26)); #578845=CARTESIAN_POINT('',(1.35,0.,0.6)); #578846=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #578847=CARTESIAN_POINT('',(1.35,0.,1.26)); #578848=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #578849=CARTESIAN_POINT('',(-2.65,2.75298984236131E-17,1.11)); #578850=CARTESIAN_POINT('',(-3.19,0.15,1.26)); #578851=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #578852=CARTESIAN_POINT('',(-1.35,9.38055798137929E-17,1.11)); #578853=CARTESIAN_POINT('',(1.35,2.31455071931858E-16,1.11)); #578854=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #578855=CARTESIAN_POINT('',(2.65,2.97730753322038E-16,1.11)); #578856=CARTESIAN_POINT('',(3.19,0.15,1.26)); #578857=CARTESIAN_POINT('Origin',(3.19,2.42,-0.299999999999999)); #578858=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #578859=CARTESIAN_POINT('Origin',(3.19,1.08533538461547,-0.299999999999999)); #578860=CARTESIAN_POINT('',(4.15,0.150000000000001,-0.299999999999999)); #578861=CARTESIAN_POINT('',(4.15,2.42,-0.299999999999999)); #578862=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,-0.299999999999999)); #578863=CARTESIAN_POINT('Origin',(4.15,2.42,-0.299999999999999)); #578864=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #578865=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #578866=CARTESIAN_POINT('',(4.15,0.150000000000001,0.299999999999999)); #578867=CARTESIAN_POINT('',(4.15,2.42,0.299999999999999)); #578868=CARTESIAN_POINT('',(4.15,0.15,-0.299999999999999)); #578869=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #578870=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #578871=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #578872=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,0.299999999999999)); #578873=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #578874=CARTESIAN_POINT('',(-4.15,0.150000000000001,0.3)); #578875=CARTESIAN_POINT('',(-4.15,2.42,0.3)); #578876=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,0.3)); #578877=CARTESIAN_POINT('Origin',(-4.15,2.42,0.3)); #578878=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #578879=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #578880=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #578881=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.6)); #578882=CARTESIAN_POINT('',(2.895,-1.35,-0.6)); #578883=CARTESIAN_POINT('',(2.895,-1.58,-0.6)); #578884=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #578885=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #578886=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #578887=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #578888=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #578889=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #578890=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #578891=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #578892=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #578893=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #578894=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #578895=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #578896=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #578897=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #578898=CARTESIAN_POINT('',(2.65,0.,-0.6)); #578899=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #578900=CARTESIAN_POINT('',(3.145,-0.38,-0.524165738677394)); #578901=CARTESIAN_POINT('Origin',(2.895,-0.38,-0.15)); #578902=CARTESIAN_POINT('',(3.145,-1.12,-0.524165738677394)); #578903=CARTESIAN_POINT('',(3.145,-1.58,-0.524165738677394)); #578904=CARTESIAN_POINT('',(3.345,-1.12,-0.149999999999999)); #578905=CARTESIAN_POINT('Origin',(2.895,-1.12,-0.15)); #578906=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #578907=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #578908=CARTESIAN_POINT('Origin',(2.895,-1.35,-0.15)); #578909=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #578910=CARTESIAN_POINT('',(3.345,-1.12,0.15)); #578911=CARTESIAN_POINT('',(3.345,-1.12,-10.8234987569897)); #578912=CARTESIAN_POINT('',(3.345,-1.35,0.15)); #578913=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #578914=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #578915=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #578916=CARTESIAN_POINT('',(3.145,-1.12,0.524165738677394)); #578917=CARTESIAN_POINT('',(3.145,-0.38,0.524165738677394)); #578918=CARTESIAN_POINT('',(3.145,-1.58,0.524165738677394)); #578919=CARTESIAN_POINT('Origin',(2.895,-0.38,0.15)); #578920=CARTESIAN_POINT('',(2.895,-1.35,0.6)); #578921=CARTESIAN_POINT('',(2.895,-1.58,0.6)); #578922=CARTESIAN_POINT('Origin',(2.895,-1.35,0.15)); #578923=CARTESIAN_POINT('Origin',(2.895,-1.12,0.15)); #578924=CARTESIAN_POINT('Origin',(2.895,-1.58,0.599999999999999)); #578925=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #578926=CARTESIAN_POINT('',(2.65,0.55,0.6)); #578927=CARTESIAN_POINT('',(2.65,0.55,0.6)); #578928=CARTESIAN_POINT('',(1.35,0.55,0.6)); #578929=CARTESIAN_POINT('',(1.35,0.55,0.6)); #578930=CARTESIAN_POINT('',(1.35,0.55,0.6)); #578931=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #578932=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #578933=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #578934=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #578935=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #578936=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #578937=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #578938=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #578939=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #578940=CARTESIAN_POINT('',(2.895,-1.58,0.369999999999999)); #578941=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #578942=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #578943=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #578944=CARTESIAN_POINT('',(-3.115,-1.58,0.15)); #578945=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #578946=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #578947=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #578948=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #578949=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #578950=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #578951=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #578952=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #578953=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #578954=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #578955=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #578956=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #578957=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #578958=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #578959=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #578960=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #578961=CARTESIAN_POINT('Origin',(-3.04269098028187,-3.01,0.3085)); #578962=CARTESIAN_POINT('',(-3.04269098028186,-3.01,-0.3085)); #578963=CARTESIAN_POINT('',(-3.04269098028187,-3.01,-0.3085)); #578964=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #578965=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #578966=CARTESIAN_POINT('',(-3.04269098028187,-3.01,0.3085)); #578967=CARTESIAN_POINT('Origin',(3.04269098028187,-1.58,0.3085)); #578968=CARTESIAN_POINT('',(3.04269098028187,-3.01,-0.3085)); #578969=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #578970=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #578971=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #578972=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #578973=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #578974=CARTESIAN_POINT('',(3.29269098028187,-3.26,-0.3085)); #578975=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #578976=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #578977=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #578978=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #578979=CARTESIAN_POINT('Origin',(3.29269098028187,-3.57,0.3085)); #578980=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #578981=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #578982=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #578983=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #578984=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #578985=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #578986=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #578987=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #578988=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,-0.3085)); #578989=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #578990=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #578991=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #578992=CARTESIAN_POINT('',(-2.9379376673093,-4.42,-0.2085)); #578993=CARTESIAN_POINT('',(2.9379376673093,-4.42,-0.2085)); #578994=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.2085)); #578995=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.2085)); #578996=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.3085)); #578997=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.2085)); #578998=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.2085)); #578999=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.3085)); #579000=CARTESIAN_POINT('Origin',(-3.04269098028187,-4.42,0.3085)); #579001=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,-0.3085)); #579002=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #579003=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #579004=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #579005=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #579006=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #579007=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #579008=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #579009=CARTESIAN_POINT('Origin',(-3.29269098028184,-3.56999999999999,0.3085)); #579010=CARTESIAN_POINT('',(-3.29269098028186,-3.26,-0.3085)); #579011=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #579012=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #579013=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #579014=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #579015=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #579016=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,-0.3085)); #579017=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #579018=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #579019=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,0.308500018387281)); #579020=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #579021=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,0.308500018387281)); #579022=CARTESIAN_POINT('',(3.29269098028187,-4.32,0.3085)); #579023=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #579024=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #579025=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,-0.308500018387281)); #579026=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #579027=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,-0.308500018387281)); #579028=CARTESIAN_POINT('',(-3.04269098028187,-4.32,-0.3085)); #579029=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #579030=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #579031=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #579032=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #579033=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.37)); #579034=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #579035=CARTESIAN_POINT('Origin',(-3.115,-1.58,-0.15)); #579036=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #579037=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #579038=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #579039=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #579040=CARTESIAN_POINT('',(-2.895,-1.35,0.600000000000001)); #579041=CARTESIAN_POINT('Origin',(3.115,-1.58,-0.15)); #579042=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #579043=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.37)); #579044=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #579045=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #579046=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #579047=CARTESIAN_POINT('',(-4.15,0.15,-0.3)); #579048=CARTESIAN_POINT('Origin',(-4.,0.,-0.3)); #579049=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #579050=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #579051=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #579052=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #579053=CARTESIAN_POINT('Origin',(4.,0.,-0.3)); #579054=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #579055=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #579056=CARTESIAN_POINT('Origin',(3.29269098028187,-4.32,-0.3085)); #579057=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,-0.3485)); #579058=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,-0.3485)); #579059=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.2085)); #579060=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3485)); #579061=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3485)); #579062=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3085)); #579063=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3085)); #579064=CARTESIAN_POINT('Origin',(2.65,0.55,0.6)); #579065=CARTESIAN_POINT('',(2.65,0.55,0.6)); #579066=CARTESIAN_POINT('Origin',(1.35,0.55,1.26)); #579067=CARTESIAN_POINT('',(1.35,0.55,1.26)); #579068=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #579069=CARTESIAN_POINT('Origin',(-2.65,0.55,0.6)); #579070=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #579071=CARTESIAN_POINT('Origin',(-1.35,0.55,1.26)); #579072=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #579073=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #579074=CARTESIAN_POINT('Origin',(2.65,0.,-0.6)); #579075=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #579076=CARTESIAN_POINT('Origin',(2.65,1.08533538461547,-0.6)); #579077=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #579078=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #579079=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #579080=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #579081=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #579082=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #579083=CARTESIAN_POINT('Origin',(2.25,1.08533538461547,-0.6)); #579084=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #579085=CARTESIAN_POINT('Origin',(1.35,0.45,-0.6)); #579086=CARTESIAN_POINT('Origin',(-0.43,1.47,-0.6)); #579087=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #579088=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #579089=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #579090=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #579091=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #579092=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #579093=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #579094=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #579095=CARTESIAN_POINT('',(0.0132276384287744,1.47,-0.170203502129673)); #579096=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #579097=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-0.6)); #579098=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #579099=CARTESIAN_POINT('',(0.953636363636364,0.869999999999999,-1.14)); #579100=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #579101=CARTESIAN_POINT('',(-0.953636363636364,0.869999999999999,-1.14)); #579102=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.14)); #579103=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #579104=CARTESIAN_POINT('',(-0.0132276384287736,0.869999999999999,-0.170203502129673)); #579105=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #579106=CARTESIAN_POINT('Origin',(6.11775520524736E-17,0.,-0.6)); #579107=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #579108=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #579109=CARTESIAN_POINT('Origin',(-2.65,0.,-0.6)); #579110=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #579111=CARTESIAN_POINT('Origin',(-2.65,1.08533538461547,-0.6)); #579112=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #579113=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #579114=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #579115=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #579116=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #579117=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #579118=CARTESIAN_POINT('Origin',(-2.25,1.08533538461547,-0.6)); #579119=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #579120=CARTESIAN_POINT('Origin',(-1.35,0.45,-0.6)); #579121=CARTESIAN_POINT('Origin',(0.43,1.47,-0.6)); #579122=CARTESIAN_POINT('',(0.786177389131793,1.04269206745784,-0.967307932542162)); #579123=CARTESIAN_POINT('Origin',(-0.43,0.869999999999999,-0.6)); #579124=CARTESIAN_POINT('',(-0.511149281698939,1.32631480324797,-0.68368519675203)); #579125=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-1.14)); #579126=CARTESIAN_POINT('Origin',(-1.97,1.47,-1.63)); #579127=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #579128=CARTESIAN_POINT('Origin',(-3.6,1.08533538461547,-1.63)); #579129=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #579130=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #579131=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #579132=CARTESIAN_POINT('Origin',(-3.6,1.88533538461548,-1.63)); #579133=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #579134=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #579135=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #579136=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #579137=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #579138=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #579139=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #579140=CARTESIAN_POINT('',(-3.6,1.88533538461548,-1.63)); #579141=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.63)); #579142=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #579143=CARTESIAN_POINT('Origin',(1.97,1.47,-1.63)); #579144=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #579145=CARTESIAN_POINT('Origin',(1.6619901640922E-16,0.,-1.63)); #579146=CARTESIAN_POINT('',(2.64,1.53533538461547,-1.63)); #579147=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-1.63)); #579148=CARTESIAN_POINT('',(-3.14,1.53533538461547,-1.63)); #579149=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-1.63)); #579150=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #579151=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #579152=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.28)); #579153=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #579154=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #579155=CARTESIAN_POINT('',(-3.04,1.53533538461547,-2.28)); #579156=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #579157=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #579158=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.18)); #579159=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.28)); #579160=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.18)); #579161=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #579162=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #579163=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #579164=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #579165=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #579166=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #579167=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #579168=CARTESIAN_POINT('Origin',(-4.15,2.22,0.3)); #579169=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #579170=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #579171=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #579172=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #579173=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #579174=CARTESIAN_POINT('',(3.6,2.355,1.01590851370828)); #579175=CARTESIAN_POINT('Ctrl Pts',(3.6,2.35500000000019,1.01590851370843)); #579176=CARTESIAN_POINT('Ctrl Pts',(3.6,2.37685164369348,0.990727075435561)); #579177=CARTESIAN_POINT('Ctrl Pts',(3.6,2.39853659842912,0.965412771468694)); #579178=CARTESIAN_POINT('Ctrl Pts',(3.6,2.42,0.939921870231046)); #579179=CARTESIAN_POINT('',(3.32213604519991,2.355,1.11434947384948)); #579180=CARTESIAN_POINT('Origin',(3.19,2.355,0.299999999999999)); #579181=CARTESIAN_POINT('',(3.32213604519991,2.47,0.997595918536604)); #579182=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.47,0.997595918536604)); #579183=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.43171513226147,1.03656153655711)); #579184=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.39337494808504,1.07547263634076)); #579185=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.355,1.11434947384948)); #579186=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #579187=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #579188=CARTESIAN_POINT('Origin',(4.15,2.22,-0.299999999999999)); #579189=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.16)); #579190=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #579191=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.16)); #579192=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #579193=CARTESIAN_POINT('Origin',(9.05193895539827E-17,0.,-1.16)); #579194=CARTESIAN_POINT('Origin',(2.9,2.08533538461547,-1.41)); #579195=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579196=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579197=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579198=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579199=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579200=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579201=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579202=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579203=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579204=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579205=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579206=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #579207=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579208=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579209=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579210=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579211=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579212=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579213=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579214=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579215=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579216=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579217=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579218=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579219=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579220=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579221=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579222=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579223=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579224=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579225=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579226=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579227=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579228=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579229=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579230=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579231=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579232=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579233=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579234=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579235=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579236=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579237=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579238=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579239=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579240=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579241=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #579242=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #579243=CARTESIAN_POINT('Origin',(-0.17,2.355,0.37)); #579244=CARTESIAN_POINT('',(-0.17,2.355,0.369999999999999)); #579245=CARTESIAN_POINT('',(-0.17,2.37,0.37)); #579246=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #579247=CARTESIAN_POINT('',(-0.17,2.47,0.27)); #579248=CARTESIAN_POINT('',(-0.17,2.37,0.369999999999999)); #579249=CARTESIAN_POINT('',(-0.17,2.47,0.369999999999999)); #579250=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #579251=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #579252=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #579253=CARTESIAN_POINT('Origin',(-0.17,2.355,-0.869999999999998)); #579254=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #579255=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #579256=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #579257=CARTESIAN_POINT('Origin',(-3.1,2.355,-0.869999999999998)); #579258=CARTESIAN_POINT('',(-3.1,2.47,-1.04)); #579259=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #579260=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #579261=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #579262=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #579263=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #579264=CARTESIAN_POINT('Origin',(3.1,2.355,1.26)); #579265=CARTESIAN_POINT('',(3.1,2.47,1.06)); #579266=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #579267=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #579268=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #579269=CARTESIAN_POINT('',(3.1,1.855,1.26)); #579270=CARTESIAN_POINT('Origin',(3.1,2.355,-0.869999999999998)); #579271=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #579272=CARTESIAN_POINT('Origin',(0.17,2.355,-0.869999999999998)); #579273=CARTESIAN_POINT('',(0.17,2.47,0.27)); #579274=CARTESIAN_POINT('',(0.17,2.47,-1.04)); #579275=CARTESIAN_POINT('',(0.17,2.37,0.37)); #579276=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #579277=CARTESIAN_POINT('',(0.17,2.355,0.37)); #579278=CARTESIAN_POINT('Origin',(0.17,2.355,0.369999999999999)); #579279=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #579280=CARTESIAN_POINT('',(-0.379348936836007,2.355,0.369999999999999)); #579281=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #579282=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #579283=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #579284=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #579285=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #579286=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #579287=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #579288=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #579289=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #579290=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #579291=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #579292=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #579293=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #579294=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #579295=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #579296=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #579297=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #579298=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #579299=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #579300=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #579301=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #579302=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #579303=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #579304=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #579305=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #579306=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #579307=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #579308=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #579309=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #579310=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #579311=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #579312=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #579313=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #579314=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #579315=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #579316=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #579317=CARTESIAN_POINT('Origin',(-3.6,2.355,1.25086280059687)); #579318=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #579319=CARTESIAN_POINT('',(-3.6,2.355,1.25086280059687)); #579320=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #579321=CARTESIAN_POINT('Origin',(-3.6,2.355,-0.869999999999999)); #579322=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #579323=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #579324=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #579325=CARTESIAN_POINT('Origin',(-3.32213604519992,2.355,-0.869999999999999)); #579326=CARTESIAN_POINT('',(-3.32213604519992,2.47,-1.04)); #579327=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #579328=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #579329=CARTESIAN_POINT('Origin',(3.6,2.355,1.25086280059687)); #579330=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #579331=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #579332=CARTESIAN_POINT('',(3.6,2.355,1.25086280059687)); #579333=CARTESIAN_POINT('Origin',(3.6,2.355,-0.869999999999998)); #579334=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #579335=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #579336=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #579337=CARTESIAN_POINT('Origin',(3.32213604519991,2.355,-0.869999999999998)); #579338=CARTESIAN_POINT('',(3.32213604519991,2.47,-1.04)); #579339=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #579340=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #579341=CARTESIAN_POINT('Origin',(3.1,1.855,-0.0400000000000008)); #579342=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #579343=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #579344=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #579345=CARTESIAN_POINT('Origin',(2.72229406209047,1.855,-0.0400000000000008)); #579346=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #579347=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #579348=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #579349=CARTESIAN_POINT('Origin',(2.65,1.855,0.369999999999999)); #579350=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #579351=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #579352=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #579353=CARTESIAN_POINT('Origin',(2.41,1.855,0.369999999999999)); #579354=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #579355=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #579356=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #579357=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.21)); #579358=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #579359=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #579360=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #579361=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.358466407912088)); #579362=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #579363=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #579364=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #579365=CARTESIAN_POINT('Origin',(2.04754028675967,1.855,-0.508466407912088)); #579366=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #579367=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #579368=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #579369=CARTESIAN_POINT('Origin',(1.98427092032134,1.855,-0.508466407912088)); #579370=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #579371=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #579372=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #579373=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.358466407912088)); #579374=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #579375=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #579376=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #579377=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.21)); #579378=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #579379=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #579380=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #579381=CARTESIAN_POINT('Origin',(1.47226964881091,1.855,-0.21)); #579382=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #579383=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #579384=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #579385=CARTESIAN_POINT('Origin',(1.37,1.855,0.369999999999999)); #579386=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #579387=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #579388=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #579389=CARTESIAN_POINT('Origin',(1.13,1.855,0.369999999999999)); #579390=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #579391=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #579392=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #579393=CARTESIAN_POINT('Origin',(1.05946920771661,1.855,-0.0300000000000006)); #579394=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #579395=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #579396=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #579397=CARTESIAN_POINT('Origin',(0.449879729119392,1.855,-0.0300000000000006)); #579398=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #579399=CARTESIAN_POINT('Origin',(-0.449879729119392,1.855,-0.0300000000000006)); #579400=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #579401=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #579402=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #579403=CARTESIAN_POINT('Origin',(-1.05946920771661,1.855,-0.0300000000000006)); #579404=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #579405=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #579406=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #579407=CARTESIAN_POINT('Origin',(-1.13,1.855,0.369999999999999)); #579408=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #579409=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #579410=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #579411=CARTESIAN_POINT('Origin',(-1.37,1.855,0.369999999999999)); #579412=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #579413=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #579414=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #579415=CARTESIAN_POINT('Origin',(-1.47226964881091,1.855,-0.21)); #579416=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #579417=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #579418=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #579419=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.21)); #579420=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #579421=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #579422=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #579423=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.358466407912088)); #579424=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #579425=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #579426=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #579427=CARTESIAN_POINT('Origin',(-1.98427092032134,1.855,-0.508466407912088)); #579428=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #579429=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #579430=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #579431=CARTESIAN_POINT('Origin',(-2.04754028675967,1.855,-0.508466407912088)); #579432=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #579433=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #579434=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #579435=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.358466407912088)); #579436=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #579437=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #579438=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #579439=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.21)); #579440=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #579441=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #579442=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #579443=CARTESIAN_POINT('Origin',(-2.41,1.855,0.369999999999999)); #579444=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #579445=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #579446=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #579447=CARTESIAN_POINT('Origin',(-2.65,1.855,0.369999999999999)); #579448=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #579449=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #579450=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #579451=CARTESIAN_POINT('Origin',(-2.72229406209047,1.855,-0.0400000000000008)); #579452=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #579453=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #579454=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #579455=CARTESIAN_POINT('Origin',(-3.1,1.855,-0.0400000000000008)); #579456=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #579457=CARTESIAN_POINT('Origin',(0.,1.855,0.)); #579458=CARTESIAN_POINT('Origin',(-3.345,-0.38,-10.8234987569897)); #579459=CARTESIAN_POINT('',(-3.145,-0.38,-10.8234987569897)); #579460=CARTESIAN_POINT('Origin',(-3.145,-0.38,-10.8234987569897)); #579461=CARTESIAN_POINT('',(-3.145,-1.12,-10.8234987569897)); #579462=CARTESIAN_POINT('Origin',(-3.145,-1.12,-10.8234987569897)); #579463=CARTESIAN_POINT('Origin',(3.345,-0.38,-10.8234987569897)); #579464=CARTESIAN_POINT('',(3.145,-0.38,-10.8234987569897)); #579465=CARTESIAN_POINT('Origin',(3.145,-1.12,-10.8234987569897)); #579466=CARTESIAN_POINT('',(3.145,-1.12,-10.8234987569897)); #579467=CARTESIAN_POINT('Origin',(3.145,-0.38,-10.8234987569897)); #579468=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #579469=CARTESIAN_POINT('Origin',(0.,2.47,0.)); #579470=CARTESIAN_POINT('',(4.30492039075126E-17,2.47,0.27)); #579471=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #579472=CARTESIAN_POINT('Origin',(0.17,2.37,0.369999999999999)); #579473=CARTESIAN_POINT('',(0.,0.,0.)); #579474=CARTESIAN_POINT('Origin',(0.41,-1.5,-0.12)); #579475=CARTESIAN_POINT('',(0.41,-1.5,0.)); #579476=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579477=CARTESIAN_POINT('',(0.41,-1.5,0.)); #579478=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579479=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579480=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #579481=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #579482=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #579483=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #579484=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #579485=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579486=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579487=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579488=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #579489=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579490=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #579491=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #579492=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #579493=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #579494=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #579495=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #579496=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #579497=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #579498=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #579499=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #579500=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579501=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579502=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #579503=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579504=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579505=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #579506=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #579507=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579508=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #579509=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579510=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579511=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #579512=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #579513=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579514=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579515=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #579516=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579517=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #579518=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #579519=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #579520=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #579521=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #579522=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #579523=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #579524=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #579525=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #579526=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #579527=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579528=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579529=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579530=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #579531=CARTESIAN_POINT('',(0.76,-1.5,0.)); #579532=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579533=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #579534=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #579535=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579536=CARTESIAN_POINT('Origin',(0.76,-1.5,-0.12)); #579537=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #579538=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #579539=CARTESIAN_POINT('',(0.76,-6.03,-0.12)); #579540=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #579541=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #579542=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #579543=CARTESIAN_POINT('',(0.76,-5.99,0.)); #579544=CARTESIAN_POINT('',(0.76,-1.5,0.)); #579545=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #579546=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.12)); #579547=CARTESIAN_POINT('',(0.41,-6.03,-0.08)); #579548=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #579549=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #579550=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #579551=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #579552=CARTESIAN_POINT('Origin',(0.41,-6.03,-0.12)); #579553=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #579554=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #579555=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #579556=CARTESIAN_POINT('',(0.41,-5.99,0.)); #579557=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #579558=CARTESIAN_POINT('',(0.41,-6.03,0.)); #579559=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #579560=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #579561=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #579562=CARTESIAN_POINT('Origin',(0.,0.,0.)); #579563=CARTESIAN_POINT('',(0.,-5.99,0.)); #579564=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #579565=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #579566=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #579567=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #579568=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579569=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579570=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #579571=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #579572=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #579573=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #579574=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #579575=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579576=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579577=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #579578=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #579579=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #579580=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #579581=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #579582=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #579583=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.04)); #579584=CARTESIAN_POINT('',(0.,0.,0.)); #579585=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #579586=CARTESIAN_POINT('',(0.375,-1.5,0.)); #579587=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579588=CARTESIAN_POINT('',(0.375,-1.5,0.)); #579589=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579590=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579591=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #579592=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #579593=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #579594=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #579595=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #579596=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579597=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579598=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579599=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #579600=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579601=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #579602=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #579603=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #579604=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #579605=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #579606=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #579607=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #579608=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #579609=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #579610=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #579611=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579612=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579613=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #579614=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579615=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579616=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #579617=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #579618=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579619=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #579620=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579621=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579622=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #579623=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #579624=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579625=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579626=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #579627=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579628=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579629=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579630=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579631=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579632=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #579633=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579634=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579635=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579636=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #579637=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #579638=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579639=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579640=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579641=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #579642=CARTESIAN_POINT('',(0.625,-1.5,0.)); #579643=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579644=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #579645=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #579646=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579647=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #579648=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #579649=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #579650=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #579651=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #579652=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #579653=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #579654=CARTESIAN_POINT('',(0.625,-5.47,0.)); #579655=CARTESIAN_POINT('',(0.625,-1.5,0.)); #579656=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #579657=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #579658=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #579659=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #579660=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #579661=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #579662=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #579663=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #579664=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #579665=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #579666=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #579667=CARTESIAN_POINT('',(0.375,-5.47,0.)); #579668=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #579669=CARTESIAN_POINT('',(0.375,-5.51,0.)); #579670=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #579671=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #579672=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #579673=CARTESIAN_POINT('Origin',(0.,0.,0.)); #579674=CARTESIAN_POINT('',(0.,-5.47,0.)); #579675=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #579676=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #579677=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #579678=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579679=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579680=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579681=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #579682=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #579683=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579684=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579685=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #579686=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579687=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579688=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #579689=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #579690=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579691=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579692=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #579693=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #579694=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #579695=CARTESIAN_POINT('',(0.,0.,0.)); #579696=CARTESIAN_POINT('Origin',(0.15,-1.5,-0.12)); #579697=CARTESIAN_POINT('',(0.15,-1.5,0.)); #579698=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579699=CARTESIAN_POINT('',(0.15,-1.5,0.)); #579700=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579701=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579702=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #579703=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #579704=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #579705=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #579706=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #579707=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579708=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579709=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579710=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #579711=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579712=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #579713=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #579714=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #579715=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #579716=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #579717=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #579718=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #579719=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #579720=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #579721=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #579722=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579723=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579724=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #579725=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579726=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579727=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #579728=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #579729=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579730=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #579731=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579732=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579733=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #579734=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #579735=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579736=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579737=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #579738=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579739=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579740=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579741=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579742=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579743=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #579744=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579745=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579746=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579747=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #579748=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #579749=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579750=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579751=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579752=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #579753=CARTESIAN_POINT('',(0.5,-1.5,0.)); #579754=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579755=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #579756=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #579757=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579758=CARTESIAN_POINT('Origin',(0.5,-1.5,-0.12)); #579759=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #579760=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #579761=CARTESIAN_POINT('',(0.5,-6.03,-0.12)); #579762=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #579763=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #579764=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #579765=CARTESIAN_POINT('',(0.5,-5.99,0.)); #579766=CARTESIAN_POINT('',(0.5,-1.5,0.)); #579767=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #579768=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.12)); #579769=CARTESIAN_POINT('',(0.15,-6.03,-0.08)); #579770=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #579771=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #579772=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #579773=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #579774=CARTESIAN_POINT('Origin',(0.15,-6.03,-0.12)); #579775=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #579776=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #579777=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #579778=CARTESIAN_POINT('',(0.15,-5.99,0.)); #579779=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #579780=CARTESIAN_POINT('',(0.15,-6.03,0.)); #579781=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #579782=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #579783=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #579784=CARTESIAN_POINT('Origin',(0.,0.,0.)); #579785=CARTESIAN_POINT('',(0.,-5.99,0.)); #579786=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #579787=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #579788=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #579789=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579790=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579791=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579792=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #579793=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #579794=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579795=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579796=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #579797=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579798=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579799=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #579800=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #579801=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579802=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579803=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #579804=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #579805=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.04)); #579806=CARTESIAN_POINT('',(0.,0.,0.)); #579807=CARTESIAN_POINT('Origin',(0.875,-1.5,-0.12)); #579808=CARTESIAN_POINT('',(0.875,-1.5,0.)); #579809=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579810=CARTESIAN_POINT('',(0.875,-1.5,0.)); #579811=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579812=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579813=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #579814=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #579815=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #579816=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #579817=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #579818=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579819=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579820=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579821=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #579822=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579823=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #579824=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #579825=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #579826=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #579827=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #579828=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #579829=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #579830=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #579831=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #579832=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #579833=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579834=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579835=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #579836=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579837=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579838=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #579839=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #579840=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579841=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #579842=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579843=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579844=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #579845=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #579846=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579847=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579848=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #579849=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579850=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579851=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579852=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579853=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579854=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #579855=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579856=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579857=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579858=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #579859=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #579860=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579861=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579862=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579863=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #579864=CARTESIAN_POINT('',(1.125,-1.5,0.)); #579865=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579866=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #579867=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #579868=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579869=CARTESIAN_POINT('Origin',(1.125,-1.5,-0.12)); #579870=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #579871=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #579872=CARTESIAN_POINT('',(1.125,-5.51,-0.12)); #579873=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #579874=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #579875=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #579876=CARTESIAN_POINT('',(1.125,-5.47,0.)); #579877=CARTESIAN_POINT('',(1.125,-1.5,0.)); #579878=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #579879=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.12)); #579880=CARTESIAN_POINT('',(0.875,-5.51,-0.08)); #579881=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #579882=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #579883=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #579884=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #579885=CARTESIAN_POINT('Origin',(0.875,-5.51,-0.12)); #579886=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #579887=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #579888=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #579889=CARTESIAN_POINT('',(0.875,-5.47,0.)); #579890=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #579891=CARTESIAN_POINT('',(0.875,-5.51,0.)); #579892=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #579893=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #579894=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #579895=CARTESIAN_POINT('Origin',(0.,0.,0.)); #579896=CARTESIAN_POINT('',(0.,-5.47,0.)); #579897=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #579898=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #579899=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #579900=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #579901=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579902=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579903=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #579904=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #579905=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579906=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #579907=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #579908=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579909=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579910=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #579911=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #579912=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #579913=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #579914=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #579915=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #579916=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.04)); #579917=CARTESIAN_POINT('',(0.,0.,0.)); #579918=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #579919=CARTESIAN_POINT('',(0.375,-1.5,0.)); #579920=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579921=CARTESIAN_POINT('',(0.375,-1.5,0.)); #579922=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579923=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579924=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #579925=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #579926=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #579927=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #579928=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #579929=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #579930=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579931=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579932=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #579933=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #579934=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #579935=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #579936=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #579937=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #579938=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #579939=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #579940=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #579941=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #579942=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #579943=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #579944=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579945=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #579946=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #579947=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #579948=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #579949=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #579950=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #579951=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579952=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #579953=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579954=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579955=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #579956=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #579957=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579958=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579959=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #579960=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #579961=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #579962=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #579963=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #579964=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #579965=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #579966=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #579967=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #579968=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #579969=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #579970=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #579971=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579972=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #579973=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #579974=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #579975=CARTESIAN_POINT('',(0.625,-1.5,0.)); #579976=CARTESIAN_POINT('',(0.12,-0.5,0.)); #579977=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #579978=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #579979=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #579980=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #579981=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #579982=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #579983=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #579984=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #579985=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #579986=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #579987=CARTESIAN_POINT('',(0.625,-5.47,0.)); #579988=CARTESIAN_POINT('',(0.625,-1.5,0.)); #579989=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #579990=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #579991=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #579992=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #579993=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #579994=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #579995=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #579996=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #579997=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #579998=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #579999=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #580000=CARTESIAN_POINT('',(0.375,-5.47,0.)); #580001=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #580002=CARTESIAN_POINT('',(0.375,-5.51,0.)); #580003=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580004=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #580005=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #580006=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580007=CARTESIAN_POINT('',(0.,-5.47,0.)); #580008=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #580009=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #580010=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #580011=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #580012=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580013=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #580014=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #580015=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #580016=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #580017=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #580018=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #580019=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580020=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #580021=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #580022=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #580023=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #580024=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #580025=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #580026=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #580027=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #580028=CARTESIAN_POINT('',(0.,0.,0.)); #580029=CARTESIAN_POINT('Origin',(1.105,-1.5,-0.12)); #580030=CARTESIAN_POINT('',(1.105,-1.5,0.)); #580031=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #580032=CARTESIAN_POINT('',(1.105,-1.5,0.)); #580033=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #580034=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #580035=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #580036=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #580037=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #580038=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #580039=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #580040=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #580041=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #580042=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #580043=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #580044=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #580045=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #580046=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #580047=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #580048=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #580049=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #580050=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #580051=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #580052=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #580053=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #580054=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #580055=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #580056=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #580057=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #580058=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #580059=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #580060=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #580061=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #580062=CARTESIAN_POINT('',(0.12,-0.5,0.)); #580063=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #580064=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #580065=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #580066=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #580067=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #580068=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #580069=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580070=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #580071=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #580072=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #580073=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #580074=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #580075=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #580076=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #580077=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #580078=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #580079=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #580080=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #580081=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #580082=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #580083=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #580084=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580085=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #580086=CARTESIAN_POINT('',(1.455,-1.5,0.)); #580087=CARTESIAN_POINT('',(0.12,-0.5,0.)); #580088=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #580089=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #580090=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #580091=CARTESIAN_POINT('Origin',(1.455,-1.5,-0.12)); #580092=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #580093=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #580094=CARTESIAN_POINT('',(1.455,-5.69,-0.12)); #580095=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #580096=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #580097=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #580098=CARTESIAN_POINT('',(1.455,-5.65,0.)); #580099=CARTESIAN_POINT('',(1.455,-1.5,0.)); #580100=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #580101=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.12)); #580102=CARTESIAN_POINT('',(1.105,-5.69,-0.08)); #580103=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #580104=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #580105=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #580106=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #580107=CARTESIAN_POINT('Origin',(1.105,-5.69,-0.12)); #580108=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #580109=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #580110=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #580111=CARTESIAN_POINT('',(1.105,-5.65,0.)); #580112=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #580113=CARTESIAN_POINT('',(1.105,-5.69,0.)); #580114=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580115=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #580116=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #580117=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580118=CARTESIAN_POINT('',(0.,-5.65,0.)); #580119=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #580120=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #580121=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #580122=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #580123=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580124=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #580125=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #580126=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #580127=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #580128=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #580129=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #580130=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580131=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #580132=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #580133=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #580134=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #580135=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #580136=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #580137=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #580138=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.04)); #580139=CARTESIAN_POINT('',(0.,0.,0.)); #580140=CARTESIAN_POINT('Origin',(0.845,-1.5,-0.12)); #580141=CARTESIAN_POINT('',(0.845,-1.5,0.)); #580142=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #580143=CARTESIAN_POINT('',(0.845,-1.5,0.)); #580144=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #580145=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #580146=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #580147=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #580148=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #580149=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #580150=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #580151=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #580152=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #580153=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #580154=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #580155=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #580156=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #580157=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #580158=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #580159=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #580160=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #580161=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #580162=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #580163=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #580164=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #580165=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #580166=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #580167=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #580168=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #580169=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #580170=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #580171=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #580172=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #580173=CARTESIAN_POINT('',(0.12,-0.5,0.)); #580174=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #580175=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #580176=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #580177=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #580178=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #580179=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #580180=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580181=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #580182=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #580183=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #580184=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #580185=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #580186=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #580187=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #580188=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #580189=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #580190=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #580191=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #580192=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #580193=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #580194=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #580195=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580196=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #580197=CARTESIAN_POINT('',(1.195,-1.5,0.)); #580198=CARTESIAN_POINT('',(0.12,-0.5,0.)); #580199=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #580200=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #580201=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #580202=CARTESIAN_POINT('Origin',(1.195,-1.5,-0.12)); #580203=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #580204=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #580205=CARTESIAN_POINT('',(1.195,-5.69,-0.12)); #580206=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #580207=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #580208=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #580209=CARTESIAN_POINT('',(1.195,-5.65,0.)); #580210=CARTESIAN_POINT('',(1.195,-1.5,0.)); #580211=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #580212=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.12)); #580213=CARTESIAN_POINT('',(0.845,-5.69,-0.08)); #580214=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #580215=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #580216=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #580217=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #580218=CARTESIAN_POINT('Origin',(0.845,-5.69,-0.12)); #580219=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #580220=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #580221=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #580222=CARTESIAN_POINT('',(0.845,-5.65,0.)); #580223=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #580224=CARTESIAN_POINT('',(0.845,-5.69,0.)); #580225=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580226=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #580227=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #580228=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580229=CARTESIAN_POINT('',(0.,-5.65,0.)); #580230=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #580231=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #580232=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #580233=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #580234=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580235=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #580236=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #580237=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #580238=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #580239=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #580240=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #580241=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #580242=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #580243=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #580244=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #580245=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #580246=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #580247=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #580248=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #580249=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.04)); #580250=CARTESIAN_POINT('',(0.,0.,0.)); #580251=CARTESIAN_POINT('Origin',(0.41,1.5,-0.12)); #580252=CARTESIAN_POINT('',(0.41,1.5,0.)); #580253=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580254=CARTESIAN_POINT('',(0.41,1.5,0.)); #580255=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #580256=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #580257=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580258=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #580259=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580260=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #580261=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #580262=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580263=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #580264=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580265=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580266=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580267=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #580268=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580269=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #580270=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #580271=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #580272=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #580273=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #580274=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #580275=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #580276=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #580277=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #580278=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #580279=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580280=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580281=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580282=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #580283=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #580284=CARTESIAN_POINT('',(0.12,0.5,0.)); #580285=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #580286=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580287=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580288=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #580289=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580290=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580291=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #580292=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #580293=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580294=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580295=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580296=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580297=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580298=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #580299=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580300=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580301=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580302=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #580303=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580304=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580305=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #580306=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580307=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #580308=CARTESIAN_POINT('',(0.76,1.5,0.)); #580309=CARTESIAN_POINT('',(0.12,0.5,0.)); #580310=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #580311=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580312=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #580313=CARTESIAN_POINT('Origin',(0.76,1.5,-0.12)); #580314=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #580315=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #580316=CARTESIAN_POINT('',(0.76,6.03,-0.12)); #580317=CARTESIAN_POINT('',(0.76,5.99,0.)); #580318=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #580319=CARTESIAN_POINT('',(0.76,1.5,0.)); #580320=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #580321=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #580322=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #580323=CARTESIAN_POINT('Origin',(0.76,6.03,-0.12)); #580324=CARTESIAN_POINT('',(0.41,6.03,-0.08)); #580325=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #580326=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #580327=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #580328=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #580329=CARTESIAN_POINT('Origin',(0.41,6.03,-0.12)); #580330=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #580331=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #580332=CARTESIAN_POINT('',(0.41,5.99,0.)); #580333=CARTESIAN_POINT('',(0.41,6.03,0.)); #580334=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #580335=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #580336=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580337=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #580338=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #580339=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580340=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #580341=CARTESIAN_POINT('',(0.,5.99,0.)); #580342=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #580343=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580344=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #580345=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580346=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580347=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #580348=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #580349=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580350=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580351=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #580352=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580353=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580354=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #580355=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #580356=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580357=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580358=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #580359=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #580360=CARTESIAN_POINT('Origin',(0.76,6.03,-0.04)); #580361=CARTESIAN_POINT('',(0.,0.,0.)); #580362=CARTESIAN_POINT('Origin',(0.15,1.5,-0.12)); #580363=CARTESIAN_POINT('',(0.15,1.5,0.)); #580364=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580365=CARTESIAN_POINT('',(0.15,1.5,0.)); #580366=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #580367=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #580368=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580369=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #580370=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580371=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #580372=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #580373=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580374=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #580375=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580376=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580377=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580378=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #580379=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580380=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580381=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #580382=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580383=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580384=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #580385=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580386=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580387=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580388=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #580389=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #580390=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580391=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580392=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580393=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #580394=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #580395=CARTESIAN_POINT('',(0.12,0.5,0.)); #580396=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #580397=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580398=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580399=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #580400=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580401=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580402=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #580403=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #580404=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580405=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580406=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580407=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580408=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580409=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #580410=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580411=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580412=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580413=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #580414=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580415=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580416=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #580417=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580418=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #580419=CARTESIAN_POINT('',(0.5,1.5,0.)); #580420=CARTESIAN_POINT('',(0.12,0.5,0.)); #580421=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #580422=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580423=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #580424=CARTESIAN_POINT('Origin',(0.5,1.5,-0.12)); #580425=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #580426=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #580427=CARTESIAN_POINT('',(0.5,6.03,-0.12)); #580428=CARTESIAN_POINT('',(0.5,5.99,0.)); #580429=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #580430=CARTESIAN_POINT('',(0.5,1.5,0.)); #580431=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #580432=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #580433=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #580434=CARTESIAN_POINT('Origin',(0.5,6.03,-0.12)); #580435=CARTESIAN_POINT('',(0.15,6.03,-0.08)); #580436=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #580437=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #580438=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #580439=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #580440=CARTESIAN_POINT('Origin',(0.15,6.03,-0.12)); #580441=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #580442=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #580443=CARTESIAN_POINT('',(0.15,5.99,0.)); #580444=CARTESIAN_POINT('',(0.15,6.03,0.)); #580445=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #580446=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #580447=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580448=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #580449=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #580450=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580451=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #580452=CARTESIAN_POINT('',(0.,5.99,0.)); #580453=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #580454=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580455=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #580456=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580457=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580458=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #580459=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #580460=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580461=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580462=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #580463=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580464=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580465=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #580466=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #580467=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580468=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580469=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #580470=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #580471=CARTESIAN_POINT('Origin',(0.5,6.03,-0.04)); #580472=CARTESIAN_POINT('',(0.,0.,0.)); #580473=CARTESIAN_POINT('Origin',(1.105,1.5,-0.12)); #580474=CARTESIAN_POINT('',(1.105,1.5,0.)); #580475=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580476=CARTESIAN_POINT('',(1.105,1.5,0.)); #580477=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #580478=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #580479=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580480=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #580481=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580482=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #580483=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #580484=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580485=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #580486=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580487=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580488=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580489=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #580490=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580491=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #580492=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #580493=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #580494=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #580495=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #580496=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #580497=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #580498=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #580499=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #580500=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #580501=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580502=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580503=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580504=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #580505=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #580506=CARTESIAN_POINT('',(0.12,0.5,0.)); #580507=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #580508=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580509=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580510=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #580511=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580512=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580513=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #580514=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #580515=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580516=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580517=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580518=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580519=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580520=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #580521=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580522=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580523=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580524=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #580525=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580526=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580527=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #580528=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580529=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #580530=CARTESIAN_POINT('',(1.455,1.5,0.)); #580531=CARTESIAN_POINT('',(0.12,0.5,0.)); #580532=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #580533=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580534=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #580535=CARTESIAN_POINT('Origin',(1.455,1.5,-0.12)); #580536=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #580537=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #580538=CARTESIAN_POINT('',(1.455,5.69,-0.12)); #580539=CARTESIAN_POINT('',(1.455,5.65,0.)); #580540=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #580541=CARTESIAN_POINT('',(1.455,1.5,0.)); #580542=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #580543=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #580544=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #580545=CARTESIAN_POINT('Origin',(1.455,5.69,-0.12)); #580546=CARTESIAN_POINT('',(1.105,5.69,-0.08)); #580547=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #580548=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #580549=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #580550=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #580551=CARTESIAN_POINT('Origin',(1.105,5.69,-0.12)); #580552=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #580553=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #580554=CARTESIAN_POINT('',(1.105,5.65,0.)); #580555=CARTESIAN_POINT('',(1.105,5.69,0.)); #580556=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #580557=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #580558=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580559=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #580560=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #580561=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580562=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #580563=CARTESIAN_POINT('',(0.,5.65,0.)); #580564=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #580565=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580566=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #580567=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580568=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580569=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #580570=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #580571=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580572=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580573=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #580574=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580575=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580576=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #580577=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #580578=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580579=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580580=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #580581=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #580582=CARTESIAN_POINT('Origin',(1.455,5.69,-0.04)); #580583=CARTESIAN_POINT('',(0.,0.,0.)); #580584=CARTESIAN_POINT('Origin',(0.845,1.5,-0.12)); #580585=CARTESIAN_POINT('',(0.845,1.5,0.)); #580586=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580587=CARTESIAN_POINT('',(0.845,1.5,0.)); #580588=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #580589=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #580590=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580591=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #580592=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580593=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #580594=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #580595=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580596=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #580597=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580598=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580599=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580600=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #580601=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580602=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580603=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #580604=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580605=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580606=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #580607=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580608=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580609=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580610=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #580611=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #580612=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580613=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580614=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580615=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #580616=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #580617=CARTESIAN_POINT('',(0.12,0.5,0.)); #580618=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #580619=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580620=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580621=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #580622=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580623=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580624=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #580625=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #580626=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580627=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580628=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580629=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580630=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580631=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #580632=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580633=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580634=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580635=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #580636=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580637=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580638=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #580639=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580640=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #580641=CARTESIAN_POINT('',(1.195,1.5,0.)); #580642=CARTESIAN_POINT('',(0.12,0.5,0.)); #580643=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #580644=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580645=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #580646=CARTESIAN_POINT('Origin',(1.195,1.5,-0.12)); #580647=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #580648=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #580649=CARTESIAN_POINT('',(1.195,5.69,-0.12)); #580650=CARTESIAN_POINT('',(1.195,5.65,0.)); #580651=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #580652=CARTESIAN_POINT('',(1.195,1.5,0.)); #580653=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #580654=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #580655=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #580656=CARTESIAN_POINT('Origin',(1.195,5.69,-0.12)); #580657=CARTESIAN_POINT('',(0.845,5.69,-0.08)); #580658=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #580659=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #580660=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #580661=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #580662=CARTESIAN_POINT('Origin',(0.845,5.69,-0.12)); #580663=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #580664=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #580665=CARTESIAN_POINT('',(0.845,5.65,0.)); #580666=CARTESIAN_POINT('',(0.845,5.69,0.)); #580667=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #580668=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #580669=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580670=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #580671=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #580672=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580673=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #580674=CARTESIAN_POINT('',(0.,5.65,0.)); #580675=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #580676=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580677=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #580678=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580679=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580680=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #580681=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #580682=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580683=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580684=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #580685=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580686=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580687=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #580688=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #580689=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580690=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580691=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #580692=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #580693=CARTESIAN_POINT('Origin',(1.195,5.69,-0.04)); #580694=CARTESIAN_POINT('',(0.,0.,0.)); #580695=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #580696=CARTESIAN_POINT('',(0.375,1.5,0.)); #580697=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580698=CARTESIAN_POINT('',(0.375,1.5,0.)); #580699=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #580700=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #580701=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580702=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #580703=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580704=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #580705=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #580706=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580707=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #580708=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580709=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580710=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580711=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #580712=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580713=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #580714=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #580715=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #580716=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #580717=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #580718=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #580719=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #580720=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #580721=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #580722=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #580723=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580724=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580725=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580726=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #580727=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #580728=CARTESIAN_POINT('',(0.12,0.5,0.)); #580729=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #580730=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580731=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580732=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #580733=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580734=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580735=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #580736=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #580737=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580738=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580739=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580740=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580741=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580742=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #580743=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580744=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580745=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580746=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #580747=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580748=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580749=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #580750=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580751=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #580752=CARTESIAN_POINT('',(0.625,1.5,0.)); #580753=CARTESIAN_POINT('',(0.12,0.5,0.)); #580754=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #580755=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580756=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #580757=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #580758=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #580759=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #580760=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #580761=CARTESIAN_POINT('',(0.625,5.47,0.)); #580762=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #580763=CARTESIAN_POINT('',(0.625,1.5,0.)); #580764=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #580765=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #580766=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #580767=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #580768=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #580769=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #580770=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #580771=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #580772=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #580773=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #580774=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #580775=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #580776=CARTESIAN_POINT('',(0.375,5.47,0.)); #580777=CARTESIAN_POINT('',(0.375,5.51,0.)); #580778=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #580779=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #580780=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580781=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #580782=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #580783=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580784=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #580785=CARTESIAN_POINT('',(0.,5.47,0.)); #580786=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #580787=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #580788=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #580789=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580790=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580791=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #580792=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #580793=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580794=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #580795=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #580796=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580797=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580798=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #580799=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #580800=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #580801=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #580802=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #580803=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #580804=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #580805=CARTESIAN_POINT('',(0.,0.,0.)); #580806=CARTESIAN_POINT('Origin',(0.875,1.5,-0.12)); #580807=CARTESIAN_POINT('',(0.875,1.5,0.)); #580808=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580809=CARTESIAN_POINT('',(0.875,1.5,0.)); #580810=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #580811=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #580812=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580813=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #580814=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580815=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #580816=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #580817=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580818=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #580819=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580820=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580821=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580822=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #580823=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580824=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580825=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #580826=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580827=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580828=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #580829=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580830=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580831=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580832=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #580833=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #580834=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580835=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580836=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580837=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #580838=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #580839=CARTESIAN_POINT('',(0.12,0.5,0.)); #580840=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #580841=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580842=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580843=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #580844=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580845=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580846=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #580847=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #580848=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580849=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580850=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580851=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580852=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580853=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #580854=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580855=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580856=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580857=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #580858=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580859=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580860=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #580861=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580862=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #580863=CARTESIAN_POINT('',(1.125,1.5,0.)); #580864=CARTESIAN_POINT('',(0.12,0.5,0.)); #580865=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #580866=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580867=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #580868=CARTESIAN_POINT('Origin',(1.125,1.5,-0.12)); #580869=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #580870=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #580871=CARTESIAN_POINT('',(1.125,5.51,-0.12)); #580872=CARTESIAN_POINT('',(1.125,5.47,0.)); #580873=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #580874=CARTESIAN_POINT('',(1.125,1.5,0.)); #580875=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #580876=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #580877=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #580878=CARTESIAN_POINT('Origin',(1.125,5.51,-0.12)); #580879=CARTESIAN_POINT('',(0.875,5.51,-0.08)); #580880=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #580881=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #580882=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #580883=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #580884=CARTESIAN_POINT('Origin',(0.875,5.51,-0.12)); #580885=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #580886=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #580887=CARTESIAN_POINT('',(0.875,5.47,0.)); #580888=CARTESIAN_POINT('',(0.875,5.51,0.)); #580889=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #580890=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #580891=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #580892=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #580893=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #580894=CARTESIAN_POINT('Origin',(0.,0.,0.)); #580895=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #580896=CARTESIAN_POINT('',(0.,5.47,0.)); #580897=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #580898=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580899=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #580900=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580901=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580902=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #580903=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #580904=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580905=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580906=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #580907=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580908=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580909=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #580910=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #580911=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580912=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580913=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #580914=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #580915=CARTESIAN_POINT('Origin',(1.125,5.51,-0.04)); #580916=CARTESIAN_POINT('',(0.,0.,0.)); #580917=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #580918=CARTESIAN_POINT('',(0.375,1.5,0.)); #580919=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580920=CARTESIAN_POINT('',(0.375,1.5,0.)); #580921=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #580922=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #580923=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580924=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #580925=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580926=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #580927=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #580928=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #580929=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #580930=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #580931=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580932=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580933=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #580934=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #580935=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580936=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #580937=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580938=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #580939=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #580940=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #580941=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580942=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #580943=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #580944=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #580945=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580946=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #580947=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #580948=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #580949=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #580950=CARTESIAN_POINT('',(0.12,0.5,0.)); #580951=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #580952=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580953=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580954=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #580955=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #580956=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580957=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #580958=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #580959=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #580960=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580961=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #580962=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580963=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #580964=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #580965=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #580966=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580967=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #580968=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #580969=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #580970=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580971=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #580972=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580973=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #580974=CARTESIAN_POINT('',(0.625,1.5,0.)); #580975=CARTESIAN_POINT('',(0.12,0.5,0.)); #580976=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #580977=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #580978=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #580979=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #580980=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #580981=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #580982=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #580983=CARTESIAN_POINT('',(0.625,5.47,0.)); #580984=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #580985=CARTESIAN_POINT('',(0.625,1.5,0.)); #580986=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #580987=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #580988=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #580989=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #580990=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #580991=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #580992=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #580993=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #580994=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #580995=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #580996=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #580997=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #580998=CARTESIAN_POINT('',(0.375,5.47,0.)); #580999=CARTESIAN_POINT('',(0.375,5.51,0.)); #581000=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #581001=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #581002=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #581003=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #581004=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #581005=CARTESIAN_POINT('Origin',(0.,0.,0.)); #581006=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #581007=CARTESIAN_POINT('',(0.,5.47,0.)); #581008=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #581009=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #581010=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #581011=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #581012=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #581013=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #581014=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #581015=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #581016=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #581017=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #581018=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #581019=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #581020=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #581021=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #581022=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #581023=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #581024=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #581025=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #581026=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #581027=CARTESIAN_POINT('',(0.,0.,0.)); #581028=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #581029=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #581030=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #581031=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #581032=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #581033=CARTESIAN_POINT('',(2.9195,-0.1,2.76694747782438)); #581034=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #581035=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #581036=CARTESIAN_POINT('',(2.895,-0.1,3.11694747782438)); #581037=CARTESIAN_POINT('Origin',(2.9195,-0.1,2.76694747782438)); #581038=CARTESIAN_POINT('',(-2.9195,0.05,2.76694747782438)); #581039=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #581040=CARTESIAN_POINT('',(-2.9195,-0.05,2.76694747782438)); #581041=CARTESIAN_POINT('',(-2.9195,-0.1,2.76694747782438)); #581042=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #581043=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #581044=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #581045=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #581046=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #581047=CARTESIAN_POINT('',(-2.895,-0.1,3.11694747782438)); #581048=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #581049=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #581050=CARTESIAN_POINT('',(-2.975,0.05,3.11694747782438)); #581051=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #581052=CARTESIAN_POINT('',(-2.975,-0.05,3.11694747782438)); #581053=CARTESIAN_POINT('',(-2.975,-0.1,3.11694747782438)); #581054=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #581055=CARTESIAN_POINT('Origin',(-2.975,-0.1,3.0176067609581)); #581056=CARTESIAN_POINT('',(-3.07,0.05,3.04665117569667)); #581057=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #581058=CARTESIAN_POINT('',(-3.07,-0.05,3.04665117569667)); #581059=CARTESIAN_POINT('',(-3.07,-0.1,3.04665117569667)); #581060=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #581061=CARTESIAN_POINT('Origin',(-3.34,-0.1,2.16352096870596)); #581062=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #581063=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #581064=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #581065=CARTESIAN_POINT('',(-3.34,-0.1,2.16352096870596)); #581066=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #581067=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #581068=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #581069=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #581070=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #581071=CARTESIAN_POINT('',(-3.34,-0.1,1.81694747782438)); #581072=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #581073=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #581074=CARTESIAN_POINT('',(-3.295,0.05,1.81694747782438)); #581075=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #581076=CARTESIAN_POINT('',(-3.295,-0.05,1.81694747782438)); #581077=CARTESIAN_POINT('',(-3.295,-0.1,1.81694747782438)); #581078=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #581079=CARTESIAN_POINT('Origin',(-3.295,-0.1,1.61694747782438)); #581080=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #581081=CARTESIAN_POINT('Origin',(-3.295,0.05,1.61694747782438)); #581082=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #581083=CARTESIAN_POINT('',(-3.095,-0.1,1.61694747782438)); #581084=CARTESIAN_POINT('Origin',(-3.295,-0.05,1.61694747782438)); #581085=CARTESIAN_POINT('Origin',(-3.095,-0.1,1.61694747782438)); #581086=CARTESIAN_POINT('',(-3.095,0.05,0.)); #581087=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #581088=CARTESIAN_POINT('',(-3.095,-0.05,0.)); #581089=CARTESIAN_POINT('',(-3.095,-0.1,0.)); #581090=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #581091=CARTESIAN_POINT('Origin',(-3.165,-0.1,0.)); #581092=CARTESIAN_POINT('',(-3.161,0.05,-0.0698856208386246)); #581093=CARTESIAN_POINT('Origin',(-3.165,0.05,0.)); #581094=CARTESIAN_POINT('',(-3.161,-0.05,-0.0698856208386246)); #581095=CARTESIAN_POINT('',(-3.161,-0.1,-0.0698856208386246)); #581096=CARTESIAN_POINT('Origin',(-3.165,-0.05,0.)); #581097=CARTESIAN_POINT('Origin',(-3.157,-0.1,-0.139771241677249)); #581098=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #581099=CARTESIAN_POINT('Origin',(-3.157,0.05,-0.139771241677249)); #581100=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #581101=CARTESIAN_POINT('',(-3.227,-0.1,-0.139771241677249)); #581102=CARTESIAN_POINT('Origin',(-3.157,-0.05,-0.139771241677249)); #581103=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.139771241677249)); #581104=CARTESIAN_POINT('',(-3.227,0.05,-0.296087403728294)); #581105=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #581106=CARTESIAN_POINT('',(-3.227,-0.05,-0.296087403728294)); #581107=CARTESIAN_POINT('',(-3.227,-0.1,-0.296087403728294)); #581108=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #581109=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.1,-0.296087403728294)); #581110=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #581111=CARTESIAN_POINT('Origin',(-3.30805882352942,0.05,-0.296087403728294)); #581112=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #581113=CARTESIAN_POINT('',(-3.295,-0.1,-0.376087403728294)); #581114=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.05,-0.296087403728294)); #581115=CARTESIAN_POINT('Origin',(-3.295,-0.1,-0.376087403728294)); #581116=CARTESIAN_POINT('',(-3.295,0.05,-0.813348973774195)); #581117=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #581118=CARTESIAN_POINT('',(-3.295,-0.05,-0.813348973774195)); #581119=CARTESIAN_POINT('',(-3.295,-0.1,-0.813348973774195)); #581120=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #581121=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.1,-0.893348973774195)); #581122=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #581123=CARTESIAN_POINT('Origin',(-3.30805882352941,0.05,-0.893348973774195)); #581124=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #581125=CARTESIAN_POINT('',(-3.227,-0.1,-0.893348973774195)); #581126=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.05,-0.893348973774195)); #581127=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.893348973774195)); #581128=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #581129=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #581130=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #581131=CARTESIAN_POINT('',(-3.227,-0.1,-1.72340514389884)); #581132=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #581133=CARTESIAN_POINT('Origin',(-3.227,-0.1,-1.72340514389884)); #581134=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #581135=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #581136=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #581137=CARTESIAN_POINT('',(-4.155,-0.1,-1.72340514389884)); #581138=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #581139=CARTESIAN_POINT('Origin',(-4.155,-0.1,-1.72340514389884)); #581140=CARTESIAN_POINT('',(-4.155,0.05,-2.48305252217562)); #581141=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #581142=CARTESIAN_POINT('',(-4.155,-0.05,-2.48305252217562)); #581143=CARTESIAN_POINT('',(-4.155,-0.1,-2.48305252217562)); #581144=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #581145=CARTESIAN_POINT('Origin',(4.155,-0.1,-2.48305252217562)); #581146=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #581147=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #581148=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #581149=CARTESIAN_POINT('',(4.155,-0.1,-2.48305252217562)); #581150=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #581151=CARTESIAN_POINT('Origin',(4.155,-0.1,-1.72340514389884)); #581152=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #581153=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #581154=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #581155=CARTESIAN_POINT('',(4.155,-0.1,-1.72340514389884)); #581156=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #581157=CARTESIAN_POINT('Origin',(3.227,-0.1,-1.72340514389884)); #581158=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #581159=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #581160=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #581161=CARTESIAN_POINT('',(3.227,-0.1,-1.72340514389884)); #581162=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #581163=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.893348973774195)); #581164=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #581165=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #581166=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #581167=CARTESIAN_POINT('',(3.227,-0.1,-0.893348973774195)); #581168=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #581169=CARTESIAN_POINT('Origin',(3.30805882352941,-0.1,-0.893348973774195)); #581170=CARTESIAN_POINT('',(3.295,0.05,-0.813348973774195)); #581171=CARTESIAN_POINT('Origin',(3.30805882352941,0.05,-0.893348973774195)); #581172=CARTESIAN_POINT('',(3.295,-0.05,-0.813348973774195)); #581173=CARTESIAN_POINT('',(3.295,-0.1,-0.813348973774195)); #581174=CARTESIAN_POINT('Origin',(3.30805882352941,-0.05,-0.893348973774195)); #581175=CARTESIAN_POINT('Origin',(3.295,-0.1,-0.376087403728294)); #581176=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #581177=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #581178=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #581179=CARTESIAN_POINT('',(3.295,-0.1,-0.376087403728294)); #581180=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #581181=CARTESIAN_POINT('Origin',(3.30805882352942,-0.1,-0.296087403728294)); #581182=CARTESIAN_POINT('',(3.227,0.05,-0.296087403728294)); #581183=CARTESIAN_POINT('Origin',(3.30805882352942,0.05,-0.296087403728294)); #581184=CARTESIAN_POINT('',(3.227,-0.05,-0.296087403728294)); #581185=CARTESIAN_POINT('',(3.227,-0.1,-0.296087403728294)); #581186=CARTESIAN_POINT('Origin',(3.30805882352942,-0.05,-0.296087403728294)); #581187=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.139771241677249)); #581188=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #581189=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #581190=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #581191=CARTESIAN_POINT('',(3.227,-0.1,-0.139771241677249)); #581192=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #581193=CARTESIAN_POINT('Origin',(3.157,-0.1,-0.139771241677249)); #581194=CARTESIAN_POINT('',(3.161,0.05,-0.0698856208386246)); #581195=CARTESIAN_POINT('Origin',(3.157,0.05,-0.139771241677249)); #581196=CARTESIAN_POINT('',(3.161,-0.05,-0.0698856208386246)); #581197=CARTESIAN_POINT('',(3.161,-0.1,-0.0698856208386246)); #581198=CARTESIAN_POINT('Origin',(3.157,-0.05,-0.139771241677249)); #581199=CARTESIAN_POINT('Origin',(3.165,-0.1,0.)); #581200=CARTESIAN_POINT('',(3.095,0.05,-8.57252759403147E-18)); #581201=CARTESIAN_POINT('Origin',(3.165,0.05,0.)); #581202=CARTESIAN_POINT('',(3.095,-0.05,-8.57252759403147E-18)); #581203=CARTESIAN_POINT('',(3.095,-0.1,-8.57252759403147E-18)); #581204=CARTESIAN_POINT('Origin',(3.165,-0.05,0.)); #581205=CARTESIAN_POINT('Origin',(3.095,-0.1,1.61694747782438)); #581206=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #581207=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #581208=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #581209=CARTESIAN_POINT('',(3.095,-0.1,1.61694747782438)); #581210=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #581211=CARTESIAN_POINT('Origin',(3.295,-0.1,1.61694747782438)); #581212=CARTESIAN_POINT('',(3.295,0.05,1.81694747782438)); #581213=CARTESIAN_POINT('Origin',(3.295,0.05,1.61694747782438)); #581214=CARTESIAN_POINT('',(3.295,-0.05,1.81694747782438)); #581215=CARTESIAN_POINT('',(3.295,-0.1,1.81694747782438)); #581216=CARTESIAN_POINT('Origin',(3.295,-0.05,1.61694747782438)); #581217=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #581218=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #581219=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #581220=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #581221=CARTESIAN_POINT('',(3.34,-0.1,1.81694747782438)); #581222=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #581223=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #581224=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #581225=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #581226=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #581227=CARTESIAN_POINT('',(3.34,-0.1,2.16352096870596)); #581228=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #581229=CARTESIAN_POINT('Origin',(3.34,-0.1,2.16352096870596)); #581230=CARTESIAN_POINT('',(3.07,0.05,3.04665117569667)); #581231=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #581232=CARTESIAN_POINT('',(3.07,-0.05,3.04665117569667)); #581233=CARTESIAN_POINT('',(3.07,-0.1,3.04665117569667)); #581234=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #581235=CARTESIAN_POINT('Origin',(2.975,-0.1,3.0176067609581)); #581236=CARTESIAN_POINT('',(2.975,0.05,3.11694747782438)); #581237=CARTESIAN_POINT('Origin',(2.975,0.05,3.0176067609581)); #581238=CARTESIAN_POINT('',(2.975,-0.05,3.11694747782438)); #581239=CARTESIAN_POINT('',(2.975,-0.1,3.11694747782438)); #581240=CARTESIAN_POINT('Origin',(2.975,-0.05,3.0176067609581)); #581241=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #581242=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #581243=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #581244=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #581245=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #581246=CARTESIAN_POINT('',(0.,0.,0.)); #581247=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #581248=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #581249=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #581250=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #581251=CARTESIAN_POINT('',(-0.51,1.04,-1.58)); #581252=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #581253=CARTESIAN_POINT('',(-0.51,1.89,-1.58)); #581254=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #581255=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #581256=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #581257=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #581258=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.58)); #581259=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #581260=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #581261=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #581262=CARTESIAN_POINT('',(-0.51,-1.27,-1.58)); #581263=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #581264=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #581265=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #581266=CARTESIAN_POINT('',(-0.299420132394812,0.91378558069326,-1.28)); #581267=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.28)); #581268=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #581269=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.28)); #581270=CARTESIAN_POINT('',(0.54255018588915,1.31791096263698,-1.28)); #581271=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.28)); #581272=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #581273=CARTESIAN_POINT('',(0.5,-0.115,-1.28)); #581274=CARTESIAN_POINT('',(0.499999999999998,3.49,-1.28)); #581275=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.28)); #581276=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #581277=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.28)); #581278=CARTESIAN_POINT('',(-1.80588032049035,0.769278861932123,-1.28)); #581279=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.28)); #581280=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #581281=CARTESIAN_POINT('',(-0.5,-1.27,-1.28)); #581282=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #581283=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.28)); #581284=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #581285=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.28)); #581286=CARTESIAN_POINT('',(1.44369212438361,-0.559562709330698,-1.28)); #581287=CARTESIAN_POINT('',(0.5,-1.235,-1.28)); #581288=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #581289=CARTESIAN_POINT('',(0.5,-2.425,-1.28)); #581290=CARTESIAN_POINT('',(0.5,3.49,-1.28)); #581291=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.28)); #581292=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #581293=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.28)); #581294=CARTESIAN_POINT('',(-2.70702225898481,-1.10819481003555,-1.28)); #581295=CARTESIAN_POINT('',(-0.5,-2.39,-1.28)); #581296=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #581297=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #581298=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #581299=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #581300=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #581301=CARTESIAN_POINT('',(3.19,-1.69,-1.28)); #581302=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #581303=CARTESIAN_POINT('',(2.46,-1.69,-1.28)); #581304=CARTESIAN_POINT('',(-0.500000000000001,-1.69,-1.28)); #581305=CARTESIAN_POINT('',(2.26,-1.49,-1.28)); #581306=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.28)); #581307=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.28)); #581308=CARTESIAN_POINT('',(2.26,3.49,-1.28)); #581309=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.28)); #581310=CARTESIAN_POINT('',(-0.5,-0.804854114414314,-1.28)); #581311=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.28)); #581312=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.28)); #581313=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.28)); #581314=CARTESIAN_POINT('',(2.057,3.49,-1.28)); #581315=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.28)); #581316=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.28)); #581317=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.28)); #581318=CARTESIAN_POINT('',(-0.5,0.095145885585686,-1.28)); #581319=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.28)); #581320=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.28)); #581321=CARTESIAN_POINT('',(3.19,0.401455953030751,-1.28)); #581322=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.28)); #581323=CARTESIAN_POINT('',(3.19,2.14,-1.28)); #581324=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #581325=CARTESIAN_POINT('',(2.99,2.34,-1.28)); #581326=CARTESIAN_POINT('Origin',(2.99,2.14,-1.28)); #581327=CARTESIAN_POINT('',(2.23,2.34,-1.28)); #581328=CARTESIAN_POINT('',(-0.500000000000001,2.34,-1.28)); #581329=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.28)); #581330=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.28)); #581331=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.28)); #581332=CARTESIAN_POINT('',(0.250775498836637,4.23909154856077,-1.28)); #581333=CARTESIAN_POINT('',(1.712,2.69,-1.28)); #581334=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.28)); #581335=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #581336=CARTESIAN_POINT('',(-0.500000000000001,2.69,-1.28)); #581337=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.28)); #581338=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #581339=CARTESIAN_POINT('',(0.902,1.89,-1.28)); #581340=CARTESIAN_POINT('Origin',(0.902,2.04,-1.28)); #581341=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #581342=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #581343=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #581344=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #581345=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #581346=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #581347=CARTESIAN_POINT('',(1.36,1.292,-1.28)); #581348=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #581349=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #581350=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #581351=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #581352=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #581353=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #581354=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #581355=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #581356=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #581357=CARTESIAN_POINT('',(2.64,1.292,-1.28)); #581358=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #581359=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #581360=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.58)); #581361=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #581362=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.58)); #581363=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #581364=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.58)); #581365=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #581366=CARTESIAN_POINT('',(-0.5,1.89,-1.58)); #581367=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #581368=CARTESIAN_POINT('',(0.902,1.89,-1.58)); #581369=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #581370=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #581371=CARTESIAN_POINT('Origin',(0.902,2.04,-1.58)); #581372=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #581373=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #581374=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #581375=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #581376=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.58)); #581377=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.58)); #581378=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #581379=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #581380=CARTESIAN_POINT('',(2.23,2.34,-1.58)); #581381=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.58)); #581382=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #581383=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #581384=CARTESIAN_POINT('',(3.19,2.14,-1.58)); #581385=CARTESIAN_POINT('Origin',(2.99,2.14,-1.58)); #581386=CARTESIAN_POINT('',(3.19,0.401455953030752,-1.58)); #581387=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #581388=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.58)); #581389=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.58)); #581390=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.58)); #581391=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.58)); #581392=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #581393=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #581394=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.58)); #581395=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.58)); #581396=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #581397=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #581398=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.58)); #581399=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.58)); #581400=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #581401=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #581402=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #581403=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #581404=CARTESIAN_POINT('',(2.46,-1.69,-1.58)); #581405=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.58)); #581406=CARTESIAN_POINT('',(3.19,-1.69,-1.58)); #581407=CARTESIAN_POINT('',(4.47,-1.69,-1.58)); #581408=CARTESIAN_POINT('',(3.19,-3.49,-1.58)); #581409=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #581410=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #581411=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #581412=CARTESIAN_POINT('',(-0.5,-2.39,-1.58)); #581413=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #581414=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #581415=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #581416=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.58)); #581417=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #581418=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #581419=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #581420=CARTESIAN_POINT('',(0.5,-1.235,-1.58)); #581421=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #581422=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #581423=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #581424=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.58)); #581425=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #581426=CARTESIAN_POINT('',(-0.5,-1.27,-1.58)); #581427=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #581428=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.58)); #581429=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #581430=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.58)); #581431=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #581432=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #581433=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #581434=CARTESIAN_POINT('',(0.5,-0.115,-1.58)); #581435=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #581436=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #581437=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #581438=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #581439=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #581440=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #581441=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #581442=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #581443=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #581444=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #581445=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #581446=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #581447=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #581448=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #581449=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #581450=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #581451=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #581452=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #581453=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #581454=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #581455=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #581456=CARTESIAN_POINT('',(-0.499999999999999,1.04,11.2985643801531)); #581457=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #581458=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #581459=CARTESIAN_POINT('',(-0.499999999999999,-2.39,11.2985643801531)); #581460=CARTESIAN_POINT('',(-0.5,-3.49,-1.38)); #581461=CARTESIAN_POINT('',(-0.5,-3.49,-1.28)); #581462=CARTESIAN_POINT('',(-0.5,0.050000000000004,2.16)); #581463=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #581464=CARTESIAN_POINT('',(2.75,3.49,1.28)); #581465=CARTESIAN_POINT('',(2.60857864376269,3.59,1.28)); #581466=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #581467=CARTESIAN_POINT('',(2.60857864376269,3.59,1.37903336799206)); #581468=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #581469=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #581470=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #581471=CARTESIAN_POINT('',(2.75,3.49,1.58)); #581472=CARTESIAN_POINT('Origin',(2.75,3.64,1.58)); #581473=CARTESIAN_POINT('',(2.75,3.49,1.28)); #581474=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #581475=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #581476=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.28)); #581477=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #581478=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.37903336799205)); #581479=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #581480=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #581481=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #581482=CARTESIAN_POINT('',(-1.6,3.49,1.58)); #581483=CARTESIAN_POINT('Origin',(-1.6,3.64,1.58)); #581484=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #581485=CARTESIAN_POINT('Origin',(3.19,3.49,1.28)); #581486=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #581487=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #581488=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #581489=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #581490=CARTESIAN_POINT('',(1.6,3.49,1.28)); #581491=CARTESIAN_POINT('',(3.19,3.49,1.28)); #581492=CARTESIAN_POINT('',(1.74142135623731,3.59,1.28)); #581493=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #581494=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #581495=CARTESIAN_POINT('',(3.19,3.49,1.28)); #581496=CARTESIAN_POINT('',(3.19,3.49,1.28)); #581497=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #581498=CARTESIAN_POINT('',(3.19,3.49,1.28)); #581499=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #581500=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #581501=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #581502=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #581503=CARTESIAN_POINT('',(3.19,3.49,1.28)); #581504=CARTESIAN_POINT('',(1.36,1.79,1.28)); #581505=CARTESIAN_POINT('',(1.36,1.788,1.28)); #581506=CARTESIAN_POINT('',(1.36,1.79,1.28)); #581507=CARTESIAN_POINT('',(1.36,1.292,1.28)); #581508=CARTESIAN_POINT('',(1.36,1.788,1.28)); #581509=CARTESIAN_POINT('',(1.36,1.29,1.28)); #581510=CARTESIAN_POINT('',(1.36,1.79,1.28)); #581511=CARTESIAN_POINT('',(2.64,1.29,1.28)); #581512=CARTESIAN_POINT('',(1.36,1.29,1.28)); #581513=CARTESIAN_POINT('',(2.64,1.292,1.28)); #581514=CARTESIAN_POINT('',(2.64,1.29,1.28)); #581515=CARTESIAN_POINT('',(2.64,1.788,1.28)); #581516=CARTESIAN_POINT('',(2.64,1.788,1.28)); #581517=CARTESIAN_POINT('',(2.64,1.79,1.28)); #581518=CARTESIAN_POINT('',(2.64,1.29,1.28)); #581519=CARTESIAN_POINT('',(2.64,1.79,1.28)); #581520=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #581521=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #581522=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #581523=CARTESIAN_POINT('',(-1.36,1.292,1.28)); #581524=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #581525=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #581526=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #581527=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #581528=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #581529=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #581530=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #581531=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #581532=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #581533=CARTESIAN_POINT('',(-2.64,1.292,1.28)); #581534=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #581535=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #581536=CARTESIAN_POINT('Origin',(-4.17,3.49,0.300000000000001)); #581537=CARTESIAN_POINT('',(-4.17,1.94,-0.279999999999999)); #581538=CARTESIAN_POINT('',(-4.17,1.94,-0.300000000000001)); #581539=CARTESIAN_POINT('',(-4.17,1.94,0.300000000000001)); #581540=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #581541=CARTESIAN_POINT('Origin',(-4.17,2.14,-0.279999999999999)); #581542=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #581543=CARTESIAN_POINT('',(-4.17,2.34,-0.0800000000000004)); #581544=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #581545=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #581546=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #581547=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #581548=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #581549=CARTESIAN_POINT('',(-4.17,2.74,-1.476)); #581550=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #581551=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #581552=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #581553=CARTESIAN_POINT('',(-4.17,2.75430509862951,-2.09255811788712)); #581554=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #581555=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #581556=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #581557=CARTESIAN_POINT('',(-4.17,3.115,-2.58)); #581558=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #581559=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #581560=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #581561=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #581562=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #581563=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #581564=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #581565=CARTESIAN_POINT('',(-4.17,3.84,-2.03)); #581566=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #581567=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #581568=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #581569=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #581570=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #581571=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #581572=CARTESIAN_POINT('',(-4.17,3.49,0.504000000000001)); #581573=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #581574=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #581575=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #581576=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #581577=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #581578=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #581579=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #581580=CARTESIAN_POINT('',(-4.17,-1.69,-0.300000000000001)); #581581=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #581582=CARTESIAN_POINT('',(-4.17,-1.69,-0.279999999999999)); #581583=CARTESIAN_POINT('',(-4.17,-1.69,0.300000000000001)); #581584=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #581585=CARTESIAN_POINT('Origin',(-4.17,-1.49,-0.279999999999999)); #581586=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #581587=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #581588=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #581589=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #581590=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #581591=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #581592=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #581593=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #581594=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #581595=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #581596=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #581597=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #581598=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #581599=CARTESIAN_POINT('',(-4.17,-0.49927664399093,-2.20012987012987)); #581600=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #581601=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #581602=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #581603=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #581604=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #581605=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #581606=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #581607=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #581608=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #581609=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #581610=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #581611=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #581612=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #581613=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #581614=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #581615=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.0800000000000004)); #581616=CARTESIAN_POINT('',(-4.17,0.41,-0.279999999999999)); #581617=CARTESIAN_POINT('Origin',(-4.17,0.210000000000001,-0.279999999999999)); #581618=CARTESIAN_POINT('',(-4.17,0.41,-0.300000000000001)); #581619=CARTESIAN_POINT('',(-4.17,0.41,0.300000000000001)); #581620=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #581621=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #581622=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #581623=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #581624=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #581625=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #581626=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #581627=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #581628=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #581629=CARTESIAN_POINT('Origin',(-1.712,2.69,-0.0799999999999996)); #581630=CARTESIAN_POINT('',(-1.712,2.69,-1.28)); #581631=CARTESIAN_POINT('',(-1.042,2.69,-1.28)); #581632=CARTESIAN_POINT('',(-0.499999999999999,2.69,-1.28)); #581633=CARTESIAN_POINT('',(-0.967,2.69,-1.25990381056767)); #581634=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #581635=CARTESIAN_POINT('',(-0.553365564922642,2.69,-1.02109185812965)); #581636=CARTESIAN_POINT('',(-0.610523241572414,2.69,-1.05409185812965)); #581637=CARTESIAN_POINT('',(-0.403365564922642,2.69,-1.28089947926499)); #581638=CARTESIAN_POINT('',(-1.15336556492264,2.69,0.0181386264116724)); #581639=CARTESIAN_POINT('',(-0.817,2.69,-1.519711431703)); #581640=CARTESIAN_POINT('',(-1.51014603095916,2.69,-1.91989947926499)); #581641=CARTESIAN_POINT('',(-1.042,2.69,-1.58)); #581642=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #581643=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #581644=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #581645=CARTESIAN_POINT('',(-1.712,2.69,-0.0799999999999996)); #581646=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #581647=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.28)); #581648=CARTESIAN_POINT('',(-0.902,1.89,-1.28)); #581649=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.28)); #581650=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.28)); #581651=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.28)); #581652=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.28)); #581653=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.28)); #581654=CARTESIAN_POINT('',(-2.09163261917534,2.39409619990861,-1.28)); #581655=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.28)); #581656=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.28)); #581657=CARTESIAN_POINT('',(-2.99,2.34,-1.28)); #581658=CARTESIAN_POINT('',(-3.19,2.34,-1.28)); #581659=CARTESIAN_POINT('',(-3.19,2.14,-1.28)); #581660=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.28)); #581661=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.28)); #581662=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #581663=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.28)); #581664=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.28)); #581665=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.28)); #581666=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.28)); #581667=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.28)); #581668=CARTESIAN_POINT('',(-3.19,0.095145885585686,-1.28)); #581669=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.28)); #581670=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.28)); #581671=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.28)); #581672=CARTESIAN_POINT('',(-2.057,3.49,-1.28)); #581673=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.28)); #581674=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.28)); #581675=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.28)); #581676=CARTESIAN_POINT('',(-3.19,-0.804854114414314,-1.28)); #581677=CARTESIAN_POINT('',(-2.26,-1.49,-1.28)); #581678=CARTESIAN_POINT('',(-2.26,3.49,-1.28)); #581679=CARTESIAN_POINT('',(-2.46,-1.69,-1.28)); #581680=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.28)); #581681=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #581682=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #581683=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #581684=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #581685=CARTESIAN_POINT('',(-0.51,-3.39,-1.28)); #581686=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #581687=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #581688=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #581689=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #581690=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #581691=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #581692=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.28)); #581693=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #581694=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #581695=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #581696=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #581697=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #581698=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #581699=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #581700=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #581701=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #581702=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #581703=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #581704=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #581705=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.28)); #581706=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #581707=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #581708=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #581709=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #581710=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #581711=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #581712=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #581713=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #581714=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #581715=CARTESIAN_POINT('',(-3.19,1.89,-1.28)); #581716=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #581717=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #581718=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #581719=CARTESIAN_POINT('',(-1.36,1.292,-1.28)); #581720=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #581721=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #581722=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #581723=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #581724=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #581725=CARTESIAN_POINT('',(-2.64,1.292,-1.28)); #581726=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #581727=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #581728=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #581729=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #581730=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #581731=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #581732=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #581733=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #581734=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #581735=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #581736=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #581737=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #581738=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #581739=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #581740=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #581741=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #581742=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #581743=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #581744=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #581745=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #581746=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #581747=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #581748=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #581749=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #581750=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #581751=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #581752=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #581753=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #581754=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #581755=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #581756=CARTESIAN_POINT('Origin',(-4.32,3.69,-1.426)); #581757=CARTESIAN_POINT('',(-4.46811668758587,3.54188331241413,0.369409890610287)); #581758=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #581759=CARTESIAN_POINT('Origin',(-3.19,4.82,0.300000000000001)); #581760=CARTESIAN_POINT('',(-4.42,3.59,0.330500000000001)); #581761=CARTESIAN_POINT('Origin',(-4.31948875000001,3.69051125,0.504)); #581762=CARTESIAN_POINT('',(-4.32,3.69,0.157)); #581763=CARTESIAN_POINT('Origin',(-4.52051125,3.48948875,0.157)); #581764=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #581765=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #581766=CARTESIAN_POINT('',(-4.47,3.54,-1.48697906874856)); #581767=CARTESIAN_POINT('',(-4.27963780374704,3.73036219625297,-1.40959167239898)); #581768=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #581769=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #581770=CARTESIAN_POINT('',(-4.45363918900927,3.49,0.504)); #581771=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #581772=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #581773=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #581774=CARTESIAN_POINT('',(-2.75,3.49,1.58)); #581775=CARTESIAN_POINT('',(3.19,3.49,1.58)); #581776=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #581777=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #581778=CARTESIAN_POINT('Origin',(4.17,3.49,-0.300000000000001)); #581779=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #581780=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #581781=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #581782=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #581783=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #581784=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #581785=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #581786=CARTESIAN_POINT('',(4.17,3.49,0.504)); #581787=CARTESIAN_POINT('',(4.17,3.49,-0.0800000000000004)); #581788=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #581789=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #581790=CARTESIAN_POINT('',(4.17,3.69,0.157)); #581791=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #581792=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #581793=CARTESIAN_POINT('',(4.17,3.69,0.157)); #581794=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #581795=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #581796=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #581797=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #581798=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #581799=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #581800=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #581801=CARTESIAN_POINT('',(4.17,3.82569490137048,-2.09255811788713)); #581802=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #581803=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #581804=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #581805=CARTESIAN_POINT('',(4.17,3.465,-2.58)); #581806=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #581807=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #581808=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #581809=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #581810=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #581811=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #581812=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #581813=CARTESIAN_POINT('',(4.17,2.74,-2.03)); #581814=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #581815=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #581816=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #581817=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #581818=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #581819=CARTESIAN_POINT('',(4.17,2.34,-1.076)); #581820=CARTESIAN_POINT('',(4.17,1.94,-0.28)); #581821=CARTESIAN_POINT('Origin',(4.17,2.14,-0.28)); #581822=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #581823=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #581824=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #581825=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #581826=CARTESIAN_POINT('',(4.17,0.41,-0.28)); #581827=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #581828=CARTESIAN_POINT('',(4.17,0.0100000000000011,-0.28)); #581829=CARTESIAN_POINT('Origin',(4.17,0.210000000000001,-0.28)); #581830=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #581831=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #581832=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #581833=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #581834=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #581835=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #581836=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #581837=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #581838=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #581839=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #581840=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #581841=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #581842=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #581843=CARTESIAN_POINT('',(4.17,-0.49,-2.147)); #581844=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #581845=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #581846=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #581847=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #581848=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #581849=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #581850=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #581851=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #581852=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #581853=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #581854=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #581855=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #581856=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #581857=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #581858=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #581859=CARTESIAN_POINT('',(4.17,-1.29,-0.0800000000000004)); #581860=CARTESIAN_POINT('',(4.17,-1.69,-0.28)); #581861=CARTESIAN_POINT('Origin',(4.17,-1.49,-0.28)); #581862=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #581863=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #581864=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #581865=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #581866=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #581867=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #581868=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #581869=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #581870=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #581871=CARTESIAN_POINT('Origin',(0.902,2.04,-0.08)); #581872=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.37923304152418)); #581873=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #581874=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #581875=CARTESIAN_POINT('',(0.902,1.89,-0.08)); #581876=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #581877=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #581878=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #581879=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #581880=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #581881=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #581882=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #581883=CARTESIAN_POINT('',(1.36,1.788,1.58)); #581884=CARTESIAN_POINT('',(1.36,1.788,1.58)); #581885=CARTESIAN_POINT('',(1.36,1.79,1.58)); #581886=CARTESIAN_POINT('',(1.36,1.79,1.28)); #581887=CARTESIAN_POINT('',(1.36,1.79,1.58)); #581888=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #581889=CARTESIAN_POINT('',(2.64,1.292,1.58)); #581890=CARTESIAN_POINT('',(2.64,1.292,1.58)); #581891=CARTESIAN_POINT('',(2.64,1.29,1.58)); #581892=CARTESIAN_POINT('',(2.64,1.29,1.28)); #581893=CARTESIAN_POINT('',(2.64,1.29,1.58)); #581894=CARTESIAN_POINT('Origin',(4.47,3.49,-0.300000000000001)); #581895=CARTESIAN_POINT('',(4.47,3.54,-1.48697906874856)); #581896=CARTESIAN_POINT('',(4.47,3.54,0.300000000000001)); #581897=CARTESIAN_POINT('',(4.47,3.54,-0.300000000000001)); #581898=CARTESIAN_POINT('',(4.47,-3.49,0.300000000000001)); #581899=CARTESIAN_POINT('',(4.47,3.49,0.300000000000001)); #581900=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #581901=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #581902=CARTESIAN_POINT('',(4.47,-1.69,-0.300000000000001)); #581903=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #581904=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #581905=CARTESIAN_POINT('',(4.47,-1.69,-0.0800000000000004)); #581906=CARTESIAN_POINT('',(4.47,-1.29,-0.28)); #581907=CARTESIAN_POINT('Origin',(4.47,-1.49,-0.28)); #581908=CARTESIAN_POINT('',(4.47,-1.29,-2.147)); #581909=CARTESIAN_POINT('',(4.47,-1.29,-0.0800000000000004)); #581910=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #581911=CARTESIAN_POINT('Origin',(4.47,-1.13321704990536,-2.147)); #581912=CARTESIAN_POINT('',(4.47,-1.19072335600907,-2.45)); #581913=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #581914=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #581915=CARTESIAN_POINT('Origin',(4.47,-1.00572335600907,-2.38336538461539)); #581916=CARTESIAN_POINT('',(4.47,-0.77427664399093,-2.58)); #581917=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #581918=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #581919=CARTESIAN_POINT('Origin',(4.47,-0.77427664399093,-2.38336538461539)); #581920=CARTESIAN_POINT('',(4.47,-0.49927664399093,-2.20012987012987)); #581921=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #581922=CARTESIAN_POINT('',(4.47,-0.490000000000006,-2.147)); #581923=CARTESIAN_POINT('Origin',(4.47,-0.646782950094636,-2.147)); #581924=CARTESIAN_POINT('',(4.47,-0.49,-1.931)); #581925=CARTESIAN_POINT('',(4.47,-0.49,-2.147)); #581926=CARTESIAN_POINT('',(4.47,-0.522,-1.841)); #581927=CARTESIAN_POINT('Origin',(4.47,-0.6325625,-1.931)); #581928=CARTESIAN_POINT('',(4.47,-0.383,-1.69905776454236)); #581929=CARTESIAN_POINT('Origin',(4.47,-0.444471864358245,-1.77789060750473)); #581930=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #581931=CARTESIAN_POINT('Origin',(4.47,-0.293,-1.58363982593444)); #581932=CARTESIAN_POINT('',(4.47,-0.139999999999999,-1.73)); #581933=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #581934=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #581935=CARTESIAN_POINT('Origin',(4.47,-0.139999999999999,-1.58)); #581936=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #581937=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #581938=CARTESIAN_POINT('',(4.47,0.41,-0.28)); #581939=CARTESIAN_POINT('Origin',(4.47,0.210000000000001,-0.28)); #581940=CARTESIAN_POINT('',(4.47,0.41,-0.300000000000001)); #581941=CARTESIAN_POINT('',(4.47,0.41,-0.0800000000000004)); #581942=CARTESIAN_POINT('',(4.47,1.94,-0.300000000000001)); #581943=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #581944=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #581945=CARTESIAN_POINT('',(4.47,1.94,-0.0800000000000004)); #581946=CARTESIAN_POINT('',(4.47,2.34,-0.280000000000001)); #581947=CARTESIAN_POINT('Origin',(4.47,2.14,-0.28)); #581948=CARTESIAN_POINT('',(4.47,2.33999999999999,-1.076)); #581949=CARTESIAN_POINT('',(4.47,2.34,-1.076)); #581950=CARTESIAN_POINT('',(4.47,2.53999999999999,-1.276)); #581951=CARTESIAN_POINT('Origin',(4.47,2.53999999999999,-1.076)); #581952=CARTESIAN_POINT('',(4.47,2.74,-1.476)); #581953=CARTESIAN_POINT('Origin',(4.47,2.53999999999998,-1.476)); #581954=CARTESIAN_POINT('',(4.47,2.73999999999998,-2.03)); #581955=CARTESIAN_POINT('',(4.47,2.74,-2.03)); #581956=CARTESIAN_POINT('',(4.47,2.75430509862951,-2.09255811788712)); #581957=CARTESIAN_POINT('Origin',(4.47,2.8839400757397,-2.03)); #581958=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #581959=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #581960=CARTESIAN_POINT('',(4.47,3.115,-2.58)); #581961=CARTESIAN_POINT('Origin',(4.47,3.115,-2.38013716814167)); #581962=CARTESIAN_POINT('',(4.47,3.465,-2.58000000000004)); #581963=CARTESIAN_POINT('',(4.47,3.465,-2.58)); #581964=CARTESIAN_POINT('',(4.47,3.645,-2.467)); #581965=CARTESIAN_POINT('Origin',(4.47,3.465,-2.38013716814167)); #581966=CARTESIAN_POINT('',(4.47,3.82569490137052,-2.09255811788715)); #581967=CARTESIAN_POINT('',(4.47,3.82569490137048,-2.09255811788713)); #581968=CARTESIAN_POINT('',(4.47,3.84,-2.03)); #581969=CARTESIAN_POINT('Origin',(4.47,3.69605992426026,-2.03)); #581970=CARTESIAN_POINT('',(4.47,3.84,-1.79497906874857)); #581971=CARTESIAN_POINT('',(4.47,3.84,-1.58)); #581972=CARTESIAN_POINT('',(4.47,2.92237371282474,-0.852882747248623)); #581973=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #581974=CARTESIAN_POINT('',(4.47,2.82,-0.380000000000001)); #581975=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #581976=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #581977=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #581978=CARTESIAN_POINT('',(4.47,2.82,-0.880000000000001)); #581979=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #581980=CARTESIAN_POINT('',(4.47,2.67,-0.730000000000001)); #581981=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #581982=CARTESIAN_POINT('',(4.47,2.67,-0.530000000000001)); #581983=CARTESIAN_POINT('',(4.47,2.67,-0.380000000000001)); #581984=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #581985=CARTESIAN_POINT('Origin',(4.32,3.69,0.157)); #581986=CARTESIAN_POINT('',(4.46811668758587,3.54188331241413,0.369409890610287)); #581987=CARTESIAN_POINT('Origin',(3.19,4.82,0.300000000000001)); #581988=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #581989=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #581990=CARTESIAN_POINT('',(4.32,3.69,0.157)); #581991=CARTESIAN_POINT('',(4.32,3.69,0.157)); #581992=CARTESIAN_POINT('',(4.42,3.59,0.3305)); #581993=CARTESIAN_POINT('Origin',(4.52051125,3.48948875,0.157)); #581994=CARTESIAN_POINT('Origin',(4.31948875000001,3.69051125,0.504)); #581995=CARTESIAN_POINT('Origin',(-3.19,3.49,-1.58)); #581996=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #581997=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.58)); #581998=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #581999=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.58)); #582000=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #582001=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.58)); #582002=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #582003=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #582004=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #582005=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.58)); #582006=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #582007=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #582008=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #582009=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.58)); #582010=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #582011=CARTESIAN_POINT('',(-0.295092998994441,0.0552297349715073,-1.58)); #582012=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.58)); #582013=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #582014=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #582015=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #582016=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.58)); #582017=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #582018=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #582019=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #582020=CARTESIAN_POINT('',(0.49,-2.425,-1.58)); #582021=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #582022=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.58)); #582023=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #582024=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.58)); #582025=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.58)); #582026=CARTESIAN_POINT('',(-0.51,-2.39,-1.58)); #582027=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #582028=CARTESIAN_POINT('',(-0.51,-3.49,-1.58)); #582029=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #582030=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #582031=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #582032=CARTESIAN_POINT('',(-3.19,-1.69,-1.58)); #582033=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #582034=CARTESIAN_POINT('',(-2.46,-1.69,-1.58)); #582035=CARTESIAN_POINT('',(-4.47,-1.69,-1.58)); #582036=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #582037=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.58)); #582038=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #582039=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #582040=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.58)); #582041=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #582042=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #582043=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.58)); #582044=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.58)); #582045=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #582046=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #582047=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.58)); #582048=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.58)); #582049=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #582050=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.58)); #582051=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.58)); #582052=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.58)); #582053=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.58)); #582054=CARTESIAN_POINT('',(-3.19,2.14,-1.58)); #582055=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #582056=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #582057=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.58)); #582058=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.58)); #582059=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #582060=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #582061=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.58)); #582062=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.58)); #582063=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #582064=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.58)); #582065=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #582066=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #582067=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #582068=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #582069=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #582070=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #582071=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #582072=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #582073=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #582074=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #582075=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #582076=CARTESIAN_POINT('Origin',(-3.19,-3.39,-0.300000000000001)); #582077=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #582078=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #582079=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.27829207394873)); #582080=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #582081=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.27829207394873)); #582082=CARTESIAN_POINT('Ctrl Pts',(-3.2289730108334,0.41,-1.27940698093059)); #582083=CARTESIAN_POINT('Ctrl Pts',(-3.20913227357147,0.407235196250084, -1.28)); #582084=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.28)); #582085=CARTESIAN_POINT('',(-3.39,1.94,-1.25937479641692)); #582086=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.25937479641692)); #582087=CARTESIAN_POINT('Ctrl Pts',(-3.36519686531833,1.94,-1.26454548357157)); #582088=CARTESIAN_POINT('Ctrl Pts',(-3.33849993428259,1.94490530848043, -1.26899477174075)); #582089=CARTESIAN_POINT('Ctrl Pts',(-3.28914035571771,1.96524807119069, -1.27529808220488)); #582090=CARTESIAN_POINT('Ctrl Pts',(-3.26647812210656,1.98067916541882, -1.27717584753705)); #582091=CARTESIAN_POINT('Ctrl Pts',(-3.23083240946533,2.01632487806005, -1.2793103555194)); #582092=CARTESIAN_POINT('Ctrl Pts',(-3.2154380450618,2.03881010650387,-1.27971547233723)); #582093=CARTESIAN_POINT('Ctrl Pts',(-3.19502193171258,2.08808806060494, -1.28004839950087)); #582094=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488054694031,-1.28)); #582095=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.28)); #582096=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #582097=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #582098=CARTESIAN_POINT('',(-4.47,-1.69,-0.3)); #582099=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #582100=CARTESIAN_POINT('',(-4.47,-3.49,-0.3)); #582101=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #582102=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #582103=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #582104=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.57869284112758)); #582105=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.57869284112758)); #582106=CARTESIAN_POINT('Ctrl Pts',(-3.2289686612236,0.41,-1.57954602195257)); #582107=CARTESIAN_POINT('Ctrl Pts',(-3.20913008495689,0.407234535140157, -1.58)); #582108=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.58)); #582109=CARTESIAN_POINT('',(-4.47,0.41,-0.3)); #582110=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #582111=CARTESIAN_POINT('',(-4.47,1.94,-0.3)); #582112=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #582113=CARTESIAN_POINT('',(-3.39,1.94,-1.56427845034233)); #582114=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #582115=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.56427845034233)); #582116=CARTESIAN_POINT('Ctrl Pts',(-3.36506752775227,1.94,-1.56822259290697)); #582117=CARTESIAN_POINT('Ctrl Pts',(-3.3383329271105,1.94495271953366,-1.57161146824546)); #582118=CARTESIAN_POINT('Ctrl Pts',(-3.28900826586259,1.96532401922365, -1.57641183151976)); #582119=CARTESIAN_POINT('Ctrl Pts',(-3.26641843741876,1.98073885010662, -1.5778415976238)); #582120=CARTESIAN_POINT('Ctrl Pts',(-3.23082787289051,2.01632941463487, -1.57947209268886)); #582121=CARTESIAN_POINT('Ctrl Pts',(-3.21543448680069,2.03881643638359, -1.57978223475825)); #582122=CARTESIAN_POINT('Ctrl Pts',(-3.1950206038618,2.08809352480655,-1.5800370414955)); #582123=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488345085534,-1.58)); #582124=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.58)); #582125=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #582126=CARTESIAN_POINT('Origin',(3.19,-3.39,-0.300000000000001)); #582127=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #582128=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #582129=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #582130=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #582131=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #582132=CARTESIAN_POINT('',(-0.499999999999999,-0.0800000000000011,11.2985643801531)); #582133=CARTESIAN_POINT('',(-0.499999999999999,-1.27,11.2985643801531)); #582134=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #582135=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #582136=CARTESIAN_POINT('',(-0.51,-3.49,-1.38)); #582137=CARTESIAN_POINT('',(-0.510000000000001,0.050000000000004,2.16)); #582138=CARTESIAN_POINT('',(-0.51,-3.49,-1.28)); #582139=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #582140=CARTESIAN_POINT('',(4.45363918900927,3.49,0.504)); #582141=CARTESIAN_POINT('',(4.17,3.49,0.504)); #582142=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #582143=CARTESIAN_POINT('',(3.19,3.49,1.58)); #582144=CARTESIAN_POINT('',(3.19,3.49,1.58)); #582145=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #582146=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #582147=CARTESIAN_POINT('',(1.6,3.49,1.58)); #582148=CARTESIAN_POINT('',(1.6,3.49,1.28)); #582149=CARTESIAN_POINT('',(3.19,3.49,1.58)); #582150=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.28)); #582151=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #582152=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #582153=CARTESIAN_POINT('',(-4.27,-3.49,-0.300000000000001)); #582154=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #582155=CARTESIAN_POINT('',(-4.27,-3.49,0.300000000000001)); #582156=CARTESIAN_POINT('',(-4.27,-3.49,-1.28)); #582157=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #582158=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #582159=CARTESIAN_POINT('',(3.19,-3.49,1.38)); #582160=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #582161=CARTESIAN_POINT('',(4.27,-3.49,0.300000000000001)); #582162=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #582163=CARTESIAN_POINT('',(4.27,-3.49,-0.300000000000001)); #582164=CARTESIAN_POINT('',(4.27,-3.49,-1.28)); #582165=CARTESIAN_POINT('',(3.19,-3.49,-1.38)); #582166=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #582167=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #582168=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #582169=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #582170=CARTESIAN_POINT('',(-3.19,-3.49,1.58)); #582171=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #582172=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #582173=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #582174=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #582175=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #582176=CARTESIAN_POINT('Origin',(-3.19,-3.39,0.300000000000001)); #582177=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #582178=CARTESIAN_POINT('Origin',(3.19,-3.39,0.300000000000001)); #582179=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #582180=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.27829207394873)); #582181=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.27829207394873)); #582182=CARTESIAN_POINT('Ctrl Pts',(3.22897301083339,0.41,-1.27940698093059)); #582183=CARTESIAN_POINT('Ctrl Pts',(3.20913227357147,0.407235196250085, -1.28)); #582184=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.28)); #582185=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #582186=CARTESIAN_POINT('',(3.39,1.94,-1.25937479641692)); #582187=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #582188=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.28)); #582189=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488054694031,-1.28)); #582190=CARTESIAN_POINT('Ctrl Pts',(3.19502193171258,2.08808806060494,-1.28004839950087)); #582191=CARTESIAN_POINT('Ctrl Pts',(3.2154380450618,2.03881010650387,-1.27971547233723)); #582192=CARTESIAN_POINT('Ctrl Pts',(3.23083240946533,2.01632487806005,-1.2793103555194)); #582193=CARTESIAN_POINT('Ctrl Pts',(3.26647812210656,1.98067916541882,-1.27717584753705)); #582194=CARTESIAN_POINT('Ctrl Pts',(3.28914035571771,1.96524807119069,-1.27529808220488)); #582195=CARTESIAN_POINT('Ctrl Pts',(3.33849993428259,1.94490530848043,-1.26899477174075)); #582196=CARTESIAN_POINT('Ctrl Pts',(3.36519686531833,1.94,-1.26454548357157)); #582197=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.25937479641692)); #582198=CARTESIAN_POINT('Origin',(-4.47,3.49,0.300000000000001)); #582199=CARTESIAN_POINT('',(-4.47,3.49,0.300000000000001)); #582200=CARTESIAN_POINT('',(-4.47,3.84,-1.79497906874857)); #582201=CARTESIAN_POINT('',(-4.47,2.62247757295976,-0.544989376987237)); #582202=CARTESIAN_POINT('',(-4.47,3.83999999999999,-2.03)); #582203=CARTESIAN_POINT('',(-4.47,3.84,-2.03)); #582204=CARTESIAN_POINT('',(-4.47,3.82569490137048,-2.09255811788713)); #582205=CARTESIAN_POINT('Origin',(-4.47,3.69605992426026,-2.03)); #582206=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #582207=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #582208=CARTESIAN_POINT('',(-4.47,3.465,-2.58)); #582209=CARTESIAN_POINT('Origin',(-4.47,3.465,-2.38013716814167)); #582210=CARTESIAN_POINT('',(-4.47,3.115,-2.58000000000004)); #582211=CARTESIAN_POINT('',(-4.47,3.115,-2.58)); #582212=CARTESIAN_POINT('',(-4.47,2.935,-2.467)); #582213=CARTESIAN_POINT('Origin',(-4.47,3.115,-2.38013716814167)); #582214=CARTESIAN_POINT('',(-4.47,2.75430509862945,-2.09255811788715)); #582215=CARTESIAN_POINT('',(-4.47,2.75430509862951,-2.09255811788712)); #582216=CARTESIAN_POINT('',(-4.47,2.74,-2.03)); #582217=CARTESIAN_POINT('Origin',(-4.47,2.8839400757397,-2.03)); #582218=CARTESIAN_POINT('',(-4.47,2.73999999999998,-1.476)); #582219=CARTESIAN_POINT('',(-4.47,2.74,-1.476)); #582220=CARTESIAN_POINT('',(-4.47,2.53999999999999,-1.276)); #582221=CARTESIAN_POINT('Origin',(-4.47,2.53999999999998,-1.476)); #582222=CARTESIAN_POINT('',(-4.47,2.34,-1.076)); #582223=CARTESIAN_POINT('Origin',(-4.47,2.53999999999999,-1.076)); #582224=CARTESIAN_POINT('',(-4.47,2.34,-0.279999999999999)); #582225=CARTESIAN_POINT('',(-4.47,2.34,-0.0800000000000004)); #582226=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #582227=CARTESIAN_POINT('Origin',(-4.47,2.14,-0.279999999999999)); #582228=CARTESIAN_POINT('',(-4.47,1.94,-0.0799999999999993)); #582229=CARTESIAN_POINT('',(-4.47,0.41,-0.279999999999999)); #582230=CARTESIAN_POINT('',(-4.47,0.41,-0.0799999999999993)); #582231=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.279999999999999)); #582232=CARTESIAN_POINT('Origin',(-4.47,0.210000000000001,-0.279999999999999)); #582233=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-1.58)); #582234=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.0800000000000004)); #582235=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #582236=CARTESIAN_POINT('Origin',(-4.47,-0.139999999999999,-1.58)); #582237=CARTESIAN_POINT('',(-4.47,-0.292999999999999,-1.73)); #582238=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #582239=CARTESIAN_POINT('',(-4.47,-0.382999999999999,-1.69905776454236)); #582240=CARTESIAN_POINT('Origin',(-4.47,-0.293,-1.58363982593444)); #582241=CARTESIAN_POINT('',(-4.47,-0.522000000000001,-1.841)); #582242=CARTESIAN_POINT('Origin',(-4.47,-0.444471864358245,-1.77789060750473)); #582243=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #582244=CARTESIAN_POINT('Origin',(-4.47,-0.6325625,-1.931)); #582245=CARTESIAN_POINT('',(-4.47,-0.49,-2.147)); #582246=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #582247=CARTESIAN_POINT('',(-4.47,-0.499276643990934,-2.20012987012986)); #582248=CARTESIAN_POINT('Origin',(-4.47,-0.646782950094636,-2.147)); #582249=CARTESIAN_POINT('',(-4.47,-0.58927664399093,-2.45)); #582250=CARTESIAN_POINT('',(-4.47,-0.49927664399093,-2.20012987012987)); #582251=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #582252=CARTESIAN_POINT('Origin',(-4.47,-0.77427664399093,-2.38336538461539)); #582253=CARTESIAN_POINT('',(-4.47,-1.00572335600907,-2.58)); #582254=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #582255=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #582256=CARTESIAN_POINT('Origin',(-4.47,-1.00572335600907,-2.38336538461539)); #582257=CARTESIAN_POINT('',(-4.47,-1.28072335600907,-2.20012987012987)); #582258=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #582259=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #582260=CARTESIAN_POINT('Origin',(-4.47,-1.13321704990536,-2.147)); #582261=CARTESIAN_POINT('',(-4.47,-1.29,-0.279999999999999)); #582262=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #582263=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #582264=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #582265=CARTESIAN_POINT('',(-4.47,-1.69,-0.0799999999999993)); #582266=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #582267=CARTESIAN_POINT('',(-4.47,2.82,-0.880000000000001)); #582268=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #582269=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #582270=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #582271=CARTESIAN_POINT('',(-4.47,2.82,-0.380000000000001)); #582272=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #582273=CARTESIAN_POINT('',(-4.47,2.67,-0.530000000000001)); #582274=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #582275=CARTESIAN_POINT('',(-4.47,2.67,-0.730000000000001)); #582276=CARTESIAN_POINT('',(-4.47,2.67,-0.880000000000001)); #582277=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #582278=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #582279=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #582280=CARTESIAN_POINT('Ctrl Pts',(-4.46811668758587,3.54188331241414, 0.369409890610291)); #582281=CARTESIAN_POINT('Ctrl Pts',(-4.46721665650384,3.5268754324413,0.385983101361166)); #582282=CARTESIAN_POINT('Ctrl Pts',(-4.4657950509735,3.5140609185661,0.405964141122854)); #582283=CARTESIAN_POINT('Ctrl Pts',(-4.46115502763314,3.49496358688436, 0.45220637805056)); #582284=CARTESIAN_POINT('Ctrl Pts',(-4.45767378375569,3.49,0.479008470424729)); #582285=CARTESIAN_POINT('Ctrl Pts',(-4.45363918900927,3.49,0.504)); #582286=CARTESIAN_POINT('Origin',(3.19,3.49,1.58)); #582287=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #582288=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #582289=CARTESIAN_POINT('Origin',(-2.75,3.64,1.58)); #582290=CARTESIAN_POINT('',(3.19,3.49,1.58)); #582291=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #582292=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #582293=CARTESIAN_POINT('Origin',(1.6,3.64,1.58)); #582294=CARTESIAN_POINT('',(1.36,1.29,1.58)); #582295=CARTESIAN_POINT('',(1.36,1.29,1.58)); #582296=CARTESIAN_POINT('',(1.36,1.292,1.58)); #582297=CARTESIAN_POINT('',(1.36,1.79,1.58)); #582298=CARTESIAN_POINT('',(1.36,1.788,1.58)); #582299=CARTESIAN_POINT('',(2.64,1.79,1.58)); #582300=CARTESIAN_POINT('',(2.64,1.79,1.58)); #582301=CARTESIAN_POINT('',(2.64,1.788,1.58)); #582302=CARTESIAN_POINT('',(2.64,1.29,1.58)); #582303=CARTESIAN_POINT('',(2.64,1.788,1.58)); #582304=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #582305=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #582306=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #582307=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #582308=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #582309=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #582310=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #582311=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #582312=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #582313=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #582314=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #582315=CARTESIAN_POINT('Ctrl Pts',(4.45363918900927,3.49,0.504)); #582316=CARTESIAN_POINT('Ctrl Pts',(4.45767378375569,3.49,0.479008470424729)); #582317=CARTESIAN_POINT('Ctrl Pts',(4.46115502763314,3.49496358688436,0.45220637805056)); #582318=CARTESIAN_POINT('Ctrl Pts',(4.4657950509735,3.5140609185661,0.405964141122854)); #582319=CARTESIAN_POINT('Ctrl Pts',(4.46721665650384,3.5268754324413,0.385983101361166)); #582320=CARTESIAN_POINT('Ctrl Pts',(4.46811668758587,3.54188331241414,0.369409890610291)); #582321=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #582322=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.57869284112758)); #582323=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #582324=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.57869284112758)); #582325=CARTESIAN_POINT('Ctrl Pts',(3.22896866122359,0.41,-1.57954602195257)); #582326=CARTESIAN_POINT('Ctrl Pts',(3.20913008495689,0.407234535140158, -1.58)); #582327=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.58)); #582328=CARTESIAN_POINT('',(3.39,1.94,-1.56427845034233)); #582329=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.58)); #582330=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488345085534,-1.58)); #582331=CARTESIAN_POINT('Ctrl Pts',(3.1950206038618,2.08809352480655,-1.5800370414955)); #582332=CARTESIAN_POINT('Ctrl Pts',(3.21543448680069,2.03881643638359,-1.57978223475825)); #582333=CARTESIAN_POINT('Ctrl Pts',(3.23082787289051,2.01632941463487,-1.57947209268886)); #582334=CARTESIAN_POINT('Ctrl Pts',(3.26641843741876,1.98073885010662,-1.5778415976238)); #582335=CARTESIAN_POINT('Ctrl Pts',(3.28900826586259,1.96532401922365,-1.57641183151976)); #582336=CARTESIAN_POINT('Ctrl Pts',(3.3383329271105,1.94495271953366,-1.57161146824546)); #582337=CARTESIAN_POINT('Ctrl Pts',(3.36506752775227,1.94,-1.56822259290697)); #582338=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.56427845034233)); #582339=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #582340=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #582341=CARTESIAN_POINT('',(1.74142135623731,3.59,1.37903336799205)); #582342=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #582343=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #582344=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #582345=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.37903336799206)); #582346=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #582347=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #582348=CARTESIAN_POINT('Origin',(3.39,2.14,-0.0800000000000002)); #582349=CARTESIAN_POINT('',(3.39,1.94,-0.0800000000000002)); #582350=CARTESIAN_POINT('',(3.19,2.14,-0.0800000000000002)); #582351=CARTESIAN_POINT('Origin',(4.47,1.94,-0.0800000000000004)); #582352=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #582353=CARTESIAN_POINT('Origin',(1.712,2.69,-0.08)); #582354=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #582355=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #582356=CARTESIAN_POINT('',(0.403365564922641,2.69,-1.28089947926499)); #582357=CARTESIAN_POINT('',(1.51014603095915,2.69,-1.91989947926499)); #582358=CARTESIAN_POINT('',(0.553365564922641,2.69,-1.02109185812965)); #582359=CARTESIAN_POINT('',(1.15336556492264,2.69,0.0181386264116725)); #582360=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #582361=CARTESIAN_POINT('',(-0.255502162212025,2.69,-0.554091858129654)); #582362=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #582363=CARTESIAN_POINT('',(1.712,2.69,-0.08)); #582364=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-0.08)); #582365=CARTESIAN_POINT('',(1.856,2.63025851884472,-0.08)); #582366=CARTESIAN_POINT('Origin',(2.086,2.39974148115528,-0.08)); #582367=CARTESIAN_POINT('',(2.086,2.39974148115528,-0.08)); #582368=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-0.08)); #582369=CARTESIAN_POINT('',(2.23,2.34,-0.0800000000000002)); #582370=CARTESIAN_POINT('Origin',(2.99,2.34,-0.0800000000000002)); #582371=CARTESIAN_POINT('',(2.99,2.34,-0.0800000000000002)); #582372=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #582373=CARTESIAN_POINT('Origin',(2.99,2.14,-0.0800000000000002)); #582374=CARTESIAN_POINT('Origin',(-2.99,2.34,-0.0799999999999996)); #582375=CARTESIAN_POINT('',(-2.23,2.33999999999999,-0.0799999999999996)); #582376=CARTESIAN_POINT('',(-2.99,2.34,-0.0799999999999996)); #582377=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-0.0799999999999996)); #582378=CARTESIAN_POINT('',(-2.086,2.39974148115528,-0.0799999999999996)); #582379=CARTESIAN_POINT('Origin',(-2.086,2.39974148115528,-0.0799999999999996)); #582380=CARTESIAN_POINT('',(-1.856,2.63025851884472,-0.0799999999999996)); #582381=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-0.0799999999999996)); #582382=CARTESIAN_POINT('Origin',(-4.47,1.94,-0.0799999999999993)); #582383=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #582384=CARTESIAN_POINT('',(-3.39,1.94,-0.0799999999999993)); #582385=CARTESIAN_POINT('Origin',(-3.39,2.14,-0.0799999999999993)); #582386=CARTESIAN_POINT('',(-3.19,2.14,-0.0799999999999996)); #582387=CARTESIAN_POINT('Origin',(-2.99,2.14,-0.0799999999999996)); #582388=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #582389=CARTESIAN_POINT('',(-0.902,1.89,-0.0799999999999998)); #582390=CARTESIAN_POINT('Origin',(-0.902,2.04,-0.0799999999999998)); #582391=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.37923304152413)); #582392=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #582393=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #582394=CARTESIAN_POINT('Origin',(4.47,-1.69,-0.0800000000000004)); #582395=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #582396=CARTESIAN_POINT('',(2.46,-1.69,-0.0800000000000002)); #582397=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-0.0800000000000002)); #582398=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-0.0800000000000002)); #582399=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.0800000000000002)); #582400=CARTESIAN_POINT('Origin',(3.24783267285096,0.41,-0.0800000000000002)); #582401=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.28)); #582402=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.0800000000000002)); #582403=CARTESIAN_POINT('',(2.26,-1.49,-0.0800000000000002)); #582404=CARTESIAN_POINT('Origin',(2.26,-1.49,-0.08)); #582405=CARTESIAN_POINT('',(2.26,-0.804854114414314,-0.08)); #582406=CARTESIAN_POINT('Origin',(2.26,-0.804854114414314,-0.08)); #582407=CARTESIAN_POINT('',(2.207,-0.804854114414314,-0.08)); #582408=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-0.08)); #582409=CARTESIAN_POINT('',(2.057,-0.654854114414314,-0.08)); #582410=CARTESIAN_POINT('Origin',(2.057,-0.654854114414314,-0.08)); #582411=CARTESIAN_POINT('',(2.057,-0.104854114414314,-0.08)); #582412=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-0.08)); #582413=CARTESIAN_POINT('',(2.257,0.0951458855856859,-0.08)); #582414=CARTESIAN_POINT('Origin',(2.257,0.0951458855856859,-0.08)); #582415=CARTESIAN_POINT('',(2.857,0.0951458855856859,-0.08)); #582416=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-0.0800000000000002)); #582417=CARTESIAN_POINT('Origin',(-4.47,-1.69,-0.0799999999999993)); #582418=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #582419=CARTESIAN_POINT('',(-2.46,-1.69,-0.0799999999999996)); #582420=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.0799999999999996)); #582421=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.0799999999999996)); #582422=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-0.0799999999999996)); #582423=CARTESIAN_POINT('Origin',(-3.24783267285097,0.41,-0.0799999999999996)); #582424=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.279999999999999)); #582425=CARTESIAN_POINT('Origin',(-2.46,-1.49,-0.0799999999999996)); #582426=CARTESIAN_POINT('',(-2.26,-1.49,-0.0799999999999996)); #582427=CARTESIAN_POINT('Origin',(-2.26,-1.49,-0.0799999999999996)); #582428=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-0.0799999999999996)); #582429=CARTESIAN_POINT('Origin',(-2.26,-0.804854114414314,-0.0799999999999996)); #582430=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-0.0799999999999996)); #582431=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-0.0799999999999996)); #582432=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-0.0799999999999996)); #582433=CARTESIAN_POINT('Origin',(-2.057,-0.654854114414314,-0.0799999999999996)); #582434=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-0.0799999999999996)); #582435=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-0.0799999999999996)); #582436=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-0.0799999999999996)); #582437=CARTESIAN_POINT('Origin',(-2.257,0.0951458855856859,-0.0799999999999996)); #582438=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-0.0799999999999996)); #582439=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-0.0799999999999996)); #582440=CARTESIAN_POINT('Origin',(4.17,-1.29,-0.0800000000000004)); #582441=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #582442=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #582443=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #582444=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #582445=CARTESIAN_POINT('Origin',(4.17,-1.28072335600907,-2.20012987012987)); #582446=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #582447=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #582448=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #582449=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.58)); #582450=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #582451=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #582452=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #582453=CARTESIAN_POINT('Origin',(4.17,-0.58927664399093,-2.45)); #582454=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #582455=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #582456=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #582457=CARTESIAN_POINT('Origin',(4.17,-0.49,-2.147)); #582458=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #582459=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #582460=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #582461=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #582462=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #582463=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #582464=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #582465=CARTESIAN_POINT('Origin',(4.17,-0.292999999999999,-1.73)); #582466=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #582467=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #582468=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #582469=CARTESIAN_POINT('Origin',(4.17,0.0100000000000011,-1.58)); #582470=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #582471=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #582472=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #582473=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #582474=CARTESIAN_POINT('',(4.17,3.69,0.157)); #582475=CARTESIAN_POINT('Origin',(4.17,3.69,0.157)); #582476=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #582477=CARTESIAN_POINT('Origin',(4.17,3.69,-1.426)); #582478=CARTESIAN_POINT('',(4.32,3.84,-1.58)); #582479=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #582480=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #582481=CARTESIAN_POINT('Origin',(4.17,3.84,-1.58)); #582482=CARTESIAN_POINT('',(4.2477312927398,3.84,-1.4764249374236)); #582483=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #582484=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #582485=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #582486=CARTESIAN_POINT('Origin',(4.17,3.82569490137048,-2.09255811788713)); #582487=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #582488=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #582489=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #582490=CARTESIAN_POINT('Origin',(4.17,3.465,-2.58)); #582491=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #582492=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #582493=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #582494=CARTESIAN_POINT('Origin',(4.17,2.935,-2.467)); #582495=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #582496=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #582497=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #582498=CARTESIAN_POINT('Origin',(4.17,2.74,-2.03)); #582499=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #582500=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #582501=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #582502=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #582503=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #582504=CARTESIAN_POINT('Origin',(4.17,2.34,-1.076)); #582505=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #582506=CARTESIAN_POINT('Origin',(4.32,3.69,-1.426)); #582507=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #582508=CARTESIAN_POINT('Origin',(2.46,0.21,-0.28)); #582509=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.28)); #582510=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #582511=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #582512=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #582513=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #582514=CARTESIAN_POINT('Origin',(4.27,-3.49,-1.28)); #582515=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #582516=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #582517=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #582518=CARTESIAN_POINT('Origin',(-3.19,-3.49,1.38)); #582519=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #582520=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #582521=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #582522=CARTESIAN_POINT('Origin',(-4.27,-3.49,-1.28)); #582523=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #582524=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #582525=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #582526=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #582527=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #582528=CARTESIAN_POINT('',(1.36,1.292,1.58)); #582529=CARTESIAN_POINT('',(1.36,1.29,1.28)); #582530=CARTESIAN_POINT('Origin',(1.36,1.29,1.28)); #582531=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #582532=CARTESIAN_POINT('',(2.64,1.788,1.58)); #582533=CARTESIAN_POINT('',(2.64,1.79,1.28)); #582534=CARTESIAN_POINT('Origin',(2.64,1.79,1.28)); #582535=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #582536=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #582537=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #582538=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #582539=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #582540=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #582541=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #582542=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #582543=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #582544=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #582545=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #582546=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #582547=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #582548=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #582549=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #582550=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #582551=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #582552=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #582553=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #582554=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #582555=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #582556=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #582557=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #582558=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #582559=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #582560=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #582561=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #582562=CARTESIAN_POINT('Origin',(1.73835222916963,1.292,1.32909273223488)); #582563=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #582564=CARTESIAN_POINT('',(1.54059749007733,1.292,1.02626920116977)); #582565=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #582566=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #582567=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #582568=CARTESIAN_POINT('',(2.08804419069841,1.292,0.78)); #582569=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #582570=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #582571=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #582572=CARTESIAN_POINT('',(2.53800609005463,1.292,1.21236193340465)); #582573=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #582574=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #582575=CARTESIAN_POINT('',(2.26164777083037,1.292,1.32909273223488)); #582576=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #582577=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #582578=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #582579=CARTESIAN_POINT('',(2.08804419069841,1.292,1.08)); #582580=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #582581=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #582582=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #582583=CARTESIAN_POINT('',(1.81695580930159,1.292,1.143)); #582584=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #582585=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #582586=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #582587=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #582588=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #582589=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #582590=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #582591=CARTESIAN_POINT('Origin',(1.73835222916963,1.788,1.32909273223488)); #582592=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #582593=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #582594=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #582595=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.08)); #582596=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #582597=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #582598=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #582599=CARTESIAN_POINT('Origin',(2.18304419069841,1.788,1.143)); #582600=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #582601=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #582602=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #582603=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #582604=CARTESIAN_POINT('Origin',(1.46199390994537,1.788,1.21236193340465)); #582605=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #582606=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #582607=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #582608=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,0.78)); #582609=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #582610=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #582611=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #582612=CARTESIAN_POINT('Origin',(2.45940250992267,1.788,1.02626920116977)); #582613=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #582614=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #582615=CARTESIAN_POINT('Origin',(2.64,1.29,-1.28)); #582616=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #582617=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #582618=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #582619=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #582620=CARTESIAN_POINT('Origin',(1.36,1.79,-1.28)); #582621=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #582622=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #582623=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #582624=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #582625=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #582626=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #582627=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #582628=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #582629=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #582630=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #582631=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #582632=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #582633=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #582634=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #582635=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #582636=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #582637=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #582638=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #582639=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #582640=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #582641=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #582642=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #582643=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #582644=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #582645=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #582646=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #582647=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #582648=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #582649=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #582650=CARTESIAN_POINT('Origin',(2.26164777083037,1.292,-1.32909273223488)); #582651=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #582652=CARTESIAN_POINT('',(2.45940250992267,1.292,-1.02626920116977)); #582653=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #582654=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #582655=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #582656=CARTESIAN_POINT('',(1.91195580930159,1.292,-0.78)); #582657=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #582658=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #582659=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #582660=CARTESIAN_POINT('',(1.46199390994537,1.292,-1.21236193340465)); #582661=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #582662=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #582663=CARTESIAN_POINT('',(1.73835222916963,1.292,-1.32909273223488)); #582664=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #582665=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #582666=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #582667=CARTESIAN_POINT('',(1.91195580930159,1.292,-1.08)); #582668=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #582669=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #582670=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #582671=CARTESIAN_POINT('',(2.18304419069841,1.292,-1.143)); #582672=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #582673=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #582674=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #582675=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #582676=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #582677=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #582678=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #582679=CARTESIAN_POINT('Origin',(2.26164777083037,1.788,-1.32909273223488)); #582680=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #582681=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #582682=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #582683=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.08)); #582684=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #582685=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #582686=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #582687=CARTESIAN_POINT('Origin',(1.81695580930159,1.788,-1.143)); #582688=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #582689=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #582690=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #582691=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #582692=CARTESIAN_POINT('Origin',(2.53800609005463,1.788,-1.21236193340465)); #582693=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #582694=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #582695=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #582696=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-0.78)); #582697=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #582698=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #582699=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #582700=CARTESIAN_POINT('Origin',(1.54059749007733,1.788,-1.02626920116977)); #582701=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #582702=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #582703=CARTESIAN_POINT('Origin',(1.04771046566094,2.54,0.0791386264116724)); #582704=CARTESIAN_POINT('',(0.44771046566094,2.28922666666666,-0.960091858129654)); #582705=CARTESIAN_POINT('',(0.44771046566094,2.54,-0.960091858129654)); #582706=CARTESIAN_POINT('',(0.447710465660939,3.49,-0.960091858129654)); #582707=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #582708=CARTESIAN_POINT('',(1.04771046566094,2.54,0.0791386264116722)); #582709=CARTESIAN_POINT('',(0.29771046566094,2.28922666666666,-1.21989947926499)); #582710=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #582711=CARTESIAN_POINT('',(1.04771046566094,2.28922666666666,0.0791386264116723)); #582712=CARTESIAN_POINT('Origin',(1.15336556492264,2.31461333333333,0.0181386264116723)); #582713=CARTESIAN_POINT('',(0.553365564922641,2.19,-1.02109185812965)); #582714=CARTESIAN_POINT('Origin',(0.553365564922641,2.31461333333333,-1.02109185812965)); #582715=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #582716=CARTESIAN_POINT('Origin',(0.403365564922641,2.31461333333333,-1.28089947926499)); #582717=CARTESIAN_POINT('',(1.15336556492264,2.19,0.0181386264116723)); #582718=CARTESIAN_POINT('Origin',(1.15336556492264,2.19,0.0181386264116723)); #582719=CARTESIAN_POINT('',(0.958665453893759,2.19,-1.25509185812965)); #582720=CARTESIAN_POINT('',(-0.255502162212025,2.19,-0.554091858129654)); #582721=CARTESIAN_POINT('',(0.808665453893759,2.19,-1.51489947926499)); #582722=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #582723=CARTESIAN_POINT('',(1.55866545389376,2.19,-0.215861373588328)); #582724=CARTESIAN_POINT('Origin',(1.15336556492264,2.56538666666667,0.0181386264116723)); #582725=CARTESIAN_POINT('Origin',(0.553365564922641,2.56538666666667,-1.02109185812965)); #582726=CARTESIAN_POINT('Origin',(0.403365564922641,2.56538666666667,-1.28089947926499)); #582727=CARTESIAN_POINT('Origin',(-0.405502162212024,3.49,-0.813899479264986)); #582728=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #582729=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #582730=CARTESIAN_POINT('Origin',(0.808665453893759,2.04,-1.51489947926499)); #582731=CARTESIAN_POINT('Origin',(1.55866545389376,2.04,-0.215861373588328)); #582732=CARTESIAN_POINT('',(0.967,2.18969094980972,-1.25990381056767)); #582733=CARTESIAN_POINT('',(0.917514408080425,2.18969094980972,-1.34561537001499)); #582734=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #582735=CARTESIAN_POINT('Origin',(0.958665453893759,2.04,-1.25509185812965)); #582736=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #582737=CARTESIAN_POINT('Origin',(-0.255502162212024,3.49,-0.554091858129655)); #582738=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #582739=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #582740=CARTESIAN_POINT('Ctrl Pts',(0.861647550460583,2.18785690637733, -1.54548870698007)); #582741=CARTESIAN_POINT('Ctrl Pts',(0.909591971884417,2.17773002787251, -1.56207462916777)); #582742=CARTESIAN_POINT('Ctrl Pts',(0.990802106691831,2.14398781452219, -1.57899793629896)); #582743=CARTESIAN_POINT('Ctrl Pts',(1.02356298388176,2.12037366240352,-1.58)); #582744=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #582745=CARTESIAN_POINT('Ctrl Pts',(0.967003156199884,2.18969094980972, -1.25989834386911)); #582746=CARTESIAN_POINT('Ctrl Pts',(0.981878582009259,2.18785770305493, -1.26848667496446)); #582747=CARTESIAN_POINT('Ctrl Pts',(0.997865847989041,2.17773002787251, -1.27401881543862)); #582748=CARTESIAN_POINT('Ctrl Pts',(1.02493475374521,2.14398781452219,-1.27965968042306)); #582749=CARTESIAN_POINT('Ctrl Pts',(1.03583296907771,2.12046695405389,-1.27999368760023)); #582750=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.27999368760023)); #582751=CARTESIAN_POINT('Ctrl Pts',(0.967000000000004,2.18969094980972, -1.25990381056767)); #582752=CARTESIAN_POINT('Ctrl Pts',(0.970770511660205,2.18922629169909, -1.26208071648967)); #582753=CARTESIAN_POINT('Ctrl Pts',(0.974551684280639,2.1882356463707,-1.26404402835229)); #582754=CARTESIAN_POINT('Ctrl Pts',(0.97829287058369,2.18678722642523,-1.26579912246231)); #582755=CARTESIAN_POINT('Ctrl Pts',(0.982196225386989,2.18527602209233, -1.26763029430718)); #582756=CARTESIAN_POINT('Ctrl Pts',(0.986008381216334,2.18328506639892, -1.26921294259616)); #582757=CARTESIAN_POINT('Ctrl Pts',(0.989674767539365,2.18092135844651, -1.27057762996985)); #582758=CARTESIAN_POINT('Ctrl Pts',(0.995201941585423,2.17735800638922, -1.2726349321656)); #582759=CARTESIAN_POINT('Ctrl Pts',(1.00065884364745,2.1727838539401,-1.27429040110087)); #582760=CARTESIAN_POINT('Ctrl Pts',(1.00586839118512,2.16723672511816,-1.2755833329899)); #582761=CARTESIAN_POINT('Ctrl Pts',(1.00773971017691,2.16524414372038,-1.27604776641364)); #582762=CARTESIAN_POINT('Ctrl Pts',(1.00957915851179,2.16312601775248,-1.27646592986454)); #582763=CARTESIAN_POINT('Ctrl Pts',(1.01137880699386,2.1608841003324,-1.27684121539568)); #582764=CARTESIAN_POINT('Ctrl Pts',(1.01618856816892,2.15489232612079,-1.27784420781071)); #582765=CARTESIAN_POINT('Ctrl Pts',(1.0204732689578,2.14830660571331,-1.2784953982168)); #582766=CARTESIAN_POINT('Ctrl Pts',(1.02422598684638,2.14145434643594,-1.27894322561438)); #582767=CARTESIAN_POINT('Ctrl Pts',(1.02610067951941,2.13803125931819,-1.2791669404701)); #582768=CARTESIAN_POINT('Ctrl Pts',(1.02784315291006,2.13454165577825,-1.27933921192191)); #582769=CARTESIAN_POINT('Ctrl Pts',(1.02945505727925,2.13102635279781,-1.27947449418591)); #582770=CARTESIAN_POINT('Ctrl Pts',(1.03327889225303,2.12268718656875,-1.2797954171031)); #582771=CARTESIAN_POINT('Ctrl Pts',(1.03648190134414,2.11395573167925,-1.27992084478368)); #582772=CARTESIAN_POINT('Ctrl Pts',(1.03907160332681,2.10506648719001,-1.27997141225222)); #582773=CARTESIAN_POINT('Ctrl Pts',(1.04015430486917,2.10135007550117,-1.27999255347872)); #582774=CARTESIAN_POINT('Ctrl Pts',(1.04113002347144,2.09760608368246,-1.28)); #582775=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.28)); #582776=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #582777=CARTESIAN_POINT('Ctrl Pts',(0.846804960112271,2.18846661407836, -1.536919333447)); #582778=CARTESIAN_POINT('Ctrl Pts',(0.878130528708108,2.18354320585705, -1.55005680979201)); #582779=CARTESIAN_POINT('Ctrl Pts',(0.907786436207732,2.17535650125316, -1.55940494685622)); #582780=CARTESIAN_POINT('Ctrl Pts',(0.922463325194177,2.1713048513538,-1.56403139674393)); #582781=CARTESIAN_POINT('Ctrl Pts',(0.936730421278745,2.16645420187331, -1.56772998628857)); #582782=CARTESIAN_POINT('Ctrl Pts',(0.950197039288123,2.16085892119735, -1.57053628273336)); #582783=CARTESIAN_POINT('Ctrl Pts',(0.982039643313399,2.14762855356899, -1.57717193441717)); #582784=CARTESIAN_POINT('Ctrl Pts',(1.00909614443207,2.1302330583685,-1.57922370849935)); #582785=CARTESIAN_POINT('Ctrl Pts',(1.02818448576243,2.11051871379248,-1.57979681121896)); #582786=CARTESIAN_POINT('Ctrl Pts',(1.0334081322544,2.10512375751504,-1.57995364443768)); #582787=CARTESIAN_POINT('Ctrl Pts',(1.03803503114378,2.09955533462002,-1.58)); #582788=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #582789=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #582790=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #582791=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #582792=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #582793=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #582794=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #582795=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #582796=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #582797=CARTESIAN_POINT('Origin',(4.62,3.33,-0.730000000000001)); #582798=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #582799=CARTESIAN_POINT('',(4.62,2.82,-0.530000000000001)); #582800=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #582801=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #582802=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #582803=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #582804=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #582805=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #582806=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #582807=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #582808=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #582809=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #582810=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #582811=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #582812=CARTESIAN_POINT('Origin',(4.32,3.28,-0.530000000000001)); #582813=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #582814=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #582815=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #582816=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #582817=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #582818=CARTESIAN_POINT('Origin',(4.17,2.87,-0.530000000000001)); #582819=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #582820=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #582821=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #582822=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #582823=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #582824=CARTESIAN_POINT('Origin',(4.32,2.87,-0.530000000000001)); #582825=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #582826=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #582827=CARTESIAN_POINT('Origin',(-3.24531401774049E-17,0.,-0.530000000000001)); #582828=CARTESIAN_POINT('Origin',(-4.46996081688785E-17,0.,-0.730000000000002)); #582829=CARTESIAN_POINT('Origin',(-4.17,-1.29,-2.147)); #582830=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #582831=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #582832=CARTESIAN_POINT('Origin',(-4.17,0.0100000000000011,-0.0800000000000004)); #582833=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #582834=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #582835=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #582836=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #582837=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.73)); #582838=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #582839=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #582840=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #582841=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #582842=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #582843=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #582844=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #582845=CARTESIAN_POINT('Origin',(-4.17,-0.49,-1.931)); #582846=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #582847=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #582848=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #582849=CARTESIAN_POINT('Origin',(-4.17,-0.49927664399093,-2.20012987012987)); #582850=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #582851=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #582852=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #582853=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.58)); #582854=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #582855=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #582856=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #582857=CARTESIAN_POINT('Origin',(-4.17,-1.19072335600907,-2.45)); #582858=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #582859=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #582860=CARTESIAN_POINT('Origin',(-4.17,2.34,-0.0800000000000004)); #582861=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #582862=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #582863=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #582864=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #582865=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #582866=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #582867=CARTESIAN_POINT('Origin',(-4.17,2.74,-1.476)); #582868=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #582869=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #582870=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #582871=CARTESIAN_POINT('Origin',(-4.17,2.75430509862951,-2.09255811788712)); #582872=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #582873=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #582874=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #582875=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.58)); #582876=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #582877=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #582878=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #582879=CARTESIAN_POINT('Origin',(-4.17,3.645,-2.467)); #582880=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #582881=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #582882=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #582883=CARTESIAN_POINT('Origin',(-4.17,3.84,-2.03)); #582884=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #582885=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #582886=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #582887=CARTESIAN_POINT('Origin',(-4.17,3.84,-1.58)); #582888=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #582889=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #582890=CARTESIAN_POINT('Origin',(-4.17,3.69,-1.426)); #582891=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #582892=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #582893=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #582894=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #582895=CARTESIAN_POINT('Origin',(-4.32,3.84,-1.58)); #582896=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #582897=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.279999999999999)); #582898=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #582899=CARTESIAN_POINT('Origin',(-2.64,1.29,1.28)); #582900=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #582901=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #582902=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #582903=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #582904=CARTESIAN_POINT('Origin',(-1.36,1.79,1.28)); #582905=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #582906=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #582907=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #582908=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #582909=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #582910=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #582911=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #582912=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #582913=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #582914=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #582915=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #582916=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #582917=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #582918=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #582919=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #582920=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #582921=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #582922=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #582923=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #582924=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #582925=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #582926=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #582927=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #582928=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #582929=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #582930=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #582931=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #582932=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #582933=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #582934=CARTESIAN_POINT('Origin',(-2.26164777083037,1.292,1.32909273223488)); #582935=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #582936=CARTESIAN_POINT('',(-2.45940250992267,1.292,1.02626920116977)); #582937=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #582938=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #582939=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #582940=CARTESIAN_POINT('',(-1.91195580930159,1.292,0.78)); #582941=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #582942=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #582943=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #582944=CARTESIAN_POINT('',(-1.46199390994537,1.292,1.21236193340465)); #582945=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #582946=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #582947=CARTESIAN_POINT('',(-1.73835222916963,1.292,1.32909273223488)); #582948=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #582949=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #582950=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #582951=CARTESIAN_POINT('',(-1.91195580930159,1.292,1.08)); #582952=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #582953=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #582954=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #582955=CARTESIAN_POINT('',(-2.18304419069841,1.292,1.143)); #582956=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #582957=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #582958=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #582959=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #582960=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #582961=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #582962=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #582963=CARTESIAN_POINT('Origin',(-2.26164777083037,1.788,1.32909273223488)); #582964=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #582965=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #582966=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #582967=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.08)); #582968=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #582969=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #582970=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #582971=CARTESIAN_POINT('Origin',(-1.81695580930159,1.788,1.143)); #582972=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #582973=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #582974=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #582975=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #582976=CARTESIAN_POINT('Origin',(-2.53800609005463,1.788,1.21236193340465)); #582977=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #582978=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #582979=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #582980=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,0.78)); #582981=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #582982=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #582983=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #582984=CARTESIAN_POINT('Origin',(-1.54059749007733,1.788,1.02626920116977)); #582985=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #582986=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #582987=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #582988=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #582989=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #582990=CARTESIAN_POINT('Origin',(-1.36,1.29,-1.28)); #582991=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #582992=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #582993=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #582994=CARTESIAN_POINT('Origin',(-2.64,1.79,-1.28)); #582995=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #582996=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #582997=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #582998=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #582999=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #583000=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #583001=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #583002=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #583003=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #583004=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #583005=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #583006=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #583007=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #583008=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #583009=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #583010=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #583011=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #583012=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #583013=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #583014=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #583015=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #583016=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #583017=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #583018=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #583019=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #583020=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #583021=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #583022=CARTESIAN_POINT('Origin',(-1.73835222916963,1.292,-1.32909273223488)); #583023=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #583024=CARTESIAN_POINT('',(-1.54059749007733,1.292,-1.02626920116977)); #583025=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #583026=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #583027=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #583028=CARTESIAN_POINT('',(-2.08804419069841,1.292,-0.78)); #583029=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #583030=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #583031=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #583032=CARTESIAN_POINT('',(-2.53800609005463,1.292,-1.21236193340465)); #583033=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #583034=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #583035=CARTESIAN_POINT('',(-2.26164777083037,1.292,-1.32909273223488)); #583036=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #583037=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #583038=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #583039=CARTESIAN_POINT('',(-2.08804419069841,1.292,-1.08)); #583040=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #583041=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #583042=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #583043=CARTESIAN_POINT('',(-1.81695580930159,1.292,-1.143)); #583044=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #583045=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #583046=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #583047=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #583048=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #583049=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #583050=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #583051=CARTESIAN_POINT('Origin',(-1.73835222916963,1.788,-1.32909273223488)); #583052=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #583053=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #583054=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #583055=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.08)); #583056=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #583057=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #583058=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #583059=CARTESIAN_POINT('Origin',(-2.18304419069841,1.788,-1.143)); #583060=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #583061=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #583062=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #583063=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #583064=CARTESIAN_POINT('Origin',(-1.46199390994537,1.788,-1.21236193340465)); #583065=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #583066=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #583067=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #583068=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-0.78)); #583069=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #583070=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #583071=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #583072=CARTESIAN_POINT('Origin',(-2.45940250992267,1.788,-1.02626920116977)); #583073=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #583074=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #583075=CARTESIAN_POINT('Origin',(-1.15336556492264,2.31461333333333,0.0181386264116724)); #583076=CARTESIAN_POINT('',(-0.44771046566094,2.28922666666666,-0.960091858129655)); #583077=CARTESIAN_POINT('',(-0.553365564922642,2.19,-1.02109185812965)); #583078=CARTESIAN_POINT('Origin',(-0.553365564922642,2.31461333333333,-1.02109185812965)); #583079=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #583080=CARTESIAN_POINT('',(-1.15336556492264,2.19,0.0181386264116724)); #583081=CARTESIAN_POINT('',(-0.29771046566094,2.28922666666666,-1.21989947926499)); #583082=CARTESIAN_POINT('Origin',(-0.403365564922642,2.31461333333333,-1.28089947926499)); #583083=CARTESIAN_POINT('',(-1.04771046566094,2.28922666666666,0.0791386264116722)); #583084=CARTESIAN_POINT('Origin',(-1.04771046566094,2.54,0.0791386264116723)); #583085=CARTESIAN_POINT('',(-0.44771046566094,2.54,-0.960091858129655)); #583086=CARTESIAN_POINT('',(-0.447710465660939,3.49,-0.960091858129654)); #583087=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #583088=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #583089=CARTESIAN_POINT('',(-1.04771046566094,2.54,0.0791386264116723)); #583090=CARTESIAN_POINT('Origin',(-1.15336556492264,2.56538666666667,0.0181386264116724)); #583091=CARTESIAN_POINT('Origin',(-0.553365564922642,2.56538666666667,-1.02109185812965)); #583092=CARTESIAN_POINT('Origin',(-0.403365564922642,2.56538666666667,-1.28089947926499)); #583093=CARTESIAN_POINT('Origin',(-1.15336556492264,2.19,0.0181386264116724)); #583094=CARTESIAN_POINT('',(-0.958665453893759,2.19,-1.25509185812965)); #583095=CARTESIAN_POINT('',(-0.808665453893759,2.19,-1.51489947926499)); #583096=CARTESIAN_POINT('',(-1.55866545389376,2.19,-0.215861373588328)); #583097=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #583098=CARTESIAN_POINT('',(-0.610523241572413,2.19,-1.05409185812965)); #583099=CARTESIAN_POINT('Origin',(-1.55866545389376,2.04,-0.215861373588328)); #583100=CARTESIAN_POINT('',(-0.967,2.18969094980972,-1.25990381056767)); #583101=CARTESIAN_POINT('Origin',(-0.958665453893759,2.04,-1.25509185812965)); #583102=CARTESIAN_POINT('',(-0.917514408080421,2.18969094980972,-1.345615370015)); #583103=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #583104=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #583105=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #583106=CARTESIAN_POINT('Origin',(-0.808665453893759,2.04,-1.51489947926499)); #583107=CARTESIAN_POINT('Origin',(-2.79013157775255,3.49,-2.65889947926499)); #583108=CARTESIAN_POINT('',(-0.817,2.09385164807134,-1.519711431703)); #583109=CARTESIAN_POINT('Origin',(-0.610523241572414,3.49,-1.05409185812965)); #583110=CARTESIAN_POINT('',(-0.967,2.09385164807134,-1.25990381056767)); #583111=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #583112=CARTESIAN_POINT('Ctrl Pts',(-1.02356298388176,2.12037366240352, -1.58)); #583113=CARTESIAN_POINT('Ctrl Pts',(-0.990802106691829,2.1439878145222, -1.57899793629896)); #583114=CARTESIAN_POINT('Ctrl Pts',(-0.909591971884418,2.17773002787251, -1.56207462916777)); #583115=CARTESIAN_POINT('Ctrl Pts',(-0.861647550460582,2.18785690637733, -1.54548870698007)); #583116=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #583117=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.27999562328633)); #583118=CARTESIAN_POINT('Ctrl Pts',(-1.03583288990991,2.12046695345196, -1.27999562328633)); #583119=CARTESIAN_POINT('Ctrl Pts',(-1.02493453351621,2.1439878145222,-1.27966161179875)); #583120=CARTESIAN_POINT('Ctrl Pts',(-0.997865278432643,2.17773002787251, -1.27402067401829)); #583121=CARTESIAN_POINT('Ctrl Pts',(-0.981877806260461,2.18785770304979, -1.26848846222346)); #583122=CARTESIAN_POINT('Ctrl Pts',(-0.967002188356836,2.18969094980972, -1.25990002022245)); #583123=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.28)); #583124=CARTESIAN_POINT('Ctrl Pts',(-1.03991911265227,2.10283184156461, -1.28)); #583125=CARTESIAN_POINT('Ctrl Pts',(-1.0372324810687,2.11175228472919,-1.27996077193467)); #583126=CARTESIAN_POINT('Ctrl Pts',(-1.03392633568465,2.12037847850442, -1.27978256221778)); #583127=CARTESIAN_POINT('Ctrl Pts',(-1.03254410377898,2.12398491405868, -1.27970805637246)); #583128=CARTESIAN_POINT('Ctrl Pts',(-1.03105372463618,2.1275399176023,-1.27960866550745)); #583129=CARTESIAN_POINT('Ctrl Pts',(-1.02945505727925,2.13102635279782, -1.27947449418591)); #583130=CARTESIAN_POINT('Ctrl Pts',(-1.02622838314332,2.13806320772776, -1.27920368917376)); #583131=CARTESIAN_POINT('Ctrl Pts',(-1.02247702092014,2.14499708207631, -1.27878734849975)); #583132=CARTESIAN_POINT('Ctrl Pts',(-1.01819360051821,2.15150056851751, -1.27809880264105)); #583133=CARTESIAN_POINT('Ctrl Pts',(-1.01605379222947,2.15474942407844, -1.27775483543789)); #583134=CARTESIAN_POINT('Ctrl Pts',(-1.0137815519648,2.1578908736773,-1.27734226625733)); #583135=CARTESIAN_POINT('Ctrl Pts',(-1.01137880699386,2.16088410033241, -1.27684121539568)); #583136=CARTESIAN_POINT('Ctrl Pts',(-1.00636878232161,2.16712535338804, -1.27579646149575)); #583137=CARTESIAN_POINT('Ctrl Pts',(-1.00104954892794,2.17240721681797, -1.27441590488398)); #583138=CARTESIAN_POINT('Ctrl Pts',(-0.995595651439929,2.1766918666032, -1.27264163639946)); #583139=CARTESIAN_POINT('Ctrl Pts',(-0.993636559623551,2.17823095353117, -1.27200430229559)); #583140=CARTESIAN_POINT('Ctrl Pts',(-0.99166018099191,2.17964136851825, -1.271316632473)); #583141=CARTESIAN_POINT('Ctrl Pts',(-0.989674767539365,2.18092135844651, -1.27057762996985)); #583142=CARTESIAN_POINT('Ctrl Pts',(-0.986008381216332,2.18328506639892, -1.26921294259616)); #583143=CARTESIAN_POINT('Ctrl Pts',(-0.982196225386988,2.18527602209233, -1.26763029430718)); #583144=CARTESIAN_POINT('Ctrl Pts',(-0.97829287058369,2.18678722642523, -1.26579912246231)); #583145=CARTESIAN_POINT('Ctrl Pts',(-0.974551684280639,2.1882356463707, -1.26404402835229)); #583146=CARTESIAN_POINT('Ctrl Pts',(-0.970770511660205,2.18922629169909, -1.26208071648967)); #583147=CARTESIAN_POINT('Ctrl Pts',(-0.967000000000004,2.18969094980972, -1.25990381056767)); #583148=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #583149=CARTESIAN_POINT('Ctrl Pts',(-1.0275111407376,2.11469416025172,-1.58)); #583150=CARTESIAN_POINT('Ctrl Pts',(-1.00418298214832,2.13372839351959, -1.57937830321256)); #583151=CARTESIAN_POINT('Ctrl Pts',(-0.975198276914128,2.14909962190338, -1.57501822775026)); #583152=CARTESIAN_POINT('Ctrl Pts',(-0.967266427231351,2.1533060567108, -1.5738250652567)); #583153=CARTESIAN_POINT('Ctrl Pts',(-0.958910971221718,2.15723834660872, -1.57235217119091)); #583154=CARTESIAN_POINT('Ctrl Pts',(-0.950197039288124,2.16085892119736, -1.57053628273336)); #583155=CARTESIAN_POINT('Ctrl Pts',(-0.922986587650753,2.17216466359864, -1.56486592112973)); #583156=CARTESIAN_POINT('Ctrl Pts',(-0.892510451045024,2.1804292183697, -1.55555152507457)); #583157=CARTESIAN_POINT('Ctrl Pts',(-0.861981770484315,2.18520820134402, -1.54230372798919)); #583158=CARTESIAN_POINT('Ctrl Pts',(-0.846872940794248,2.18757334908458, -1.5357473126363)); #583159=CARTESIAN_POINT('Ctrl Pts',(-0.831750656035904,2.18908501860975, -1.52822772693605)); #583160=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #583161=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #583162=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #583163=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #583164=CARTESIAN_POINT('',(-4.62,2.82,-0.730000000000001)); #583165=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #583166=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #583167=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #583168=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #583169=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #583170=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #583171=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #583172=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #583173=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #583174=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #583175=CARTESIAN_POINT('Origin',(-4.62,3.33,-0.530000000000001)); #583176=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #583177=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #583178=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #583179=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #583180=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #583181=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #583182=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #583183=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #583184=CARTESIAN_POINT('Origin',(-4.17,3.28,-0.530000000000001)); #583185=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #583186=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #583187=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #583188=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #583189=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #583190=CARTESIAN_POINT('Origin',(-4.32,3.28,-0.530000000000001)); #583191=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #583192=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #583193=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #583194=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #583195=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #583196=CARTESIAN_POINT('Origin',(-4.32,2.87,-0.530000000000001)); #583197=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #583198=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #583199=CARTESIAN_POINT('Origin',(3.24531401774049E-17,0.,-0.530000000000001)); #583200=CARTESIAN_POINT('Origin',(4.46996081688785E-17,0.,-0.730000000000002)); #583201=CARTESIAN_POINT('Origin',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #583202=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-1.07887171462808)); #583203=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-1.07887171462808)); #583204=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-1.07887171462808)); #583205=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #583206=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #583207=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #583208=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #583209=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #583210=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-0.178871714628076)); #583211=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,0.0211282853719236)); #583212=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-0.178871714628076)); #583213=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,0.0211282853719236)); #583214=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,0.0211282853719236)); #583215=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-0.178871714628076)); #583216=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.278871714628082)); #583217=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628076)); #583218=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.278871714628082)); #583219=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628082)); #583220=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #583221=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #583222=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #583223=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628082)); #583224=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #583225=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #583226=CARTESIAN_POINT('',(-4.78997740356225,2.824,-0.251871714628079)); #583227=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-0.14685319610957)); #583228=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #583229=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #583230=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #583231=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #583232=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.459871714628076)); #583233=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.369613285448516)); #583234=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #583235=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #583236=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #583237=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #583238=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #583239=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.527481727920053)); #583240=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #583241=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #583242=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #583243=CARTESIAN_POINT('Origin',(-4.48997740356225,2.64,-0.527481727920053)); #583244=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.7302617013361)); #583245=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #583246=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #583247=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #583248=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #583249=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #583250=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.797871714628077)); #583251=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.7302617013361)); #583252=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #583253=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #583254=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #583255=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #583256=CARTESIAN_POINT('',(-4.78997740356225,2.824,-1.00587171462807)); #583257=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.888130143807637)); #583258=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #583259=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #583260=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #583261=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #583262=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #583263=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-1.11089023314658)); #583264=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #583265=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #583266=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #583267=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.978871714628071)); #583268=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.978871714628071)); #583269=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #583270=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628076)); #583271=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #583272=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628071)); #583273=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #583274=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #583275=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.828871714628076)); #583276=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #583277=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #583278=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #583279=CARTESIAN_POINT('Origin',(-4.48997740356225,3.342,-0.828871714628076)); #583280=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.428871714628076)); #583281=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #583282=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #583283=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #583284=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #583285=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #583286=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.428871714628076)); #583287=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #583288=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.278871714628082)); #583289=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #583290=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.278871714628082)); #583291=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #583292=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #583293=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #583294=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #583295=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #583296=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #583297=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #583298=CARTESIAN_POINT('',(4.78997740356225,2.824,-0.251871714628079)); #583299=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-0.14685319610957)); #583300=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #583301=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #583302=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #583303=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #583304=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.459871714628076)); #583305=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.369613285448516)); #583306=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #583307=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #583308=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #583309=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #583310=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.527481727920053)); #583311=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.527481727920053)); #583312=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #583313=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #583314=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #583315=CARTESIAN_POINT('Origin',(4.48997740356225,2.64,-0.7302617013361)); #583316=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #583317=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #583318=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #583319=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #583320=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #583321=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #583322=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.797871714628077)); #583323=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.7302617013361)); #583324=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #583325=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #583326=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #583327=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #583328=CARTESIAN_POINT('',(4.78997740356225,2.824,-1.00587171462807)); #583329=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.888130143807637)); #583330=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #583331=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #583332=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #583333=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #583334=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.978871714628071)); #583335=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-1.11089023314658)); #583336=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #583337=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #583338=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #583339=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.978871714628071)); #583340=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628076)); #583341=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628071)); #583342=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #583343=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #583344=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628071)); #583345=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #583346=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.828871714628076)); #583347=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.828871714628076)); #583348=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #583349=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #583350=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #583351=CARTESIAN_POINT('Origin',(4.48997740356225,3.342,-0.428871714628076)); #583352=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #583353=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #583354=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #583355=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #583356=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #583357=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #583358=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.428871714628076)); #583359=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #583360=CARTESIAN_POINT('Origin',(4.48997740356225,2.24112828537193,-0.178871714628076)); #583361=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #583362=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #583363=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-0.178871714628076)); #583364=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-1.07887171462808)); #583365=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #583366=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-1.07887171462808)); #583367=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-1.07887171462808)); #583368=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #583369=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-0.178871714628076)); #583370=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,0.0211282853719236)); #583371=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,0.0211282853719236)); #583372=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,0.0211282853719236)); #583373=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-0.178871714628076)); #583374=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-0.178871714628076)); #583375=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #583376=CARTESIAN_POINT('Ctrl Pts',(-4.37407970344023,4.03871064033772, 0.233892393968742)); #583377=CARTESIAN_POINT('Ctrl Pts',(-4.36199530575025,4.04,0.299140710028597)); #583378=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.363375606739761)); #583379=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #583380=CARTESIAN_POINT('Ctrl Pts',(-4.35134489242778,3.73957020896752, 0.233887062607087)); #583381=CARTESIAN_POINT('Ctrl Pts',(-4.3473157973903,3.74,0.299148647393757)); #583382=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.363375606739761)); #583383=CARTESIAN_POINT('',(-4.35202621405051,3.73951530411907,0.168524745334394)); #583384=CARTESIAN_POINT('',(-4.37612383502704,4.03854591235721,0.168524745334394)); #583385=CARTESIAN_POINT('',(-4.36407502453878,3.88903060823814,0.168524745334394)); #583386=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.363375606739761)); #583387=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334393)); #583388=CARTESIAN_POINT('Ctrl Pts',(-4.35134490386219,3.73957020804607, 0.233885965651727)); #583389=CARTESIAN_POINT('Ctrl Pts',(-4.34731569400471,3.74,0.299149552243306)); #583390=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.36337560673976)); #583391=CARTESIAN_POINT('',(-4.33997740356225,3.83899180341332,0.363375606739761)); #583392=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #583393=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #583394=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #583395=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #583396=CARTESIAN_POINT('Ctrl Pts',(-4.3754186461376,4.03860274056846,0.191075416652656)); #583397=CARTESIAN_POINT('Ctrl Pts',(-4.37351774498315,4.03875878375092, 0.213533092953359)); #583398=CARTESIAN_POINT('Ctrl Pts',(-4.3704587639851,4.03896646496901,0.235847487530113)); #583399=CARTESIAN_POINT('Ctrl Pts',(-4.36905948221684,4.03906146540945, 0.246054849236811)); #583400=CARTESIAN_POINT('Ctrl Pts',(-4.36741783208832,4.03916652883701, 0.256232229841575)); #583401=CARTESIAN_POINT('Ctrl Pts',(-4.36553732700447,4.03927351392401, 0.266374816094833)); #583402=CARTESIAN_POINT('Ctrl Pts',(-4.36107792198631,4.03952721695196, 0.290426811711962)); #583403=CARTESIAN_POINT('Ctrl Pts',(-4.35529697886365,4.03979402409131, 0.314170360381684)); #583404=CARTESIAN_POINT('Ctrl Pts',(-4.34827296835175,4.03992353084143, 0.337568132741318)); #583405=CARTESIAN_POINT('Ctrl Pts',(-4.34567612375795,4.03997141073902, 0.346218515390418)); #583406=CARTESIAN_POINT('Ctrl Pts',(-4.34290946247777,4.04,0.354821635522089)); #583407=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.36337560673976)); #583408=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #583409=CARTESIAN_POINT('Ctrl Pts',(-4.56889473649018,3.98385418990079, 0.0211282853719236)); #583410=CARTESIAN_POINT('Ctrl Pts',(-4.50423951239333,4.01192856055822, 0.0369239289767804)); #583411=CARTESIAN_POINT('Ctrl Pts',(-4.40750169157324,4.03771220794275, 0.0913675464565018)); #583412=CARTESIAN_POINT('Ctrl Pts',(-4.37734075124306,4.03844784618965, 0.129609955239916)); #583413=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #583414=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719236)); #583415=CARTESIAN_POINT('Ctrl Pts',(-4.41628318120489,3.72128472996693, 0.0211282853719236)); #583416=CARTESIAN_POINT('Ctrl Pts',(-4.39473143983928,3.73064285351941, 0.0369239289767804)); #583417=CARTESIAN_POINT('Ctrl Pts',(-4.36248549956591,3.73923740264758, 0.0913675464565018)); #583418=CARTESIAN_POINT('Ctrl Pts',(-4.35243203566747,3.73948260065916, 0.129592410884706)); #583419=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #583420=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #583421=CARTESIAN_POINT('',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #583422=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #583423=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719235)); #583424=CARTESIAN_POINT('Ctrl Pts',(-4.42966961951917,3.71039903697636, 0.0211282853719235)); #583425=CARTESIAN_POINT('Ctrl Pts',(-4.42450135098483,3.7140726105889,0.0222793188185634)); #583426=CARTESIAN_POINT('Ctrl Pts',(-4.41924669914345,3.71734354627566, 0.0245100296415135)); #583427=CARTESIAN_POINT('Ctrl Pts',(-4.41662835759187,3.71897342149474, 0.0256215709983148)); #583428=CARTESIAN_POINT('Ctrl Pts',(-4.41398895501429,3.72050406904983, 0.0270011888302481)); #583429=CARTESIAN_POINT('Ctrl Pts',(-4.41134709935778,3.72193318961525, 0.0286400548190804)); #583430=CARTESIAN_POINT('Ctrl Pts',(-4.40617158867834,3.72473289925507, 0.031850664909188)); #583431=CARTESIAN_POINT('Ctrl Pts',(-4.40098972459307,3.72713727311113, 0.0360562379982965)); #583432=CARTESIAN_POINT('Ctrl Pts',(-4.39598237983903,3.72915258758729, 0.0411790503856035)); #583433=CARTESIAN_POINT('Ctrl Pts',(-4.39253875219596,3.7305385502043,0.0447020868877306)); #583434=CARTESIAN_POINT('Ctrl Pts',(-4.3891779466139,3.7317418285714,0.0486589338675648)); #583435=CARTESIAN_POINT('Ctrl Pts',(-4.38595855654957,3.73277861734152, 0.0530243111808961)); #583436=CARTESIAN_POINT('Ctrl Pts',(-4.3834098151445,3.73359942700234,0.0564803129964262)); #583437=CARTESIAN_POINT('Ctrl Pts',(-4.38095020748312,3.7343159819048,0.0601919787355128)); #583438=CARTESIAN_POINT('Ctrl Pts',(-4.37860846970259,3.7349401280835,0.0641457377166406)); #583439=CARTESIAN_POINT('Ctrl Pts',(-4.37347502186306,3.73630835209184, 0.0728129829003243)); #583440=CARTESIAN_POINT('Ctrl Pts',(-4.36894436109083,3.737226679877,0.0826020074058987)); #583441=CARTESIAN_POINT('Ctrl Pts',(-4.36515443916326,3.73787196109589, 0.0931699622575064)); #583442=CARTESIAN_POINT('Ctrl Pts',(-4.36274233688974,3.73828265142801, 0.0998959551436777)); #583443=CARTESIAN_POINT('Ctrl Pts',(-4.36062928887694,3.73858243962108, 0.106937469947306)); #583444=CARTESIAN_POINT('Ctrl Pts',(-4.35884310531781,3.73880888850223, 0.114206117465014)); #583445=CARTESIAN_POINT('Ctrl Pts',(-4.35635869616925,3.739123857067,0.124316105488608)); #583446=CARTESIAN_POINT('Ctrl Pts',(-4.35450796923164,3.73929896001742, 0.134864226414844)); #583447=CARTESIAN_POINT('Ctrl Pts',(-4.35336502929537,3.73940137709282, 0.145611915556029)); #583448=CARTESIAN_POINT('Ctrl Pts',(-4.35256039185654,3.739473479406,0.153178361341629)); #583449=CARTESIAN_POINT('Ctrl Pts',(-4.35210627929409,3.73950885199731, 0.160843718226532)); #583450=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #583451=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933812,3.9391336860073,0.0211282853719236)); #583452=CARTESIAN_POINT('Ctrl Pts',(-4.60487390506523,3.95459635641519, 0.021128285371924)); #583453=CARTESIAN_POINT('Ctrl Pts',(-4.58462662124424,3.96812683567607, 0.0230186242363753)); #583454=CARTESIAN_POINT('Ctrl Pts',(-4.56424027112047,3.97973241443818, 0.0266504581612157)); #583455=CARTESIAN_POINT('Ctrl Pts',(-4.54058217008687,3.99320054155463, 0.0308651554174436)); #583456=CARTESIAN_POINT('Ctrl Pts',(-4.51673808624099,4.0040757312249,0.0374255639634415)); #583457=CARTESIAN_POINT('Ctrl Pts',(-4.49447626466564,4.01240146017952, 0.0460637631787654)); #583458=CARTESIAN_POINT('Ctrl Pts',(-4.48088095116448,4.01748598998229, 0.051339118837346)); #583459=CARTESIAN_POINT('Ctrl Pts',(-4.46787683226017,4.02162034929774, 0.0573886844459887)); #583460=CARTESIAN_POINT('Ctrl Pts',(-4.45587060198328,4.02482038425048, 0.0641457377166415)); #583461=CARTESIAN_POINT('Ctrl Pts',(-4.43576526471207,4.03017908390232, 0.0754609325640683)); #583462=CARTESIAN_POINT('Ctrl Pts',(-4.41879355051275,4.03343969476473, 0.0886908903166324)); #583463=CARTESIAN_POINT('Ctrl Pts',(-4.40575294046099,4.03542434644802, 0.103072128225935)); #583464=CARTESIAN_POINT('Ctrl Pts',(-4.3937581899072,4.0372498286749,0.116299988018693)); #583465=CARTESIAN_POINT('Ctrl Pts',(-4.38508985936361,4.03799717717276, 0.130500635815576)); #583466=CARTESIAN_POINT('Ctrl Pts',(-4.38032767660626,4.03831271613667, 0.145073893368756)); #583467=CARTESIAN_POINT('Ctrl Pts',(-4.37779794257926,4.03848033457858, 0.152815399185502)); #583468=CARTESIAN_POINT('Ctrl Pts',(-4.37636971171388,4.03852609818755, 0.160662051476301)); #583469=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #583470=CARTESIAN_POINT('Origin',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #583471=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,0.0211282853719236)); #583472=CARTESIAN_POINT('',(-3.98197740356225,3.55712828537193,0.0211282853719236)); #583473=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0211282853719236)); #583474=CARTESIAN_POINT('',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #583475=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #583476=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0211282853719236)); #583477=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #583478=CARTESIAN_POINT('',(-4.78997740356225,3.81112828537193,0.0211282853719236)); #583479=CARTESIAN_POINT('Origin',(-4.78997740356225,11.5111056889342,1.62112828537193)); #583480=CARTESIAN_POINT('',(-4.78997740356225,3.59,-1.27887171462808)); #583481=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0224675978987383)); #583482=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #583483=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #583484=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-1.07887171462808)); #583485=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-1.07887171462808)); #583486=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,-1.27887171462808)); #583487=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #583488=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-1.07887171462808)); #583489=CARTESIAN_POINT('Origin',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #583490=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,-1.27887171462808)); #583491=CARTESIAN_POINT('',(-4.28842871771102,2.94422565707439,-1.27887171462808)); #583492=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,1.62112828537193)); #583493=CARTESIAN_POINT('Origin',(-4.48997740356225,11.5111056889342,1.62112828537193)); #583494=CARTESIAN_POINT('',(-4.48997740356225,3.59,-1.27887171462808)); #583495=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #583496=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0224675978987383)); #583497=CARTESIAN_POINT('Origin',(4.48997740356225,5.13110568893418,1.62112828537193)); #583498=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,-1.27887171462808)); #583499=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #583500=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #583501=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,0.0211282853719236)); #583502=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,1.62112828537193)); #583503=CARTESIAN_POINT('',(4.48997740356225,3.59,0.0211282853719236)); #583504=CARTESIAN_POINT('',(4.48997740356225,3.81112828537193,0.0211282853719236)); #583505=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #583506=CARTESIAN_POINT('Origin',(4.63997740356225,2.24112828537193,-0.178871714628076)); #583507=CARTESIAN_POINT('',(3.98197740356225,3.55712828537193,0.0211282853719236)); #583508=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,-1.27887171462808)); #583509=CARTESIAN_POINT('',(4.28842871771102,2.94422565707438,-1.27887171462808)); #583510=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-1.07887171462808)); #583511=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-1.07887171462808)); #583512=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #583513=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #583514=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-1.07887171462808)); #583515=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #583516=CARTESIAN_POINT('Ctrl Pts',(4.37734075124306,4.03844784618965,0.129609955239916)); #583517=CARTESIAN_POINT('Ctrl Pts',(4.40750169157324,4.03771220794275,0.0913675464565018)); #583518=CARTESIAN_POINT('Ctrl Pts',(4.50423951239333,4.01192856055822,0.0369239289767804)); #583519=CARTESIAN_POINT('Ctrl Pts',(4.56889473649018,3.98385418990079,0.0211282853719236)); #583520=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #583521=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #583522=CARTESIAN_POINT('Ctrl Pts',(4.35243203566747,3.73948260065916,0.129592410884709)); #583523=CARTESIAN_POINT('Ctrl Pts',(4.36248549956591,3.73923740264758,0.0913675464565018)); #583524=CARTESIAN_POINT('Ctrl Pts',(4.39473143983928,3.73064285351941,0.0369239289767804)); #583525=CARTESIAN_POINT('Ctrl Pts',(4.41628318120489,3.72128472996693,0.0211282853719236)); #583526=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719236)); #583527=CARTESIAN_POINT('',(4.35202621405051,3.73951530411907,0.168524745334394)); #583528=CARTESIAN_POINT('',(4.37612383502704,4.03854591235721,0.168524745334394)); #583529=CARTESIAN_POINT('',(4.36407502453878,3.88903060823814,0.168524745334394)); #583530=CARTESIAN_POINT('',(4.43461452882088,3.70637789533577,0.0211282853719236)); #583531=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #583532=CARTESIAN_POINT('Ctrl Pts',(4.35214000920933,3.73950613384509,0.157607852303539)); #583533=CARTESIAN_POINT('Ctrl Pts',(4.35300965013283,3.73944098513292,0.146722605425058)); #583534=CARTESIAN_POINT('Ctrl Pts',(4.35456667783703,3.73928882435111,0.136108007145529)); #583535=CARTESIAN_POINT('Ctrl Pts',(4.35568354863373,3.73917967797358,0.128494054737935)); #583536=CARTESIAN_POINT('Ctrl Pts',(4.35715405239255,3.73902429789029,0.121019359952085)); #583537=CARTESIAN_POINT('Ctrl Pts',(4.35895020758427,3.73879527111955,0.113772133663528)); #583538=CARTESIAN_POINT('Ctrl Pts',(4.36177767035966,3.73843474288023,0.102363730508737)); #583539=CARTESIAN_POINT('Ctrl Pts',(4.36541264530714,3.73789371479259,0.0915206321823993)); #583540=CARTESIAN_POINT('Ctrl Pts',(4.36974098777825,3.73701744472891,0.0815858454360989)); #583541=CARTESIAN_POINT('Ctrl Pts',(4.37243963508099,3.73647110537161,0.0753916762743149)); #583542=CARTESIAN_POINT('Ctrl Pts',(4.37540727292495,3.7357933468993,0.0695505958588464)); #583543=CARTESIAN_POINT('Ctrl Pts',(4.37860846970259,3.7349401280835,0.0641457377166409)); #583544=CARTESIAN_POINT('Ctrl Pts',(4.38305463902126,3.73375508524345,0.0566388844636941)); #583545=CARTESIAN_POINT('Ctrl Pts',(4.38792599915986,3.73223443659326,0.0500060434808132)); #583546=CARTESIAN_POINT('Ctrl Pts',(4.39302384624504,3.73030707365882,0.0443365932925027)); #583547=CARTESIAN_POINT('Ctrl Pts',(4.39632910561848,3.72905744135353,0.0406607271741144)); #583548=CARTESIAN_POINT('Ctrl Pts',(4.39972917954914,3.72763758538095,0.0373898470225831)); #583549=CARTESIAN_POINT('Ctrl Pts',(4.4031683185593,3.7260400979926,0.0345483135177831)); #583550=CARTESIAN_POINT('Ctrl Pts',(4.40827338037433,3.72366878591646,0.0303303379870986)); #583551=CARTESIAN_POINT('Ctrl Pts',(4.41346043932735,3.72090559174823,0.0270584143487259)); #583552=CARTESIAN_POINT('Ctrl Pts',(4.41857302085958,3.71776043574461,0.0248018327512707)); #583553=CARTESIAN_POINT('Ctrl Pts',(4.42138435797178,3.71603095842656,0.0235609700771721)); #583554=CARTESIAN_POINT('Ctrl Pts',(4.42417329437571,3.71418686692735,0.0226271210824183)); #583555=CARTESIAN_POINT('Ctrl Pts',(4.42691579195697,3.71223631466683,0.0220118067498326)); #583556=CARTESIAN_POINT('Ctrl Pts',(4.42952796829275,3.71037845105687,0.0214257316518545)); #583557=CARTESIAN_POINT('Ctrl Pts',(4.43209921405932,3.70842331949014,0.0211282853719235)); #583558=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719235)); #583559=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #583560=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #583561=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #583562=CARTESIAN_POINT('Ctrl Pts',(4.37658205125731,4.03850898663543,0.153871815096515)); #583563=CARTESIAN_POINT('Ctrl Pts',(4.38114977590636,4.03838261597411,0.139273997098208)); #583564=CARTESIAN_POINT('Ctrl Pts',(4.38929125806214,4.03754337334594,0.125310395883257)); #583565=CARTESIAN_POINT('Ctrl Pts',(4.39818951883456,4.03662612024461,0.110048830168906)); #583566=CARTESIAN_POINT('Ctrl Pts',(4.41135635842715,4.03485590817517,0.09554612677057)); #583567=CARTESIAN_POINT('Ctrl Pts',(4.428030029951,4.03141517582364,0.0825614133656725)); #583568=CARTESIAN_POINT('Ctrl Pts',(4.43645085541935,4.02967747776631,0.0760036492174054)); #583569=CARTESIAN_POINT('Ctrl Pts',(4.44576524469488,4.02751377724621,0.0698329880809946)); #583570=CARTESIAN_POINT('Ctrl Pts',(4.45587060198329,4.02482038425048,0.0641457377166399)); #583571=CARTESIAN_POINT('Ctrl Pts',(4.47606036003302,4.01943918382732,0.0527830312286363)); #583572=CARTESIAN_POINT('Ctrl Pts',(4.49907134680602,4.01141399334396,0.0434227083751921)); #583573=CARTESIAN_POINT('Ctrl Pts',(4.52297177388024,4.00067662713836,0.0363754892800609)); #583574=CARTESIAN_POINT('Ctrl Pts',(4.54328286296987,3.99155178594148,0.0303866132473942)); #583575=CARTESIAN_POINT('Ctrl Pts',(4.56423473798704,3.98046872740882,0.0260679927779785)); #583576=CARTESIAN_POINT('Ctrl Pts',(4.58466349436997,3.96740646632199,0.0235893916589643)); #583577=CARTESIAN_POINT('Ctrl Pts',(4.59806958468527,3.95883453719574,0.0219628437754689)); #583578=CARTESIAN_POINT('Ctrl Pts',(4.61125088856515,3.94941066900856,0.0211282853719236)); #583579=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #583580=CARTESIAN_POINT('Origin',(4.78997740356225,5.13110568893418,1.62112828537193)); #583581=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #583582=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #583583=CARTESIAN_POINT('',(4.78997740356225,3.59,0.0211282853719236)); #583584=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #583585=CARTESIAN_POINT('',(4.78997740356225,3.81112828537193,0.0211282853719236)); #583586=CARTESIAN_POINT('Origin',(4.48997740356225,3.81112828537193,0.0211282853719236)); #583587=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #583588=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #583589=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #583590=CARTESIAN_POINT('Ctrl Pts',(4.36199530575024,4.04,0.299140710028607)); #583591=CARTESIAN_POINT('Ctrl Pts',(4.37407970344023,4.03871064033772,0.233892393968739)); #583592=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #583593=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #583594=CARTESIAN_POINT('Ctrl Pts',(4.3473157973903,3.74,0.299148647393748)); #583595=CARTESIAN_POINT('Ctrl Pts',(4.35134489242778,3.73957020896752,0.2338870626071)); #583596=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #583597=CARTESIAN_POINT('',(4.33997740356225,3.74,0.363375606739761)); #583598=CARTESIAN_POINT('',(4.33997740356225,3.8389918034134,0.363375606739761)); #583599=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #583600=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #583601=CARTESIAN_POINT('Ctrl Pts',(4.34731569400471,3.74,0.299149552243305)); #583602=CARTESIAN_POINT('Ctrl Pts',(4.35134490386219,3.73957020804607,0.233885965651731)); #583603=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #583604=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #583605=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #583606=CARTESIAN_POINT('Ctrl Pts',(4.34790811019493,4.04,0.340238610442517)); #583607=CARTESIAN_POINT('Ctrl Pts',(4.35462770557757,4.03979424864115,0.316742036808904)); #583608=CARTESIAN_POINT('Ctrl Pts',(4.36005345430453,4.03955194603804,0.292923215201604)); #583609=CARTESIAN_POINT('Ctrl Pts',(4.36205940606458,4.03946236442311,0.28411716657498)); #583610=CARTESIAN_POINT('Ctrl Pts',(4.36388864185609,4.03936731038622,0.2752670714072)); #583611=CARTESIAN_POINT('Ctrl Pts',(4.36553732700447,4.03927351392401,0.266374816094834)); #583612=CARTESIAN_POINT('Ctrl Pts',(4.36964831411852,4.03903963297477,0.244202027651819)); #583613=CARTESIAN_POINT('Ctrl Pts',(4.37261782731939,4.03881825962301,0.221862954637996)); #583614=CARTESIAN_POINT('Ctrl Pts',(4.37440906683635,4.03868080030705,0.199407883759755)); #583615=CARTESIAN_POINT('Ctrl Pts',(4.37522844060829,4.03861792174886,0.189136171936463)); #583616=CARTESIAN_POINT('Ctrl Pts',(4.37580125768616,4.03857190751049,0.178840188044819)); #583617=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #583618=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #583619=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #583620=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #583621=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #583622=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #583623=CARTESIAN_POINT('Origin',(2.141,3.74,-0.67887171462807)); #583624=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #583625=CARTESIAN_POINT('',(1.541,3.96,-0.67887171462807)); #583626=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #583627=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #583628=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #583629=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #583630=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #583631=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #583632=CARTESIAN_POINT('Origin',(-2.141,3.74,-0.67887171462807)); #583633=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #583634=CARTESIAN_POINT('',(-2.141,3.96,-0.67887171462807)); #583635=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #583636=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #583637=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #583638=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #583639=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #583640=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #583641=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #583642=CARTESIAN_POINT('',(-4.33997740356225,3.74,-1.27887171462808)); #583643=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #583644=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.0224675978987383)); #583645=CARTESIAN_POINT('Origin',(-2.75,3.74,0.994524745334394)); #583646=CARTESIAN_POINT('',(-2.92,4.04,0.994524745334393)); #583647=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #583648=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #583649=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #583650=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #583651=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #583652=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #583653=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #583654=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #583655=CARTESIAN_POINT('',(-3.06,4.04,1.09452474533439)); #583656=CARTESIAN_POINT('Origin',(-2.92,4.04,1.14252474533439)); #583657=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #583658=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #583659=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #583660=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #583661=CARTESIAN_POINT('',(-3.38,4.04,1.28852474533439)); #583662=CARTESIAN_POINT('Origin',(-3.33622069431921,4.04,0.999820507282096)); #583663=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #583664=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #583665=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #583666=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #583667=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #583668=CARTESIAN_POINT('Origin',(-3.20712760635963,4.04,0.148511400899072)); #583669=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #583670=CARTESIAN_POINT('',(-4.33997740356225,4.04,-1.27887171462808)); #583671=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #583672=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.0224675978987383)); #583673=CARTESIAN_POINT('Origin',(-5.87997740356225,3.74,-1.27887171462808)); #583674=CARTESIAN_POINT('',(-4.2,4.04,-1.27887171462808)); #583675=CARTESIAN_POINT('',(-5.87997740356225,4.04,-1.27887171462808)); #583676=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #583677=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #583678=CARTESIAN_POINT('',(-5.87997740356225,3.74,-1.27887171462808)); #583679=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #583680=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #583681=CARTESIAN_POINT('Origin',(-4.2,4.04,-1.13149376486263)); #583682=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #583683=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #583684=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #583685=CARTESIAN_POINT('Origin',(-4.087,3.74,-1.2261048639846)); #583686=CARTESIAN_POINT('',(-3.673,4.04,-0.731638565271536)); #583687=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #583688=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #583689=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #583690=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #583691=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #583692=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #583693=CARTESIAN_POINT('Origin',(-3.56,4.04,-0.826249664393507)); #583694=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #583695=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #583696=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #583697=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.67887171462807)); #583698=CARTESIAN_POINT('',(-2.605,4.04,-0.678871714628075)); #583699=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #583700=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #583701=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #583702=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #583703=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #583704=CARTESIAN_POINT('',(-2.47586378246832,4.04,-0.598871714628071)); #583705=CARTESIAN_POINT('Origin',(-2.605,4.04,-0.534645697888131)); #583706=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #583707=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #583708=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #583709=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #583710=CARTESIAN_POINT('',(-2.1594800495157,4.04,-0.598871714628069)); #583711=CARTESIAN_POINT('Origin',(-2.31767191599201,4.04,-0.677548585134494)); #583712=CARTESIAN_POINT('Origin',(-2.31767191599201,3.96132312949358,-0.677548585134494)); #583713=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #583714=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #583715=CARTESIAN_POINT('',(-1.52251995048431,4.04,-0.598871714628069)); #583716=CARTESIAN_POINT('Origin',(-1.364328084008,3.96132312949358,-0.677548585134489)); #583717=CARTESIAN_POINT('',(-2.141,4.04,-0.598871714628069)); #583718=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #583719=CARTESIAN_POINT('',(-1.20613621753169,4.04,-0.598871714628067)); #583720=CARTESIAN_POINT('Origin',(-1.364328084008,4.04,-0.677548585134489)); #583721=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #583722=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #583723=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #583724=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #583725=CARTESIAN_POINT('',(-1.077,4.04,-0.67887171462807)); #583726=CARTESIAN_POINT('Origin',(-1.077,4.04,-0.534645697888127)); #583727=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #583728=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #583729=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #583730=CARTESIAN_POINT('Origin',(1.077,3.74,-0.67887171462807)); #583731=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #583732=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #583733=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #583734=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #583735=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #583736=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #583737=CARTESIAN_POINT('',(1.20613621753169,4.04,-0.598871714628067)); #583738=CARTESIAN_POINT('Origin',(1.077,4.04,-0.534645697888127)); #583739=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #583740=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #583741=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #583742=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #583743=CARTESIAN_POINT('',(1.52251995048431,4.04,-0.598871714628069)); #583744=CARTESIAN_POINT('Origin',(1.364328084008,4.04,-0.677548585134489)); #583745=CARTESIAN_POINT('Origin',(1.364328084008,3.96132312949358,-0.677548585134489)); #583746=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #583747=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #583748=CARTESIAN_POINT('',(2.1594800495157,4.04,-0.598871714628069)); #583749=CARTESIAN_POINT('Origin',(2.31767191599201,3.96132312949358,-0.677548585134494)); #583750=CARTESIAN_POINT('',(1.541,4.04,-0.598871714628069)); #583751=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #583752=CARTESIAN_POINT('',(2.47586378246831,4.04,-0.598871714628071)); #583753=CARTESIAN_POINT('Origin',(2.31767191599201,4.04,-0.677548585134494)); #583754=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #583755=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #583756=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #583757=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #583758=CARTESIAN_POINT('',(2.605,4.04,-0.67887171462807)); #583759=CARTESIAN_POINT('Origin',(2.605,4.04,-0.534645697888131)); #583760=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #583761=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #583762=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #583763=CARTESIAN_POINT('Origin',(3.56,3.74,-0.67887171462807)); #583764=CARTESIAN_POINT('',(3.56,4.04,-0.678871714628057)); #583765=CARTESIAN_POINT('',(3.56,4.04,-0.67887171462807)); #583766=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #583767=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #583768=CARTESIAN_POINT('',(3.56,3.74,-0.67887171462807)); #583769=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #583770=CARTESIAN_POINT('',(3.67299999999992,4.04,-0.731638565271604)); #583771=CARTESIAN_POINT('Origin',(3.56,4.04,-0.826249664393507)); #583772=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #583773=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #583774=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #583775=CARTESIAN_POINT('Origin',(4.087,3.74,-1.2261048639846)); #583776=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #583777=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #583778=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #583779=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #583780=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #583781=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #583782=CARTESIAN_POINT('',(4.2,4.04,-1.27887171462808)); #583783=CARTESIAN_POINT('Origin',(4.2,4.04,-1.13149376486263)); #583784=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #583785=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #583786=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #583787=CARTESIAN_POINT('Origin',(5.87997740356225,3.74,-1.27887171462808)); #583788=CARTESIAN_POINT('',(5.87997740356225,3.74,-1.27887171462808)); #583789=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #583790=CARTESIAN_POINT('',(5.87997740356225,4.04,-1.27887171462808)); #583791=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #583792=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #583793=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #583794=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #583795=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #583796=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #583797=CARTESIAN_POINT('',(3.38,4.04,1.28852474533439)); #583798=CARTESIAN_POINT('Origin',(3.20712760635963,4.04,0.148511400899072)); #583799=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #583800=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #583801=CARTESIAN_POINT('',(3.06,4.04,1.09452474533439)); #583802=CARTESIAN_POINT('Origin',(3.33622069431921,4.04,0.999820507282096)); #583803=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #583804=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #583805=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #583806=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #583807=CARTESIAN_POINT('',(2.92,4.04,0.994524745334394)); #583808=CARTESIAN_POINT('Origin',(2.92,4.04,1.14252474533439)); #583809=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #583810=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #583811=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #583812=CARTESIAN_POINT('Origin',(2.75,3.74,0.994524745334394)); #583813=CARTESIAN_POINT('',(2.75,4.04,0.994524745334393)); #583814=CARTESIAN_POINT('',(2.75,4.04,0.994524745334394)); #583815=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #583816=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #583817=CARTESIAN_POINT('',(2.75,3.74,0.994524745334394)); #583818=CARTESIAN_POINT('Origin',(-1.6,3.74,0.931128285371929)); #583819=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #583820=CARTESIAN_POINT('',(1.6,4.04,0.931128285371929)); #583821=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #583822=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #583823=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #583824=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #583825=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #583826=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #583827=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #583828=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #583829=CARTESIAN_POINT('Origin',(-1.6,4.04,1.08112828537193)); #583830=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #583831=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #583832=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #583833=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #583834=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #583835=CARTESIAN_POINT('Origin',(1.6,4.04,1.08112828537193)); #583836=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #583837=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #583838=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #583839=CARTESIAN_POINT('Origin',(1.75,3.74,1.08112828537193)); #583840=CARTESIAN_POINT('',(1.75,3.74,1.13)); #583841=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #583842=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #583843=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #583844=CARTESIAN_POINT('',(1.75,3.67975541091281,1.570958009579)); #583845=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #583846=CARTESIAN_POINT('',(1.75,4.04,1.13)); #583847=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #583848=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #583849=CARTESIAN_POINT('Origin',(3.19,4.04,1.62112828537193)); #583850=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #583851=CARTESIAN_POINT('Origin',(-2.75,4.04,1.14452474533439)); #583852=CARTESIAN_POINT('',(-1.75,4.04,1.13)); #583853=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #583854=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #583855=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #583856=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #583857=CARTESIAN_POINT('Origin',(2.75,4.04,1.14452474533439)); #583858=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #583859=CARTESIAN_POINT('',(2.60070488362652,3.74,1.13)); #583860=CARTESIAN_POINT('',(2.60070488362652,3.83900047400574,1.13)); #583861=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #583862=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #583863=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #583864=CARTESIAN_POINT('Origin',(3.19,3.74,1.62112828537193)); #583865=CARTESIAN_POINT('',(-2.60070488362652,3.74,1.13)); #583866=CARTESIAN_POINT('',(-1.75,3.74,1.13)); #583867=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #583868=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #583869=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #583870=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #583871=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #583872=CARTESIAN_POINT('',(-2.60070488362652,3.83900047400574,1.13)); #583873=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #583874=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #583875=CARTESIAN_POINT('Origin',(-1.75,3.74,1.08112828537193)); #583876=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #583877=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #583878=CARTESIAN_POINT('',(-1.75,3.61991847030427,1.276986003193)); #583879=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #583880=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #583881=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04180013273845, 1.13)); #583882=CARTESIAN_POINT('Ctrl Pts',(-2.60023532785105,4.04180013273845, 1.13875732124636)); #583883=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04154464668182,1.14755587952074)); #583884=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04103168989984,1.15633960228591)); #583885=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04,1.13)); #583886=CARTESIAN_POINT('Ctrl Pts',(-2.60023532783092,4.04,1.13872242908181)); #583887=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.0397455318963,1.14748593054733)); #583888=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.03923461891151,1.15623465592366)); #583889=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,3.74,1.13)); #583890=CARTESIAN_POINT('Ctrl Pts',(-2.60023532447539,3.74,1.13290749713794)); #583891=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.73991517820697,1.13582862796395)); #583892=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.7397448729705,1.13874488530789)); #583893=CARTESIAN_POINT('',(-2.6,3.7397448729705,1.13874488530789)); #583894=CARTESIAN_POINT('Origin',(-2.69143462423446,3.70681107558669,1.13604494466206)); #583895=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #583896=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #583897=CARTESIAN_POINT('Origin',(-2.7457722232602,3.88161594360175,1.14506560738283)); #583898=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #583899=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #583900=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #583901=CARTESIAN_POINT('',(-2.6,3.67975541091281,1.570958009579)); #583902=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #583903=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #583904=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #583905=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.64964030115724,1.57708783144883)); #583906=CARTESIAN_POINT('Ctrl Pts',(-2.60291194415313,3.61896598834363, 1.58)); #583907=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #583908=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #583909=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.60987659691167,1.27902999026911)); #583910=CARTESIAN_POINT('Ctrl Pts',(-2.602909092988,3.59966018746762,1.28)); #583911=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #583912=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #583913=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61421659669191,1.27814659898202)); #583914=CARTESIAN_POINT('Ctrl Pts',(-2.60093961213708,3.60844696781098, 1.27897087260549)); #583915=CARTESIAN_POINT('Ctrl Pts',(-2.60279349270571,3.60275700940234, 1.27945654455842)); #583916=CARTESIAN_POINT('Ctrl Pts',(-2.60420084154215,3.59843755297063, 1.27982523597761)); #583917=CARTESIAN_POINT('Ctrl Pts',(-2.60613442707989,3.59416463178578, 1.28)); #583918=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #583919=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #583920=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.65579652983356,1.57583475332685)); #583921=CARTESIAN_POINT('Ctrl Pts',(-2.60184609436138,3.63144341789715, 1.57867966564652)); #583922=CARTESIAN_POINT('Ctrl Pts',(-2.60545924891797,3.60789928371808, 1.57963471829085)); #583923=CARTESIAN_POINT('Ctrl Pts',(-2.606384031189,3.60187319279544,1.57987916278929)); #583924=CARTESIAN_POINT('Ctrl Pts',(-2.60742439253633,3.59590008821217, 1.58)); #583925=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #583926=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #583927=CARTESIAN_POINT('Ctrl Pts',(-1.74708805584687,3.61896598834363, 1.58)); #583928=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.64964030115725,1.57708783144883)); #583929=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #583930=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #583931=CARTESIAN_POINT('Ctrl Pts',(-1.747090907012,3.59966018746762,1.28)); #583932=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.60987659691168,1.27902999026911)); #583933=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #583934=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #583935=CARTESIAN_POINT('Ctrl Pts',(-1.74464108804541,3.5954860101087,1.28)); #583936=CARTESIAN_POINT('Ctrl Pts',(-1.74697449850219,3.6011604795177,1.27969495783221)); #583937=CARTESIAN_POINT('Ctrl Pts',(-1.74838677362004,3.60688036149803, 1.27904715159006)); #583938=CARTESIAN_POINT('Ctrl Pts',(-1.74945888353772,3.61122253401215, 1.27855537807642)); #583939=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61558996860375,1.27786705408748)); #583940=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #583941=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #583942=CARTESIAN_POINT('Ctrl Pts',(-1.74593105350274,3.6130518374758,1.58)); #583943=CARTESIAN_POINT('Ctrl Pts',(-1.74869955782538,3.63721958372107, 1.57815258395213)); #583944=CARTESIAN_POINT('Ctrl Pts',(-1.7496388385008,3.66130141327419,1.57430602231532)); #583945=CARTESIAN_POINT('Ctrl Pts',(-1.74987924618381,3.66746512663168, 1.57332149980266)); #583946=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67362316605815,1.57220620588067)); #583947=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #583948=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #583949=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #583950=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #583951=CARTESIAN_POINT('Ctrl Pts',(1.75,3.64964030115725,1.57708783144883)); #583952=CARTESIAN_POINT('Ctrl Pts',(1.74708805584687,3.61896598834363,1.58)); #583953=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #583954=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #583955=CARTESIAN_POINT('Ctrl Pts',(1.75,3.60987659691167,1.27902999026911)); #583956=CARTESIAN_POINT('Ctrl Pts',(1.747090907012,3.59966018746762,1.28)); #583957=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #583958=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #583959=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61421659669191,1.27814659898202)); #583960=CARTESIAN_POINT('Ctrl Pts',(1.74906038786292,3.60844696781098,1.27897087260549)); #583961=CARTESIAN_POINT('Ctrl Pts',(1.74720650729429,3.60275700940234,1.27945654455842)); #583962=CARTESIAN_POINT('Ctrl Pts',(1.74579915845785,3.59843755297064,1.27982523597761)); #583963=CARTESIAN_POINT('Ctrl Pts',(1.74386557292011,3.59416463178578,1.28)); #583964=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #583965=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #583966=CARTESIAN_POINT('Ctrl Pts',(1.75,3.65579652983153,1.57583475332726)); #583967=CARTESIAN_POINT('Ctrl Pts',(1.74815390563831,3.63144341789302,1.57867966564701)); #583968=CARTESIAN_POINT('Ctrl Pts',(1.74454075108111,3.60789928371209,1.5796347182911)); #583969=CARTESIAN_POINT('Ctrl Pts',(1.74361596881031,3.60187319279149,1.57987916278937)); #583970=CARTESIAN_POINT('Ctrl Pts',(1.74257560746328,3.59590008821021,1.58)); #583971=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #583972=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #583973=CARTESIAN_POINT('Ctrl Pts',(2.60291194415313,3.61896598834363,1.58)); #583974=CARTESIAN_POINT('Ctrl Pts',(2.6,3.64964030115725,1.57708783144883)); #583975=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #583976=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #583977=CARTESIAN_POINT('Ctrl Pts',(2.602909092988,3.59966018746762,1.28)); #583978=CARTESIAN_POINT('Ctrl Pts',(2.6,3.60987659691167,1.27902999026911)); #583979=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #583980=CARTESIAN_POINT('',(2.6,3.61991847030427,1.276986003193)); #583981=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #583982=CARTESIAN_POINT('Ctrl Pts',(2.60535891195459,3.5954860101087,1.28)); #583983=CARTESIAN_POINT('Ctrl Pts',(2.60302550149781,3.6011604795177,1.27969495783221)); #583984=CARTESIAN_POINT('Ctrl Pts',(2.60161322637996,3.60688036149803,1.27904715159006)); #583985=CARTESIAN_POINT('Ctrl Pts',(2.60054111646227,3.61122253401215,1.27855537807642)); #583986=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61558996860375,1.27786705408748)); #583987=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #583988=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #583989=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #583990=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #583991=CARTESIAN_POINT('Ctrl Pts',(2.60406894649735,3.61305183747532,1.58)); #583992=CARTESIAN_POINT('Ctrl Pts',(2.60130044217474,3.63721958372005,1.57815258395221)); #583993=CARTESIAN_POINT('Ctrl Pts',(2.60036116149926,3.66130141327266,1.57430602231557)); #583994=CARTESIAN_POINT('Ctrl Pts',(2.60012075381621,3.66746512663067,1.57332149980284)); #583995=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67362316605764,1.57220620588077)); #583996=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #583997=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #583998=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #583999=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #584000=CARTESIAN_POINT('',(2.6,4.03923461891151,1.15623465592366)); #584001=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #584002=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #584003=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04103168989165,1.15633960228543)); #584004=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04154464667362,1.14755587952042)); #584005=CARTESIAN_POINT('Ctrl Pts',(2.60023532785106,4.04180013273025,1.1387573212462)); #584006=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04180013273025,1.13)); #584007=CARTESIAN_POINT('Ctrl Pts',(2.6,4.03923461891151,1.15623465592366)); #584008=CARTESIAN_POINT('Ctrl Pts',(2.6,4.0397455318963,1.14748593054733)); #584009=CARTESIAN_POINT('Ctrl Pts',(2.60023532783092,4.04,1.13872242908181)); #584010=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04,1.13)); #584011=CARTESIAN_POINT('Ctrl Pts',(2.6,3.7397448729705,1.13874488530789)); #584012=CARTESIAN_POINT('Ctrl Pts',(2.6,3.73991517820697,1.13582862796395)); #584013=CARTESIAN_POINT('Ctrl Pts',(2.60023532447539,3.74,1.13290749713794)); #584014=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,3.74,1.13)); #584015=CARTESIAN_POINT('Origin',(2.69143462416612,3.70681107561114,1.13604494466512)); #584016=CARTESIAN_POINT('Origin',(2.74577222355375,3.88161594328166,1.14506560740615)); #584017=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #584018=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #584019=CARTESIAN_POINT('Origin',(-0.359999999999999,-2.39,11.2985643801531)); #584020=CARTESIAN_POINT('',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #584021=CARTESIAN_POINT('Origin',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #584022=CARTESIAN_POINT('',(0.299420132394813,-2.55121441930674,11.2985643801531)); #584023=CARTESIAN_POINT('Origin',(0.360000000000001,-2.425,11.2985643801531)); #584024=CARTESIAN_POINT('',(0.500000000000001,-2.425,11.2985643801531)); #584025=CARTESIAN_POINT('Origin',(0.500000000000001,-2.425,11.2985643801531)); #584026=CARTESIAN_POINT('',(0.500000000000002,-1.235,11.2985643801531)); #584027=CARTESIAN_POINT('Origin',(0.360000000000002,-1.235,11.2985643801531)); #584028=CARTESIAN_POINT('',(0.299420132394813,-1.10878558069326,11.2985643801531)); #584029=CARTESIAN_POINT('Origin',(0.299420132394813,-1.10878558069326,11.2985643801531)); #584030=CARTESIAN_POINT('',(-0.29942013239481,-1.39621441930674,11.2985643801531)); #584031=CARTESIAN_POINT('Origin',(-0.359999999999999,-1.27,11.2985643801531)); #584032=CARTESIAN_POINT('Origin',(-0.359999999999999,1.04,11.2985643801531)); #584033=CARTESIAN_POINT('',(-0.299420132394811,0.913785580693259,11.2985643801531)); #584034=CARTESIAN_POINT('Origin',(-0.299420132394811,0.913785580693259, 11.2985643801531)); #584035=CARTESIAN_POINT('',(0.299420132394812,1.20121441930674,11.2985643801531)); #584036=CARTESIAN_POINT('Origin',(0.360000000000001,1.075,11.2985643801531)); #584037=CARTESIAN_POINT('',(0.500000000000001,1.075,11.2985643801531)); #584038=CARTESIAN_POINT('Origin',(0.500000000000001,1.075,11.2985643801531)); #584039=CARTESIAN_POINT('',(0.500000000000001,-0.115,11.2985643801531)); #584040=CARTESIAN_POINT('Origin',(0.360000000000001,-0.115,11.2985643801531)); #584041=CARTESIAN_POINT('',(0.299420132394813,-0.241214419306741,11.2985643801531)); #584042=CARTESIAN_POINT('Origin',(0.299420132394813,-0.241214419306741, 11.2985643801531)); #584043=CARTESIAN_POINT('',(-0.299420132394811,0.0462144193067397,11.2985643801531)); #584044=CARTESIAN_POINT('Origin',(-0.359999999999999,-0.080000000000001, 11.2985643801531)); #584045=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #584046=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #584047=CARTESIAN_POINT('Origin',(-0.295092998994441,-1.40522973497151, -1.28)); #584048=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #584049=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #584050=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #584051=CARTESIAN_POINT('Origin',(0.49,-1.235,-1.28)); #584052=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #584053=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #584054=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #584055=CARTESIAN_POINT('Origin',(0.303747265795182,-2.54219910364198,-1.28)); #584056=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #584057=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #584058=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #584059=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #584060=CARTESIAN_POINT('Origin',(-0.295092998994442,0.0552297349715068, -1.28)); #584061=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #584062=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #584063=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #584064=CARTESIAN_POINT('Origin',(0.49,-0.115,-1.28)); #584065=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #584066=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #584067=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #584068=CARTESIAN_POINT('Origin',(0.303747265795181,1.19219910364197,-1.28)); #584069=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #584070=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #584071=CARTESIAN_POINT('',(0.,0.,0.)); #584072=CARTESIAN_POINT('Origin',(-0.125,-1.5,-0.12)); #584073=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #584074=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #584075=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #584076=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #584077=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #584078=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #584079=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #584080=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #584081=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #584082=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #584083=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #584084=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #584085=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #584086=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #584087=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #584088=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #584089=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #584090=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #584091=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #584092=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #584093=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #584094=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #584095=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #584096=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #584097=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #584098=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #584099=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #584100=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #584101=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #584102=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #584103=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #584104=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #584105=CARTESIAN_POINT('',(0.12,-0.5,0.)); #584106=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #584107=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #584108=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #584109=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #584110=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #584111=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #584112=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #584113=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #584114=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #584115=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #584116=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #584117=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #584118=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #584119=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #584120=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #584121=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #584122=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #584123=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #584124=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #584125=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #584126=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #584127=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #584128=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #584129=CARTESIAN_POINT('',(0.125,-1.5,0.)); #584130=CARTESIAN_POINT('',(0.12,-0.5,0.)); #584131=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #584132=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #584133=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #584134=CARTESIAN_POINT('Origin',(0.125,-1.5,-0.12)); #584135=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #584136=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #584137=CARTESIAN_POINT('',(0.125,-5.51,-0.12)); #584138=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #584139=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #584140=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #584141=CARTESIAN_POINT('',(0.125,-5.47,0.)); #584142=CARTESIAN_POINT('',(0.125,-1.5,0.)); #584143=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #584144=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.12)); #584145=CARTESIAN_POINT('',(-0.125,-5.51,-0.08)); #584146=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #584147=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #584148=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #584149=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #584150=CARTESIAN_POINT('Origin',(-0.125,-5.51,-0.12)); #584151=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #584152=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #584153=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #584154=CARTESIAN_POINT('',(-0.125,-5.47,0.)); #584155=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #584156=CARTESIAN_POINT('',(-0.125,-5.51,0.)); #584157=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #584158=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #584159=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #584160=CARTESIAN_POINT('Origin',(0.,0.,0.)); #584161=CARTESIAN_POINT('',(0.,-5.47,0.)); #584162=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #584163=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #584164=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #584165=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #584166=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #584167=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #584168=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #584169=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #584170=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #584171=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #584172=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #584173=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #584174=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #584175=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #584176=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #584177=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #584178=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #584179=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #584180=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #584181=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.04)); #584182=CARTESIAN_POINT('',(0.,0.,0.)); #584183=CARTESIAN_POINT('',(-3.33,2.07733538461548,0.345999999999996)); #584184=CARTESIAN_POINT('',(-0.749999999999997,2.07733538461548,-0.232)); #584185=CARTESIAN_POINT('',(-0.249999999999999,2.07733538461548,0.346)); #584186=CARTESIAN_POINT('',(-3.07,2.07733538461548,-0.232000000000002)); #584187=CARTESIAN_POINT('',(-1.75149064031341,2.07733538461548,-0.232)); #584188=CARTESIAN_POINT('',(-1.25149064031342,2.07733538461547,0.345999999999999)); #584189=CARTESIAN_POINT('',(-2.52999999999999,2.07733538461549,0.346)); #584190=CARTESIAN_POINT('',(-2.27,2.07733538461548,-0.232000000000001)); #584191=CARTESIAN_POINT('',(3.33,2.07733538461548,0.346000000000001)); #584192=CARTESIAN_POINT('',(3.07,2.07733538461548,-0.232000000000004)); #584193=CARTESIAN_POINT('',(2.52999999999999,2.07733538461549,0.346000000000004)); #584194=CARTESIAN_POINT('',(2.27,2.07733538461548,-0.23200000000001)); #584195=CARTESIAN_POINT('',(1.25149064031342,2.07733538461547,0.346000000000003)); #584196=CARTESIAN_POINT('',(1.75149064031341,2.07733538461548,-0.23199999999999)); #584197=CARTESIAN_POINT('',(0.749999999999997,2.07733538461548,-0.231999999999996)); #584198=CARTESIAN_POINT('',(-1.23259516440783E-31,-1.35305252217564,-1.11022302462516E-15)); #584199=CARTESIAN_POINT('',(-1.23259516440783E-31,-1.25466461538453,-1.11022302462516E-15)); #584200=CARTESIAN_POINT('',(0.249999999999999,2.07733538461548,0.346)); #584201=CARTESIAN_POINT('',(2.18015297124609E-16,2.1555,1.78023)); #584202=CARTESIAN_POINT('',(0.,0.,0.)); #584203=CARTESIAN_POINT('',(0.,0.,0.)); #584204=CARTESIAN_POINT('',(0.,0.,0.)); #584205=CARTESIAN_POINT('',(0.,0.,0.)); #584206=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #584207=CARTESIAN_POINT('',(0.64999999056,3.495012662024,5.08460913699604E-5)); #584208=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,5.08460913699604E-5)); #584209=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #584210=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #584211=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #584212=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #584213=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #584214=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,5.08460913699604E-5)); #584215=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #584216=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #584217=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #584218=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #584219=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #584220=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #584221=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #584222=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #584223=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #584224=CARTESIAN_POINT('',(1.849999973133,3.495012662024,5.08460913699604E-5)); #584225=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #584226=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #584227=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #584228=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #584229=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #584230=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #584231=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #584232=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,7.000050744431)); #584233=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,7.000050744431)); #584234=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #584235=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #584236=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #584237=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #584238=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #584239=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,7.000050744431)); #584240=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #584241=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #584242=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,7.000050744431)); #584243=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #584244=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,7.000050744431)); #584245=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #584246=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #584247=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,7.000050744431)); #584248=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #584249=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,0.500050838829999)); #584250=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #584251=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #584252=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.500050838829999)); #584253=CARTESIAN_POINT('',(-0.64999999056,2.083623649678,0.500050838829999)); #584254=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #584255=CARTESIAN_POINT('',(-0.64999999056,-0.993598239945,0.500050838829999)); #584256=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.500050838829999)); #584257=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #584258=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,0.500050838829999)); #584259=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,0.500050838829999)); #584260=CARTESIAN_POINT('',(-2.499999963693,-0.324987282499,0.500050838829999)); #584261=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,0.500050838829999)); #584262=CARTESIAN_POINT('',(-0.929999986494,0.545012704866,0.500050838829999)); #584263=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,0.500050838829999)); #584264=CARTESIAN_POINT('',(-2.499999963693,0.315012708207,0.500050838829999)); #584265=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,0.500050838829999)); #584266=CARTESIAN_POINT('Origin',(0.,0.545012704866,0.500050838829999)); #584267=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #584268=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #584269=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.500050838829999)); #584270=CARTESIAN_POINT('',(1.849999973133,2.083623649678,0.500050838829999)); #584271=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #584272=CARTESIAN_POINT('',(1.849999973133,-0.993598239945,0.500050838829999)); #584273=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.500050838829999)); #584274=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #584275=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,0.500050838829999)); #584276=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,0.500050838829999)); #584277=CARTESIAN_POINT('',(0.,-0.324987282499,0.500050838829999)); #584278=CARTESIAN_POINT('',(1.569999977199,0.315012708207,0.500050838829999)); #584279=CARTESIAN_POINT('',(1.569999977199,0.545012704866,0.500050838829999)); #584280=CARTESIAN_POINT('',(0.929999986494,0.315012708207,0.500050838829999)); #584281=CARTESIAN_POINT('',(0.,0.315012708207,0.500050838829999)); #584282=CARTESIAN_POINT('',(0.929999986494,0.545012704866,0.500050838829999)); #584283=CARTESIAN_POINT('Origin',(0.,0.545012704866,2.000050817045)); #584284=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #584285=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #584286=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #584287=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #584288=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #584289=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #584290=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #584291=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #584292=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #584293=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #584294=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #584295=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,2.000050817045)); #584296=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #584297=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #584298=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #584299=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #584300=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #584301=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #584302=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #584303=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,2.000050817045)); #584304=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #584305=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #584306=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #584307=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #584308=CARTESIAN_POINT('Origin',(-0.64999999056,-2.404987252291,0.800050834473)); #584309=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #584310=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.491843824527999)); #584311=CARTESIAN_POINT('',(-0.64999999056,2.045012683082,0.491843824527999)); #584312=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #584313=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #584314=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #584315=CARTESIAN_POINT('Origin',(-1.849999973133,-2.404987252291,0.800050834473)); #584316=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #584317=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.491843824527999)); #584318=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #584319=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #584320=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #584321=CARTESIAN_POINT('',(-1.849999973133,2.045012683082,0.491843824527999)); #584322=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #584323=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #584324=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #584325=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #584326=CARTESIAN_POINT('Origin',(1.849999973133,-2.404987252291,0.800050834473)); #584327=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #584328=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.491843824527999)); #584329=CARTESIAN_POINT('',(1.849999973133,2.045012683082,0.491843824527999)); #584330=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #584331=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #584332=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #584333=CARTESIAN_POINT('Origin',(0.64999999056,-2.404987252291,0.800050834473)); #584334=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #584335=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.491843824527999)); #584336=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #584337=CARTESIAN_POINT('',(0.64999999056,2.045012683082,0.491843824527999)); #584338=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #584339=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,5.08460913699604E-5)); #584340=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #584341=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #584342=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #584343=CARTESIAN_POINT('',(-3.2499999528,-0.604987278432,7.000050744431)); #584344=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,7.000050744431)); #584345=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,7.000050744431)); #584346=CARTESIAN_POINT('',(-3.2499999528,2.995012669285,7.000050744431)); #584347=CARTESIAN_POINT('',(-3.2499999528,2.695012673642,7.000050744431)); #584348=CARTESIAN_POINT('',(3.2499999528,2.995012669285,7.000050744431)); #584349=CARTESIAN_POINT('',(2.949999957157,2.995012669285,7.000050744431)); #584350=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #584351=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #584352=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,7.000050744431)); #584353=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,7.000050744431)); #584354=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #584355=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #584356=CARTESIAN_POINT('Origin',(3.749999945539,3.495012662024,7.000050744431)); #584357=CARTESIAN_POINT('',(3.749999945539,-1.104987271171,4.000050788)); #584358=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #584359=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #584360=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.500050780738)); #584361=CARTESIAN_POINT('Origin',(3.749999945539,-1.104987271171,4.500050780738)); #584362=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #584363=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #584364=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #584365=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #584366=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.500050780738)); #584367=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #584368=CARTESIAN_POINT('',(-3.749999945539,-1.104987271171,4.000050788)); #584369=CARTESIAN_POINT('Origin',(-3.749999945539,-1.104987271171,4.500050780738)); #584370=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #584371=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #584372=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #584373=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #584374=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #584375=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #584376=CARTESIAN_POINT('Origin',(-3.749999945539,-2.404987252291,7.000050744431)); #584377=CARTESIAN_POINT('',(1.249999981846,-2.404987252291,4.000050788)); #584378=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,5.000050773477)); #584379=CARTESIAN_POINT('Origin',(1.249999981846,-2.404987252291,5.000050773477)); #584380=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #584381=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #584382=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,5.000050773477)); #584383=CARTESIAN_POINT('Origin',(-1.249999981846,-2.404987252291,5.000050773477)); #584384=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #584385=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #584386=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #584387=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #584388=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #584389=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #584390=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #584391=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #584392=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #584393=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #584394=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #584395=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #584396=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #584397=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #584398=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #584399=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #584400=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #584401=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #584402=CARTESIAN_POINT('Origin',(-2.949999957157,-1.604987263909,2.000050817045)); #584403=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,5.000050773477)); #584404=CARTESIAN_POINT('',(-1.249999981846,-1.604987263909,4.000050788)); #584405=CARTESIAN_POINT('Origin',(-1.249999981846,-1.604987263909,5.000050773477)); #584406=CARTESIAN_POINT('',(1.249999981846,-1.604987263909,4.000050788)); #584407=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #584408=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,5.000050773477)); #584409=CARTESIAN_POINT('Origin',(1.249999981846,-1.604987263909,5.000050773477)); #584410=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.000050758954)); #584411=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #584412=CARTESIAN_POINT('',(1.94999997168,-1.604987263909,6.300050754597)); #584413=CARTESIAN_POINT('',(1.649999976037,-1.604987263909,6.60005075024)); #584414=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.60005075024)); #584415=CARTESIAN_POINT('',(-2.649999961514,-1.604987263909,1.700050821402)); #584416=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #584417=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.500050780738)); #584418=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #584419=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #584420=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #584421=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #584422=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #584423=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.60005075024)); #584424=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #584425=CARTESIAN_POINT('',(-1.94999997168,-1.604987263909,6.300050754597)); #584426=CARTESIAN_POINT('',(-0.299999995643,-1.604987263909,4.65005077856)); #584427=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.000050758954)); #584428=CARTESIAN_POINT('',(-4.599999933194,-1.604987263909,3.650050793083)); #584429=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #584430=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,2.000050817045)); #584431=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #584432=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #584433=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #584434=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #584435=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #584436=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #584437=CARTESIAN_POINT('',(-2.949999957157,-1.104987271171,4.000050788)); #584438=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #584439=CARTESIAN_POINT('Origin',(-2.949999957157,-1.104987271171,4.500050780738)); #584440=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,2.000050817045)); #584441=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #584442=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #584443=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #584444=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,2.000050817045)); #584445=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #584446=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #584447=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #584448=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #584449=CARTESIAN_POINT('',(2.949999957157,-1.104987271171,4.000050788)); #584450=CARTESIAN_POINT('Origin',(2.949999957157,-1.104987271171,4.500050780738)); #584451=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.000050788)); #584452=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,7.000050744431)); #584453=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.60005075024)); #584454=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.60005075024)); #584455=CARTESIAN_POINT('',(1.94999997168,-1.404987266814,6.300050754597)); #584456=CARTESIAN_POINT('Origin',(1.94999997168,-1.404987266814,6.300050754597)); #584457=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.000050758954)); #584458=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.000050758954)); #584459=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,5.000050773477)); #584460=CARTESIAN_POINT('Origin',(1.249999981846,-1.404987266814,5.000050773477)); #584461=CARTESIAN_POINT('',(1.249999981846,-1.404987266814,4.000050788)); #584462=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,7.000050744431)); #584463=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.60005075024)); #584464=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,4.000050788)); #584465=CARTESIAN_POINT('',(-1.249999981846,-1.404987266814,4.000050788)); #584466=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,5.000050773477)); #584467=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,5.000050773477)); #584468=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.000050758954)); #584469=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.000050758954)); #584470=CARTESIAN_POINT('Origin',(-1.94999997168,-1.404987266814,6.300050754597)); #584471=CARTESIAN_POINT('',(-1.94999997168,-1.404987266814,6.300050754597)); #584472=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.60005075024)); #584473=CARTESIAN_POINT('Origin',(0.64999999056,-0.954987273349,0.491843824527999)); #584474=CARTESIAN_POINT('Origin',(0.64999999056,2.045012683082,0.491843824527999)); #584475=CARTESIAN_POINT('Origin',(-1.849999973133,-0.954987273349,0.491843824527999)); #584476=CARTESIAN_POINT('Origin',(-1.849999973133,2.045012683082,0.491843824527999)); #584477=CARTESIAN_POINT('Origin',(1.549999977489,0.295012708497,6.500050751692)); #584478=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #584479=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.500050751692)); #584480=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #584481=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #584482=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.500050751692)); #584483=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #584484=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #584485=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.500050751692)); #584486=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #584487=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #584488=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #584489=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.500050751692)); #584490=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #584491=CARTESIAN_POINT('Origin',(0.949999986203,-0.304987282789,6.500050751692)); #584492=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #584493=CARTESIAN_POINT('Origin',(0.,0.545012704866,6.500050751692)); #584494=CARTESIAN_POINT('',(1.131985100693,0.113027594007,6.500050751692)); #584495=CARTESIAN_POINT('',(1.131985100693,-0.123002168299,6.500050751692)); #584496=CARTESIAN_POINT('',(1.131985100693,-0.304987282789,6.500050751692)); #584497=CARTESIAN_POINT('',(1.368014862999,-0.123002168299,6.500050751692)); #584498=CARTESIAN_POINT('',(1.549999977489,-0.123002168299,6.500050751692)); #584499=CARTESIAN_POINT('',(1.368014862999,0.113027594007,6.500050751692)); #584500=CARTESIAN_POINT('',(1.368014862999,0.295012708497,6.500050751692)); #584501=CARTESIAN_POINT('',(0.949999986203,0.113027594007,6.500050751692)); #584502=CARTESIAN_POINT('Origin',(0.,0.113027594007,6.500050751692)); #584503=CARTESIAN_POINT('',(1.413255385741,0.158268116749,6.375753437015)); #584504=CARTESIAN_POINT('',(1.013435649789,0.231577044912,6.174338812243)); #584505=CARTESIAN_POINT('Origin',(1.131985100693,0.545012704866,6.500050751692)); #584506=CARTESIAN_POINT('',(1.201944322207,-0.05304294678499,6.692262133084)); #584507=CARTESIAN_POINT('Origin',(1.368014862999,0.545012704866,6.500050751692)); #584508=CARTESIAN_POINT('',(1.298055641485,-0.05304294678499,6.692262133084)); #584509=CARTESIAN_POINT('Origin',(0.,-0.123002168299,6.500050751692)); #584510=CARTESIAN_POINT('Origin',(-0.949999986203,0.295012708497,6.500050751692)); #584511=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #584512=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.500050751692)); #584513=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #584514=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #584515=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.500050751692)); #584516=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #584517=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #584518=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.500050751692)); #584519=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #584520=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #584521=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #584522=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.500050751692)); #584523=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #584524=CARTESIAN_POINT('Origin',(-1.549999977489,-0.304987282789,6.500050751692)); #584525=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #584526=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,6.500050751692)); #584527=CARTESIAN_POINT('',(-1.368014862999,0.113027594007,6.500050751692)); #584528=CARTESIAN_POINT('',(-1.368014862999,-0.123002168299,6.500050751692)); #584529=CARTESIAN_POINT('',(-1.368014862999,-0.304987282789,6.500050751692)); #584530=CARTESIAN_POINT('',(-1.131985100693,-0.123002168299,6.500050751692)); #584531=CARTESIAN_POINT('',(-0.949999986203,-0.123002168299,6.500050751692)); #584532=CARTESIAN_POINT('',(-1.131985100693,0.113027594007,6.500050751692)); #584533=CARTESIAN_POINT('',(-1.131985100693,0.295012708497,6.500050751692)); #584534=CARTESIAN_POINT('',(-1.549999977489,0.113027594007,6.500050751692)); #584535=CARTESIAN_POINT('Origin',(-2.499999963693,0.113027594007,6.500050751692)); #584536=CARTESIAN_POINT('',(-1.086744577951,0.158268116749,6.375753437015)); #584537=CARTESIAN_POINT('',(-1.486564313904,0.231577044912,6.174338812243)); #584538=CARTESIAN_POINT('Origin',(-1.368014862999,0.545012704866,6.500050751692)); #584539=CARTESIAN_POINT('',(-1.298055641485,-0.05304294678499,6.692262133084)); #584540=CARTESIAN_POINT('Origin',(-1.131985100693,0.545012704866,6.500050751692)); #584541=CARTESIAN_POINT('',(-1.201944322207,-0.05304294678499,6.692262133084)); #584542=CARTESIAN_POINT('Origin',(-2.499999963693,-0.123002168299,6.500050751692)); #584543=CARTESIAN_POINT('Origin',(1.569999977199,-0.324987282499,2.000050817045)); #584544=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,-2.899949111792)); #584545=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,2.000050817045)); #584546=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #584547=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #584548=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,2.000050817045)); #584549=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-3.399949104531)); #584550=CARTESIAN_POINT('',(1.388014862709,0.133027593717,-3.399949104531)); #584551=CARTESIAN_POINT('',(1.388014862709,-0.143002168009,-3.399949104531)); #584552=CARTESIAN_POINT('',(1.388014862709,-0.324987282499,-3.399949104531)); #584553=CARTESIAN_POINT('',(1.111985100984,-0.143002168009,-3.399949104531)); #584554=CARTESIAN_POINT('',(0.929999986494,-0.143002168009,-3.399949104531)); #584555=CARTESIAN_POINT('',(1.111985100984,0.133027593717,-3.399949104531)); #584556=CARTESIAN_POINT('',(1.111985100984,0.315012708207,-3.399949104531)); #584557=CARTESIAN_POINT('',(1.569999977199,0.133027593717,-3.399949104531)); #584558=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,2.000050817045)); #584559=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #584560=CARTESIAN_POINT('',(1.569999977199,0.315012708207,2.000050817045)); #584561=CARTESIAN_POINT('',(0.929999986494,0.315012708207,-2.899949111792)); #584562=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #584563=CARTESIAN_POINT('',(0.929999986494,0.315012708207,2.000050817045)); #584564=CARTESIAN_POINT('Origin',(1.569999977199,0.545012704866,5.08460913699604E-5)); #584565=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #584566=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,5.08460913699604E-5)); #584567=CARTESIAN_POINT('',(0.929999986494,0.545012704866,-2.899949111792)); #584568=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-2.899949111792)); #584569=CARTESIAN_POINT('',(0.90591276724,0.33909992746,-2.833770020796)); #584570=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #584571=CARTESIAN_POINT('Origin',(1.388014862709,0.315012708207,-3.399949104531)); #584572=CARTESIAN_POINT('',(1.340048319644,-0.09503562494337,-3.531736098491)); #584573=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,-2.899949111792)); #584574=CARTESIAN_POINT('',(1.021112511496,-0.233874757496,-3.150278716866)); #584575=CARTESIAN_POINT('Origin',(1.569999977199,-0.143002168009,-3.399949104531)); #584576=CARTESIAN_POINT('Origin',(-0.929999986494,-0.324987282499,2.000050817045)); #584577=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,-2.899949111792)); #584578=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,2.000050817045)); #584579=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #584580=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #584581=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,2.000050817045)); #584582=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-3.399949104531)); #584583=CARTESIAN_POINT('',(-1.111985100984,0.133027593717,-3.399949104531)); #584584=CARTESIAN_POINT('',(-1.111985100984,-0.143002168009,-3.399949104531)); #584585=CARTESIAN_POINT('',(-1.111985100984,-0.324987282499,-3.399949104531)); #584586=CARTESIAN_POINT('',(-1.388014862709,-0.143002168009,-3.399949104531)); #584587=CARTESIAN_POINT('',(-1.569999977199,-0.143002168009,-3.399949104531)); #584588=CARTESIAN_POINT('',(-1.388014862709,0.133027593717,-3.399949104531)); #584589=CARTESIAN_POINT('',(-1.388014862709,0.315012708207,-3.399949104531)); #584590=CARTESIAN_POINT('',(-0.929999986494,0.133027593717,-3.399949104531)); #584591=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,2.000050817045)); #584592=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #584593=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,2.000050817045)); #584594=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,-2.899949111792)); #584595=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #584596=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,2.000050817045)); #584597=CARTESIAN_POINT('Origin',(-0.929999986494,0.545012704866,5.08460913699604E-5)); #584598=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #584599=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,5.08460913699604E-5)); #584600=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,-2.899949111792)); #584601=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-2.899949111792)); #584602=CARTESIAN_POINT('',(-1.594087196452,0.33909992746,-2.833770020796)); #584603=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #584604=CARTESIAN_POINT('Origin',(-1.111985100984,0.315012708207,-3.399949104531)); #584605=CARTESIAN_POINT('',(-1.159951644049,-0.09503562494337,-3.531736098491)); #584606=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,-2.899949111792)); #584607=CARTESIAN_POINT('',(-1.478887452197,-0.233874757496,-3.150278716866)); #584608=CARTESIAN_POINT('Origin',(-0.929999986494,-0.143002168009,-3.399949104531)); #584609=CARTESIAN_POINT('Origin',(2.949999957157,-0.604987278432,4.000050788)); #584610=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #584611=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #584612=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #584613=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #584614=CARTESIAN_POINT('Origin',(-2.949999957157,-0.604987278432,4.000050788)); #584615=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #584616=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #584617=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #584618=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #584619=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #584620=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #584621=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,6.700050748788)); #584622=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #584623=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,6.700050748788)); #584624=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #584625=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,6.700050748788)); #584626=CARTESIAN_POINT('',(0.,0.,0.)); #584627=CARTESIAN_POINT('',(0.,0.,0.)); #584628=CARTESIAN_POINT('',(0.,0.,0.)); #584629=CARTESIAN_POINT('',(0.,0.,0.)); #584630=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #584631=CARTESIAN_POINT('',(-0.85,-0.41,0.41)); #584632=CARTESIAN_POINT('',(-0.81,-0.41,0.45)); #584633=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #584634=CARTESIAN_POINT('',(-0.81,-0.45,0.41)); #584635=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #584636=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #584637=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #584638=CARTESIAN_POINT('',(-0.39,-0.45,0.41)); #584639=CARTESIAN_POINT('',(-0.39,-0.41,0.45)); #584640=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #584641=CARTESIAN_POINT('',(-0.35,-0.41,0.41)); #584642=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #584643=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #584644=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #584645=CARTESIAN_POINT('',(-0.85,-0.41,0.04)); #584646=CARTESIAN_POINT('',(-0.81,-0.45,0.04)); #584647=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #584648=CARTESIAN_POINT('',(-0.81,-0.41,0.)); #584649=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #584650=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #584651=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #584652=CARTESIAN_POINT('',(-0.81,0.45,0.41)); #584653=CARTESIAN_POINT('',(-0.81,0.41,0.45)); #584654=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #584655=CARTESIAN_POINT('',(-0.85,0.41,0.41)); #584656=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #584657=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #584658=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #584659=CARTESIAN_POINT('',(-0.39,-0.45,0.04)); #584660=CARTESIAN_POINT('',(-0.35,-0.41,0.04)); #584661=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #584662=CARTESIAN_POINT('',(-0.39,-0.41,0.)); #584663=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #584664=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #584665=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.04)); #584666=CARTESIAN_POINT('',(-0.725,-0.45,0.04)); #584667=CARTESIAN_POINT('',(-0.725,-0.41,0.)); #584668=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #584669=CARTESIAN_POINT('',(-0.35,0.41,0.41)); #584670=CARTESIAN_POINT('',(-0.39,0.41,0.45)); #584671=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #584672=CARTESIAN_POINT('',(-0.39,0.45,0.41)); #584673=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #584674=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #584675=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #584676=CARTESIAN_POINT('',(-0.81,0.41,0.)); #584677=CARTESIAN_POINT('',(-0.81,0.45,0.04)); #584678=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #584679=CARTESIAN_POINT('',(-0.85,0.41,0.04)); #584680=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #584681=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #584682=CARTESIAN_POINT('Origin',(-0.81,0.225,0.04)); #584683=CARTESIAN_POINT('',(-0.85,0.225,0.04)); #584684=CARTESIAN_POINT('',(-0.81,0.225,0.)); #584685=CARTESIAN_POINT('Origin',(-0.81,0.41,0.)); #584686=CARTESIAN_POINT('',(-0.81,0.45,0.)); #584687=CARTESIAN_POINT('',(-0.85,0.41,0.)); #584688=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #584689=CARTESIAN_POINT('',(-0.35,0.41,0.04)); #584690=CARTESIAN_POINT('',(-0.39,0.45,0.04)); #584691=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #584692=CARTESIAN_POINT('',(-0.39,0.41,0.)); #584693=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #584694=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #584695=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.04)); #584696=CARTESIAN_POINT('',(-0.39,-0.225,0.)); #584697=CARTESIAN_POINT('',(-0.35,-0.225,0.04)); #584698=CARTESIAN_POINT('Origin',(-0.475,0.41,0.04)); #584699=CARTESIAN_POINT('',(-0.475,0.45,0.04)); #584700=CARTESIAN_POINT('',(-0.475,0.41,0.)); #584701=CARTESIAN_POINT('Origin',(-0.39,0.41,0.)); #584702=CARTESIAN_POINT('',(-0.35,0.41,0.)); #584703=CARTESIAN_POINT('',(-0.39,0.45,0.)); #584704=CARTESIAN_POINT('Origin',(-0.475,0.41,0.41)); #584705=CARTESIAN_POINT('',(-0.475,0.41,0.45)); #584706=CARTESIAN_POINT('',(-0.475,0.45,0.41)); #584707=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.41)); #584708=CARTESIAN_POINT('',(-0.39,-0.225,0.45)); #584709=CARTESIAN_POINT('',(-0.35,-0.225,0.41)); #584710=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.)); #584711=CARTESIAN_POINT('',(-0.39,-0.45,0.)); #584712=CARTESIAN_POINT('',(-0.35,-0.41,0.)); #584713=CARTESIAN_POINT('Origin',(-0.81,0.225,0.41)); #584714=CARTESIAN_POINT('',(-0.81,0.225,0.45)); #584715=CARTESIAN_POINT('',(-0.85,0.225,0.41)); #584716=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.)); #584717=CARTESIAN_POINT('',(-0.85,-0.41,0.)); #584718=CARTESIAN_POINT('',(-0.81,-0.45,0.)); #584719=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.41)); #584720=CARTESIAN_POINT('',(-0.725,-0.41,0.45)); #584721=CARTESIAN_POINT('',(-0.725,-0.45,0.41)); #584722=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #584723=CARTESIAN_POINT('Origin',(-0.6,0.,0.)); #584724=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #584725=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #584726=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #584727=CARTESIAN_POINT('Origin',(-0.6,0.,0.45)); #584728=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #584729=CARTESIAN_POINT('',(0.35,-0.41,0.41)); #584730=CARTESIAN_POINT('',(0.39,-0.41,0.45)); #584731=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #584732=CARTESIAN_POINT('',(0.39,-0.45,0.41)); #584733=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #584734=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #584735=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #584736=CARTESIAN_POINT('',(0.81,-0.45,0.41)); #584737=CARTESIAN_POINT('',(0.81,-0.41,0.45)); #584738=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #584739=CARTESIAN_POINT('',(0.85,-0.41,0.41)); #584740=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #584741=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #584742=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #584743=CARTESIAN_POINT('',(0.35,-0.41,0.04)); #584744=CARTESIAN_POINT('',(0.39,-0.45,0.04)); #584745=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #584746=CARTESIAN_POINT('',(0.39,-0.41,0.)); #584747=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #584748=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #584749=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #584750=CARTESIAN_POINT('',(0.39,0.45,0.41)); #584751=CARTESIAN_POINT('',(0.39,0.41,0.45)); #584752=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #584753=CARTESIAN_POINT('',(0.35,0.41,0.41)); #584754=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #584755=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #584756=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #584757=CARTESIAN_POINT('',(0.81,-0.45,0.04)); #584758=CARTESIAN_POINT('',(0.85,-0.41,0.04)); #584759=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #584760=CARTESIAN_POINT('',(0.81,-0.41,0.)); #584761=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #584762=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #584763=CARTESIAN_POINT('Origin',(0.475,-0.41,0.04)); #584764=CARTESIAN_POINT('',(0.475,-0.45,0.04)); #584765=CARTESIAN_POINT('',(0.475,-0.41,0.)); #584766=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #584767=CARTESIAN_POINT('',(0.85,0.41,0.41)); #584768=CARTESIAN_POINT('',(0.81,0.41,0.45)); #584769=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #584770=CARTESIAN_POINT('',(0.81,0.45,0.41)); #584771=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #584772=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #584773=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #584774=CARTESIAN_POINT('',(0.39,0.41,0.)); #584775=CARTESIAN_POINT('',(0.39,0.45,0.04)); #584776=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #584777=CARTESIAN_POINT('',(0.35,0.41,0.04)); #584778=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #584779=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #584780=CARTESIAN_POINT('Origin',(0.39,0.225,0.04)); #584781=CARTESIAN_POINT('',(0.35,0.225,0.04)); #584782=CARTESIAN_POINT('',(0.39,0.225,0.)); #584783=CARTESIAN_POINT('Origin',(0.39,0.41,0.)); #584784=CARTESIAN_POINT('',(0.39,0.45,0.)); #584785=CARTESIAN_POINT('',(0.35,0.41,0.)); #584786=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #584787=CARTESIAN_POINT('',(0.85,0.41,0.04)); #584788=CARTESIAN_POINT('',(0.81,0.45,0.04)); #584789=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #584790=CARTESIAN_POINT('',(0.81,0.41,0.)); #584791=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #584792=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #584793=CARTESIAN_POINT('Origin',(0.81,-0.225,0.04)); #584794=CARTESIAN_POINT('',(0.85,-0.225,0.04)); #584795=CARTESIAN_POINT('',(0.81,-0.225,0.)); #584796=CARTESIAN_POINT('Origin',(0.725,0.41,0.04)); #584797=CARTESIAN_POINT('',(0.725,0.45,0.04)); #584798=CARTESIAN_POINT('',(0.725,0.41,0.)); #584799=CARTESIAN_POINT('Origin',(0.81,0.41,0.)); #584800=CARTESIAN_POINT('',(0.85,0.41,0.)); #584801=CARTESIAN_POINT('',(0.81,0.45,0.)); #584802=CARTESIAN_POINT('Origin',(0.725,0.41,0.41)); #584803=CARTESIAN_POINT('',(0.725,0.41,0.45)); #584804=CARTESIAN_POINT('',(0.725,0.45,0.41)); #584805=CARTESIAN_POINT('Origin',(0.81,-0.225,0.41)); #584806=CARTESIAN_POINT('',(0.81,-0.225,0.45)); #584807=CARTESIAN_POINT('',(0.85,-0.225,0.41)); #584808=CARTESIAN_POINT('Origin',(0.81,-0.41,0.)); #584809=CARTESIAN_POINT('',(0.81,-0.45,0.)); #584810=CARTESIAN_POINT('',(0.85,-0.41,0.)); #584811=CARTESIAN_POINT('Origin',(0.39,0.225,0.41)); #584812=CARTESIAN_POINT('',(0.39,0.225,0.45)); #584813=CARTESIAN_POINT('',(0.35,0.225,0.41)); #584814=CARTESIAN_POINT('Origin',(0.39,-0.41,0.)); #584815=CARTESIAN_POINT('',(0.35,-0.41,0.)); #584816=CARTESIAN_POINT('',(0.39,-0.45,0.)); #584817=CARTESIAN_POINT('Origin',(0.475,-0.41,0.41)); #584818=CARTESIAN_POINT('',(0.475,-0.41,0.45)); #584819=CARTESIAN_POINT('',(0.475,-0.45,0.41)); #584820=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #584821=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #584822=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #584823=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #584824=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #584825=CARTESIAN_POINT('Origin',(0.6,0.,0.45)); #584826=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #584827=CARTESIAN_POINT('',(0.35,0.45,0.)); #584828=CARTESIAN_POINT('',(0.35,-0.45,0.)); #584829=CARTESIAN_POINT('',(0.35,0.45,0.)); #584830=CARTESIAN_POINT('',(0.35,0.45,0.45)); #584831=CARTESIAN_POINT('',(0.35,0.45,0.)); #584832=CARTESIAN_POINT('',(0.35,-0.45,0.45)); #584833=CARTESIAN_POINT('',(0.35,0.45,0.45)); #584834=CARTESIAN_POINT('',(0.35,-0.45,0.)); #584835=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #584836=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #584837=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #584838=CARTESIAN_POINT('',(-0.35,-0.45,0.45)); #584839=CARTESIAN_POINT('',(-0.85,-0.45,0.45)); #584840=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #584841=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #584842=CARTESIAN_POINT('',(-0.35,0.45,0.)); #584843=CARTESIAN_POINT('',(-0.35,0.45,0.)); #584844=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #584845=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #584846=CARTESIAN_POINT('',(-0.35,0.45,0.)); #584847=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #584848=CARTESIAN_POINT('',(0.85,0.45,0.)); #584849=CARTESIAN_POINT('',(0.85,0.45,0.45)); #584850=CARTESIAN_POINT('Origin',(0.,0.,0.45)); #584851=CARTESIAN_POINT('Origin',(0.,0.,0.)); #584852=CARTESIAN_POINT('',(0.,0.,0.)); #584853=CARTESIAN_POINT('',(0.,0.,0.)); #584854=CARTESIAN_POINT('',(0.,0.,0.)); #584855=CARTESIAN_POINT('',(0.,0.,0.)); #584856=CARTESIAN_POINT('',(1.11022302462516E-16,-2.22044604925031E-16, 0.)); #584857=CARTESIAN_POINT('',(1.11022302462516E-16,1.11022302462516E-16,0.)); #584858=CARTESIAN_POINT('',(0.,0.,0.)); #584859=CARTESIAN_POINT('',(0.,0.,0.)); #584860=CARTESIAN_POINT('',(0.,0.,0.)); #584861=CARTESIAN_POINT('',(0.,0.,0.)); #584862=CARTESIAN_POINT('',(0.,0.,0.)); #584863=CARTESIAN_POINT('',(0.,0.,0.)); #584864=CARTESIAN_POINT('',(0.,0.,0.)); #584865=CARTESIAN_POINT('',(0.,0.,0.)); #584866=CARTESIAN_POINT('',(0.,0.,0.)); #584867=CARTESIAN_POINT('',(0.,0.,0.)); #584868=CARTESIAN_POINT('',(0.,0.,0.)); #584869=CARTESIAN_POINT('',(0.,0.,0.)); #584870=CARTESIAN_POINT('',(0.,0.,0.)); #584871=CARTESIAN_POINT('',(0.,0.,0.)); #584872=CARTESIAN_POINT('',(0.,0.,0.)); #584873=CARTESIAN_POINT('',(-1.11022302462516E-16,2.22044604925031E-16, 0.)); #584874=CARTESIAN_POINT('',(0.,0.,0.)); #584875=CARTESIAN_POINT('',(0.,0.,0.)); #584876=CARTESIAN_POINT('',(0.,0.,0.)); #584877=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #584878=CARTESIAN_POINT('',(-0.85,-0.418,0.768)); #584879=CARTESIAN_POINT('',(-0.818,-0.418,0.8)); #584880=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #584881=CARTESIAN_POINT('',(-0.818,-0.45,0.768)); #584882=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #584883=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #584884=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #584885=CARTESIAN_POINT('',(-0.482,-0.45,0.768)); #584886=CARTESIAN_POINT('',(-0.482,-0.418,0.8)); #584887=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #584888=CARTESIAN_POINT('',(-0.45,-0.418,0.768)); #584889=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #584890=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #584891=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #584892=CARTESIAN_POINT('',(-0.85,-0.418,0.032)); #584893=CARTESIAN_POINT('',(-0.818,-0.45,0.032)); #584894=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #584895=CARTESIAN_POINT('',(-0.818,-0.418,0.)); #584896=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #584897=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #584898=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #584899=CARTESIAN_POINT('',(-0.818,0.45,0.768)); #584900=CARTESIAN_POINT('',(-0.818,0.418,0.8)); #584901=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #584902=CARTESIAN_POINT('',(-0.85,0.418,0.768)); #584903=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #584904=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #584905=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #584906=CARTESIAN_POINT('',(-0.482,-0.45,0.032)); #584907=CARTESIAN_POINT('',(-0.45,-0.418,0.032)); #584908=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #584909=CARTESIAN_POINT('',(-0.482,-0.418,0.)); #584910=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #584911=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #584912=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.032)); #584913=CARTESIAN_POINT('',(-0.75,-0.45,0.032)); #584914=CARTESIAN_POINT('',(-0.75,-0.418,0.)); #584915=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #584916=CARTESIAN_POINT('',(-0.45,0.418,0.768)); #584917=CARTESIAN_POINT('',(-0.482,0.418,0.8)); #584918=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #584919=CARTESIAN_POINT('',(-0.482,0.45,0.768)); #584920=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #584921=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #584922=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #584923=CARTESIAN_POINT('',(-0.818,0.418,0.)); #584924=CARTESIAN_POINT('',(-0.818,0.45,0.032)); #584925=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #584926=CARTESIAN_POINT('',(-0.85,0.418,0.032)); #584927=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #584928=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #584929=CARTESIAN_POINT('Origin',(-0.818,0.225,0.032)); #584930=CARTESIAN_POINT('',(-0.85,0.225,0.032)); #584931=CARTESIAN_POINT('',(-0.818,0.225,0.)); #584932=CARTESIAN_POINT('Origin',(-0.818,0.418,0.)); #584933=CARTESIAN_POINT('',(-0.818,0.45,0.)); #584934=CARTESIAN_POINT('',(-0.85,0.418,0.)); #584935=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #584936=CARTESIAN_POINT('',(-0.45,0.418,0.032)); #584937=CARTESIAN_POINT('',(-0.482,0.45,0.032)); #584938=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #584939=CARTESIAN_POINT('',(-0.482,0.418,0.)); #584940=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #584941=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #584942=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.032)); #584943=CARTESIAN_POINT('',(-0.482,-0.225,0.)); #584944=CARTESIAN_POINT('',(-0.45,-0.225,0.032)); #584945=CARTESIAN_POINT('Origin',(-0.55,0.418,0.032)); #584946=CARTESIAN_POINT('',(-0.55,0.45,0.032)); #584947=CARTESIAN_POINT('',(-0.55,0.418,0.)); #584948=CARTESIAN_POINT('Origin',(-0.482,0.418,0.)); #584949=CARTESIAN_POINT('',(-0.45,0.418,0.)); #584950=CARTESIAN_POINT('',(-0.482,0.45,0.)); #584951=CARTESIAN_POINT('Origin',(-0.55,0.418,0.768)); #584952=CARTESIAN_POINT('',(-0.55,0.418,0.8)); #584953=CARTESIAN_POINT('',(-0.55,0.45,0.768)); #584954=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.768)); #584955=CARTESIAN_POINT('',(-0.482,-0.225,0.8)); #584956=CARTESIAN_POINT('',(-0.45,-0.225,0.768)); #584957=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.)); #584958=CARTESIAN_POINT('',(-0.482,-0.45,0.)); #584959=CARTESIAN_POINT('',(-0.45,-0.418,0.)); #584960=CARTESIAN_POINT('Origin',(-0.818,0.225,0.768)); #584961=CARTESIAN_POINT('',(-0.818,0.225,0.8)); #584962=CARTESIAN_POINT('',(-0.85,0.225,0.768)); #584963=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.)); #584964=CARTESIAN_POINT('',(-0.85,-0.418,0.)); #584965=CARTESIAN_POINT('',(-0.818,-0.45,0.)); #584966=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.768)); #584967=CARTESIAN_POINT('',(-0.75,-0.418,0.8)); #584968=CARTESIAN_POINT('',(-0.75,-0.45,0.768)); #584969=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #584970=CARTESIAN_POINT('Origin',(-0.65,0.,0.)); #584971=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #584972=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #584973=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #584974=CARTESIAN_POINT('Origin',(-0.65,0.,0.8)); #584975=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #584976=CARTESIAN_POINT('',(0.45,-0.418,0.768)); #584977=CARTESIAN_POINT('',(0.482,-0.418,0.8)); #584978=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #584979=CARTESIAN_POINT('',(0.482,-0.45,0.768)); #584980=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #584981=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #584982=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #584983=CARTESIAN_POINT('',(0.818,-0.45,0.768)); #584984=CARTESIAN_POINT('',(0.818,-0.418,0.8)); #584985=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #584986=CARTESIAN_POINT('',(0.85,-0.418,0.768)); #584987=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #584988=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #584989=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #584990=CARTESIAN_POINT('',(0.45,-0.418,0.032)); #584991=CARTESIAN_POINT('',(0.482,-0.45,0.032)); #584992=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #584993=CARTESIAN_POINT('',(0.482,-0.418,0.)); #584994=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #584995=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #584996=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #584997=CARTESIAN_POINT('',(0.482,0.45,0.768)); #584998=CARTESIAN_POINT('',(0.482,0.418,0.8)); #584999=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #585000=CARTESIAN_POINT('',(0.45,0.418,0.768)); #585001=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #585002=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #585003=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #585004=CARTESIAN_POINT('',(0.818,-0.45,0.032)); #585005=CARTESIAN_POINT('',(0.85,-0.418,0.032)); #585006=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #585007=CARTESIAN_POINT('',(0.818,-0.418,0.)); #585008=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #585009=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #585010=CARTESIAN_POINT('Origin',(0.55,-0.418,0.032)); #585011=CARTESIAN_POINT('',(0.55,-0.45,0.032)); #585012=CARTESIAN_POINT('',(0.55,-0.418,0.)); #585013=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #585014=CARTESIAN_POINT('',(0.85,0.418,0.768)); #585015=CARTESIAN_POINT('',(0.818,0.418,0.8)); #585016=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #585017=CARTESIAN_POINT('',(0.818,0.45,0.768)); #585018=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #585019=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #585020=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #585021=CARTESIAN_POINT('',(0.482,0.418,0.)); #585022=CARTESIAN_POINT('',(0.482,0.45,0.032)); #585023=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #585024=CARTESIAN_POINT('',(0.45,0.418,0.032)); #585025=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #585026=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #585027=CARTESIAN_POINT('Origin',(0.482,0.225,0.032)); #585028=CARTESIAN_POINT('',(0.45,0.225,0.032)); #585029=CARTESIAN_POINT('',(0.482,0.225,0.)); #585030=CARTESIAN_POINT('Origin',(0.482,0.418,0.)); #585031=CARTESIAN_POINT('',(0.482,0.45,0.)); #585032=CARTESIAN_POINT('',(0.45,0.418,0.)); #585033=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #585034=CARTESIAN_POINT('',(0.85,0.418,0.032)); #585035=CARTESIAN_POINT('',(0.818,0.45,0.032)); #585036=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #585037=CARTESIAN_POINT('',(0.818,0.418,0.)); #585038=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #585039=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #585040=CARTESIAN_POINT('Origin',(0.818,-0.225,0.032)); #585041=CARTESIAN_POINT('',(0.85,-0.225,0.032)); #585042=CARTESIAN_POINT('',(0.818,-0.225,0.)); #585043=CARTESIAN_POINT('Origin',(0.75,0.418,0.032)); #585044=CARTESIAN_POINT('',(0.75,0.45,0.032)); #585045=CARTESIAN_POINT('',(0.75,0.418,0.)); #585046=CARTESIAN_POINT('Origin',(0.818,0.418,0.)); #585047=CARTESIAN_POINT('',(0.85,0.418,0.)); #585048=CARTESIAN_POINT('',(0.818,0.45,0.)); #585049=CARTESIAN_POINT('Origin',(0.75,0.418,0.768)); #585050=CARTESIAN_POINT('',(0.75,0.418,0.8)); #585051=CARTESIAN_POINT('',(0.75,0.45,0.768)); #585052=CARTESIAN_POINT('Origin',(0.818,-0.225,0.768)); #585053=CARTESIAN_POINT('',(0.818,-0.225,0.8)); #585054=CARTESIAN_POINT('',(0.85,-0.225,0.768)); #585055=CARTESIAN_POINT('Origin',(0.818,-0.418,0.)); #585056=CARTESIAN_POINT('',(0.818,-0.45,0.)); #585057=CARTESIAN_POINT('',(0.85,-0.418,0.)); #585058=CARTESIAN_POINT('Origin',(0.482,0.225,0.768)); #585059=CARTESIAN_POINT('',(0.482,0.225,0.8)); #585060=CARTESIAN_POINT('',(0.45,0.225,0.768)); #585061=CARTESIAN_POINT('Origin',(0.482,-0.418,0.)); #585062=CARTESIAN_POINT('',(0.45,-0.418,0.)); #585063=CARTESIAN_POINT('',(0.482,-0.45,0.)); #585064=CARTESIAN_POINT('Origin',(0.55,-0.418,0.768)); #585065=CARTESIAN_POINT('',(0.55,-0.418,0.8)); #585066=CARTESIAN_POINT('',(0.55,-0.45,0.768)); #585067=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #585068=CARTESIAN_POINT('Origin',(0.65,0.,0.)); #585069=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #585070=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #585071=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #585072=CARTESIAN_POINT('Origin',(0.65,0.,0.8)); #585073=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #585074=CARTESIAN_POINT('',(0.45,0.45,0.)); #585075=CARTESIAN_POINT('',(0.45,-0.45,0.)); #585076=CARTESIAN_POINT('',(0.45,0.45,0.)); #585077=CARTESIAN_POINT('',(0.45,0.45,0.8)); #585078=CARTESIAN_POINT('',(0.45,0.45,0.)); #585079=CARTESIAN_POINT('',(0.45,-0.45,0.8)); #585080=CARTESIAN_POINT('',(0.45,0.45,0.8)); #585081=CARTESIAN_POINT('',(0.45,-0.45,0.)); #585082=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #585083=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #585084=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #585085=CARTESIAN_POINT('',(-0.45,-0.45,0.8)); #585086=CARTESIAN_POINT('',(-0.85,-0.45,0.8)); #585087=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #585088=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #585089=CARTESIAN_POINT('',(-0.45,0.45,0.)); #585090=CARTESIAN_POINT('',(-0.45,0.45,0.)); #585091=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #585092=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #585093=CARTESIAN_POINT('',(-0.45,0.45,0.)); #585094=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #585095=CARTESIAN_POINT('',(0.85,0.45,0.)); #585096=CARTESIAN_POINT('',(0.85,0.45,0.8)); #585097=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #585098=CARTESIAN_POINT('Origin',(0.,0.,0.)); #585099=CARTESIAN_POINT('',(0.,0.,0.)); #585100=CARTESIAN_POINT('',(0.,0.,0.)); #585101=CARTESIAN_POINT('',(0.,0.,0.)); #585102=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #585103=CARTESIAN_POINT('',(-1.75,-1.36,2.76)); #585104=CARTESIAN_POINT('',(-1.71,-1.36,2.8)); #585105=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #585106=CARTESIAN_POINT('',(-1.71,-1.4,2.76)); #585107=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #585108=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #585109=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #585110=CARTESIAN_POINT('',(-0.89,-1.4,2.76)); #585111=CARTESIAN_POINT('',(-0.89,-1.36,2.8)); #585112=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #585113=CARTESIAN_POINT('',(-0.85,-1.36,2.76)); #585114=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #585115=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #585116=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #585117=CARTESIAN_POINT('',(-1.75,-1.36,0.04)); #585118=CARTESIAN_POINT('',(-1.71,-1.4,0.04)); #585119=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #585120=CARTESIAN_POINT('',(-1.71,-1.36,0.)); #585121=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #585122=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #585123=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #585124=CARTESIAN_POINT('',(-1.71,1.4,2.76)); #585125=CARTESIAN_POINT('',(-1.71,1.36,2.8)); #585126=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #585127=CARTESIAN_POINT('',(-1.75,1.36,2.76)); #585128=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #585129=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #585130=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #585131=CARTESIAN_POINT('',(-0.89,-1.4,0.04)); #585132=CARTESIAN_POINT('',(-0.85,-1.36,0.04)); #585133=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #585134=CARTESIAN_POINT('',(-0.89,-1.36,0.)); #585135=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #585136=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #585137=CARTESIAN_POINT('Origin',(-1.525,-1.36,0.04)); #585138=CARTESIAN_POINT('',(-1.525,-1.4,0.04)); #585139=CARTESIAN_POINT('',(-1.525,-1.36,0.)); #585140=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #585141=CARTESIAN_POINT('',(-0.85,1.36,2.76)); #585142=CARTESIAN_POINT('',(-0.89,1.36,2.8)); #585143=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #585144=CARTESIAN_POINT('',(-0.89,1.4,2.76)); #585145=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #585146=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #585147=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #585148=CARTESIAN_POINT('',(-1.71,1.36,0.)); #585149=CARTESIAN_POINT('',(-1.71,1.4,0.04)); #585150=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #585151=CARTESIAN_POINT('',(-1.75,1.36,0.04)); #585152=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #585153=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #585154=CARTESIAN_POINT('Origin',(-1.71,0.7,0.04)); #585155=CARTESIAN_POINT('',(-1.75,0.7,0.04)); #585156=CARTESIAN_POINT('',(-1.71,0.7,0.)); #585157=CARTESIAN_POINT('Origin',(-1.71,1.36,0.)); #585158=CARTESIAN_POINT('',(-1.71,1.4,0.)); #585159=CARTESIAN_POINT('',(-1.75,1.36,0.)); #585160=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #585161=CARTESIAN_POINT('',(-0.85,1.36,0.04)); #585162=CARTESIAN_POINT('',(-0.89,1.4,0.04)); #585163=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #585164=CARTESIAN_POINT('',(-0.89,1.36,0.)); #585165=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #585166=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #585167=CARTESIAN_POINT('Origin',(-0.89,-0.7,0.04)); #585168=CARTESIAN_POINT('',(-0.89,-0.7,0.)); #585169=CARTESIAN_POINT('',(-0.85,-0.7,0.04)); #585170=CARTESIAN_POINT('Origin',(-1.075,1.36,0.04)); #585171=CARTESIAN_POINT('',(-1.075,1.4,0.04)); #585172=CARTESIAN_POINT('',(-1.075,1.36,0.)); #585173=CARTESIAN_POINT('Origin',(-0.89,1.36,0.)); #585174=CARTESIAN_POINT('',(-0.85,1.36,0.)); #585175=CARTESIAN_POINT('',(-0.89,1.4,0.)); #585176=CARTESIAN_POINT('Origin',(-1.075,1.36,2.76)); #585177=CARTESIAN_POINT('',(-1.075,1.36,2.8)); #585178=CARTESIAN_POINT('',(-1.075,1.4,2.76)); #585179=CARTESIAN_POINT('Origin',(-0.89,-0.7,2.76)); #585180=CARTESIAN_POINT('',(-0.89,-0.7,2.8)); #585181=CARTESIAN_POINT('',(-0.85,-0.7,2.76)); #585182=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.)); #585183=CARTESIAN_POINT('',(-0.89,-1.4,0.)); #585184=CARTESIAN_POINT('',(-0.85,-1.36,0.)); #585185=CARTESIAN_POINT('Origin',(-1.71,0.7,2.76)); #585186=CARTESIAN_POINT('',(-1.71,0.7,2.8)); #585187=CARTESIAN_POINT('',(-1.75,0.7,2.76)); #585188=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.)); #585189=CARTESIAN_POINT('',(-1.75,-1.36,0.)); #585190=CARTESIAN_POINT('',(-1.71,-1.4,0.)); #585191=CARTESIAN_POINT('Origin',(-1.525,-1.36,2.76)); #585192=CARTESIAN_POINT('',(-1.525,-1.36,2.8)); #585193=CARTESIAN_POINT('',(-1.525,-1.4,2.76)); #585194=CARTESIAN_POINT('Origin',(-1.75,-1.4,0.)); #585195=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #585196=CARTESIAN_POINT('Origin',(-1.75,1.4,0.)); #585197=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #585198=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #585199=CARTESIAN_POINT('Origin',(-1.3,0.,2.8)); #585200=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #585201=CARTESIAN_POINT('',(0.85,-1.36,2.76)); #585202=CARTESIAN_POINT('',(0.89,-1.36,2.8)); #585203=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #585204=CARTESIAN_POINT('',(0.89,-1.4,2.76)); #585205=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #585206=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #585207=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #585208=CARTESIAN_POINT('',(1.71,-1.4,2.76)); #585209=CARTESIAN_POINT('',(1.71,-1.36,2.8)); #585210=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #585211=CARTESIAN_POINT('',(1.75,-1.36,2.76)); #585212=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #585213=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #585214=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #585215=CARTESIAN_POINT('',(0.85,-1.36,0.04)); #585216=CARTESIAN_POINT('',(0.89,-1.4,0.04)); #585217=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #585218=CARTESIAN_POINT('',(0.89,-1.36,0.)); #585219=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #585220=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #585221=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #585222=CARTESIAN_POINT('',(0.89,1.4,2.76)); #585223=CARTESIAN_POINT('',(0.89,1.36,2.8)); #585224=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #585225=CARTESIAN_POINT('',(0.85,1.36,2.76)); #585226=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #585227=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #585228=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #585229=CARTESIAN_POINT('',(1.71,-1.4,0.04)); #585230=CARTESIAN_POINT('',(1.75,-1.36,0.04)); #585231=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #585232=CARTESIAN_POINT('',(1.71,-1.36,0.)); #585233=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #585234=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #585235=CARTESIAN_POINT('Origin',(1.075,-1.36,0.04)); #585236=CARTESIAN_POINT('',(1.075,-1.4,0.04)); #585237=CARTESIAN_POINT('',(1.075,-1.36,0.)); #585238=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #585239=CARTESIAN_POINT('',(1.75,1.36,2.76)); #585240=CARTESIAN_POINT('',(1.71,1.36,2.8)); #585241=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #585242=CARTESIAN_POINT('',(1.71,1.4,2.76)); #585243=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #585244=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #585245=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #585246=CARTESIAN_POINT('',(0.89,1.36,0.)); #585247=CARTESIAN_POINT('',(0.89,1.4,0.04)); #585248=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #585249=CARTESIAN_POINT('',(0.85,1.36,0.04)); #585250=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #585251=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #585252=CARTESIAN_POINT('Origin',(0.89,0.7,0.04)); #585253=CARTESIAN_POINT('',(0.85,0.7,0.04)); #585254=CARTESIAN_POINT('',(0.89,0.7,0.)); #585255=CARTESIAN_POINT('Origin',(0.89,1.36,0.)); #585256=CARTESIAN_POINT('',(0.89,1.4,0.)); #585257=CARTESIAN_POINT('',(0.85,1.36,0.)); #585258=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #585259=CARTESIAN_POINT('',(1.75,1.36,0.04)); #585260=CARTESIAN_POINT('',(1.71,1.4,0.04)); #585261=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #585262=CARTESIAN_POINT('',(1.71,1.36,0.)); #585263=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #585264=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #585265=CARTESIAN_POINT('Origin',(1.71,-0.7,0.04)); #585266=CARTESIAN_POINT('',(1.75,-0.7,0.04)); #585267=CARTESIAN_POINT('',(1.71,-0.7,0.)); #585268=CARTESIAN_POINT('Origin',(1.525,1.36,0.04)); #585269=CARTESIAN_POINT('',(1.525,1.4,0.04)); #585270=CARTESIAN_POINT('',(1.525,1.36,0.)); #585271=CARTESIAN_POINT('Origin',(1.71,1.36,0.)); #585272=CARTESIAN_POINT('',(1.75,1.36,0.)); #585273=CARTESIAN_POINT('',(1.71,1.4,0.)); #585274=CARTESIAN_POINT('Origin',(1.525,1.36,2.76)); #585275=CARTESIAN_POINT('',(1.525,1.36,2.8)); #585276=CARTESIAN_POINT('',(1.525,1.4,2.76)); #585277=CARTESIAN_POINT('Origin',(1.71,-0.7,2.76)); #585278=CARTESIAN_POINT('',(1.71,-0.7,2.8)); #585279=CARTESIAN_POINT('',(1.75,-0.7,2.76)); #585280=CARTESIAN_POINT('Origin',(1.71,-1.36,0.)); #585281=CARTESIAN_POINT('',(1.71,-1.4,0.)); #585282=CARTESIAN_POINT('',(1.75,-1.36,0.)); #585283=CARTESIAN_POINT('Origin',(0.89,0.7,2.76)); #585284=CARTESIAN_POINT('',(0.89,0.7,2.8)); #585285=CARTESIAN_POINT('',(0.85,0.7,2.76)); #585286=CARTESIAN_POINT('Origin',(0.89,-1.36,0.)); #585287=CARTESIAN_POINT('',(0.85,-1.36,0.)); #585288=CARTESIAN_POINT('',(0.89,-1.4,0.)); #585289=CARTESIAN_POINT('Origin',(1.075,-1.36,2.76)); #585290=CARTESIAN_POINT('',(1.075,-1.36,2.8)); #585291=CARTESIAN_POINT('',(1.075,-1.4,2.76)); #585292=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #585293=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #585294=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #585295=CARTESIAN_POINT('Origin',(1.75,1.4,0.)); #585296=CARTESIAN_POINT('Origin',(1.75,-1.4,0.)); #585297=CARTESIAN_POINT('Origin',(1.3,0.,2.8)); #585298=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #585299=CARTESIAN_POINT('',(0.85,1.4,0.)); #585300=CARTESIAN_POINT('',(0.85,-1.4,0.)); #585301=CARTESIAN_POINT('',(0.85,1.4,0.)); #585302=CARTESIAN_POINT('',(0.85,1.4,2.8)); #585303=CARTESIAN_POINT('',(0.85,1.4,0.)); #585304=CARTESIAN_POINT('',(0.85,-1.4,2.8)); #585305=CARTESIAN_POINT('',(0.85,1.4,2.8)); #585306=CARTESIAN_POINT('',(0.85,-1.4,0.)); #585307=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #585308=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #585309=CARTESIAN_POINT('',(-1.75,-1.4,0.)); #585310=CARTESIAN_POINT('',(-0.85,-1.4,2.8)); #585311=CARTESIAN_POINT('',(-1.75,-1.4,2.8)); #585312=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #585313=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #585314=CARTESIAN_POINT('',(-0.85,1.4,0.)); #585315=CARTESIAN_POINT('',(-0.85,1.4,0.)); #585316=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #585317=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #585318=CARTESIAN_POINT('',(-0.85,1.4,0.)); #585319=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #585320=CARTESIAN_POINT('',(1.75,1.4,0.)); #585321=CARTESIAN_POINT('',(1.75,1.4,2.8)); #585322=CARTESIAN_POINT('Origin',(0.,0.,2.8)); #585323=CARTESIAN_POINT('Origin',(0.,0.,0.)); #585324=CARTESIAN_POINT('',(0.,0.,0.)); #585325=CARTESIAN_POINT('',(0.,0.,0.)); #585326=CARTESIAN_POINT('',(0.,0.,0.)); #585327=CARTESIAN_POINT('Origin',(0.,0.,9.)); #585328=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,9.)); #585329=CARTESIAN_POINT('Origin',(0.,0.,9.)); #585330=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,8.9)); #585331=CARTESIAN_POINT('',(-3.11666666666667,3.81681585734258E-16,9.)); #585332=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #585333=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #585334=CARTESIAN_POINT('Origin',(4.10833333333333,0.,0.)); #585335=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,0.)); #585336=CARTESIAN_POINT('Origin',(0.,0.,0.)); #585337=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #585338=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.141666666666667)); #585339=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #585340=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 0.141666666666667)); #585341=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #585342=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,1.20132735928728)); #585343=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #585344=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.671497012976974)); #585345=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #585346=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,1.33849551946546)); #585347=CARTESIAN_POINT('Origin',(0.,0.,1.33849551946546)); #585348=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 1.20132735928728)); #585349=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #585350=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,2.16150448053454)); #585351=CARTESIAN_POINT('Origin',(-4.25,-5.20474889637625E-16,1.75)); #585352=CARTESIAN_POINT('Origin',(0.,0.,2.16150448053454)); #585353=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #585354=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,2.29867264071272)); #585355=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #585356=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 2.29867264071272)); #585357=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #585358=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,8.85833333333333)); #585359=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #585360=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,5.57850298702303)); #585361=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #585362=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,9.)); #585363=CARTESIAN_POINT('Origin',(0.,0.,9.)); #585364=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 8.85833333333333)); #585365=CARTESIAN_POINT('Origin',(0.,0.,9.)); #585366=CARTESIAN_POINT('Origin',(3.99766855855882,-0.633168497250334,4.5)); #585367=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,1.21166150673268)); #585368=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,1.34866381789352)); #585369=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,1.21166150673268)); #585370=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,2.15133618210648)); #585371=CARTESIAN_POINT('Origin',(4.21742921434124,-0.667975165721786,1.75)); #585372=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,2.28833849326732)); #585373=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,2.28833849326732)); #585374=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #585375=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #585376=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,9.)); #585377=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,8.85833333333333)); #585378=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,9.)); #585379=CARTESIAN_POINT('',(0.,0.,9.)); #585380=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,8.85833333333333)); #585381=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,8.85833333333333)); #585382=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #585383=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #585384=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,2.16150448053454)); #585385=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,2.29867264071272)); #585386=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,1.33849551946546)); #585387=CARTESIAN_POINT('Origin',(4.19767544752934,-0.664846476420981,1.75)); #585388=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,1.20132735928728)); #585389=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,1.20132735928728)); #585390=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #585391=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #585392=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,0.)); #585393=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,0.141666666666666)); #585394=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,0.)); #585395=CARTESIAN_POINT('',(0.,0.,0.)); #585396=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,0.141666666666667)); #585397=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,0.141666666666667)); #585398=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #585399=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #585400=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,1.34866381789352)); #585401=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,1.00613961606655E-14, 1.34866381789352)); #585402=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,2.15133618210648)); #585403=CARTESIAN_POINT('Origin',(4.21742921434124,0.667975165721786,1.75)); #585404=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,-1.00613961606655E-14, 2.15133618210648)); #585405=CARTESIAN_POINT('Origin',(0.,0.,2.28833849326732)); #585406=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,2.28833849326732)); #585407=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,2.28833849326732)); #585408=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 2.28833849326724)); #585409=CARTESIAN_POINT('Origin',(0.,0.,5.57333591330033)); #585410=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #585411=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #585412=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 8.85833333333261)); #585413=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #585414=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,9.)); #585415=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,8.85833333333333)); #585416=CARTESIAN_POINT('Origin',(2.55351295663786E-14,2.4980018054066E-15, 8.99999999999928)); #585417=CARTESIAN_POINT('Origin',(4.10833333333333,0.,9.)); #585418=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,9.)); #585419=CARTESIAN_POINT('',(0.,0.,9.)); #585420=CARTESIAN_POINT('Origin',(-8.71525074330748E-14,1.31838984174237E-15, 8.99999999999928)); #585421=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #585422=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,8.85833333333333)); #585423=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,8.85833333333333)); #585424=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 8.85833333333261)); #585425=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #585426=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #585427=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #585428=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 2.29867264071263)); #585429=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #585430=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,2.16150448053454)); #585431=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,2.29867264071272)); #585432=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 2.16150448053445)); #585433=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #585434=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,1.33849551946546)); #585435=CARTESIAN_POINT('Origin',(4.19767544752934,0.664846476420981,1.75)); #585436=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 1.33849551946546)); #585437=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #585438=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,1.20132735928728)); #585439=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,1.20132735928728)); #585440=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 1.20132735928728)); #585441=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #585442=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #585443=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #585444=CARTESIAN_POINT('Origin',(0.,3.81639164714898E-15,0.141666666666753)); #585445=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666666)); #585446=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,0.)); #585447=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,0.141666666666666)); #585448=CARTESIAN_POINT('Origin',(-8.77076189453874E-14,1.31838984174237E-15, 8.976000657716E-14)); #585449=CARTESIAN_POINT('Origin',(4.12833333333333,0.,0.)); #585450=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,0.)); #585451=CARTESIAN_POINT('',(0.,0.,0.)); #585452=CARTESIAN_POINT('Origin',(2.77555756156289E-14,1.20736753927986E-14, 1.01014701868819E-13)); #585453=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #585454=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,0.141666666666667)); #585455=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,0.141666666666667)); #585456=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 0.141666666666768)); #585457=CARTESIAN_POINT('Origin',(0.,0.,0.676664086699671)); #585458=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,1.21166150673268)); #585459=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #585460=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 1.21166150673268)); #585461=CARTESIAN_POINT('Origin',(0.,0.,1.21166150673268)); #585462=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,1.21166150673268)); #585463=CARTESIAN_POINT('Origin',(3.99766855855882,0.633168497250334,4.5)); #585464=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #585465=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,-1.922)); #585466=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #585467=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,0.)); #585468=CARTESIAN_POINT('',(1.425,3.9801020972289E-17,0.)); #585469=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #585470=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #585471=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #585472=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #585473=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,-1.922)); #585474=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #585475=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,0.)); #585476=CARTESIAN_POINT('',(-1.425,3.9801020972289E-17,0.)); #585477=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #585478=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #585479=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #585480=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #585481=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #585482=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #585483=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #585484=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #585485=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #585486=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #585487=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #585488=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #585489=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #585490=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #585491=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #585492=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #585493=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #585494=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #585495=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #585496=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #585497=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #585498=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #585499=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #585500=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #585501=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #585502=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #585503=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #585504=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #585505=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #585506=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #585507=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #585508=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #585509=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #585510=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #585511=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #585512=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #585513=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #585514=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #585515=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #585516=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #585517=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #585518=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #585519=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #585520=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #585521=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #585522=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #585523=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #585524=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #585525=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #585526=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #585527=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #585528=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #585529=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #585530=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #585531=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #585532=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #585533=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #585534=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #585535=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #585536=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #585537=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #585538=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #585539=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #585540=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #585541=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #585542=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #585543=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #585544=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #585545=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #585546=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #585547=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #585548=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #585549=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #585550=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #585551=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #585552=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #585553=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #585554=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #585555=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #585556=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #585557=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #585558=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #585559=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #585560=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #585561=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #585562=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #585563=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #585564=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #585565=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #585566=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #585567=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #585568=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #585569=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #585570=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #585571=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #585572=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #585573=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #585574=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #585575=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #585576=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #585577=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #585578=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #585579=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #585580=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #585581=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #585582=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #585583=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #585584=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #585585=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #585586=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #585587=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #585588=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #585589=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #585590=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #585591=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #585592=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #585593=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #585594=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #585595=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #585596=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #585597=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #585598=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #585599=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #585600=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #585601=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #585602=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #585603=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #585604=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #585605=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #585606=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #585607=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #585608=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #585609=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #585610=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #585611=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #585612=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #585613=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #585614=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #585615=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #585616=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #585617=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #585618=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #585619=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #585620=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #585621=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #585622=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #585623=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #585624=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #585625=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #585626=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #585627=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #585628=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #585629=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #585630=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #585631=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #585632=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #585633=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #585634=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #585635=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #585636=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #585637=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #585638=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #585639=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #585640=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #585641=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #585642=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #585643=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #585644=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #585645=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #585646=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #585647=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #585648=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #585649=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #585650=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #585651=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #585652=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #585653=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #585654=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #585655=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #585656=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #585657=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #585658=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #585659=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #585660=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #585661=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #585662=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #585663=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #585664=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #585665=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #585666=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #585667=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #585668=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #585669=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #585670=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #585671=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #585672=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #585673=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #585674=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #585675=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #585676=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #585677=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #585678=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #585679=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #585680=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #585681=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #585682=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #585683=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #585684=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #585685=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #585686=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #585687=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #585688=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #585689=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #585690=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #585691=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #585692=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #585693=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #585694=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #585695=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #585696=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #585697=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #585698=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #585699=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #585700=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #585701=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #585702=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #585703=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #585704=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #585705=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #585706=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #585707=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #585708=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #585709=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #585710=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #585711=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #585712=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #585713=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #585714=CARTESIAN_POINT('',(0.,0.,0.)); #585715=CARTESIAN_POINT('',(0.,0.,0.)); #585716=CARTESIAN_POINT('',(0.,0.,0.)); #585717=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #585718=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #585719=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #585720=CARTESIAN_POINT('',(-1.375,1.3,0.675)); #585721=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #585722=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #585723=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #585724=CARTESIAN_POINT('Origin',(1.5625,0.8,0.7125)); #585725=CARTESIAN_POINT('',(1.525,1.525,0.75)); #585726=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #585727=CARTESIAN_POINT('',(1.525,0.8,0.75)); #585728=CARTESIAN_POINT('',(1.6,-1.6,0.675)); #585729=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #585730=CARTESIAN_POINT('',(1.6,1.6,0.675)); #585731=CARTESIAN_POINT('',(1.6,0.8,0.675)); #585732=CARTESIAN_POINT('',(1.6,1.6,0.675)); #585733=CARTESIAN_POINT('Origin',(0.8,-1.5625,0.7125)); #585734=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #585735=CARTESIAN_POINT('',(0.8,-1.6,0.675)); #585736=CARTESIAN_POINT('',(-1.525,-1.525,0.75)); #585737=CARTESIAN_POINT('',(0.8,-1.525,0.75)); #585738=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #585739=CARTESIAN_POINT('Origin',(-0.8,1.5625,0.7125)); #585740=CARTESIAN_POINT('',(-1.525,1.525,0.75)); #585741=CARTESIAN_POINT('',(-0.8,1.525,0.75)); #585742=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #585743=CARTESIAN_POINT('',(-0.8,1.6,0.675)); #585744=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #585745=CARTESIAN_POINT('Origin',(-1.5625,-0.8,0.7125)); #585746=CARTESIAN_POINT('',(-1.525,-0.8,0.75)); #585747=CARTESIAN_POINT('',(-1.6,-0.8,0.675)); #585748=CARTESIAN_POINT('Origin',(-1.6,1.6,0.75)); #585749=CARTESIAN_POINT('',(1.6,1.6,0.05)); #585750=CARTESIAN_POINT('',(1.6,1.6,0.75)); #585751=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #585752=CARTESIAN_POINT('',(1.6,1.6,0.05)); #585753=CARTESIAN_POINT('',(-1.6,1.6,0.75)); #585754=CARTESIAN_POINT('Origin',(1.6,1.6,0.75)); #585755=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #585756=CARTESIAN_POINT('',(1.6,-1.6,0.75)); #585757=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #585758=CARTESIAN_POINT('Origin',(0.,0.,0.75)); #585759=CARTESIAN_POINT('Origin',(-1.6,-1.6,0.75)); #585760=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #585761=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #585762=CARTESIAN_POINT('',(-1.6,-1.6,0.75)); #585763=CARTESIAN_POINT('Origin',(1.6,-1.6,0.75)); #585764=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #585765=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #585766=CARTESIAN_POINT('Origin',(1.601,1.15,0.)); #585767=CARTESIAN_POINT('',(1.601,1.15,0.)); #585768=CARTESIAN_POINT('',(1.6,1.15,0.)); #585769=CARTESIAN_POINT('',(1.601,1.15,0.)); #585770=CARTESIAN_POINT('',(1.6,1.15,0.14)); #585771=CARTESIAN_POINT('',(1.6,1.15,0.)); #585772=CARTESIAN_POINT('',(1.601,1.15,0.14)); #585773=CARTESIAN_POINT('',(1.601,1.15,0.14)); #585774=CARTESIAN_POINT('',(1.601,1.15,0.)); #585775=CARTESIAN_POINT('Origin',(1.601,0.8,0.)); #585776=CARTESIAN_POINT('',(1.601,0.8,0.)); #585777=CARTESIAN_POINT('',(1.601,0.8,0.)); #585778=CARTESIAN_POINT('',(1.601,0.8,0.14)); #585779=CARTESIAN_POINT('',(1.601,0.8,0.14)); #585780=CARTESIAN_POINT('',(1.601,0.8,0.)); #585781=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #585782=CARTESIAN_POINT('',(1.6,0.8,0.)); #585783=CARTESIAN_POINT('',(1.101,0.8,0.)); #585784=CARTESIAN_POINT('',(1.6,0.8,0.14)); #585785=CARTESIAN_POINT('',(1.101,0.8,0.14)); #585786=CARTESIAN_POINT('',(1.6,0.8,0.)); #585787=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #585788=CARTESIAN_POINT('',(1.6,1.15,0.)); #585789=CARTESIAN_POINT('',(1.6,1.15,0.14)); #585790=CARTESIAN_POINT('Origin',(1.6005,0.975,0.14)); #585791=CARTESIAN_POINT('Origin',(1.6005,0.975,0.)); #585792=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #585793=CARTESIAN_POINT('',(1.6,1.15,0.)); #585794=CARTESIAN_POINT('',(1.6,0.8,0.)); #585795=CARTESIAN_POINT('',(1.6,1.15,0.)); #585796=CARTESIAN_POINT('',(1.6,1.15,0.05)); #585797=CARTESIAN_POINT('',(1.6,1.15,0.)); #585798=CARTESIAN_POINT('',(1.6,0.8,0.05)); #585799=CARTESIAN_POINT('',(1.6,1.15,0.05)); #585800=CARTESIAN_POINT('',(1.6,0.8,0.)); #585801=CARTESIAN_POINT('Origin',(1.275,0.8,0.)); #585802=CARTESIAN_POINT('',(1.275,0.8,0.)); #585803=CARTESIAN_POINT('',(1.101,0.8,0.)); #585804=CARTESIAN_POINT('',(1.275,0.8,0.05)); #585805=CARTESIAN_POINT('',(1.101,0.8,0.05)); #585806=CARTESIAN_POINT('',(1.275,0.8,0.)); #585807=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #585808=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #585809=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #585810=CARTESIAN_POINT('',(1.101,0.956318458307731,0.05)); #585811=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #585812=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #585813=CARTESIAN_POINT('Origin',(1.101,0.993681541692269,0.)); #585814=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #585815=CARTESIAN_POINT('',(1.101,1.15,0.)); #585816=CARTESIAN_POINT('',(1.101,0.993681541692269,0.05)); #585817=CARTESIAN_POINT('',(1.101,1.15,0.05)); #585818=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #585819=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #585820=CARTESIAN_POINT('',(1.275,1.15,0.)); #585821=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #585822=CARTESIAN_POINT('',(1.275,1.15,0.05)); #585823=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #585824=CARTESIAN_POINT('',(1.275,1.15,0.)); #585825=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #585826=CARTESIAN_POINT('',(1.601,1.15,0.)); #585827=CARTESIAN_POINT('',(1.601,1.15,0.05)); #585828=CARTESIAN_POINT('Origin',(1.3505,0.975,0.05)); #585829=CARTESIAN_POINT('Origin',(1.3505,0.975,0.)); #585830=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #585831=CARTESIAN_POINT('',(-1.6,1.15,0.)); #585832=CARTESIAN_POINT('',(-1.6,0.8,0.)); #585833=CARTESIAN_POINT('',(-1.6,1.15,0.)); #585834=CARTESIAN_POINT('',(-1.6,0.8,0.05)); #585835=CARTESIAN_POINT('',(-1.6,0.8,0.)); #585836=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #585837=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #585838=CARTESIAN_POINT('',(-1.6,1.15,0.)); #585839=CARTESIAN_POINT('Origin',(-1.275,0.8,0.)); #585840=CARTESIAN_POINT('',(-1.275,0.8,0.)); #585841=CARTESIAN_POINT('',(-1.101,0.8,0.)); #585842=CARTESIAN_POINT('',(-1.275,0.8,0.05)); #585843=CARTESIAN_POINT('',(-1.275,0.8,0.)); #585844=CARTESIAN_POINT('',(-1.101,0.8,0.05)); #585845=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #585846=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #585847=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #585848=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.05)); #585849=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #585850=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #585851=CARTESIAN_POINT('Origin',(-1.101,0.993681541692269,0.)); #585852=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #585853=CARTESIAN_POINT('',(-1.101,1.15,0.)); #585854=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.05)); #585855=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #585856=CARTESIAN_POINT('',(-1.101,1.15,0.05)); #585857=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #585858=CARTESIAN_POINT('',(-1.275,1.15,0.)); #585859=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #585860=CARTESIAN_POINT('',(-1.275,1.15,0.05)); #585861=CARTESIAN_POINT('',(-1.275,1.15,0.)); #585862=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #585863=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #585864=CARTESIAN_POINT('',(-1.601,1.15,0.)); #585865=CARTESIAN_POINT('',(-1.601,1.15,0.05)); #585866=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.05)); #585867=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.)); #585868=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #585869=CARTESIAN_POINT('',(1.6,0.5,0.)); #585870=CARTESIAN_POINT('',(1.6,0.15,0.)); #585871=CARTESIAN_POINT('',(1.6,0.5,0.)); #585872=CARTESIAN_POINT('',(1.6,0.5,0.05)); #585873=CARTESIAN_POINT('',(1.6,0.5,0.)); #585874=CARTESIAN_POINT('',(1.6,0.15,0.05)); #585875=CARTESIAN_POINT('',(1.6,0.5,0.05)); #585876=CARTESIAN_POINT('',(1.6,0.15,0.)); #585877=CARTESIAN_POINT('Origin',(1.275,0.15,0.)); #585878=CARTESIAN_POINT('',(1.275,0.15,0.)); #585879=CARTESIAN_POINT('',(1.101,0.15,0.)); #585880=CARTESIAN_POINT('',(1.275,0.15,0.05)); #585881=CARTESIAN_POINT('',(1.101,0.15,0.05)); #585882=CARTESIAN_POINT('',(1.275,0.15,0.)); #585883=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #585884=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #585885=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #585886=CARTESIAN_POINT('',(1.101,0.306318458307731,0.05)); #585887=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #585888=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #585889=CARTESIAN_POINT('Origin',(1.101,0.343681541692269,0.)); #585890=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #585891=CARTESIAN_POINT('',(1.101,0.5,0.)); #585892=CARTESIAN_POINT('',(1.101,0.343681541692269,0.05)); #585893=CARTESIAN_POINT('',(1.101,0.5,0.05)); #585894=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #585895=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #585896=CARTESIAN_POINT('',(1.275,0.5,0.)); #585897=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #585898=CARTESIAN_POINT('',(1.275,0.5,0.05)); #585899=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #585900=CARTESIAN_POINT('',(1.275,0.5,0.)); #585901=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #585902=CARTESIAN_POINT('',(1.601,0.5,0.)); #585903=CARTESIAN_POINT('',(1.601,0.5,0.05)); #585904=CARTESIAN_POINT('Origin',(1.3505,0.325,0.05)); #585905=CARTESIAN_POINT('Origin',(1.3505,0.325,0.)); #585906=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #585907=CARTESIAN_POINT('',(1.6,-0.15,0.)); #585908=CARTESIAN_POINT('',(1.6,-0.5,0.)); #585909=CARTESIAN_POINT('',(1.6,-0.15,0.)); #585910=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #585911=CARTESIAN_POINT('',(1.6,-0.15,0.)); #585912=CARTESIAN_POINT('',(1.6,-0.5,0.05)); #585913=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #585914=CARTESIAN_POINT('',(1.6,-0.5,0.)); #585915=CARTESIAN_POINT('Origin',(1.275,-0.5,0.)); #585916=CARTESIAN_POINT('',(1.275,-0.5,0.)); #585917=CARTESIAN_POINT('',(1.101,-0.5,0.)); #585918=CARTESIAN_POINT('',(1.275,-0.5,0.05)); #585919=CARTESIAN_POINT('',(1.101,-0.5,0.05)); #585920=CARTESIAN_POINT('',(1.275,-0.5,0.)); #585921=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #585922=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #585923=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #585924=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.05)); #585925=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #585926=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #585927=CARTESIAN_POINT('Origin',(1.101,-0.306318458307731,0.)); #585928=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #585929=CARTESIAN_POINT('',(1.101,-0.15,0.)); #585930=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.05)); #585931=CARTESIAN_POINT('',(1.101,-0.15,0.05)); #585932=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #585933=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #585934=CARTESIAN_POINT('',(1.275,-0.15,0.)); #585935=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #585936=CARTESIAN_POINT('',(1.275,-0.15,0.05)); #585937=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #585938=CARTESIAN_POINT('',(1.275,-0.15,0.)); #585939=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #585940=CARTESIAN_POINT('',(1.601,-0.15,0.)); #585941=CARTESIAN_POINT('',(1.601,-0.15,0.05)); #585942=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.05)); #585943=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.)); #585944=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #585945=CARTESIAN_POINT('',(1.6,-0.8,0.)); #585946=CARTESIAN_POINT('',(1.6,-1.15,0.)); #585947=CARTESIAN_POINT('',(1.6,-0.8,0.)); #585948=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #585949=CARTESIAN_POINT('',(1.6,-0.8,0.)); #585950=CARTESIAN_POINT('',(1.6,-1.15,0.05)); #585951=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #585952=CARTESIAN_POINT('',(1.6,-1.15,0.)); #585953=CARTESIAN_POINT('Origin',(1.275,-1.15,0.)); #585954=CARTESIAN_POINT('',(1.275,-1.15,0.)); #585955=CARTESIAN_POINT('',(1.101,-1.15,0.)); #585956=CARTESIAN_POINT('',(1.275,-1.15,0.05)); #585957=CARTESIAN_POINT('',(1.101,-1.15,0.05)); #585958=CARTESIAN_POINT('',(1.275,-1.15,0.)); #585959=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #585960=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #585961=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #585962=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.05)); #585963=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #585964=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #585965=CARTESIAN_POINT('Origin',(1.101,-0.956318458307731,0.)); #585966=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #585967=CARTESIAN_POINT('',(1.101,-0.8,0.)); #585968=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.05)); #585969=CARTESIAN_POINT('',(1.101,-0.8,0.05)); #585970=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #585971=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #585972=CARTESIAN_POINT('',(1.275,-0.8,0.)); #585973=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #585974=CARTESIAN_POINT('',(1.275,-0.8,0.05)); #585975=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #585976=CARTESIAN_POINT('',(1.275,-0.8,0.)); #585977=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #585978=CARTESIAN_POINT('',(1.601,-0.8,0.)); #585979=CARTESIAN_POINT('',(1.601,-0.8,0.05)); #585980=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.05)); #585981=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.)); #585982=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #585983=CARTESIAN_POINT('',(-1.6,0.5,0.)); #585984=CARTESIAN_POINT('',(-1.6,0.15,0.)); #585985=CARTESIAN_POINT('',(-1.6,0.5,0.)); #585986=CARTESIAN_POINT('',(-1.6,0.15,0.05)); #585987=CARTESIAN_POINT('',(-1.6,0.15,0.)); #585988=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #585989=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #585990=CARTESIAN_POINT('',(-1.6,0.5,0.)); #585991=CARTESIAN_POINT('Origin',(-1.275,0.15,0.)); #585992=CARTESIAN_POINT('',(-1.275,0.15,0.)); #585993=CARTESIAN_POINT('',(-1.101,0.15,0.)); #585994=CARTESIAN_POINT('',(-1.275,0.15,0.05)); #585995=CARTESIAN_POINT('',(-1.275,0.15,0.)); #585996=CARTESIAN_POINT('',(-1.101,0.15,0.05)); #585997=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #585998=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #585999=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #586000=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.05)); #586001=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #586002=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #586003=CARTESIAN_POINT('Origin',(-1.101,0.343681541692269,0.)); #586004=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #586005=CARTESIAN_POINT('',(-1.101,0.5,0.)); #586006=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.05)); #586007=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #586008=CARTESIAN_POINT('',(-1.101,0.5,0.05)); #586009=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #586010=CARTESIAN_POINT('',(-1.275,0.5,0.)); #586011=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #586012=CARTESIAN_POINT('',(-1.275,0.5,0.05)); #586013=CARTESIAN_POINT('',(-1.275,0.5,0.)); #586014=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #586015=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #586016=CARTESIAN_POINT('',(-1.601,0.5,0.)); #586017=CARTESIAN_POINT('',(-1.601,0.5,0.05)); #586018=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.05)); #586019=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.)); #586020=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #586021=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #586022=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #586023=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #586024=CARTESIAN_POINT('',(-1.6,-0.5,0.05)); #586025=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #586026=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #586027=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #586028=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #586029=CARTESIAN_POINT('Origin',(-1.275,-0.5,0.)); #586030=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #586031=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #586032=CARTESIAN_POINT('',(-1.275,-0.5,0.05)); #586033=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #586034=CARTESIAN_POINT('',(-1.101,-0.5,0.05)); #586035=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #586036=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #586037=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #586038=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.05)); #586039=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #586040=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #586041=CARTESIAN_POINT('Origin',(-1.101,-0.306318458307731,0.)); #586042=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #586043=CARTESIAN_POINT('',(-1.101,-0.15,0.)); #586044=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.05)); #586045=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #586046=CARTESIAN_POINT('',(-1.101,-0.15,0.05)); #586047=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #586048=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #586049=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #586050=CARTESIAN_POINT('',(-1.275,-0.15,0.05)); #586051=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #586052=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #586053=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #586054=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #586055=CARTESIAN_POINT('',(-1.601,-0.15,0.05)); #586056=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.05)); #586057=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.)); #586058=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #586059=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #586060=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #586061=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #586062=CARTESIAN_POINT('',(-1.6,-1.15,0.05)); #586063=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #586064=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #586065=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #586066=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #586067=CARTESIAN_POINT('Origin',(-1.275,-1.15,0.)); #586068=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #586069=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #586070=CARTESIAN_POINT('',(-1.275,-1.15,0.05)); #586071=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #586072=CARTESIAN_POINT('',(-1.101,-1.15,0.05)); #586073=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #586074=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #586075=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #586076=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.05)); #586077=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #586078=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #586079=CARTESIAN_POINT('Origin',(-1.101,-0.956318458307731,0.)); #586080=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #586081=CARTESIAN_POINT('',(-1.101,-0.8,0.)); #586082=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.05)); #586083=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #586084=CARTESIAN_POINT('',(-1.101,-0.8,0.05)); #586085=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #586086=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #586087=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #586088=CARTESIAN_POINT('',(-1.275,-0.8,0.05)); #586089=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #586090=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #586091=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #586092=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #586093=CARTESIAN_POINT('',(-1.601,-0.8,0.05)); #586094=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.05)); #586095=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.)); #586096=CARTESIAN_POINT('Origin',(-1.601,1.15,0.)); #586097=CARTESIAN_POINT('',(-1.601,1.15,0.)); #586098=CARTESIAN_POINT('',(-1.6,1.15,0.)); #586099=CARTESIAN_POINT('',(-1.601,1.15,0.)); #586100=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #586101=CARTESIAN_POINT('',(-1.601,1.15,0.)); #586102=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #586103=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #586104=CARTESIAN_POINT('',(-1.6,1.15,0.)); #586105=CARTESIAN_POINT('Origin',(-1.601,0.8,0.)); #586106=CARTESIAN_POINT('',(-1.601,0.8,0.)); #586107=CARTESIAN_POINT('',(-1.601,0.8,0.)); #586108=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #586109=CARTESIAN_POINT('',(-1.601,0.8,0.)); #586110=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #586111=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #586112=CARTESIAN_POINT('',(-1.6,0.8,0.)); #586113=CARTESIAN_POINT('',(-1.101,0.8,0.)); #586114=CARTESIAN_POINT('',(-1.6,0.8,0.14)); #586115=CARTESIAN_POINT('',(-1.6,0.8,0.)); #586116=CARTESIAN_POINT('',(-1.101,0.8,0.14)); #586117=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #586118=CARTESIAN_POINT('',(-1.6,1.15,0.)); #586119=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #586120=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.14)); #586121=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.)); #586122=CARTESIAN_POINT('Origin',(1.601,0.5,0.)); #586123=CARTESIAN_POINT('',(1.601,0.5,0.)); #586124=CARTESIAN_POINT('',(1.6,0.5,0.)); #586125=CARTESIAN_POINT('',(1.601,0.5,0.)); #586126=CARTESIAN_POINT('',(1.6,0.5,0.14)); #586127=CARTESIAN_POINT('',(1.6,0.5,0.)); #586128=CARTESIAN_POINT('',(1.601,0.5,0.14)); #586129=CARTESIAN_POINT('',(1.601,0.5,0.14)); #586130=CARTESIAN_POINT('',(1.601,0.5,0.)); #586131=CARTESIAN_POINT('Origin',(1.601,0.15,0.)); #586132=CARTESIAN_POINT('',(1.601,0.15,0.)); #586133=CARTESIAN_POINT('',(1.601,0.15,0.)); #586134=CARTESIAN_POINT('',(1.601,0.15,0.14)); #586135=CARTESIAN_POINT('',(1.601,0.15,0.14)); #586136=CARTESIAN_POINT('',(1.601,0.15,0.)); #586137=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #586138=CARTESIAN_POINT('',(1.6,0.15,0.)); #586139=CARTESIAN_POINT('',(1.101,0.15,0.)); #586140=CARTESIAN_POINT('',(1.6,0.15,0.14)); #586141=CARTESIAN_POINT('',(1.101,0.15,0.14)); #586142=CARTESIAN_POINT('',(1.6,0.15,0.)); #586143=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #586144=CARTESIAN_POINT('',(1.6,0.5,0.)); #586145=CARTESIAN_POINT('',(1.6,0.5,0.14)); #586146=CARTESIAN_POINT('Origin',(1.6005,0.325,0.14)); #586147=CARTESIAN_POINT('Origin',(1.6005,0.325,0.)); #586148=CARTESIAN_POINT('Origin',(1.601,-0.15,0.)); #586149=CARTESIAN_POINT('',(1.601,-0.15,0.)); #586150=CARTESIAN_POINT('',(1.6,-0.15,0.)); #586151=CARTESIAN_POINT('',(1.601,-0.15,0.)); #586152=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #586153=CARTESIAN_POINT('',(1.6,-0.15,0.)); #586154=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #586155=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #586156=CARTESIAN_POINT('',(1.601,-0.15,0.)); #586157=CARTESIAN_POINT('Origin',(1.601,-0.5,0.)); #586158=CARTESIAN_POINT('',(1.601,-0.5,0.)); #586159=CARTESIAN_POINT('',(1.601,-0.5,0.)); #586160=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #586161=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #586162=CARTESIAN_POINT('',(1.601,-0.5,0.)); #586163=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #586164=CARTESIAN_POINT('',(1.6,-0.5,0.)); #586165=CARTESIAN_POINT('',(1.101,-0.5,0.)); #586166=CARTESIAN_POINT('',(1.6,-0.5,0.14)); #586167=CARTESIAN_POINT('',(1.101,-0.5,0.14)); #586168=CARTESIAN_POINT('',(1.6,-0.5,0.)); #586169=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #586170=CARTESIAN_POINT('',(1.6,-0.15,0.)); #586171=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #586172=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.14)); #586173=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.)); #586174=CARTESIAN_POINT('Origin',(1.601,-0.8,0.)); #586175=CARTESIAN_POINT('',(1.601,-0.8,0.)); #586176=CARTESIAN_POINT('',(1.6,-0.8,0.)); #586177=CARTESIAN_POINT('',(1.601,-0.8,0.)); #586178=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #586179=CARTESIAN_POINT('',(1.6,-0.8,0.)); #586180=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #586181=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #586182=CARTESIAN_POINT('',(1.601,-0.8,0.)); #586183=CARTESIAN_POINT('Origin',(1.601,-1.15,0.)); #586184=CARTESIAN_POINT('',(1.601,-1.15,0.)); #586185=CARTESIAN_POINT('',(1.601,-1.15,0.)); #586186=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #586187=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #586188=CARTESIAN_POINT('',(1.601,-1.15,0.)); #586189=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #586190=CARTESIAN_POINT('',(1.6,-1.15,0.)); #586191=CARTESIAN_POINT('',(1.101,-1.15,0.)); #586192=CARTESIAN_POINT('',(1.6,-1.15,0.14)); #586193=CARTESIAN_POINT('',(1.101,-1.15,0.14)); #586194=CARTESIAN_POINT('',(1.6,-1.15,0.)); #586195=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #586196=CARTESIAN_POINT('',(1.6,-0.8,0.)); #586197=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #586198=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.14)); #586199=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.)); #586200=CARTESIAN_POINT('Origin',(-1.601,0.5,0.)); #586201=CARTESIAN_POINT('',(-1.601,0.5,0.)); #586202=CARTESIAN_POINT('',(-1.6,0.5,0.)); #586203=CARTESIAN_POINT('',(-1.601,0.5,0.)); #586204=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #586205=CARTESIAN_POINT('',(-1.601,0.5,0.)); #586206=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #586207=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #586208=CARTESIAN_POINT('',(-1.6,0.5,0.)); #586209=CARTESIAN_POINT('Origin',(-1.601,0.15,0.)); #586210=CARTESIAN_POINT('',(-1.601,0.15,0.)); #586211=CARTESIAN_POINT('',(-1.601,0.15,0.)); #586212=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #586213=CARTESIAN_POINT('',(-1.601,0.15,0.)); #586214=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #586215=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #586216=CARTESIAN_POINT('',(-1.6,0.15,0.)); #586217=CARTESIAN_POINT('',(-1.101,0.15,0.)); #586218=CARTESIAN_POINT('',(-1.6,0.15,0.14)); #586219=CARTESIAN_POINT('',(-1.6,0.15,0.)); #586220=CARTESIAN_POINT('',(-1.101,0.15,0.14)); #586221=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #586222=CARTESIAN_POINT('',(-1.6,0.5,0.)); #586223=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #586224=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.14)); #586225=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.)); #586226=CARTESIAN_POINT('Origin',(-1.601,-0.15,0.)); #586227=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #586228=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #586229=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #586230=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #586231=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #586232=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #586233=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #586234=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #586235=CARTESIAN_POINT('Origin',(-1.601,-0.5,0.)); #586236=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #586237=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #586238=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #586239=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #586240=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #586241=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #586242=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #586243=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #586244=CARTESIAN_POINT('',(-1.6,-0.5,0.14)); #586245=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #586246=CARTESIAN_POINT('',(-1.101,-0.5,0.14)); #586247=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #586248=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #586249=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #586250=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.14)); #586251=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.)); #586252=CARTESIAN_POINT('Origin',(-1.601,-0.8,0.)); #586253=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #586254=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #586255=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #586256=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #586257=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #586258=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #586259=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #586260=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #586261=CARTESIAN_POINT('Origin',(-1.601,-1.15,0.)); #586262=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #586263=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #586264=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #586265=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #586266=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #586267=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #586268=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #586269=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #586270=CARTESIAN_POINT('',(-1.6,-1.15,0.14)); #586271=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #586272=CARTESIAN_POINT('',(-1.101,-1.15,0.14)); #586273=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #586274=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #586275=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #586276=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.14)); #586277=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.)); #586278=CARTESIAN_POINT('Origin',(-0.625,-1.175,0.)); #586279=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #586280=CARTESIAN_POINT('',(0.625,-1.175,0.)); #586281=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #586282=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #586283=CARTESIAN_POINT('',(0.625,-1.175,0.)); #586284=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #586285=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #586286=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #586287=CARTESIAN_POINT('Origin',(-0.625,1.175,0.)); #586288=CARTESIAN_POINT('',(-0.625,1.175,0.)); #586289=CARTESIAN_POINT('',(-0.625,1.175,0.)); #586290=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #586291=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #586292=CARTESIAN_POINT('',(-0.625,1.175,0.)); #586293=CARTESIAN_POINT('Origin',(0.625,1.175,0.)); #586294=CARTESIAN_POINT('',(0.625,1.175,0.)); #586295=CARTESIAN_POINT('',(0.625,1.175,0.)); #586296=CARTESIAN_POINT('',(0.625,1.175,0.05)); #586297=CARTESIAN_POINT('',(0.625,1.175,0.05)); #586298=CARTESIAN_POINT('',(0.625,1.175,0.)); #586299=CARTESIAN_POINT('Origin',(0.625,-1.175,0.)); #586300=CARTESIAN_POINT('',(0.625,-1.175,0.)); #586301=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #586302=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.05)); #586303=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.)); #586304=CARTESIAN_POINT('',(0.,0.,0.)); #586305=CARTESIAN_POINT('',(0.,0.,0.)); #586306=CARTESIAN_POINT('',(0.,0.,0.)); #586307=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #586308=CARTESIAN_POINT('',(-2.775,2.2,1.)); #586309=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #586310=CARTESIAN_POINT('',(-2.775,2.2,0.9)); #586311=CARTESIAN_POINT('',(-2.775,2.2,1.)); #586312=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #586313=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #586314=CARTESIAN_POINT('Origin',(3.,1.275,0.95)); #586315=CARTESIAN_POINT('',(2.95,2.45,1.)); #586316=CARTESIAN_POINT('',(2.95,-2.45,1.)); #586317=CARTESIAN_POINT('',(2.95,1.275,1.)); #586318=CARTESIAN_POINT('',(3.05,-2.55,0.9)); #586319=CARTESIAN_POINT('',(2.95,-2.45,1.)); #586320=CARTESIAN_POINT('',(3.05,2.55,0.9)); #586321=CARTESIAN_POINT('',(3.05,1.275,0.9)); #586322=CARTESIAN_POINT('',(3.05,2.55,0.9)); #586323=CARTESIAN_POINT('Origin',(1.525,-2.5,0.95)); #586324=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #586325=CARTESIAN_POINT('',(1.525,-2.55,0.9)); #586326=CARTESIAN_POINT('',(-2.95,-2.45,1.)); #586327=CARTESIAN_POINT('',(1.525,-2.45,1.)); #586328=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #586329=CARTESIAN_POINT('Origin',(-1.525,2.5,0.95)); #586330=CARTESIAN_POINT('',(-2.95,2.45,1.)); #586331=CARTESIAN_POINT('',(-1.525,2.45,1.)); #586332=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #586333=CARTESIAN_POINT('',(-1.525,2.55,0.9)); #586334=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #586335=CARTESIAN_POINT('Origin',(-3.,-1.275,0.95)); #586336=CARTESIAN_POINT('',(-2.95,-1.275,1.)); #586337=CARTESIAN_POINT('',(-3.05,-1.275,0.9)); #586338=CARTESIAN_POINT('Origin',(-3.05,2.55,1.)); #586339=CARTESIAN_POINT('',(3.05,2.55,0.05)); #586340=CARTESIAN_POINT('',(3.05,2.55,1.)); #586341=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #586342=CARTESIAN_POINT('',(3.05,2.55,0.05)); #586343=CARTESIAN_POINT('',(-3.05,2.55,1.)); #586344=CARTESIAN_POINT('Origin',(3.05,2.55,1.)); #586345=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #586346=CARTESIAN_POINT('',(3.05,-2.55,1.)); #586347=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #586348=CARTESIAN_POINT('Origin',(0.,0.,1.)); #586349=CARTESIAN_POINT('Origin',(-3.05,-2.55,1.)); #586350=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #586351=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #586352=CARTESIAN_POINT('',(-3.05,-2.55,1.)); #586353=CARTESIAN_POINT('Origin',(3.05,-2.55,1.)); #586354=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #586355=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #586356=CARTESIAN_POINT('Origin',(3.051,2.155,0.)); #586357=CARTESIAN_POINT('',(3.051,2.155,0.)); #586358=CARTESIAN_POINT('',(3.05,2.155,0.)); #586359=CARTESIAN_POINT('',(3.051,2.155,0.)); #586360=CARTESIAN_POINT('',(3.05,2.155,0.19)); #586361=CARTESIAN_POINT('',(3.05,2.155,0.)); #586362=CARTESIAN_POINT('',(3.051,2.155,0.19)); #586363=CARTESIAN_POINT('',(3.051,2.155,0.19)); #586364=CARTESIAN_POINT('',(3.051,2.155,0.)); #586365=CARTESIAN_POINT('Origin',(3.051,1.655,0.)); #586366=CARTESIAN_POINT('',(3.051,1.655,0.)); #586367=CARTESIAN_POINT('',(3.051,1.655,0.)); #586368=CARTESIAN_POINT('',(3.051,1.655,0.19)); #586369=CARTESIAN_POINT('',(3.051,1.655,0.19)); #586370=CARTESIAN_POINT('',(3.051,1.655,0.)); #586371=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #586372=CARTESIAN_POINT('',(3.05,1.655,0.)); #586373=CARTESIAN_POINT('',(2.151,1.655,0.)); #586374=CARTESIAN_POINT('',(3.05,1.655,0.19)); #586375=CARTESIAN_POINT('',(2.151,1.655,0.19)); #586376=CARTESIAN_POINT('',(3.05,1.655,0.)); #586377=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #586378=CARTESIAN_POINT('',(3.05,2.155,0.)); #586379=CARTESIAN_POINT('',(3.05,2.155,0.19)); #586380=CARTESIAN_POINT('Origin',(3.0505,1.905,0.19)); #586381=CARTESIAN_POINT('Origin',(3.0505,1.905,0.)); #586382=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #586383=CARTESIAN_POINT('',(3.05,2.155,0.)); #586384=CARTESIAN_POINT('',(3.05,1.655,0.)); #586385=CARTESIAN_POINT('',(3.05,2.155,0.)); #586386=CARTESIAN_POINT('',(3.05,2.155,0.05)); #586387=CARTESIAN_POINT('',(3.05,2.155,0.)); #586388=CARTESIAN_POINT('',(3.05,1.655,0.05)); #586389=CARTESIAN_POINT('',(3.05,2.155,0.05)); #586390=CARTESIAN_POINT('',(3.05,1.655,0.)); #586391=CARTESIAN_POINT('Origin',(2.4,1.655,0.)); #586392=CARTESIAN_POINT('',(2.4,1.655,0.)); #586393=CARTESIAN_POINT('',(2.151,1.655,0.)); #586394=CARTESIAN_POINT('',(2.4,1.655,0.05)); #586395=CARTESIAN_POINT('',(2.151,1.655,0.05)); #586396=CARTESIAN_POINT('',(2.4,1.655,0.)); #586397=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #586398=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #586399=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #586400=CARTESIAN_POINT('',(2.151,1.88266169209631,0.05)); #586401=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #586402=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #586403=CARTESIAN_POINT('Origin',(2.151,1.92733830790369,0.)); #586404=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #586405=CARTESIAN_POINT('',(2.151,2.155,0.)); #586406=CARTESIAN_POINT('',(2.151,1.92733830790369,0.05)); #586407=CARTESIAN_POINT('',(2.151,2.155,0.05)); #586408=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #586409=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #586410=CARTESIAN_POINT('',(2.4,2.155,0.)); #586411=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #586412=CARTESIAN_POINT('',(2.4,2.155,0.05)); #586413=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #586414=CARTESIAN_POINT('',(2.4,2.155,0.)); #586415=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #586416=CARTESIAN_POINT('',(3.051,2.155,0.)); #586417=CARTESIAN_POINT('',(3.051,2.155,0.05)); #586418=CARTESIAN_POINT('Origin',(2.6005,1.905,0.05)); #586419=CARTESIAN_POINT('Origin',(2.6005,1.905,0.)); #586420=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #586421=CARTESIAN_POINT('',(-3.05,2.155,0.)); #586422=CARTESIAN_POINT('',(-3.05,1.655,0.)); #586423=CARTESIAN_POINT('',(-3.05,2.155,0.)); #586424=CARTESIAN_POINT('',(-3.05,1.655,0.05)); #586425=CARTESIAN_POINT('',(-3.05,1.655,0.)); #586426=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #586427=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #586428=CARTESIAN_POINT('',(-3.05,2.155,0.)); #586429=CARTESIAN_POINT('Origin',(-2.4,1.655,0.)); #586430=CARTESIAN_POINT('',(-2.4,1.655,0.)); #586431=CARTESIAN_POINT('',(-2.151,1.655,0.)); #586432=CARTESIAN_POINT('',(-2.4,1.655,0.05)); #586433=CARTESIAN_POINT('',(-2.4,1.655,0.)); #586434=CARTESIAN_POINT('',(-2.151,1.655,0.05)); #586435=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #586436=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #586437=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #586438=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.05)); #586439=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #586440=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #586441=CARTESIAN_POINT('Origin',(-2.151,1.92733830790369,0.)); #586442=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #586443=CARTESIAN_POINT('',(-2.151,2.155,0.)); #586444=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.05)); #586445=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #586446=CARTESIAN_POINT('',(-2.151,2.155,0.05)); #586447=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #586448=CARTESIAN_POINT('',(-2.4,2.155,0.)); #586449=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #586450=CARTESIAN_POINT('',(-2.4,2.155,0.05)); #586451=CARTESIAN_POINT('',(-2.4,2.155,0.)); #586452=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #586453=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #586454=CARTESIAN_POINT('',(-3.051,2.155,0.)); #586455=CARTESIAN_POINT('',(-3.051,2.155,0.05)); #586456=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.05)); #586457=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.)); #586458=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #586459=CARTESIAN_POINT('',(3.05,0.885,0.)); #586460=CARTESIAN_POINT('',(3.05,0.385,0.)); #586461=CARTESIAN_POINT('',(3.05,0.885,0.)); #586462=CARTESIAN_POINT('',(3.05,0.885,0.05)); #586463=CARTESIAN_POINT('',(3.05,0.885,0.)); #586464=CARTESIAN_POINT('',(3.05,0.385,0.05)); #586465=CARTESIAN_POINT('',(3.05,0.885,0.05)); #586466=CARTESIAN_POINT('',(3.05,0.385,0.)); #586467=CARTESIAN_POINT('Origin',(2.4,0.385,0.)); #586468=CARTESIAN_POINT('',(2.4,0.385,0.)); #586469=CARTESIAN_POINT('',(2.151,0.385,0.)); #586470=CARTESIAN_POINT('',(2.4,0.385,0.05)); #586471=CARTESIAN_POINT('',(2.151,0.385,0.05)); #586472=CARTESIAN_POINT('',(2.4,0.385,0.)); #586473=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #586474=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #586475=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #586476=CARTESIAN_POINT('',(2.151,0.612661692096306,0.05)); #586477=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #586478=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #586479=CARTESIAN_POINT('Origin',(2.151,0.657338307903694,0.)); #586480=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #586481=CARTESIAN_POINT('',(2.151,0.885,0.)); #586482=CARTESIAN_POINT('',(2.151,0.657338307903694,0.05)); #586483=CARTESIAN_POINT('',(2.151,0.885,0.05)); #586484=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #586485=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #586486=CARTESIAN_POINT('',(2.4,0.885,0.)); #586487=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #586488=CARTESIAN_POINT('',(2.4,0.885,0.05)); #586489=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #586490=CARTESIAN_POINT('',(2.4,0.885,0.)); #586491=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #586492=CARTESIAN_POINT('',(3.051,0.885,0.)); #586493=CARTESIAN_POINT('',(3.051,0.885,0.05)); #586494=CARTESIAN_POINT('Origin',(2.6005,0.635,0.05)); #586495=CARTESIAN_POINT('Origin',(2.6005,0.635,0.)); #586496=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #586497=CARTESIAN_POINT('',(3.05,-0.385,0.)); #586498=CARTESIAN_POINT('',(3.05,-0.885,0.)); #586499=CARTESIAN_POINT('',(3.05,-0.385,0.)); #586500=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #586501=CARTESIAN_POINT('',(3.05,-0.385,0.)); #586502=CARTESIAN_POINT('',(3.05,-0.885,0.05)); #586503=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #586504=CARTESIAN_POINT('',(3.05,-0.885,0.)); #586505=CARTESIAN_POINT('Origin',(2.4,-0.885,0.)); #586506=CARTESIAN_POINT('',(2.4,-0.885,0.)); #586507=CARTESIAN_POINT('',(2.151,-0.885,0.)); #586508=CARTESIAN_POINT('',(2.4,-0.885,0.05)); #586509=CARTESIAN_POINT('',(2.151,-0.885,0.05)); #586510=CARTESIAN_POINT('',(2.4,-0.885,0.)); #586511=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #586512=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #586513=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #586514=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.05)); #586515=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #586516=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #586517=CARTESIAN_POINT('Origin',(2.151,-0.612661692096306,0.)); #586518=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #586519=CARTESIAN_POINT('',(2.151,-0.385,0.)); #586520=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.05)); #586521=CARTESIAN_POINT('',(2.151,-0.385,0.05)); #586522=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #586523=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #586524=CARTESIAN_POINT('',(2.4,-0.385,0.)); #586525=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #586526=CARTESIAN_POINT('',(2.4,-0.385,0.05)); #586527=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #586528=CARTESIAN_POINT('',(2.4,-0.385,0.)); #586529=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #586530=CARTESIAN_POINT('',(3.051,-0.385,0.)); #586531=CARTESIAN_POINT('',(3.051,-0.385,0.05)); #586532=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.05)); #586533=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.)); #586534=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #586535=CARTESIAN_POINT('',(3.05,-1.655,0.)); #586536=CARTESIAN_POINT('',(3.05,-2.155,0.)); #586537=CARTESIAN_POINT('',(3.05,-1.655,0.)); #586538=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #586539=CARTESIAN_POINT('',(3.05,-1.655,0.)); #586540=CARTESIAN_POINT('',(3.05,-2.155,0.05)); #586541=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #586542=CARTESIAN_POINT('',(3.05,-2.155,0.)); #586543=CARTESIAN_POINT('Origin',(2.4,-2.155,0.)); #586544=CARTESIAN_POINT('',(2.4,-2.155,0.)); #586545=CARTESIAN_POINT('',(2.151,-2.155,0.)); #586546=CARTESIAN_POINT('',(2.4,-2.155,0.05)); #586547=CARTESIAN_POINT('',(2.151,-2.155,0.05)); #586548=CARTESIAN_POINT('',(2.4,-2.155,0.)); #586549=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #586550=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #586551=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #586552=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.05)); #586553=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #586554=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #586555=CARTESIAN_POINT('Origin',(2.151,-1.88266169209631,0.)); #586556=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #586557=CARTESIAN_POINT('',(2.151,-1.655,0.)); #586558=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.05)); #586559=CARTESIAN_POINT('',(2.151,-1.655,0.05)); #586560=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #586561=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #586562=CARTESIAN_POINT('',(2.4,-1.655,0.)); #586563=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #586564=CARTESIAN_POINT('',(2.4,-1.655,0.05)); #586565=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #586566=CARTESIAN_POINT('',(2.4,-1.655,0.)); #586567=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #586568=CARTESIAN_POINT('',(3.051,-1.655,0.)); #586569=CARTESIAN_POINT('',(3.051,-1.655,0.05)); #586570=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.05)); #586571=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.)); #586572=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #586573=CARTESIAN_POINT('',(-3.05,0.885,0.)); #586574=CARTESIAN_POINT('',(-3.05,0.385,0.)); #586575=CARTESIAN_POINT('',(-3.05,0.885,0.)); #586576=CARTESIAN_POINT('',(-3.05,0.385,0.05)); #586577=CARTESIAN_POINT('',(-3.05,0.385,0.)); #586578=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #586579=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #586580=CARTESIAN_POINT('',(-3.05,0.885,0.)); #586581=CARTESIAN_POINT('Origin',(-2.4,0.385,0.)); #586582=CARTESIAN_POINT('',(-2.4,0.385,0.)); #586583=CARTESIAN_POINT('',(-2.151,0.385,0.)); #586584=CARTESIAN_POINT('',(-2.4,0.385,0.05)); #586585=CARTESIAN_POINT('',(-2.4,0.385,0.)); #586586=CARTESIAN_POINT('',(-2.151,0.385,0.05)); #586587=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #586588=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #586589=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #586590=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.05)); #586591=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #586592=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #586593=CARTESIAN_POINT('Origin',(-2.151,0.657338307903694,0.)); #586594=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #586595=CARTESIAN_POINT('',(-2.151,0.885,0.)); #586596=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.05)); #586597=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #586598=CARTESIAN_POINT('',(-2.151,0.885,0.05)); #586599=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #586600=CARTESIAN_POINT('',(-2.4,0.885,0.)); #586601=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #586602=CARTESIAN_POINT('',(-2.4,0.885,0.05)); #586603=CARTESIAN_POINT('',(-2.4,0.885,0.)); #586604=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #586605=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #586606=CARTESIAN_POINT('',(-3.051,0.885,0.)); #586607=CARTESIAN_POINT('',(-3.051,0.885,0.05)); #586608=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.05)); #586609=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.)); #586610=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #586611=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #586612=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #586613=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #586614=CARTESIAN_POINT('',(-3.05,-0.885,0.05)); #586615=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #586616=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #586617=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #586618=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #586619=CARTESIAN_POINT('Origin',(-2.4,-0.885,0.)); #586620=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #586621=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #586622=CARTESIAN_POINT('',(-2.4,-0.885,0.05)); #586623=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #586624=CARTESIAN_POINT('',(-2.151,-0.885,0.05)); #586625=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #586626=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #586627=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #586628=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.05)); #586629=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #586630=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #586631=CARTESIAN_POINT('Origin',(-2.151,-0.612661692096306,0.)); #586632=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #586633=CARTESIAN_POINT('',(-2.151,-0.385,0.)); #586634=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.05)); #586635=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #586636=CARTESIAN_POINT('',(-2.151,-0.385,0.05)); #586637=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #586638=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #586639=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #586640=CARTESIAN_POINT('',(-2.4,-0.385,0.05)); #586641=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #586642=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #586643=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #586644=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #586645=CARTESIAN_POINT('',(-3.051,-0.385,0.05)); #586646=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.05)); #586647=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.)); #586648=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #586649=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #586650=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #586651=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #586652=CARTESIAN_POINT('',(-3.05,-2.155,0.05)); #586653=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #586654=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #586655=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #586656=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #586657=CARTESIAN_POINT('Origin',(-2.4,-2.155,0.)); #586658=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #586659=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #586660=CARTESIAN_POINT('',(-2.4,-2.155,0.05)); #586661=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #586662=CARTESIAN_POINT('',(-2.151,-2.155,0.05)); #586663=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #586664=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #586665=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #586666=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.05)); #586667=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #586668=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #586669=CARTESIAN_POINT('Origin',(-2.151,-1.88266169209631,0.)); #586670=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #586671=CARTESIAN_POINT('',(-2.151,-1.655,0.)); #586672=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.05)); #586673=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #586674=CARTESIAN_POINT('',(-2.151,-1.655,0.05)); #586675=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #586676=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #586677=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #586678=CARTESIAN_POINT('',(-2.4,-1.655,0.05)); #586679=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #586680=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #586681=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #586682=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #586683=CARTESIAN_POINT('',(-3.051,-1.655,0.05)); #586684=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.05)); #586685=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.)); #586686=CARTESIAN_POINT('Origin',(-3.051,2.155,0.)); #586687=CARTESIAN_POINT('',(-3.051,2.155,0.)); #586688=CARTESIAN_POINT('',(-3.05,2.155,0.)); #586689=CARTESIAN_POINT('',(-3.051,2.155,0.)); #586690=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #586691=CARTESIAN_POINT('',(-3.051,2.155,0.)); #586692=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #586693=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #586694=CARTESIAN_POINT('',(-3.05,2.155,0.)); #586695=CARTESIAN_POINT('Origin',(-3.051,1.655,0.)); #586696=CARTESIAN_POINT('',(-3.051,1.655,0.)); #586697=CARTESIAN_POINT('',(-3.051,1.655,0.)); #586698=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #586699=CARTESIAN_POINT('',(-3.051,1.655,0.)); #586700=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #586701=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #586702=CARTESIAN_POINT('',(-3.05,1.655,0.)); #586703=CARTESIAN_POINT('',(-2.151,1.655,0.)); #586704=CARTESIAN_POINT('',(-3.05,1.655,0.19)); #586705=CARTESIAN_POINT('',(-3.05,1.655,0.)); #586706=CARTESIAN_POINT('',(-2.151,1.655,0.19)); #586707=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #586708=CARTESIAN_POINT('',(-3.05,2.155,0.)); #586709=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #586710=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.19)); #586711=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.)); #586712=CARTESIAN_POINT('Origin',(3.051,0.885,0.)); #586713=CARTESIAN_POINT('',(3.051,0.885,0.)); #586714=CARTESIAN_POINT('',(3.05,0.885,0.)); #586715=CARTESIAN_POINT('',(3.051,0.885,0.)); #586716=CARTESIAN_POINT('',(3.05,0.885,0.19)); #586717=CARTESIAN_POINT('',(3.05,0.885,0.)); #586718=CARTESIAN_POINT('',(3.051,0.885,0.19)); #586719=CARTESIAN_POINT('',(3.051,0.885,0.19)); #586720=CARTESIAN_POINT('',(3.051,0.885,0.)); #586721=CARTESIAN_POINT('Origin',(3.051,0.385,0.)); #586722=CARTESIAN_POINT('',(3.051,0.385,0.)); #586723=CARTESIAN_POINT('',(3.051,0.385,0.)); #586724=CARTESIAN_POINT('',(3.051,0.385,0.19)); #586725=CARTESIAN_POINT('',(3.051,0.385,0.19)); #586726=CARTESIAN_POINT('',(3.051,0.385,0.)); #586727=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #586728=CARTESIAN_POINT('',(3.05,0.385,0.)); #586729=CARTESIAN_POINT('',(2.151,0.385,0.)); #586730=CARTESIAN_POINT('',(3.05,0.385,0.19)); #586731=CARTESIAN_POINT('',(2.151,0.385,0.19)); #586732=CARTESIAN_POINT('',(3.05,0.385,0.)); #586733=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #586734=CARTESIAN_POINT('',(3.05,0.885,0.)); #586735=CARTESIAN_POINT('',(3.05,0.885,0.19)); #586736=CARTESIAN_POINT('Origin',(3.0505,0.635,0.19)); #586737=CARTESIAN_POINT('Origin',(3.0505,0.635,0.)); #586738=CARTESIAN_POINT('Origin',(3.051,-0.385,0.)); #586739=CARTESIAN_POINT('',(3.051,-0.385,0.)); #586740=CARTESIAN_POINT('',(3.05,-0.385,0.)); #586741=CARTESIAN_POINT('',(3.051,-0.385,0.)); #586742=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #586743=CARTESIAN_POINT('',(3.05,-0.385,0.)); #586744=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #586745=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #586746=CARTESIAN_POINT('',(3.051,-0.385,0.)); #586747=CARTESIAN_POINT('Origin',(3.051,-0.885,0.)); #586748=CARTESIAN_POINT('',(3.051,-0.885,0.)); #586749=CARTESIAN_POINT('',(3.051,-0.885,0.)); #586750=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #586751=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #586752=CARTESIAN_POINT('',(3.051,-0.885,0.)); #586753=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #586754=CARTESIAN_POINT('',(3.05,-0.885,0.)); #586755=CARTESIAN_POINT('',(2.151,-0.885,0.)); #586756=CARTESIAN_POINT('',(3.05,-0.885,0.19)); #586757=CARTESIAN_POINT('',(2.151,-0.885,0.19)); #586758=CARTESIAN_POINT('',(3.05,-0.885,0.)); #586759=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #586760=CARTESIAN_POINT('',(3.05,-0.385,0.)); #586761=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #586762=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.19)); #586763=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.)); #586764=CARTESIAN_POINT('Origin',(3.051,-1.655,0.)); #586765=CARTESIAN_POINT('',(3.051,-1.655,0.)); #586766=CARTESIAN_POINT('',(3.05,-1.655,0.)); #586767=CARTESIAN_POINT('',(3.051,-1.655,0.)); #586768=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #586769=CARTESIAN_POINT('',(3.05,-1.655,0.)); #586770=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #586771=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #586772=CARTESIAN_POINT('',(3.051,-1.655,0.)); #586773=CARTESIAN_POINT('Origin',(3.051,-2.155,0.)); #586774=CARTESIAN_POINT('',(3.051,-2.155,0.)); #586775=CARTESIAN_POINT('',(3.051,-2.155,0.)); #586776=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #586777=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #586778=CARTESIAN_POINT('',(3.051,-2.155,0.)); #586779=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #586780=CARTESIAN_POINT('',(3.05,-2.155,0.)); #586781=CARTESIAN_POINT('',(2.151,-2.155,0.)); #586782=CARTESIAN_POINT('',(3.05,-2.155,0.19)); #586783=CARTESIAN_POINT('',(2.151,-2.155,0.19)); #586784=CARTESIAN_POINT('',(3.05,-2.155,0.)); #586785=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #586786=CARTESIAN_POINT('',(3.05,-1.655,0.)); #586787=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #586788=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.19)); #586789=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.)); #586790=CARTESIAN_POINT('Origin',(-3.051,0.885,0.)); #586791=CARTESIAN_POINT('',(-3.051,0.885,0.)); #586792=CARTESIAN_POINT('',(-3.05,0.885,0.)); #586793=CARTESIAN_POINT('',(-3.051,0.885,0.)); #586794=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #586795=CARTESIAN_POINT('',(-3.051,0.885,0.)); #586796=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #586797=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #586798=CARTESIAN_POINT('',(-3.05,0.885,0.)); #586799=CARTESIAN_POINT('Origin',(-3.051,0.385,0.)); #586800=CARTESIAN_POINT('',(-3.051,0.385,0.)); #586801=CARTESIAN_POINT('',(-3.051,0.385,0.)); #586802=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #586803=CARTESIAN_POINT('',(-3.051,0.385,0.)); #586804=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #586805=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #586806=CARTESIAN_POINT('',(-3.05,0.385,0.)); #586807=CARTESIAN_POINT('',(-2.151,0.385,0.)); #586808=CARTESIAN_POINT('',(-3.05,0.385,0.19)); #586809=CARTESIAN_POINT('',(-3.05,0.385,0.)); #586810=CARTESIAN_POINT('',(-2.151,0.385,0.19)); #586811=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #586812=CARTESIAN_POINT('',(-3.05,0.885,0.)); #586813=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #586814=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.19)); #586815=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.)); #586816=CARTESIAN_POINT('Origin',(-3.051,-0.385,0.)); #586817=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #586818=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #586819=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #586820=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #586821=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #586822=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #586823=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #586824=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #586825=CARTESIAN_POINT('Origin',(-3.051,-0.885,0.)); #586826=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #586827=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #586828=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #586829=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #586830=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #586831=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #586832=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #586833=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #586834=CARTESIAN_POINT('',(-3.05,-0.885,0.19)); #586835=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #586836=CARTESIAN_POINT('',(-2.151,-0.885,0.19)); #586837=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #586838=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #586839=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #586840=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.19)); #586841=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.)); #586842=CARTESIAN_POINT('Origin',(-3.051,-1.655,0.)); #586843=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #586844=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #586845=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #586846=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #586847=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #586848=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #586849=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #586850=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #586851=CARTESIAN_POINT('Origin',(-3.051,-2.155,0.)); #586852=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #586853=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #586854=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #586855=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #586856=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #586857=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #586858=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #586859=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #586860=CARTESIAN_POINT('',(-3.05,-2.155,0.19)); #586861=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #586862=CARTESIAN_POINT('',(-2.151,-2.155,0.19)); #586863=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #586864=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #586865=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #586866=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.19)); #586867=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.)); #586868=CARTESIAN_POINT('Origin',(-1.25,-2.205,0.)); #586869=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #586870=CARTESIAN_POINT('',(1.25,-2.205,0.)); #586871=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #586872=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #586873=CARTESIAN_POINT('',(1.25,-2.205,0.)); #586874=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #586875=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #586876=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #586877=CARTESIAN_POINT('Origin',(-1.25,2.205,0.)); #586878=CARTESIAN_POINT('',(-1.25,2.205,0.)); #586879=CARTESIAN_POINT('',(-1.25,2.205,0.)); #586880=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #586881=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #586882=CARTESIAN_POINT('',(-1.25,2.205,0.)); #586883=CARTESIAN_POINT('Origin',(1.25,2.205,0.)); #586884=CARTESIAN_POINT('',(1.25,2.205,0.)); #586885=CARTESIAN_POINT('',(1.25,2.205,0.)); #586886=CARTESIAN_POINT('',(1.25,2.205,0.05)); #586887=CARTESIAN_POINT('',(1.25,2.205,0.05)); #586888=CARTESIAN_POINT('',(1.25,2.205,0.)); #586889=CARTESIAN_POINT('Origin',(1.25,-2.205,0.)); #586890=CARTESIAN_POINT('',(1.25,-2.205,0.)); #586891=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #586892=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #586893=CARTESIAN_POINT('Origin',(0.,0.,0.)); #586894=CARTESIAN_POINT('',(0.,0.,0.)); #586895=CARTESIAN_POINT('',(0.,0.,0.)); #586896=CARTESIAN_POINT('',(0.,0.,0.)); #586897=CARTESIAN_POINT('Origin',(-6.6,3.225,4.575)); #586898=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #586899=CARTESIAN_POINT('',(-6.45,6.15,6.)); #586900=CARTESIAN_POINT('',(-6.45,3.225,6.)); #586901=CARTESIAN_POINT('',(-6.75,6.45,3.15)); #586902=CARTESIAN_POINT('',(-6.45,6.15,6.)); #586903=CARTESIAN_POINT('',(-6.75,-6.45,3.15)); #586904=CARTESIAN_POINT('',(-6.75,3.225,3.15)); #586905=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #586906=CARTESIAN_POINT('Origin',(3.375,6.3,4.575)); #586907=CARTESIAN_POINT('',(6.45,6.15,6.)); #586908=CARTESIAN_POINT('',(3.375,6.15,6.)); #586909=CARTESIAN_POINT('',(6.75,6.45,3.15)); #586910=CARTESIAN_POINT('',(6.45,6.15,6.)); #586911=CARTESIAN_POINT('',(3.375,6.45,3.15)); #586912=CARTESIAN_POINT('Origin',(6.6,-3.225,4.575)); #586913=CARTESIAN_POINT('',(6.45,-6.15,6.)); #586914=CARTESIAN_POINT('',(6.45,-3.225,6.)); #586915=CARTESIAN_POINT('',(6.75,-6.45,3.15)); #586916=CARTESIAN_POINT('',(6.45,-6.15,6.)); #586917=CARTESIAN_POINT('',(6.75,-3.225,3.15)); #586918=CARTESIAN_POINT('Origin',(-3.375,-6.3,4.575)); #586919=CARTESIAN_POINT('',(-3.375,-6.45,3.15)); #586920=CARTESIAN_POINT('',(-3.375,-6.15,6.)); #586921=CARTESIAN_POINT('Origin',(-6.75,-6.45,0.15)); #586922=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #586923=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #586924=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #586925=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #586926=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #586927=CARTESIAN_POINT('Origin',(-6.75,6.45,0.15)); #586928=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #586929=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #586930=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #586931=CARTESIAN_POINT('Origin',(0.,0.,6.)); #586932=CARTESIAN_POINT('Origin',(6.75,6.45,0.15)); #586933=CARTESIAN_POINT('',(6.75,6.45,0.15)); #586934=CARTESIAN_POINT('',(6.75,6.45,0.15)); #586935=CARTESIAN_POINT('',(6.75,6.45,0.15)); #586936=CARTESIAN_POINT('Origin',(6.75,-6.45,0.15)); #586937=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #586938=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #586939=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #586940=CARTESIAN_POINT('',(6.75,2.65,0.)); #586941=CARTESIAN_POINT('',(6.75,-2.65,0.)); #586942=CARTESIAN_POINT('',(6.75,0.,0.)); #586943=CARTESIAN_POINT('',(6.75,2.65,0.15)); #586944=CARTESIAN_POINT('',(6.75,2.65,0.)); #586945=CARTESIAN_POINT('',(6.75,-2.65,0.15)); #586946=CARTESIAN_POINT('',(6.75,0.,0.15)); #586947=CARTESIAN_POINT('',(6.75,-2.65,0.)); #586948=CARTESIAN_POINT('Origin',(4.4,-2.65,0.)); #586949=CARTESIAN_POINT('',(4.4,-2.65,0.)); #586950=CARTESIAN_POINT('',(4.4,-2.65,0.)); #586951=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #586952=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #586953=CARTESIAN_POINT('',(4.4,-2.65,0.)); #586954=CARTESIAN_POINT('Origin',(4.4,2.65,0.)); #586955=CARTESIAN_POINT('',(4.4,2.65,0.)); #586956=CARTESIAN_POINT('',(4.4,2.65,0.)); #586957=CARTESIAN_POINT('',(4.4,2.65,0.15)); #586958=CARTESIAN_POINT('',(4.4,2.65,0.15)); #586959=CARTESIAN_POINT('',(4.4,2.65,0.)); #586960=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #586961=CARTESIAN_POINT('',(6.9,2.65,0.)); #586962=CARTESIAN_POINT('',(6.9,2.65,0.15)); #586963=CARTESIAN_POINT('Origin',(5.575,0.,0.15)); #586964=CARTESIAN_POINT('Origin',(5.575,0.,0.)); #586965=CARTESIAN_POINT('Origin',(6.9,2.65,0.)); #586966=CARTESIAN_POINT('',(6.9,2.65,0.)); #586967=CARTESIAN_POINT('',(6.75,2.65,0.)); #586968=CARTESIAN_POINT('',(6.9,2.65,0.)); #586969=CARTESIAN_POINT('',(6.75,2.65,3.15)); #586970=CARTESIAN_POINT('',(6.75,2.65,0.)); #586971=CARTESIAN_POINT('',(6.9,2.65,3.15)); #586972=CARTESIAN_POINT('',(6.9,2.65,3.15)); #586973=CARTESIAN_POINT('',(6.9,2.65,0.)); #586974=CARTESIAN_POINT('Origin',(6.9,-2.65,0.)); #586975=CARTESIAN_POINT('',(6.9,-2.65,0.)); #586976=CARTESIAN_POINT('',(6.9,-2.65,0.)); #586977=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #586978=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #586979=CARTESIAN_POINT('',(6.9,-2.65,0.)); #586980=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #586981=CARTESIAN_POINT('',(6.75,-2.65,0.)); #586982=CARTESIAN_POINT('',(4.4,-2.65,0.)); #586983=CARTESIAN_POINT('',(6.75,-2.65,3.15)); #586984=CARTESIAN_POINT('',(4.4,-2.65,3.15)); #586985=CARTESIAN_POINT('',(6.75,-2.65,0.)); #586986=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #586987=CARTESIAN_POINT('',(6.75,0.,0.)); #586988=CARTESIAN_POINT('',(6.75,0.,3.15)); #586989=CARTESIAN_POINT('Origin',(6.825,0.,3.15)); #586990=CARTESIAN_POINT('Origin',(6.825,0.,0.)); #586991=CARTESIAN_POINT('Origin',(-4.4,2.65,0.)); #586992=CARTESIAN_POINT('',(-6.75,2.65,0.)); #586993=CARTESIAN_POINT('',(-4.4,2.65,0.)); #586994=CARTESIAN_POINT('',(-6.9,2.65,0.)); #586995=CARTESIAN_POINT('',(-6.75,2.65,0.15)); #586996=CARTESIAN_POINT('',(-6.75,2.65,0.)); #586997=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #586998=CARTESIAN_POINT('',(-6.9,2.65,0.15)); #586999=CARTESIAN_POINT('',(-4.4,2.65,0.)); #587000=CARTESIAN_POINT('Origin',(-4.4,-2.65,0.)); #587001=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #587002=CARTESIAN_POINT('',(-4.4,2.65,0.)); #587003=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #587004=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #587005=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #587006=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #587007=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #587008=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #587009=CARTESIAN_POINT('',(-6.75,-2.65,0.15)); #587010=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #587011=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #587012=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #587013=CARTESIAN_POINT('',(-6.75,0.,0.)); #587014=CARTESIAN_POINT('',(-6.75,0.,0.15)); #587015=CARTESIAN_POINT('Origin',(-5.575,0.,0.15)); #587016=CARTESIAN_POINT('Origin',(-5.575,0.,0.)); #587017=CARTESIAN_POINT('Origin',(-6.9,-2.65,0.)); #587018=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #587019=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #587020=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #587021=CARTESIAN_POINT('',(-6.75,-2.65,3.15)); #587022=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #587023=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #587024=CARTESIAN_POINT('',(-4.4,-2.65,3.15)); #587025=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #587026=CARTESIAN_POINT('Origin',(-6.9,2.65,0.)); #587027=CARTESIAN_POINT('',(-6.9,2.65,0.)); #587028=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #587029=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #587030=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #587031=CARTESIAN_POINT('',(-6.9,2.65,0.)); #587032=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #587033=CARTESIAN_POINT('',(-6.75,2.65,0.)); #587034=CARTESIAN_POINT('',(-6.9,2.65,0.)); #587035=CARTESIAN_POINT('',(-6.75,2.65,3.15)); #587036=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #587037=CARTESIAN_POINT('',(-6.75,2.65,0.)); #587038=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #587039=CARTESIAN_POINT('',(-6.75,0.,0.)); #587040=CARTESIAN_POINT('',(-6.75,0.,3.15)); #587041=CARTESIAN_POINT('Origin',(-6.825,0.,3.15)); #587042=CARTESIAN_POINT('Origin',(-6.825,0.,0.)); #587043=CARTESIAN_POINT('',(0.,0.,0.)); #587044=CARTESIAN_POINT('',(0.,0.,0.)); #587045=CARTESIAN_POINT('',(0.,0.,0.)); #587046=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #587047=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #587048=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #587049=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,15.674051007151)); #587050=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #587051=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #587052=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #587053=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,15.674051007151)); #587054=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #587055=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #587056=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #587057=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #587058=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #587059=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #587060=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #587061=CARTESIAN_POINT('',(3.50077447871504,-0.00250521355199761,17.7456121063289)); #587062=CARTESIAN_POINT('',(3.50119743520703,-0.00241438678840638,17.8774899079731)); #587063=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #587064=CARTESIAN_POINT('',(-3.0801133874021,-1.66219815155855,18.311560189113)); #587065=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #587066=CARTESIAN_POINT('Ctrl Pts',(3.49403446034205,0.895668273932843, 17.9081585404955)); #587067=CARTESIAN_POINT('Ctrl Pts',(3.13825198486631,1.79868410977275,17.9388271730178)); #587068=CARTESIAN_POINT('Ctrl Pts',(2.51415673850413,2.44152802441589,17.9694958055401)); #587069=CARTESIAN_POINT('Ctrl Pts',(1.7407216637425,3.23819813860154,18.0075031389175)); #587070=CARTESIAN_POINT('Ctrl Pts',(0.555201100394608,3.63528580686561, 18.0455104722949)); #587071=CARTESIAN_POINT('Ctrl Pts',(-0.54175844566201,3.46312096360294, 18.0835178056723)); #587072=CARTESIAN_POINT('Ctrl Pts',(-1.63871799171863,3.29095612034027, 18.1215251390498)); #587073=CARTESIAN_POINT('Ctrl Pts',(-2.64711652048399,2.54953876555084, 18.1595324724272)); #587074=CARTESIAN_POINT('Ctrl Pts',(-3.13977797878872,1.55642229447125, 18.1975398058046)); #587075=CARTESIAN_POINT('Ctrl Pts',(-3.63243245118904,0.563319905712549, 18.2355466002407)); #587076=CARTESIAN_POINT('Ctrl Pts',(-3.60936447925496,-0.681474461235813, 18.2735533946768)); #587077=CARTESIAN_POINT('Ctrl Pts',(-3.0801133873574,-1.66219815159538, 18.3115601891129)); #587078=CARTESIAN_POINT('',(-1.75000019073497,-3.03108891304648,18.3115601891129)); #587079=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073496,-3.03108891304648, 18.311560189113)); #587080=CARTESIAN_POINT('Ctrl Pts',(-1.96226300479069,-2.90853892021245, 18.311560189113)); #587081=CARTESIAN_POINT('Ctrl Pts',(-2.16106688685548,-2.76403052385329, 18.311560189113)); #587082=CARTESIAN_POINT('Ctrl Pts',(-2.5623619784978,-2.40237296536939, 18.311560189113)); #587083=CARTESIAN_POINT('Ctrl Pts',(-2.75572061995343,-2.17786563226526, 18.311560189113)); #587084=CARTESIAN_POINT('Ctrl Pts',(-2.97653747665333,-1.84424582051648, 18.311560189113)); #587085=CARTESIAN_POINT('Ctrl Pts',(-3.03041503479378,-1.75429099353694, 18.311560189113)); #587086=CARTESIAN_POINT('Ctrl Pts',(-3.08011338740337,-1.66219815155924, 18.311560189113)); #587087=CARTESIAN_POINT('',(0.0988340980890994,-3.50066939859337,18.3115601891129)); #587088=CARTESIAN_POINT('Ctrl Pts',(0.101088816931864,-3.49853983986699, 18.311560189113)); #587089=CARTESIAN_POINT('Ctrl Pts',(-0.0958496389313897,-3.50423030331309, 18.311560189113)); #587090=CARTESIAN_POINT('Ctrl Pts',(-0.29337644191164,-3.49329516625616, 18.311560189113)); #587091=CARTESIAN_POINT('Ctrl Pts',(-0.933536000759611,-3.40289951578085, 18.311560189113)); #587092=CARTESIAN_POINT('Ctrl Pts',(-1.36152796735106,-3.25537345578988, 18.311560189113)); #587093=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.311560189113)); #587094=CARTESIAN_POINT('Ctrl Pts',(0.0988340980890994,-3.50066939859337, 18.3115601891129)); #587095=CARTESIAN_POINT('Ctrl Pts',(-0.0864533114556529,-3.50758222417586, 18.3052287684602)); #587096=CARTESIAN_POINT('Ctrl Pts',(-0.271958224637835,-3.49949651112486, 18.2988973478074)); #587097=CARTESIAN_POINT('Ctrl Pts',(-0.455372714609442,-3.47555859123774, 18.2925659271546)); #587098=CARTESIAN_POINT('Ctrl Pts',(-1.55747025421494,-3.33172086819332, 18.254521805751)); #587099=CARTESIAN_POINT('Ctrl Pts',(-2.5840921198942,-2.61553205943515, 18.2164776843474)); #587100=CARTESIAN_POINT('Ctrl Pts',(-3.10147307901249,-1.63188810682342, 18.1784335629438)); #587101=CARTESIAN_POINT('Ctrl Pts',(-3.61885403813079,-0.64824415421168, 18.1403894415403)); #587102=CARTESIAN_POINT('Ctrl Pts',(-3.62699409068811,0.602854942253622, 18.1023453201367)); #587103=CARTESIAN_POINT('Ctrl Pts',(-3.12204230325031,1.59262257477227, 18.0643011987331)); #587104=CARTESIAN_POINT('Ctrl Pts',(-2.6170905158125,2.58239020729092,18.0262570773296)); #587105=CARTESIAN_POINT('Ctrl Pts',(-1.59904688837957,3.31082637586291, 17.988212955926)); #587106=CARTESIAN_POINT('Ctrl Pts',(-0.499084890223093,3.46913681920486, 17.9501688345224)); #587107=CARTESIAN_POINT('Ctrl Pts',(0.600877107933383,3.62744726254681, 17.9121247131189)); #587108=CARTESIAN_POINT('Ctrl Pts',(1.7827574768134,3.21563198065872,17.8740805917153)); #587109=CARTESIAN_POINT('Ctrl Pts',(2.54632887788783,2.40823861177409,17.8360364703117)); #587110=CARTESIAN_POINT('Ctrl Pts',(3.15128838061191,1.76856000378031,17.8058950156508)); #587111=CARTESIAN_POINT('Ctrl Pts',(3.49367463709319,0.880576361854126, 17.7757535609898)); #587112=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #587113=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #587114=CARTESIAN_POINT('',(3.49669267738282,0.00186730564810401,16.9956121063289)); #587115=CARTESIAN_POINT('',(3.49632362596931,0.00162994858308695,17.1274899079731)); #587116=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #587117=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #587118=CARTESIAN_POINT('Ctrl Pts',(3.49830622939087,0.431300343431323, 17.1421072068536)); #587119=CARTESIAN_POINT('Ctrl Pts',(3.42427347066553,0.860311984961587, 17.156724505734)); #587120=CARTESIAN_POINT('Ctrl Pts',(3.27091914919329,1.25879592451337,17.1713418046145)); #587121=CARTESIAN_POINT('Ctrl Pts',(2.87217319286249,2.29491840240391,17.2093491379919)); #587122=CARTESIAN_POINT('Ctrl Pts',(1.93714698036931,3.12464802480692,17.2473564713693)); #587123=CARTESIAN_POINT('Ctrl Pts',(0.860964450354169,3.3973780583869,17.2853638047467)); #587124=CARTESIAN_POINT('Ctrl Pts',(-0.21521807966097,3.67010809196688, 17.3233711381241)); #587125=CARTESIAN_POINT('Ctrl Pts',(-1.43255692719809,3.38583853672384, 17.3613784715015)); #587126=CARTESIAN_POINT('Ctrl Pts',(-2.27663672121424,2.6646640762726,17.399385804879)); #587127=CARTESIAN_POINT('Ctrl Pts',(-3.1207165152304,1.94348961582137,17.4373931382564)); #587128=CARTESIAN_POINT('Ctrl Pts',(-3.59153725572559,0.785410250161928, 17.4754004716338)); #587129=CARTESIAN_POINT('Ctrl Pts',(-3.49013086260879,-0.320135945910613, 17.5134078050112)); #587130=CARTESIAN_POINT('Ctrl Pts',(-3.38872446949199,-1.42568214198316, 17.5514151383886)); #587131=CARTESIAN_POINT('Ctrl Pts',(-2.71509094276319,-2.4786951684688, 17.589422471766)); #587132=CARTESIAN_POINT('Ctrl Pts',(-1.75391206409369,-3.03431209934008, 17.6274298051434)); #587133=CARTESIAN_POINT('Ctrl Pts',(-0.792733185424188,-3.58992903021136, 17.6654371385208)); #587134=CARTESIAN_POINT('Ctrl Pts',(0.455991045186007,-3.64814986546828, 17.7034444718982)); #587135=CARTESIAN_POINT('Ctrl Pts',(1.4646157787945,-3.18413970130658,17.7414518052757)); #587136=CARTESIAN_POINT('Ctrl Pts',(2.47324051240299,-2.72012953714488, 17.7794591386531)); #587137=CARTESIAN_POINT('Ctrl Pts',(3.24176574900977,-1.73388837356456, 17.8174664720305)); #587138=CARTESIAN_POINT('Ctrl Pts',(3.44535357422546,-0.642641511720778, 17.8554738054079)); #587139=CARTESIAN_POINT('Ctrl Pts',(3.48466362347724,-0.431936535431168, 17.862812506263)); #587140=CARTESIAN_POINT('Ctrl Pts',(3.50291146434505,-0.217316697329021, 17.870151207118)); #587141=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #587142=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #587143=CARTESIAN_POINT('Ctrl Pts',(3.50263595762818,-0.23403681929203, 17.7377094395862)); #587144=CARTESIAN_POINT('Ctrl Pts',(3.48144934994456,-0.465231449692026, 17.7298067728436)); #587145=CARTESIAN_POINT('Ctrl Pts',(3.43590414231161,-0.691525539548846, 17.721904106101)); #587146=CARTESIAN_POINT('Ctrl Pts',(3.21664557239211,-1.78092486610598, 17.6838599846974)); #587147=CARTESIAN_POINT('Ctrl Pts',(2.43286599928426,-2.75675206333908, 17.6458158632939)); #587148=CARTESIAN_POINT('Ctrl Pts',(1.41639100543108,-3.2058528989913,17.6077717418903)); #587149=CARTESIAN_POINT('Ctrl Pts',(0.399916011577904,-3.65495373464352, 17.5697276204867)); #587150=CARTESIAN_POINT('Ctrl Pts',(-0.849254403020602,-3.57732820871485, 17.5316834990831)); #587151=CARTESIAN_POINT('Ctrl Pts',(-1.80231884469063,-3.00586645702327, 17.4936393776796)); #587152=CARTESIAN_POINT('Ctrl Pts',(-2.75538328636067,-2.4344047053317, 17.455595256276)); #587153=CARTESIAN_POINT('Ctrl Pts',(-3.41234175510223,-1.36910672787724, 17.4175511348724)); #587154=CARTESIAN_POINT('Ctrl Pts',(-3.49507433654449,-0.260931130896027, 17.3795070134689)); #587155=CARTESIAN_POINT('Ctrl Pts',(-3.57780691798675,0.847244466085184, 17.3414628920653)); #587156=CARTESIAN_POINT('Ctrl Pts',(-3.08631361212968,1.99829768259314, 17.3034187706617)); #587157=CARTESIAN_POINT('Ctrl Pts',(-2.22866560310931,2.7049360104527,17.2653746492581)); #587158=CARTESIAN_POINT('Ctrl Pts',(-1.37101759408895,3.41157433831226, 17.2273305278546)); #587159=CARTESIAN_POINT('Ctrl Pts',(-0.14721488190529,3.67379777752345, 17.189286406451)); #587160=CARTESIAN_POINT('Ctrl Pts',(0.9246735352013,3.3806159484567,17.1512422850474)); #587161=CARTESIAN_POINT('Ctrl Pts',(1.9965619523079,3.08743411938994,17.1131981636439)); #587162=CARTESIAN_POINT('Ctrl Pts',(2.91653607433742,2.23884702204523,17.0751540422403)); #587163=CARTESIAN_POINT('Ctrl Pts',(3.29512922508106,1.19405986672491,17.0371099208367)); #587164=CARTESIAN_POINT('Ctrl Pts',(3.43278332917453,0.814181785065222, 17.0232773160008)); #587165=CARTESIAN_POINT('Ctrl Pts',(3.49886664345197,0.408365974050336, 17.0094447111648)); #587166=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #587167=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #587168=CARTESIAN_POINT('',(3.50378688793346,-0.00181106164791798,16.2456121063289)); #587169=CARTESIAN_POINT('',(3.50458162263321,-0.000783563652514622,16.3774899079731)); #587170=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #587171=CARTESIAN_POINT('',(1.83658619856034,-2.97942115744983,16.124051007151)); #587172=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #587173=CARTESIAN_POINT('Ctrl Pts',(3.50410870908636,-0.0740965350522147, 16.2431358613398)); #587174=CARTESIAN_POINT('Ctrl Pts',(3.5020585515028,-0.146350152014978, 16.2406596163508)); #587175=CARTESIAN_POINT('Ctrl Pts',(3.4975778159998,-0.218436865819315, 16.2381833713617)); #587176=CARTESIAN_POINT('Ctrl Pts',(3.42873743622841,-1.32595074416319, 16.2001392499581)); #587177=CARTESIAN_POINT('Ctrl Pts',(2.78618041601978,-2.39406859304226, 16.1620951285546)); #587178=CARTESIAN_POINT('Ctrl Pts',(1.83658619851954,-2.97942115747668, 16.124051007151)); #587179=CARTESIAN_POINT('',(-1.83658657998938,-2.97942115747661,16.124051007151)); #587180=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #587181=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #587182=CARTESIAN_POINT('Ctrl Pts',(-1.6609084695426,-3.09154162917814, 16.1310819392421)); #587183=CARTESIAN_POINT('Ctrl Pts',(-1.47707857230228,-3.18534506960692, 16.1381128713333)); #587184=CARTESIAN_POINT('Ctrl Pts',(-1.28603104466333,-3.26080257841467, 16.1451438034244)); #587185=CARTESIAN_POINT('Ctrl Pts',(-0.253279344067756,-3.66870563535021, 16.1831511368019)); #587186=CARTESIAN_POINT('Ctrl Pts',(0.990385494320833,-3.54050504188829, 16.2211584701793)); #587187=CARTESIAN_POINT('Ctrl Pts',(1.91932965035895,-2.93227166507413, 16.2591658035567)); #587188=CARTESIAN_POINT('Ctrl Pts',(2.84827380639707,-2.32403828825998, 16.2971731369341)); #587189=CARTESIAN_POINT('Ctrl Pts',(3.46249728008472,-1.23577212809358, 16.3351804703115)); #587190=CARTESIAN_POINT('Ctrl Pts',(3.50249453760997,-0.126417389765751, 16.3731878036889)); #587191=CARTESIAN_POINT('Ctrl Pts',(3.50400365315571,-0.0845609079731615, 16.3746218384503)); #587192=CARTESIAN_POINT('Ctrl Pts',(3.50469530670144,-0.04267440471726, 16.3760558732117)); #587193=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #587194=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #587195=CARTESIAN_POINT('',(3.1385813749323,0.00167606689432474,16.7886609023379)); #587196=CARTESIAN_POINT('',(3.14566237948984,-0.000703315520355346,16.5844411119641)); #587197=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #587198=CARTESIAN_POINT('',(-2.37141664527386,2.06051459651752,16.124051007151)); #587199=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651752, 16.124051007151)); #587200=CARTESIAN_POINT('Ctrl Pts',(-3.02750405016273,1.30543308903922, 16.1620583405284)); #587201=CARTESIAN_POINT('Ctrl Pts',(-3.29207572160733,0.219682551835687, 16.2000656739058)); #587202=CARTESIAN_POINT('Ctrl Pts',(-3.0555262806193,-0.746856184112077, 16.2380730072832)); #587203=CARTESIAN_POINT('Ctrl Pts',(-2.81897683963126,-1.71339492005984, 16.2760803406606)); #587204=CARTESIAN_POINT('Ctrl Pts',(-2.08130628621058,-2.56072185475183, 16.314087674038)); #587205=CARTESIAN_POINT('Ctrl Pts',(-1.15432311335172,-2.92684981147065, 16.3520950074155)); #587206=CARTESIAN_POINT('Ctrl Pts',(-0.227339940492851,-3.29297776818946, 16.3901023407929)); #587207=CARTESIAN_POINT('Ctrl Pts',(0.888955851804198,-3.1779067469351, 16.4281096741703)); #587208=CARTESIAN_POINT('Ctrl Pts',(1.72276285563321,-2.63196515695495, 16.4661170075477)); #587209=CARTESIAN_POINT('Ctrl Pts',(2.55656985946223,-2.0860235669748,16.5041243409251)); #587210=CARTESIAN_POINT('Ctrl Pts',(3.10788807482321,-1.10921140826887, 16.5421316743025)); #587211=CARTESIAN_POINT('Ctrl Pts',(3.14378904178848,-0.113470443070646, 16.5801390076799)); #587212=CARTESIAN_POINT('Ctrl Pts',(3.14514360234355,-0.0759006629633598, 16.5815730424413)); #587213=CARTESIAN_POINT('Ctrl Pts',(3.14576442068543,-0.0383039360194823, 16.5830070772027)); #587214=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #587215=CARTESIAN_POINT('',(2.3709648013008,2.06300092528248,16.124051007151)); #587216=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #587217=CARTESIAN_POINT('Ctrl Pts',(2.3709648013008,2.06300092528248,16.124051007151)); #587218=CARTESIAN_POINT('Ctrl Pts',(2.24964783498891,2.20508873367577,16.1311555152945)); #587219=CARTESIAN_POINT('Ctrl Pts',(2.11578217948704,2.33594270487341,16.1382600234379)); #587220=CARTESIAN_POINT('Ctrl Pts',(1.97061002675178,2.45270010364637,16.1453645315814)); #587221=CARTESIAN_POINT('Ctrl Pts',(1.19322376840033,3.07792743310866,16.183408652985)); #587222=CARTESIAN_POINT('Ctrl Pts',(0.0916209707530859,3.29893214321143, 16.2214527743885)); #587223=CARTESIAN_POINT('Ctrl Pts',(-0.867265180916358,3.02375996935802, 16.2594968957921)); #587224=CARTESIAN_POINT('Ctrl Pts',(-1.8261513325858,2.74858779550461,16.2975410171957)); #587225=CARTESIAN_POINT('Ctrl Pts',(-2.64232083827745,1.97723873769502, 16.3355851385993)); #587226=CARTESIAN_POINT('Ctrl Pts',(-2.97055860389092,1.0354632560108,16.3736292600028)); #587227=CARTESIAN_POINT('Ctrl Pts',(-3.2987963695044,0.0936877743265825, 16.4116733814064)); #587228=CARTESIAN_POINT('Ctrl Pts',(-3.1391023950397,-1.01851413123227, 16.44971750281)); #587229=CARTESIAN_POINT('Ctrl Pts',(-2.55893144065064,-1.82991702684246, 16.4877616242135)); #587230=CARTESIAN_POINT('Ctrl Pts',(-1.97876048626159,-2.64131992245265, 16.5258057456171)); #587231=CARTESIAN_POINT('Ctrl Pts',(-0.978112551948179,-3.15192380811417, 16.5638498670207)); #587232=CARTESIAN_POINT('Ctrl Pts',(0.0193302946311844,-3.14577109118591, 16.6018939884243)); #587233=CARTESIAN_POINT('Ctrl Pts',(1.01677314121055,-3.13961837425765, 16.6399381098278)); #587234=CARTESIAN_POINT('Ctrl Pts',(2.01101090005587,-2.6167090547396,16.6779822312314)); #587235=CARTESIAN_POINT('Ctrl Pts',(2.58118779056774,-1.79830819784774, 16.716026352635)); #587236=CARTESIAN_POINT('Ctrl Pts',(2.94405192625548,-1.27747277900857, 16.7402378692026)); #587237=CARTESIAN_POINT('Ctrl Pts',(3.1351659344896,-0.636959471933993, 16.7644493857702)); #587238=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #587239=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #587240=CARTESIAN_POINT('',(3.14224514149666,-0.00224864387512761,17.5386609023379)); #587241=CARTESIAN_POINT('',(3.13825011965571,0.00146301858357948,17.3344411119642)); #587242=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #587243=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #587244=CARTESIAN_POINT('Ctrl Pts',(3.14305994388805,0.95825441595745,16.6210144105801)); #587245=CARTESIAN_POINT('Ctrl Pts',(2.67027894815754,1.9197446812211,16.6575877091962)); #587246=CARTESIAN_POINT('Ctrl Pts',(1.90784925334683,2.50134262618629,16.6941610078122)); #587247=CARTESIAN_POINT('Ctrl Pts',(1.11552477937034,3.10574495855448,16.7321683411896)); #587248=CARTESIAN_POINT('Ctrl Pts',(0.0103921128477903,3.29987985160032, 16.770175674567)); #587249=CARTESIAN_POINT('Ctrl Pts',(-0.940532194943093,3.0019209868773, 16.8081830079444)); #587250=CARTESIAN_POINT('Ctrl Pts',(-1.89145650273398,2.70396212215429, 16.8461903413218)); #587251=CARTESIAN_POINT('Ctrl Pts',(-2.6881724517932,1.91390949966241,16.8841976746992)); #587252=CARTESIAN_POINT('Ctrl Pts',(-2.99401171356589,0.965515570849051, 16.9222050080766)); #587253=CARTESIAN_POINT('Ctrl Pts',(-3.29985097533857,0.0171216420356902, 16.960212341454)); #587254=CARTESIAN_POINT('Ctrl Pts',(-3.11481354982473,-1.08961359309916, 16.9982196748314)); #587255=CARTESIAN_POINT('Ctrl Pts',(-2.51708896090651,-1.88695442741115, 17.0362270082088)); #587256=CARTESIAN_POINT('Ctrl Pts',(-1.9193643719883,-2.68429526172315, 17.0742343415862)); #587257=CARTESIAN_POINT('Ctrl Pts',(-0.908952619665728,-3.17224169521228, 17.1122416749637)); #587258=CARTESIAN_POINT('Ctrl Pts',(0.0871669527012596,-3.14462681073701, 17.1502490083411)); #587259=CARTESIAN_POINT('Ctrl Pts',(1.08328652506825,-3.11701192626175, 17.1882563417185)); #587260=CARTESIAN_POINT('Ctrl Pts',(2.06511391747966,-2.57383572382207, 17.2262636750959)); #587261=CARTESIAN_POINT('Ctrl Pts',(2.6177520250619,-1.74461561609168,17.2642710084733)); #587262=CARTESIAN_POINT('Ctrl Pts',(2.95785019170573,-1.23430654671334, 17.2876610429702)); #587263=CARTESIAN_POINT('Ctrl Pts',(3.13540254238041,-0.615664763467291, 17.3110510774672)); #587264=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #587265=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #587266=CARTESIAN_POINT('Ctrl Pts',(3.14053269581932,0.366543464528334, 16.8024935071738)); #587267=CARTESIAN_POINT('Ctrl Pts',(3.08121725697124,0.730797939126566, 16.8163261120097)); #587268=CARTESIAN_POINT('Ctrl Pts',(2.95766089962414,1.07177107839456,16.8301587168457)); #587269=CARTESIAN_POINT('Ctrl Pts',(2.61784109599023,2.0095571034793,16.8682028382493)); #587270=CARTESIAN_POINT('Ctrl Pts',(1.79208546654399,2.77123675938308,16.9062469596528)); #587271=CARTESIAN_POINT('Ctrl Pts',(0.829973736040782,3.03439258084156, 16.9442910810564)); #587272=CARTESIAN_POINT('Ctrl Pts',(-0.132137994462421,3.29754840230003, 16.98233520246)); #587273=CARTESIAN_POINT('Ctrl Pts',(-1.23060582602259,3.0621803893132,17.0203793238635)); #587274=CARTESIAN_POINT('Ctrl Pts',(-2.00041842680485,2.42791192105972, 17.0584234452671)); #587275=CARTESIAN_POINT('Ctrl Pts',(-2.77023102758712,1.79364345280623, 17.0964675666707)); #587276=CARTESIAN_POINT('Ctrl Pts',(-3.21138839759148,0.760474529286069, 17.1345116880743)); #587277=CARTESIAN_POINT('Ctrl Pts',(-3.13712881438293,-0.234208055484309, 17.1725558094778)); #587278=CARTESIAN_POINT('Ctrl Pts',(-3.06286923117438,-1.22889064025469, 17.2105999308814)); #587279=CARTESIAN_POINT('Ctrl Pts',(-2.47319269475294,-2.18508688627528, 17.248644052285)); #587280=CARTESIAN_POINT('Ctrl Pts',(-1.61773566711622,-2.69802279085432, 17.2866881736885)); #587281=CARTESIAN_POINT('Ctrl Pts',(-0.76227863947951,-3.21095869543337, 17.3247322950921)); #587282=CARTESIAN_POINT('Ctrl Pts',(0.358958879372473,-3.28063425857086, 17.3627764164957)); #587283=CARTESIAN_POINT('Ctrl Pts',(1.27133231278374,-2.87752776421565, 17.4008205378993)); #587284=CARTESIAN_POINT('Ctrl Pts',(2.18370574619501,-2.47442126986045, 17.4388646593028)); #587285=CARTESIAN_POINT('Ctrl Pts',(2.88721509416557,-1.59853271801255, 17.4769087807064)); #587286=CARTESIAN_POINT('Ctrl Pts',(3.08401845426004,-0.620703445342384, 17.51495290211)); #587287=CARTESIAN_POINT('Ctrl Pts',(3.12489918198555,-0.417585103059615, 17.5228555688526)); #587288=CARTESIAN_POINT('Ctrl Pts',(3.14391597837654,-0.210068105593013, 17.5307582355952)); #587289=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #587290=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #587291=CARTESIAN_POINT('',(3.1384377634285,-7.23539389673E-5,18.2886609023379)); #587292=CARTESIAN_POINT('',(3.14262478120164,-0.00216711906965229,18.0844411119642)); #587293=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #587294=CARTESIAN_POINT('',(3.07169836564184,0.658790089114503,18.313879451585)); #587295=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #587296=CARTESIAN_POINT('Ctrl Pts',(3.13990061928093,0.221238178794897, 18.2970670854202)); #587297=CARTESIAN_POINT('Ctrl Pts',(3.11809265280788,0.442470403512261, 18.3054732685026)); #587298=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #587299=CARTESIAN_POINT('',(1.57077480926503,2.72066210745806,18.313879451585)); #587300=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #587301=CARTESIAN_POINT('Ctrl Pts',(1.91946192737125,2.5193475059565,18.313879451585)); #587302=CARTESIAN_POINT('Ctrl Pts',(2.22621839667792,2.25286428343241,18.313879451585)); #587303=CARTESIAN_POINT('Ctrl Pts',(2.72762914395201,1.61195245191927,18.313879451585)); #587304=CARTESIAN_POINT('Ctrl Pts',(2.91494274079418,1.24155555674097,18.313879451585)); #587305=CARTESIAN_POINT('Ctrl Pts',(3.04289427873002,0.783745047518918, 18.313879451585)); #587306=CARTESIAN_POINT('Ctrl Pts',(3.05826161392635,0.721440774881168, 18.313879451585)); #587307=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114503, 18.313879451585)); #587308=CARTESIAN_POINT('',(-1.0793726629548,2.95111452644882,18.3138794515849)); #587309=CARTESIAN_POINT('Ctrl Pts',(-1.08118963110113,2.94963824861871, 18.313879451585)); #587310=CARTESIAN_POINT('Ctrl Pts',(-1.02754735142733,2.96930081787497, 18.313879451585)); #587311=CARTESIAN_POINT('Ctrl Pts',(-0.973321148270182,2.9875175224576, 18.313879451585)); #587312=CARTESIAN_POINT('Ctrl Pts',(-0.559294415507785,3.1141082915632, 18.313879451585)); #587313=CARTESIAN_POINT('Ctrl Pts',(-0.183212301633368,3.15862530286276, 18.313879451585)); #587314=CARTESIAN_POINT('Ctrl Pts',(0.456176878905867,3.11952029700139, 18.313879451585)); #587315=CARTESIAN_POINT('Ctrl Pts',(0.717471597194611,3.06997371906914, 18.313879451585)); #587316=CARTESIAN_POINT('Ctrl Pts',(1.1786979722647,2.92034351957403,18.313879451585)); #587317=CARTESIAN_POINT('Ctrl Pts',(1.38025073969482,2.83066123031154,18.313879451585)); #587318=CARTESIAN_POINT('Ctrl Pts',(1.57077480926532,2.7206621074579,18.313879451585)); #587319=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #587320=CARTESIAN_POINT('Ctrl Pts',(3.13619539729102,0.803939047441443, 18.1151097444865)); #587321=CARTESIAN_POINT('Ctrl Pts',(2.81685012991075,1.6144731614651,18.1457783770088)); #587322=CARTESIAN_POINT('Ctrl Pts',(2.25667115242244,2.19148067576424,18.1764470095312)); #587323=CARTESIAN_POINT('Ctrl Pts',(1.56244687838888,2.90656038925561,18.2144543429086)); #587324=CARTESIAN_POINT('Ctrl Pts',(0.498340556735892,3.26298060760841, 18.252461676286)); #587325=CARTESIAN_POINT('Ctrl Pts',(-0.486274660953809,3.10844790379356, 18.2904690096635)); #587326=CARTESIAN_POINT('Ctrl Pts',(-0.688431063594321,3.076720001429,18.2982724903039)); #587327=CARTESIAN_POINT('Ctrl Pts',(-0.887236574143348,3.0234532347054, 18.3060759709444)); #587328=CARTESIAN_POINT('Ctrl Pts',(-1.0793726629548,2.95111452644882,18.3138794515849)); #587329=CARTESIAN_POINT('Ctrl Pts',(1.83658670077403,-2.97942197226418, 16.1240515597625)); #587330=CARTESIAN_POINT('Ctrl Pts',(2.78618117796146,-2.39406924775238, 16.162095681166)); #587331=CARTESIAN_POINT('Ctrl Pts',(4.07129556982109,-0.257832965794138, 16.2381839239732)); #587332=CARTESIAN_POINT('Ctrl Pts',(2.55666637361539,3.18289769857429,16.3523162881839)); #587333=CARTESIAN_POINT('Ctrl Pts',(-1.12522076442065,3.92156176270944, 16.4664486523946)); #587334=CARTESIAN_POINT('Ctrl Pts',(-3.85310418290991,1.34348173154855, 16.5805810166053)); #587335=CARTESIAN_POINT('Ctrl Pts',(-3.31935899647865,-2.37383080589438, 16.694713380816)); #587336=CARTESIAN_POINT('Ctrl Pts',(0.0251066919887215,-4.08042222274951, 16.8088457450267)); #587337=CARTESIAN_POINT('Ctrl Pts',(3.34814765036379,-2.33270235311535, 16.9229781092374)); #587338=CARTESIAN_POINT('Ctrl Pts',(3.83651124554415,1.39026030489733,17.0371104734482)); #587339=CARTESIAN_POINT('Ctrl Pts',(1.07658812469478,3.93602229312524,17.1512428376589)); #587340=CARTESIAN_POINT('Ctrl Pts',(-2.59482101588262,3.14935176035956, 17.2653752018696)); #587341=CARTESIAN_POINT('Ctrl Pts',(-4.06930133668239,-0.303808833505861, 17.3795075660803)); #587342=CARTESIAN_POINT('Ctrl Pts',(-2.09842539147896,-3.49970363985598, 17.493639930291)); #587343=CARTESIAN_POINT('Ctrl Pts',(1.649086877155,-3.73258028132716,17.6077722945018)); #587344=CARTESIAN_POINT('Ctrl Pts',(4.00042623950327,-0.805097889044338, 17.7219046587124)); #587345=CARTESIAN_POINT('Ctrl Pts',(2.96463865643817,2.80381746553527,17.8360370229232)); #587346=CARTESIAN_POINT('Ctrl Pts',(-0.58100341983456,4.03926364905909, 17.9501693871339)); #587347=CARTESIAN_POINT('Ctrl Pts',(-3.63513513735187,1.85395454572297, 18.0643017513446)); #587348=CARTESIAN_POINT('Ctrl Pts',(-3.61071497300167,-1.89934377009369, 18.1784341155553)); #587349=CARTESIAN_POINT('Ctrl Pts',(-0.530848533707648,-4.04791078394044, 18.292566479766)); #587350=CARTESIAN_POINT('Ctrl Pts',(3.00187207298658,-2.7623703443735,18.4066988439767)); #587351=CARTESIAN_POINT('Ctrl Pts',(3.65610468526816,-0.356751059959043, 18.4827870867839)); #587352=CARTESIAN_POINT('Ctrl Pts',(3.42217927080423,0.733958059681078, 18.5208312081874)); #587353=CARTESIAN_POINT('Ctrl Pts',(1.77388897228651,-2.87770993743322, 16.055067640895)); #587354=CARTESIAN_POINT('Ctrl Pts',(2.69106602507697,-2.31234008787306, 16.0931117622985)); #587355=CARTESIAN_POINT('Ctrl Pts',(3.93230895428557,-0.249031018349284, 16.1692000051057)); #587356=CARTESIAN_POINT('Ctrl Pts',(2.46938643775945,3.07423937338482,16.2833323693164)); #587357=CARTESIAN_POINT('Ctrl Pts',(-1.08680778524515,3.78768679291102, 16.3974647335271)); #587358=CARTESIAN_POINT('Ctrl Pts',(-3.7215662365963,1.29761771432751,16.5115970977378)); #587359=CARTESIAN_POINT('Ctrl Pts',(-3.2060421378441,-2.29279254952663, 16.6257294619485)); #587360=CARTESIAN_POINT('Ctrl Pts',(0.0242495887808903,-3.9411240464249, 16.7398618261592)); #587361=CARTESIAN_POINT('Ctrl Pts',(3.23384798654292,-2.25306814715075, 16.85399419037)); #587362=CARTESIAN_POINT('Ctrl Pts',(3.70553973884159,1.34279935245672,16.9681265545807)); #587363=CARTESIAN_POINT('Ctrl Pts',(1.03983536216244,3.80165366717912,17.0822589187914)); #587364=CARTESIAN_POINT('Ctrl Pts',(-2.506238563116,3.04183863234849,17.1963912830021)); #587365=CARTESIAN_POINT('Ctrl Pts',(-3.93038281365353,-0.293437353748599, 17.3105236472128)); #587366=CARTESIAN_POINT('Ctrl Pts',(-2.0267889804298,-3.38023013733594, 17.4246560114235)); #587367=CARTESIAN_POINT('Ctrl Pts',(1.59279005084482,-3.60515679478756, 17.5387883756343)); #587368=CARTESIAN_POINT('Ctrl Pts',(3.86385897371422,-0.777613314751103, 17.6529207398449)); #587369=CARTESIAN_POINT('Ctrl Pts',(2.86343129055585,2.70810024846106,17.7670531040557)); #587370=CARTESIAN_POINT('Ctrl Pts',(-0.561169028727404,3.90137055142423, 17.8811854682664)); #587371=CARTESIAN_POINT('Ctrl Pts',(-3.51103825690902,1.79066391718758, 17.9953178324771)); #587372=CARTESIAN_POINT('Ctrl Pts',(-3.48745175245323,-1.83450363616655, 18.1094501966878)); #587373=CARTESIAN_POINT('Ctrl Pts',(-0.512726338983489,-3.90972248887035, 18.2235825608985)); #587374=CARTESIAN_POINT('Ctrl Pts',(2.8993936261376,-2.66806805644652,18.3377149251092)); #587375=CARTESIAN_POINT('Ctrl Pts',(3.531291929663,-0.344572229101197,18.4138031679164)); #587376=CARTESIAN_POINT('Ctrl Pts',(3.30535230241676,0.708902069492218, 18.45184728932)); #587377=CARTESIAN_POINT('Ctrl Pts',(1.71119124379899,-2.77599790260225, 15.9860837220275)); #587378=CARTESIAN_POINT('Ctrl Pts',(2.59595087219249,-2.23061092799375, 16.024127843431)); #587379=CARTESIAN_POINT('Ctrl Pts',(3.79332233875004,-0.240229070904429, 16.1002160862382)); #587380=CARTESIAN_POINT('Ctrl Pts',(2.38210650190351,2.96558104819535,16.2143484504489)); #587381=CARTESIAN_POINT('Ctrl Pts',(-1.04839480606966,3.65381182311261, 16.3284808146596)); #587382=CARTESIAN_POINT('Ctrl Pts',(-3.59002829028269,1.25175369710647, 16.4426131788703)); #587383=CARTESIAN_POINT('Ctrl Pts',(-3.09272527920955,-2.21175429315887, 16.556745543081)); #587384=CARTESIAN_POINT('Ctrl Pts',(0.0233924855730612,-3.80182587010029, 16.6708779072918)); #587385=CARTESIAN_POINT('Ctrl Pts',(3.11954832272205,-2.17343394118615, 16.7850102715025)); #587386=CARTESIAN_POINT('Ctrl Pts',(3.57456823213903,1.29533840001611,16.8991426357132)); #587387=CARTESIAN_POINT('Ctrl Pts',(1.00308259963009,3.66728504123299,17.0132749999239)); #587388=CARTESIAN_POINT('Ctrl Pts',(-2.41765611034938,2.93432550433743, 17.1274073641346)); #587389=CARTESIAN_POINT('Ctrl Pts',(-3.79146429062468,-0.283065873991335, 17.2415397283453)); #587390=CARTESIAN_POINT('Ctrl Pts',(-1.95515256938064,-3.26075663481591, 17.355672092556)); #587391=CARTESIAN_POINT('Ctrl Pts',(1.53649322453463,-3.47773330824795, 17.4698044567668)); #587392=CARTESIAN_POINT('Ctrl Pts',(3.72729170792517,-0.750128740457872, 17.5839368209774)); #587393=CARTESIAN_POINT('Ctrl Pts',(2.76222392467353,2.61238303138684,17.6980691851882)); #587394=CARTESIAN_POINT('Ctrl Pts',(-0.541334637620248,3.76347745378937, 17.8122015493989)); #587395=CARTESIAN_POINT('Ctrl Pts',(-3.38694137646616,1.72737328865218, 17.9263339136096)); #587396=CARTESIAN_POINT('Ctrl Pts',(-3.36418853190479,-1.7696635022394, 18.0404662778203)); #587397=CARTESIAN_POINT('Ctrl Pts',(-0.494604144259334,-3.77153419380027, 18.154598642031)); #587398=CARTESIAN_POINT('Ctrl Pts',(2.79691517928862,-2.57376576851955, 18.2687310062417)); #587399=CARTESIAN_POINT('Ctrl Pts',(3.40647917405784,-0.332393398243351, 18.3448192490489)); #587400=CARTESIAN_POINT('Ctrl Pts',(3.1885253340293,0.683846079303359,18.3828633704525)); #587401=CARTESIAN_POINT('Ctrl Pts',(1.64849351531147,-2.67428586777128, 15.91709980316)); #587402=CARTESIAN_POINT('Ctrl Pts',(2.500835719308,-2.14888176811444,15.9551439245635)); #587403=CARTESIAN_POINT('Ctrl Pts',(3.65433572321452,-0.231427123459575, 16.0312321673707)); #587404=CARTESIAN_POINT('Ctrl Pts',(2.29482656604758,2.85692272300588,16.1453645315814)); #587405=CARTESIAN_POINT('Ctrl Pts',(-1.00998182689416,3.51993685331419, 16.2594968957921)); #587406=CARTESIAN_POINT('Ctrl Pts',(-3.45849034396908,1.20588967988543, 16.3736292600028)); #587407=CARTESIAN_POINT('Ctrl Pts',(-2.979408420575,-2.13071603679112,16.4877616242135)); #587408=CARTESIAN_POINT('Ctrl Pts',(0.0225353823652307,-3.66252769377569, 16.6018939884242)); #587409=CARTESIAN_POINT('Ctrl Pts',(3.00524865890118,-2.09379973522155, 16.716026352635)); #587410=CARTESIAN_POINT('Ctrl Pts',(3.44359672543647,1.2478774475755,16.8301587168457)); #587411=CARTESIAN_POINT('Ctrl Pts',(0.96632983709775,3.53291641528686,16.9442910810564)); #587412=CARTESIAN_POINT('Ctrl Pts',(-2.32907365758277,2.82681237632636, 17.0584234452671)); #587413=CARTESIAN_POINT('Ctrl Pts',(-3.65254576759582,-0.272694394234074, 17.1725558094778)); #587414=CARTESIAN_POINT('Ctrl Pts',(-1.88351615833149,-3.14128313229587, 17.2866881736885)); #587415=CARTESIAN_POINT('Ctrl Pts',(1.48019639822445,-3.35030982170834, 17.4008205378993)); #587416=CARTESIAN_POINT('Ctrl Pts',(3.59072444213613,-0.722644166164638, 17.51495290211)); #587417=CARTESIAN_POINT('Ctrl Pts',(2.66101655879121,2.51666581431262,17.6290852663207)); #587418=CARTESIAN_POINT('Ctrl Pts',(-0.521500246513092,3.6255843561545, 17.7432176305314)); #587419=CARTESIAN_POINT('Ctrl Pts',(-3.2628444960233,1.66408266011678,17.8573499947421)); #587420=CARTESIAN_POINT('Ctrl Pts',(-3.24092531135635,-1.70482336831226, 17.9714823589528)); #587421=CARTESIAN_POINT('Ctrl Pts',(-0.476481949535174,-3.63334589873018, 18.0856147231635)); #587422=CARTESIAN_POINT('Ctrl Pts',(2.69443673243964,-2.47946348059257, 18.1997470873742)); #587423=CARTESIAN_POINT('Ctrl Pts',(3.28166641845268,-0.320214567385505, 18.2758353301814)); #587424=CARTESIAN_POINT('Ctrl Pts',(3.07169836564183,0.658790089114499, 18.313879451585)); #587425=CARTESIAN_POINT('Ctrl Pts',(1.83658619855958,-2.97942115744861, 16.124051007151)); #587426=CARTESIAN_POINT('Ctrl Pts',(1.89215985003611,-2.94046286380568, 16.124051007151)); #587427=CARTESIAN_POINT('Ctrl Pts',(1.94653484304246,-2.89975409891685, 16.124051007151)); #587428=CARTESIAN_POINT('Ctrl Pts',(1.99966975293879,-2.85736659941074, 16.124051007151)); #587429=CARTESIAN_POINT('Ctrl Pts',(2.02837441557352,-2.83446792741474, 16.124051007151)); #587430=CARTESIAN_POINT('Ctrl Pts',(2.05671706358885,-2.81107925408046, 16.124051007151)); #587431=CARTESIAN_POINT('Ctrl Pts',(2.08469036598821,-2.78721139969541, 16.124051007151)); #587432=CARTESIAN_POINT('Ctrl Pts',(2.09994254159134,-2.77419768035854, 16.124051007151)); #587433=CARTESIAN_POINT('Ctrl Pts',(2.11508747355436,-2.76103910516192, 16.124051007151)); #587434=CARTESIAN_POINT('Ctrl Pts',(2.13010446571208,-2.74775495647365, 16.124051007151)); #587435=CARTESIAN_POINT('Ctrl Pts',(2.21275000662401,-2.67464606473515, 16.124051007151)); #587436=CARTESIAN_POINT('Ctrl Pts',(2.2916415100986,-2.59763872070582,16.124051007151)); #587437=CARTESIAN_POINT('Ctrl Pts',(2.36664851057009,-2.5171160537863,16.124051007151)); #587438=CARTESIAN_POINT('Ctrl Pts',(2.44327880067379,-2.43485072805431, 16.124051007151)); #587439=CARTESIAN_POINT('Ctrl Pts',(2.51585513965461,-2.34891556299222, 16.124051007151)); #587440=CARTESIAN_POINT('Ctrl Pts',(2.58419781905241,-2.25968361709366, 16.124051007151)); #587441=CARTESIAN_POINT('Ctrl Pts',(2.65225631749946,-2.17082271341126, 16.124051007151)); #587442=CARTESIAN_POINT('Ctrl Pts',(2.71611663587206,-2.07869154495977, 16.124051007151)); #587443=CARTESIAN_POINT('Ctrl Pts',(2.77555532207805,-1.98363569299008, 16.124051007151)); #587444=CARTESIAN_POINT('Ctrl Pts',(2.81717591891057,-1.91707498070094, 16.124051007151)); #587445=CARTESIAN_POINT('Ctrl Pts',(2.856627560429,-1.84907975558213,16.124051007151)); #587446=CARTESIAN_POINT('Ctrl Pts',(2.89381862766435,-1.77976527303981, 16.124051007151)); #587447=CARTESIAN_POINT('Ctrl Pts',(2.90358706192063,-1.76155945061914, 16.124051007151)); #587448=CARTESIAN_POINT('Ctrl Pts',(2.91319947471766,-1.74326260806439, 16.124051007151)); #587449=CARTESIAN_POINT('Ctrl Pts',(2.92265405537008,-1.72487682305488, 16.124051007151)); #587450=CARTESIAN_POINT('Ctrl Pts',(2.93036451978628,-1.7098827216461,16.124051007151)); #587451=CARTESIAN_POINT('Ctrl Pts',(2.9379662111014,-1.69483679481584,16.124051007151)); #587452=CARTESIAN_POINT('Ctrl Pts',(2.94545589912988,-1.67974562055623, 16.124051007151)); #587453=CARTESIAN_POINT('Ctrl Pts',(2.99468877117078,-1.58054497982919, 16.124051007151)); #587454=CARTESIAN_POINT('Ctrl Pts',(3.03912313093138,-1.47931862906617, 16.124051007151)); #587455=CARTESIAN_POINT('Ctrl Pts',(3.07858762606338,-1.37642057002605, 16.124051007151)); #587456=CARTESIAN_POINT('Ctrl Pts',(3.11750833788834,-1.27494034863077, 16.124051007151)); #587457=CARTESIAN_POINT('Ctrl Pts',(3.1515954195832,-1.17183335265399,16.124051007151)); #587458=CARTESIAN_POINT('Ctrl Pts',(3.18063671070418,-1.06742474212113, 16.124051007151)); #587459=CARTESIAN_POINT('Ctrl Pts',(3.20916981544692,-0.964843152068247, 16.124051007151)); #587460=CARTESIAN_POINT('Ctrl Pts',(3.23283233980177,-0.861004387878595, 16.124051007151)); #587461=CARTESIAN_POINT('Ctrl Pts',(3.25137384721072,-0.7562140746629,16.124051007151)); #587462=CARTESIAN_POINT('Ctrl Pts',(3.25967112214571,-0.709320689993696, 16.124051007151)); #587463=CARTESIAN_POINT('Ctrl Pts',(3.26694221467541,-0.662236780705958, 16.124051007151)); #587464=CARTESIAN_POINT('Ctrl Pts',(3.27316126747323,-0.614990116551343, 16.124051007151)); #587465=CARTESIAN_POINT('Ctrl Pts',(3.27842103343564,-0.575031234702829, 16.124051007151)); #587466=CARTESIAN_POINT('Ctrl Pts',(3.28292824757364,-0.534955936578829, 16.124051007151)); #587467=CARTESIAN_POINT('Ctrl Pts',(3.28666602562668,-0.494781289354301, 16.124051007151)); #587468=CARTESIAN_POINT('Ctrl Pts',(3.28814006086123,-0.47893796085698, 16.124051007151)); #587469=CARTESIAN_POINT('Ctrl Pts',(3.28949438972728,-0.46307865766969, 16.124051007151)); #587470=CARTESIAN_POINT('Ctrl Pts',(3.29072693505982,-0.447219949637573, 16.124051007151)); #587471=CARTESIAN_POINT('Ctrl Pts',(3.29697080996258,-0.366882304881134, 16.124051007151)); #587472=CARTESIAN_POINT('Ctrl Pts',(3.30010023844037,-0.286464189319955, 16.124051007151)); #587473=CARTESIAN_POINT('Ctrl Pts',(3.3000315586916,-0.206099361049728, 16.124051007151)); #587474=CARTESIAN_POINT('Ctrl Pts',(3.2999402523377,-0.0992582676844699, 16.124051007151)); #587475=CARTESIAN_POINT('Ctrl Pts',(3.29419850969426,0.00748921634354088, 16.124051007151)); #587476=CARTESIAN_POINT('Ctrl Pts',(3.28303919283747,0.113781382803308, 16.124051007151)); #587477=CARTESIAN_POINT('Ctrl Pts',(3.27176873039012,0.221132207760332, 16.124051007151)); #587478=CARTESIAN_POINT('Ctrl Pts',(3.25497183359767,0.328019467597519, 16.124051007151)); #587479=CARTESIAN_POINT('Ctrl Pts',(3.23283616428531,0.434057472339201, 16.124051007151)); #587480=CARTESIAN_POINT('Ctrl Pts',(3.21701129861958,0.509864404436948, 16.124051007151)); #587481=CARTESIAN_POINT('Ctrl Pts',(3.19845674800975,0.585237051476139, 16.124051007151)); #587482=CARTESIAN_POINT('Ctrl Pts',(3.17722673202067,0.660026711956177, 16.124051007151)); #587483=CARTESIAN_POINT('Ctrl Pts',(3.16882872771667,0.689611423099539, 16.124051007151)); #587484=CARTESIAN_POINT('Ctrl Pts',(3.16001195111445,0.71910482249221,16.124051007151)); #587485=CARTESIAN_POINT('Ctrl Pts',(3.15077929558574,0.748497328616704, 16.124051007151)); #587486=CARTESIAN_POINT('Ctrl Pts',(3.14420663032776,0.769421655669574, 16.124051007151)); #587487=CARTESIAN_POINT('Ctrl Pts',(3.1374220633295,0.790297894203342,16.124051007151)); #587488=CARTESIAN_POINT('Ctrl Pts',(3.13043494481488,0.811098526616193, 16.124051007151)); #587489=CARTESIAN_POINT('Ctrl Pts',(3.09622816365857,0.912932019116787, 16.124051007151)); #587490=CARTESIAN_POINT('Ctrl Pts',(3.0571356860537,1.0130712704444,16.124051007151)); #587491=CARTESIAN_POINT('Ctrl Pts',(3.01335093299407,1.11113927630149,16.124051007151)); #587492=CARTESIAN_POINT('Ctrl Pts',(2.97409533651081,1.19906298939408,16.124051007151)); #587493=CARTESIAN_POINT('Ctrl Pts',(2.93106710559657,1.28532197934821,16.124051007151)); #587494=CARTESIAN_POINT('Ctrl Pts',(2.88437622976558,1.3696243751211,16.124051007151)); #587495=CARTESIAN_POINT('Ctrl Pts',(2.86717223458524,1.40068693252133,16.124051007151)); #587496=CARTESIAN_POINT('Ctrl Pts',(2.84947072363823,1.43148362369134,16.124051007151)); #587497=CARTESIAN_POINT('Ctrl Pts',(2.83127636952416,1.46199898670638,16.124051007151)); #587498=CARTESIAN_POINT('Ctrl Pts',(2.82148273731608,1.47842475484255,16.124051007151)); #587499=CARTESIAN_POINT('Ctrl Pts',(2.81154862236839,1.4947650159177,16.124051007151)); #587500=CARTESIAN_POINT('Ctrl Pts',(2.80147921311222,1.51101073392397,16.124051007151)); #587501=CARTESIAN_POINT('Ctrl Pts',(2.74581722414915,1.60081431160736,16.124051007151)); #587502=CARTESIAN_POINT('Ctrl Pts',(2.68598627143523,1.68779556160269,16.124051007151)); #587503=CARTESIAN_POINT('Ctrl Pts',(2.62214607261027,1.77157558616152,16.124051007151)); #587504=CARTESIAN_POINT('Ctrl Pts',(2.55969098963038,1.85353786826884,16.124051007151)); #587505=CARTESIAN_POINT('Ctrl Pts',(2.49339819324477,1.93243691037874,16.124051007151)); #587506=CARTESIAN_POINT('Ctrl Pts',(2.42338487830086,2.00788018792936,16.124051007151)); #587507=CARTESIAN_POINT('Ctrl Pts',(2.40613695031394,2.02646579867117,16.124051007151)); #587508=CARTESIAN_POINT('Ctrl Pts',(2.38866309151567,2.04484147533165,16.124051007151)); #587509=CARTESIAN_POINT('Ctrl Pts',(2.37096480130085,2.06300092528242,16.124051007151)); #587510=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #587511=CARTESIAN_POINT('Ctrl Pts',(3.49288753611514,-0.70963637435034, 16.9714005897612)); #587512=CARTESIAN_POINT('Ctrl Pts',(3.27996747155483,-1.42323207544089, 16.9471890731936)); #587513=CARTESIAN_POINT('Ctrl Pts',(2.87570063674174,-2.00349467381975, 16.922977556626)); #587514=CARTESIAN_POINT('Ctrl Pts',(2.24046671820103,-2.91527484574811, 16.8849334352224)); #587515=CARTESIAN_POINT('Ctrl Pts',(1.13278670166186,-3.49784797630887, 16.8468893138189)); #587516=CARTESIAN_POINT('Ctrl Pts',(0.0215358977504794,-3.50470271656412, 16.8088451924153)); #587517=CARTESIAN_POINT('Ctrl Pts',(-1.08971490616089,-3.51155745681938, 16.7708010710117)); #587518=CARTESIAN_POINT('Ctrl Pts',(-2.20453649744446,-2.94269380676914, 16.7327569496081)); #587519=CARTESIAN_POINT('Ctrl Pts',(-2.85090479983077,-2.03871006160015, 16.6947128282046)); #587520=CARTESIAN_POINT('Ctrl Pts',(-3.49727310221707,-1.13472631643115, 16.656668706801)); #587521=CARTESIAN_POINT('Ctrl Pts',(-3.67518811570609,0.104377523856602, 16.6186245853974)); #587522=CARTESIAN_POINT('Ctrl Pts',(-3.30949851036887,1.15360933168865, 16.5805804639939)); #587523=CARTESIAN_POINT('Ctrl Pts',(-2.94380890503164,2.2028411395207,16.5425363425903)); #587524=CARTESIAN_POINT('Ctrl Pts',(-2.03451468086817,3.06220091489704, 16.5044922211867)); #587525=CARTESIAN_POINT('Ctrl Pts',(-0.9662198802624,3.36877015889664,16.4664480997831)); #587526=CARTESIAN_POINT('Ctrl Pts',(0.102074920343371,3.67533940289624, 16.4284039783796)); #587527=CARTESIAN_POINT('Ctrl Pts',(1.32937029739144,3.42911811551908,16.390359856976)); #587528=CARTESIAN_POINT('Ctrl Pts',(2.19545611624839,2.73255251792617,16.3523157355724)); #587529=CARTESIAN_POINT('Ctrl Pts',(3.00516947623622,2.08132551686146,16.3167478591579)); #587530=CARTESIAN_POINT('Ctrl Pts',(3.49916436671901,1.03647101301514,16.2811799827434)); #587531=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #587532=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #587533=CARTESIAN_POINT('Ctrl Pts',(3.09948672514699,0.56094035811476,18.3138628738418)); #587534=CARTESIAN_POINT('Ctrl Pts',(3.12210615386819,0.461889142831178, 18.3138451122158)); #587535=CARTESIAN_POINT('Ctrl Pts',(3.13973720232315,0.36199781193028,18.3138261374291)); #587536=CARTESIAN_POINT('Ctrl Pts',(3.15769542828677,0.260252808125917, 18.3138068105292)); #587537=CARTESIAN_POINT('Ctrl Pts',(3.17047847189138,0.157635514392792, 18.3137862250258)); #587538=CARTESIAN_POINT('Ctrl Pts',(3.17822643044008,0.0545071814366362, 18.3137643499807)); #587539=CARTESIAN_POINT('Ctrl Pts',(3.1861029150987,-0.050331883885189, 18.3137421120639)); #587540=CARTESIAN_POINT('Ctrl Pts',(3.18877592820569,-0.155699904605395, 18.3137185414678)); #587541=CARTESIAN_POINT('Ctrl Pts',(3.18634000468336,-0.261226787175135, 18.3136936056888)); #587542=CARTESIAN_POINT('Ctrl Pts',(3.18545806517412,-0.299433375424603, 18.3136845775529)); #587543=CARTESIAN_POINT('Ctrl Pts',(3.18390592809865,-0.337660814735819, 18.313675370463)); #587544=CARTESIAN_POINT('Ctrl Pts',(3.18168640091088,-0.375891506873506, 18.3136659828767)); #587545=CARTESIAN_POINT('Ctrl Pts',(3.17539908019635,-0.484188726178672, 18.3136393903815)); #587546=CARTESIAN_POINT('Ctrl Pts',(3.16379237726326,-0.591960737539302, 18.3136114861663)); #587547=CARTESIAN_POINT('Ctrl Pts',(3.1470556990264,-0.698802318222372, 18.3135822224676)); #587548=CARTESIAN_POINT('Ctrl Pts',(3.13040038082277,-0.805124522573343, 18.313553101025)); #587549=CARTESIAN_POINT('Ctrl Pts',(3.10866465573229,-0.910526234868536, 18.3135226332842)); #587550=CARTESIAN_POINT('Ctrl Pts',(3.081981382689,-1.01461839078732,18.3134907721748)); #587551=CARTESIAN_POINT('Ctrl Pts',(3.05510259083711,-1.11947327076461, 18.3134586776066)); #587552=CARTESIAN_POINT('Ctrl Pts',(3.02320341394098,-1.22300026871316, 18.3134251691755)); #587553=CARTESIAN_POINT('Ctrl Pts',(2.98636666562221,-1.32479827598105, 18.3133901987689)); #587554=CARTESIAN_POINT('Ctrl Pts',(2.94952991730344,-1.42659628324895, 18.3133552283624)); #587555=CARTESIAN_POINT('Ctrl Pts',(2.90775547922652,-1.52666626636195, 18.3133187959804)); #587556=CARTESIAN_POINT('Ctrl Pts',(2.86107485570497,-1.62458940788037, 18.3132808535104)); #587557=CARTESIAN_POINT('Ctrl Pts',(2.81385850477636,-1.72363635852426, 18.3132424755958)); #587558=CARTESIAN_POINT('Ctrl Pts',(2.76185747909841,-1.81999259688256, 18.3132027420494)); #587559=CARTESIAN_POINT('Ctrl Pts',(2.70520716145139,-1.91326379952785, 18.3131615901987)); #587560=CARTESIAN_POINT('Ctrl Pts',(2.64895519612123,-2.00587914001362, 18.3131207277185)); #587561=CARTESIAN_POINT('Ctrl Pts',(2.58811888230296,-2.09545357734137, 18.3130784668102)); #587562=CARTESIAN_POINT('Ctrl Pts',(2.52278122568432,-2.18158945736802, 18.313034746114)); #587563=CARTESIAN_POINT('Ctrl Pts',(2.50138858385768,-2.20979178547703, 18.3130204312267)); #587564=CARTESIAN_POINT('Ctrl Pts',(2.47951300629633,-2.23762534401917, 18.3130059598476)); #587565=CARTESIAN_POINT('Ctrl Pts',(2.45715629767528,-2.26507565283712, 18.3129913298231)); #587566=CARTESIAN_POINT('Ctrl Pts',(2.38943968765183,-2.34822035399845, 18.3129470166961)); #587567=CARTESIAN_POINT('Ctrl Pts',(2.31731216846123,-2.42785148426232, 18.3129012481023)); #587568=CARTESIAN_POINT('Ctrl Pts',(2.24115458976056,-2.50385589279324, 18.3128539641938)); #587569=CARTESIAN_POINT('Ctrl Pts',(2.19583326437802,-2.54908606670771, 18.3128258255707)); #587570=CARTESIAN_POINT('Ctrl Pts',(2.14908387538874,-2.59303125349991, 18.3127971503091)); #587571=CARTESIAN_POINT('Ctrl Pts',(2.10098037214153,-2.63566160771841, 18.3127679257962)); #587572=CARTESIAN_POINT('Ctrl Pts',(2.01989347652605,-2.70752255025312, 18.3127186627516)); #587573=CARTESIAN_POINT('Ctrl Pts',(1.9353367515444,-2.77531635014735,18.3126680654504)); #587574=CARTESIAN_POINT('Ctrl Pts',(1.84774456000939,-2.8389716332409,18.3126160620018)); #587575=CARTESIAN_POINT('Ctrl Pts',(1.75858573015443,-2.90376542884354, 18.3125631284407)); #587576=CARTESIAN_POINT('Ctrl Pts',(1.66628125824312,-2.96427181068222, 18.3125087379828)); #587577=CARTESIAN_POINT('Ctrl Pts',(1.5712443126537,-3.02038332255174,18.3124528148104)); #587578=CARTESIAN_POINT('Ctrl Pts',(1.47501793909359,-3.07719709396129, 18.3123961917355)); #587579=CARTESIAN_POINT('Ctrl Pts',(1.37598953523807,-3.12950579627697, 18.312337997341)); #587580=CARTESIAN_POINT('Ctrl Pts',(1.27455271384306,-3.17715788828015, 18.3122781529264)); #587581=CARTESIAN_POINT('Ctrl Pts',(1.1921461516537,-3.21587011357577,18.3122295357438)); #587582=CARTESIAN_POINT('Ctrl Pts',(1.10814922325215,-3.25150840927214, 18.3121798295771)); #587583=CARTESIAN_POINT('Ctrl Pts',(1.02276137037514,-3.2839690096504,18.3121289922301)); #587584=CARTESIAN_POINT('Ctrl Pts',(0.916477915690889,-3.32437317292519, 18.3120657142732)); #587585=CARTESIAN_POINT('Ctrl Pts',(0.808524752464887,-3.35967084910363, 18.3120009679371)); #587586=CARTESIAN_POINT('Ctrl Pts',(0.699330282149988,-3.38975166233564, 18.3119346609001)); #587587=CARTESIAN_POINT('Ctrl Pts',(0.589779838635767,-3.41993053880559, 18.3118681377027)); #587588=CARTESIAN_POINT('Ctrl Pts',(0.478979150907185,-3.44485891466822, 18.3118000436121)); #587589=CARTESIAN_POINT('Ctrl Pts',(0.367332431792537,-3.4643817509362, 18.3117302854009)); #587590=CARTESIAN_POINT('Ctrl Pts',(0.278305826030826,-3.47994917523279, 18.3116746605083)); #587591=CARTESIAN_POINT('Ctrl Pts',(0.188740568735725,-3.49207878378274, 18.3116179775015)); #587592=CARTESIAN_POINT('Ctrl Pts',(0.0988340980891243,-3.50066939859337, 18.311560189113)); #587593=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #587594=CARTESIAN_POINT('Ctrl Pts',(3.1358724250832,0.790392762758331,17.5688023569988)); #587595=CARTESIAN_POINT('Ctrl Pts',(2.82855141249783,1.58743419427067,17.5989438116597)); #587596=CARTESIAN_POINT('Ctrl Pts',(2.28554840513132,2.16160057454006,17.6290852663207)); #587597=CARTESIAN_POINT('Ctrl Pts',(1.60017762368978,2.88630532825992,17.6671293877243)); #587598=CARTESIAN_POINT('Ctrl Pts',(0.539338688588343,3.25594484220722, 17.7051735091278)); #587599=CARTESIAN_POINT('Ctrl Pts',(-0.447971487214078,3.11384764984124, 17.7432176305314)); #587600=CARTESIAN_POINT('Ctrl Pts',(-1.4352816630165,2.97175045747527,17.781261751935)); #587601=CARTESIAN_POINT('Ctrl Pts',(-2.3490630795199,2.31791655879604,17.8193058733385)); #587602=CARTESIAN_POINT('Ctrl Pts',(-2.80230059032711,1.42951527138491, 17.8573499947421)); #587603=CARTESIAN_POINT('Ctrl Pts',(-3.25553810113433,0.541113983973781, 17.8953941161457)); #587604=CARTESIAN_POINT('Ctrl Pts',(-3.24823170624534,-0.58185469216925, 17.9334382375492)); #587605=CARTESIAN_POINT('Ctrl Pts',(-2.78383794849732,-1.46475945197708, 17.9714823589528)); #587606=CARTESIAN_POINT('Ctrl Pts',(-2.3194441907493,-2.34766421178491, 18.0095264803564)); #587607=CARTESIAN_POINT('Ctrl Pts',(-1.39796307014224,-2.99050505525754, 18.04757060176)); #587608=CARTESIAN_POINT('Ctrl Pts',(-0.408736062842915,-3.1196117406376, 18.0856147231635)); #587609=CARTESIAN_POINT('Ctrl Pts',(0.580490944456428,-3.24871842601765, 18.1236588445671)); #587610=CARTESIAN_POINT('Ctrl Pts',(1.63746383844805,-2.86409095330511, 18.1617029659707)); #587611=CARTESIAN_POINT('Ctrl Pts',(2.31275770694711,-2.13196498864707, 18.1997470873743)); #587612=CARTESIAN_POINT('Ctrl Pts',(2.83883945847313,-1.56160869223786, 18.2293850256955)); #587613=CARTESIAN_POINT('Ctrl Pts',(3.13327822285973,-0.780353752402233, 18.2590229640167)); #587614=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #587615=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527353,2.06051459651724, 16.1240510071513)); #587616=CARTESIAN_POINT('Ctrl Pts',(-3.0275040501623,1.30543308903905,16.1620583405287)); #587617=CARTESIAN_POINT('Ctrl Pts',(-3.55664739305144,-0.866067985367731, 16.2380730072835)); #587618=CARTESIAN_POINT('Ctrl Pts',(-1.34363573278971,-3.40804878944336, 16.3520950074157)); #587619=CARTESIAN_POINT('Ctrl Pts',(2.00525164410097,-3.0628357256803,16.466117007548)); #587620=CARTESIAN_POINT('Ctrl Pts',(3.65920629018368,-0.132399249562929, 16.5801390076802)); #587621=CARTESIAN_POINT('Ctrl Pts',(2.22065744589257,2.91161006550824,16.6941610078124)); #587622=CARTESIAN_POINT('Ctrl Pts',(-1.09474055367461,3.49401474464567, 16.8081830079446)); #587623=CARTESIAN_POINT('Ctrl Pts',(-3.48488840085194,1.12385687717039, 16.9222050080769)); #587624=CARTESIAN_POINT('Ctrl Pts',(-2.92977612431047,-2.1963488282337, 17.0362270082091)); #587625=CARTESIAN_POINT('Ctrl Pts',(0.101459132656836,-3.66018812870091, 17.1502490083413)); #587626=CARTESIAN_POINT('Ctrl Pts',(3.04694130989064,-2.03065952138212, 17.2642710084735)); #587627=CARTESIAN_POINT('Ctrl Pts',(3.41728777814984,1.31513251768073,17.3782930086058)); #587628=CARTESIAN_POINT('Ctrl Pts',(0.899489265700557,3.54939288504627, 17.492315008738)); #587629=CARTESIAN_POINT('Ctrl Pts',(-2.37850861128204,2.78392400966886, 17.6063370088703)); #587630=CARTESIAN_POINT('Ctrl Pts',(-3.64631452325559,-0.334502474205637, 17.7203590090024)); #587631=CARTESIAN_POINT('Ctrl Pts',(-1.83238303248882,-3.17001082279607, 17.8343810091347)); #587632=CARTESIAN_POINT('Ctrl Pts',(1.53012805894512,-3.32678539279723, 17.9484030092669)); #587633=CARTESIAN_POINT('Ctrl Pts',(3.59957987928372,-0.671077454986942, 18.0624250093992)); #587634=CARTESIAN_POINT('Ctrl Pts',(2.6265532000416,2.5501401709025,18.1764470095314)); #587635=CARTESIAN_POINT('Ctrl Pts',(-0.565765764917012,3.61940082596093, 18.2904690096636)); #587636=CARTESIAN_POINT('Ctrl Pts',(-3.28113810609616,1.62294394801373, 18.4044910097958)); #587637=CARTESIAN_POINT('Ctrl Pts',(-3.23971342645715,-0.611713428272497, 18.4805056765507)); #587638=CARTESIAN_POINT('Ctrl Pts',(-2.76464558539531,-1.49200276262688, 18.5185130099281)); #587639=CARTESIAN_POINT('Ctrl Pts',(-2.46160998518763,2.13888324114227, 16.0550667504322)); #587640=CARTESIAN_POINT('Ctrl Pts',(-3.14265071036277,1.35508331816329, 16.0930740838097)); #587641=CARTESIAN_POINT('Ctrl Pts',(-3.69191924269181,-0.89900760846153, 16.1690887505645)); #587642=CARTESIAN_POINT('Ctrl Pts',(-1.39473893608126,-3.53766891683166, 16.2831107506967)); #587643=CARTESIAN_POINT('Ctrl Pts',(2.08151845080485,-3.17932618149904, 16.3971327508289)); #587644=CARTESIAN_POINT('Ctrl Pts',(3.79837883126886,-0.137434860459234, 16.5111547509612)); #587645=CARTESIAN_POINT('Ctrl Pts',(2.30511689571626,3.02234887556209,16.6251767510934)); #587646=CARTESIAN_POINT('Ctrl Pts',(-1.13637739459444,3.62690446079299, 16.7391987512256)); #587647=CARTESIAN_POINT('Ctrl Pts',(-3.61743100267801,1.16660112191301, 16.8532207513578)); #587648=CARTESIAN_POINT('Ctrl Pts',(-3.04120584646803,-2.27988372826091, 16.9672427514901)); #587649=CARTESIAN_POINT('Ctrl Pts',(0.105317988921666,-3.79939800532447, 17.0812647516223)); #587650=CARTESIAN_POINT('Ctrl Pts',(3.16282725220933,-2.10789267211329, 17.1952867517545)); #587651=CARTESIAN_POINT('Ctrl Pts',(3.54725930414312,1.36515165031949,17.3093087518868)); #587652=CARTESIAN_POINT('Ctrl Pts',(0.933700025324052,3.68438882738234, 17.423330752019)); #587653=CARTESIAN_POINT('Ctrl Pts',(-2.46897168370121,2.88980646823094, 17.5373527521512)); #587654=CARTESIAN_POINT('Ctrl Pts',(-3.78499673042221,-0.347224784248795, 17.6513747522834)); #587655=CARTESIAN_POINT('Ctrl Pts',(-1.90207502108186,-3.29057752593357, 17.7653967524157)); #587656=CARTESIAN_POINT('Ctrl Pts',(1.58832423830931,-3.45331478631539, 17.8794187525479)); #587657=CARTESIAN_POINT('Ctrl Pts',(3.73648461745479,-0.696600899807623, 17.9934407526802)); #587658=CARTESIAN_POINT('Ctrl Pts',(2.72645029838694,2.64713100471604,18.1074627528124)); #587659=CARTESIAN_POINT('Ctrl Pts',(-0.587283827105642,3.75705941744894, 18.2214847529446)); #587660=CARTESIAN_POINT('Ctrl Pts',(-3.4059313648841,1.68467023605843,18.3355067530768)); #587661=CARTESIAN_POINT('Ctrl Pts',(-3.36293115843099,-0.63497904957598, 18.4115214198317)); #587662=CARTESIAN_POINT('Ctrl Pts',(-2.86979481017416,-1.5487488951262, 18.4495287532091)); #587663=CARTESIAN_POINT('Ctrl Pts',(-2.55180332510172,2.2172518857673,15.9860824937132)); #587664=CARTESIAN_POINT('Ctrl Pts',(-3.25779737056325,1.40473354728754, 16.0240898270906)); #587665=CARTESIAN_POINT('Ctrl Pts',(-3.82719109233218,-0.931947231555327, 16.1001044938455)); #587666=CARTESIAN_POINT('Ctrl Pts',(-1.44584213937281,-3.66728904421997, 16.2141264939777)); #587667=CARTESIAN_POINT('Ctrl Pts',(2.15778525750874,-3.29581663731778, 16.3281484941099)); #587668=CARTESIAN_POINT('Ctrl Pts',(3.93755137235403,-0.142470471355539, 16.4421704942421)); #587669=CARTESIAN_POINT('Ctrl Pts',(2.38957634553994,3.13308768561594,16.5561924943744)); #587670=CARTESIAN_POINT('Ctrl Pts',(-1.17801423551428,3.75979417694032, 16.6702144945066)); #587671=CARTESIAN_POINT('Ctrl Pts',(-3.74997360450408,1.20934536665562, 16.7842364946389)); #587672=CARTESIAN_POINT('Ctrl Pts',(-3.1526355686256,-2.36341862828811, 16.8982584947711)); #587673=CARTESIAN_POINT('Ctrl Pts',(0.1091768451865,-3.93860788194803,17.0122804949033)); #587674=CARTESIAN_POINT('Ctrl Pts',(3.27871319452803,-2.18512582284446, 17.1263024950355)); #587675=CARTESIAN_POINT('Ctrl Pts',(3.6772308301364,1.41517078295825,17.2403244951678)); #587676=CARTESIAN_POINT('Ctrl Pts',(0.967910784947548,3.8193847697184,17.3543464953)); #587677=CARTESIAN_POINT('Ctrl Pts',(-2.55943475612038,2.99568892679302, 17.4683684954322)); #587678=CARTESIAN_POINT('Ctrl Pts',(-3.92367893758884,-0.359947094291952, 17.5823904955644)); #587679=CARTESIAN_POINT('Ctrl Pts',(-1.97176700967491,-3.41114422907107, 17.6964124956967)); #587680=CARTESIAN_POINT('Ctrl Pts',(1.64652041767351,-3.57984417983355, 17.8104344958289)); #587681=CARTESIAN_POINT('Ctrl Pts',(3.87338935562586,-0.722124344628302, 17.9244564959611)); #587682=CARTESIAN_POINT('Ctrl Pts',(2.82634739673229,2.74412183852958,18.0384784960934)); #587683=CARTESIAN_POINT('Ctrl Pts',(-0.608801889294272,3.89471800893696, 18.1525004962256)); #587684=CARTESIAN_POINT('Ctrl Pts',(-3.53072462367203,1.74639652410312, 18.2665224963578)); #587685=CARTESIAN_POINT('Ctrl Pts',(-3.48614889040482,-0.658244670879463, 18.3425371631126)); #587686=CARTESIAN_POINT('Ctrl Pts',(-2.97494403495301,-1.60549502762552, 18.38054449649)); #587687=CARTESIAN_POINT('Ctrl Pts',(-2.64199666501581,2.29562053039233, 15.9170982369942)); #587688=CARTESIAN_POINT('Ctrl Pts',(-3.37294403076372,1.45438377641179, 15.9551055703716)); #587689=CARTESIAN_POINT('Ctrl Pts',(-3.96246294197255,-0.964886854649123, 16.0311202371264)); #587690=CARTESIAN_POINT('Ctrl Pts',(-1.49694534266436,-3.79690917160828, 16.1451422372587)); #587691=CARTESIAN_POINT('Ctrl Pts',(2.23405206421262,-3.41230709313651, 16.2591642373909)); #587692=CARTESIAN_POINT('Ctrl Pts',(4.0767239134392,-0.147506082251842, 16.3731862375231)); #587693=CARTESIAN_POINT('Ctrl Pts',(2.47403579536363,3.24382649566979,16.4872082376554)); #587694=CARTESIAN_POINT('Ctrl Pts',(-1.21965107643411,3.89268389308764, 16.6012302377876)); #587695=CARTESIAN_POINT('Ctrl Pts',(-3.88251620633015,1.25208961139824, 16.7152522379198)); #587696=CARTESIAN_POINT('Ctrl Pts',(-3.26406529078316,-2.44695352831532, 16.829274238052)); #587697=CARTESIAN_POINT('Ctrl Pts',(0.113035701451331,-4.07781775857158, 16.9432962381843)); #587698=CARTESIAN_POINT('Ctrl Pts',(3.39459913684673,-2.26235897357563, 17.0573182383165)); #587699=CARTESIAN_POINT('Ctrl Pts',(3.80720235612968,1.46518991559702,17.1713402384487)); #587700=CARTESIAN_POINT('Ctrl Pts',(1.00212154457104,3.95438071205446,17.285362238581)); #587701=CARTESIAN_POINT('Ctrl Pts',(-2.64989782853955,3.10157138535509, 17.3993842387132)); #587702=CARTESIAN_POINT('Ctrl Pts',(-4.06236114475546,-0.372669404335105, 17.5134062388454)); #587703=CARTESIAN_POINT('Ctrl Pts',(-2.04145899826795,-3.53171093220858, 17.6274282389777)); #587704=CARTESIAN_POINT('Ctrl Pts',(1.70471659703771,-3.7063735733517,17.7414502391099)); #587705=CARTESIAN_POINT('Ctrl Pts',(4.01029409379693,-0.747647789448987, 17.8554722392421)); #587706=CARTESIAN_POINT('Ctrl Pts',(2.92624449507763,2.84111267234312,17.9694942393743)); #587707=CARTESIAN_POINT('Ctrl Pts',(-0.630319951482903,4.03237660042498, 18.0835162395066)); #587708=CARTESIAN_POINT('Ctrl Pts',(-3.65551788245996,1.80812281214781, 18.1975382396388)); #587709=CARTESIAN_POINT('Ctrl Pts',(-3.60936662237866,-0.681510292182946, 18.2735529063936)); #587710=CARTESIAN_POINT('Ctrl Pts',(-3.08009325973186,-1.66224116012484, 18.311560239771)); #587711=CARTESIAN_POINT('Ctrl Pts',(-3.08011338737932,-1.66219815155173, 18.3115601891121)); #587712=CARTESIAN_POINT('Ctrl Pts',(-3.12755529475444,-1.56002732684,18.3116350166318)); #587713=CARTESIAN_POINT('Ctrl Pts',(-3.16966236113749,-1.45548440299574, 18.3117079746464)); #587714=CARTESIAN_POINT('Ctrl Pts',(-3.20646436546035,-1.3489800124434, 18.3117791689376)); #587715=CARTESIAN_POINT('Ctrl Pts',(-3.2435192771981,-1.24174371202408, 18.3118508524838)); #587716=CARTESIAN_POINT('Ctrl Pts',(-3.27519594764884,-1.13251797657293, 18.3119207479821)); #587717=CARTESIAN_POINT('Ctrl Pts',(-3.30147254460431,-1.02171956270581, 18.3119889634101)); #587718=CARTESIAN_POINT('Ctrl Pts',(-3.30831055542627,-0.992886272216799, 18.3120067152459)); #587719=CARTESIAN_POINT('Ctrl Pts',(-3.31478259704342,-0.9639465073099, 18.3120243533062)); #587720=CARTESIAN_POINT('Ctrl Pts',(-3.32088771173614,-0.934907705704997, 18.3120418794939)); #587721=CARTESIAN_POINT('Ctrl Pts',(-3.34405692378637,-0.824704018152585, 18.3121083922425)); #587722=CARTESIAN_POINT('Ctrl Pts',(-3.36183345093774,-0.713609420112219, 18.3121729814089)); #587723=CARTESIAN_POINT('Ctrl Pts',(-3.37429047554258,-0.602039679005553, 18.3122357413556)); #587724=CARTESIAN_POINT('Ctrl Pts',(-3.38670410958344,-0.490858559912777, 18.3122982826956)); #587725=CARTESIAN_POINT('Ctrl Pts',(-3.39383508984289,-0.379204668740189, 18.312359007537)); #587726=CARTESIAN_POINT('Ctrl Pts',(-3.39570272916448,-0.267484773440434, 18.3124180092597)); #587727=CARTESIAN_POINT('Ctrl Pts',(-3.39758016069397,-0.155179120265728, 18.312477320334)); #587728=CARTESIAN_POINT('Ctrl Pts',(-3.39413886356703,-0.0428058373552128, 18.3125348901733)); #587729=CARTESIAN_POINT('Ctrl Pts',(-3.38534604924066,0.0692126035747402, 18.3125908136341)); #587730=CARTESIAN_POINT('Ctrl Pts',(-3.37655323491429,0.181231044504693, 18.312646737095)); #587731=CARTESIAN_POINT('Ctrl Pts',(-3.3624088228669,0.292895569816155, 18.3127010141773)); #587732=CARTESIAN_POINT('Ctrl Pts',(-3.34282880705117,0.403760517866894, 18.3127537397378)); #587733=CARTESIAN_POINT('Ctrl Pts',(-3.32380264414549,0.511489468752044, 18.3128049738691)); #587734=CARTESIAN_POINT('Ctrl Pts',(-3.29973674333482,0.617938238113554, 18.3128544936923)); #587735=CARTESIAN_POINT('Ctrl Pts',(-3.27065060742202,0.722727622047374, 18.3129023713378)); #587736=CARTESIAN_POINT('Ctrl Pts',(-3.24188900994803,0.826347782844503, 18.3129497147721)); #587737=CARTESIAN_POINT('Ctrl Pts',(-3.20821838125152,0.92834617136316, 18.3129954524706)); #587738=CARTESIAN_POINT('Ctrl Pts',(-3.16960981296821,1.0283400946553,18.313039654176)); #587739=CARTESIAN_POINT('Ctrl Pts',(-3.13205756206422,1.12559821800207, 18.3130826465376)); #587740=CARTESIAN_POINT('Ctrl Pts',(-3.08983359555504,1.22096066180025, 18.3131241858048)); #587741=CARTESIAN_POINT('Ctrl Pts',(-3.04286957845119,1.31404998109596, 18.3131643361512)); #587742=CARTESIAN_POINT('Ctrl Pts',(-3.01672809943572,1.36586608706389, 18.3131866849514)); #587743=CARTESIAN_POINT('Ctrl Pts',(-2.98911726309679,1.41697739499897, 18.313208603416)); #587744=CARTESIAN_POINT('Ctrl Pts',(-2.96001989451247,1.46731424007079, 18.3132301026124)); #587745=CARTESIAN_POINT('Ctrl Pts',(-2.93082365205233,1.51782213150218, 18.3132516748639)); #587746=CARTESIAN_POINT('Ctrl Pts',(-2.90013063407669,1.56755027230487, 18.313272824993)); #587747=CARTESIAN_POINT('Ctrl Pts',(-2.86799153661844,1.61645690652746, 18.3132935641805)); #587748=CARTESIAN_POINT('Ctrl Pts',(-2.80987018561691,1.7049011964658,18.3133310695771)); #587749=CARTESIAN_POINT('Ctrl Pts',(-2.74730008729432,1.79023842234007, 18.3133670505875)); #587750=CARTESIAN_POINT('Ctrl Pts',(-2.68067155680452,1.87229506599523, 18.313401559783)); #587751=CARTESIAN_POINT('Ctrl Pts',(-2.61312363987423,1.95548398384245, 18.3134365451601)); #587752=CARTESIAN_POINT('Ctrl Pts',(-2.54140376442889,2.03530170530408, 18.3134700178238)); #587753=CARTESIAN_POINT('Ctrl Pts',(-2.46587680283621,2.11153238348901, 18.3135020325515)); #587754=CARTESIAN_POINT('Ctrl Pts',(-2.39042328586154,2.18768893274141, 18.3135340161472)); #587755=CARTESIAN_POINT('Ctrl Pts',(-2.31116924700254,2.26026588105439, 18.3135645446412)); #587756=CARTESIAN_POINT('Ctrl Pts',(-2.2284491520542,2.32900575139855,18.3135936726512)); #587757=CARTESIAN_POINT('Ctrl Pts',(-2.15458061278241,2.39039003553442, 18.3136196837862)); #587758=CARTESIAN_POINT('Ctrl Pts',(-2.0779473229637,2.44871415233344,18.3136445781219)); #587759=CARTESIAN_POINT('Ctrl Pts',(-1.99877573807506,2.50376159067402, 18.3136683945524)); #587760=CARTESIAN_POINT('Ctrl Pts',(-1.91140570324188,2.56450935279168, 18.3136946772441)); #587761=CARTESIAN_POINT('Ctrl Pts',(-1.82135885865023,2.62097997071043, 18.3137195209037)); #587762=CARTESIAN_POINT('Ctrl Pts',(-1.72898576782612,2.67296659803936, 18.3137429648443)); #587763=CARTESIAN_POINT('Ctrl Pts',(-1.6370974200304,2.72468041696767,18.313766285759)); #587764=CARTESIAN_POINT('Ctrl Pts',(-1.5429063379098,2.77195758199667,18.3137882216068)); #587765=CARTESIAN_POINT('Ctrl Pts',(-1.44673579099345,2.81454811030857, 18.3138088110851)); #587766=CARTESIAN_POINT('Ctrl Pts',(-1.35270836274651,2.85618952728345, 18.3138289417358)); #587767=CARTESIAN_POINT('Ctrl Pts',(-1.25678794365842,2.89335108274677, 18.3138477853549)); #587768=CARTESIAN_POINT('Ctrl Pts',(-1.15926762608478,2.92575033440832, 18.3138653781101)); #587769=CARTESIAN_POINT('Ctrl Pts',(-1.13275230328174,2.93455954091787, 18.3138701614987)); #587770=CARTESIAN_POINT('Ctrl Pts',(-1.10611868778556,2.94301638965893, 18.3138748524147)); #587771=CARTESIAN_POINT('Ctrl Pts',(-1.07937266295482,2.95111452644881, 18.3138794515849)); #587772=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #587773=CARTESIAN_POINT('Ctrl Pts',(3.50168224983752,1.06759098399827,16.4140632065891)); #587774=CARTESIAN_POINT('Ctrl Pts',(2.9749570711656,2.1387870268506,16.4506365052051)); #587775=CARTESIAN_POINT('Ctrl Pts',(2.12553435567882,2.78674513904942,16.4872098038211)); #587776=CARTESIAN_POINT('Ctrl Pts',(1.24280587485957,3.46010961304749,16.5252171371985)); #587777=CARTESIAN_POINT('Ctrl Pts',(0.0115778718582153,3.67639524455437, 16.5632244705759)); #587778=CARTESIAN_POINT('Ctrl Pts',(-1.04784664064933,3.34443935445854, 16.6012318039534)); #587779=CARTESIAN_POINT('Ctrl Pts',(-2.10727115315689,3.01248346436271, 16.6392391373308)); #587780=CARTESIAN_POINT('Ctrl Pts',(-2.99489217517063,2.13228605266417, 16.6772464707082)); #587781=CARTESIAN_POINT('Ctrl Pts',(-3.33562761347478,1.07568063468681, 16.7152538040856)); #587782=CARTESIAN_POINT('Ctrl Pts',(-3.67636305177893,0.0190752167094535, 16.753261137463)); #587783=CARTESIAN_POINT('Ctrl Pts',(-3.47021290637349,-1.21393820754672, 16.7912684708404)); #587784=CARTESIAN_POINT('Ctrl Pts',(-2.80428810453562,-2.10225541405051, 16.8292758042178)); #587785=CARTESIAN_POINT('Ctrl Pts',(-2.13836330269776,-2.99057262055429, 16.8672831375952)); #587786=CARTESIAN_POINT('Ctrl Pts',(-1.01266384442748,-3.5341936093057, 16.9052904709726)); #587787=CARTESIAN_POINT('Ctrl Pts',(0.0971127000440298,-3.50342787402743, 16.94329780435)); #587788=CARTESIAN_POINT('Ctrl Pts',(1.20688924451554,-3.47266213874917, 16.9813051377275)); #587789=CARTESIAN_POINT('Ctrl Pts',(2.30074287518827,-2.86750967944123, 17.0193124711049)); #587790=CARTESIAN_POINT('Ctrl Pts',(2.91643684044041,-1.94367578309822, 17.0573198044823)); #587791=CARTESIAN_POINT('Ctrl Pts',(3.29534011533764,-1.37514058778884, 17.0807098389792)); #587792=CARTESIAN_POINT('Ctrl Pts',(3.4931511409019,-0.685911945443126, 17.1040998734762)); #587793=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #587794=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651753, 16.124051007151)); #587795=CARTESIAN_POINT('Ctrl Pts',(-2.44214361828451,1.98917395493029, 16.124051007151)); #587796=CARTESIAN_POINT('Ctrl Pts',(-2.50897656225981,1.91442186329908, 16.124051007151)); #587797=CARTESIAN_POINT('Ctrl Pts',(-2.57191359317738,1.83666299234245, 16.124051007151)); #587798=CARTESIAN_POINT('Ctrl Pts',(-2.63650488362648,1.75686027928577, 16.124051007151)); #587799=CARTESIAN_POINT('Ctrl Pts',(-2.69699315915055,1.67389015413741, 16.124051007151)); #587800=CARTESIAN_POINT('Ctrl Pts',(-2.75334507429016,1.58814514530577, 16.124051007151)); #587801=CARTESIAN_POINT('Ctrl Pts',(-2.81120785110125,1.50010121110411, 16.124051007151)); #587802=CARTESIAN_POINT('Ctrl Pts',(-2.86470997243158,1.40913099165824, 16.124051007151)); #587803=CARTESIAN_POINT('Ctrl Pts',(-2.91377601505494,1.31562122259399, 16.124051007151)); #587804=CARTESIAN_POINT('Ctrl Pts',(-2.95589594520226,1.23534931292906, 16.124051007151)); #587805=CARTESIAN_POINT('Ctrl Pts',(-2.99474637506649,1.15320506770804, 16.124051007151)); #587806=CARTESIAN_POINT('Ctrl Pts',(-3.03025341018708,1.06941716329604, 16.124051007151)); #587807=CARTESIAN_POINT('Ctrl Pts',(-3.03617065459227,1.05545391609952, 16.124051007151)); #587808=CARTESIAN_POINT('Ctrl Pts',(-3.04199587107726,1.04144282211957, 16.124051007151)); #587809=CARTESIAN_POINT('Ctrl Pts',(-3.04772575828144,1.02739201903385, 16.124051007151)); #587810=CARTESIAN_POINT('Ctrl Pts',(-3.0881074407653,0.928368242713008, 16.124051007151)); #587811=CARTESIAN_POINT('Ctrl Pts',(-3.12366146677958,0.827615203794612, 16.124051007151)); #587812=CARTESIAN_POINT('Ctrl Pts',(-3.15435207033404,0.725549487208643, 16.124051007151)); #587813=CARTESIAN_POINT('Ctrl Pts',(-3.18544600765309,0.622142430116533, 16.124051007151)); #587814=CARTESIAN_POINT('Ctrl Pts',(-3.21154825408961,0.517387188336046, 16.124051007151)); #587815=CARTESIAN_POINT('Ctrl Pts',(-3.23257843336762,0.41168555540489, 16.124051007151)); #587816=CARTESIAN_POINT('Ctrl Pts',(-3.25347433994412,0.306658802303325, 16.124051007151)); #587817=CARTESIAN_POINT('Ctrl Pts',(-3.2693630133406,0.20069683897191,16.124051007151)); #587818=CARTESIAN_POINT('Ctrl Pts',(-3.28011841366945,0.0941754603226097, 16.124051007151)); #587819=CARTESIAN_POINT('Ctrl Pts',(-3.28759302813405,0.0201469524756768, 16.124051007151)); #587820=CARTESIAN_POINT('Ctrl Pts',(-3.29258742874778,-0.054152129950411, 16.124051007151)); #587821=CARTESIAN_POINT('Ctrl Pts',(-3.29504464812079,-0.128597579162497, 16.124051007151)); #587822=CARTESIAN_POINT('Ctrl Pts',(-3.2956689599147,-0.147512118278049, 16.124051007151)); #587823=CARTESIAN_POINT('Ctrl Pts',(-3.29612942606251,-0.1664361064508, 16.124051007151)); #587824=CARTESIAN_POINT('Ctrl Pts',(-3.29642498069625,-0.185367503336492, 16.124051007151)); #587825=CARTESIAN_POINT('Ctrl Pts',(-3.29667036553421,-0.201085334244317, 16.124051007151)); #587826=CARTESIAN_POINT('Ctrl Pts',(-3.29680200417082,-0.216808537341485, 16.124051007151)); #587827=CARTESIAN_POINT('Ctrl Pts',(-3.29681944812247,-0.23252090230389, 16.124051007151)); #587828=CARTESIAN_POINT('Ctrl Pts',(-3.29694123408776,-0.342217694198129, 16.124051007151)); #587829=CARTESIAN_POINT('Ctrl Pts',(-3.29150461527403,-0.451563983780675, 16.124051007151)); #587830=CARTESIAN_POINT('Ctrl Pts',(-3.28042871482182,-0.560154794449618, 16.124051007151)); #587831=CARTESIAN_POINT('Ctrl Pts',(-3.27243972874173,-0.638480748949061, 16.124051007151)); #587832=CARTESIAN_POINT('Ctrl Pts',(-3.2615158626528,-0.716414191564232, 16.124051007151)); #587833=CARTESIAN_POINT('Ctrl Pts',(-3.24761032991851,-0.793806907459393, 16.124051007151)); #587834=CARTESIAN_POINT('Ctrl Pts',(-3.22810929366584,-0.902341991098639, 16.124051007151)); #587835=CARTESIAN_POINT('Ctrl Pts',(-3.20274693155229,-1.0098142680964, 16.124051007151)); #587836=CARTESIAN_POINT('Ctrl Pts',(-3.17184790309905,-1.11591959799057, 16.124051007151)); #587837=CARTESIAN_POINT('Ctrl Pts',(-3.14481125320246,-1.20876176164797, 16.124051007151)); #587838=CARTESIAN_POINT('Ctrl Pts',(-3.11353442398982,-1.3005576768015, 16.124051007151)); #587839=CARTESIAN_POINT('Ctrl Pts',(-3.0781990472013,-1.3910961524289,16.124051007151)); #587840=CARTESIAN_POINT('Ctrl Pts',(-3.0699456560315,-1.41224349888973, 16.124051007151)); #587841=CARTESIAN_POINT('Ctrl Pts',(-3.06147071152359,-1.43332219805768, 16.124051007151)); #587842=CARTESIAN_POINT('Ctrl Pts',(-3.0527762896075,-1.45432946499559, 16.124051007151)); #587843=CARTESIAN_POINT('Ctrl Pts',(-3.04735999954319,-1.46741618338724, 16.124051007151)); #587844=CARTESIAN_POINT('Ctrl Pts',(-3.04185955101063,-1.48047262629433, 16.124051007151)); #587845=CARTESIAN_POINT('Ctrl Pts',(-3.03627897390358,-1.49349037674851, 16.124051007151)); #587846=CARTESIAN_POINT('Ctrl Pts',(-2.99336942618153,-1.59358500381523, 16.124051007151)); #587847=CARTESIAN_POINT('Ctrl Pts',(-2.94567152017839,-1.69152687830485, 16.124051007151)); #587848=CARTESIAN_POINT('Ctrl Pts',(-2.89352174820976,-1.7870431237112, 16.124051007151)); #587849=CARTESIAN_POINT('Ctrl Pts',(-2.84031657064404,-1.88449242416668, 16.124051007151)); #587850=CARTESIAN_POINT('Ctrl Pts',(-2.78247720068821,-1.97941765392533, 16.124051007151)); #587851=CARTESIAN_POINT('Ctrl Pts',(-2.72030738041635,-2.07151978677124, 16.124051007151)); #587852=CARTESIAN_POINT('Ctrl Pts',(-2.65752011374679,-2.16453664206442, 16.124051007151)); #587853=CARTESIAN_POINT('Ctrl Pts',(-2.59031552259768,-2.2546748406676, 16.124051007151)); #587854=CARTESIAN_POINT('Ctrl Pts',(-2.51895813648399,-2.3416054284758, 16.124051007151)); #587855=CARTESIAN_POINT('Ctrl Pts',(-2.46931710544998,-2.40208023342893, 16.124051007151)); #587856=CARTESIAN_POINT('Ctrl Pts',(-2.41766529711762,-2.4610022789741, 16.124051007151)); #587857=CARTESIAN_POINT('Ctrl Pts',(-2.36407989964532,-2.51825178117521, 16.124051007151)); #587858=CARTESIAN_POINT('Ctrl Pts',(-2.35024748734782,-2.53303003711112, 16.124051007151)); #587859=CARTESIAN_POINT('Ctrl Pts',(-2.33628618422589,-2.54769679752543, 16.124051007151)); #587860=CARTESIAN_POINT('Ctrl Pts',(-2.32219722496809,-2.56224990250384, 16.124051007151)); #587861=CARTESIAN_POINT('Ctrl Pts',(-2.30902190016221,-2.57585927431876, 16.124051007151)); #587862=CARTESIAN_POINT('Ctrl Pts',(-2.29573979124062,-2.58936417922306, 16.124051007151)); #587863=CARTESIAN_POINT('Ctrl Pts',(-2.28235789357924,-2.60275744689703, 16.124051007151)); #587864=CARTESIAN_POINT('Ctrl Pts',(-2.20209920471078,-2.6830843280598, 16.124051007151)); #587865=CARTESIAN_POINT('Ctrl Pts',(-2.11819262881756,-2.7594619539411, 16.124051007151)); #587866=CARTESIAN_POINT('Ctrl Pts',(-2.03092205705493,-2.83156941462679, 16.124051007151)); #587867=CARTESIAN_POINT('Ctrl Pts',(-1.96746939180974,-2.88399728890512, 16.124051007151)); #587868=CARTESIAN_POINT('Ctrl Pts',(-1.90223734389352,-2.9341673433025, 16.124051007151)); #587869=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #587870=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #587871=CARTESIAN_POINT('Ctrl Pts',(3.14002967616399,0.387129026850857, 17.3490584108446)); #587872=CARTESIAN_POINT('Ctrl Pts',(3.07357892954297,0.772203747550687, 17.3636757097251)); #587873=CARTESIAN_POINT('Ctrl Pts',(2.9359302813655,1.12987723906466,17.3782930086055)); #587874=CARTESIAN_POINT('Ctrl Pts',(2.57802160733378,2.05988597346953,17.4163003419829)); #587875=CARTESIAN_POINT('Ctrl Pts',(1.73875543651723,2.80463942925815,17.4543076753603)); #587876=CARTESIAN_POINT('Ctrl Pts',(0.772789371611779,3.0494380112562,17.4923150087377)); #587877=CARTESIAN_POINT('Ctrl Pts',(-0.193176693293666,3.29423659325426, 17.5303223421152)); #587878=CARTESIAN_POINT('Ctrl Pts',(-1.28584265228802,3.03908030146175, 17.5683296754926)); #587879=CARTESIAN_POINT('Ctrl Pts',(-2.04347661711415,2.39176440825301, 17.60633700887)); #587880=CARTESIAN_POINT('Ctrl Pts',(-2.80111058194028,1.74444851504427, 17.6443443422474)); #587881=CARTESIAN_POINT('Ctrl Pts',(-3.22371255259818,0.704973020419295, 17.6823516756248)); #587882=CARTESIAN_POINT('Ctrl Pts',(-3.1326916227993,-0.28734945165833, 17.7203590090022)); #587883=CARTESIAN_POINT('Ctrl Pts',(-3.04167069300042,-1.27967192373596, 17.7583663423796)); #587884=CARTESIAN_POINT('Ctrl Pts',(-2.43702686274474,-2.22484137326624, 17.796373675757)); #587885=CARTESIAN_POINT('Ctrl Pts',(-1.57428643237784,-2.72355519303157, 17.8343810091345)); #587886=CARTESIAN_POINT('Ctrl Pts',(-0.711546002010934,-3.22226901279691, 17.8723883425119)); #587887=CARTESIAN_POINT('Ctrl Pts',(0.409291028467197,-3.2745272027973, 17.9103956758893)); #587888=CARTESIAN_POINT('Ctrl Pts',(1.31461818042941,-2.85803830816239, 17.9484030092667)); #587889=CARTESIAN_POINT('Ctrl Pts',(2.21994533239162,-2.44154941352748, 17.9864103426441)); #587890=CARTESIAN_POINT('Ctrl Pts',(2.90976260583792,-1.55631343425726, 18.0244176760215)); #587891=CARTESIAN_POINT('Ctrl Pts',(3.09250012935403,-0.576825840307164, 18.0624250093989)); #587892=CARTESIAN_POINT('Ctrl Pts',(3.12778426799029,-0.3877000636607,18.069763710254)); #587893=CARTESIAN_POINT('Ctrl Pts',(3.14416326926979,-0.1950603629779,18.0771024111091)); #587894=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #587895=CARTESIAN_POINT('Ctrl Pts',(-0.0160099836410213,-0.0277297745328858, 18.3149809276045)); #587896=CARTESIAN_POINT('Ctrl Pts',(-0.0714695331047943,0.00428981127916173, 18.3149809276045)); #587897=CARTESIAN_POINT('Ctrl Pts',(-0.0394499472927501,0.0597493607429389, 18.3149809276045)); #587898=CARTESIAN_POINT('Ctrl Pts',(0.0160096021710243,0.0277297749308825, 18.3149809276045)); #587899=CARTESIAN_POINT('Ctrl Pts',(-0.513856556605425,-0.89002533328128, 18.31466996382)); #587900=CARTESIAN_POINT('Ctrl Pts',(-2.29390722356617,0.13768739845968, 18.31466996382)); #587901=CARTESIAN_POINT('Ctrl Pts',(-1.26619449182512,1.91773806542038, 18.31466996382)); #587902=CARTESIAN_POINT('Ctrl Pts',(0.51385617513552,0.890025333679225, 18.31466996382)); #587903=CARTESIAN_POINT('Ctrl Pts',(-1.01170312956983,-1.75232089202967, 18.3143590000356)); #587904=CARTESIAN_POINT('Ctrl Pts',(-4.51634491402755,0.271084985640199, 18.3143590000356)); #587905=CARTESIAN_POINT('Ctrl Pts',(-2.49293903635749,3.77572677009781, 18.3143590000356)); #587906=CARTESIAN_POINT('Ctrl Pts',(1.01170274810002,1.75232089242757,18.3143590000356)); #587907=CARTESIAN_POINT('Ctrl Pts',(-1.50954970253423,-2.61461645077807, 18.3140480362511)); #587908=CARTESIAN_POINT('Ctrl Pts',(-6.73878260448893,0.404482572820717, 18.3140480362511)); #587909=CARTESIAN_POINT('Ctrl Pts',(-3.71968358088986,5.63371547477525, 18.3140480362511)); #587910=CARTESIAN_POINT('Ctrl Pts',(1.50954932106451,2.61461645117591,18.3140480362511)); #587911=CARTESIAN_POINT('Ctrl Pts',(-2.28473192196713,-3.95727143995986, 18.3135638437113)); #587912=CARTESIAN_POINT('Ctrl Pts',(-10.1992748022857,0.612192022504891, 18.3135638437113)); #587913=CARTESIAN_POINT('Ctrl Pts',(-5.62981133982052,8.52673490282321, 18.3135638437113)); #587914=CARTESIAN_POINT('Ctrl Pts',(2.28473154049755,3.95727144035762,18.3135638437113)); #587915=CARTESIAN_POINT('Ctrl Pts',(-2.70202113414801,-4.68003755690754, 18.2536625286325)); #587916=CARTESIAN_POINT('Ctrl Pts',(-12.0620962483621,0.724004329919066, 18.2536625286325)); #587917=CARTESIAN_POINT('Ctrl Pts',(-6.65805436153498,10.0840794441328, 18.2536625286325)); #587918=CARTESIAN_POINT('Ctrl Pts',(2.70202075267851,4.68003755730525,18.2536625286325)); #587919=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #587920=CARTESIAN_POINT('Ctrl Pts',(-12.7449972718787,0.764994162484998, 17.964051007151)); #587921=CARTESIAN_POINT('Ctrl Pts',(-7.03500310959222,10.6549941624858, 17.964051007151)); #587922=CARTESIAN_POINT('Ctrl Pts',(2.85499689040822,4.9450000001987,17.964051007151)); #587923=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,17.964051007151)); #587924=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #587925=CARTESIAN_POINT('Ctrl Pts',(2.30678911385998,3.99547627811398,18.3102073500071)); #587926=CARTESIAN_POINT('Ctrl Pts',(2.70609827140737,4.6871000269136,18.2459430475382)); #587927=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #587928=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,17.964051007151)); #587929=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #587930=CARTESIAN_POINT('Ctrl Pts',(-2.7281426015286,4.945000000199,18.024090720913)); #587931=CARTESIAN_POINT('Ctrl Pts',(-2.5550038365687,4.945000000199,18.0716790504236)); #587932=CARTESIAN_POINT('Ctrl Pts',(-2.07169385380438,4.945000000199,18.1532971651828)); #587933=CARTESIAN_POINT('Ctrl Pts',(-1.76876011491407,4.945000000199,18.1787158583826)); #587934=CARTESIAN_POINT('Ctrl Pts',(-1.26073358016641,4.945000000199,18.2095277509016)); #587935=CARTESIAN_POINT('Ctrl Pts',(-0.998562559839005,4.945000000199,18.2189048223699)); #587936=CARTESIAN_POINT('Ctrl Pts',(-0.61192287540116,4.945000000199,18.2278311587443)); #587937=CARTESIAN_POINT('Ctrl Pts',(-0.47200982339528,4.945000000199,18.2300277259199)); #587938=CARTESIAN_POINT('Ctrl Pts',(-0.22265469653927,4.945000000199,18.2324209181407)); #587939=CARTESIAN_POINT('Ctrl Pts',(-0.111004396666305,4.945000000199,18.2329436952408)); #587940=CARTESIAN_POINT('Ctrl Pts',(0.120073313138468,4.945000000199,18.2329439902268)); #587941=CARTESIAN_POINT('Ctrl Pts',(0.24107192676755,4.94500000019854,18.2323316632643)); #587942=CARTESIAN_POINT('Ctrl Pts',(0.537252465917842,4.94500000019854, 18.2292453499795)); #587943=CARTESIAN_POINT('Ctrl Pts',(0.716617124004781,4.945000000199,18.2259830650625)); #587944=CARTESIAN_POINT('Ctrl Pts',(1.20600156742045,4.945000000199,18.21205852668)); #587945=CARTESIAN_POINT('Ctrl Pts',(1.55925000827572,4.945000000199,18.196184537705)); #587946=CARTESIAN_POINT('Ctrl Pts',(2.33398025565266,4.945000000199,18.12417643463)); #587947=CARTESIAN_POINT('Ctrl Pts',(2.65367845000837,4.945000000199,18.0593340700712)); #587948=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #587949=CARTESIAN_POINT('',(-5.7099943530204,1.99000260714399E-10,17.964051007151)); #587950=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.98999480088835E-10, 17.964051007151)); #587951=CARTESIAN_POINT('Ctrl Pts',(-5.62768981485035,0.142555642003016, 18.0419597021867)); #587952=CARTESIAN_POINT('Ctrl Pts',(-5.50668270237086,0.352146108894715, 18.0987722309254)); #587953=CARTESIAN_POINT('Ctrl Pts',(-5.21236506922882,0.861919203060151, 18.1731742004074)); #587954=CARTESIAN_POINT('Ctrl Pts',(-5.06589322015699,1.11561588753109, 18.1929508890728)); #587955=CARTESIAN_POINT('Ctrl Pts',(-4.82264920562478,1.53692687933791, 18.2162404241063)); #587956=CARTESIAN_POINT('Ctrl Pts',(-4.70557335225435,1.73970820571497, 18.2231396742222)); #587957=CARTESIAN_POINT('Ctrl Pts',(-4.53308766256014,2.03846218384391, 18.2295574506759)); #587958=CARTESIAN_POINT('Ctrl Pts',(-4.47277170376442,2.14293248898527, 18.2310836298718)); #587959=CARTESIAN_POINT('Ctrl Pts',(-4.36951004689332,2.32178692515974, 18.2326235883654)); #587960=CARTESIAN_POINT('Ctrl Pts',(-4.32593206365621,2.39726620621787, 18.2329437260465)); #587961=CARTESIAN_POINT('Ctrl Pts',(-4.23910709389264,2.547651465214,18.2329439477222)); #587962=CARTESIAN_POINT('Ctrl Pts',(-4.19553156372707,2.62312649742746, 18.232624068288)); #587963=CARTESIAN_POINT('Ctrl Pts',(-4.09228329538639,2.80195774398703, 18.2310849406016)); #587964=CARTESIAN_POINT('Ctrl Pts',(-4.03197858573357,2.90640856504147, 18.2295595424098)); #587965=CARTESIAN_POINT('Ctrl Pts',(-3.85952784795053,3.20510200468441, 18.2231450387213)); #587966=CARTESIAN_POINT('Ctrl Pts',(-3.74247982662443,3.40783512454663, 18.2162494725465)); #587967=CARTESIAN_POINT('Ctrl Pts',(-3.49928714915977,3.82905719794413, 18.1929737061676)); #587968=CARTESIAN_POINT('Ctrl Pts',(-3.35286441602505,4.08266881111659, 18.1732112868476)); #587969=CARTESIAN_POINT('Ctrl Pts',(-3.05843341799641,4.59263825902542, 18.0988323311294)); #587970=CARTESIAN_POINT('Ctrl Pts',(-2.9373422218108,4.80237436316815,18.0419979555783)); #587971=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #587972=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,17.964051007151)); #587973=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #587974=CARTESIAN_POINT('Ctrl Pts',(-2.95563444950019,-4.77069129502851, 18.0593132047767)); #587975=CARTESIAN_POINT('Ctrl Pts',(-3.11543167472747,-4.49391438202633, 18.1241456068853)); #587976=CARTESIAN_POINT('Ctrl Pts',(-3.50272333355143,-3.82310555159561, 18.1961689169884)); #587977=CARTESIAN_POINT('Ctrl Pts',(-3.67938048930847,-3.51712638230381, 18.2120496266348)); #587978=CARTESIAN_POINT('Ctrl Pts',(-3.92410390407261,-3.09325299413057, 18.2259796684665)); #587979=CARTESIAN_POINT('Ctrl Pts',(-4.01380013185172,-2.93789457036893, 18.2292433099049)); #587980=CARTESIAN_POINT('Ctrl Pts',(-4.16190712832726,-2.68136572751687, 18.2323309718999)); #587981=CARTESIAN_POINT('Ctrl Pts',(-4.22241023817219,-2.57657126725046, 18.2329436835386)); #587982=CARTESIAN_POINT('Ctrl Pts',(-4.33795179694437,-2.37644741707135, 18.2329439785315)); #587983=CARTESIAN_POINT('Ctrl Pts',(-4.39377591347312,-2.27975721095591, 18.2324215055073)); #587984=CARTESIAN_POINT('Ctrl Pts',(-4.51844518666628,-2.06382369564268, 18.2300291860773)); #587985=CARTESIAN_POINT('Ctrl Pts',(-4.58839414776669,-1.9426685410801, 18.2278333680434)); #587986=CARTESIAN_POINT('Ctrl Pts',(-4.78167974031375,-1.60788807441755, 18.2189105674925)); #587987=CARTESIAN_POINT('Ctrl Pts',(-4.91273193609658,-1.38089901287822, 18.2095380920163)); #587988=CARTESIAN_POINT('Ctrl Pts',(-5.16669986909313,-0.941013649434943, 18.1787429155285)); #587989=CARTESIAN_POINT('Ctrl Pts',(-5.31812100710871,-0.678744545052054, 18.1533409255178)); #587990=CARTESIAN_POINT('Ctrl Pts',(-5.55987361661817,-0.260016742519312, 18.0717474329886)); #587991=CARTESIAN_POINT('Ctrl Pts',(-5.64652176384888,-0.109937749134022, 18.0241335579172)); #587992=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.99002116868519E-10, 17.964051007151)); #587993=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.3115601891129)); #587994=CARTESIAN_POINT('Ctrl Pts',(-2.37241271887758,-4.10913903505687, 18.2978085079384)); #587995=CARTESIAN_POINT('Ctrl Pts',(-2.71866640546267,-4.70886801253029, 18.222150016796)); #587996=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #587997=CARTESIAN_POINT('Ctrl Pts',(1.21936042635376,2.11199454174527,18.314051007151)); #587998=CARTESIAN_POINT('Ctrl Pts',(5.4433495094463,-0.326726692432249, 18.314051007151)); #587999=CARTESIAN_POINT('Ctrl Pts',(3.00462827526878,-4.55071577552479, 18.314051007151)); #588000=CARTESIAN_POINT('Ctrl Pts',(-1.21936080782376,-2.11199454134727, 18.314051007151)); #588001=CARTESIAN_POINT('Ctrl Pts',(1.31290688732417,2.27402176501428,18.314051007151)); #588002=CARTESIAN_POINT('Ctrl Pts',(5.86095041695474,-0.35179239110406, 18.314051007151)); #588003=CARTESIAN_POINT('Ctrl Pts',(3.2351362608364,-4.89983592073463,18.314051007151)); #588004=CARTESIAN_POINT('Ctrl Pts',(-1.31290726879417,-2.27402176461628, 18.314051007151)); #588005=CARTESIAN_POINT('Ctrl Pts',(1.40645334829459,2.4360489882833,18.314051007151)); #588006=CARTESIAN_POINT('Ctrl Pts',(6.27855132446319,-0.376858089775873, 18.314051007151)); #588007=CARTESIAN_POINT('Ctrl Pts',(3.46564424640401,-5.24895606594447, 18.314051007151)); #588008=CARTESIAN_POINT('Ctrl Pts',(-1.40645372976459,-2.4360489878853, 18.314051007151)); #588009=CARTESIAN_POINT('Ctrl Pts',(1.499999809265,2.59807621155232,18.314051007151)); #588010=CARTESIAN_POINT('Ctrl Pts',(6.69615223197163,-0.401923788447685, 18.314051007151)); #588011=CARTESIAN_POINT('Ctrl Pts',(3.69615223197163,-5.59807621115432, 18.314051007151)); #588012=CARTESIAN_POINT('Ctrl Pts',(-1.500000190735,-2.59807621115432,18.314051007151)); #588013=CARTESIAN_POINT('Ctrl Pts',(2.28130650317594,3.95133910169973,18.314051007151)); #588014=CARTESIAN_POINT('Ctrl Pts',(10.1839847061774,-0.611274286122152, 18.314051007151)); #588015=CARTESIAN_POINT('Ctrl Pts',(5.62137131835551,-8.5139524891236,18.314051007151)); #588016=CARTESIAN_POINT('Ctrl Pts',(-2.28130688464594,-3.95133910130173, 18.314051007151)); #588017=CARTESIAN_POINT('Ctrl Pts',(2.70139376575453,4.67895158409837,18.25484952838)); #588018=CARTESIAN_POINT('Ctrl Pts',(12.0592969335533,-0.723836328880685, 18.25484952838)); #588019=CARTESIAN_POINT('Ctrl Pts',(6.65650902057421,-10.0817394966794, 18.25484952838)); #588020=CARTESIAN_POINT('Ctrl Pts',(-2.70139414722453,-4.67895158370037, 18.25484952838)); #588021=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #588022=CARTESIAN_POINT('Ctrl Pts',(12.7449968904077,-0.764994162086399, 17.964051007151)); #588023=CARTESIAN_POINT('Ctrl Pts',(7.0350027281223,-10.6549941620864,17.964051007151)); #588024=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #588025=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,17.964051007151)); #588026=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #588027=CARTESIAN_POINT('Ctrl Pts',(2.72898213136721,-4.944999999801,18.0236931948746)); #588028=CARTESIAN_POINT('Ctrl Pts',(2.55730283851302,-4.944999999801,18.0710439341294)); #588029=CARTESIAN_POINT('Ctrl Pts',(2.07611779359043,-4.944999999801,18.1528369416605)); #588030=CARTESIAN_POINT('Ctrl Pts',(1.77278972118093,-4.944999999801,18.1783982398747)); #588031=CARTESIAN_POINT('Ctrl Pts',(1.2643118118621,-4.944999999801,18.2093799886683)); #588032=CARTESIAN_POINT('Ctrl Pts',(1.00161086232922,-4.944999999801,18.218810719268)); #588033=CARTESIAN_POINT('Ctrl Pts',(0.614117458620587,-4.944999999801,18.2277935323282)); #588034=CARTESIAN_POINT('Ctrl Pts',(0.473747268113343,-4.944999999801,18.2300056708288)); #588035=CARTESIAN_POINT('Ctrl Pts',(0.223521585838776,-4.944999999801,18.2324168627049)); #588036=CARTESIAN_POINT('Ctrl Pts',(0.11143356538113,-4.944999999801,18.2329436946924)); #588037=CARTESIAN_POINT('Ctrl Pts',(-0.120575763743601,-4.944999999801, 18.2329439908677)); #588038=CARTESIAN_POINT('Ctrl Pts',(-0.242087708309884,-4.94499999980053, 18.2323265337874)); #588039=CARTESIAN_POINT('Ctrl Pts',(-0.539453599379372,-4.94499999980053, 18.2292143442289)); #588040=CARTESIAN_POINT('Ctrl Pts',(-0.719545656409636,-4.944999999801, 18.2259247849209)); #588041=CARTESIAN_POINT('Ctrl Pts',(-1.21031694465831,-4.944999999801,18.2118905697928)); #588042=CARTESIAN_POINT('Ctrl Pts',(-1.56483859523592,-4.944999999801,18.1958882785332)); #588043=CARTESIAN_POINT('Ctrl Pts',(-2.33678020218094,-4.944999999801,18.123590334487)); #588044=CARTESIAN_POINT('Ctrl Pts',(-2.65450731472648,-4.944999999801,18.0589419528796)); #588045=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #588046=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,17.964051007151)); #588047=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.98999029060731E-10, 17.964051007151)); #588048=CARTESIAN_POINT('Ctrl Pts',(5.62813701343044,-0.1417804102178,18.0415360271574)); #588049=CARTESIAN_POINT('Ctrl Pts',(5.50807636595551,-0.349731551634038, 18.0980939913237)); #588050=CARTESIAN_POINT('Ctrl Pts',(5.21520318777909,-0.857002776409773, 18.1727162489422)); #588051=CARTESIAN_POINT('Ctrl Pts',(5.06836383323483,-1.11133599903101, 18.1926457584467)); #588052=CARTESIAN_POINT('Ctrl Pts',(4.82460221116841,-1.53354351338545, 18.2161116373776)); #588053=CARTESIAN_POINT('Ctrl Pts',(4.70712387992997,-1.73702195187884, 18.223063679812)); #588054=CARTESIAN_POINT('Ctrl Pts',(4.53406833216299,-2.03676295314291, 18.2295305231358)); #588055=CARTESIAN_POINT('Ctrl Pts',(4.47352369285057,-2.14162934455789, 18.2310686841829)); #588056=CARTESIAN_POINT('Ctrl Pts',(4.36986321021144,-2.32117456722598, 18.2326209909357)); #588057=CARTESIAN_POINT('Ctrl Pts',(4.32610744441243,-2.39696177671401, 18.2329437255978)); #588058=CARTESIAN_POINT('Ctrl Pts',(4.23893094806142,-2.54795589761981, 18.2329439481709)); #588059=CARTESIAN_POINT('Ctrl Pts',(4.19517763343399,-2.623738861554,18.2326214727767)); #588060=CARTESIAN_POINT('Ctrl Pts',(4.0915305201623,-2.80326092779842,18.2310699998877)); #588061=CARTESIAN_POINT('Ctrl Pts',(4.03099711509937,-2.9081078609226,18.2295326224881)); #588062=CARTESIAN_POINT('Ctrl Pts',(3.85797641849998,-3.20778849819371, 18.2230690615258)); #588063=CARTESIAN_POINT('Ctrl Pts',(3.74052586740834,-3.4112188200614,18.2161207142477)); #588064=CARTESIAN_POINT('Ctrl Pts',(3.49681519354897,-3.83333808953267, 18.192668637817)); #588065=CARTESIAN_POINT('Ctrl Pts',(3.35002482501104,-4.08758646590215, 18.1727534421261)); #588066=CARTESIAN_POINT('Ctrl Pts',(3.05703583992838,-4.59505827412337, 18.0981534455611)); #588067=CARTESIAN_POINT('Ctrl Pts',(2.93689241584286,-4.80315278883475, 18.0415725346121)); #588068=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #588069=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #588070=CARTESIAN_POINT('Ctrl Pts',(2.95522046152023,4.7714076829161,18.0589216887781)); #588071=CARTESIAN_POINT('Ctrl Pts',(3.11403236206139,4.49633740233224,18.1235595891337)); #588072=CARTESIAN_POINT('Ctrl Pts',(3.49992917329062,3.8279445188044,18.1958726097439)); #588073=CARTESIAN_POINT('Ctrl Pts',(3.67722287433591,3.52086282073203,18.2118816420921)); #588074=CARTESIAN_POINT('Ctrl Pts',(3.92263948369249,3.09578878430515,18.2259213744411)); #588075=CARTESIAN_POINT('Ctrl Pts',(4.01269939312664,2.93980044543921,18.2292122955712)); #588076=CARTESIAN_POINT('Ctrl Pts',(4.16139904178455,2.68224509889607,18.2323258394714)); #588077=CARTESIAN_POINT('Ctrl Pts',(4.2221588200621,2.57700607586368,18.2329436828976)); #588078=CARTESIAN_POINT('Ctrl Pts',(4.33816619806183,2.3760754031153,18.2329439790799)); #588079=CARTESIAN_POINT('Ctrl Pts',(4.39420918228793,2.2790061070279,18.2324174522178)); #588080=CARTESIAN_POINT('Ctrl Pts',(4.51931376505235,2.06231861342023,18.2300071355865)); #588081=CARTESIAN_POINT('Ctrl Pts',(4.58949131822066,1.94076752578185,18.2277957479217)); #588082=CARTESIAN_POINT('Ctrl Pts',(4.78320394568619,1.60524741294388,18.2188164739503)); #588083=CARTESIAN_POINT('Ctrl Pts',(4.91452123645619,1.37779919341795,18.2093903412765)); #588084=CARTESIAN_POINT('Ctrl Pts',(5.16871529015023,0.937522177437986, 18.1784253047055)); #588085=CARTESIAN_POINT('Ctrl Pts',(5.32033379133511,0.674911230018326, 18.1528807162269)); #588086=CARTESIAN_POINT('Ctrl Pts',(5.56102341316755,0.258024576150008, 18.0711122794431)); #588087=CARTESIAN_POINT('Ctrl Pts',(5.64694143059258,0.10921020468427,18.023735944263)); #588088=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.99002932188552E-10, 17.964051007151)); #588089=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #588090=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #588091=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.124051007151)); #588092=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #588093=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #588094=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #588095=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #588096=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #588097=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #588098=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #588099=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #588100=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #588101=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #588102=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #588103=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #588104=CARTESIAN_POINT('Origin',(2.8549968904077,4.945000000199,18.314051007151)); #588105=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,18.314051007151)); #588106=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,18.314051007151)); #588107=CARTESIAN_POINT('Origin',(-2.8549972718777,4.945000000199,18.314051007151)); #588108=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,18.314051007151)); #588109=CARTESIAN_POINT('Origin',(-5.7099943530204,1.99000815825912E-10, 18.314051007151)); #588110=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,18.314051007151)); #588111=CARTESIAN_POINT('Origin',(-2.8549972718777,-4.944999999801,18.314051007151)); #588112=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,18.314051007151)); #588113=CARTESIAN_POINT('Origin',(2.8549968904077,-4.944999999801,18.314051007151)); #588114=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,18.314051007151)); #588115=CARTESIAN_POINT('Origin',(5.7099939715504,1.99000260714399E-10, 18.314051007151)); #588116=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588117=CARTESIAN_POINT('',(3.45837177288913,-0.00211939515415836,14.1142108517818)); #588118=CARTESIAN_POINT('',(3.45683895952193,-0.00372587101543185,14.0088911625202)); #588119=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #588120=CARTESIAN_POINT('',(-1.47482563170083,-3.12330048465351,13.874051007151)); #588121=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #588122=CARTESIAN_POINT('Ctrl Pts',(3.45910212754905,-0.112031653782136, 14.1103984934749)); #588123=CARTESIAN_POINT('Ctrl Pts',(3.45432021762865,-0.221875597891643, 14.106586135168)); #588124=CARTESIAN_POINT('Ctrl Pts',(3.44381872045727,-0.331164983670826, 14.1027737768611)); #588125=CARTESIAN_POINT('Ctrl Pts',(3.33429431258744,-1.47098867438719, 14.0630131332754)); #588126=CARTESIAN_POINT('Ctrl Pts',(2.60263570225897,-2.5504916305097,14.0232524896896)); #588127=CARTESIAN_POINT('Ctrl Pts',(1.58446452383186,-3.07528276307912, 13.9834918461039)); #588128=CARTESIAN_POINT('Ctrl Pts',(0.650295305934962,-3.55677716427495, 13.9470115664529)); #588129=CARTESIAN_POINT('Ctrl Pts',(-0.525060516881966,-3.57131452299401, 13.910531286802)); #588130=CARTESIAN_POINT('Ctrl Pts',(-1.47855217503811,-3.12762804142261, 13.874051007151)); #588131=CARTESIAN_POINT('',(1.47482525023077,-3.12330048465354,13.874051007151)); #588132=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588133=CARTESIAN_POINT('Ctrl Pts',(1.47482525023077,-3.12330048465354, 13.874051007151)); #588134=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.6320390964722,13.9138116507367)); #588135=CARTESIAN_POINT('Ctrl Pts',(3.28162781954056,-1.58409225853239, 13.9535722943225)); #588136=CARTESIAN_POINT('Ctrl Pts',(3.4298971908396,-0.450440827480726, 13.9933329379082)); #588137=CARTESIAN_POINT('Ctrl Pts',(3.44923631594518,-0.302575987567105, 13.9985190127789)); #588138=CARTESIAN_POINT('Ctrl Pts',(3.45805879311631,-0.153253089482283, 14.0037050876496)); #588139=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #588140=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588141=CARTESIAN_POINT('',(3.44955758497144,-0.00205639974999977,14.8642108517818)); #588142=CARTESIAN_POINT('',(3.44892868033218,-0.00152673186797919,14.7588911625202)); #588143=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #588144=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #588145=CARTESIAN_POINT('Ctrl Pts',(3.45194694521049,-0.444605157375076, 14.8489623549894)); #588146=CARTESIAN_POINT('Ctrl Pts',(3.37298845123262,-0.886332962300586, 14.833713858197)); #588147=CARTESIAN_POINT('Ctrl Pts',(3.20875794468802,-1.29368006709991, 14.8184653614046)); #588148=CARTESIAN_POINT('Ctrl Pts',(2.78052487191125,-2.35584267041356, 14.7787047178189)); #588149=CARTESIAN_POINT('Ctrl Pts',(1.77251710064383,-3.18424674675501, 14.7389440742331)); #588150=CARTESIAN_POINT('Ctrl Pts',(0.647509424896414,-3.39859130147394, 14.6991834306474)); #588151=CARTESIAN_POINT('Ctrl Pts',(-0.477498250850995,-3.61293585619286, 14.6594227870616)); #588152=CARTESIAN_POINT('Ctrl Pts',(-1.71950583107839,-3.21322088928928, 14.6196621434759)); #588153=CARTESIAN_POINT('Ctrl Pts',(-2.50829266755499,-2.38291351557436, 14.5799014998901)); #588154=CARTESIAN_POINT('Ctrl Pts',(-3.29707950403158,-1.55260614185945, 14.5401408563044)); #588155=CARTESIAN_POINT('Ctrl Pts',(-3.63264559675737,-0.291706361333214, 14.5003802127186)); #588156=CARTESIAN_POINT('Ctrl Pts',(-3.36095140037091,0.820817512842688, 14.4606195691329)); #588157=CARTESIAN_POINT('Ctrl Pts',(-3.08925720398444,1.93334138701859, 14.4208589255471)); #588158=CARTESIAN_POINT('Ctrl Pts',(-2.21030271848572,2.89748935484416, 14.3810982819614)); #588159=CARTESIAN_POINT('Ctrl Pts',(-1.12758018038027,3.27078171125632, 14.3413376383756)); #588160=CARTESIAN_POINT('Ctrl Pts',(-0.0448576422748258,3.64407406766848, 14.3015769947899)); #588161=CARTESIAN_POINT('Ctrl Pts',(1.24163294843734,3.42651081266722,14.2618163512041)); #588162=CARTESIAN_POINT('Ctrl Pts',(2.14118314108782,2.71765697251149,14.2220557076184)); #588163=CARTESIAN_POINT('Ctrl Pts',(2.9544820223881,2.07676996040891,14.1861074223395)); #588164=CARTESIAN_POINT('Ctrl Pts',(3.45148496017217,1.03428823255558,14.1501591370606)); #588165=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #588166=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #588167=CARTESIAN_POINT('Ctrl Pts',(3.44870656238504,0.993143479811309, 14.0434657312353)); #588168=CARTESIAN_POINT('Ctrl Pts',(2.99423023330454,1.9990941232629,14.0780402999504)); #588169=CARTESIAN_POINT('Ctrl Pts',(2.23396329742657,2.64262446369856,14.1126148686655)); #588170=CARTESIAN_POINT('Ctrl Pts',(1.35965874957883,3.38268229966265,14.1523755122512)); #588171=CARTESIAN_POINT('Ctrl Pts',(0.0809483707476506,3.64344194742733, 14.192136155837)); #588172=CARTESIAN_POINT('Ctrl Pts',(-1.01413522208485,3.30748013111602, 14.2318967994227)); #588173=CARTESIAN_POINT('Ctrl Pts',(-2.10921881491736,2.97151831480471, 14.2716574430085)); #588174=CARTESIAN_POINT('Ctrl Pts',(-3.02067562175119,2.03883503441741, 14.3114180865942)); #588175=CARTESIAN_POINT('Ctrl Pts',(-3.33054861525846,0.936486357905289, 14.35117873018)); #588176=CARTESIAN_POINT('Ctrl Pts',(-3.64042160876573,-0.165862318606825, 14.3909393737657)); #588177=CARTESIAN_POINT('Ctrl Pts',(-3.34871078894644,-1.43787639124375, 14.4307000173515)); #588178=CARTESIAN_POINT('Ctrl Pts',(-2.58908668266058,-2.29498521494977, 14.4704606609372)); #588179=CARTESIAN_POINT('Ctrl Pts',(-1.82946257637471,-3.15209403865579, 14.510221304523)); #588180=CARTESIAN_POINT('Ctrl Pts',(-0.601925183622274,-3.59429761343091, 14.5499819481087)); #588181=CARTESIAN_POINT('Ctrl Pts',(0.529827295961748,-3.41887899416434, 14.5897425916945)); #588182=CARTESIAN_POINT('Ctrl Pts',(1.66157977554577,-3.24346037489777, 14.6295032352802)); #588183=CARTESIAN_POINT('Ctrl Pts',(2.69754734196138,-2.4504195615895,14.669263878866)); #588184=CARTESIAN_POINT('Ctrl Pts',(3.16218096499044,-1.40368997503667, 14.7090245224517)); #588185=CARTESIAN_POINT('Ctrl Pts',(3.35642427993538,-0.966097397432243, 14.7256467358079)); #588186=CARTESIAN_POINT('Ctrl Pts',(3.45081464015606,-0.48416722378251, 14.7422689491641)); #588187=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #588188=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588189=CARTESIAN_POINT('',(3.45090661466127,0.00273453970870585,15.6142108517818)); #588190=CARTESIAN_POINT('',(3.4519468768847,0.00301719107020691,15.5088911625202)); #588191=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #588192=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #588193=CARTESIAN_POINT('Ctrl Pts',(3.44519680944158,-0.770957830861942, 15.5875262165039)); #588194=CARTESIAN_POINT('Ctrl Pts',(3.18808851602794,-1.54809017908061, 15.560841581226)); #588195=CARTESIAN_POINT('Ctrl Pts',(2.71039698391824,-2.15022805919748, 15.5341569459481)); #588196=CARTESIAN_POINT('Ctrl Pts',(1.99862698765062,-3.0474256184478,15.4943963023624)); #588197=CARTESIAN_POINT('Ctrl Pts',(0.797126914298543,-3.55610746426742, 15.4546356587766)); #588198=CARTESIAN_POINT('Ctrl Pts',(-0.342490179508144,-3.44273628477494, 15.4148750151909)); #588199=CARTESIAN_POINT('Ctrl Pts',(-1.48210727331483,-3.32936510528246, 15.3751143716051)); #588200=CARTESIAN_POINT('Ctrl Pts',(-2.55984138757613,-2.59394090047786, 15.3353537280194)); #588201=CARTESIAN_POINT('Ctrl Pts',(-3.08090058663446,-1.57409885028488, 15.2955930844336)); #588202=CARTESIAN_POINT('Ctrl Pts',(-3.60195978569278,-0.554256800091893, 15.2558324408479)); #588203=CARTESIAN_POINT('Ctrl Pts',(-3.56634406954814,0.750003095489476, 15.2160717972621)); #588204=CARTESIAN_POINT('Ctrl Pts',(-2.9904051200017,1.73988869094356,15.1763111536764)); #588205=CARTESIAN_POINT('Ctrl Pts',(-2.41446617045525,2.72977428639764, 15.1365505100906)); #588206=CARTESIAN_POINT('Ctrl Pts',(-1.29820398750701,3.40528558172444, 15.0967898665049)); #588207=CARTESIAN_POINT('Ctrl Pts',(-0.154097967600261,3.45629588267687, 15.0570292229191)); #588208=CARTESIAN_POINT('Ctrl Pts',(0.990008052306499,3.5073061836293,15.0172685793334)); #588209=CARTESIAN_POINT('Ctrl Pts',(2.16195790917177,2.93381549020735,14.9775079357476)); #588210=CARTESIAN_POINT('Ctrl Pts',(2.82370365046134,1.99910957835345,14.9377472921619)); #588211=CARTESIAN_POINT('Ctrl Pts',(3.23166507380689,1.42287020057322,14.9132351453685)); #588212=CARTESIAN_POINT('Ctrl Pts',(3.44571665889726,0.709346190146674, 14.8887229985751)); #588213=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #588214=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #588215=CARTESIAN_POINT('Ctrl Pts',(3.44630338917117,0.670317811866395, 14.7820295927498)); #588216=CARTESIAN_POINT('Ctrl Pts',(3.25712195211316,1.34353875052093,14.8051680229794)); #588217=CARTESIAN_POINT('Ctrl Pts',(2.89103175618977,1.90045863823539,14.828306453209)); #588218=CARTESIAN_POINT('Ctrl Pts',(2.26194924471754,2.85745930515725,14.8680670967947)); #588219=CARTESIAN_POINT('Ctrl Pts',(1.11048454213056,3.47104227920359,14.9078277403805)); #588220=CARTESIAN_POINT('Ctrl Pts',(-0.03470272797119,3.45955028305813, 14.9475883839662)); #588221=CARTESIAN_POINT('Ctrl Pts',(-1.17988999807294,3.44805828691267, 14.987349027552)); #588222=CARTESIAN_POINT('Ctrl Pts',(-2.31879983568946,2.81149132057542, 15.0271096711377)); #588223=CARTESIAN_POINT('Ctrl Pts',(-2.92856370531278,1.84207856962227, 15.0668703147235)); #588224=CARTESIAN_POINT('Ctrl Pts',(-3.5383275749361,0.872665818669123, 15.1066309583092)); #588225=CARTESIAN_POINT('Ctrl Pts',(-3.61894547656621,-0.429592716899934, 15.146391601895)); #588226=CARTESIAN_POINT('Ctrl Pts',(-3.13340069088571,-1.46681444699104, 15.1861522454807)); #588227=CARTESIAN_POINT('Ctrl Pts',(-2.64785590520522,-2.50403617708213, 15.2259128890665)); #588228=CARTESIAN_POINT('Ctrl Pts',(-1.59614843221413,-3.27622110169528, 15.2656735326522)); #588229=CARTESIAN_POINT('Ctrl Pts',(-0.461123527339457,-3.42886183236222, 15.305434176238)); #588230=CARTESIAN_POINT('Ctrl Pts',(0.673901377535226,-3.58150256302916, 15.3451948198237)); #588231=CARTESIAN_POINT('Ctrl Pts',(1.8922437142935,-3.11459909974987,15.3849554634094)); #588232=CARTESIAN_POINT('Ctrl Pts',(2.63455923745405,-2.24250568583499, 15.4247161069952)); #588233=CARTESIAN_POINT('Ctrl Pts',(3.15839759237053,-1.62708547027274, 15.4527744588369)); #588234=CARTESIAN_POINT('Ctrl Pts',(3.44518105699584,-0.809886160442538, 15.4808328106786)); #588235=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #588236=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588237=CARTESIAN_POINT('',(3.45946180716485,0.00087544254832872,16.3642108517818)); #588238=CARTESIAN_POINT('',(3.45972266776172,0.000144265412425977,16.2588911625202)); #588239=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #588240=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #588241=CARTESIAN_POINT('Ctrl Pts',(3.45573915157542,-1.09736159596028, 16.3260900780184)); #588242=CARTESIAN_POINT('Ctrl Pts',(2.8879318138174,-2.19890991951559,16.287969304255)); #588243=CARTESIAN_POINT('Ctrl Pts',(1.98966351544516,-2.8303660031375,16.2498485304916)); #588244=CARTESIAN_POINT('Ctrl Pts',(1.0527537381769,-3.48898590515672,16.2100878869058)); #588245=CARTESIAN_POINT('Ctrl Pts',(-0.243659898865985,-3.63619903043584, 16.1703272433201)); #588246=CARTESIAN_POINT('Ctrl Pts',(-1.30438906743395,-3.20441929344768, 16.1305665997343)); #588247=CARTESIAN_POINT('Ctrl Pts',(-2.36511823600193,-2.77263955645952, 16.0908059561486)); #588248=CARTESIAN_POINT('Ctrl Pts',(-3.19016293609499,-1.76186695720406, 16.0510453125628)); #588249=CARTESIAN_POINT('Ctrl Pts',(-3.400742073603,-0.636150942788447, 16.0112846689771)); #588250=CARTESIAN_POINT('Ctrl Pts',(-3.61132121111102,0.489565071627162, 15.9715240253913)); #588251=CARTESIAN_POINT('Ctrl Pts',(-3.20743478603399,1.73022450120292, 15.9317633818056)); #588252=CARTESIAN_POINT('Ctrl Pts',(-2.37450856526759,2.51623595469587, 15.8920027382198)); #588253=CARTESIAN_POINT('Ctrl Pts',(-1.54158234450119,3.30224740818881, 15.8522420946341)); #588254=CARTESIAN_POINT('Ctrl Pts',(-0.279616328045435,3.63361088559895, 15.8124814510484)); #588255=CARTESIAN_POINT('Ctrl Pts',(0.832016201872743,3.35819623803831, 15.7727208074626)); #588256=CARTESIAN_POINT('Ctrl Pts',(1.94364873179093,3.08278159047768,15.7329601638769)); #588257=CARTESIAN_POINT('Ctrl Pts',(2.90494777517153,2.20058881794627,15.6931995202911)); #588258=CARTESIAN_POINT('Ctrl Pts',(3.27457733727026,1.11663579534006,15.6534388767053)); #588259=CARTESIAN_POINT('Ctrl Pts',(3.39613671833035,0.760158197125051, 15.6403628683975)); #588260=CARTESIAN_POINT('Ctrl Pts',(3.45370453412734,0.381859371656284, 15.6272868600896)); #588261=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #588262=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #588263=CARTESIAN_POINT('Ctrl Pts',(3.45476869616596,0.342054645330194, 15.5205934542643)); #588264=CARTESIAN_POINT('Ctrl Pts',(3.40888222052965,0.680344829521263, 15.5322957460084)); #588265=CARTESIAN_POINT('Ctrl Pts',(3.31117058197678,1.00293738151953,15.5439980377524)); #588266=CARTESIAN_POINT('Ctrl Pts',(2.97917769377611,2.09900367040867,15.5837586813382)); #588267=CARTESIAN_POINT('Ctrl Pts',(2.04890320781195,3.01385288363646,15.6235193249239)); #588268=CARTESIAN_POINT('Ctrl Pts',(0.947439935803248,3.32747510393531, 15.6632799685097)); #588269=CARTESIAN_POINT('Ctrl Pts',(-0.154023336205458,3.64109732423416, 15.7030406120954)); #588270=CARTESIAN_POINT('Ctrl Pts',(-1.42667539425871,3.35349255160407, 15.7428012556812)); #588271=CARTESIAN_POINT('Ctrl Pts',(-2.28623711290949,2.59670081559539, 15.7825618992669)); #588272=CARTESIAN_POINT('Ctrl Pts',(-3.14579883156026,1.83990907958671, 15.8223225428527)); #588273=CARTESIAN_POINT('Ctrl Pts',(-3.59227021080856,0.613930380199436, 15.8620831864384)); #588274=CARTESIAN_POINT('Ctrl Pts',(-3.42067449065201,-0.518383696768789, 15.9018438300242)); #588275=CARTESIAN_POINT('Ctrl Pts',(-3.24907877049545,-1.65069777373702, 15.9416044736099)); #588276=CARTESIAN_POINT('Ctrl Pts',(-2.45941595093402,-2.68934722828621, 15.9813651171957)); #588277=CARTESIAN_POINT('Ctrl Pts',(-1.41422321665755,-3.15748433392406, 16.0211257607814)); #588278=CARTESIAN_POINT('Ctrl Pts',(-0.369030482381066,-3.62562143956191, 16.0608864043672)); #588279=CARTESIAN_POINT('Ctrl Pts',(0.931692166610471,-3.52324619628841, 16.1006470479529)); #588280=CARTESIAN_POINT('Ctrl Pts',(1.89077814370212,-2.89735940571161, 16.1404076915387)); #588281=CARTESIAN_POINT('Ctrl Pts',(2.84344410755899,-2.27566223063848, 16.1799021818659)); #588282=CARTESIAN_POINT('Ctrl Pts',(3.45903184602861,-1.13743870506151, 16.219396672193)); #588283=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #588284=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588285=CARTESIAN_POINT('',(3.45354995871696,-0.00318586796853315,17.1142108517818)); #588286=CARTESIAN_POINT('',(3.45237964564211,-0.00309158234549324,17.0088911625202)); #588287=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #588288=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #588289=CARTESIAN_POINT('Ctrl Pts',(3.45613791891426,-0.286667604971698, 17.1044145831186)); #588290=CARTESIAN_POINT('Ctrl Pts',(3.4241692801647,-0.569573345427285, 17.0946183144555)); #588291=CARTESIAN_POINT('Ctrl Pts',(3.35539772522613,-0.843230303958615, 17.0848220457923)); #588292=CARTESIAN_POINT('Ctrl Pts',(3.07627090880514,-1.9539365500879,17.0450614022066)); #588293=CARTESIAN_POINT('Ctrl Pts',(2.19087187178519,-2.91228314280668, 17.0053007586208)); #588294=CARTESIAN_POINT('Ctrl Pts',(1.10569018175771,-3.27828954649469, 16.9655401150351)); #588295=CARTESIAN_POINT('Ctrl Pts',(0.0205084917302364,-3.6442959501827, 16.9257794714493)); #588296=CARTESIAN_POINT('Ctrl Pts',(-1.26445585130476,-3.41796216483997, 16.8860188278636)); #588297=CARTESIAN_POINT('Ctrl Pts',(-2.15927071568023,-2.70319889851528, 16.8462581842778)); #588298=CARTESIAN_POINT('Ctrl Pts',(-3.05408558005571,-1.98843563219059, 16.8064975406921)); #588299=CARTESIAN_POINT('Ctrl Pts',(-3.55875096577166,-0.785242884883928, 16.7667368971063)); #588300=CARTESIAN_POINT('Ctrl Pts',(-3.4415732286445,0.353989087799876, 16.7269762535206)); #588301=CARTESIAN_POINT('Ctrl Pts',(-3.32439549151734,1.49322106048367, 16.6872156099348)); #588302=CARTESIAN_POINT('Ctrl Pts',(-2.58537463154711,2.56849225854461, 16.6474549663491)); #588303=CARTESIAN_POINT('Ctrl Pts',(-1.56379781822791,3.08614166572178, 16.6076943227633)); #588304=CARTESIAN_POINT('Ctrl Pts',(-0.542221004908718,3.60379107289895, 16.5679336791776)); #588305=CARTESIAN_POINT('Ctrl Pts',(0.761911761759431,3.56381868919235, 16.5281730355918)); #588306=CARTESIAN_POINT('Ctrl Pts',(1.74986824497236,2.98457598915815,16.4884123920061)); #588307=CARTESIAN_POINT('Ctrl Pts',(2.7378247281853,2.40533328912395,16.4486517484203)); #588308=CARTESIAN_POINT('Ctrl Pts',(3.40960492794301,1.28682027276215,16.4088911048346)); #588309=CARTESIAN_POINT('Ctrl Pts',(3.45679206907505,0.142550425201595, 16.3691304612488)); #588310=CARTESIAN_POINT('Ctrl Pts',(3.45873823396996,0.0953566822834696, 16.3674905914265)); #588311=CARTESIAN_POINT('Ctrl Pts',(3.45962194742323,0.0481191262264366, 16.3658507216041)); #588312=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #588313=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #588314=CARTESIAN_POINT('Ctrl Pts',(3.45972732320742,0.00781043383156234, 16.2591573157788)); #588315=CARTESIAN_POINT('Ctrl Pts',(3.4597040536736,0.0154765731604767, 16.2594234690374)); #588316=CARTESIAN_POINT('Ctrl Pts',(3.45965277413512,0.023142525122628, 16.2596896222959)); #588317=CARTESIAN_POINT('Ctrl Pts',(3.45199212228471,1.16835932749995,16.2994502658817)); #588318=CARTESIAN_POINT('Ctrl Pts',(2.81922151339213,2.3093945943745,16.3392109094674)); #588319=CARTESIAN_POINT('Ctrl Pts',(1.85184829333964,2.92239480255981,16.3789715530532)); #588320=CARTESIAN_POINT('Ctrl Pts',(0.884475073287143,3.53539501074512, 16.4187321966389)); #588321=CARTESIAN_POINT('Ctrl Pts',(-0.417500757925268,3.62036016024121, 16.4584928402247)); #588322=CARTESIAN_POINT('Ctrl Pts',(-1.45633724949806,3.1382824262132,16.4982534838104)); #588323=CARTESIAN_POINT('Ctrl Pts',(-2.49517374107084,2.65620469218519, 16.5380141273961)); #588324=CARTESIAN_POINT('Ctrl Pts',(-3.27087089300401,1.60708407463308, 16.5777747709819)); #588325=CARTESIAN_POINT('Ctrl Pts',(-3.42730326168641,0.472575759926493, 16.6175354145677)); #588326=CARTESIAN_POINT('Ctrl Pts',(-3.58373563036881,-0.661932554780101, 16.6572960581534)); #588327=CARTESIAN_POINT('Ctrl Pts',(-3.12090321580043,-1.88182856664117, 16.6970567017392)); #588328=CARTESIAN_POINT('Ctrl Pts',(-2.25129408820285,-2.62705348251095, 16.7368173453249)); #588329=CARTESIAN_POINT('Ctrl Pts',(-1.38168496060527,-3.37227839838072, 16.7765779889107)); #588330=CARTESIAN_POINT('Ctrl Pts',(-0.10529911997849,-3.64283221825918, 16.8163386324964)); #588331=CARTESIAN_POINT('Ctrl Pts',(0.991869915198562,-3.31450266686145, 16.8560992760822)); #588332=CARTESIAN_POINT('Ctrl Pts',(2.08903895037561,-2.98617311546373, 16.8958599196679)); #588333=CARTESIAN_POINT('Ctrl Pts',(3.00699118010293,-2.05896019278982, 16.9356205632537)); #588334=CARTESIAN_POINT('Ctrl Pts',(3.32429137477893,-0.958550819911761, 16.9753812068394)); #588335=CARTESIAN_POINT('Ctrl Pts',(3.4134307389191,-0.649412050260517, 16.9865511920663)); #588336=CARTESIAN_POINT('Ctrl Pts',(3.45516540995541,-0.326604260794987, 16.9977211772933)); #588337=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #588338=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588339=CARTESIAN_POINT('',(3.44843195761728,0.000643874095852814,17.8642108517818)); #588340=CARTESIAN_POINT('',(3.44875392709393,0.00127338827508482,17.7588911625202)); #588341=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #588342=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #588343=CARTESIAN_POINT('Ctrl Pts',(3.44740059212339,-0.616078933972656, 17.8429784446331)); #588344=CARTESIAN_POINT('Ctrl Pts',(3.28978968777021,-1.23329067940578, 17.8217460374844)); #588345=CARTESIAN_POINT('Ctrl Pts',(2.97870686027894,-1.75982835574425, 17.8005136303358)); #588346=CARTESIAN_POINT('Ctrl Pts',(2.39616088030948,-2.74584358881606, 17.76075298675)); #588347=CARTESIAN_POINT('Ctrl Pts',(1.27542284921421,-3.41388493955066, 17.7209923431643)); #588348=CARTESIAN_POINT('Ctrl Pts',(0.131004888091775,-3.45724956520578, 17.6812316995785)); #588349=CARTESIAN_POINT('Ctrl Pts',(-1.01341307303067,-3.5006141908609, 17.6414710559928)); #588350=CARTESIAN_POINT('Ctrl Pts',(-2.18151096418028,-2.91930209143651, 17.601710412407)); #588351=CARTESIAN_POINT('Ctrl Pts',(-2.83699891757896,-1.98019713951755, 17.5619497688213)); #588352=CARTESIAN_POINT('Ctrl Pts',(-3.49248687097764,-1.04109218759859, 17.5221891252355)); #588353=CARTESIAN_POINT('Ctrl Pts',(-3.63536488662539,0.255805616814941, 17.4824284816498)); #588354=CARTESIAN_POINT('Ctrl Pts',(-3.20004319894693,1.31508634628514, 17.442667838064)); #588355=CARTESIAN_POINT('Ctrl Pts',(-2.76472151126848,2.37436707575534, 17.4029071944783)); #588356=CARTESIAN_POINT('Ctrl Pts',(-1.75120012026379,3.1960307302822,17.3631465508925)); #588357=CARTESIAN_POINT('Ctrl Pts',(-0.624787347669739,3.40284811695249, 17.3233859073068)); #588358=CARTESIAN_POINT('Ctrl Pts',(0.501625424924314,3.60966550362279, 17.2836252637211)); #588359=CARTESIAN_POINT('Ctrl Pts',(1.74092957910774,3.20163662243651,17.2438646201353)); #588360=CARTESIAN_POINT('Ctrl Pts',(2.52415435828844,2.36608923207348,17.2041039765496)); #588361=CARTESIAN_POINT('Ctrl Pts',(3.11440740289584,1.73640487557055,17.174139601627)); #588362=CARTESIAN_POINT('Ctrl Pts',(3.44563402538529,0.863914999040338, 17.1441752267044)); #588363=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #588364=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #588365=CARTESIAN_POINT('Ctrl Pts',(3.4452492122412,0.824970431736543,17.037481820879)); #588366=CARTESIAN_POINT('Ctrl Pts',(3.14644155548638,1.65765055118977,17.0660724792378)); #588367=CARTESIAN_POINT('Ctrl Pts',(2.60432362970656,2.27754950826142,17.0946631375966)); #588368=CARTESIAN_POINT('Ctrl Pts',(1.8504075303335,3.13963464047434,17.1344237811824)); #588369=CARTESIAN_POINT('Ctrl Pts',(0.625927171962679,3.59019908181797, 17.1741844247681)); #588370=CARTESIAN_POINT('Ctrl Pts',(-0.506953744413779,3.42238695719357, 17.2139450683539)); #588371=CARTESIAN_POINT('Ctrl Pts',(-1.63983466079024,3.25457483256918, 17.2537057119396)); #588372=CARTESIAN_POINT('Ctrl Pts',(-2.68111613517234,2.46838614197675, 17.2934663555254)); #588373=CARTESIAN_POINT('Ctrl Pts',(-3.1527423769387,1.42476316181263,17.3332269991111)); #588374=CARTESIAN_POINT('Ctrl Pts',(-3.62436861870506,0.381140181648496, 17.3729876426969)); #588375=CARTESIAN_POINT('Ctrl Pts',(-3.52633962785568,-0.919917088087326, 17.4127482862826)); #588376=CARTESIAN_POINT('Ctrl Pts',(-2.90366008253745,-1.88108864993117, 17.4525089298684)); #588377=CARTESIAN_POINT('Ctrl Pts',(-2.28098053721924,-2.842260211775,17.4922695734541)); #588378=CARTESIAN_POINT('Ctrl Pts',(-1.13365043743219,-3.46354606572685, 17.5320302170399)); #588379=CARTESIAN_POINT('Ctrl Pts',(0.0115851503723349,-3.45971110225248, 17.5717908606256)); #588380=CARTESIAN_POINT('Ctrl Pts',(1.15682073817686,-3.45587613877811, 17.6115515042114)); #588381=CARTESIAN_POINT('Ctrl Pts',(2.29996181399887,-2.82692035787752, 17.6513121477971)); #588382=CARTESIAN_POINT('Ctrl Pts',(2.91619106118666,-1.86160059621578, 17.6910727913829)); #588383=CARTESIAN_POINT('Ctrl Pts',(3.26655145128237,-1.3127629520982,17.7136789150953)); #588384=CARTESIAN_POINT('Ctrl Pts',(3.44658490416676,-0.6551939348783,17.7362850388078)); #588385=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #588386=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #588387=CARTESIAN_POINT('',(3.45676666130078,0.00285083838310718,18.6142108517818)); #588388=CARTESIAN_POINT('',(3.45717382987894,0.00256648380479073,18.5088911625202)); #588389=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #588390=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #588391=CARTESIAN_POINT('Ctrl Pts',(3.44827487506845,-0.940853654570495, 18.5815423061476)); #588392=CARTESIAN_POINT('Ctrl Pts',(3.04622530289543,-1.8908152456668,18.5488737605134)); #588393=CARTESIAN_POINT('Ctrl Pts',(2.35729387523107,-2.53200655437074, 18.5162052148793)); #588394=CARTESIAN_POINT('Ctrl Pts',(1.51880056557687,-3.31239572079239, 18.4764445712935)); #588395=CARTESIAN_POINT('Ctrl Pts',(0.255345066464068,-3.63539905840144, 18.4366839277078)); #588396=CARTESIAN_POINT('Ctrl Pts',(-0.854253299070007,-3.35258267089608, 18.396923284122)); #588397=CARTESIAN_POINT('Ctrl Pts',(-1.9638516646041,-3.06976628339071, 18.3571626405363)); #588398=CARTESIAN_POINT('Ctrl Pts',(-2.91959289655946,-2.18113017077092, 18.3174019969505)); #588399=CARTESIAN_POINT('Ctrl Pts',(-3.2820588793649,-1.09472199374446, 18.2776413533648)); #588400=CARTESIAN_POINT('Ctrl Pts',(-3.64452486217034,-0.00831381671800815, 18.237880709779)); #588401=CARTESIAN_POINT('Ctrl Pts',(-3.41371559582586,1.27586642471511, 18.1981200661933)); #588402=CARTESIAN_POINT('Ctrl Pts',(-2.69592237908466,2.16828346616914, 18.1583594226075)); #588403=CARTESIAN_POINT('Ctrl Pts',(-1.97812916234345,3.06070050762317, 18.1185987790218)); #588404=CARTESIAN_POINT('Ctrl Pts',(-0.773351995205526,3.5613543490981, 18.078838135436)); #588405=CARTESIAN_POINT('Ctrl Pts',(0.36545917603066,3.440374089431,18.0390774918503)); #588406=CARTESIAN_POINT('Ctrl Pts',(1.50427034726684,3.31939382976389,17.9993168482645)); #588407=CARTESIAN_POINT('Ctrl Pts',(2.57711552260128,2.57677946895475,17.9595562046788)); #588408=CARTESIAN_POINT('Ctrl Pts',(3.09136114584667,1.55347773084567,17.919795561093)); #588409=CARTESIAN_POINT('Ctrl Pts',(3.33099671597219,1.07662487233299,17.9012673246559)); #588410=CARTESIAN_POINT('Ctrl Pts',(3.44933196785117,0.538820536027425, 17.8827390882188)); #588411=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #588412=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #588413=CARTESIAN_POINT('Ctrl Pts',(3.45039987698711,0.499429634982708, 17.7760456823935)); #588414=CARTESIAN_POINT('Ctrl Pts',(3.34962364114093,0.996951477642622, 17.7932002022668)); #588415=CARTESIAN_POINT('Ctrl Pts',(3.14313151751043,1.44584452207967,17.8103547221401)); #588416=CARTESIAN_POINT('Ctrl Pts',(2.66452530809282,2.48628613629049,17.8501153657259)); #588417=CARTESIAN_POINT('Ctrl Pts',(1.61799547070403,3.26548562228923,17.8898760093116)); #588418=CARTESIAN_POINT('Ctrl Pts',(0.48401909475832,3.42570621149547,17.9296366528974)); #588419=CARTESIAN_POINT('Ctrl Pts',(-0.649957281187394,3.5859268007017, 17.9693972964831)); #588420=CARTESIAN_POINT('Ctrl Pts',(-1.87138019569003,3.12716849311544, 18.0091579400689)); #588421=CARTESIAN_POINT('Ctrl Pts',(-2.61951188508239,2.26005551033554, 18.0489185836546)); #588422=CARTESIAN_POINT('Ctrl Pts',(-3.36764357447474,1.39294252755566, 18.0886792272404)); #588423=CARTESIAN_POINT('Ctrl Pts',(-3.64248403875683,0.117474869582156, 18.1284398708261)); #588424=CARTESIAN_POINT('Ctrl Pts',(-3.31781017411624,-0.980788288476244, 18.1682005144119)); #588425=CARTESIAN_POINT('Ctrl Pts',(-2.99313630947565,-2.07905144653467, 18.2079611579976)); #588426=CARTESIAN_POINT('Ctrl Pts',(-2.06894811591235,-3.00011010467798, 18.2477218015834)); #588427=CARTESIAN_POINT('Ctrl Pts',(-0.969627030318212,-3.32107798746736, 18.2874824451691)); #588428=CARTESIAN_POINT('Ctrl Pts',(0.12969405527592,-3.64204587025674, 18.3272430887549)); #588429=CARTESIAN_POINT('Ctrl Pts',(1.40414803290089,-3.3629229776922,18.3670037323406)); #588430=CARTESIAN_POINT('Ctrl Pts',(2.26878997755794,-2.61190388700964, 18.4067643759264)); #588431=CARTESIAN_POINT('Ctrl Pts',(3.00907899536247,-1.96889646824004, 18.4408066381243)); #588432=CARTESIAN_POINT('Ctrl Pts',(3.44895778174161,-0.979968396387772, 18.4748489003223)); #588433=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #588434=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588435=CARTESIAN_POINT('',(3.02617200638231,0.00249572166953512,18.8626158051006)); #588436=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,18.874051007151)); #588437=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #588438=CARTESIAN_POINT('',(3.00988516885489,0.289230331641654,18.874051007151)); #588439=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #588440=CARTESIAN_POINT('Ctrl Pts',(3.02703939833448,0.098890244567446, 18.8664275391174)); #588441=CARTESIAN_POINT('Ctrl Pts',(3.02321630658325,0.195210194383798, 18.8702392731342)); #588442=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361003, 18.874051007151)); #588443=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #588444=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588445=CARTESIAN_POINT('',(3.01887552107167,0.000563669479750672,18.1126158051006)); #588446=CARTESIAN_POINT('',(3.026528455713,0.00224678792425714,18.2604862092014)); #588447=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #588448=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #588449=CARTESIAN_POINT('Ctrl Pts',(3.01966342088194,0.471701967544478, 18.1311440415377)); #588450=CARTESIAN_POINT('Ctrl Pts',(2.69375970340913,1.95285343924806,18.1894329215605)); #588451=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #588452=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #588453=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #588454=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #588455=CARTESIAN_POINT('Ctrl Pts',(2.23839242433519,-2.66745387143649, 18.7575180702465)); #588456=CARTESIAN_POINT('Ctrl Pts',(3.01873800562377,-0.823655540799612, 18.8299472594664)); #588457=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #588458=CARTESIAN_POINT('',(1.25446167448542,-2.75125234940612,18.874051007151)); #588459=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #588460=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #588461=CARTESIAN_POINT('Ctrl Pts',(3.02773666238074,0.146732941569457, 18.2662045905892)); #588462=CARTESIAN_POINT('Ctrl Pts',(2.95254184017693,1.29459396607607,18.3116836155627)); #588463=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #588464=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #588465=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #588466=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #588467=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #588468=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #588469=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588470=CARTESIAN_POINT('',(3.02335599467478,-0.00278901800346786,17.3626158051006)); #588471=CARTESIAN_POINT('',(3.01915738418834,0.00111476777149289,17.5104862092014)); #588472=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #588473=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #588474=CARTESIAN_POINT('Ctrl Pts',(3.01642611528517,0.756300804410545, 17.3925801800232)); #588475=CARTESIAN_POINT('Ctrl Pts',(2.34169272875362,2.53362789448903,17.4623051985316)); #588476=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #588477=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #588478=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #588479=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #588480=CARTESIAN_POINT('Ctrl Pts',(2.62161161335373,-2.09150507237329, 18.0303903472175)); #588481=CARTESIAN_POINT('Ctrl Pts',(3.01797262836404,-0.539336617404203, 18.0913833979519)); #588482=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #588483=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #588484=CARTESIAN_POINT('Ctrl Pts',(3.0205983051465,0.437217822485471,17.5276407290747)); #588485=CARTESIAN_POINT('Ctrl Pts',(2.72789265824333,1.88227529641706,17.5845558925337)); #588486=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #588487=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #588488=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #588489=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #588490=CARTESIAN_POINT('Ctrl Pts',(2.18447976385771,-2.73480729503112, 18.1526410412197)); #588491=CARTESIAN_POINT('Ctrl Pts',(3.01933584553487,-0.857897926604489, 18.2264439470034)); #588492=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #588493=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588494=CARTESIAN_POINT('',(3.02853142945889,0.000766392441006698,16.6126158051006)); #588495=CARTESIAN_POINT('',(3.02233146249177,-0.00270647713703234,16.7604862092014)); #588496=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #588497=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #588498=CARTESIAN_POINT('Ctrl Pts',(3.02867162173054,0.0421251326036163, 16.6142556749229)); #588499=CARTESIAN_POINT('Ctrl Pts',(3.00914031197734,1.08614153397219,16.655656188331)); #588500=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #588501=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #588502=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #588503=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #588504=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #588505=CARTESIAN_POINT('Ctrl Pts',(2.88404506019069,-1.50383686853483, 17.3032626241885)); #588506=CARTESIAN_POINT('Ctrl Pts',(3.02562158374444,-0.250958648073935, 17.3528195364374)); #588507=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #588508=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #588509=CARTESIAN_POINT('Ctrl Pts',(3.01608923666779,0.722207395208216, 16.7890768675602)); #588510=CARTESIAN_POINT('Ctrl Pts',(2.39071799585677,2.46491441000329,16.8574281695047)); #588511=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #588512=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #588513=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #588514=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #588515=CARTESIAN_POINT('Ctrl Pts',(2.58244417295976,-2.16173107702174, 17.4255133181908)); #588516=CARTESIAN_POINT('Ctrl Pts',(3.01725854716577,-0.573579230023859, 17.487880085489)); #588517=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #588518=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588519=CARTESIAN_POINT('',(3.02104192067698,0.00239390982333918,15.8626158051006)); #588520=CARTESIAN_POINT('',(3.02875979576745,0.00012629491215721,16.0104862092014)); #588521=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #588522=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #588523=CARTESIAN_POINT('Ctrl Pts',(3.02349131528772,0.334292783767024, 15.8756918134085)); #588524=CARTESIAN_POINT('Ctrl Pts',(2.81985116815183,1.67248544251706,15.9285284653021)); #588525=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #588526=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #588527=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #588528=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #588529=CARTESIAN_POINT('Ctrl Pts',(2.00973329811733,-2.930817723231,16.4966136139881)); #588530=CARTESIAN_POINT('Ctrl Pts',(3.02527248914663,-0.960667957643083, 16.5744950313372)); #588531=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #588532=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #588533=CARTESIAN_POINT('Ctrl Pts',(3.0287638713042,0.00683752153558703, 16.01075236246)); #588534=CARTESIAN_POINT('Ctrl Pts',(3.02570028386496,1.01613527253253,16.0507791593043)); #588535=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #588536=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #588537=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #588538=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #588539=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #588540=CARTESIAN_POINT('Ctrl Pts',(2.85818096250691,-1.57444896065987, 16.6983855951618)); #588541=CARTESIAN_POINT('Ctrl Pts',(3.02477021606513,-0.285920565574129, 16.7493162239745)); #588542=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #588543=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588544=CARTESIAN_POINT('',(3.01986093384298,-0.00180024280786706,15.1126158051006)); #588545=CARTESIAN_POINT('',(3.02195260188661,0.00264135251424213,15.2604862092014)); #588546=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #588547=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #588548=CARTESIAN_POINT('Ctrl Pts',(3.01649845549417,0.620985970625849, 15.137127951894)); #588549=CARTESIAN_POINT('Ctrl Pts',(2.52515202809451,2.25885038185616,15.2014007422731)); #588550=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #588551=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #588552=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #588553=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #588554=CARTESIAN_POINT('Ctrl Pts',(2.45558611215188,-2.3689540638824,15.7694858909591)); #588555=CARTESIAN_POINT('Ctrl Pts',(3.0160433614607,-0.67492291284979,15.8359311698227)); #588556=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #588557=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #588558=CARTESIAN_POINT('Ctrl Pts',(3.02442291921485,0.299446361871114, 15.2721885009455)); #588559=CARTESIAN_POINT('Ctrl Pts',(2.84776549928966,1.60182294007854,15.3236514362753)); #588560=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #588561=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #588562=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #588563=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #588564=CARTESIAN_POINT('Ctrl Pts',(1.94671012053896,-2.99534762149592, 15.8917365849613)); #588565=CARTESIAN_POINT('Ctrl Pts',(3.02815502674155,-0.995752832757384, 15.9709917188742)); #588566=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #588567=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #588568=CARTESIAN_POINT('',(3.02757717608853,-0.0018553911440936,14.3626158051006)); #588569=CARTESIAN_POINT('',(3.01931036916349,-0.00133655338743016,14.5104862092014)); #588570=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #588571=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #588572=CARTESIAN_POINT('Ctrl Pts',(3.02154822416238,0.9054513732703,14.3985640903795)); #588573=CARTESIAN_POINT('Ctrl Pts',(2.10521923484674,2.82748473653963,14.4742730192441)); #588574=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #588575=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #588576=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #588577=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #588578=CARTESIAN_POINT('Ctrl Pts',(2.77259068616694,-1.78426083050036, 15.0423581679301)); #588579=CARTESIAN_POINT('Ctrl Pts',(3.02195266170136,-0.389222595404519, 15.0973673083082)); #588580=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #588581=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #588582=CARTESIAN_POINT('Ctrl Pts',(3.01701209928853,0.586819190421727, 14.533624639431)); #588583=CARTESIAN_POINT('Ctrl Pts',(2.56680490903003,2.1889262043457,14.5965237132463)); #588584=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #588585=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #588586=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #588587=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #588588=CARTESIAN_POINT('Ctrl Pts',(2.4091998395351,-2.43818422205354,15.1646088619323)); #588589=CARTESIAN_POINT('Ctrl Pts',(3.01602957122972,-0.709002106992609, 15.2324278573597)); #588590=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #588591=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #588592=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.124050997906)); #588593=CARTESIAN_POINT('',(-4.45000048946549,4.80000000609964,1.12405099790598)); #588594=CARTESIAN_POINT('',(-0.800000318548086,4.80010000606,1.124050997906)); #588595=CARTESIAN_POINT('',(-4.45000048946543,4.80000000607335,1.324050998148)); #588596=CARTESIAN_POINT('',(-4.45000048946543,4.80000000606,1.3990509982385)); #588597=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.324050998148)); #588598=CARTESIAN_POINT('',(0.374999600083595,4.80000000606,1.324050998148)); #588599=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.2740509980875)); #588600=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #588601=CARTESIAN_POINT('',(4.45000006701829,4.80000000606987,1.324050998148)); #588602=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.324050998148)); #588603=CARTESIAN_POINT('',(3.399999813374,4.80000000606,1.324050998148)); #588604=CARTESIAN_POINT('',(4.45000006701731,4.80000000634984,1.12405099790602)); #588605=CARTESIAN_POINT('',(4.4500000670183,4.80000000606,1.39905099823851)); #588606=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.124050997906)); #588607=CARTESIAN_POINT('',(2.22499964104865,4.80010000606,1.124050997906)); #588608=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.1740509979665)); #588609=CARTESIAN_POINT('Origin',(-4.75010061538184,3.52500000467047,1.22405099802707)); #588610=CARTESIAN_POINT('',(-4.75005067834004,4.50000000605998,1.32405099814807)); #588611=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.12405099790607)); #588612=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.27405099808757)); #588613=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.12405099790607)); #588614=CARTESIAN_POINT('',(-4.75010061538184,4.01250000536522,1.12405099790607)); #588615=CARTESIAN_POINT('',(-4.75000061538183,2.55000000328101,1.32405099814811)); #588616=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.17405099796657)); #588617=CARTESIAN_POINT('',(-4.75000061538183,-0.0499999998610168,1.32405099814807)); #588618=CARTESIAN_POINT('Origin',(-4.75010061538181,-3.52500000427253,1.22405099802707)); #588619=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.12405099790607)); #588620=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.12405099790598)); #588621=CARTESIAN_POINT('',(-4.75010061538182,-3.03750000357778,1.12405099790607)); #588622=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.324050998148)); #588623=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.3990509982385)); #588624=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288299,1.32405099814811)); #588625=CARTESIAN_POINT('',(-4.75000061538181,-3.57500000433252,1.32405099814807)); #588626=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.27405099808757)); #588627=CARTESIAN_POINT('Origin',(4.75009972652544,1.99000815825912E-10, 1.224050998027)); #588628=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #588629=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.12405099790602)); #588630=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #588631=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.324050998148)); #588632=CARTESIAN_POINT('',(4.7500000670183,4.50000000606,1.3990509982385)); #588633=CARTESIAN_POINT('',(4.74999972652544,2.55000000328099,1.324050998148)); #588634=CARTESIAN_POINT('',(4.74999972652545,0.9750000015885,1.324050998148)); #588635=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.324050998148)); #588636=CARTESIAN_POINT('Origin',(4.75009972652547,1.99000815825912E-10, 1.224050998027)); #588637=CARTESIAN_POINT('',(4.75004972652547,-4.500000005662,1.324050998148)); #588638=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #588639=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.324050998148)); #588640=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #588641=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #588642=CARTESIAN_POINT('',(4.74999972652546,-2.55000000288301,1.324050998148)); #588643=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #588644=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.324050998148)); #588645=CARTESIAN_POINT('Origin',(1.92624008029336,-1.86267068874964,28.5149676238849)); #588646=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #588647=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874963,20.374051007151)); #588648=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.874051007151)); #588649=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,20.374051007151)); #588650=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,20.374051007151)); #588651=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.5149676238849)); #588652=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.874051007151)); #588653=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #588654=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #588655=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #588656=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #588657=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #588658=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #588659=CARTESIAN_POINT('Ctrl Pts',(1.94778956094194,-1.70190720644611, 28.6347194078531)); #588660=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #588661=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #588662=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #588663=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #588664=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #588665=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #588666=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #588667=CARTESIAN_POINT('',(1.84060378897995,-1.64002347215055,28.874051007151)); #588668=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #588669=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #588670=CARTESIAN_POINT('Ctrl Pts',(1.94778956094195,-1.70190720644611, 28.6347194078531)); #588671=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #588672=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #588673=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #588674=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795558, 28.5149676238849)); #588675=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #588676=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794972, 28.6347207981089)); #588677=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #588678=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295809, 28.7544202125044)); #588679=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #588680=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764108, 28.874051007151)); #588681=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #588682=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #588683=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #588684=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #588685=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #588686=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #588687=CARTESIAN_POINT('',(-2.34060417044995,0.773998068764108,28.874051007151)); #588688=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #588689=CARTESIAN_POINT('',(-2.50124046176341,0.866741474795558,28.5149676238849)); #588690=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795557, 28.5149676238849)); #588691=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794971, 28.6347207981089)); #588692=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295808, 28.7544202125044)); #588693=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764107, 28.874051007151)); #588694=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #588695=CARTESIAN_POINT('Origin',(-2.57624046176339,0.73683766422788,20.374051007151)); #588696=CARTESIAN_POINT('',(-2.57624046176339,0.736837664227881,20.374051007151)); #588697=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,28.874051007151)); #588698=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795547,20.374051007151)); #588699=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795544,28.874051007151)); #588700=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,20.374051007151)); #588701=CARTESIAN_POINT('Origin',(-7.32542773965386,3.65198729830678,28.874051007151)); #588702=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,20.374051007151)); #588703=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,28.874051007151)); #588704=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #588705=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,20.374051007151)); #588706=CARTESIAN_POINT('',(1.79489676448307,1.15504985387193,20.374051007151)); #588707=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,20.374051007151)); #588708=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588709=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,20.374051007151)); #588710=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588711=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829872,20.374051007151)); #588712=CARTESIAN_POINT('',(-0.589125236220423,-2.38517242385953,20.374051007151)); #588713=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397538,20.374051007151)); #588714=CARTESIAN_POINT('',(2.25124008029338,-1.29975417628976,20.374051007151)); #588715=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,20.374051007151)); #588716=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,20.374051007151)); #588717=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,20.374051007151)); #588718=CARTESIAN_POINT('',(-2.25124046176335,1.29975417668774,20.374051007151)); #588719=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,20.374051007151)); #588720=CARTESIAN_POINT('',(-1.96189493723401,2.6818615432879,20.374051007151)); #588721=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,20.374051007151)); #588722=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588723=CARTESIAN_POINT('',(-3.78977879690826,0.104898781846103,20.374051007151)); #588724=CARTESIAN_POINT('Origin',(-1.92624046176335,1.86267068914763,28.5149676238849)); #588725=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #588726=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.874051007151)); #588727=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.5149676238849)); #588728=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.874051007151)); #588729=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #588730=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #588731=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #588732=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #588733=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #588734=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #588735=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #588736=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #588737=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #588738=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #588739=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #588740=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #588741=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #588742=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #588743=CARTESIAN_POINT('',(-1.84060417044995,1.64002347254855,28.874051007151)); #588744=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #588745=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #588746=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #588747=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #588748=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #588749=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #588750=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397552, 28.5149676238849)); #588751=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #588752=CARTESIAN_POINT('Ctrl Pts',(2.44778894040929,-0.835881444396968, 28.6347207981089)); #588753=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #588754=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #588755=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #588756=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.77399806836611, 28.874051007151)); #588757=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #588758=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #588759=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #588760=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #588761=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #588762=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #588763=CARTESIAN_POINT('',(2.34060378897995,-0.77399806836611,28.874051007151)); #588764=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #588765=CARTESIAN_POINT('',(2.5012400802934,-0.866741474397552,28.5149676238849)); #588766=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397553, 28.5149676238849)); #588767=CARTESIAN_POINT('Ctrl Pts',(2.44778894040928,-0.835881444396969, 28.6347207981089)); #588768=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #588769=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.773998068366111, 28.874051007151)); #588770=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #588771=CARTESIAN_POINT('Origin',(2.57624008029337,-0.736837663829872,20.374051007151)); #588772=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829873,28.874051007151)); #588773=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397539,28.874051007151)); #588774=CARTESIAN_POINT('Origin',(-6.82542773965386,4.51801270209122,28.874051007151)); #588775=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,28.874051007151)); #588776=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #588777=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,20.374051007151)); #588778=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,20.374051007151)); #588779=CARTESIAN_POINT('',(-1.55646407602668,-3.17681538796959,20.374051007151)); #588780=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,28.874051007151)); #588781=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,15.751915342762)); #588782=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,27.874051007151)); #588783=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902071,-2.46201938233134, 28.8740510071514)); #588784=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798766,-2.62759081303762, 28.4576511057424)); #588785=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387483,-2.82627652988517, 27.874051007151)); #588786=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,15.751915342762)); #588787=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #588788=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,20.374051007151)); #588789=CARTESIAN_POINT('',(-3.17055966245198,1.10316841575079,20.374051007151)); #588790=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,27.874051007151)); #588791=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,15.751915342762)); #588792=CARTESIAN_POINT('Ctrl Pts',(-0.0212545508626208,-2.99992470755211, 27.874051007151)); #588793=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066228,-2.70652180288617, 28.4576511057424)); #588794=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902549,-2.46201938233124, 28.8740510071515)); #588795=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #588796=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,20.374051007151)); #588797=CARTESIAN_POINT('',(0.0212541693925872,-2.99992470755211,20.374051007151)); #588798=CARTESIAN_POINT('',(0.427054330068471,-2.47122526760992,20.374051007151)); #588799=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,28.874051007151)); #588800=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,15.751915342762)); #588801=CARTESIAN_POINT('',(0.0212541693925886,-2.99992470755211,27.874051007151)); #588802=CARTESIAN_POINT('Ctrl Pts',(0.434120253432504,-2.46201938233127, 28.8740510071514)); #588803=CARTESIAN_POINT('Ctrl Pts',(0.246453851596188,-2.70652180288618, 28.4576511057424)); #588804=CARTESIAN_POINT('Ctrl Pts',(0.0212541693925879,-2.99992470755211, 27.874051007151)); #588805=CARTESIAN_POINT('',(0.0212541693925869,-2.99992470755211,15.751915342762)); #588806=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #588807=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,20.374051007151)); #588808=CARTESIAN_POINT('',(-3.70844457374861,0.176289608541564,20.374051007151)); #588809=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,27.874051007151)); #588810=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,15.751915342762)); #588811=CARTESIAN_POINT('Ctrl Pts',(1.0060619224048,-2.82627652988518,27.874051007151)); #588812=CARTESIAN_POINT('Ctrl Pts',(0.694093739328748,-2.62759081303763, 28.4576511057424)); #588813=CARTESIAN_POINT('Ctrl Pts',(0.434120253432062,-2.46201938233136, 28.8740510071514)); #588814=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #588815=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,20.374051007151)); #588816=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,20.374051007151)); #588817=CARTESIAN_POINT('',(1.67437934683947,-0.819744706995834,20.374051007151)); #588818=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,28.874051007151)); #588819=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,15.751915342762)); #588820=CARTESIAN_POINT('',(1.04600705314767,-2.8117376912909,27.874051007151)); #588821=CARTESIAN_POINT('Ctrl Pts',(1.24999980926508,-2.1650635092618,28.8740510071514)); #588822=CARTESIAN_POINT('Ctrl Pts',(1.15727582921172,-2.45900631927501, 28.4576511057424)); #588823=CARTESIAN_POINT('Ctrl Pts',(1.04600705314767,-2.8117376912909,27.874051007151)); #588824=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,15.751915342762)); #588825=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #588826=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,20.374051007151)); #588827=CARTESIAN_POINT('',(-3.47336509236803,-1.11859595843677,20.374051007151)); #588828=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,27.874051007151)); #588829=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,15.751915342762)); #588830=CARTESIAN_POINT('Ctrl Pts',(1.9120324569321,-2.31173769129091,27.874051007151)); #588831=CARTESIAN_POINT('Ctrl Pts',(1.55092374002255,-2.23173359200242, 28.4576511057424)); #588832=CARTESIAN_POINT('Ctrl Pts',(1.24999980926463,-2.16506350926202, 28.8740510071515)); #588833=CARTESIAN_POINT('Origin',(1.91511091706243,-1.60696902401735,15.751915342762)); #588834=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,27.874051007151)); #588835=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874963, 28.5149676238849)); #588836=CARTESIAN_POINT('Ctrl Pts',(1.93490020185357,-2.06164409346862, 28.2258857969732)); #588837=CARTESIAN_POINT('Ctrl Pts',(1.94459602586772,-2.28441361260723, 27.874051007151)); #588838=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,15.751915342762)); #588839=CARTESIAN_POINT('Origin',(-2.34923174269976,0.855050358513194,15.751915342762)); #588840=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,27.874051007151)); #588841=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,15.751915342762)); #588842=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047753,0.541862917476988, 27.874051007151)); #588843=CARTESIAN_POINT('Ctrl Pts',(-2.75288654570759,0.644850847139407, 28.2258857969731)); #588844=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176338,0.736837664227884, 28.5149676238848)); #588845=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #588846=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,20.374051007151)); #588847=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,20.374051007151)); #588848=CARTESIAN_POINT('',(-3.58080893148628,-2.68145717461976,20.374051007151)); #588849=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,28.874051007151)); #588850=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,15.751915342762)); #588851=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,27.874051007151)); #588852=CARTESIAN_POINT('Ctrl Pts',(-1.2500001907347,-2.16506350926202, 28.8740510071514)); #588853=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149262,-2.23173359200241, 28.4576511057424)); #588854=CARTESIAN_POINT('Ctrl Pts',(-1.91203283840214,-2.31173769129089, 27.874051007151)); #588855=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,15.751915342762)); #588856=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #588857=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,20.374051007151)); #588858=CARTESIAN_POINT('',(-2.34413605300257,1.30343913380909,20.374051007151)); #588859=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,27.874051007151)); #588860=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,15.751915342762)); #588861=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461771,-2.81173769129088, 27.874051007151)); #588862=CARTESIAN_POINT('Ctrl Pts',(-1.15727621068175,-2.45900631927497, 28.4576511057424)); #588863=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073512,-2.16506350926175, 28.8740510071515)); #588864=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #588865=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,20.374051007151)); #588866=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,20.374051007151)); #588867=CARTESIAN_POINT('',(-4.94315820060534,-1.20789807014486,20.374051007151)); #588868=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,28.874051007151)); #588869=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,15.751915342762)); #588870=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,27.874051007151)); #588871=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853214,-1.60696902401737, 28.8740510071514)); #588872=CARTESIAN_POINT('Ctrl Pts',(-2.22068980693764,-1.56669636286776, 28.4576511057424)); #588873=CARTESIAN_POINT('Ctrl Pts',(-2.58738401702428,-1.51836916948822, 27.874051007151)); #588874=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,15.751915342762)); #588875=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #588876=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,20.374051007151)); #588877=CARTESIAN_POINT('',(-1.80888956495441,0.833562536448478,20.374051007151)); #588878=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,27.874051007151)); #588879=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,15.751915342762)); #588880=CARTESIAN_POINT('Ctrl Pts',(-1.94459640733774,-2.2844136126072, 27.874051007151)); #588881=CARTESIAN_POINT('Ctrl Pts',(-1.92851362071667,-1.91489838246707, 28.4576511057424)); #588882=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853244,-1.606969024017,28.8740510071515)); #588883=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #588884=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,20.374051007151)); #588885=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,20.374051007151)); #588886=CARTESIAN_POINT('',(-5.23261578132128,0.646445255228196,20.374051007151)); #588887=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,28.874051007151)); #588888=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,15.751915342762)); #588889=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078932,27.874051007151)); #588890=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269949,-0.855050358115296, 28.8740510071514)); #588891=CARTESIAN_POINT('Ctrl Pts',(-2.62260755078041,-0.712692430371501, 28.4576511057424)); #588892=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047754,-0.541862917078933, 27.874051007151)); #588893=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,15.751915342762)); #588894=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #588895=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,20.374051007151)); #588896=CARTESIAN_POINT('',(-1.94528924220284,0.12053019870786,20.374051007151)); #588897=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,27.874051007151)); #588898=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,15.751915342762)); #588899=CARTESIAN_POINT('Ctrl Pts',(-2.60863837715188,-1.48155553786484, 27.874051007151)); #588900=CARTESIAN_POINT('Ctrl Pts',(-2.46714384926884,-1.13982543981938, 28.4576511057424)); #588901=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269965,-0.855050358114845, 28.8740510071514)); #588902=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #588903=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,20.374051007151)); #588904=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,20.374051007151)); #588905=CARTESIAN_POINT('',(-4.49514760549644,2.17791883605713,20.374051007151)); #588906=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,28.874051007151)); #588907=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,15.751915342762)); #588908=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199028,27.874051007151)); #588909=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073478,1.98790006231603E-10, 28.8740510071514)); #588910=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143933,0.227272727471614, 28.4576511057424)); #588911=CARTESIAN_POINT('Ctrl Pts',(-2.9580400822848,0.500000000199028, 27.874051007151)); #588912=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,15.751915342762)); #588913=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #588914=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,20.374051007151)); #588915=CARTESIAN_POINT('',(-2.74084917561152,-0.262912673260038,20.374051007151)); #588916=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,27.874051007151)); #588917=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,15.751915342762)); #588918=CARTESIAN_POINT('Ctrl Pts',(-2.95804008228482,-0.499999999800975, 27.874051007151)); #588919=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143932,-0.227272727073556, 28.4576511057424)); #588920=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073477,1.9927169611584E-10, 28.8740510071515)); #588921=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588922=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588923=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588924=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588925=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588926=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588927=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588928=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588929=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588930=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588931=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588932=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588933=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588934=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588935=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588936=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588937=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588938=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588939=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588940=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588941=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588942=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588943=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588944=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #588945=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #588946=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #588947=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588948=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #588949=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588950=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #588951=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588952=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #588953=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588954=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #588955=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588956=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #588957=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #588958=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #588959=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,20.374051007151)); #588960=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,20.374051007151)); #588961=CARTESIAN_POINT('',(-2.72955297523715,1.2833884871908,20.374051007151)); #588962=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,28.874051007151)); #588963=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,15.751915342762)); #588964=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,27.874051007151)); #588965=CARTESIAN_POINT('Ctrl Pts',(1.24999980926469,2.16506350966002,28.8740510071514)); #588966=CARTESIAN_POINT('Ctrl Pts',(1.5509237400226,2.23173359240042,28.4576511057424)); #588967=CARTESIAN_POINT('Ctrl Pts',(1.91203245693212,2.3117376916889,27.874051007151)); #588968=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,15.751915342762)); #588969=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #588970=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,20.374051007151)); #588971=CARTESIAN_POINT('',(0.663893034085365,4.02307124794892,20.374051007151)); #588972=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,27.874051007151)); #588973=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,15.751915342762)); #588974=CARTESIAN_POINT('Ctrl Pts',(1.04600705314768,2.8117376916889,27.874051007151)); #588975=CARTESIAN_POINT('Ctrl Pts',(1.15727582921173,2.45900631967301,28.4576511057424)); #588976=CARTESIAN_POINT('Ctrl Pts',(1.24999980926509,2.16506350965979,28.8740510071514)); #588977=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #588978=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,20.374051007151)); #588979=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,20.374051007151)); #588980=CARTESIAN_POINT('',(-2.11260221705595,0.84006750715199,20.374051007151)); #588981=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,28.874051007151)); #588982=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,15.751915342762)); #588983=CARTESIAN_POINT('',(1.00606192240485,2.82627653028317,27.874051007151)); #588984=CARTESIAN_POINT('Ctrl Pts',(0.43412025343209,2.46201938272934,28.8740510071514)); #588985=CARTESIAN_POINT('Ctrl Pts',(0.694093739328786,2.62759081343562, 28.4576511057424)); #588986=CARTESIAN_POINT('Ctrl Pts',(1.00606192240485,2.82627653028316,27.874051007151)); #588987=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,15.751915342762)); #588988=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #588989=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,20.374051007151)); #588990=CARTESIAN_POINT('',(-1.2741058916772,4.68759318415394,20.374051007151)); #588991=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,27.874051007151)); #588992=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,15.751915342762)); #588993=CARTESIAN_POINT('Ctrl Pts',(0.0212541693926478,2.9999247079501, 27.874051007151)); #588994=CARTESIAN_POINT('Ctrl Pts',(0.246453851596229,2.70652180328419, 28.4576511057423)); #588995=CARTESIAN_POINT('Ctrl Pts',(0.434120253432532,2.46201938272928, 28.8740510071514)); #588996=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #588997=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,20.374051007151)); #588998=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,20.374051007151)); #588999=CARTESIAN_POINT('',(-1.46939944070624,1.11319950079325,20.374051007151)); #589000=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,28.874051007151)); #589001=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,15.751915342762)); #589002=CARTESIAN_POINT('',(-0.0212545508625678,2.99992470795011,27.874051007151)); #589003=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902487,2.46201938272927, 28.8740510071514)); #589004=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066169,2.70652180328418, 28.4576511057424)); #589005=CARTESIAN_POINT('Ctrl Pts',(-0.021254550862568,2.99992470795011, 27.874051007151)); #589006=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,15.751915342762)); #589007=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #589008=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,20.374051007151)); #589009=CARTESIAN_POINT('',(-3.15230643271926,4.19317250366315,20.374051007151)); #589010=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,27.874051007151)); #589011=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,15.751915342762)); #589012=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387478,2.82627653028319, 27.874051007151)); #589013=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798735,2.62759081343564, 28.4576511057423)); #589014=CARTESIAN_POINT('Ctrl Pts',(-0.43412063490205,2.46201938272937, 28.8740510071514)); #589015=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #589016=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,20.374051007151)); #589017=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,20.374051007151)); #589018=CARTESIAN_POINT('',(-1.33364974024846,1.89988740714398,20.374051007151)); #589019=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,28.874051007151)); #589020=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,15.751915342762)); #589021=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,27.874051007151)); #589022=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073507,2.1650635096598,28.8740510071514)); #589023=CARTESIAN_POINT('Ctrl Pts',(-1.1572762106817,2.45900631967302,28.4576511057424)); #589024=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461765,2.81173769168891, 27.874051007151)); #589025=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,15.751915342762)); #589026=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #589027=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,20.374051007151)); #589028=CARTESIAN_POINT('',(-4.32462104861709,2.84624970337385,20.374051007151)); #589029=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,27.874051007151)); #589030=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,15.751915342762)); #589031=CARTESIAN_POINT('Ctrl Pts',(-1.9120328384021,2.31173769168892,27.874051007151)); #589032=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149258,2.23173359240044, 28.4576511057424)); #589033=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073468,2.16506350966004, 28.8740510071514)); #589034=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589035=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,27.874051007151)); #589036=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #589037=CARTESIAN_POINT('Ctrl Pts',(-1.93490058332356,2.06164409386662, 28.2258857969732)); #589038=CARTESIAN_POINT('Ctrl Pts',(-1.9445964073377,2.28441361300524,27.874051007151)); #589039=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589040=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589041=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589042=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589043=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589044=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589045=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589046=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589047=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589048=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,27.874051007151)); #589049=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,28.874051007151)); #589050=CARTESIAN_POINT('Ctrl Pts',(1.94459602586773,2.28441361300523,27.874051007151)); #589051=CARTESIAN_POINT('Ctrl Pts',(1.92851323924666,1.91489838286511,28.4576511057424)); #589052=CARTESIAN_POINT('Ctrl Pts',(1.91511091706243,1.60696902441504,28.8740510071514)); #589053=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589054=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589055=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589056=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,27.874051007151)); #589057=CARTESIAN_POINT('Ctrl Pts',(1.91511091706213,1.60696902441539,28.8740510071514)); #589058=CARTESIAN_POINT('Ctrl Pts',(2.22068942546763,1.56669636326578,28.4576511057424)); #589059=CARTESIAN_POINT('Ctrl Pts',(2.58738363555427,1.51836916988624,27.874051007151)); #589060=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,28.874051007151)); #589061=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589062=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,27.874051007151)); #589063=CARTESIAN_POINT('Ctrl Pts',(2.60863799568187,1.48155553826286,27.874051007151)); #589064=CARTESIAN_POINT('Ctrl Pts',(2.46714346779884,1.13982544021741,28.4576511057424)); #589065=CARTESIAN_POINT('Ctrl Pts',(2.34923136122965,0.855050358512886, 28.8740510071514)); #589066=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589067=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589068=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,27.874051007151)); #589069=CARTESIAN_POINT('Ctrl Pts',(2.34923136122949,0.855050358513313, 28.8740510071514)); #589070=CARTESIAN_POINT('Ctrl Pts',(2.62260716931041,0.71269243076952,28.4576511057424)); #589071=CARTESIAN_POINT('Ctrl Pts',(2.95065813900754,0.541862917476953, 27.874051007151)); #589072=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,28.874051007151)); #589073=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589074=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,27.874051007151)); #589075=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,0.500000000198993, 27.874051007151)); #589076=CARTESIAN_POINT('Ctrl Pts',(2.70819975996934,0.227272727471589, 28.4576511057424)); #589077=CARTESIAN_POINT('Ctrl Pts',(2.49999980926479,1.98772527880126E-10, 28.8740510071514)); #589078=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589079=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589080=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589081=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589082=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589083=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589084=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #589085=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,27.874051007151)); #589086=CARTESIAN_POINT('Ctrl Pts',(2.49999980926478,1.99228409509907E-10, 28.8740510071514)); #589087=CARTESIAN_POINT('Ctrl Pts',(2.70819975996933,-0.227272727073594, 28.4576511057424)); #589088=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,-0.499999999801006, 27.874051007151)); #589089=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,27.874051007151)); #589090=CARTESIAN_POINT('Ctrl Pts',(2.95065813900752,-0.541862917078974, 27.874051007151)); #589091=CARTESIAN_POINT('Ctrl Pts',(2.75288616423757,-0.644850846741403, 28.2258857969732)); #589092=CARTESIAN_POINT('Ctrl Pts',(2.57624008029335,-0.736837663829887, 28.5149676238849)); #589093=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #589094=CARTESIAN_POINT('Origin',(-1.91511129853242,1.60696902441537,15.751915342762)); #589095=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,15.751915342762)); #589096=CARTESIAN_POINT('Origin',(2.34923136122976,-0.855050358115177,15.751915342762)); #589097=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,15.751915342762)); #589098=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #589099=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,20.374051007151)); #589100=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,20.374051007151)); #589101=CARTESIAN_POINT('',(1.11843586772553,-1.50812622093081,20.374051007151)); #589102=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,15.751915342762)); #589103=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,15.751915342762)); #589104=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #589105=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,20.374051007151)); #589106=CARTESIAN_POINT('',(-0.635862562159427,3.42313238372786,20.374051007151)); #589107=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,15.751915342762)); #589108=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #589109=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,20.374051007151)); #589110=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,20.374051007151)); #589111=CARTESIAN_POINT('',(2.10714055057583,0.27036544031575,20.374051007151)); #589112=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,15.751915342762)); #589113=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,15.751915342762)); #589114=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #589115=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,20.374051007151)); #589116=CARTESIAN_POINT('',(-2.03196222063346,3.13651646093376,20.374051007151)); #589117=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,15.751915342762)); #589118=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #589119=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,20.374051007151)); #589120=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,20.374051007151)); #589121=CARTESIAN_POINT('',(1.94790213676269,2.36037422586446,20.374051007151)); #589122=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,15.751915342762)); #589123=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,15.751915342762)); #589124=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #589125=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,20.374051007151)); #589126=CARTESIAN_POINT('',(-2.78718217860139,2.22669145665039,20.374051007151)); #589127=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,15.751915342762)); #589128=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589129=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589130=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589131=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589132=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589133=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589134=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589135=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589136=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589137=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589138=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589139=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589140=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589141=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589142=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #589143=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #589144=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 32.374051007151)); #589145=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,18.874051007151)); #589146=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #589147=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,32.374051007151)); #589148=CARTESIAN_POINT('Origin',(-1.000000191943,-7.75000000765599,8.274051000505)); #589149=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.274051000505)); #589150=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.274051000505)); #589151=CARTESIAN_POINT('',(-3.1750001945715,-6.50000000765599,8.274051000505)); #589152=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #589153=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,8.274051000505)); #589154=CARTESIAN_POINT('',(0.999999810474,-8.500000008562,8.274051000505)); #589155=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #589156=CARTESIAN_POINT('',(0.999999810474,-7.750000007656,8.274051000505)); #589157=CARTESIAN_POINT('Origin',(0.999999810474,-7.75000000765599,7.974051000142)); #589158=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,7.974051000142)); #589159=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,7.974051000142)); #589160=CARTESIAN_POINT('',(-2.175000193363,-6.50000000765599,7.974051000142)); #589161=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #589162=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #589163=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #589164=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #589165=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,7.974051000142)); #589166=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #589167=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,7.824050999961)); #589168=CARTESIAN_POINT('Origin',(0.999999810474,-8.450000008502,7.824050999961)); #589169=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #589170=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #589171=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #589172=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #589173=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,7.874051000021)); #589174=CARTESIAN_POINT('Origin',(0.999999810474,-8.500000008562,7.874051000021)); #589175=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #589176=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #589177=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #589178=CARTESIAN_POINT('Origin',(-1.000000191943,-8.600000008683,-4.725949009163)); #589179=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,0.174325933196264)); #589180=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,-4.725949009163)); #589181=CARTESIAN_POINT('',(0.899999810474,-8.60000000868299,0.0743259331962644)); #589182=CARTESIAN_POINT('Origin',(0.899999810474,-8.60000000868299,0.174325933196264)); #589183=CARTESIAN_POINT('',(0.399999809749,-8.600000008683,0.0743259331962647)); #589184=CARTESIAN_POINT('',(-1.90734496894188E-7,-8.600000008683,0.0743259331962641)); #589185=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-3.12594903990193)); #589186=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-2.575949006565)); #589187=CARTESIAN_POINT('',(0.0999998097490078,-8.600000008683,-3.42594903990193)); #589188=CARTESIAN_POINT('Origin',(0.0999998097490077,-8.600000008683,-3.12594903990193)); #589189=CARTESIAN_POINT('',(-0.100000191217992,-8.600000008683,-3.42594903990193)); #589190=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,-3.42594903990193)); #589191=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-3.12594903990193)); #589192=CARTESIAN_POINT('Origin',(-0.100000191217992,-8.600000008683,-3.12594903990193)); #589193=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,0.0743260077020698)); #589194=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-4.725949009163)); #589195=CARTESIAN_POINT('',(-0.900000191943,-8.600000008683,0.0743260077020695)); #589196=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.0743260077020695)); #589197=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.17432600770207)); #589198=CARTESIAN_POINT('Origin',(-0.900000191943,-8.600000008683,0.17432600770207)); #589199=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,1.324050998148)); #589200=CARTESIAN_POINT('Origin',(0.999999810474,-7.750000007656,3.274051000505)); #589201=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,0.174325933196265)); #589202=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,2.874051000021)); #589203=CARTESIAN_POINT('',(0.999999810473996,-7.4500000072935,0.174325933196264)); #589204=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.574051003828)); #589205=CARTESIAN_POINT('Origin',(0.399999809749,-6.400000006025,-4.725949009163)); #589206=CARTESIAN_POINT('',(0.39999980974901,-8.900000009046,-3.12594903990193)); #589207=CARTESIAN_POINT('',(0.399999809749004,-7.6500000075355,-3.12594903990193)); #589208=CARTESIAN_POINT('',(0.399999809749,-8.900000009046,0.0743259331962647)); #589209=CARTESIAN_POINT('',(0.399999809749,-6.400000006025,0.0743259331962647)); #589210=CARTESIAN_POINT('',(0.399999809749008,-8.900000009046,1.224050998027)); #589211=CARTESIAN_POINT('Origin',(-1.000000191943,-6.400000006025,0.0743260077020695)); #589212=CARTESIAN_POINT('',(-0.900000191942999,-8.900000009046,0.0743260077020695)); #589213=CARTESIAN_POINT('',(-0.900000191943004,-7.4500000072935,0.0743260077020695)); #589214=CARTESIAN_POINT('',(-0.400000191218,-8.900000009046,0.0743260077020698)); #589215=CARTESIAN_POINT('',(-0.400000191218,-6.400000006025,0.0743260077020698)); #589216=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.0743260077020695)); #589217=CARTESIAN_POINT('Origin',(-0.400000191218,-6.400000006025,-4.725949009163)); #589218=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,-3.12594903990193)); #589219=CARTESIAN_POINT('',(-0.400000191217996,-7.6500000075355,-3.12594903990193)); #589220=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,1.224050998027)); #589221=CARTESIAN_POINT('Origin',(-0.900000191943004,-7.4500000072935,0.17432600770207)); #589222=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.17432600770207)); #589223=CARTESIAN_POINT('Origin',(-0.900000191942999,-8.900000009046,0.17432600770207)); #589224=CARTESIAN_POINT('',(-1.000000191943,-7.4500000072935,0.17432600770207)); #589225=CARTESIAN_POINT('Origin',(0.999999810474,-6.400000006025,0.0743259331962644)); #589226=CARTESIAN_POINT('',(0.899999810474001,-8.90000000904599,0.0743259331962647)); #589227=CARTESIAN_POINT('',(0.899999810473996,-7.4500000072935,0.0743259331962644)); #589228=CARTESIAN_POINT('',(-1.90734495316334E-7,-8.900000009046,0.0743259331962644)); #589229=CARTESIAN_POINT('Origin',(0.899999810473996,-7.4500000072935,0.174325933196264)); #589230=CARTESIAN_POINT('Origin',(0.899999810474001,-8.90000000904599,0.174325933196264)); #589231=CARTESIAN_POINT('Origin',(0.0999998097490044,-7.6500000075355,-3.12594903990193)); #589232=CARTESIAN_POINT('',(0.0999998097490087,-8.900000009046,-3.42594903990193)); #589233=CARTESIAN_POINT('Origin',(0.0999998097490087,-8.900000009046,-3.12594903990193)); #589234=CARTESIAN_POINT('',(0.0999998097490044,-7.6500000075355,-3.42594903990193)); #589235=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,-3.42594903990193)); #589236=CARTESIAN_POINT('',(-0.100000191217991,-8.900000009046,-3.42594903990193)); #589237=CARTESIAN_POINT('',(-0.100000191217996,-7.6500000075355,-3.42594903990193)); #589238=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,-3.42594903990193)); #589239=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,2.874051000021)); #589240=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,2.874051000021)); #589241=CARTESIAN_POINT('Origin',(-0.100000191217991,-8.900000009046,-3.12594903990193)); #589242=CARTESIAN_POINT('Origin',(-0.100000191217996,-7.6500000075355,-3.12594903990193)); #589243=CARTESIAN_POINT('Origin',(-1.000000191943,-7.750000007656,2.974051000142)); #589244=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.4240510036465)); #589245=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,2.874051000021)); #589246=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,1.32405104764897)); #589247=CARTESIAN_POINT('',(-1.500000192548,-6.40000000904599,1.32405104764897)); #589248=CARTESIAN_POINT('',(-1.75000019284992,-6.400000009046,1.324050998148)); #589249=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,0.174326052827462)); #589250=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,2.874051000021)); #589251=CARTESIAN_POINT('',(-3.400000194965,-6.400000009046,0.0743260528274618)); #589252=CARTESIAN_POINT('Origin',(-3.400000194965,-6.400000009046,0.174326052827462)); #589253=CARTESIAN_POINT('',(-2.900000194239,-6.400000009046,0.0743260528274615)); #589254=CARTESIAN_POINT('',(-3.3500001947835,-6.400000009046,0.0743260528274618)); #589255=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,-3.125949009163)); #589256=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,1.224050998027)); #589257=CARTESIAN_POINT('',(-2.60000019423899,-6.400000009046,-3.425949009163)); #589258=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.400000009046,-3.125949009163)); #589259=CARTESIAN_POINT('',(-2.40000019327299,-6.400000009046,-3.425949009163)); #589260=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,-3.425949009163)); #589261=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,-3.125949009163)); #589262=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.400000009046,-3.125949009163)); #589263=CARTESIAN_POINT('',(-2.100000193273,-6.400000009046,0.0743259557589597)); #589264=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,1.224050998027)); #589265=CARTESIAN_POINT('',(-1.600000192548,-6.40000000904599,0.0743259557589597)); #589266=CARTESIAN_POINT('',(-2.5000001937565,-6.400000009046,0.0743259557589591)); #589267=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,0.17432595575896)); #589268=CARTESIAN_POINT('Origin',(-1.600000192548,-6.40000000904599,0.17432595575896)); #589269=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,2.874051000021)); #589270=CARTESIAN_POINT('Origin',(-3.500000194965,-6.000000008562,2.874051000021)); #589271=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,1.32405104764897)); #589272=CARTESIAN_POINT('',(-3.50000019496501,-3.00000000418151,1.324050998148)); #589273=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,0.174326052827461)); #589274=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-4.725949009163)); #589275=CARTESIAN_POINT('',(-3.500000194965,-6.12500000871325,0.174326052827462)); #589276=CARTESIAN_POINT('Origin',(-3.500000194965,-6.100000008683,-4.725949009163)); #589277=CARTESIAN_POINT('',(-1.500000192548,-6.10000000868299,1.32405104764897)); #589278=CARTESIAN_POINT('',(-1.75000019284992,-6.10000000868299,1.324050998148)); #589279=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,0.174325955758959)); #589280=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,-4.725949009163)); #589281=CARTESIAN_POINT('',(-1.600000192548,-6.10000000868299,0.0743259557589591)); #589282=CARTESIAN_POINT('Origin',(-1.600000192548,-6.10000000868299,0.17432595575896)); #589283=CARTESIAN_POINT('',(-2.100000193273,-6.100000008683,0.0743259557589597)); #589284=CARTESIAN_POINT('',(-2.5000001937565,-6.100000008683,0.0743259557589586)); #589285=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-3.125949009163)); #589286=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-2.575949006565)); #589287=CARTESIAN_POINT('',(-2.40000019327299,-6.100000008683,-3.425949009163)); #589288=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.100000008683,-3.125949009163)); #589289=CARTESIAN_POINT('',(-2.60000019423899,-6.100000008683,-3.425949009163)); #589290=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-3.425949009163)); #589291=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-3.125949009163)); #589292=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.100000008683,-3.125949009163)); #589293=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,0.0743260528274615)); #589294=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-4.725949009163)); #589295=CARTESIAN_POINT('',(-3.400000194965,-6.100000008683,0.0743260528274613)); #589296=CARTESIAN_POINT('',(-3.3500001947835,-6.100000008683,0.0743260528274613)); #589297=CARTESIAN_POINT('Origin',(-3.400000194965,-6.100000008683,0.174326052827462)); #589298=CARTESIAN_POINT('Origin',(-2.600000194239,-5.1500000075355,-3.125949009163)); #589299=CARTESIAN_POINT('',(-2.900000194239,-5.1500000075355,-3.125949009163)); #589300=CARTESIAN_POINT('',(-2.600000194239,-5.1500000075355,-3.425949009163)); #589301=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,-3.425949009163)); #589302=CARTESIAN_POINT('',(-2.400000193273,-5.1500000075355,-3.425949009163)); #589303=CARTESIAN_POINT('Origin',(-2.400000193273,-5.1500000075355,-3.125949009163)); #589304=CARTESIAN_POINT('',(-2.100000193273,-5.1500000075355,-3.125949009163)); #589305=CARTESIAN_POINT('Origin',(-1.600000192548,-4.9500000072935,0.17432595575896)); #589306=CARTESIAN_POINT('',(-1.500000192548,-4.9500000072935,0.17432595575896)); #589307=CARTESIAN_POINT('',(-1.600000192548,-4.9500000072935,0.0743259557589594)); #589308=CARTESIAN_POINT('Origin',(-1.500000192548,-3.900000006025,0.0743259557589594)); #589309=CARTESIAN_POINT('',(-2.100000193273,-3.900000006025,0.0743259557589597)); #589310=CARTESIAN_POINT('Origin',(-3.400000194965,-6.12500000871325,0.174326052827462)); #589311=CARTESIAN_POINT('',(-3.400000194965,-6.12500000871325,0.0743260528274615)); #589312=CARTESIAN_POINT('Origin',(-2.900000194239,-3.900000006025,-4.725949009163)); #589313=CARTESIAN_POINT('',(-2.90000019423899,-6.32500000895525,0.0743260528274615)); #589314=CARTESIAN_POINT('Origin',(-3.200000194602,-6.2500000088645,0.0743260528274615)); #589315=CARTESIAN_POINT('Origin',(-2.100000193273,-3.900000006025,-4.725949009163)); #589316=CARTESIAN_POINT('Origin',(-1.500000192548,-6.000000008562,2.874051000021)); #589317=CARTESIAN_POINT('',(-1.50000019254801,-3.0000000041815,1.324050998148)); #589318=CARTESIAN_POINT('Origin',(-3.500000194965,-7.750000007656,8.274051000505)); #589319=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #589320=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.274051000505)); #589321=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,8.274051000505)); #589322=CARTESIAN_POINT('',(-1.500000192548,-8.500000008562,8.274051000505)); #589323=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #589324=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,8.274051000505)); #589325=CARTESIAN_POINT('',(-1.500000192548,-7.750000007656,8.274051000505)); #589326=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,8.274051000505)); #589327=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #589328=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,7.874051000021)); #589329=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,7.874051000021)); #589330=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #589331=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #589332=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #589333=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #589334=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #589335=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #589336=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #589337=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,7.824050999961)); #589338=CARTESIAN_POINT('Origin',(-1.500000192548,-8.450000008502,7.824050999961)); #589339=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #589340=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #589341=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #589342=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.974051000142)); #589343=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,7.974051000142)); #589344=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #589345=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,7.974051000142)); #589346=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,7.974051000142)); #589347=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,7.974051000142)); #589348=CARTESIAN_POINT('Origin',(-3.500000194965,-8.600000008683,-4.725949009163)); #589349=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,0.174325955758959)); #589350=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,-4.725949009163)); #589351=CARTESIAN_POINT('',(-1.600000192548,-8.60000000868299,0.0743259557589591)); #589352=CARTESIAN_POINT('Origin',(-1.600000192548,-8.60000000868299,0.17432595575896)); #589353=CARTESIAN_POINT('',(-2.100000193273,-8.600000008683,0.0743259557589597)); #589354=CARTESIAN_POINT('',(-2.5000001937565,-8.600000008683,0.0743259557589586)); #589355=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-3.125949009163)); #589356=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-2.575949006565)); #589357=CARTESIAN_POINT('',(-2.40000019327299,-8.600000008683,-3.425949009163)); #589358=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.600000008683,-3.125949009163)); #589359=CARTESIAN_POINT('',(-2.60000019423899,-8.600000008683,-3.425949009163)); #589360=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,-3.425949009163)); #589361=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-3.125949009163)); #589362=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.600000008683,-3.125949009163)); #589363=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,0.0743260528274615)); #589364=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-4.725949009163)); #589365=CARTESIAN_POINT('',(-3.400000194965,-8.600000008683,0.0743260528274613)); #589366=CARTESIAN_POINT('',(-3.3500001947835,-8.600000008683,0.0743260528274613)); #589367=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,0.174326052827461)); #589368=CARTESIAN_POINT('Origin',(-3.400000194965,-8.600000008683,0.174326052827462)); #589369=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,1.324050998148)); #589370=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,2.874051000021)); #589371=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,0.17432595575896)); #589372=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,2.874051000021)); #589373=CARTESIAN_POINT('',(-1.500000192548,-7.4500000072935,0.17432595575896)); #589374=CARTESIAN_POINT('',(-1.50000019254801,-6.50000000765599,8.374051003586)); #589375=CARTESIAN_POINT('Origin',(-2.600000194239,-7.6500000075355,-3.125949009163)); #589376=CARTESIAN_POINT('',(-2.60000019423899,-8.900000009046,-3.425949009163)); #589377=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,-3.125949009163)); #589378=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.900000009046,-3.125949009163)); #589379=CARTESIAN_POINT('',(-2.900000194239,-7.6500000075355,-3.125949009163)); #589380=CARTESIAN_POINT('',(-2.600000194239,-7.6500000075355,-3.425949009163)); #589381=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,2.874051000021)); #589382=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,0.174326052827462)); #589383=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,2.874051000021)); #589384=CARTESIAN_POINT('',(-3.400000194965,-8.900000009046,0.0743260528274618)); #589385=CARTESIAN_POINT('Origin',(-3.400000194965,-8.900000009046,0.174326052827462)); #589386=CARTESIAN_POINT('',(-2.900000194239,-8.900000009046,0.0743260528274615)); #589387=CARTESIAN_POINT('',(-3.3500001947835,-8.900000009046,0.0743260528274618)); #589388=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,1.224050998027)); #589389=CARTESIAN_POINT('',(-2.40000019327299,-8.900000009046,-3.425949009163)); #589390=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,-3.425949009163)); #589391=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,-3.125949009163)); #589392=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.900000009046,-3.125949009163)); #589393=CARTESIAN_POINT('',(-2.100000193273,-8.900000009046,0.0743259557589597)); #589394=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,1.224050998027)); #589395=CARTESIAN_POINT('',(-1.600000192548,-8.90000000904599,0.0743259557589597)); #589396=CARTESIAN_POINT('',(-2.5000001937565,-8.900000009046,0.0743259557589591)); #589397=CARTESIAN_POINT('Origin',(-1.600000192548,-8.90000000904599,0.17432595575896)); #589398=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,-3.425949009163)); #589399=CARTESIAN_POINT('',(-2.400000193273,-7.6500000075355,-3.425949009163)); #589400=CARTESIAN_POINT('Origin',(-2.400000193273,-7.6500000075355,-3.125949009163)); #589401=CARTESIAN_POINT('',(-2.100000193273,-7.6500000075355,-3.125949009163)); #589402=CARTESIAN_POINT('Origin',(-1.600000192548,-7.4500000072935,0.17432595575896)); #589403=CARTESIAN_POINT('',(-1.600000192548,-7.4500000072935,0.0743259557589594)); #589404=CARTESIAN_POINT('Origin',(-1.500000192548,-6.400000006025,0.0743259557589594)); #589405=CARTESIAN_POINT('',(-2.100000193273,-6.400000006025,0.0743259557589597)); #589406=CARTESIAN_POINT('Origin',(-3.400000194965,-8.62500000871325,0.174326052827462)); #589407=CARTESIAN_POINT('',(-3.500000194965,-8.62500000871325,0.174326052827462)); #589408=CARTESIAN_POINT('',(-3.400000194965,-8.62500000871325,0.0743260528274615)); #589409=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,2.874051000021)); #589410=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.374051003586)); #589411=CARTESIAN_POINT('Origin',(-2.900000194239,-6.400000006025,-4.725949009163)); #589412=CARTESIAN_POINT('',(-2.90000019423899,-8.82500000895525,0.0743260528274615)); #589413=CARTESIAN_POINT('Origin',(-3.200000194602,-8.7500000088645,0.0743260528274615)); #589414=CARTESIAN_POINT('Origin',(-2.100000193273,-6.400000006025,-4.725949009163)); #589415=CARTESIAN_POINT('Origin',(1.499999811078,-7.75000000765598,8.274051000505)); #589416=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.274051000505)); #589417=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.274051000505)); #589418=CARTESIAN_POINT('',(-1.925000193061,-6.50000000765599,8.274051000505)); #589419=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #589420=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,8.274051000505)); #589421=CARTESIAN_POINT('',(3.499999813495,-8.500000008562,8.274051000505)); #589422=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #589423=CARTESIAN_POINT('',(3.499999813495,-7.750000007656,8.274051000505)); #589424=CARTESIAN_POINT('Origin',(3.499999813495,-7.75000000765599,7.974051000142)); #589425=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,7.974051000142)); #589426=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,7.974051000142)); #589427=CARTESIAN_POINT('',(-0.925000191852502,-6.50000000765598,7.974051000142)); #589428=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #589429=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #589430=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #589431=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #589432=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,7.974051000142)); #589433=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #589434=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,7.824050999961)); #589435=CARTESIAN_POINT('Origin',(3.499999813495,-8.450000008502,7.824050999961)); #589436=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #589437=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #589438=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #589439=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #589440=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,7.874051000021)); #589441=CARTESIAN_POINT('Origin',(3.499999813495,-8.500000008562,7.874051000021)); #589442=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #589443=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #589444=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #589445=CARTESIAN_POINT('Origin',(1.499999811078,-8.600000008683,-4.725949009163)); #589446=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,0.174326059645179)); #589447=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,-4.725949009163)); #589448=CARTESIAN_POINT('',(3.399999813495,-8.60000000868299,0.0743260596451793)); #589449=CARTESIAN_POINT('Origin',(3.399999813495,-8.60000000868299,0.174326059645179)); #589450=CARTESIAN_POINT('',(2.89999981277,-8.600000008683,0.0743260596451796)); #589451=CARTESIAN_POINT('',(2.4999998122865,-8.600000008683,0.0743260596451793)); #589452=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-3.12594907064086)); #589453=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-2.575949006565)); #589454=CARTESIAN_POINT('',(2.59999981277001,-8.600000008683,-3.42594907064086)); #589455=CARTESIAN_POINT('Origin',(2.59999981277001,-8.600000008683,-3.12594907064086)); #589456=CARTESIAN_POINT('',(2.39999981180301,-8.600000008683,-3.42594907064086)); #589457=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,-3.42594907064086)); #589458=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-3.12594907064086)); #589459=CARTESIAN_POINT('Origin',(2.39999981180301,-8.600000008683,-3.12594907064086)); #589460=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,0.0743259106335677)); #589461=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-4.725949009163)); #589462=CARTESIAN_POINT('',(1.599999811078,-8.600000008683,0.0743259106335674)); #589463=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.0743259106335674)); #589464=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.174325910633567)); #589465=CARTESIAN_POINT('Origin',(1.599999811078,-8.600000008683,0.174325910633567)); #589466=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,1.324050998148)); #589467=CARTESIAN_POINT('Origin',(3.499999813495,-7.750000007656,3.274051000505)); #589468=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,0.174326059645179)); #589469=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,2.874051000021)); #589470=CARTESIAN_POINT('',(3.499999813495,-7.4500000072935,0.174326059645179)); #589471=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.574051003828)); #589472=CARTESIAN_POINT('Origin',(2.89999981277,-6.400000006025,-4.725949009163)); #589473=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,-3.12594907064086)); #589474=CARTESIAN_POINT('',(2.89999981277,-7.6500000075355,-3.12594907064086)); #589475=CARTESIAN_POINT('',(2.89999981277,-8.900000009046,0.0743260596451796)); #589476=CARTESIAN_POINT('',(2.89999981277,-6.400000006025,0.0743260596451796)); #589477=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,1.224050998027)); #589478=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000006025,0.0743259106335674)); #589479=CARTESIAN_POINT('',(1.599999811078,-8.900000009046,0.0743259106335674)); #589480=CARTESIAN_POINT('',(1.599999811078,-7.4500000072935,0.0743259106335674)); #589481=CARTESIAN_POINT('',(2.099999811803,-8.900000009046,0.0743259106335677)); #589482=CARTESIAN_POINT('',(2.099999811803,-6.400000006025,0.0743259106335677)); #589483=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.0743259106335674)); #589484=CARTESIAN_POINT('Origin',(2.099999811803,-6.400000006025,-4.725949009163)); #589485=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,-3.12594907064086)); #589486=CARTESIAN_POINT('',(2.099999811803,-7.6500000075355,-3.12594907064086)); #589487=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,1.224050998027)); #589488=CARTESIAN_POINT('Origin',(1.599999811078,-7.4500000072935,0.174325910633567)); #589489=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.174325910633567)); #589490=CARTESIAN_POINT('Origin',(1.599999811078,-8.900000009046,0.174325910633567)); #589491=CARTESIAN_POINT('',(1.499999811078,-7.4500000072935,0.174325910633567)); #589492=CARTESIAN_POINT('Origin',(3.499999813495,-6.400000006025,0.0743260596451793)); #589493=CARTESIAN_POINT('',(3.399999813495,-8.90000000904599,0.0743260596451793)); #589494=CARTESIAN_POINT('',(3.399999813495,-7.4500000072935,0.0743260596451793)); #589495=CARTESIAN_POINT('',(2.4999998122865,-8.900000009046,0.0743260596451793)); #589496=CARTESIAN_POINT('Origin',(3.399999813495,-7.4500000072935,0.174326059645179)); #589497=CARTESIAN_POINT('Origin',(3.399999813495,-8.90000000904599,0.174326059645179)); #589498=CARTESIAN_POINT('Origin',(2.59999981277,-7.6500000075355,-3.12594907064086)); #589499=CARTESIAN_POINT('',(2.59999981277001,-8.900000009046,-3.42594907064086)); #589500=CARTESIAN_POINT('Origin',(2.59999981277001,-8.900000009046,-3.12594907064086)); #589501=CARTESIAN_POINT('',(2.59999981277,-7.6500000075355,-3.42594907064086)); #589502=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,-3.42594907064086)); #589503=CARTESIAN_POINT('',(2.39999981180301,-8.900000009046,-3.42594907064086)); #589504=CARTESIAN_POINT('',(2.399999811803,-7.6500000075355,-3.42594907064086)); #589505=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,-3.42594907064086)); #589506=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,2.874051000021)); #589507=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,2.874051000021)); #589508=CARTESIAN_POINT('Origin',(2.39999981180301,-8.900000009046,-3.12594907064086)); #589509=CARTESIAN_POINT('Origin',(2.399999811803,-7.6500000075355,-3.12594907064086)); #589510=CARTESIAN_POINT('Origin',(1.499999811078,-7.750000007656,2.974051000142)); #589511=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.4240510036465)); #589512=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,2.874051000021)); #589513=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,1.32405104764897)); #589514=CARTESIAN_POINT('',(3.499999813495,-6.40000000904599,1.32405104764897)); #589515=CARTESIAN_POINT('',(0.749999810171579,-6.400000009046,1.324050998148)); #589516=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.174325910633567)); #589517=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,2.874051000021)); #589518=CARTESIAN_POINT('',(1.599999811078,-6.400000009046,0.0743259106335674)); #589519=CARTESIAN_POINT('Origin',(1.599999811078,-6.400000009046,0.174325910633567)); #589520=CARTESIAN_POINT('',(2.099999811803,-6.400000009046,0.0743259106335677)); #589521=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.0743259106335674)); #589522=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,-3.12594907064086)); #589523=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,1.224050998027)); #589524=CARTESIAN_POINT('',(2.39999981180301,-6.400000009046,-3.42594907064086)); #589525=CARTESIAN_POINT('Origin',(2.39999981180301,-6.400000009046,-3.12594907064086)); #589526=CARTESIAN_POINT('',(2.59999981277001,-6.400000009046,-3.42594907064086)); #589527=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,-3.42594907064086)); #589528=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,-3.12594907064086)); #589529=CARTESIAN_POINT('Origin',(2.59999981277001,-6.400000009046,-3.12594907064086)); #589530=CARTESIAN_POINT('',(2.89999981277,-6.400000009046,0.0743260596451796)); #589531=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,1.224050998027)); #589532=CARTESIAN_POINT('',(3.399999813495,-6.40000000904599,0.0743260596451793)); #589533=CARTESIAN_POINT('',(2.4999998122865,-6.400000009046,0.0743260596451793)); #589534=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,0.174326059645179)); #589535=CARTESIAN_POINT('Origin',(3.399999813495,-6.40000000904599,0.174326059645179)); #589536=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,2.874051000021)); #589537=CARTESIAN_POINT('Origin',(1.499999811078,-6.000000008562,2.874051000021)); #589538=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,1.32405104764897)); #589539=CARTESIAN_POINT('',(1.49999981107799,-3.0000000041815,1.324050998148)); #589540=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.174325910633567)); #589541=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-4.725949009163)); #589542=CARTESIAN_POINT('',(1.499999811078,-4.9500000072935,0.174325910633567)); #589543=CARTESIAN_POINT('Origin',(1.499999811078,-6.100000008683,-4.725949009163)); #589544=CARTESIAN_POINT('',(3.499999813495,-6.10000000868299,1.32405104764897)); #589545=CARTESIAN_POINT('',(0.749999810171578,-6.100000008683,1.324050998148)); #589546=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,0.174326059645179)); #589547=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,-4.725949009163)); #589548=CARTESIAN_POINT('',(3.399999813495,-6.10000000868299,0.0743260596451793)); #589549=CARTESIAN_POINT('Origin',(3.399999813495,-6.10000000868299,0.174326059645179)); #589550=CARTESIAN_POINT('',(2.89999981277,-6.100000008683,0.0743260596451796)); #589551=CARTESIAN_POINT('',(2.4999998122865,-6.100000008683,0.0743260596451793)); #589552=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-3.12594907064086)); #589553=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-2.575949006565)); #589554=CARTESIAN_POINT('',(2.59999981277001,-6.100000008683,-3.42594907064086)); #589555=CARTESIAN_POINT('Origin',(2.59999981277001,-6.100000008683,-3.12594907064086)); #589556=CARTESIAN_POINT('',(2.39999981180301,-6.100000008683,-3.42594907064086)); #589557=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-3.42594907064086)); #589558=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-3.12594907064086)); #589559=CARTESIAN_POINT('Origin',(2.39999981180301,-6.100000008683,-3.12594907064086)); #589560=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,0.0743259106335677)); #589561=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-4.725949009163)); #589562=CARTESIAN_POINT('',(1.599999811078,-6.100000008683,0.0743259106335674)); #589563=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.0743259106335674)); #589564=CARTESIAN_POINT('Origin',(1.599999811078,-6.100000008683,0.174325910633567)); #589565=CARTESIAN_POINT('Origin',(3.499999813495,-6.000000008562,2.874051000021)); #589566=CARTESIAN_POINT('',(3.49999981349499,-3.00000000418149,1.324050998148)); #589567=CARTESIAN_POINT('',(3.499999813495,-4.9500000072935,0.174326059645179)); #589568=CARTESIAN_POINT('Origin',(2.89999981277,-3.900000006025,-4.725949009163)); #589569=CARTESIAN_POINT('',(2.89999981277,-5.1500000075355,-3.12594907064086)); #589570=CARTESIAN_POINT('',(2.89999981277,-3.900000006025,0.0743260596451796)); #589571=CARTESIAN_POINT('Origin',(1.499999811078,-3.900000006025,0.0743259106335674)); #589572=CARTESIAN_POINT('',(1.599999811078,-4.9500000072935,0.0743259106335674)); #589573=CARTESIAN_POINT('',(2.099999811803,-3.900000006025,0.0743259106335677)); #589574=CARTESIAN_POINT('Origin',(2.099999811803,-3.900000006025,-4.725949009163)); #589575=CARTESIAN_POINT('',(2.099999811803,-5.1500000075355,-3.12594907064086)); #589576=CARTESIAN_POINT('Origin',(1.599999811078,-4.9500000072935,0.174325910633567)); #589577=CARTESIAN_POINT('Origin',(3.499999813495,-3.900000006025,0.0743260596451793)); #589578=CARTESIAN_POINT('',(3.399999813495,-4.9500000072935,0.0743260596451793)); #589579=CARTESIAN_POINT('Origin',(3.399999813495,-4.9500000072935,0.174326059645179)); #589580=CARTESIAN_POINT('Origin',(2.59999981277,-5.1500000075355,-3.12594907064086)); #589581=CARTESIAN_POINT('',(2.59999981277,-5.1500000075355,-3.42594907064086)); #589582=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,-3.42594907064086)); #589583=CARTESIAN_POINT('',(2.399999811803,-5.1500000075355,-3.42594907064086)); #589584=CARTESIAN_POINT('Origin',(2.399999811803,-5.1500000075355,-3.12594907064086)); #589585=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #589586=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #589587=CARTESIAN_POINT('',(-1.90734873895E-7,2.550000003281,1.324050998148)); #589588=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #589589=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #589590=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #589591=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.324050998148)); #589592=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.324050998148)); #589593=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.324050998148)); #589594=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.324050998148)); #589595=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.324050998148)); #589596=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.324050998148)); #589597=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #589598=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #589599=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #589600=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #589601=CARTESIAN_POINT('',(-3.350000194783,1.800000002314,1.324050998148)); #589602=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #589603=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.324050998148)); #589604=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.324050998148)); #589605=CARTESIAN_POINT('',(-4.75000019719999,1.500000002314,1.324050998148)); #589606=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #589607=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #589608=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #589609=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.324050998148)); #589610=CARTESIAN_POINT('',(1.599999811199,1.99000260714399E-10,1.324050998148)); #589611=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.324050998148)); #589612=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #589613=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #589614=CARTESIAN_POINT('',(1.49999981107801,2.2500000029785,1.324050998148)); #589615=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.324050998148)); #589616=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #589617=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.324050998148)); #589618=CARTESIAN_POINT('',(-1.500000192548,-0.0499999998009998,1.324050998148)); #589619=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.324050998148)); #589620=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #589621=CARTESIAN_POINT('',(-1.60000019266799,2.3000000029785,1.324050998148)); #589622=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,1.324050998148)); #589623=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #589624=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #589625=CARTESIAN_POINT('',(-1.907348530228E-7,-2.550000002883,1.324050998148)); #589626=CARTESIAN_POINT('',(-4.75000019719998,-1.500000001916,1.324050998148)); #589627=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #589628=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #589629=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #589630=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #589631=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #589632=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #589633=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #589634=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #589635=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #589636=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #589637=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.324050998148)); #589638=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.324050998148)); #589639=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.324050998148)); #589640=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.324050998148)); #589641=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.324050998148)); #589642=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.324050998148)); #589643=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #589644=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #589645=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #589646=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #589647=CARTESIAN_POINT('',(4.74999981573001,1.500000002314,1.324050998148)); #589648=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.324050998148)); #589649=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #589650=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.324050998148)); #589651=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.324050998148)); #589652=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.324050998148)); #589653=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.324050998148)); #589654=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.324050998148)); #589655=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.324050998148)); #589656=CARTESIAN_POINT('',(-1.907348770036E-7,2.550000003281,1.324050998148)); #589657=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #589658=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #589659=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.324050998148)); #589660=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.32405099814797)); #589661=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,1.324050998148)); #589662=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #589663=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,1.324050998148)); #589664=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,1.324050998148)); #589665=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,1.324050998148)); #589666=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,1.324050998148)); #589667=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,1.324050998148)); #589668=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,1.324050998148)); #589669=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #589670=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.324050998148)); #589671=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.32405099814803)); #589672=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.324050998148)); #589673=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.324050998148)); #589674=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.324050998148)); #589675=CARTESIAN_POINT('',(-1.600000192668,1.99000260714399E-10,1.324050998148)); #589676=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.324050998148)); #589677=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #589678=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #589679=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.324050998148)); #589680=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.324050998148)); #589681=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #589682=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.324050998148)); #589683=CARTESIAN_POINT('',(1.499999811078,0.0500000001990003,1.324050998148)); #589684=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.324050998148)); #589685=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #589686=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.324050998148)); #589687=CARTESIAN_POINT('',(1.59999981119899,-2.3000000025805,1.324050998148)); #589688=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.324050998148)); #589689=CARTESIAN_POINT('Ctrl Pts',(1.29111255597034,-2.73424430816026, 13.6256460538322)); #589690=CARTESIAN_POINT('Ctrl Pts',(2.20188383590696,-2.30417724894968, 13.6654066974179)); #589691=CARTESIAN_POINT('Ctrl Pts',(3.54381508550129,-0.469360079742655, 13.7449279845894)); #589692=CARTESIAN_POINT('Ctrl Pts',(2.30971875216154,2.73303810618475,13.8642099153467)); #589693=CARTESIAN_POINT('Ctrl Pts',(-1.04856194383506,3.41787190895989, 13.9834918461039)); #589694=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #589695=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #589696=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #589697=CARTESIAN_POINT('Ctrl Pts',(3.26844690855275,-1.45092616966698, 14.4606195691329)); #589698=CARTESIAN_POINT('Ctrl Pts',(2.98821955445809,1.96436625833303,14.5799014998901)); #589699=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #589700=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #589701=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #589702=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #589703=CARTESIAN_POINT('Ctrl Pts',(2.72311363341172,-2.31788352075631, 15.1763111536763)); #589704=CARTESIAN_POINT('Ctrl Pts',(3.4224684268749,1.03664940358608,15.2955930844336)); #589705=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #589706=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #589707=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #589708=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #589709=CARTESIAN_POINT('Ctrl Pts',(1.9543324309823,-2.99474769661067,15.8920027382198)); #589710=CARTESIAN_POINT('Ctrl Pts',(3.57594129309021,0.0239204193404044, 16.0112846689771)); #589711=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #589712=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #589713=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #589714=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #589715=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #589716=CARTESIAN_POINT('Ctrl Pts',(3.43602998072104,-0.99077035550878, 16.7269762535206)); #589717=CARTESIAN_POINT('Ctrl Pts',(2.69186223839197,2.35410423124122,16.8462581842778)); #589718=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #589719=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #589720=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #589721=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #589722=CARTESIAN_POINT('Ctrl Pts',(3.01421027244707,-1.92417353490108, 17.442667838064)); #589723=CARTESIAN_POINT('Ctrl Pts',(3.24878486221374,1.49444217107855,17.5619497688213)); #589724=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #589725=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #589726=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #589727=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #589728=CARTESIAN_POINT('Ctrl Pts',(2.34493999631263,-2.6996643043773,18.1583594226075)); #589729=CARTESIAN_POINT('Ctrl Pts',(3.53946108386616,0.51208158836514,18.2776413533648)); #589730=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #589731=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #589732=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #589733=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #589734=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #589735=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #589736=CARTESIAN_POINT('Ctrl Pts',(1.35235012072381,-2.86392970032469, 13.7084477049384)); #589737=CARTESIAN_POINT('Ctrl Pts',(2.30631934396403,-2.41346453145719, 13.7482083485242)); #589738=CARTESIAN_POINT('Ctrl Pts',(3.71189848333518,-0.49162186002596, 13.8277296356957)); #589739=CARTESIAN_POINT('Ctrl Pts',(2.4192688775777,2.86266628808916,13.9470115664529)); #589740=CARTESIAN_POINT('Ctrl Pts',(-1.09829529858455,3.5799818043706,14.0662934972102)); #589741=CARTESIAN_POINT('Ctrl Pts',(-3.6055931706551,1.01429269360048,14.1855754279674)); #589742=CARTESIAN_POINT('Ctrl Pts',(-2.80313504832938,-2.48485082439598, 14.3048573587247)); #589743=CARTESIAN_POINT('Ctrl Pts',(0.573658969400014,-3.70129473456556, 14.4241392894819)); #589744=CARTESIAN_POINT('Ctrl Pts',(3.42346957516082,-1.51974369587173, 14.5434212202392)); #589745=CARTESIAN_POINT('Ctrl Pts',(3.12995101874023,2.05753628259232,14.6627031509964)); #589746=CARTESIAN_POINT('Ctrl Pts',(-0.0375770274757868,3.74542239373918, 14.7819850817537)); #589747=CARTESIAN_POINT('Ctrl Pts',(-3.17056829039224,1.99431034085747, 14.9012670125109)); #589748=CARTESIAN_POINT('Ctrl Pts',(-3.39233754121402,-1.58803171927841, 15.0205489432682)); #589749=CARTESIAN_POINT('Ctrl Pts',(-0.499228521345782,-3.71221094950766, 15.1398308740254)); #589750=CARTESIAN_POINT('Ctrl Pts',(2.8522711059584,-2.42782089266106,15.2591128047827)); #589751=CARTESIAN_POINT('Ctrl Pts',(3.58479634449669,1.08581775478435,15.3783947355399)); #589752=CARTESIAN_POINT('Ctrl Pts',(1.02573351056835,3.60244769094064,15.4976766662971)); #589753=CARTESIAN_POINT('Ctrl Pts',(-2.47516502145046,2.81128476472616, 15.6169585970544)); #589754=CARTESIAN_POINT('Ctrl Pts',(-3.70334910075784,-0.561221492433139, 15.7362405278116)); #589755=CARTESIAN_POINT('Ctrl Pts',(-1.53109047885197,-3.4184096199372, 15.8555224585689)); #589756=CARTESIAN_POINT('Ctrl Pts',(2.04702655918887,-3.13678878207875, 15.9748043893261)); #589757=CARTESIAN_POINT('Ctrl Pts',(3.74554843911924,0.0250549664354049, 16.0940863200834)); #589758=CARTESIAN_POINT('Ctrl Pts',(2.00487966656774,3.16389300587039,16.2133682508406)); #589759=CARTESIAN_POINT('Ctrl Pts',(-1.57668471104219,3.39762096416136, 16.3326501815979)); #589760=CARTESIAN_POINT('Ctrl Pts',(-3.71052561697531,0.511628043584835, 16.4519321123551)); #589761=CARTESIAN_POINT('Ctrl Pts',(-2.43733448002642,-2.84414553970932, 16.5712140431123)); #589762=CARTESIAN_POINT('Ctrl Pts',(1.07383519707704,-3.58840353611209, 16.6904959738696)); #589763=CARTESIAN_POINT('Ctrl Pts',(3.59900112375744,-1.03776266037783, 16.8097779046268)); #589764=CARTESIAN_POINT('Ctrl Pts',(2.81953745516275,2.46575955387105,16.9290598353841)); #589765=CARTESIAN_POINT('Ctrl Pts',(-0.548847062804906,3.7052031090032, 17.0483417661413)); #589766=CARTESIAN_POINT('Ctrl Pts',(-3.4132755309119,1.54250135924526,17.1676236968986)); #589767=CARTESIAN_POINT('Ctrl Pts',(-3.14361066219289,-2.03653617647997, 17.2869056276558)); #589768=CARTESIAN_POINT('Ctrl Pts',(0.0125436351802004,-3.74561189776644, 17.4061875584131)); #589769=CARTESIAN_POINT('Ctrl Pts',(3.15717447823835,-2.01543721559303, 17.5254694891703)); #589770=CARTESIAN_POINT('Ctrl Pts',(3.40287495663636,1.56532366414962,17.6447514199276)); #589771=CARTESIAN_POINT('Ctrl Pts',(0.524008931752295,3.70879903074401, 17.7640333506848)); #589772=CARTESIAN_POINT('Ctrl Pts',(-2.83596496000994,2.44681522659493, 17.8833152814421)); #589773=CARTESIAN_POINT('Ctrl Pts',(-3.59201495172281,-1.06182865071698, 18.0025972121993)); #589774=CARTESIAN_POINT('Ctrl Pts',(-1.04969470819602,-3.59553995365519, 18.1218791429566)); #589775=CARTESIAN_POINT('Ctrl Pts',(2.45616066771704,-2.82770956462743, 18.2411610737138)); #589776=CARTESIAN_POINT('Ctrl Pts',(3.70733797110851,0.536369652668123, 18.360443004471)); #589777=CARTESIAN_POINT('Ctrl Pts',(1.55326885713287,3.40829072571998,18.4797249352283)); #589778=CARTESIAN_POINT('Ctrl Pts',(-2.02480392728409,3.15003042712868, 18.5990068659855)); #589779=CARTESIAN_POINT('Ctrl Pts',(-3.74802908782523,0.000698064643585057, 18.7182887967428)); #589780=CARTESIAN_POINT('Ctrl Pts',(-2.02104454391749,-3.15202530783458, 18.8375707275)); #589781=CARTESIAN_POINT('Ctrl Pts',(0.354057610218926,-3.31942222592417, 18.9170920146715)); #589782=CARTESIAN_POINT('Ctrl Pts',(1.31396088545536,-2.88174443411524, 18.9568526582573)); #589783=CARTESIAN_POINT('Ctrl Pts',(1.41358768547729,-2.99361509248912, 13.7912493560447)); #589784=CARTESIAN_POINT('Ctrl Pts',(2.4107548520211,-2.5227518139647,13.8310099996305)); #589785=CARTESIAN_POINT('Ctrl Pts',(3.87998188116907,-0.513883640309268, 13.910531286802)); #589786=CARTESIAN_POINT('Ctrl Pts',(2.52881900299385,2.99229446999357,14.0298132175592)); #589787=CARTESIAN_POINT('Ctrl Pts',(-1.14802865333403,3.7420916997813,14.1490951483165)); #589788=CARTESIAN_POINT('Ctrl Pts',(-3.76886279962006,1.06022222381529, 14.2683770790737)); #589789=CARTESIAN_POINT('Ctrl Pts',(-2.93006750872826,-2.59737064413833, 14.387659009831)); #589790=CARTESIAN_POINT('Ctrl Pts',(0.599635589265093,-3.86889796138579, 14.5069409405882)); #589791=CARTESIAN_POINT('Ctrl Pts',(3.5784922417689,-1.58856122207648,14.6262228713455)); #589792=CARTESIAN_POINT('Ctrl Pts',(3.27168248302237,2.15070630685162,14.7455048021027)); #589793=CARTESIAN_POINT('Ctrl Pts',(-0.039278593966097,3.91502382349455, 14.8647867328599)); #589794=CARTESIAN_POINT('Ctrl Pts',(-3.31413898184912,2.08461734754782, 14.9840686636172)); #589795=CARTESIAN_POINT('Ctrl Pts',(-3.54595045970517,-1.6599414858737, 15.1033505943744)); #589796=CARTESIAN_POINT('Ctrl Pts',(-0.521834740284407,-3.88030848790805, 15.2226325251317)); #589797=CARTESIAN_POINT('Ctrl Pts',(2.98142857850509,-2.53775826456582, 15.3419144558889)); #589798=CARTESIAN_POINT('Ctrl Pts',(3.74712426211848,1.13498610598261,15.4611963866461)); #589799=CARTESIAN_POINT('Ctrl Pts',(1.07218111620807,3.76557489390244,15.5804783174034)); #589800=CARTESIAN_POINT('Ctrl Pts',(-2.58724623688121,2.93858627185007, 15.6997602481606)); #589801=CARTESIAN_POINT('Ctrl Pts',(-3.87104534540735,-0.586634905810496, 15.8190421789179)); #589802=CARTESIAN_POINT('Ctrl Pts',(-1.60042180511229,-3.5732031513863, 15.9383241096751)); #589803=CARTESIAN_POINT('Ctrl Pts',(2.13972068739544,-3.27882986754684, 16.0576060404324)); #589804=CARTESIAN_POINT('Ctrl Pts',(3.91515558514826,0.0261895135304076, 16.1768879711896)); #589805=CARTESIAN_POINT('Ctrl Pts',(2.09566528553365,3.30716143355972,16.2961699019469)); #589806=CARTESIAN_POINT('Ctrl Pts',(-1.64808065008993,3.55147313694932, 16.4154518327041)); #589807=CARTESIAN_POINT('Ctrl Pts',(-3.87854683095625,0.534795750332907, 16.5347337634614)); #589808=CARTESIAN_POINT('Ctrl Pts',(-2.54770264062924,-2.97293505910579, 16.6540156942186)); #589809=CARTESIAN_POINT('Ctrl Pts',(1.12246095886266,-3.75089478712486, 16.7732976249759)); #589810=CARTESIAN_POINT('Ctrl Pts',(3.76197226679384,-1.08475496524687, 16.8925795557331)); #589811=CARTESIAN_POINT('Ctrl Pts',(2.94721267193353,2.57741487650089,17.0118614864904)); #589812=CARTESIAN_POINT('Ctrl Pts',(-0.57370012460652,3.8729833160824,17.1311434172476)); #589813=CARTESIAN_POINT('Ctrl Pts',(-3.56783657023317,1.61234940531479, 17.2504253480049)); #589814=CARTESIAN_POINT('Ctrl Pts',(-3.28596064959959,-2.12875526715156, 17.3697072787621)); #589815=CARTESIAN_POINT('Ctrl Pts',(0.0131116487675266,-3.91522190872257, 17.4889892095194)); #589816=CARTESIAN_POINT('Ctrl Pts',(3.30013868402962,-2.10670089628497, 17.6082711402766)); #589817=CARTESIAN_POINT('Ctrl Pts',(3.55696505105898,1.63620515722068,17.7275530710339)); #589818=CARTESIAN_POINT('Ctrl Pts',(0.54773728253377,3.87674206951599,17.8468350017911)); #589819=CARTESIAN_POINT('Ctrl Pts',(-2.9643840351013,2.55761270606205,17.9661169325483)); #589820=CARTESIAN_POINT('Ctrl Pts',(-3.75466972738086,-1.10991071955417, 18.0853988633056)); #589821=CARTESIAN_POINT('Ctrl Pts',(-1.09722731527255,-3.75835435823824, 18.2046807940628)); #589822=CARTESIAN_POINT('Ctrl Pts',(2.56738133912144,-2.95575482487755, 18.3239627248201)); #589823=CARTESIAN_POINT('Ctrl Pts',(3.87521485835085,0.560657716971104, 18.4432446555773)); #589824=CARTESIAN_POINT('Ctrl Pts',(1.62360448917467,3.56262605010618,18.5625265863345)); #589825=CARTESIAN_POINT('Ctrl Pts',(-2.11649174580224,3.29267112503812, 18.6818085170918)); #589826=CARTESIAN_POINT('Ctrl Pts',(-3.91774854611846,0.000729674623835006, 18.801090447849)); #589827=CARTESIAN_POINT('Ctrl Pts',(-2.11256212882059,-3.29475633859619, 18.9203723786063)); #589828=CARTESIAN_POINT('Ctrl Pts',(0.370090170221069,-3.46973337814113, 18.9998936657778)); #589829=CARTESIAN_POINT('Ctrl Pts',(1.3734600964253,-3.01223651882436,19.0396543093636)); #589830=CARTESIAN_POINT('Ctrl Pts',(1.47482525023076,-3.12330048465355, 13.874051007151)); #589831=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.63203909647221, 13.9138116507367)); #589832=CARTESIAN_POINT('Ctrl Pts',(4.04806527900295,-0.536145420592571, 13.9933329379082)); #589833=CARTESIAN_POINT('Ctrl Pts',(2.63836912841,3.12192265189797,14.1126148686655)); #589834=CARTESIAN_POINT('Ctrl Pts',(-1.19776200808352,3.90420159519201, 14.2318967994227)); #589835=CARTESIAN_POINT('Ctrl Pts',(-3.93213242858503,1.1061517540301,14.35117873018)); #589836=CARTESIAN_POINT('Ctrl Pts',(-3.05699996912714,-2.70989046388068, 14.4704606609372)); #589837=CARTESIAN_POINT('Ctrl Pts',(0.625612209130172,-4.03650118820603, 14.5897425916945)); #589838=CARTESIAN_POINT('Ctrl Pts',(3.73351490837698,-1.65737874828124, 14.7090245224517)); #589839=CARTESIAN_POINT('Ctrl Pts',(3.41341394730451,2.24387633111091,14.828306453209)); #589840=CARTESIAN_POINT('Ctrl Pts',(-0.0409801604564069,4.08462525324992, 14.9475883839662)); #589841=CARTESIAN_POINT('Ctrl Pts',(-3.457709673306,2.17492435423816,15.0668703147235)); #589842=CARTESIAN_POINT('Ctrl Pts',(-3.69956337819631,-1.73185125246899, 15.1861522454807)); #589843=CARTESIAN_POINT('Ctrl Pts',(-0.544440959223032,-4.04840602630844, 15.305434176238)); #589844=CARTESIAN_POINT('Ctrl Pts',(3.11058605105177,-2.64769563647058, 15.4247161069952)); #589845=CARTESIAN_POINT('Ctrl Pts',(3.90945217974027,1.18415445718087,15.5439980377524)); #589846=CARTESIAN_POINT('Ctrl Pts',(1.11862872184779,3.92870209686424,15.6632799685097)); #589847=CARTESIAN_POINT('Ctrl Pts',(-2.69932745231196,3.06588777897398, 15.7825618992669)); #589848=CARTESIAN_POINT('Ctrl Pts',(-4.03874159005686,-0.612048319187848, 15.9018438300242)); #589849=CARTESIAN_POINT('Ctrl Pts',(-1.6697531313726,-3.7279966828354,16.0211257607814)); #589850=CARTESIAN_POINT('Ctrl Pts',(2.232414815602,-3.42087095301492,16.1404076915387)); #589851=CARTESIAN_POINT('Ctrl Pts',(4.08476273117729,0.0273240606254107, 16.2596896222959)); #589852=CARTESIAN_POINT('Ctrl Pts',(2.18645090449955,3.45042986124904,16.3789715530532)); #589853=CARTESIAN_POINT('Ctrl Pts',(-1.71947658913768,3.70532530973729, 16.4982534838104)); #589854=CARTESIAN_POINT('Ctrl Pts',(-4.04656804493718,0.557963457080974, 16.6175354145677)); #589855=CARTESIAN_POINT('Ctrl Pts',(-2.65807080123206,-3.10172457850225, 16.7368173453249)); #589856=CARTESIAN_POINT('Ctrl Pts',(1.17108672064828,-3.91338603813764, 16.8560992760822)); #589857=CARTESIAN_POINT('Ctrl Pts',(3.92494340983024,-1.13174727011591, 16.9753812068394)); #589858=CARTESIAN_POINT('Ctrl Pts',(3.0748878887043,2.68907019913072,17.0946631375966)); #589859=CARTESIAN_POINT('Ctrl Pts',(-0.598553186408135,4.0407635231616, 17.2139450683539)); #589860=CARTESIAN_POINT('Ctrl Pts',(-3.72239760955444,1.68219745138433, 17.3332269991111)); #589861=CARTESIAN_POINT('Ctrl Pts',(-3.42831063700629,-2.22097435782315, 17.4525089298684)); #589862=CARTESIAN_POINT('Ctrl Pts',(0.0136796623548524,-4.08483191967869, 17.5717908606256)); #589863=CARTESIAN_POINT('Ctrl Pts',(3.44310288982089,-2.19796457697692, 17.6910727913829)); #589864=CARTESIAN_POINT('Ctrl Pts',(3.7110551454816,1.70708665029175,17.8103547221401)); #589865=CARTESIAN_POINT('Ctrl Pts',(0.571465633315246,4.04468510828797, 17.9296366528973)); #589866=CARTESIAN_POINT('Ctrl Pts',(-3.09280311019266,2.66841018552918, 18.0489185836546)); #589867=CARTESIAN_POINT('Ctrl Pts',(-3.91732450303892,-1.15799278839136, 18.1682005144119)); #589868=CARTESIAN_POINT('Ctrl Pts',(-1.14475992234908,-3.92116876282127, 18.2874824451691)); #589869=CARTESIAN_POINT('Ctrl Pts',(2.67860201052585,-3.08380008512768, 18.4067643759264)); #589870=CARTESIAN_POINT('Ctrl Pts',(4.0430917455932,0.584945781274094,18.5260463066836)); #589871=CARTESIAN_POINT('Ctrl Pts',(1.69394012121647,3.71696137449236,18.6453282374409)); #589872=CARTESIAN_POINT('Ctrl Pts',(-2.20817956432039,3.43531182294756, 18.7646101681981)); #589873=CARTESIAN_POINT('Ctrl Pts',(-4.08746800441168,0.000761284604081033, 18.8838920989553)); #589874=CARTESIAN_POINT('Ctrl Pts',(-2.20407971372369,-3.4374873693578, 19.0031740297126)); #589875=CARTESIAN_POINT('Ctrl Pts',(0.386122730223216,-3.6200445303581, 19.0826953168841)); #589876=CARTESIAN_POINT('Ctrl Pts',(1.43295930739524,-3.14272860353349, 19.1224559604698)); #589877=CARTESIAN_POINT('',(-3.44227047475243,0.284413944601434,18.874051007151)); #589878=CARTESIAN_POINT('Ctrl Pts',(-3.44788145746671,0.283350141200085, 18.874051007151)); #589879=CARTESIAN_POINT('Ctrl Pts',(-3.45052603118261,0.188742659321764, 18.874051007151)); #589880=CARTESIAN_POINT('Ctrl Pts',(-3.44903228314685,0.0942704795033789, 18.874051007151)); #589881=CARTESIAN_POINT('Ctrl Pts',(-3.4432785832949,0.000144216771737617, 18.874051007151)); #589882=CARTESIAN_POINT('Ctrl Pts',(-3.43662261177385,-0.108742541356898, 18.874051007151)); #589883=CARTESIAN_POINT('Ctrl Pts',(-3.42426705412749,-0.217167066224643, 18.874051007151)); #589884=CARTESIAN_POINT('Ctrl Pts',(-3.40648032165704,-0.324796863864568, 18.874051007151)); #589885=CARTESIAN_POINT('Ctrl Pts',(-3.38836699356445,-0.43440293346891, 18.874051007151)); #589886=CARTESIAN_POINT('Ctrl Pts',(-3.36462081010047,-0.543185645130318, 18.874051007151)); #589887=CARTESIAN_POINT('Ctrl Pts',(-3.33547390972458,-0.650778312614148, 18.874051007151)); #589888=CARTESIAN_POINT('Ctrl Pts',(-3.30633555690453,-0.758339427709174, 18.874051007151)); #589889=CARTESIAN_POINT('Ctrl Pts',(-3.27179910648859,-0.864711988807318, 18.874051007151)); #589890=CARTESIAN_POINT('Ctrl Pts',(-3.23205303322718,-0.969502121161408, 18.874051007151)); #589891=CARTESIAN_POINT('Ctrl Pts',(-3.22618528581481,-0.984972379667684, 18.874051007151)); #589892=CARTESIAN_POINT('Ctrl Pts',(-3.22020325336633,-1.00040978568562, 18.874051007151)); #589893=CARTESIAN_POINT('Ctrl Pts',(-3.21410959547756,-1.01580765290779, 18.874051007151)); #589894=CARTESIAN_POINT('Ctrl Pts',(-3.17353833997847,-1.11832584749881, 18.874051007151)); #589895=CARTESIAN_POINT('Ctrl Pts',(-3.12815483753398,-1.21876316711727, 18.874051007151)); #589896=CARTESIAN_POINT('Ctrl Pts',(-3.07822385054057,-1.31678533290637, 18.874051007151)); #589897=CARTESIAN_POINT('Ctrl Pts',(-3.02812680456193,-1.4151334978868, 18.874051007151)); #589898=CARTESIAN_POINT('Ctrl Pts',(-2.97345142206254,-1.51105120479266, 18.874051007151)); #589899=CARTESIAN_POINT('Ctrl Pts',(-2.91441906812992,-1.60417576084128, 18.874051007151)); #589900=CARTESIAN_POINT('Ctrl Pts',(-2.85605535668426,-1.69624552183932, 18.874051007151)); #589901=CARTESIAN_POINT('Ctrl Pts',(-2.79343221065809,-1.78558572100119, 18.874051007151)); #589902=CARTESIAN_POINT('Ctrl Pts',(-2.72672740251632,-1.87181063195036, 18.874051007151)); #589903=CARTESIAN_POINT('Ctrl Pts',(-2.69330507632008,-1.9150134711499, 18.874051007151)); #589904=CARTESIAN_POINT('Ctrl Pts',(-2.65885753812405,-1.95743360198912, 18.874051007151)); #589905=CARTESIAN_POINT('Ctrl Pts',(-2.62340438432091,-1.99901831806999, 18.874051007151)); #589906=CARTESIAN_POINT('Ctrl Pts',(-2.59329863987682,-2.03433079949441, 18.874051007151)); #589907=CARTESIAN_POINT('Ctrl Pts',(-2.56246772187744,-2.06904083148628, 18.874051007151)); #589908=CARTESIAN_POINT('Ctrl Pts',(-2.53092275631862,-2.10311431156067, 18.874051007151)); #589909=CARTESIAN_POINT('Ctrl Pts',(-2.51987611274631,-2.11504640858414, 18.874051007151)); #589910=CARTESIAN_POINT('Ctrl Pts',(-2.50874699620577,-2.12689487760239, 18.874051007151)); #589911=CARTESIAN_POINT('Ctrl Pts',(-2.49754043197471,-2.13865401325952, 18.874051007151)); #589912=CARTESIAN_POINT('Ctrl Pts',(-2.42345898447109,-2.21638825659318, 18.874051007151)); #589913=CARTESIAN_POINT('Ctrl Pts',(-2.34593744827001,-2.29028509184834, 18.874051007151)); #589914=CARTESIAN_POINT('Ctrl Pts',(-2.26517762416418,-2.36000526041983, 18.874051007151)); #589915=CARTESIAN_POINT('Ctrl Pts',(-2.18531824412579,-2.42894807325948, 18.874051007151)); #589916=CARTESIAN_POINT('Ctrl Pts',(-2.10229181489953,-2.49380742963239, 18.874051007151)); #589917=CARTESIAN_POINT('Ctrl Pts',(-2.01626373507098,-2.55421391287374, 18.874051007151)); #589918=CARTESIAN_POINT('Ctrl Pts',(-1.93023565524243,-2.61462039611509, 18.874051007151)); #589919=CARTESIAN_POINT('Ctrl Pts',(-1.84120543637741,-2.67057466571779, 18.874051007151)); #589920=CARTESIAN_POINT('Ctrl Pts',(-1.7493163905924,-2.72165624275769, 18.874051007151)); #589921=CARTESIAN_POINT('Ctrl Pts',(-1.69267136888381,-2.75314549172762, 18.874051007151)); #589922=CARTESIAN_POINT('Ctrl Pts',(-1.63493945278259,-2.78278184543624, 18.874051007151)); #589923=CARTESIAN_POINT('Ctrl Pts',(-1.57621155526438,-2.81055724860856, 18.874051007151)); #589924=CARTESIAN_POINT('Ctrl Pts',(-1.55561362620986,-2.82029905460163, 18.874051007151)); #589925=CARTESIAN_POINT('Ctrl Pts',(-1.53489317218982,-2.8298118724778, 18.874051007151)); #589926=CARTESIAN_POINT('Ctrl Pts',(-1.51405411156156,-2.83909514997191, 18.874051007151)); #589927=CARTESIAN_POINT('Ctrl Pts',(-1.50011585130095,-2.84530429442868, 18.874051007151)); #589928=CARTESIAN_POINT('Ctrl Pts',(-1.48613383619097,-2.85140659947134, 18.874051007151)); #589929=CARTESIAN_POINT('Ctrl Pts',(-1.4721101406003,-2.85740200142242, 18.874051007151)); #589930=CARTESIAN_POINT('Ctrl Pts',(-1.37726051152792,-2.89795205811209, 18.874051007151)); #589931=CARTESIAN_POINT('Ctrl Pts',(-1.28049440212464,-2.93362090110905, 18.874051007151)); #589932=CARTESIAN_POINT('Ctrl Pts',(-1.18220583252104,-2.96446528592977, 18.874051007151)); #589933=CARTESIAN_POINT('Ctrl Pts',(-1.08159253909976,-2.99603920294659, 18.874051007151)); #589934=CARTESIAN_POINT('Ctrl Pts',(-0.979383026548512,-3.02255788335208, 18.874051007151)); #589935=CARTESIAN_POINT('Ctrl Pts',(-0.875985879952506,-3.04402983919184, 18.874051007151)); #589936=CARTESIAN_POINT('Ctrl Pts',(-0.773033546505806,-3.06540942296319, 18.874051007151)); #589937=CARTESIAN_POINT('Ctrl Pts',(-0.668902835426629,-3.08178552471209, 18.874051007151)); #589938=CARTESIAN_POINT('Ctrl Pts',(-0.563997200585521,-3.09311521527353, 18.874051007151)); #589939=CARTESIAN_POINT('Ctrl Pts',(-0.517905160761508,-3.09809310372864, 18.874051007151)); #589940=CARTESIAN_POINT('Ctrl Pts',(-0.471663613002857,-3.10209615686267, 18.874051007151)); #589941=CARTESIAN_POINT('Ctrl Pts',(-0.425307493830965,-3.10511763962292, 18.874051007151)); #589942=CARTESIAN_POINT('Ctrl Pts',(-0.401226259589197,-3.10668724975006, 18.874051007151)); #589943=CARTESIAN_POINT('Ctrl Pts',(-0.377114116782676,-3.1079919394468, 18.874051007151)); #589944=CARTESIAN_POINT('Ctrl Pts',(-0.352976039803525,-3.10903056442329, 18.874051007151)); #589945=CARTESIAN_POINT('Ctrl Pts',(-0.330585256592553,-3.10999400598933, 18.874051007151)); #589946=CARTESIAN_POINT('Ctrl Pts',(-0.308167569838923,-3.11072850503192, 18.874051007151)); #589947=CARTESIAN_POINT('Ctrl Pts',(-0.285756100805737,-3.1112327034353, 18.874051007151)); #589948=CARTESIAN_POINT('Ctrl Pts',(-0.181241767841306,-3.11358399775398, 18.874051007151)); #589949=CARTESIAN_POINT('Ctrl Pts',(-0.0767509300782069,-3.11093777658052, 18.874051007151)); #589950=CARTESIAN_POINT('Ctrl Pts',(0.0273196291452066,-3.10328119368522, 18.874051007151)); #589951=CARTESIAN_POINT('Ctrl Pts',(0.134337788716679,-3.09540775266429, 18.874051007151)); #589952=CARTESIAN_POINT('Ctrl Pts',(0.240912607728546,-3.0822363007479, 18.874051007151)); #589953=CARTESIAN_POINT('Ctrl Pts',(0.346598055075699,-3.06369648383362, 18.874051007151)); #589954=CARTESIAN_POINT('Ctrl Pts',(0.395455462715738,-3.0551256974549, 18.874051007151)); #589955=CARTESIAN_POINT('Ctrl Pts',(0.444122497300778,-3.0454068290684, 18.874051007151)); #589956=CARTESIAN_POINT('Ctrl Pts',(0.49255307649408,-3.03452885805637, 18.874051007151)); #589957=CARTESIAN_POINT('Ctrl Pts',(0.511687414768208,-3.03023110278382, 18.874051007151)); #589958=CARTESIAN_POINT('Ctrl Pts',(0.530777558104705,-3.02575397477854, 18.874051007151)); #589959=CARTESIAN_POINT('Ctrl Pts',(0.549813636303145,-3.02109896346394, 18.874051007151)); #589960=CARTESIAN_POINT('Ctrl Pts',(0.648295731098954,-2.99701652060039, 18.874051007151)); #589961=CARTESIAN_POINT('Ctrl Pts',(0.745392799814015,-2.96816343540644, 18.874051007151)); #589962=CARTESIAN_POINT('Ctrl Pts',(0.840729054072094,-2.93449379082295, 18.874051007151)); #589963=CARTESIAN_POINT('Ctrl Pts',(0.933414516214888,-2.90176031925235, 18.874051007151)); #589964=CARTESIAN_POINT('Ctrl Pts',(1.02443635149388,-2.86447414717359, 18.874051007151)); #589965=CARTESIAN_POINT('Ctrl Pts',(1.11342557803086,-2.82255042567286, 18.874051007151)); #589966=CARTESIAN_POINT('Ctrl Pts',(1.1610401049372,-2.80011874216361,18.874051007151)); #589967=CARTESIAN_POINT('Ctrl Pts',(1.20807225570432,-2.77635867046522, 18.874051007151)); #589968=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #589969=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #589970=CARTESIAN_POINT('Ctrl Pts',(3.45855395251816,0.167611601519721, 18.514609543908)); #589971=CARTESIAN_POINT('Ctrl Pts',(3.4477538877323,0.3324763231389,18.5203279252958)); #589972=CARTESIAN_POINT('Ctrl Pts',(3.42415151901919,0.495490735743511, 18.5260463066836)); #589973=CARTESIAN_POINT('Ctrl Pts',(3.26004120413429,1.62895097901352,18.5658069502693)); #589974=CARTESIAN_POINT('Ctrl Pts',(2.47699066267538,2.67295617675295,18.6055675938551)); #589975=CARTESIAN_POINT('Ctrl Pts',(1.4351121110231,3.14801718369852,18.6453282374409)); #589976=CARTESIAN_POINT('Ctrl Pts',(0.39323355937085,3.62307819064409,18.6850888810266)); #589977=CARTESIAN_POINT('Ctrl Pts',(-0.907473002474765,3.52919500679582, 18.7248495246123)); #589978=CARTESIAN_POINT('Ctrl Pts',(-1.87104102341278,2.90982832514779, 18.7646101681981)); #589979=CARTESIAN_POINT('Ctrl Pts',(-2.75511199652822,2.34156112131633, 18.8010904478491)); #589980=CARTESIAN_POINT('Ctrl Pts',(-3.35537936336973,1.33094131279148, 18.8375707275)); #589981=CARTESIAN_POINT('Ctrl Pts',(-3.4478814574667,0.283350141200158, 18.874051007151)); #589982=CARTESIAN_POINT('',(1.75542330363439,2.4620220183613,13.874051007151)); #589983=CARTESIAN_POINT('Ctrl Pts',(1.75930580668168,2.46517188708212,13.874051007151)); #589984=CARTESIAN_POINT('Ctrl Pts',(1.8305636036385,2.42195635832184,13.874051007151)); #589985=CARTESIAN_POINT('Ctrl Pts',(1.89977393151758,2.37571454899774,13.874051007151)); #589986=CARTESIAN_POINT('Ctrl Pts',(1.96671249322682,2.32648522650389,13.874051007151)); #589987=CARTESIAN_POINT('Ctrl Pts',(2.04624863923931,2.26799113159079,13.874051007151)); #589988=CARTESIAN_POINT('Ctrl Pts',(2.12257884572645,2.20528056801358,13.874051007151)); #589989=CARTESIAN_POINT('Ctrl Pts',(2.1956158585347,2.13874303294729,13.874051007151)); #589990=CARTESIAN_POINT('Ctrl Pts',(2.23936760560832,2.09888470231204,13.874051007151)); #589991=CARTESIAN_POINT('Ctrl Pts',(2.28193714548228,2.05765215050193,13.874051007151)); #589992=CARTESIAN_POINT('Ctrl Pts',(2.32330092538559,2.01512178066122,13.874051007151)); #589993=CARTESIAN_POINT('Ctrl Pts',(2.33974868080312,1.99821014631367,13.874051007151)); #589994=CARTESIAN_POINT('Ctrl Pts',(2.35600574437962,1.98109325262119,13.874051007151)); #589995=CARTESIAN_POINT('Ctrl Pts',(2.37207045786837,1.9637756965071,13.874051007151)); #589996=CARTESIAN_POINT('Ctrl Pts',(2.38299164973497,1.95200279105722,13.874051007151)); #589997=CARTESIAN_POINT('Ctrl Pts',(2.39382283669606,1.94013826298176,13.874051007151)); #589998=CARTESIAN_POINT('Ctrl Pts',(2.40455727611533,1.9281907594835,13.874051007151)); #589999=CARTESIAN_POINT('Ctrl Pts',(2.47208835738465,1.85302821040256,13.874051007151)); #590000=CARTESIAN_POINT('Ctrl Pts',(2.53586359844607,1.77450937615152,13.874051007151)); #590001=CARTESIAN_POINT('Ctrl Pts',(2.59579379682725,1.69303907104409,13.874051007151)); #590002=CARTESIAN_POINT('Ctrl Pts',(2.65143545033711,1.61739869923524,13.874051007151)); #590003=CARTESIAN_POINT('Ctrl Pts',(2.70376265186731,1.53921321948308,13.874051007151)); #590004=CARTESIAN_POINT('Ctrl Pts',(2.75268001175575,1.45877665489729,13.874051007151)); #590005=CARTESIAN_POINT('Ctrl Pts',(2.77408135670695,1.42358565789101,13.874051007151)); #590006=CARTESIAN_POINT('Ctrl Pts',(2.79482971391095,1.38796346313951,13.874051007151)); #590007=CARTESIAN_POINT('Ctrl Pts',(2.81491549954434,1.35193333326369,13.874051007151)); #590008=CARTESIAN_POINT('Ctrl Pts',(2.82699464596725,1.33026561150512,13.874051007151)); #590009=CARTESIAN_POINT('Ctrl Pts',(2.83883415040026,1.30845034333912,13.874051007151)); #590010=CARTESIAN_POINT('Ctrl Pts',(2.85043174477421,1.28649247019822,13.874051007151)); #590011=CARTESIAN_POINT('Ctrl Pts',(2.85892556012431,1.27041102141444,13.874051007151)); #590012=CARTESIAN_POINT('Ctrl Pts',(2.86728587559924,1.25426008210391,13.874051007151)); #590013=CARTESIAN_POINT('Ctrl Pts',(2.8755089762056,1.23804816730777,13.874051007151)); #590014=CARTESIAN_POINT('Ctrl Pts',(2.92317719941747,1.14406984815696,13.874051007151)); #590015=CARTESIAN_POINT('Ctrl Pts',(2.96627215787633,1.04798001322382,13.874051007151)); #590016=CARTESIAN_POINT('Ctrl Pts',(3.00469245358345,0.950199386712016, 13.874051007151)); #590017=CARTESIAN_POINT('Ctrl Pts',(3.04356827565509,0.851259434055117, 13.874051007151)); #590018=CARTESIAN_POINT('Ctrl Pts',(3.07765844272201,0.750587574139373, 13.874051007151)); #590019=CARTESIAN_POINT('Ctrl Pts',(3.10681756191701,0.648585201667409, 13.874051007151)); #590020=CARTESIAN_POINT('Ctrl Pts',(3.13566403253936,0.547676514362981, 13.874051007151)); #590021=CARTESIAN_POINT('Ctrl Pts',(3.15968499405699,0.445464817389076, 13.874051007151)); #590022=CARTESIAN_POINT('Ctrl Pts',(3.17869411265862,0.342317792242397, 13.874051007151)); #590023=CARTESIAN_POINT('Ctrl Pts',(3.19034537522163,0.279095867135685, 13.874051007151)); #590024=CARTESIAN_POINT('Ctrl Pts',(3.2001128598271,0.215522198542376,13.874051007151)); #590025=CARTESIAN_POINT('Ctrl Pts',(3.20794413594448,0.151679636083866, 13.874051007151)); #590026=CARTESIAN_POINT('Ctrl Pts',(3.20993950986582,0.135412838400889, 13.874051007151)); #590027=CARTESIAN_POINT('Ctrl Pts',(3.21180930842358,0.119127041675602, 13.874051007151)); #590028=CARTESIAN_POINT('Ctrl Pts',(3.21355207283853,0.102828411970996, 13.874051007151)); #590029=CARTESIAN_POINT('Ctrl Pts',(3.22501833978566,-0.00440606990278798, 13.874051007151)); #590030=CARTESIAN_POINT('Ctrl Pts',(3.23094856365021,-0.111803217948179, 13.874051007151)); #590031=CARTESIAN_POINT('Ctrl Pts',(3.23120702762746,-0.218953198486653, 13.874051007151)); #590032=CARTESIAN_POINT('Ctrl Pts',(3.23137181622133,-0.287268693801874, 13.874051007151)); #590033=CARTESIAN_POINT('Ctrl Pts',(3.22923017970664,-0.355484276890591, 13.874051007151)); #590034=CARTESIAN_POINT('Ctrl Pts',(3.22473653391617,-0.423497532731337, 13.874051007151)); #590035=CARTESIAN_POINT('Ctrl Pts',(3.21789228163791,-0.52708822566645, 13.874051007151)); #590036=CARTESIAN_POINT('Ctrl Pts',(3.20559457831157,-0.630209765040864, 13.874051007151)); #590037=CARTESIAN_POINT('Ctrl Pts',(3.18810962273481,-0.732583880371323, 13.874051007151)); #590038=CARTESIAN_POINT('Ctrl Pts',(3.17029535326345,-0.836886122912532, 13.874051007151)); #590039=CARTESIAN_POINT('Ctrl Pts',(3.14709639585432,-0.94041324254473, 13.874051007151)); #590040=CARTESIAN_POINT('Ctrl Pts',(3.11874049564278,-1.04286650826626, 13.874051007151)); #590041=CARTESIAN_POINT('Ctrl Pts',(3.1108510715632,-1.07137194295832,13.874051007151)); #590042=CARTESIAN_POINT('Ctrl Pts',(3.10256213786566,-1.09979418672881, 13.874051007151)); #590043=CARTESIAN_POINT('Ctrl Pts',(3.09387791275431,-1.12812662591317, 13.874051007151)); #590044=CARTESIAN_POINT('Ctrl Pts',(3.08981820222252,-1.14137150386982, 13.874051007151)); #590045=CARTESIAN_POINT('Ctrl Pts',(3.08567272494361,-1.1545945904553,13.874051007151)); #590046=CARTESIAN_POINT('Ctrl Pts',(3.08144481563747,-1.16778672883248, 13.874051007151)); #590047=CARTESIAN_POINT('Ctrl Pts',(3.04855412839753,-1.27041392964776, 13.874051007151)); #590048=CARTESIAN_POINT('Ctrl Pts',(3.01063446726139,-1.37131555046669, 13.874051007151)); #590049=CARTESIAN_POINT('Ctrl Pts',(2.96800104949962,-1.47019615390983, 13.874051007151)); #590050=CARTESIAN_POINT('Ctrl Pts',(2.92485430613949,-1.57026732446192, 13.874051007151)); #590051=CARTESIAN_POINT('Ctrl Pts',(2.87687929442161,-1.66826927143432, 13.874051007151)); #590052=CARTESIAN_POINT('Ctrl Pts',(2.82434746222507,-1.76389117462741, 13.874051007151)); #590053=CARTESIAN_POINT('Ctrl Pts',(2.77150476201856,-1.86007894026068, 13.874051007151)); #590054=CARTESIAN_POINT('Ctrl Pts',(2.7140507664457,-1.95385921296044,13.874051007151)); #590055=CARTESIAN_POINT('Ctrl Pts',(2.65221153862091,-2.04489923490228, 13.874051007151)); #590056=CARTESIAN_POINT('Ctrl Pts',(2.61447482899971,-2.10045540843119, 13.874051007151)); #590057=CARTESIAN_POINT('Ctrl Pts',(2.5751041420448,-2.1549907668962,13.874051007151)); #590058=CARTESIAN_POINT('Ctrl Pts',(2.53414268220151,-2.20842474172558, 13.874051007151)); #590059=CARTESIAN_POINT('Ctrl Pts',(2.51831575453143,-2.22907087245394, 13.874051007151)); #590060=CARTESIAN_POINT('Ctrl Pts',(2.50225127250142,-2.24955252586016, 13.874051007151)); #590061=CARTESIAN_POINT('Ctrl Pts',(2.48595150288338,-2.26986486217585, 13.874051007151)); #590062=CARTESIAN_POINT('Ctrl Pts',(2.47572063532411,-2.28261429529882, 13.874051007151)); #590063=CARTESIAN_POINT('Ctrl Pts',(2.46540227247239,-2.29529049982672, 13.874051007151)); #590064=CARTESIAN_POINT('Ctrl Pts',(2.45500040339424,-2.30788873016448, 13.874051007151)); #590065=CARTESIAN_POINT('Ctrl Pts',(2.3835049666118,-2.39448047381326,13.874051007151)); #590066=CARTESIAN_POINT('Ctrl Pts',(2.30802274036302,-2.47744708991297, 13.874051007151)); #590067=CARTESIAN_POINT('Ctrl Pts',(2.22883368777627,-2.55646899209942, 13.874051007151)); #590068=CARTESIAN_POINT('Ctrl Pts',(2.14978619678189,-2.63534963149839, 13.874051007151)); #590069=CARTESIAN_POINT('Ctrl Pts',(2.06704463781916,-2.71030044012597, 13.874051007151)); #590070=CARTESIAN_POINT('Ctrl Pts',(1.98084138799814,-2.78097998659583, 13.874051007151)); #590071=CARTESIAN_POINT('Ctrl Pts',(1.89665534974137,-2.85000558615776, 13.874051007151)); #590072=CARTESIAN_POINT('Ctrl Pts',(1.80916716904201,-2.91495810520366, 13.874051007151)); #590073=CARTESIAN_POINT('Ctrl Pts',(1.71855726137466,-2.97548681217331, 13.874051007151)); #590074=CARTESIAN_POINT('Ctrl Pts',(1.63964791203189,-3.02819937977761, 13.874051007151)); #590075=CARTESIAN_POINT('Ctrl Pts',(1.55837029931223,-3.07755668159628, 13.874051007151)); #590076=CARTESIAN_POINT('Ctrl Pts',(1.47482525023078,-3.12330048465354, 13.874051007151)); #590077=CARTESIAN_POINT('Ctrl Pts',(1.75930580668167,2.46517188708212,13.874051007151)); #590078=CARTESIAN_POINT('Ctrl Pts',(1.00667437435793,2.99542741220337,13.910531286802)); #590079=CARTESIAN_POINT('Ctrl Pts',(-1.11439261210325,3.35050814232816, 13.9867722100387)); #590080=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #590081=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #590082=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #590083=CARTESIAN_POINT('Ctrl Pts',(2.74067023256937,-1.85494310797244, 14.4374811389033)); #590084=CARTESIAN_POINT('Ctrl Pts',(3.02096140304231,-0.423856584489502, 14.4938639958452)); #590085=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #590086=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #590087=CARTESIAN_POINT('',(-1.75542368510434,2.46202201836133,13.874051007151)); #590088=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #590089=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #590090=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #590091=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #590092=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #590093=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #590094=CARTESIAN_POINT('Ctrl Pts',(2.98037021507756,-1.19714149791972, 14.3152304449011)); #590095=CARTESIAN_POINT('Ctrl Pts',(3.02821655359372,-0.0980763500399707, 14.3588034467937)); #590096=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #590097=CARTESIAN_POINT('Ctrl Pts',(-2.00520324970207,2.81234363004033, 13.6256460538322)); #590098=CARTESIAN_POINT('Ctrl Pts',(-2.94198925654914,2.14441452401097, 13.6654066974179)); #590099=CARTESIAN_POINT('Ctrl Pts',(-4.07899238228975,-0.190043352495229, 13.7449279845894)); #590100=CARTESIAN_POINT('Ctrl Pts',(-2.0430741476468,-3.54023251368125, 13.8642099153467)); #590101=CARTESIAN_POINT('Ctrl Pts',(1.87097709193051,-3.6299945866322,13.9834918461039)); #590102=CARTESIAN_POINT('Ctrl Pts',(4.0659529229159,-0.391485718264679, 14.1027737768611)); #590103=CARTESIAN_POINT('Ctrl Pts',(2.52812353914951,3.20894755766597,14.2220557076184)); #590104=CARTESIAN_POINT('Ctrl Pts',(-1.331348232987,3.86163732266973,14.3413376383756)); #590105=CARTESIAN_POINT('Ctrl Pts',(-3.96821168948316,0.969193419193027, 14.4606195691329)); #590106=CARTESIAN_POINT('Ctrl Pts',(-2.96151341130579,-2.81350592238569, 14.5799014998901)); #590107=CARTESIAN_POINT('Ctrl Pts',(0.764509329376408,-4.01265082309645, 14.6991834306474)); #590108=CARTESIAN_POINT('Ctrl Pts',(3.78853264317867,-1.52743859407212, 14.8184653614046)); #590109=CARTESIAN_POINT('Ctrl Pts',(3.33390776603703,2.3603247967854,14.9377472921619)); #590110=CARTESIAN_POINT('Ctrl Pts',(-0.181941804558767,4.08079687705125, 15.0570292229191)); #590111=CARTESIAN_POINT('Ctrl Pts',(-3.53072835340351,2.05426299107083, 15.1763111536764)); #590112=CARTESIAN_POINT('Ctrl Pts',(-3.63757550183742,-1.85851669567326, 15.2955930844336)); #590113=CARTESIAN_POINT('Ctrl Pts',(-0.404373159053543,-4.06478931008705, 15.4148750151908)); #590114=CARTESIAN_POINT('Ctrl Pts',(3.2001270610027,-2.53874377262817,15.5341569459481)); #590115=CARTESIAN_POINT('Ctrl Pts',(3.86624681855214,1.31839604541486,15.6534388767053)); #590116=CARTESIAN_POINT('Ctrl Pts',(0.98234968841033,3.96497436300908,15.7727208074626)); #590117=CARTESIAN_POINT('Ctrl Pts',(-2.80354836095696,2.97088393077867, 15.8920027382198)); #590118=CARTESIAN_POINT('Ctrl Pts',(-4.01520763618805,-0.751094357948596, 16.0112846689771)); #590119=CARTESIAN_POINT('Ctrl Pts',(-1.54007353590888,-3.78341215571497, 16.1305665997343)); #590120=CARTESIAN_POINT('Ctrl Pts',(2.34916737521979,-3.34177277987759, 16.2498485304916)); #590121=CARTESIAN_POINT('Ctrl Pts',(4.08138512770073,0.168307256400352, 16.3691304612488)); #590122=CARTESIAN_POINT('Ctrl Pts',(2.06604452842759,3.52384630548575,16.4884123920061)); #590123=CARTESIAN_POINT('Ctrl Pts',(-1.84635377157688,3.64376345660555, 16.6076943227633)); #590124=CARTESIAN_POINT('Ctrl Pts',(-4.06341635148759,0.417949862422698, 16.7269762535206)); #590125=CARTESIAN_POINT('Ctrl Pts',(-2.54942019433977,-3.19162837949723, 16.8462581842778)); #590126=CARTESIAN_POINT('Ctrl Pts',(1.30547283476525,-3.87062973552544, 16.965540115035)); #590127=CARTESIAN_POINT('Ctrl Pts',(3.96166994582509,-0.995589957369134, 17.0848220457923)); #590128=CARTESIAN_POINT('Ctrl Pts',(2.98023373329116,2.79360774125025,17.2041039765496)); #590129=CARTESIAN_POINT('Ctrl Pts',(-0.73767872925911,4.01769438480906, 17.3233859073068)); #590130=CARTESIAN_POINT('Ctrl Pts',(-3.77824290227315,1.55270342122848, 17.442667838064)); #590131=CARTESIAN_POINT('Ctrl Pts',(-3.34960885532988,-2.33798999201213, 17.5619497688213)); #590132=CARTESIAN_POINT('Ctrl Pts',(0.154684818118933,-4.08192629028528, 17.6812316995785)); #590133=CARTESIAN_POINT('Ctrl Pts',(3.51689891140475,-2.07780223808144, 17.8005136303358)); #590134=CARTESIAN_POINT('Ctrl Pts',(3.64996069793572,1.83416510814561,17.919795561093)); #590135=CARTESIAN_POINT('Ctrl Pts',(0.431425171932382,4.06200819057304, 18.0390774918503)); #590136=CARTESIAN_POINT('Ctrl Pts',(-3.18290632948138,2.56004666614822, 18.1583594226075)); #590137=CARTESIAN_POINT('Ctrl Pts',(-3.87533412851482,-1.29249405815113, 18.2776413533647)); #590138=CARTESIAN_POINT('Ctrl Pts',(-1.00811043264874,-3.9584023960105, 18.396923284122)); #590139=CARTESIAN_POINT('Ctrl Pts',(2.78225606468971,-2.98939238318333, 18.5162052148792)); #590140=CARTESIAN_POINT('Ctrl Pts',(4.02284942527646,0.723932602519534, 18.6354871456365)); #590141=CARTESIAN_POINT('Ctrl Pts',(1.5597156287977,3.77380184144178,18.7547690763938)); #590142=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679939,3.49423846089743, 18.8342903635652)); #590143=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #590144=CARTESIAN_POINT('Ctrl Pts',(-1.92194339483616,2.69556975948067, 13.7084477049384)); #590145=CARTESIAN_POINT('Ctrl Pts',(-2.81983226025822,2.05537434365292, 13.7482083485242)); #590146=CARTESIAN_POINT('Ctrl Pts',(-3.90962484798977,-0.182152390084822, 13.8277296356957)); #590147=CARTESIAN_POINT('Ctrl Pts',(-1.95824182087964,-3.39323530859566, 13.9470115664529)); #590148=CARTESIAN_POINT('Ctrl Pts',(1.79329054726088,-3.47927028910429, 14.0662934972102)); #590149=CARTESIAN_POINT('Ctrl Pts',(3.89712679599558,-0.375230484683418, 14.1855754279674)); #590150=CARTESIAN_POINT('Ctrl Pts',(2.42315102083424,3.07570593572038,14.3048573587247)); #590151=CARTESIAN_POINT('Ctrl Pts',(-1.27606812343489,3.70129477702284, 14.4241392894819)); #590152=CARTESIAN_POINT('Ctrl Pts',(-3.80344398080372,0.928950660215782, 14.5434212202391)); #590153=CARTESIAN_POINT('Ctrl Pts',(-2.83854573487744,-2.69668379121487, 14.6627031509964)); #590154=CARTESIAN_POINT('Ctrl Pts',(0.732765436206372,-3.84603790891744, 14.7819850817537)); #590155=CARTESIAN_POINT('Ctrl Pts',(3.63122553530458,-1.46401643086181, 14.9012670125109)); #590156=CARTESIAN_POINT('Ctrl Pts',(3.19547755001684,2.26231961016783,15.0205489432682)); #590157=CARTESIAN_POINT('Ctrl Pts',(-0.174387241796012,3.91135440877623, 15.1398308740254)); #590158=CARTESIAN_POINT('Ctrl Pts',(-3.38412578710472,1.96896607427652, 15.2591128047827)); #590159=CARTESIAN_POINT('Ctrl Pts',(-3.48653643818157,-1.78134753832922, 15.3783947355399)); #590160=CARTESIAN_POINT('Ctrl Pts',(-0.387582822282739,-3.89601150650179, 15.4976766662971)); #590161=CARTESIAN_POINT('Ctrl Pts',(3.06725167497104,-2.43333028987784, 15.6169585970544)); #590162=CARTESIAN_POINT('Ctrl Pts',(3.70571286991811,1.26365372747873,15.7362405278116)); #590163=CARTESIAN_POINT('Ctrl Pts',(0.941560648118658,3.80034106638691, 15.8555224585689)); #590164=CARTESIAN_POINT('Ctrl Pts',(-2.68713969465335,2.8475271646011,15.9748043893261)); #590165=CARTESIAN_POINT('Ctrl Pts',(-3.84848856618166,-0.719907487885579, 16.0940863200834)); #590166=CARTESIAN_POINT('Ctrl Pts',(-1.47612675374703,-3.62631766815639, 16.2133682508406)); #590167=CARTESIAN_POINT('Ctrl Pts',(2.25162545809452,-3.20301600139583, 16.3326501815979)); #590168=CARTESIAN_POINT('Ctrl Pts',(3.91191822620903,0.161318818166362, 16.4519321123551)); #590169=CARTESIAN_POINT('Ctrl Pts',(1.98025841180728,3.37752948702892,16.5712140431123)); #590170=CARTESIAN_POINT('Ctrl Pts',(-1.76968964996356,3.49246744935608, 16.6904959738696)); #590171=CARTESIAN_POINT('Ctrl Pts',(-3.8946955636895,0.400595787126251, 16.8097779046269)); #590172=CARTESIAN_POINT('Ctrl Pts',(-2.44356341412833,-3.0591058828398, 16.9290598353841)); #590173=CARTESIAN_POINT('Ctrl Pts',(1.2512671052515,-3.70991380773202,17.0483417661413)); #590174=CARTESIAN_POINT('Ctrl Pts',(3.79717384697786,-0.954251163772945, 17.1676236968986)); #590175=CARTESIAN_POINT('Ctrl Pts',(2.85648873755556,2.67761182052189,17.2869056276558)); #590176=CARTESIAN_POINT('Ctrl Pts',(-0.7070489096104,3.85087205234636,17.4061875584131)); #590177=CARTESIAN_POINT('Ctrl Pts',(-3.62136305990302,1.48823221423196, 17.5254694891703)); #590178=CARTESIAN_POINT('Ctrl Pts',(-3.21052671598014,-2.24091218906397, 17.6447514199276)); #590179=CARTESIAN_POINT('Ctrl Pts',(0.148262001571995,-3.91243692660251, 17.7640333506848)); #590180=CARTESIAN_POINT('Ctrl Pts',(3.37087055407068,-1.99152792684614, 17.8833152814421)); #590181=CARTESIAN_POINT('Ctrl Pts',(3.49840736148035,1.75800707516993,18.0025972121993)); #590182=CARTESIAN_POINT('Ctrl Pts',(0.41351156816017,3.89334586439002,18.1218791429566)); #590183=CARTESIAN_POINT('Ctrl Pts',(-3.05074599690123,2.45374864665153, 18.2411610737138)); #590184=CARTESIAN_POINT('Ctrl Pts',(-3.71442287328129,-1.23882724010393, 18.360443004471)); #590185=CARTESIAN_POINT('Ctrl Pts',(-0.966251772970749,-3.7940419799741, 18.4797249352283)); #590186=CARTESIAN_POINT('Ctrl Pts',(2.66673147929148,-2.86526711075038, 18.5990068659855)); #590187=CARTESIAN_POINT('Ctrl Pts',(3.85581303777511,0.693873540355051, 18.7182887967428)); #590188=CARTESIAN_POINT('Ctrl Pts',(1.49495325364291,3.61710639247491,18.8375707275)); #590189=CARTESIAN_POINT('Ctrl Pts',(-0.979389827865864,3.34915101660911, 18.9170920146715)); #590190=CARTESIAN_POINT('Ctrl Pts',(-1.88575297204916,2.72101055782467, 18.9568526582573)); #590191=CARTESIAN_POINT('Ctrl Pts',(-1.83868353997025,2.578795888921,13.7912493560447)); #590192=CARTESIAN_POINT('Ctrl Pts',(-2.6976752639673,1.96633416329486,13.8310099996305)); #590193=CARTESIAN_POINT('Ctrl Pts',(-3.74025731368979,-0.174261427674412, 13.910531286802)); #590194=CARTESIAN_POINT('Ctrl Pts',(-1.87340949411247,-3.24623810351006, 14.0298132175592)); #590195=CARTESIAN_POINT('Ctrl Pts',(1.71560400259123,-3.32854599157639, 14.1490951483165)); #590196=CARTESIAN_POINT('Ctrl Pts',(3.72830066907527,-0.358975251102156, 14.2683770790737)); #590197=CARTESIAN_POINT('Ctrl Pts',(2.31817850251897,2.9424643137748,14.387659009831)); #590198=CARTESIAN_POINT('Ctrl Pts',(-1.22078801388279,3.54095223137596, 14.5069409405882)); #590199=CARTESIAN_POINT('Ctrl Pts',(-3.63867627212428,0.888707901238535, 14.6262228713454)); #590200=CARTESIAN_POINT('Ctrl Pts',(-2.71557805844908,-2.57986166004405, 14.7455048021027)); #590201=CARTESIAN_POINT('Ctrl Pts',(0.701021543036338,-3.67942499473844, 14.8647867328599)); #590202=CARTESIAN_POINT('Ctrl Pts',(3.47391842743048,-1.40059426765151, 14.9840686636172)); #590203=CARTESIAN_POINT('Ctrl Pts',(3.05704733399665,2.16431442355026,15.1033505943744)); #590204=CARTESIAN_POINT('Ctrl Pts',(-0.166832679033258,3.74191194050122, 15.2226325251317)); #590205=CARTESIAN_POINT('Ctrl Pts',(-3.23752322080592,1.88366915748221, 15.3419144558889)); #590206=CARTESIAN_POINT('Ctrl Pts',(-3.33549737452573,-1.70417838098517, 15.4611963866462)); #590207=CARTESIAN_POINT('Ctrl Pts',(-0.370792485511934,-3.72723370291652, 15.5804783174034)); #590208=CARTESIAN_POINT('Ctrl Pts',(2.93437628893937,-2.32791680712751, 15.6997602481607)); #590209=CARTESIAN_POINT('Ctrl Pts',(3.54517892128408,1.20891140954261,15.8190421789179)); #590210=CARTESIAN_POINT('Ctrl Pts',(0.900771607826986,3.63570776976473, 15.9383241096751)); #590211=CARTESIAN_POINT('Ctrl Pts',(-2.57073102834975,2.72417039842354, 16.0576060404324)); #590212=CARTESIAN_POINT('Ctrl Pts',(-3.68176949617528,-0.688720617822564, 16.1768879711896)); #590213=CARTESIAN_POINT('Ctrl Pts',(-1.41217997158518,-3.46922318059782, 16.2961699019469)); #590214=CARTESIAN_POINT('Ctrl Pts',(2.15408354096926,-3.06425922291407, 16.4154518327041)); #590215=CARTESIAN_POINT('Ctrl Pts',(3.74245132471733,0.154330379932374, 16.5347337634614)); #590216=CARTESIAN_POINT('Ctrl Pts',(1.89447229518696,3.2312126685721,16.6540156942186)); #590217=CARTESIAN_POINT('Ctrl Pts',(-1.69302552835025,3.34117144210662, 16.7732976249759)); #590218=CARTESIAN_POINT('Ctrl Pts',(-3.72597477589141,0.383241711829803, 16.8925795557331)); #590219=CARTESIAN_POINT('Ctrl Pts',(-2.33770663391689,-2.92658338618237, 17.0118614864904)); #590220=CARTESIAN_POINT('Ctrl Pts',(1.19706137573776,-3.5491978799386,17.1311434172476)); #590221=CARTESIAN_POINT('Ctrl Pts',(3.63267774813063,-0.91291237017675, 17.2504253480049)); #590222=CARTESIAN_POINT('Ctrl Pts',(2.73274374181996,2.56161589979352,17.3697072787621)); #590223=CARTESIAN_POINT('Ctrl Pts',(-0.676419089961685,3.68404971988367, 17.4889892095194)); #590224=CARTESIAN_POINT('Ctrl Pts',(-3.46448321753289,1.42376100723544, 17.6082711402766)); #590225=CARTESIAN_POINT('Ctrl Pts',(-3.0714445766304,-2.14383438611581, 17.7275530710338)); #590226=CARTESIAN_POINT('Ctrl Pts',(0.141839185025058,-3.74294756291974, 17.8468350017911)); #590227=CARTESIAN_POINT('Ctrl Pts',(3.22484219673662,-1.90525361561084, 17.9661169325484)); #590228=CARTESIAN_POINT('Ctrl Pts',(3.34685402502497,1.68184904219425,18.0853988633056)); #590229=CARTESIAN_POINT('Ctrl Pts',(0.395597964387955,3.72468353820701, 18.2046807940629)); #590230=CARTESIAN_POINT('Ctrl Pts',(-2.91858566432107,2.34745062715484, 18.3239627248201)); #590231=CARTESIAN_POINT('Ctrl Pts',(-3.55351161804777,-1.18516042205672, 18.4432446555773)); #590232=CARTESIAN_POINT('Ctrl Pts',(-0.924393113292747,-3.62968156393771, 18.5625265863346)); #590233=CARTESIAN_POINT('Ctrl Pts',(2.55120689389325,-2.74114183831743, 18.6818085170918)); #590234=CARTESIAN_POINT('Ctrl Pts',(3.68877665027377,0.66381447819057,18.8010904478491)); #590235=CARTESIAN_POINT('Ctrl Pts',(1.43019087848811,3.46041094350804,18.9203723786063)); #590236=CARTESIAN_POINT('Ctrl Pts',(-0.936962018932338,3.2040635723208, 18.9998936657778)); #590237=CARTESIAN_POINT('Ctrl Pts',(-1.80406091031176,2.60313457500004, 19.0396543093636)); #590238=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #590239=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #590240=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #590241=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #590242=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #590243=CARTESIAN_POINT('Ctrl Pts',(3.55947454215496,-0.342720017520895, 14.35117873018)); #590244=CARTESIAN_POINT('Ctrl Pts',(2.2132059842037,2.80922269182922,14.4704606609372)); #590245=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #590246=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #590247=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #590248=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #590249=CARTESIAN_POINT('Ctrl Pts',(3.31661131955639,-1.3371721044412,15.0668703147235)); #590250=CARTESIAN_POINT('Ctrl Pts',(2.91861711797646,2.06630923693269,15.1861522454807)); #590251=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #590252=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #590253=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #590254=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #590255=CARTESIAN_POINT('Ctrl Pts',(2.80150090290771,-2.22250332437718, 15.7825618992669)); #590256=CARTESIAN_POINT('Ctrl Pts',(3.38464497265005,1.15416909160649,15.9018438300242)); #590257=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #590258=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #590259=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #590260=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #590261=CARTESIAN_POINT('Ctrl Pts',(2.05654162384399,-2.92550244443231, 16.4982534838104)); #590262=CARTESIAN_POINT('Ctrl Pts',(3.57298442322563,0.147341941698386, 16.6175354145676)); #590263=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #590264=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #590265=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #590266=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #590267=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #590268=CARTESIAN_POINT('Ctrl Pts',(3.46818164928341,-0.871573576580561, 17.3332269991111)); #590269=CARTESIAN_POINT('Ctrl Pts',(2.60899874608436,2.44561997906516,17.4525089298684)); #590270=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #590271=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #590272=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #590273=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #590274=CARTESIAN_POINT('Ctrl Pts',(3.07881383940255,-1.81897930437554, 18.0489185836546)); #590275=CARTESIAN_POINT('Ctrl Pts',(3.1953006885696,1.60569100921857,18.1682005144119)); #590276=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #590277=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #590278=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #590279=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #590280=CARTESIAN_POINT('Ctrl Pts',(2.43568230849502,-2.61701656588447, 18.7646101681981)); #590281=CARTESIAN_POINT('Ctrl Pts',(3.52174026277243,0.633755416026087, 18.8838920989553)); #590282=CARTESIAN_POINT('Ctrl Pts',(1.36542850333331,3.30371549454117,19.0031740297126)); #590283=CARTESIAN_POINT('Ctrl Pts',(-0.894534209998816,3.05897612803249, 19.0826953168841)); #590284=CARTESIAN_POINT('Ctrl Pts',(-1.72236884857437,2.48525859217542, 19.1224559604698)); #590285=CARTESIAN_POINT('',(-1.96744503378654,2.83888654064931,18.874051007151)); #590286=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361067, 18.874051007151)); #590287=CARTESIAN_POINT('Ctrl Pts',(3.01275646742934,0.374385938534258, 18.874051007151)); #590288=CARTESIAN_POINT('Ctrl Pts',(3.00731059096571,0.457444909350724, 18.874051007151)); #590289=CARTESIAN_POINT('Ctrl Pts',(2.99815154183285,0.539980308279141, 18.874051007151)); #590290=CARTESIAN_POINT('Ctrl Pts',(2.98735633186131,0.637259725453702, 18.874051007151)); #590291=CARTESIAN_POINT('Ctrl Pts',(2.97140482824537,0.733812264071687, 18.874051007151)); #590292=CARTESIAN_POINT('Ctrl Pts',(2.95060508720382,0.829376578283416, 18.874051007151)); #590293=CARTESIAN_POINT('Ctrl Pts',(2.92928076421061,0.927351081850764, 18.874051007151)); #590294=CARTESIAN_POINT('Ctrl Pts',(2.90286038539718,1.02428756003597,18.874051007151)); #590295=CARTESIAN_POINT('Ctrl Pts',(2.87162119421877,1.11990395631697,18.874051007151)); #590296=CARTESIAN_POINT('Ctrl Pts',(2.83868948122113,1.22070079520474,18.874051007151)); #590297=CARTESIAN_POINT('Ctrl Pts',(2.80040265214475,1.32003161051855,18.874051007151)); #590298=CARTESIAN_POINT('Ctrl Pts',(2.75702649485525,1.41755258461383,18.874051007151)); #590299=CARTESIAN_POINT('Ctrl Pts',(2.74947742326982,1.43452487677916,18.874051007151)); #590300=CARTESIAN_POINT('Ctrl Pts',(2.74177282509581,1.4514447360221,18.874051007151)); #590301=CARTESIAN_POINT('Ctrl Pts',(2.73392439989102,1.46828847281467,18.874051007151)); #590302=CARTESIAN_POINT('Ctrl Pts',(2.69021577981198,1.56209283139202,18.874051007151)); #590303=CARTESIAN_POINT('Ctrl Pts',(2.64198948938178,1.65367844106921,18.874051007151)); #590304=CARTESIAN_POINT('Ctrl Pts',(2.58957097971379,1.74275811494202,18.874051007151)); #590305=CARTESIAN_POINT('Ctrl Pts',(2.53626156254492,1.8333517912184,18.874051007151)); #590306=CARTESIAN_POINT('Ctrl Pts',(2.47861586640614,1.92135442648075,18.874051007151)); #590307=CARTESIAN_POINT('Ctrl Pts',(2.41692253305354,2.00645385512586,18.874051007151)); #590308=CARTESIAN_POINT('Ctrl Pts',(2.35500797569304,2.09185843889312,18.874051007151)); #590309=CARTESIAN_POINT('Ctrl Pts',(2.28901622161745,2.17433978844282,18.874051007151)); #590310=CARTESIAN_POINT('Ctrl Pts',(2.21919183505495,2.25355998232678,18.874051007151)); #590311=CARTESIAN_POINT('Ctrl Pts',(2.18494279506838,2.29241768980763,18.874051007151)); #590312=CARTESIAN_POINT('Ctrl Pts',(2.14977097930821,2.33049036877804,18.874051007151)); #590313=CARTESIAN_POINT('Ctrl Pts',(2.11370165890817,2.36773523964651,18.874051007151)); #590314=CARTESIAN_POINT('Ctrl Pts',(2.09850359767242,2.38342862732054,18.874051007151)); #590315=CARTESIAN_POINT('Ctrl Pts',(2.0831461652302,2.39897501780535,18.874051007151)); #590316=CARTESIAN_POINT('Ctrl Pts',(2.06763113956521,2.41437108731053,18.874051007151)); #590317=CARTESIAN_POINT('Ctrl Pts',(2.05276115846103,2.42912705791375,18.874051007151)); #590318=CARTESIAN_POINT('Ctrl Pts',(2.03774275801244,2.4437483019043,18.874051007151)); #590319=CARTESIAN_POINT('Ctrl Pts',(2.02259969880451,2.45821098776065,18.874051007151)); #590320=CARTESIAN_POINT('Ctrl Pts',(1.94475670674435,2.53255651628466,18.874051007151)); #590321=CARTESIAN_POINT('Ctrl Pts',(1.86352818951196,2.60281200254842,18.874051007151)); #590322=CARTESIAN_POINT('Ctrl Pts',(1.77921297744974,2.66865969377782,18.874051007151)); #590323=CARTESIAN_POINT('Ctrl Pts',(1.69578628324256,2.73381347879576,18.874051007151)); #590324=CARTESIAN_POINT('Ctrl Pts',(1.60933700561535,2.79465262816132,18.874051007151)); #590325=CARTESIAN_POINT('Ctrl Pts',(1.5201130826545,2.850841265204,18.874051007151)); #590326=CARTESIAN_POINT('Ctrl Pts',(1.43129107022397,2.90677679966879,18.874051007151)); #590327=CARTESIAN_POINT('Ctrl Pts',(1.33971882159118,2.95810438865128,18.874051007151)); #590328=CARTESIAN_POINT('Ctrl Pts',(1.24560790225141,3.00445342184365,18.874051007151)); #590329=CARTESIAN_POINT('Ctrl Pts',(1.18340092495921,3.0350899651432,18.874051007151)); #590330=CARTESIAN_POINT('Ctrl Pts',(1.1200840655013,3.06355038814261,18.874051007151)); #590331=CARTESIAN_POINT('Ctrl Pts',(1.05576187258497,3.08984912297992,18.874051007151)); #590332=CARTESIAN_POINT('Ctrl Pts',(1.03980498745922,3.09637324586387,18.874051007151)); #590333=CARTESIAN_POINT('Ctrl Pts',(1.02378621331147,3.1027642881897,18.874051007151)); #590334=CARTESIAN_POINT('Ctrl Pts',(1.00770711295334,3.10902238092611,18.874051007151)); #590335=CARTESIAN_POINT('Ctrl Pts',(0.99251951159668,3.11493349632539,18.874051007151)); #590336=CARTESIAN_POINT('Ctrl Pts',(0.977286032831671,3.12072287429125, 18.874051007151)); #590337=CARTESIAN_POINT('Ctrl Pts',(0.962013087463568,3.12638922484964, 18.874051007151)); #590338=CARTESIAN_POINT('Ctrl Pts',(0.864891783784355,3.16242178700909, 18.874051007151)); #590339=CARTESIAN_POINT('Ctrl Pts',(0.766114809122898,3.19350721327191, 18.874051007151)); #590340=CARTESIAN_POINT('Ctrl Pts',(0.666068821134678,3.21977365675568, 18.874051007151)); #590341=CARTESIAN_POINT('Ctrl Pts',(0.563508942007653,3.24670010651106, 18.874051007151)); #590342=CARTESIAN_POINT('Ctrl Pts',(0.459614829202415,3.26856255049949, 18.874051007151)); #590343=CARTESIAN_POINT('Ctrl Pts',(0.354774569724377,3.28545271427892, 18.874051007151)); #590344=CARTESIAN_POINT('Ctrl Pts',(0.247967936764385,3.30265966829071, 18.874051007151)); #590345=CARTESIAN_POINT('Ctrl Pts',(0.140178541937283,3.31470624982639, 18.874051007151)); #590346=CARTESIAN_POINT('Ctrl Pts',(0.0317986121336319,3.32163916121788, 18.874051007151)); #590347=CARTESIAN_POINT('Ctrl Pts',(-0.0569113228350399,3.32731381075674, 18.874051007151)); #590348=CARTESIAN_POINT('Ctrl Pts',(-0.146017445439113,3.32956159835045, 18.874051007151)); #590349=CARTESIAN_POINT('Ctrl Pts',(-0.235307622117931,3.32838262091772, 18.874051007151)); #590350=CARTESIAN_POINT('Ctrl Pts',(-0.252076269591296,3.32816120958577, 18.874051007151)); #590351=CARTESIAN_POINT('Ctrl Pts',(-0.268850362334011,3.32781882661425, 18.874051007151)); #590352=CARTESIAN_POINT('Ctrl Pts',(-0.285615455819773,3.32735597423965, 18.874051007151)); #590353=CARTESIAN_POINT('Ctrl Pts',(-0.39635077744836,3.32429878242555, 18.874051007151)); #590354=CARTESIAN_POINT('Ctrl Pts',(-0.506843127113335,3.31598852782484, 18.874051007151)); #590355=CARTESIAN_POINT('Ctrl Pts',(-0.616679158536743,3.30250198738081, 18.874051007151)); #590356=CARTESIAN_POINT('Ctrl Pts',(-0.726985026199691,3.28895775671105, 18.874051007151)); #590357=CARTESIAN_POINT('Ctrl Pts',(-0.836629862796787,3.27019290600069, 18.874051007151)); #590358=CARTESIAN_POINT('Ctrl Pts',(-0.945200851317636,3.24623329972968, 18.874051007151)); #590359=CARTESIAN_POINT('Ctrl Pts',(-0.993509834811874,3.23557240182645, 18.874051007151)); #590360=CARTESIAN_POINT('Ctrl Pts',(-1.0416062085643,3.22388234089903,18.874051007151)); #590361=CARTESIAN_POINT('Ctrl Pts',(-1.08945324122172,3.21116207382175, 18.874051007151)); #590362=CARTESIAN_POINT('Ctrl Pts',(-1.10788487771372,3.20626197185454, 18.874051007151)); #590363=CARTESIAN_POINT('Ctrl Pts',(-1.12628221242462,3.20120819861313, 18.874051007151)); #590364=CARTESIAN_POINT('Ctrl Pts',(-1.14463401963924,3.19600321346265, 18.874051007151)); #590365=CARTESIAN_POINT('Ctrl Pts',(-1.2529118667041,3.16529318150189,18.874051007151)); #590366=CARTESIAN_POINT('Ctrl Pts',(-1.35946870873621,3.12936393259998, 18.874051007151)); #590367=CARTESIAN_POINT('Ctrl Pts',(-1.46388653929404,3.08826461101665, 18.874051007151)); #590368=CARTESIAN_POINT('Ctrl Pts',(-1.56656193032892,3.04785112136366, 18.874051007151)); #590369=CARTESIAN_POINT('Ctrl Pts',(-1.66716997179659,3.00243852827206, 18.874051007151)); #590370=CARTESIAN_POINT('Ctrl Pts',(-1.76530583349304,2.9520245104835,18.874051007151)); #590371=CARTESIAN_POINT('Ctrl Pts',(-1.83394307678374,2.91676442161896, 18.874051007151)); #590372=CARTESIAN_POINT('Ctrl Pts',(-1.90137085416642,2.87905675067507, 18.874051007151)); #590373=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #590374=CARTESIAN_POINT('Ctrl Pts',(-1.4785521750381,-3.12762804142262, 13.874051007151)); #590375=CARTESIAN_POINT('Ctrl Pts',(-1.5617487823287,-3.08264601185184, 13.874051007151)); #590376=CARTESIAN_POINT('Ctrl Pts',(-1.64282085954294,-3.0341209291239, 13.874051007151)); #590377=CARTESIAN_POINT('Ctrl Pts',(-1.7215142842669,-2.98203884280646, 13.874051007151)); #590378=CARTESIAN_POINT('Ctrl Pts',(-1.81368237568455,-2.92103874642273, 13.874051007151)); #590379=CARTESIAN_POINT('Ctrl Pts',(-1.9025886505158,-2.85515962658132, 13.874051007151)); #590380=CARTESIAN_POINT('Ctrl Pts',(-1.98805349990207,-2.7847970624212, 13.874051007151)); #590381=CARTESIAN_POINT('Ctrl Pts',(-2.03629599802861,-2.74507937855411, 13.874051007151)); #590382=CARTESIAN_POINT('Ctrl Pts',(-2.08344130176366,-2.70393242882251, 13.874051007151)); #590383=CARTESIAN_POINT('Ctrl Pts',(-2.12945151615309,-2.66142281956772, 13.874051007151)); #590384=CARTESIAN_POINT('Ctrl Pts',(-2.14786648437636,-2.64440892137144, 13.874051007151)); #590385=CARTESIAN_POINT('Ctrl Pts',(-2.16609957545235,-2.62717670889338, 13.874051007151)); #590386=CARTESIAN_POINT('Ctrl Pts',(-2.18414816250103,-2.60973033957608, 13.874051007151)); #590387=CARTESIAN_POINT('Ctrl Pts',(-2.20076486903208,-2.59366807397069, 13.874051007151)); #590388=CARTESIAN_POINT('Ctrl Pts',(-2.21722671532325,-2.57742258387413, 13.874051007151)); #590389=CARTESIAN_POINT('Ctrl Pts',(-2.23351563052012,-2.56101359333371, 13.874051007151)); #590390=CARTESIAN_POINT('Ctrl Pts',(-2.31215276311336,-2.48179677936832, 13.874051007151)); #590391=CARTESIAN_POINT('Ctrl Pts',(-2.38684659696513,-2.39869314919133, 13.874051007151)); #590392=CARTESIAN_POINT('Ctrl Pts',(-2.45741666454604,-2.31211077557655, 13.874051007151)); #590393=CARTESIAN_POINT('Ctrl Pts',(-2.51916547367934,-2.23635119866362, 13.874051007151)); #590394=CARTESIAN_POINT('Ctrl Pts',(-2.57775660547917,-2.1579272129632, 13.874051007151)); #590395=CARTESIAN_POINT('Ctrl Pts',(-2.63304075069109,-2.07709449098758, 13.874051007151)); #590396=CARTESIAN_POINT('Ctrl Pts',(-2.65722756422131,-2.04173017512325, 13.874051007151)); #590397=CARTESIAN_POINT('Ctrl Pts',(-2.68078103731751,-2.00590464463899, 13.874051007151)); #590398=CARTESIAN_POINT('Ctrl Pts',(-2.70368690439995,-1.96963868045925, 13.874051007151)); #590399=CARTESIAN_POINT('Ctrl Pts',(-2.7185673766978,-1.94607901020582, 13.874051007151)); #590400=CARTESIAN_POINT('Ctrl Pts',(-2.73317451962128,-1.92233345850848, 13.874051007151)); #590401=CARTESIAN_POINT('Ctrl Pts',(-2.74750416457387,-1.89840766800572, 13.874051007151)); #590402=CARTESIAN_POINT('Ctrl Pts',(-2.75623977794478,-1.88382206898236, 13.874051007151)); #590403=CARTESIAN_POINT('Ctrl Pts',(-2.76487210427375,-1.86916961204318, 13.874051007151)); #590404=CARTESIAN_POINT('Ctrl Pts',(-2.77339321307767,-1.85446398729742, 13.874051007151)); #590405=CARTESIAN_POINT('Ctrl Pts',(-2.82853540217298,-1.75930027572479, 13.874051007151)); #590406=CARTESIAN_POINT('Ctrl Pts',(-2.8791022190038,-1.66178265812152, 13.874051007151)); #590407=CARTESIAN_POINT('Ctrl Pts',(-2.92491995925639,-1.56228969761062, 13.874051007151)); #590408=CARTESIAN_POINT('Ctrl Pts',(-2.97054732934766,-1.46321012481456, 13.874051007151)); #590409=CARTESIAN_POINT('Ctrl Pts',(-3.0114653367256,-1.36217071666382, 13.874051007151)); #590410=CARTESIAN_POINT('Ctrl Pts',(-3.0474544543253,-1.25952706966735, 13.874051007151)); #590411=CARTESIAN_POINT('Ctrl Pts',(-3.08242095832824,-1.15979999290209, 13.874051007151)); #590412=CARTESIAN_POINT('Ctrl Pts',(-3.11273479723879,-1.05855776972391, 13.874051007151)); #590413=CARTESIAN_POINT('Ctrl Pts',(-3.13814641042304,-0.95612141906086, 13.874051007151)); #590414=CARTESIAN_POINT('Ctrl Pts',(-3.15444020510521,-0.890439761875513, 13.874051007151)); #590415=CARTESIAN_POINT('Ctrl Pts',(-3.16871757133079,-0.824267093683014, 13.874051007151)); #590416=CARTESIAN_POINT('Ctrl Pts',(-3.18090148993883,-0.757689051163247, 13.874051007151)); #590417=CARTESIAN_POINT('Ctrl Pts',(-3.1843353484104,-0.738925007945097, 13.874051007151)); #590418=CARTESIAN_POINT('Ctrl Pts',(-3.18760286609303,-0.720128775330104, 13.874051007151)); #590419=CARTESIAN_POINT('Ctrl Pts',(-3.19070218547753,-0.701302297674526, 13.874051007151)); #590420=CARTESIAN_POINT('Ctrl Pts',(-3.19338647630634,-0.684996865726389, 13.874051007151)); #590421=CARTESIAN_POINT('Ctrl Pts',(-3.19594470038082,-0.668667566906637, 13.874051007151)); #590422=CARTESIAN_POINT('Ctrl Pts',(-3.1983732532236,-0.652333040376642, 13.874051007151)); #590423=CARTESIAN_POINT('Ctrl Pts',(-3.2138154986814,-0.548467988042042, 13.874051007151)); #590424=CARTESIAN_POINT('Ctrl Pts',(-3.22404656614332,-0.444231464738277, 13.874051007151)); #590425=CARTESIAN_POINT('Ctrl Pts',(-3.22886843972871,-0.339946266860055, 13.874051007151)); #590426=CARTESIAN_POINT('Ctrl Pts',(-3.23173266989217,-0.278000053614086, 13.874051007151)); #590427=CARTESIAN_POINT('Ctrl Pts',(-3.23268734790242,-0.21603652571853, 13.874051007151)); #590428=CARTESIAN_POINT('Ctrl Pts',(-3.23168220375346,-0.154123294481558, 13.874051007151)); #590429=CARTESIAN_POINT('Ctrl Pts',(-3.22997201242647,-0.04878171616421, 13.874051007151)); #590430=CARTESIAN_POINT('Ctrl Pts',(-3.2225909842004,0.05641518036162,13.874051007151)); #590431=CARTESIAN_POINT('Ctrl Pts',(-3.20975168660462,0.161121052215151, 13.874051007151)); #590432=CARTESIAN_POINT('Ctrl Pts',(-3.1968043656933,0.266707865985549, 13.874051007151)); #590433=CARTESIAN_POINT('Ctrl Pts',(-3.17830610249602,0.371796196954084, 13.874051007151)); #590434=CARTESIAN_POINT('Ctrl Pts',(-3.15442657089568,0.47601026349215, 13.874051007151)); #590435=CARTESIAN_POINT('Ctrl Pts',(-3.14988847091943,0.495815252166011, 13.874051007151)); #590436=CARTESIAN_POINT('Ctrl Pts',(-3.14515589461343,0.515588585354135, 13.874051007151)); #590437=CARTESIAN_POINT('Ctrl Pts',(-3.14022983194125,0.535327561350977, 13.874051007151)); #590438=CARTESIAN_POINT('Ctrl Pts',(-3.13625009618872,0.551274558724094, 13.874051007151)); #590439=CARTESIAN_POINT('Ctrl Pts',(-3.13214366765861,0.567200370108993, 13.874051007151)); #590440=CARTESIAN_POINT('Ctrl Pts',(-3.12791585141649,0.583086327370463, 13.874051007151)); #590441=CARTESIAN_POINT('Ctrl Pts',(-3.10099173811805,0.684253286544572, 13.874051007151)); #590442=CARTESIAN_POINT('Ctrl Pts',(-3.06911019254053,0.783965321272058, 13.874051007151)); #590443=CARTESIAN_POINT('Ctrl Pts',(-3.03251056161652,0.881896019871523, 13.874051007151)); #590444=CARTESIAN_POINT('Ctrl Pts',(-2.99572275632469,0.980330222108458, 13.874051007151)); #590445=CARTESIAN_POINT('Ctrl Pts',(-2.95416772922436,1.07696553172073, 13.874051007151)); #590446=CARTESIAN_POINT('Ctrl Pts',(-2.90803983353484,1.17144979951645, 13.874051007151)); #590447=CARTESIAN_POINT('Ctrl Pts',(-2.86234352295976,1.26505004685296, 13.874051007151)); #590448=CARTESIAN_POINT('Ctrl Pts',(-2.81215895076019,1.35654002818082, 13.874051007151)); #590449=CARTESIAN_POINT('Ctrl Pts',(-2.75763546023652,1.44554589350447, 13.874051007151)); #590450=CARTESIAN_POINT('Ctrl Pts',(-2.726224098692,1.49682279064389,13.874051007151)); #590451=CARTESIAN_POINT('Ctrl Pts',(-2.69337196529419,1.54727457230956, 13.874051007151)); #590452=CARTESIAN_POINT('Ctrl Pts',(-2.65910263924961,1.59682354345575, 13.874051007151)); #590453=CARTESIAN_POINT('Ctrl Pts',(-2.6489722792243,1.61147072139972,13.874051007151)); #590454=CARTESIAN_POINT('Ctrl Pts',(-2.63871805547855,1.62603897307154, 13.874051007151)); #590455=CARTESIAN_POINT('Ctrl Pts',(-2.62834052390901,1.64052620331639, 13.874051007151)); #590456=CARTESIAN_POINT('Ctrl Pts',(-2.61938181746909,1.65303272685922, 13.874051007151)); #590457=CARTESIAN_POINT('Ctrl Pts',(-2.61033089709845,1.66547918685731, 13.874051007151)); #590458=CARTESIAN_POINT('Ctrl Pts',(-2.60119739114973,1.67785197107522, 13.874051007151)); #590459=CARTESIAN_POINT('Ctrl Pts',(-2.54005095849339,1.76068452422606, 13.874051007151)); #590460=CARTESIAN_POINT('Ctrl Pts',(-2.4751096588798,1.84035410420507,13.874051007151)); #590461=CARTESIAN_POINT('Ctrl Pts',(-2.40655685723276,1.91653401908126, 13.874051007151)); #590462=CARTESIAN_POINT('Ctrl Pts',(-2.33888068473807,1.9917397719597,13.874051007151)); #590463=CARTESIAN_POINT('Ctrl Pts',(-2.26768422465851,2.06354515119865, 13.874051007151)); #590464=CARTESIAN_POINT('Ctrl Pts',(-2.1931095796491,2.13159953260435,13.874051007151)); #590465=CARTESIAN_POINT('Ctrl Pts',(-2.12089118732712,2.19750367488978, 13.874051007151)); #590466=CARTESIAN_POINT('Ctrl Pts',(-2.04550416963014,2.25989048636808, 13.874051007151)); #590467=CARTESIAN_POINT('Ctrl Pts',(-1.96705333093282,2.31840028396843, 13.874051007151)); #590468=CARTESIAN_POINT('Ctrl Pts',(-1.89880979400732,2.36929732470561, 13.874051007151)); #590469=CARTESIAN_POINT('Ctrl Pts',(-1.82824732573226,2.41726020818069, 13.874051007151)); #590470=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510437,2.46202201836131, 13.874051007151)); #590471=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378654,2.83888654064931, 18.874051007151)); #590472=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679937,3.49423846089743, 18.8342903635652)); #590473=CARTESIAN_POINT('Ctrl Pts',(0.268948995999159,3.6340201511696,18.7945297199795)); #590474=CARTESIAN_POINT('Ctrl Pts',(1.32485461181155,3.19559945648532,18.7547690763938)); #590475=CARTESIAN_POINT('Ctrl Pts',(2.38076022762395,2.75717876180103,18.715008432808)); #590476=CARTESIAN_POINT('Ctrl Pts',(3.20180482645021,1.74055568216028,18.6752477892222)); #590477=CARTESIAN_POINT('Ctrl Pts',(3.40556156576554,0.613356644722763, 18.6354871456365)); #590478=CARTESIAN_POINT('Ctrl Pts',(3.44190561413092,0.412298380482314, 18.6283950476849)); #590479=CARTESIAN_POINT('Ctrl Pts',(3.45861016484752,0.207722055373343, 18.6213029497333)); #590480=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #590481=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #590482=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #590483=CARTESIAN_POINT('Origin',(0.999999809264999,-6.900000007656,13.874051007151)); #590484=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #590485=CARTESIAN_POINT('',(0.999999809264999,-6.50000000765598,13.074051007151)); #590486=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #590487=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #590488=CARTESIAN_POINT('',(0.999999809264999,-3.4500000037285,13.874051007151)); #590489=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #590490=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #590491=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #590492=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #590493=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #590494=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.874051007151)); #590495=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #590496=CARTESIAN_POINT('Origin',(0.999999809264999,-6.100000007656,13.874051007151)); #590497=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #590498=CARTESIAN_POINT('',(0.499999809265067,-6.100000007656,13.874051007151)); #590499=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #590500=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #590501=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #590502=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 13.074051007151)); #590503=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.074051007151)); #590504=CARTESIAN_POINT('',(-2.67500019396724,-6.50000000765599,13.074051007151)); #590505=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #590506=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #590507=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.074051007151)); #590508=CARTESIAN_POINT('Origin',(-1.000000190735,-6.900000007656,13.874051007151)); #590509=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #590510=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #590511=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.874051007151)); #590512=CARTESIAN_POINT('Origin',(-1.000000190735,-6.100000007656,13.874051007151)); #590513=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #590514=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #590515=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #590516=CARTESIAN_POINT('',(-1.000000190735,-3.0500000037285,13.874051007151)); #590517=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 14.674051007151)); #590518=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #590519=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #590520=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #590521=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #590522=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #590523=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.524051006728)); #590524=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.524051006728)); #590525=CARTESIAN_POINT('',(-4.45000019719996,-6.50000000765603,12.874051005943)); #590526=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #590527=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,12.874051005943)); #590528=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,12.874051005943)); #590529=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,13.524051006728)); #590530=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #590531=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #590532=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,13.524051006728)); #590533=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #590534=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #590535=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #590536=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-0.850000000798, 18.874051007151)); #590537=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,12.374051005339)); #590538=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,12.274051005218)); #590539=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,10.774051003405)); #590540=CARTESIAN_POINT('',(-4.75000019719999,2.45000000315998,13.124051006245)); #590541=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #590542=CARTESIAN_POINT('',(-5.250000197079,2.45000000316,10.774051003405)); #590543=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.274051005218)); #590544=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.374051005339)); #590545=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #590546=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,10.774051003405)); #590547=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,10.774051003405)); #590548=CARTESIAN_POINT('',(-4.7500001972,3.65000000460999,10.774051003405)); #590549=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #590550=CARTESIAN_POINT('',(-5.250000197079,-2.450000002762,10.774051003405)); #590551=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #590552=CARTESIAN_POINT('Origin',(4.849999815126,2.350000003039,12.374051005339)); #590553=CARTESIAN_POINT('',(4.749999815731,2.350000003039,12.374051005339)); #590554=CARTESIAN_POINT('',(4.74999981573,2.350000003039,11.024051003707)); #590555=CARTESIAN_POINT('',(4.74999981573001,2.350000003039,13.124051006245)); #590556=CARTESIAN_POINT('',(4.64999981561,2.350000003039,11.024051003707)); #590557=CARTESIAN_POINT('',(5.24999981561,2.350000003039,11.024051003707)); #590558=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.374051005339)); #590559=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.274051005218)); #590560=CARTESIAN_POINT('',(4.849999815126,2.350000003039,12.374051005339)); #590561=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,10.774051003405)); #590562=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,10.774051003405)); #590563=CARTESIAN_POINT('',(4.74999981573,2.45000000316,10.774051003405)); #590564=CARTESIAN_POINT('',(4.74999981573001,1.20000000164901,10.774051003405)); #590565=CARTESIAN_POINT('',(4.64999981561,2.45000000316,10.774051003405)); #590566=CARTESIAN_POINT('',(5.24999981561,2.45000000316,10.774051003405)); #590567=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #590568=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #590569=CARTESIAN_POINT('',(5.24999981561,-2.450000002762,10.774051003405)); #590570=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,12.374051005339)); #590571=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,12.274051005218)); #590572=CARTESIAN_POINT('',(4.74999981573003,-2.45000000276198,13.124051006245)); #590573=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.274051005218)); #590574=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.374051005339)); #590575=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #590576=CARTESIAN_POINT('Origin',(2.349999812105,4.85000000606,13.524051006728)); #590577=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.524051006728)); #590578=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.874051007151)); #590579=CARTESIAN_POINT('',(2.349999812105,4.80000000606004,13.6990510069395)); #590580=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.874051007151)); #590581=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.874051007151)); #590582=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #590583=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #590584=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.524051006728)); #590585=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590586=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.874051007151)); #590587=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #590588=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.524051006728)); #590589=CARTESIAN_POINT('',(3.77458587736467,2.45000000316,13.524051006728)); #590590=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590591=CARTESIAN_POINT('Origin',(5.349999815731,2.45000000316,13.524051006728)); #590592=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.874051007151)); #590593=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.524051006728)); #590594=CARTESIAN_POINT('',(4.74999981573001,2.45000000316,13.6990510069395)); #590595=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.524051006728)); #590596=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.874051007151)); #590597=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590598=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.524051006728)); #590599=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.524051006728)); #590600=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #590601=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #590602=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.524051006728)); #590603=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.524051006728)); #590604=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.524051006728)); #590605=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590606=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.524051006728)); #590607=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.524051006728)); #590608=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #590609=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,13.524051006728)); #590610=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.874051007151)); #590611=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.6990510069395)); #590612=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.874051007151)); #590613=CARTESIAN_POINT('',(-3.7745862588344,-2.45000000276199,13.524051006728)); #590614=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.874051007151)); #590615=CARTESIAN_POINT('Origin',(-2.350000193575,-6.500000007656,13.524051006728)); #590616=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.874051007151)); #590617=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #590618=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #590619=CARTESIAN_POINT('Origin',(-1.91999095760466,-4.59486854342693,13.524051006728)); #590620=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.874051007151)); #590621=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.874051007151)); #590622=CARTESIAN_POINT('',(-2.38041433786563,-3.81885172357082,13.524051006728)); #590623=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590624=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #590625=CARTESIAN_POINT('Origin',(-5.3500001972,2.45000000316,13.524051006728)); #590626=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.524051006728)); #590627=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.874051007151)); #590628=CARTESIAN_POINT('',(-4.75000019719999,2.45000000316,13.6990510069395)); #590629=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.874051007151)); #590630=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.874051007151)); #590631=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.524051006728)); #590632=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316001,13.524051006728)); #590633=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.524051006728)); #590634=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590635=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.874051007151)); #590636=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #590637=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.524051006728)); #590638=CARTESIAN_POINT('',(-2.350000193575,3.83764250395718,13.524051006728)); #590639=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590640=CARTESIAN_POINT('Origin',(-2.350000193575,4.85000000606,13.524051006728)); #590641=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.874051007151)); #590642=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.524051006728)); #590643=CARTESIAN_POINT('',(-2.350000193575,4.80000000606004,13.6990510069395)); #590644=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.524051006728)); #590645=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.874051007151)); #590646=CARTESIAN_POINT('Origin',(1.91999057613466,-4.59486854342693,13.524051006728)); #590647=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.874051007151)); #590648=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.874051007151)); #590649=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.874051007151)); #590650=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #590651=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #590652=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.524051006728)); #590653=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.524051006728)); #590654=CARTESIAN_POINT('',(2.38041395639547,-3.81885172357108,13.524051006728)); #590655=CARTESIAN_POINT('Origin',(2.349999812105,-6.500000007656,13.524051006728)); #590656=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #590657=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #590658=CARTESIAN_POINT('Origin',(5.349999815731,-2.450000002762,13.524051006728)); #590659=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.524051006728)); #590660=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.874051007151)); #590661=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.6990510069395)); #590662=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.874051007151)); #590663=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.874051007151)); #590664=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.524051006728)); #590665=CARTESIAN_POINT('',(3.77458587736466,-2.45000000276201,13.524051006728)); #590666=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.524051006728)); #590667=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590668=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #590669=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590670=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #590671=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.874051007151)); #590672=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.874051007151)); #590673=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.874051007151)); #590674=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #590675=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #590676=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #590677=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #590678=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.374051005339)); #590679=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #590680=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #590681=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #590682=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #590683=CARTESIAN_POINT('Origin',(4.64999981561,-6.500000007656,12.274051005218)); #590684=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.274051005218)); #590685=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.374051005339)); #590686=CARTESIAN_POINT('',(4.64999981560996,4.700000005939,12.274051005218)); #590687=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #590688=CARTESIAN_POINT('',(4.64999981561,2.200000002858,10.874051003526)); #590689=CARTESIAN_POINT('Origin',(4.64999981561,2.200000002858,11.024051003707)); #590690=CARTESIAN_POINT('',(4.64999981561,-2.20000000246,10.874051003526)); #590691=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,10.874051003526)); #590692=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,11.024051003707)); #590693=CARTESIAN_POINT('Origin',(4.64999981561,-2.20000000246,11.024051003707)); #590694=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.374051005339)); #590695=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.274051005218)); #590696=CARTESIAN_POINT('',(4.64999981560999,-2.450000002762,12.374051005339)); #590697=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #590698=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.374051005339)); #590699=CARTESIAN_POINT('',(4.64999981560999,-3.25000000372849,12.374051005339)); #590700=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.274051005218)); #590701=CARTESIAN_POINT('',(4.64999981561,-6.40000000753497,12.274051005218)); #590702=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #590703=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.274051005218)); #590704=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.374051005339)); #590705=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #590706=CARTESIAN_POINT('Origin',(-5.3500001972,-6.400000007535,12.274051005218)); #590707=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.374051005339)); #590708=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #590709=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #590710=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.274051005218)); #590711=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.374051005339)); #590712=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #590713=CARTESIAN_POINT('',(-4.65000019707901,-2.450000002762,12.374051005339)); #590714=CARTESIAN_POINT('',(-4.65000019707901,-3.25000000372851,12.374051005339)); #590715=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #590716=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #590717=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #590718=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,12.274051005218)); #590719=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.274051005218)); #590720=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.274051005218)); #590721=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765597,12.274051005218)); #590722=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,12.274051005218)); #590723=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.274051005218)); #590724=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.274051005218)); #590725=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #590726=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,12.274051005218)); #590727=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #590728=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.274051005218)); #590729=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #590730=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #590731=CARTESIAN_POINT('Origin',(-0.09539060330618,-2.450000002762,10.774051003405)); #590732=CARTESIAN_POINT('',(-4.75000019719997,-2.45000000276202,12.324051005278)); #590733=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #590734=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #590735=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.274051005218)); #590736=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.274051005218)); #590737=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.274051005218)); #590738=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #590739=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.274051005218)); #590740=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #590741=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.274051005218)); #590742=CARTESIAN_POINT('',(4.74999981573,2.45000000316,12.274051005218)); #590743=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #590744=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.274051005218)); #590745=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #590746=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.274051005218)); #590747=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.274051005218)); #590748=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.274051005218)); #590749=CARTESIAN_POINT('Origin',(0.09539022183648,2.45000000316,12.374051005339)); #590750=CARTESIAN_POINT('',(4.74999981573001,2.45000000316002,13.124051006245)); #590751=CARTESIAN_POINT('Origin',(-0.3164416377,2.350000003039,12.374051005339)); #590752=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,11.024051003707)); #590753=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,12.374051005339)); #590754=CARTESIAN_POINT('',(-4.75000019719999,2.35000000303898,13.124051006245)); #590755=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #590756=CARTESIAN_POINT('',(-0.3164416377,2.350000003039,12.374051005339)); #590757=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,11.024051003707)); #590758=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #590759=CARTESIAN_POINT('',(-5.250000197079,2.350000003039,11.024051003707)); #590760=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,11.024051003707)); #590761=CARTESIAN_POINT('',(-4.7500001972,2.200000002858,10.874051003526)); #590762=CARTESIAN_POINT('Origin',(-4.75000019719999,2.20000000285798,11.024051003707)); #590763=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #590764=CARTESIAN_POINT('Origin',(-4.650000197079,2.200000002858,11.024051003707)); #590765=CARTESIAN_POINT('',(-5.250000197079,2.200000002858,10.874051003526)); #590766=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,10.874051003526)); #590767=CARTESIAN_POINT('',(-4.7500001972,-2.20000000246,10.874051003526)); #590768=CARTESIAN_POINT('',(-4.7500001972,3.52500000445899,10.874051003526)); #590769=CARTESIAN_POINT('',(-4.650000197079,-2.20000000246,10.874051003526)); #590770=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #590771=CARTESIAN_POINT('',(-5.250000197079,-2.20000000246,10.874051003526)); #590772=CARTESIAN_POINT('Origin',(-0.3164416377,-2.20000000246,11.024051003707)); #590773=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,11.024051003707)); #590774=CARTESIAN_POINT('Origin',(-4.75000019719997,-2.20000000246002,11.024051003707)); #590775=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #590776=CARTESIAN_POINT('Origin',(-4.650000197079,-2.20000000246,11.024051003707)); #590777=CARTESIAN_POINT('',(-5.250000197079,-2.350000002641,11.024051003707)); #590778=CARTESIAN_POINT('Origin',(-0.3164416377,-2.350000002641,11.024051003707)); #590779=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,12.374051005339)); #590780=CARTESIAN_POINT('',(-4.75000019719997,-2.35000000264102,12.449051005429)); #590781=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,12.374051005339)); #590782=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #590783=CARTESIAN_POINT('',(-0.3164416377,-2.350000002641,12.374051005339)); #590784=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #590785=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.374051005339)); #590786=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #590787=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #590788=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #590789=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,12.874051005943)); #590790=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.874051005943)); #590791=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.374051006547)); #590792=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.874051005943)); #590793=CARTESIAN_POINT('Origin',(4.358611978972,-2.450000002762,12.874051005943)); #590794=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.374051005339)); #590795=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.874051005943)); #590796=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.374051006547)); #590797=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #590798=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #590799=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #590800=CARTESIAN_POINT('',(4.749999815731,-2.350000002641,12.374051005339)); #590801=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #590802=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,12.374051005339)); #590803=CARTESIAN_POINT('Origin',(4.849999815126,-2.350000002641,12.374051005339)); #590804=CARTESIAN_POINT('',(4.74999981573,-2.350000002641,11.024051003707)); #590805=CARTESIAN_POINT('',(4.74999981573003,-2.350000002641,13.124051006245)); #590806=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,11.024051003707)); #590807=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,11.024051003707)); #590808=CARTESIAN_POINT('',(4.74999981573,-2.20000000246,10.874051003526)); #590809=CARTESIAN_POINT('Origin',(4.74999981573003,-2.20000000246,11.024051003707)); #590810=CARTESIAN_POINT('',(5.24999981561,-2.20000000246,10.874051003526)); #590811=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,10.874051003526)); #590812=CARTESIAN_POINT('',(4.74999981573,2.200000002858,10.874051003526)); #590813=CARTESIAN_POINT('',(4.74999981573001,1.3250000018,10.874051003526)); #590814=CARTESIAN_POINT('',(5.24999981561,2.200000002858,10.874051003526)); #590815=CARTESIAN_POINT('Origin',(4.849999815126,2.200000002858,11.024051003707)); #590816=CARTESIAN_POINT('Origin',(4.74999981573001,2.200000002858,11.024051003707)); #590817=CARTESIAN_POINT('Origin',(-4.650000197079,-6.500000007656,12.274051005218)); #590818=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.374051005339)); #590819=CARTESIAN_POINT('',(-4.65000019707904,4.700000005939,12.274051005218)); #590820=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #590821=CARTESIAN_POINT('Origin',(-5.3500001972,4.700000005939,12.274051005218)); #590822=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.374051005339)); #590823=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590824=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.524051006728)); #590825=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.524051006728)); #590826=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.524051006728)); #590827=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #590828=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #590829=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #590830=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #590831=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #590832=CARTESIAN_POINT('Origin',(4.74999981573,4.85000000606,13.874051007151)); #590833=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.524051006728)); #590834=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.374051005339)); #590835=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #590836=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #590837=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.524051006728)); #590838=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #590839=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.874051005943)); #590840=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #590841=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.874051005943)); #590842=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #590843=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590844=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.524051006728)); #590845=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #590846=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.874051005943)); #590847=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #590848=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.524051006728)); #590849=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.524051006728)); #590850=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #590851=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #590852=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.374051005339)); #590853=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.374051005339)); #590854=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #590855=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #590856=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #590857=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.32405099814797)); #590858=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #590859=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #590860=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #590861=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #590862=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #590863=CARTESIAN_POINT('Origin',(-4.7500001972,4.85000000606,13.874051007151)); #590864=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.374051005339)); #590865=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #590866=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #590867=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.874051005943)); #590868=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.874051005943)); #590869=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #590870=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #590871=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.874051005943)); #590872=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #590873=CARTESIAN_POINT('Origin',(-5.3500001972,4.80000000606004,13.874051007151)); #590874=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.374051005339)); #590875=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #590876=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.374051005339)); #590877=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #590878=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.374051005339)); #590879=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #590880=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #590881=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.324050998148)); #590882=CARTESIAN_POINT('',(4.74999981573002,-1.500000001916,1.324050998148)); #590883=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #590884=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #590885=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.324050998148)); #590886=CARTESIAN_POINT('',(-1.907348561314E-7,-2.550000002883,1.324050998148)); #590887=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.324050998148)); #590888=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.324050998148)); #590889=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.324050998148)); #590890=CARTESIAN_POINT('',(3.349999813314,-2.150000002399,1.324050998148)); #590891=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.324050998148)); #590892=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #590893=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.32405099814803)); #590894=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #590895=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #590896=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #590897=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #590898=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.324050998148)); #590899=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #590900=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.324050998148)); #590901=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.324050998148)); #590902=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #590903=CARTESIAN_POINT('Origin',(-4.45000048946543,4.50000000606,1.3990509982385)); #590904=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.12405099790603)); #590905=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.124050997906)); #590906=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.124050997906)); #590907=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.12405099790597)); #590908=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.124050997906)); #590909=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.124050997906)); #590910=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.124050997906)); #590911=CARTESIAN_POINT('',(1.599999811199,-4.60000000536,1.124050997906)); #590912=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.124050997906)); #590913=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #590914=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #590915=CARTESIAN_POINT('',(1.49999981107799,-2.2500000025805,1.124050997906)); #590916=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #590917=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #590918=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #590919=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.124050997906)); #590920=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #590921=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #590922=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.124050997906)); #590923=CARTESIAN_POINT('',(-1.60000019266801,-2.3000000025805,1.124050997906)); #590924=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.124050997906)); #590925=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.124050997906)); #590926=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.12405099790603)); #590927=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.124050997906)); #590928=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288303,1.12405099790607)); #590929=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.124050997906)); #590930=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.124050997906)); #590931=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.124050997906)); #590932=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.124050997906)); #590933=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.124050997906)); #590934=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.124050997906)); #590935=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.124050997906)); #590936=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.124050997906)); #590937=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.124050997906)); #590938=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.124050997906)); #590939=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.124050997906)); #590940=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.124050997906)); #590941=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.124050997906)); #590942=CARTESIAN_POINT('',(-3.35000019478299,-1.80000000191599,1.124050997906)); #590943=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #590944=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.124050997906)); #590945=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.124050997906)); #590946=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.124050997906)); #590947=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.124050997906)); #590948=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.124050997906)); #590949=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.124050997906)); #590950=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.124050997906)); #590951=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.124050997906)); #590952=CARTESIAN_POINT('',(-3.35000019478301,1.800000002314,1.124050997906)); #590953=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.124050997906)); #590954=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.124050997906)); #590955=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #590956=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.124050997906)); #590957=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.124050997906)); #590958=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.124050997906)); #590959=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.124050997906)); #590960=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.124050997906)); #590961=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.124050997906)); #590962=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.124050997906)); #590963=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.124050997906)); #590964=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.124050997906)); #590965=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.124050997906)); #590966=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.124050997906)); #590967=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.124050997906)); #590968=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.124050997906)); #590969=CARTESIAN_POINT('',(-4.75000061538184,2.55000000328097,1.12405099790607)); #590970=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.124050997906)); #590971=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.124050997906)); #590972=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.124050997906)); #590973=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #590974=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #590975=CARTESIAN_POINT('',(-1.50000019254799,2.2500000029785,1.124050997906)); #590976=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #590977=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #590978=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #590979=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #590980=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #590981=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #590982=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.124050997906)); #590983=CARTESIAN_POINT('Origin',(4.45000006701829,4.50000000606,1.12405099790597)); #590984=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #590985=CARTESIAN_POINT('',(4.74999972652544,2.550000003281,1.124050997906)); #590986=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #590987=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #590988=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.124050997906)); #590989=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.124050997906)); #590990=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.124050997906)); #590991=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.124050997906)); #590992=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.124050997906)); #590993=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.124050997906)); #590994=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.124050997906)); #590995=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.124050997906)); #590996=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.124050997906)); #590997=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.124050997906)); #590998=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.124050997906)); #590999=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.124050997906)); #591000=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #591001=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #591002=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #591003=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #591004=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.124050997906)); #591005=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.3990509982385)); #591006=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.3990509982385)); #591007=CARTESIAN_POINT('Origin',(-5.200000197019,-4.800000005662,1.474050998329)); #591008=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.2990509981175)); #591009=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.39905099823851)); #591010=CARTESIAN_POINT('Origin',(5.199999815549,4.80000000606,1.474050998329)); #591011=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.39905099823851)); #591012=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.39905099823851)); #591013=CARTESIAN_POINT('Origin',(4.74999972652546,-2.550000002883,1.324050998148)); #591014=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #591015=CARTESIAN_POINT('Origin',(1.599999811199,4.85000000606,1.124050997906)); #591016=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #591017=CARTESIAN_POINT('Origin',(5.199999815549,-4.800000005662,1.474050998329)); #591018=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.2990509981175)); #591019=CARTESIAN_POINT('Origin',(1.599999811199,-4.60000000536,1.124050997906)); #591020=CARTESIAN_POINT('',(1.599999811199,-4.55000000535998,1.124050997906)); #591021=CARTESIAN_POINT('Origin',(-1.600000192668,-4.850000005662,1.124050997906)); #591022=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #591023=CARTESIAN_POINT('Origin',(-1.600000192668,4.600000005758,1.124050997906)); #591024=CARTESIAN_POINT('',(-1.600000192668,4.55000000575798,1.124050997906)); #591025=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #591026=CARTESIAN_POINT('',(-1.500000192548,4.55000000575798,1.124050997906)); #591027=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #591028=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #591029=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #591030=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.374326052827459)); #591031=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.374326052827459)); #591032=CARTESIAN_POINT('',(-1.500000192548,4.9500000062415,0.374326052827459)); #591033=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #591034=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,1.124050997906)); #591035=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.974050997724999)); #591036=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #591037=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,1.124050997906)); #591038=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #591039=CARTESIAN_POINT('',(1.499999811078,5.00000000630199,0.374326052827459)); #591040=CARTESIAN_POINT('',(1.499999811078,4.80000000605999,0.374326052827459)); #591041=CARTESIAN_POINT('',(1.499999811078,4.95000000624151,0.374326052827459)); #591042=CARTESIAN_POINT('',(1.499999811078,5.000000006302,0.974050997724999)); #591043=CARTESIAN_POINT('',(1.499999811078,5.000000006302,-1.22594900493345)); #591044=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #591045=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #591046=CARTESIAN_POINT('',(1.499999811078,4.80000000606,-1.22594900493345)); #591047=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #591048=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #591049=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #591050=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #591051=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #591052=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #591053=CARTESIAN_POINT('',(-1.907348826657E-7,5.000000006302,0.974050997724999)); #591054=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #591055=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #591056=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #591057=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #591058=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #591059=CARTESIAN_POINT('',(1.499999811078,4.550000005758,-0.0509490035137265)); #591060=CARTESIAN_POINT('Origin',(-1.907348818886E-7,4.80000000606,1.324050998148)); #591061=CARTESIAN_POINT('',(-1.200000192548,4.80000000606,0.074326052827459)); #591062=CARTESIAN_POINT('Origin',(-1.200000192548,4.80000000606,0.374326052827459)); #591063=CARTESIAN_POINT('',(1.199999811078,4.80000000606001,0.074326052827459)); #591064=CARTESIAN_POINT('',(-1.90734940500329E-7,4.80000000606,0.074326052827459)); #591065=CARTESIAN_POINT('Origin',(1.199999811078,4.80000000606,0.374326052827459)); #591066=CARTESIAN_POINT('Origin',(1.199999811078,4.95000000624151,0.374326052827459)); #591067=CARTESIAN_POINT('',(1.199999811078,5.00000000630201,0.074326052827459)); #591068=CARTESIAN_POINT('Origin',(1.199999811078,5.000000006302,0.374326052827459)); #591069=CARTESIAN_POINT('',(1.199999811078,4.95000000624151,0.074326052827459)); #591070=CARTESIAN_POINT('Origin',(-1.907348826657E-7,5.000000006302,1.324050998148)); #591071=CARTESIAN_POINT('',(-1.200000192548,5.000000006302,0.074326052827459)); #591072=CARTESIAN_POINT('Origin',(-1.200000192548,5.000000006302,0.374326052827459)); #591073=CARTESIAN_POINT('',(-1.90734941238027E-7,5.000000006302,0.074326052827459)); #591074=CARTESIAN_POINT('Origin',(-1.90734999461206E-7,4.90000000618101, 0.074326052827459)); #591075=CARTESIAN_POINT('',(-1.200000192548,4.9500000062415,0.074326052827459)); #591076=CARTESIAN_POINT('Origin',(-1.200000192548,4.9500000062415,0.374326052827459)); #591077=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #591078=CARTESIAN_POINT('',(1.499999811078,-4.55000000535998,-0.0509490035137267)); #591079=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #591080=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #591081=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #591082=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #591083=CARTESIAN_POINT('',(1.499999811078,-4.80000000566199,0.374326052827461)); #591084=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.374326052827461)); #591085=CARTESIAN_POINT('',(1.499999811078,-4.9500000058435,0.374326052827461)); #591086=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #591087=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,-1.22594900493345)); #591088=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.974050997724999)); #591089=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #591090=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,-1.22594900493345)); #591091=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #591092=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.374326052827461)); #591093=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.374326052827461)); #591094=CARTESIAN_POINT('',(-1.500000192548,-4.9500000058435,0.374326052827461)); #591095=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.974050997724999)); #591096=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,1.124050997906)); #591097=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #591098=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #591099=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,1.124050997906)); #591100=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #591101=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #591102=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #591103=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #591104=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #591105=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #591106=CARTESIAN_POINT('',(-1.907348473607E-7,-5.000000005904,0.974050997724999)); #591107=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #591108=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #591109=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #591110=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #591111=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #591112=CARTESIAN_POINT('Origin',(-1.907348481378E-7,-4.800000005662,1.324050998148)); #591113=CARTESIAN_POINT('',(1.199999811078,-4.800000005662,0.0743260528274607)); #591114=CARTESIAN_POINT('Origin',(1.199999811078,-4.800000005662,0.374326052827461)); #591115=CARTESIAN_POINT('',(-1.200000192548,-4.80000000566201,0.0743260528274607)); #591116=CARTESIAN_POINT('',(-1.90734924529189E-7,-4.800000005662,0.0743260528274607)); #591117=CARTESIAN_POINT('Origin',(-1.200000192548,-4.800000005662,0.374326052827461)); #591118=CARTESIAN_POINT('Origin',(-1.200000192548,-4.9500000058435,0.374326052827461)); #591119=CARTESIAN_POINT('',(-1.200000192548,-5.00000000590401,0.0743260528274607)); #591120=CARTESIAN_POINT('Origin',(-1.200000192548,-5.000000005904,0.374326052827461)); #591121=CARTESIAN_POINT('',(-1.200000192548,-4.9500000058435,0.074326052827461)); #591122=CARTESIAN_POINT('Origin',(-1.907348473607E-7,-5.000000005904,1.324050998148)); #591123=CARTESIAN_POINT('',(1.199999811078,-5.000000005904,0.0743260528274607)); #591124=CARTESIAN_POINT('Origin',(1.199999811078,-5.000000005904,0.374326052827461)); #591125=CARTESIAN_POINT('',(-1.90734923791491E-7,-5.000000005904,0.0743260528274607)); #591126=CARTESIAN_POINT('Origin',(-1.90735000571429E-7,-4.900000005783, 0.074326052827461)); #591127=CARTESIAN_POINT('',(1.199999811078,-4.9500000058435,0.074326052827461)); #591128=CARTESIAN_POINT('Origin',(1.199999811078,-4.9500000058435,0.374326052827461)); #591129=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #591130=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #591131=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,1.124050997906)); #591132=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #591133=CARTESIAN_POINT('Origin',(-4.75000061538182,-2.650000003003,1.324050998148)); #591134=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,1.124050997906)); #591135=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #591136=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #591137=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #591138=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #591139=CARTESIAN_POINT('Origin',(-5.150000196959,-1.500000001916,1.324050998148)); #591140=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.974050997724999)); #591141=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #591142=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.374326052827459)); #591143=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,1.324050998148)); #591144=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.374326052827459)); #591145=CARTESIAN_POINT('',(-7.57500019988949,-1.50000000191601,0.374326052827459)); #591146=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.974050997724999)); #591147=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,1.324050998148)); #591148=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #591149=CARTESIAN_POINT('Origin',(-7.57500019988949,-1.20000000191601,0.374326052827459)); #591150=CARTESIAN_POINT('',(-5.3500001972,-1.200000001916,0.0743260528274593)); #591151=CARTESIAN_POINT('Origin',(-5.35000019719999,-1.200000001916,0.374326052827459)); #591152=CARTESIAN_POINT('',(-5.150000196959,-1.200000001916,0.0743260528274593)); #591153=CARTESIAN_POINT('',(-7.57500019988949,-1.20000000191601,0.0743260528274593)); #591154=CARTESIAN_POINT('Origin',(-5.150000196959,-1.200000001916,0.374326052827459)); #591155=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #591156=CARTESIAN_POINT('',(-5.3500001972,-0.500000000405,0.0743260528274595)); #591157=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #591158=CARTESIAN_POINT('',(-5.150000196959,-0.500000000405,0.0743260528274595)); #591159=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274592)); #591160=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #591161=CARTESIAN_POINT('Origin',(-5.3500001972,1.99000260714399E-10,1.324050998148)); #591162=CARTESIAN_POINT('',(-5.3500001972,1.500000002314,0.974050997724999)); #591163=CARTESIAN_POINT('',(-5.3500001972,-2.550000002883,0.974050997724999)); #591164=CARTESIAN_POINT('',(-5.35000019720001,1.500000002314,0.374326052827458)); #591165=CARTESIAN_POINT('',(-5.35000019720002,1.500000002314,-3.6759490078945)); #591166=CARTESIAN_POINT('',(-5.35000019720001,1.200000002314,0.0743260528274588)); #591167=CARTESIAN_POINT('Origin',(-5.35000019720001,1.200000002314,0.374326052827458)); #591168=CARTESIAN_POINT('',(-5.3500001972,0.500000000803,0.0743260528274595)); #591169=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #591170=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #591171=CARTESIAN_POINT('Origin',(-3.650000194783,1.80000000231401,-3.6759490078945)); #591172=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,-3.6759490078945)); #591173=CARTESIAN_POINT('',(-3.350000194783,1.80000000231401,-3.6759490078945)); #591174=CARTESIAN_POINT('Origin',(-5.3500001972,1.500000002314,-8.675949013937)); #591175=CARTESIAN_POINT('',(-5.150000196959,1.500000002314,0.974050997724999)); #591176=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #591177=CARTESIAN_POINT('',(-5.15000019695901,1.500000002314,0.374326052827458)); #591178=CARTESIAN_POINT('',(-5.15000019695902,1.500000002314,-3.6759490078945)); #591179=CARTESIAN_POINT('',(-7.67500020001,1.50000000231399,0.374326052827459)); #591180=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #591181=CARTESIAN_POINT('Origin',(-7.67500020001,1.20000000231399,0.374326052827458)); #591182=CARTESIAN_POINT('',(-5.15000019695901,1.200000002314,0.0743260528274588)); #591183=CARTESIAN_POINT('Origin',(-5.15000019695901,1.200000002314,0.374326052827458)); #591184=CARTESIAN_POINT('',(-7.67500020001,1.20000000231399,0.0743260528274588)); #591185=CARTESIAN_POINT('Origin',(-5.150000196959,1.99000260714399E-10, 1.324050998148)); #591186=CARTESIAN_POINT('',(-5.150000196959,0.500000000803,0.0743260528274595)); #591187=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #591188=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #591189=CARTESIAN_POINT('',(-5.150000196959,-2.550000002883,0.974050997724999)); #591190=CARTESIAN_POINT('Origin',(-2.4250001936655,-1.20000000191603,0.374326052827459)); #591191=CARTESIAN_POINT('',(5.14999981548901,-1.500000001916,0.374326052827459)); #591192=CARTESIAN_POINT('',(5.14999981548901,-1.200000001916,0.0743260528274596)); #591193=CARTESIAN_POINT('Origin',(5.14999981548901,-1.200000001916,0.374326052827459)); #591194=CARTESIAN_POINT('',(5.34999981573001,-1.200000001916,0.0743260528274596)); #591195=CARTESIAN_POINT('',(-2.4250001936655,-1.20000000191603,0.0743260528274596)); #591196=CARTESIAN_POINT('',(5.34999981573001,-1.500000001916,0.374326052827459)); #591197=CARTESIAN_POINT('Origin',(5.34999981573001,-1.200000001916,0.374326052827459)); #591198=CARTESIAN_POINT('',(-2.4250001936655,-1.50000000191603,0.374326052827459)); #591199=CARTESIAN_POINT('Origin',(5.149999815489,1.99000260714399E-10,1.324050998148)); #591200=CARTESIAN_POINT('',(5.149999815489,-1.500000001916,0.974050997724999)); #591201=CARTESIAN_POINT('',(5.14999981548902,-1.500000001916,-3.6759490078945)); #591202=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.974050997724999)); #591203=CARTESIAN_POINT('',(5.149999815489,2.550000003281,0.974050997724999)); #591204=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.374326052827459)); #591205=CARTESIAN_POINT('',(5.14999981548899,1.500000002314,1.324050998148)); #591206=CARTESIAN_POINT('',(5.149999815489,1.200000002314,0.0743260528274596)); #591207=CARTESIAN_POINT('Origin',(5.14999981548899,1.200000002314,0.37432605282746)); #591208=CARTESIAN_POINT('',(5.149999815489,0.500000000803,0.0743260528274595)); #591209=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #591210=CARTESIAN_POINT('',(5.149999815489,-0.500000000405,0.0743260528274595)); #591211=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #591212=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #591213=CARTESIAN_POINT('Origin',(5.149999815489,-1.500000001916,-8.675949013937)); #591214=CARTESIAN_POINT('',(5.34999981573,-1.500000001916,0.974050997724999)); #591215=CARTESIAN_POINT('',(5.34999981573002,-1.500000001916,-3.6759490078945)); #591216=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.324050998148)); #591217=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #591218=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #591219=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,-3.7759490080155)); #591220=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #591221=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,-3.7759490080155)); #591222=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,-3.7759490080155)); #591223=CARTESIAN_POINT('Origin',(-2.32500019354501,1.20000000231396,0.37432605282746)); #591224=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.374326052827459)); #591225=CARTESIAN_POINT('',(5.34999981573,1.200000002314,0.0743260528274596)); #591226=CARTESIAN_POINT('Origin',(5.34999981573,1.200000002314,0.37432605282746)); #591227=CARTESIAN_POINT('',(-2.32500019354501,1.20000000231396,0.0743260528274596)); #591228=CARTESIAN_POINT('',(-2.32500019354501,1.50000000231396,0.37432605282746)); #591229=CARTESIAN_POINT('Origin',(5.34999981573,1.99000260714399E-10,1.324050998148)); #591230=CARTESIAN_POINT('',(5.34999981573,-0.500000000405,0.0743260528274595)); #591231=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #591232=CARTESIAN_POINT('',(5.34999981573,0.500000000803,0.0743260528274595)); #591233=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #591234=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #591235=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.974050997724999)); #591236=CARTESIAN_POINT('',(5.34999981573,1.500000002314,1.324050998148)); #591237=CARTESIAN_POINT('',(5.34999981573,2.550000003281,0.974050997724999)); #591238=CARTESIAN_POINT('Origin',(5.34999981573,1.500000002314,1.324050998148)); #591239=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #591240=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.224050998027)); #591241=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.324050998148)); #591242=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #591243=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #591244=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.224050998027)); #591245=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.224050998027)); #591246=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #591247=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #591248=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.324050998148)); #591249=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #591250=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #591251=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274596)); #591252=CARTESIAN_POINT('Origin',(3.349999813314,-2.25000000252,1.124050997906)); #591253=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #591254=CARTESIAN_POINT('Origin',(3.349999813314,2.250000002918,1.124050997906)); #591255=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #591256=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #591257=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #591258=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.074326052827459)); #591259=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #591260=CARTESIAN_POINT('Origin',(-3.350000194783,-2.25000000252,1.324050998148)); #591261=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #591262=CARTESIAN_POINT('Origin',(-3.350000194783,2.250000002918,1.324050998148)); #591263=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #591264=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #591265=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.0743260528274596)); #591266=CARTESIAN_POINT('Origin',(4.999999815308,2.550000003281,1.124050997906)); #591267=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #591268=CARTESIAN_POINT('Origin',(-5.000000196777,-2.550000002883,1.124050997906)); #591269=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #591270=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #591271=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.0840509978576)); #591272=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #591273=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.0840509978576)); #591274=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.324050998148)); #591275=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.0840509978576)); #591276=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.324050998148)); #591277=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.0840509978576)); #591278=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #591279=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.0840509978576)); #591280=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #591281=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.0840509978576)); #591282=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #591283=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #591284=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #591285=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.0840509978576)); #591286=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #591287=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.0840509978576)); #591288=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.324050998148)); #591289=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.0840509978576)); #591290=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.324050998148)); #591291=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.0840509978576)); #591292=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #591293=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.0840509978576)); #591294=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #591295=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.0840509978576)); #591296=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #591297=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #591298=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #591299=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #591300=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #591301=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-3.199949008801)); #591302=CARTESIAN_POINT('',(-4.960000196729,0.200000000441,-3.499949009163)); #591303=CARTESIAN_POINT('Origin',(-4.960000196729,0.200000000441,-3.199949008801)); #591304=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-3.199949008801)); #591305=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,-3.199949008801)); #591306=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #591307=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #591308=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #591309=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #591310=CARTESIAN_POINT('',(-4.960000196729,-0.200000000043,-3.499949009163)); #591311=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-3.199949008801)); #591312=CARTESIAN_POINT('Origin',(-4.960000196729,-0.200000000043,-3.199949008801)); #591313=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #591314=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #591315=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-3.199949008801)); #591316=CARTESIAN_POINT('Origin',(-4.760000196487,-0.200000000043,-3.199949008801)); #591317=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,-3.199949008801)); #591318=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591319=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #591320=CARTESIAN_POINT('',(-5.027674067207,0.500000000803,-2.063458920301)); #591321=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #591322=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-2.188847948619)); #591323=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #591324=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #591325=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #591326=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #591327=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591328=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #591329=CARTESIAN_POINT('',(-4.917905894269,-0.500000000405,-1.896273549211)); #591330=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #591331=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-2.188847948619)); #591332=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #591333=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #591334=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #591335=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #591336=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #591337=CARTESIAN_POINT('',(-5.180166154977,0.500000000803,-0.0678224104616007)); #591338=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #591339=CARTESIAN_POINT('',(-5.180166154977,2.550000003267,-0.0678224104616007)); #591340=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #591341=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #591342=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #591343=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #591344=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #591345=CARTESIAN_POINT('',(-5.362928464923,-0.500000000405,0.0134052828464296)); #591346=CARTESIAN_POINT('',(-5.362928464923,2.550000003281,0.0134052828464296)); #591347=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #591348=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-1.99994900735)); #591349=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-1.99994900735)); #591350=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-3.499949009163)); #591351=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-1.99994900735)); #591352=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-2.188847948619)); #591353=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #591354=CARTESIAN_POINT('',(-4.917905894269,2.550000003281,-1.896273549211)); #591355=CARTESIAN_POINT('Origin',(-5.750000197684,2.550000003281,-1.349949006565)); #591356=CARTESIAN_POINT('',(-5.643521472939,0.500000000803,-1.419859280387)); #591357=CARTESIAN_POINT('',(-5.750000197684,0.500000000803,-1.349949006565)); #591358=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #591359=CARTESIAN_POINT('',(-5.643521472939,2.550000003281,-1.419859280387)); #591360=CARTESIAN_POINT('',(-5.750000197684,-0.500000000405,-1.349949006565)); #591361=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #591362=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #591363=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #591364=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #591365=CARTESIAN_POINT('',(-5.698267075694,2.550000003281,-1.233549482088)); #591366=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #591367=CARTESIAN_POINT('Origin',(-5.698267075694,2.550000003281,-1.233549482088)); #591368=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #591369=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #591370=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-3.499949009163)); #591371=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-3.499949009163)); #591372=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591373=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-1.99994900735)); #591374=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591375=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-1.99994900735)); #591376=CARTESIAN_POINT('Origin',(-4.960000196729,2.550000003281,-1.99994900735)); #591377=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-2.188847948619)); #591378=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #591379=CARTESIAN_POINT('',(-5.027674067207,2.550000003281,-2.063458920301)); #591380=CARTESIAN_POINT('Origin',(-5.859768370622,2.550000003281,-1.517134377655)); #591381=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #591382=CARTESIAN_POINT('',(-5.753289645877,-0.500000000405,-1.587044651477)); #591383=CARTESIAN_POINT('',(-5.753289645877,2.550000003281,-1.587044651477)); #591384=CARTESIAN_POINT('',(-5.859768370622,0.500000000803,-1.517134377655)); #591385=CARTESIAN_POINT('',(-5.859768370622,-0.500000000405,-1.517134377655)); #591386=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #591387=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #591388=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #591389=CARTESIAN_POINT('',(-5.881029385639,2.550000003281,-1.15232178878)); #591390=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #591391=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #591392=CARTESIAN_POINT('Origin',(-5.881029385639,2.550000003281,-1.15232178878)); #591393=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #591394=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #591395=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591396=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #591397=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591398=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #591399=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591400=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #591401=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591402=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591403=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #591404=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591405=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #591406=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591407=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #591408=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591409=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #591410=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #591411=CARTESIAN_POINT('',(4.759999815017,0.200000000441,-3.499949009163)); #591412=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #591413=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-3.199949008801)); #591414=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #591415=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #591416=CARTESIAN_POINT('Origin',(4.959999815259,0.200000000441,-3.199949008801)); #591417=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #591418=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #591419=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #591420=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-3.199949008801)); #591421=CARTESIAN_POINT('Origin',(4.759999815017,-0.200000000043,-3.199949008801)); #591422=CARTESIAN_POINT('',(4.959999815259,-0.200000000043,-3.499949009163)); #591423=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #591424=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #591425=CARTESIAN_POINT('Origin',(4.959999815259,-0.200000000043,-3.199949008801)); #591426=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #591427=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591428=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #591429=CARTESIAN_POINT('',(4.917905512799,0.500000000803,-1.896273549211)); #591430=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #591431=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-2.188847948619)); #591432=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #591433=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #591434=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #591435=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #591436=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591437=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #591438=CARTESIAN_POINT('',(5.027673685737,-0.500000000405,-2.063458920301)); #591439=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #591440=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-2.188847948619)); #591441=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #591442=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #591443=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #591444=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #591445=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #591446=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #591447=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #591448=CARTESIAN_POINT('',(5.180165773508,-0.500000000405,-0.0678224104616007)); #591449=CARTESIAN_POINT('',(5.180165773508,2.550000003267,-0.0678224104616007)); #591450=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #591451=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #591452=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #591453=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #591454=CARTESIAN_POINT('',(5.362928083453,0.500000000803,0.0134052828464296)); #591455=CARTESIAN_POINT('',(5.362928083453,2.550000003281,0.0134052828464395)); #591456=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #591457=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-1.99994900735)); #591458=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-3.499949009163)); #591459=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-1.99994900735)); #591460=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-2.188847948619)); #591461=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-1.99994900735)); #591462=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #591463=CARTESIAN_POINT('',(4.917905512799,2.550000003281,-1.896273549211)); #591464=CARTESIAN_POINT('Origin',(5.749999816214,2.550000003281,-1.349949006565)); #591465=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #591466=CARTESIAN_POINT('',(5.643521091469,-0.500000000405,-1.419859280387)); #591467=CARTESIAN_POINT('',(5.643521091469,2.550000003281,-1.419859280387)); #591468=CARTESIAN_POINT('',(5.749999816214,0.500000000803,-1.349949006565)); #591469=CARTESIAN_POINT('',(5.749999816214,-0.500000000405,-1.349949006565)); #591470=CARTESIAN_POINT('Origin',(5.698266694224,2.550000003281,-1.233549482088)); #591471=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #591472=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #591473=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #591474=CARTESIAN_POINT('',(5.698266694224,2.550000003281,-1.233549482088)); #591475=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #591476=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-3.499949009163)); #591477=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-3.499949009163)); #591478=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591479=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-1.99994900735)); #591480=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591481=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-1.99994900735)); #591482=CARTESIAN_POINT('Origin',(4.959999815259,2.550000003281,-1.99994900735)); #591483=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-2.188847948619)); #591484=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #591485=CARTESIAN_POINT('',(5.027673685737,2.550000003281,-2.063458920301)); #591486=CARTESIAN_POINT('Origin',(5.859767989152,2.550000003281,-1.517134377655)); #591487=CARTESIAN_POINT('',(5.753289264407,0.500000000803,-1.587044651477)); #591488=CARTESIAN_POINT('',(5.859767989152,0.500000000803,-1.517134377655)); #591489=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #591490=CARTESIAN_POINT('',(5.753289264407,2.550000003281,-1.587044651477)); #591491=CARTESIAN_POINT('',(5.859767989152,-0.500000000405,-1.517134377655)); #591492=CARTESIAN_POINT('Origin',(5.881029004169,2.550000003281,-1.15232178878)); #591493=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #591494=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #591495=CARTESIAN_POINT('',(5.881029004169,2.550000003281,-1.15232178878)); #591496=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #591497=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #591498=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591499=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #591500=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591501=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #591502=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #591503=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #591504=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591505=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #591506=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #591507=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591508=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #591509=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591510=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #591511=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591512=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #591513=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #591514=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #591515=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #591516=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #591517=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #591518=CARTESIAN_POINT('',(0.,0.,0.)); #591519=CARTESIAN_POINT('',(0.,0.,0.)); #591520=CARTESIAN_POINT('',(0.,0.,0.)); #591521=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #591522=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #591523=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #591524=CARTESIAN_POINT('',(-3.4,3.25,0.7)); #591525=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #591526=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #591527=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #591528=CARTESIAN_POINT('Origin',(3.5125,1.775,0.7625)); #591529=CARTESIAN_POINT('',(3.475,3.475,0.8)); #591530=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #591531=CARTESIAN_POINT('',(3.475,1.775,0.8)); #591532=CARTESIAN_POINT('',(3.55,-3.55,0.725)); #591533=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #591534=CARTESIAN_POINT('',(3.55,3.55,0.725)); #591535=CARTESIAN_POINT('',(3.55,1.775,0.725)); #591536=CARTESIAN_POINT('',(3.55,3.55,0.725)); #591537=CARTESIAN_POINT('Origin',(1.775,-3.5125,0.7625)); #591538=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #591539=CARTESIAN_POINT('',(1.775,-3.55,0.725)); #591540=CARTESIAN_POINT('',(-3.475,-3.475,0.8)); #591541=CARTESIAN_POINT('',(1.775,-3.475,0.8)); #591542=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #591543=CARTESIAN_POINT('Origin',(-1.775,3.5125,0.7625)); #591544=CARTESIAN_POINT('',(-3.475,3.475,0.8)); #591545=CARTESIAN_POINT('',(-1.775,3.475,0.8)); #591546=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #591547=CARTESIAN_POINT('',(-1.775,3.55,0.725)); #591548=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #591549=CARTESIAN_POINT('Origin',(-3.5125,-1.775,0.7625)); #591550=CARTESIAN_POINT('',(-3.475,-1.775,0.8)); #591551=CARTESIAN_POINT('',(-3.55,-1.775,0.725)); #591552=CARTESIAN_POINT('Origin',(-3.55,3.55,0.8)); #591553=CARTESIAN_POINT('',(3.55,3.55,0.05)); #591554=CARTESIAN_POINT('',(3.55,3.55,0.8)); #591555=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #591556=CARTESIAN_POINT('',(3.55,3.55,0.05)); #591557=CARTESIAN_POINT('',(-3.55,3.55,0.8)); #591558=CARTESIAN_POINT('Origin',(3.55,3.55,0.8)); #591559=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #591560=CARTESIAN_POINT('',(3.55,-3.55,0.8)); #591561=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #591562=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #591563=CARTESIAN_POINT('Origin',(-3.55,-3.55,0.8)); #591564=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #591565=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #591566=CARTESIAN_POINT('',(-3.55,-3.55,0.8)); #591567=CARTESIAN_POINT('Origin',(3.55,-3.55,0.8)); #591568=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #591569=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #591570=CARTESIAN_POINT('Origin',(3.15,2.6,0.0499999999999997)); #591571=CARTESIAN_POINT('',(3.3,2.6,0.0499999999999997)); #591572=CARTESIAN_POINT('',(3.3,2.9,0.0499999999999997)); #591573=CARTESIAN_POINT('Origin',(3.3,2.75,0.0500000000000014)); #591574=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #591575=CARTESIAN_POINT('',(3.15,2.6,0.0499999999999997)); #591576=CARTESIAN_POINT('',(3.55,2.9,0.0499999999999997)); #591577=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #591578=CARTESIAN_POINT('',(3.15,2.9,0.0499999999999997)); #591579=CARTESIAN_POINT('Origin',(3.3,2.75,0.0375000000000003)); #591580=CARTESIAN_POINT('',(3.3,2.9,-6.07153216591882E-17)); #591581=CARTESIAN_POINT('',(3.3,2.9,0.0375000000000003)); #591582=CARTESIAN_POINT('',(3.3,2.6,-6.07153216591882E-17)); #591583=CARTESIAN_POINT('Origin',(3.3,2.75,-2.84928330929191E-15)); #591584=CARTESIAN_POINT('',(3.3,2.6,0.0375000000000003)); #591585=CARTESIAN_POINT('Origin',(3.55,2.6,0.15)); #591586=CARTESIAN_POINT('',(3.55,2.6,0.15)); #591587=CARTESIAN_POINT('',(3.551,2.6,0.15)); #591588=CARTESIAN_POINT('',(3.55,2.6,0.15)); #591589=CARTESIAN_POINT('',(3.551,2.9,0.15)); #591590=CARTESIAN_POINT('',(3.551,2.6,0.15)); #591591=CARTESIAN_POINT('',(3.55,2.9,0.15)); #591592=CARTESIAN_POINT('',(3.55,2.9,0.15)); #591593=CARTESIAN_POINT('',(3.55,2.6,0.15)); #591594=CARTESIAN_POINT('Origin',(3.55,2.6,0.0499999999999997)); #591595=CARTESIAN_POINT('',(3.55,2.6,-6.07153216591882E-17)); #591596=CARTESIAN_POINT('',(3.55,2.9,-6.07153216591882E-17)); #591597=CARTESIAN_POINT('Origin',(3.551,2.6,-6.07153216591882E-17)); #591598=CARTESIAN_POINT('',(3.551,2.9,-6.93889390390723E-17)); #591599=CARTESIAN_POINT('',(3.551,2.9,-6.07153216591882E-17)); #591600=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #591601=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #591602=CARTESIAN_POINT('',(3.551,2.6,-6.07153216591882E-17)); #591603=CARTESIAN_POINT('Origin',(3.551,2.6,0.15)); #591604=CARTESIAN_POINT('',(3.551,2.6,0.15)); #591605=CARTESIAN_POINT('',(3.551,2.9,0.15)); #591606=CARTESIAN_POINT('Origin',(3.3505,2.9,0.0749999999999998)); #591607=CARTESIAN_POINT('Origin',(3.3505,2.6,0.0749999999999998)); #591608=CARTESIAN_POINT('Origin',(-3.15,2.6,0.0499999999999997)); #591609=CARTESIAN_POINT('',(-3.3,2.6,0.0499999999999997)); #591610=CARTESIAN_POINT('',(-3.3,2.9,0.0499999999999997)); #591611=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0500000000000014)); #591612=CARTESIAN_POINT('',(-3.55,2.9,0.0499999999999997)); #591613=CARTESIAN_POINT('',(-3.15,2.9,0.0499999999999997)); #591614=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #591615=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #591616=CARTESIAN_POINT('',(-3.15,2.6,0.0499999999999997)); #591617=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0375000000000003)); #591618=CARTESIAN_POINT('',(-3.3,2.6,-6.07153216591882E-17)); #591619=CARTESIAN_POINT('',(-3.3,2.6,0.0375000000000003)); #591620=CARTESIAN_POINT('',(-3.3,2.9,-6.07153216591882E-17)); #591621=CARTESIAN_POINT('Origin',(-3.3,2.75,-2.84928330929191E-15)); #591622=CARTESIAN_POINT('',(-3.3,2.9,0.0375000000000003)); #591623=CARTESIAN_POINT('Origin',(-3.55,2.6,0.15)); #591624=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #591625=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #591626=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #591627=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #591628=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #591629=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #591630=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #591631=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #591632=CARTESIAN_POINT('Origin',(-3.55,2.6,0.0499999999999997)); #591633=CARTESIAN_POINT('',(-3.55,2.6,-6.07153216591882E-17)); #591634=CARTESIAN_POINT('',(-3.55,2.9,-6.07153216591882E-17)); #591635=CARTESIAN_POINT('Origin',(-3.551,2.6,-6.07153216591882E-17)); #591636=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #591637=CARTESIAN_POINT('',(-3.551,2.6,-6.07153216591882E-17)); #591638=CARTESIAN_POINT('',(-3.551,2.9,-6.93889390390723E-17)); #591639=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #591640=CARTESIAN_POINT('',(-3.551,2.9,-6.07153216591882E-17)); #591641=CARTESIAN_POINT('Origin',(-3.551,2.6,0.15)); #591642=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #591643=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #591644=CARTESIAN_POINT('Origin',(-3.3505,2.9,0.0749999999999998)); #591645=CARTESIAN_POINT('Origin',(-3.3505,2.6,0.0749999999999998)); #591646=CARTESIAN_POINT('Origin',(3.15,2.1,0.0499999999999997)); #591647=CARTESIAN_POINT('',(3.3,2.1,0.0499999999999997)); #591648=CARTESIAN_POINT('',(3.3,2.4,0.0499999999999997)); #591649=CARTESIAN_POINT('Origin',(3.3,2.25,0.0500000000000014)); #591650=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #591651=CARTESIAN_POINT('',(3.15,2.1,0.0499999999999997)); #591652=CARTESIAN_POINT('',(3.55,2.4,0.0499999999999997)); #591653=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #591654=CARTESIAN_POINT('',(3.15,2.4,0.0499999999999997)); #591655=CARTESIAN_POINT('Origin',(3.3,2.25,0.0375000000000003)); #591656=CARTESIAN_POINT('',(3.3,2.4,-6.07153216591882E-17)); #591657=CARTESIAN_POINT('',(3.3,2.4,0.0375000000000003)); #591658=CARTESIAN_POINT('',(3.3,2.1,-6.07153216591882E-17)); #591659=CARTESIAN_POINT('Origin',(3.3,2.25,-2.84928330929191E-15)); #591660=CARTESIAN_POINT('',(3.3,2.1,0.0375000000000003)); #591661=CARTESIAN_POINT('Origin',(3.55,2.1,0.15)); #591662=CARTESIAN_POINT('',(3.55,2.1,0.15)); #591663=CARTESIAN_POINT('',(3.551,2.1,0.15)); #591664=CARTESIAN_POINT('',(3.55,2.1,0.15)); #591665=CARTESIAN_POINT('',(3.551,2.4,0.15)); #591666=CARTESIAN_POINT('',(3.551,2.1,0.15)); #591667=CARTESIAN_POINT('',(3.55,2.4,0.15)); #591668=CARTESIAN_POINT('',(3.55,2.4,0.15)); #591669=CARTESIAN_POINT('',(3.55,2.1,0.15)); #591670=CARTESIAN_POINT('Origin',(3.55,2.1,0.0499999999999997)); #591671=CARTESIAN_POINT('',(3.55,2.1,-6.07153216591882E-17)); #591672=CARTESIAN_POINT('',(3.55,2.4,-6.07153216591882E-17)); #591673=CARTESIAN_POINT('Origin',(3.551,2.1,-6.07153216591882E-17)); #591674=CARTESIAN_POINT('',(3.551,2.4,-6.93889390390723E-17)); #591675=CARTESIAN_POINT('',(3.551,2.4,-6.07153216591882E-17)); #591676=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #591677=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #591678=CARTESIAN_POINT('',(3.551,2.1,-6.07153216591882E-17)); #591679=CARTESIAN_POINT('Origin',(3.551,2.1,0.15)); #591680=CARTESIAN_POINT('',(3.551,2.1,0.15)); #591681=CARTESIAN_POINT('',(3.551,2.4,0.15)); #591682=CARTESIAN_POINT('Origin',(3.3505,2.4,0.0749999999999998)); #591683=CARTESIAN_POINT('Origin',(3.3505,2.1,0.0749999999999998)); #591684=CARTESIAN_POINT('Origin',(3.15,1.6,0.0499999999999997)); #591685=CARTESIAN_POINT('',(3.3,1.6,0.0499999999999997)); #591686=CARTESIAN_POINT('',(3.3,1.9,0.0499999999999997)); #591687=CARTESIAN_POINT('Origin',(3.3,1.75,0.0500000000000014)); #591688=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #591689=CARTESIAN_POINT('',(3.15,1.6,0.0499999999999997)); #591690=CARTESIAN_POINT('',(3.55,1.9,0.0499999999999997)); #591691=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #591692=CARTESIAN_POINT('',(3.15,1.9,0.0499999999999997)); #591693=CARTESIAN_POINT('Origin',(3.3,1.75,0.0375000000000003)); #591694=CARTESIAN_POINT('',(3.3,1.9,-6.07153216591882E-17)); #591695=CARTESIAN_POINT('',(3.3,1.9,0.0375000000000003)); #591696=CARTESIAN_POINT('',(3.3,1.6,-6.07153216591882E-17)); #591697=CARTESIAN_POINT('Origin',(3.3,1.75,-2.84928330929191E-15)); #591698=CARTESIAN_POINT('',(3.3,1.6,0.0375000000000003)); #591699=CARTESIAN_POINT('Origin',(3.55,1.6,0.15)); #591700=CARTESIAN_POINT('',(3.55,1.6,0.15)); #591701=CARTESIAN_POINT('',(3.551,1.6,0.15)); #591702=CARTESIAN_POINT('',(3.55,1.6,0.15)); #591703=CARTESIAN_POINT('',(3.551,1.9,0.15)); #591704=CARTESIAN_POINT('',(3.551,1.6,0.15)); #591705=CARTESIAN_POINT('',(3.55,1.9,0.15)); #591706=CARTESIAN_POINT('',(3.55,1.9,0.15)); #591707=CARTESIAN_POINT('',(3.55,1.6,0.15)); #591708=CARTESIAN_POINT('Origin',(3.55,1.6,0.0499999999999997)); #591709=CARTESIAN_POINT('',(3.55,1.6,-6.07153216591882E-17)); #591710=CARTESIAN_POINT('',(3.55,1.9,-6.07153216591882E-17)); #591711=CARTESIAN_POINT('Origin',(3.551,1.6,-6.07153216591882E-17)); #591712=CARTESIAN_POINT('',(3.551,1.9,-6.93889390390723E-17)); #591713=CARTESIAN_POINT('',(3.551,1.9,-6.07153216591882E-17)); #591714=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #591715=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #591716=CARTESIAN_POINT('',(3.551,1.6,-6.07153216591882E-17)); #591717=CARTESIAN_POINT('Origin',(3.551,1.6,0.15)); #591718=CARTESIAN_POINT('',(3.551,1.6,0.15)); #591719=CARTESIAN_POINT('',(3.551,1.9,0.15)); #591720=CARTESIAN_POINT('Origin',(3.3505,1.9,0.0749999999999998)); #591721=CARTESIAN_POINT('Origin',(3.3505,1.6,0.0749999999999998)); #591722=CARTESIAN_POINT('Origin',(3.15,1.1,0.0499999999999997)); #591723=CARTESIAN_POINT('',(3.3,1.1,0.0499999999999997)); #591724=CARTESIAN_POINT('',(3.3,1.4,0.0499999999999997)); #591725=CARTESIAN_POINT('Origin',(3.3,1.25,0.0500000000000014)); #591726=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #591727=CARTESIAN_POINT('',(3.15,1.1,0.0499999999999997)); #591728=CARTESIAN_POINT('',(3.55,1.4,0.0499999999999997)); #591729=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #591730=CARTESIAN_POINT('',(3.15,1.4,0.0499999999999997)); #591731=CARTESIAN_POINT('Origin',(3.3,1.25,0.0375000000000003)); #591732=CARTESIAN_POINT('',(3.3,1.4,-6.07153216591882E-17)); #591733=CARTESIAN_POINT('',(3.3,1.4,0.0375000000000003)); #591734=CARTESIAN_POINT('',(3.3,1.1,-6.07153216591882E-17)); #591735=CARTESIAN_POINT('Origin',(3.3,1.25,-2.84928330929191E-15)); #591736=CARTESIAN_POINT('',(3.3,1.1,0.0375000000000003)); #591737=CARTESIAN_POINT('Origin',(3.55,1.1,0.15)); #591738=CARTESIAN_POINT('',(3.55,1.1,0.15)); #591739=CARTESIAN_POINT('',(3.551,1.1,0.15)); #591740=CARTESIAN_POINT('',(3.55,1.1,0.15)); #591741=CARTESIAN_POINT('',(3.551,1.4,0.15)); #591742=CARTESIAN_POINT('',(3.551,1.1,0.15)); #591743=CARTESIAN_POINT('',(3.55,1.4,0.15)); #591744=CARTESIAN_POINT('',(3.55,1.4,0.15)); #591745=CARTESIAN_POINT('',(3.55,1.1,0.15)); #591746=CARTESIAN_POINT('Origin',(3.55,1.1,0.0499999999999997)); #591747=CARTESIAN_POINT('',(3.55,1.1,-6.07153216591882E-17)); #591748=CARTESIAN_POINT('',(3.55,1.4,-6.07153216591882E-17)); #591749=CARTESIAN_POINT('Origin',(3.551,1.1,-6.07153216591882E-17)); #591750=CARTESIAN_POINT('',(3.551,1.4,-6.93889390390723E-17)); #591751=CARTESIAN_POINT('',(3.551,1.4,-6.07153216591882E-17)); #591752=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #591753=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #591754=CARTESIAN_POINT('',(3.551,1.1,-6.07153216591882E-17)); #591755=CARTESIAN_POINT('Origin',(3.551,1.1,0.15)); #591756=CARTESIAN_POINT('',(3.551,1.1,0.15)); #591757=CARTESIAN_POINT('',(3.551,1.4,0.15)); #591758=CARTESIAN_POINT('Origin',(3.3505,1.4,0.0749999999999998)); #591759=CARTESIAN_POINT('Origin',(3.3505,1.1,0.0749999999999998)); #591760=CARTESIAN_POINT('Origin',(3.15,0.6,0.0499999999999997)); #591761=CARTESIAN_POINT('',(3.3,0.6,0.0499999999999997)); #591762=CARTESIAN_POINT('',(3.3,0.9,0.0499999999999997)); #591763=CARTESIAN_POINT('Origin',(3.3,0.75,0.0500000000000014)); #591764=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #591765=CARTESIAN_POINT('',(3.15,0.6,0.0499999999999997)); #591766=CARTESIAN_POINT('',(3.55,0.9,0.0499999999999997)); #591767=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #591768=CARTESIAN_POINT('',(3.15,0.9,0.0499999999999997)); #591769=CARTESIAN_POINT('Origin',(3.3,0.75,0.0375000000000003)); #591770=CARTESIAN_POINT('',(3.3,0.9,-6.07153216591882E-17)); #591771=CARTESIAN_POINT('',(3.3,0.9,0.0375000000000003)); #591772=CARTESIAN_POINT('',(3.3,0.6,-6.07153216591882E-17)); #591773=CARTESIAN_POINT('Origin',(3.3,0.75,-2.84928330929191E-15)); #591774=CARTESIAN_POINT('',(3.3,0.6,0.0375000000000003)); #591775=CARTESIAN_POINT('Origin',(3.55,0.6,0.15)); #591776=CARTESIAN_POINT('',(3.55,0.6,0.15)); #591777=CARTESIAN_POINT('',(3.551,0.6,0.15)); #591778=CARTESIAN_POINT('',(3.55,0.6,0.15)); #591779=CARTESIAN_POINT('',(3.551,0.9,0.15)); #591780=CARTESIAN_POINT('',(3.551,0.6,0.15)); #591781=CARTESIAN_POINT('',(3.55,0.9,0.15)); #591782=CARTESIAN_POINT('',(3.55,0.9,0.15)); #591783=CARTESIAN_POINT('',(3.55,0.6,0.15)); #591784=CARTESIAN_POINT('Origin',(3.55,0.6,0.0499999999999997)); #591785=CARTESIAN_POINT('',(3.55,0.6,-6.07153216591882E-17)); #591786=CARTESIAN_POINT('',(3.55,0.9,-6.07153216591882E-17)); #591787=CARTESIAN_POINT('Origin',(3.551,0.6,-6.07153216591882E-17)); #591788=CARTESIAN_POINT('',(3.551,0.9,-6.93889390390723E-17)); #591789=CARTESIAN_POINT('',(3.551,0.9,-6.07153216591882E-17)); #591790=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #591791=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #591792=CARTESIAN_POINT('',(3.551,0.6,-6.07153216591882E-17)); #591793=CARTESIAN_POINT('Origin',(3.551,0.6,0.15)); #591794=CARTESIAN_POINT('',(3.551,0.6,0.15)); #591795=CARTESIAN_POINT('',(3.551,0.9,0.15)); #591796=CARTESIAN_POINT('Origin',(3.3505,0.9,0.0749999999999998)); #591797=CARTESIAN_POINT('Origin',(3.3505,0.6,0.0749999999999998)); #591798=CARTESIAN_POINT('Origin',(3.15,0.1,0.0499999999999997)); #591799=CARTESIAN_POINT('',(3.3,0.1,0.0499999999999997)); #591800=CARTESIAN_POINT('',(3.3,0.4,0.0499999999999997)); #591801=CARTESIAN_POINT('Origin',(3.3,0.25,0.0500000000000014)); #591802=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #591803=CARTESIAN_POINT('',(3.15,0.1,0.0499999999999997)); #591804=CARTESIAN_POINT('',(3.55,0.4,0.0499999999999997)); #591805=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #591806=CARTESIAN_POINT('',(3.15,0.4,0.0499999999999997)); #591807=CARTESIAN_POINT('Origin',(3.3,0.25,0.0375000000000003)); #591808=CARTESIAN_POINT('',(3.3,0.4,-6.07153216591882E-17)); #591809=CARTESIAN_POINT('',(3.3,0.4,0.0375000000000003)); #591810=CARTESIAN_POINT('',(3.3,0.1,-6.07153216591882E-17)); #591811=CARTESIAN_POINT('Origin',(3.3,0.25,-2.84928330929191E-15)); #591812=CARTESIAN_POINT('',(3.3,0.1,0.0375000000000003)); #591813=CARTESIAN_POINT('Origin',(3.55,0.1,0.15)); #591814=CARTESIAN_POINT('',(3.55,0.1,0.15)); #591815=CARTESIAN_POINT('',(3.551,0.1,0.15)); #591816=CARTESIAN_POINT('',(3.55,0.1,0.15)); #591817=CARTESIAN_POINT('',(3.551,0.4,0.15)); #591818=CARTESIAN_POINT('',(3.551,0.1,0.15)); #591819=CARTESIAN_POINT('',(3.55,0.4,0.15)); #591820=CARTESIAN_POINT('',(3.55,0.4,0.15)); #591821=CARTESIAN_POINT('',(3.55,0.1,0.15)); #591822=CARTESIAN_POINT('Origin',(3.55,0.1,0.0499999999999997)); #591823=CARTESIAN_POINT('',(3.55,0.1,-6.07153216591882E-17)); #591824=CARTESIAN_POINT('',(3.55,0.4,-6.07153216591882E-17)); #591825=CARTESIAN_POINT('Origin',(3.551,0.1,-6.07153216591882E-17)); #591826=CARTESIAN_POINT('',(3.551,0.4,-6.93889390390723E-17)); #591827=CARTESIAN_POINT('',(3.551,0.4,-6.07153216591882E-17)); #591828=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #591829=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #591830=CARTESIAN_POINT('',(3.551,0.1,-6.07153216591882E-17)); #591831=CARTESIAN_POINT('Origin',(3.551,0.1,0.15)); #591832=CARTESIAN_POINT('',(3.551,0.1,0.15)); #591833=CARTESIAN_POINT('',(3.551,0.4,0.15)); #591834=CARTESIAN_POINT('Origin',(3.3505,0.4,0.0749999999999998)); #591835=CARTESIAN_POINT('Origin',(3.3505,0.1,0.0749999999999998)); #591836=CARTESIAN_POINT('Origin',(3.15,-0.4,0.0499999999999997)); #591837=CARTESIAN_POINT('',(3.3,-0.4,0.0499999999999997)); #591838=CARTESIAN_POINT('',(3.3,-0.1,0.0499999999999997)); #591839=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0500000000000014)); #591840=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #591841=CARTESIAN_POINT('',(3.15,-0.4,0.0499999999999997)); #591842=CARTESIAN_POINT('',(3.55,-0.1,0.0499999999999997)); #591843=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #591844=CARTESIAN_POINT('',(3.15,-0.1,0.0499999999999997)); #591845=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0375000000000003)); #591846=CARTESIAN_POINT('',(3.3,-0.1,-6.07153216591882E-17)); #591847=CARTESIAN_POINT('',(3.3,-0.1,0.0375000000000003)); #591848=CARTESIAN_POINT('',(3.3,-0.4,-6.07153216591882E-17)); #591849=CARTESIAN_POINT('Origin',(3.3,-0.25,-2.84928330929191E-15)); #591850=CARTESIAN_POINT('',(3.3,-0.4,0.0375000000000003)); #591851=CARTESIAN_POINT('Origin',(3.55,-0.4,0.15)); #591852=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #591853=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #591854=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #591855=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #591856=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #591857=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #591858=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #591859=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #591860=CARTESIAN_POINT('Origin',(3.55,-0.4,0.0499999999999997)); #591861=CARTESIAN_POINT('',(3.55,-0.4,-6.07153216591882E-17)); #591862=CARTESIAN_POINT('',(3.55,-0.1,-6.07153216591882E-17)); #591863=CARTESIAN_POINT('Origin',(3.551,-0.4,-6.07153216591882E-17)); #591864=CARTESIAN_POINT('',(3.551,-0.1,-6.93889390390723E-17)); #591865=CARTESIAN_POINT('',(3.551,-0.1,-6.07153216591882E-17)); #591866=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #591867=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #591868=CARTESIAN_POINT('',(3.551,-0.4,-6.07153216591882E-17)); #591869=CARTESIAN_POINT('Origin',(3.551,-0.4,0.15)); #591870=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #591871=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #591872=CARTESIAN_POINT('Origin',(3.3505,-0.1,0.0749999999999998)); #591873=CARTESIAN_POINT('Origin',(3.3505,-0.4,0.0749999999999998)); #591874=CARTESIAN_POINT('Origin',(3.15,-0.9,0.0499999999999997)); #591875=CARTESIAN_POINT('',(3.3,-0.9,0.0499999999999997)); #591876=CARTESIAN_POINT('',(3.3,-0.6,0.0499999999999997)); #591877=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0500000000000014)); #591878=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #591879=CARTESIAN_POINT('',(3.15,-0.9,0.0499999999999997)); #591880=CARTESIAN_POINT('',(3.55,-0.6,0.0499999999999997)); #591881=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #591882=CARTESIAN_POINT('',(3.15,-0.6,0.0499999999999997)); #591883=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0375000000000003)); #591884=CARTESIAN_POINT('',(3.3,-0.6,-6.07153216591882E-17)); #591885=CARTESIAN_POINT('',(3.3,-0.6,0.0375000000000003)); #591886=CARTESIAN_POINT('',(3.3,-0.9,-6.07153216591882E-17)); #591887=CARTESIAN_POINT('Origin',(3.3,-0.75,-2.84928330929191E-15)); #591888=CARTESIAN_POINT('',(3.3,-0.9,0.0375000000000003)); #591889=CARTESIAN_POINT('Origin',(3.55,-0.9,0.15)); #591890=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #591891=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #591892=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #591893=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #591894=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #591895=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #591896=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #591897=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #591898=CARTESIAN_POINT('Origin',(3.55,-0.9,0.0499999999999997)); #591899=CARTESIAN_POINT('',(3.55,-0.9,-6.07153216591882E-17)); #591900=CARTESIAN_POINT('',(3.55,-0.6,-6.07153216591882E-17)); #591901=CARTESIAN_POINT('Origin',(3.551,-0.9,-6.07153216591882E-17)); #591902=CARTESIAN_POINT('',(3.551,-0.6,-6.93889390390723E-17)); #591903=CARTESIAN_POINT('',(3.551,-0.6,-6.07153216591882E-17)); #591904=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #591905=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #591906=CARTESIAN_POINT('',(3.551,-0.9,-6.07153216591882E-17)); #591907=CARTESIAN_POINT('Origin',(3.551,-0.9,0.15)); #591908=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #591909=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #591910=CARTESIAN_POINT('Origin',(3.3505,-0.6,0.0749999999999998)); #591911=CARTESIAN_POINT('Origin',(3.3505,-0.9,0.0749999999999998)); #591912=CARTESIAN_POINT('Origin',(3.15,-1.4,0.0499999999999997)); #591913=CARTESIAN_POINT('',(3.3,-1.4,0.0499999999999997)); #591914=CARTESIAN_POINT('',(3.3,-1.1,0.0499999999999997)); #591915=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0500000000000014)); #591916=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #591917=CARTESIAN_POINT('',(3.15,-1.4,0.0499999999999997)); #591918=CARTESIAN_POINT('',(3.55,-1.1,0.0499999999999997)); #591919=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #591920=CARTESIAN_POINT('',(3.15,-1.1,0.0499999999999997)); #591921=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0375000000000003)); #591922=CARTESIAN_POINT('',(3.3,-1.1,-6.07153216591882E-17)); #591923=CARTESIAN_POINT('',(3.3,-1.1,0.0375000000000003)); #591924=CARTESIAN_POINT('',(3.3,-1.4,-6.07153216591882E-17)); #591925=CARTESIAN_POINT('Origin',(3.3,-1.25,-2.84928330929191E-15)); #591926=CARTESIAN_POINT('',(3.3,-1.4,0.0375000000000003)); #591927=CARTESIAN_POINT('Origin',(3.55,-1.4,0.15)); #591928=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #591929=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #591930=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #591931=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #591932=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #591933=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #591934=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #591935=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #591936=CARTESIAN_POINT('Origin',(3.55,-1.4,0.0499999999999997)); #591937=CARTESIAN_POINT('',(3.55,-1.4,-6.07153216591882E-17)); #591938=CARTESIAN_POINT('',(3.55,-1.1,-6.07153216591882E-17)); #591939=CARTESIAN_POINT('Origin',(3.551,-1.4,-6.07153216591882E-17)); #591940=CARTESIAN_POINT('',(3.551,-1.1,-6.93889390390723E-17)); #591941=CARTESIAN_POINT('',(3.551,-1.1,-6.07153216591882E-17)); #591942=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #591943=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #591944=CARTESIAN_POINT('',(3.551,-1.4,-6.07153216591882E-17)); #591945=CARTESIAN_POINT('Origin',(3.551,-1.4,0.15)); #591946=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #591947=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #591948=CARTESIAN_POINT('Origin',(3.3505,-1.1,0.0749999999999998)); #591949=CARTESIAN_POINT('Origin',(3.3505,-1.4,0.0749999999999998)); #591950=CARTESIAN_POINT('Origin',(3.15,-1.9,0.0499999999999997)); #591951=CARTESIAN_POINT('',(3.3,-1.9,0.0499999999999997)); #591952=CARTESIAN_POINT('',(3.3,-1.6,0.0499999999999997)); #591953=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0500000000000014)); #591954=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #591955=CARTESIAN_POINT('',(3.15,-1.9,0.0499999999999997)); #591956=CARTESIAN_POINT('',(3.55,-1.6,0.0499999999999997)); #591957=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #591958=CARTESIAN_POINT('',(3.15,-1.6,0.0499999999999997)); #591959=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0375000000000003)); #591960=CARTESIAN_POINT('',(3.3,-1.6,-6.07153216591882E-17)); #591961=CARTESIAN_POINT('',(3.3,-1.6,0.0375000000000003)); #591962=CARTESIAN_POINT('',(3.3,-1.9,-6.07153216591882E-17)); #591963=CARTESIAN_POINT('Origin',(3.3,-1.75,-2.84928330929191E-15)); #591964=CARTESIAN_POINT('',(3.3,-1.9,0.0375000000000003)); #591965=CARTESIAN_POINT('Origin',(3.55,-1.9,0.15)); #591966=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #591967=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #591968=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #591969=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #591970=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #591971=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #591972=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #591973=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #591974=CARTESIAN_POINT('Origin',(3.55,-1.9,0.0499999999999997)); #591975=CARTESIAN_POINT('',(3.55,-1.9,-6.07153216591882E-17)); #591976=CARTESIAN_POINT('',(3.55,-1.6,-6.07153216591882E-17)); #591977=CARTESIAN_POINT('Origin',(3.551,-1.9,-6.07153216591882E-17)); #591978=CARTESIAN_POINT('',(3.551,-1.6,-6.93889390390723E-17)); #591979=CARTESIAN_POINT('',(3.551,-1.6,-6.07153216591882E-17)); #591980=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #591981=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #591982=CARTESIAN_POINT('',(3.551,-1.9,-6.07153216591882E-17)); #591983=CARTESIAN_POINT('Origin',(3.551,-1.9,0.15)); #591984=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #591985=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #591986=CARTESIAN_POINT('Origin',(3.3505,-1.6,0.0749999999999998)); #591987=CARTESIAN_POINT('Origin',(3.3505,-1.9,0.0749999999999998)); #591988=CARTESIAN_POINT('Origin',(3.15,-2.4,0.0499999999999997)); #591989=CARTESIAN_POINT('',(3.3,-2.4,0.0499999999999997)); #591990=CARTESIAN_POINT('',(3.3,-2.1,0.0499999999999997)); #591991=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0500000000000014)); #591992=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #591993=CARTESIAN_POINT('',(3.15,-2.4,0.0499999999999997)); #591994=CARTESIAN_POINT('',(3.55,-2.1,0.0499999999999997)); #591995=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #591996=CARTESIAN_POINT('',(3.15,-2.1,0.0499999999999997)); #591997=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0375000000000003)); #591998=CARTESIAN_POINT('',(3.3,-2.1,-6.07153216591882E-17)); #591999=CARTESIAN_POINT('',(3.3,-2.1,0.0375000000000003)); #592000=CARTESIAN_POINT('',(3.3,-2.4,-6.07153216591882E-17)); #592001=CARTESIAN_POINT('Origin',(3.3,-2.25,-2.84928330929191E-15)); #592002=CARTESIAN_POINT('',(3.3,-2.4,0.0375000000000003)); #592003=CARTESIAN_POINT('Origin',(3.55,-2.4,0.15)); #592004=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #592005=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #592006=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #592007=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #592008=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #592009=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #592010=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #592011=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #592012=CARTESIAN_POINT('Origin',(3.55,-2.4,0.0499999999999997)); #592013=CARTESIAN_POINT('',(3.55,-2.4,-6.07153216591882E-17)); #592014=CARTESIAN_POINT('',(3.55,-2.1,-6.07153216591882E-17)); #592015=CARTESIAN_POINT('Origin',(3.551,-2.4,-6.07153216591882E-17)); #592016=CARTESIAN_POINT('',(3.551,-2.1,-6.93889390390723E-17)); #592017=CARTESIAN_POINT('',(3.551,-2.1,-6.07153216591882E-17)); #592018=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #592019=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #592020=CARTESIAN_POINT('',(3.551,-2.4,-6.07153216591882E-17)); #592021=CARTESIAN_POINT('Origin',(3.551,-2.4,0.15)); #592022=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #592023=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #592024=CARTESIAN_POINT('Origin',(3.3505,-2.1,0.0749999999999998)); #592025=CARTESIAN_POINT('Origin',(3.3505,-2.4,0.0749999999999998)); #592026=CARTESIAN_POINT('Origin',(3.15,-2.9,0.0499999999999997)); #592027=CARTESIAN_POINT('',(3.3,-2.9,0.0499999999999997)); #592028=CARTESIAN_POINT('',(3.3,-2.6,0.0499999999999997)); #592029=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0500000000000014)); #592030=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #592031=CARTESIAN_POINT('',(3.15,-2.9,0.0499999999999997)); #592032=CARTESIAN_POINT('',(3.55,-2.6,0.0499999999999997)); #592033=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #592034=CARTESIAN_POINT('',(3.15,-2.6,0.0499999999999997)); #592035=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0375000000000003)); #592036=CARTESIAN_POINT('',(3.3,-2.6,-6.07153216591882E-17)); #592037=CARTESIAN_POINT('',(3.3,-2.6,0.0375000000000003)); #592038=CARTESIAN_POINT('',(3.3,-2.9,-6.07153216591882E-17)); #592039=CARTESIAN_POINT('Origin',(3.3,-2.75,-2.84928330929191E-15)); #592040=CARTESIAN_POINT('',(3.3,-2.9,0.0375000000000003)); #592041=CARTESIAN_POINT('Origin',(3.55,-2.9,0.15)); #592042=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #592043=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #592044=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #592045=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #592046=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #592047=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #592048=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #592049=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #592050=CARTESIAN_POINT('Origin',(3.55,-2.9,0.0499999999999997)); #592051=CARTESIAN_POINT('',(3.55,-2.9,-6.07153216591882E-17)); #592052=CARTESIAN_POINT('',(3.55,-2.6,-6.07153216591882E-17)); #592053=CARTESIAN_POINT('Origin',(3.551,-2.9,-6.07153216591882E-17)); #592054=CARTESIAN_POINT('',(3.551,-2.6,-6.93889390390723E-17)); #592055=CARTESIAN_POINT('',(3.551,-2.6,-6.07153216591882E-17)); #592056=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #592057=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #592058=CARTESIAN_POINT('',(3.551,-2.9,-6.07153216591882E-17)); #592059=CARTESIAN_POINT('Origin',(3.551,-2.9,0.15)); #592060=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #592061=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #592062=CARTESIAN_POINT('Origin',(3.3505,-2.6,0.0749999999999998)); #592063=CARTESIAN_POINT('Origin',(3.3505,-2.9,0.0749999999999998)); #592064=CARTESIAN_POINT('Origin',(-3.15,2.1,0.0499999999999997)); #592065=CARTESIAN_POINT('',(-3.3,2.1,0.0499999999999997)); #592066=CARTESIAN_POINT('',(-3.3,2.4,0.0499999999999997)); #592067=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0500000000000014)); #592068=CARTESIAN_POINT('',(-3.55,2.4,0.0499999999999997)); #592069=CARTESIAN_POINT('',(-3.15,2.4,0.0499999999999997)); #592070=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #592071=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #592072=CARTESIAN_POINT('',(-3.15,2.1,0.0499999999999997)); #592073=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0375000000000003)); #592074=CARTESIAN_POINT('',(-3.3,2.1,-6.07153216591882E-17)); #592075=CARTESIAN_POINT('',(-3.3,2.1,0.0375000000000003)); #592076=CARTESIAN_POINT('',(-3.3,2.4,-6.07153216591882E-17)); #592077=CARTESIAN_POINT('Origin',(-3.3,2.25,-2.84928330929191E-15)); #592078=CARTESIAN_POINT('',(-3.3,2.4,0.0375000000000003)); #592079=CARTESIAN_POINT('Origin',(-3.55,2.1,0.15)); #592080=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #592081=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #592082=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #592083=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #592084=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #592085=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #592086=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #592087=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #592088=CARTESIAN_POINT('Origin',(-3.55,2.1,0.0499999999999997)); #592089=CARTESIAN_POINT('',(-3.55,2.1,-6.07153216591882E-17)); #592090=CARTESIAN_POINT('',(-3.55,2.4,-6.07153216591882E-17)); #592091=CARTESIAN_POINT('Origin',(-3.551,2.1,-6.07153216591882E-17)); #592092=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #592093=CARTESIAN_POINT('',(-3.551,2.1,-6.07153216591882E-17)); #592094=CARTESIAN_POINT('',(-3.551,2.4,-6.93889390390723E-17)); #592095=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #592096=CARTESIAN_POINT('',(-3.551,2.4,-6.07153216591882E-17)); #592097=CARTESIAN_POINT('Origin',(-3.551,2.1,0.15)); #592098=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #592099=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #592100=CARTESIAN_POINT('Origin',(-3.3505,2.4,0.0749999999999998)); #592101=CARTESIAN_POINT('Origin',(-3.3505,2.1,0.0749999999999998)); #592102=CARTESIAN_POINT('Origin',(-3.15,1.6,0.0499999999999997)); #592103=CARTESIAN_POINT('',(-3.3,1.6,0.0499999999999997)); #592104=CARTESIAN_POINT('',(-3.3,1.9,0.0499999999999997)); #592105=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0500000000000014)); #592106=CARTESIAN_POINT('',(-3.55,1.9,0.0499999999999997)); #592107=CARTESIAN_POINT('',(-3.15,1.9,0.0499999999999997)); #592108=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #592109=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #592110=CARTESIAN_POINT('',(-3.15,1.6,0.0499999999999997)); #592111=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0375000000000003)); #592112=CARTESIAN_POINT('',(-3.3,1.6,-6.07153216591882E-17)); #592113=CARTESIAN_POINT('',(-3.3,1.6,0.0375000000000003)); #592114=CARTESIAN_POINT('',(-3.3,1.9,-6.07153216591882E-17)); #592115=CARTESIAN_POINT('Origin',(-3.3,1.75,-2.84928330929191E-15)); #592116=CARTESIAN_POINT('',(-3.3,1.9,0.0375000000000003)); #592117=CARTESIAN_POINT('Origin',(-3.55,1.6,0.15)); #592118=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #592119=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #592120=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #592121=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #592122=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #592123=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #592124=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #592125=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #592126=CARTESIAN_POINT('Origin',(-3.55,1.6,0.0499999999999997)); #592127=CARTESIAN_POINT('',(-3.55,1.6,-6.07153216591882E-17)); #592128=CARTESIAN_POINT('',(-3.55,1.9,-6.07153216591882E-17)); #592129=CARTESIAN_POINT('Origin',(-3.551,1.6,-6.07153216591882E-17)); #592130=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #592131=CARTESIAN_POINT('',(-3.551,1.6,-6.07153216591882E-17)); #592132=CARTESIAN_POINT('',(-3.551,1.9,-6.93889390390723E-17)); #592133=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #592134=CARTESIAN_POINT('',(-3.551,1.9,-6.07153216591882E-17)); #592135=CARTESIAN_POINT('Origin',(-3.551,1.6,0.15)); #592136=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #592137=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #592138=CARTESIAN_POINT('Origin',(-3.3505,1.9,0.0749999999999998)); #592139=CARTESIAN_POINT('Origin',(-3.3505,1.6,0.0749999999999998)); #592140=CARTESIAN_POINT('Origin',(-3.15,1.1,0.0499999999999997)); #592141=CARTESIAN_POINT('',(-3.3,1.1,0.0499999999999997)); #592142=CARTESIAN_POINT('',(-3.3,1.4,0.0499999999999997)); #592143=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0500000000000014)); #592144=CARTESIAN_POINT('',(-3.55,1.4,0.0499999999999997)); #592145=CARTESIAN_POINT('',(-3.15,1.4,0.0499999999999997)); #592146=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #592147=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #592148=CARTESIAN_POINT('',(-3.15,1.1,0.0499999999999997)); #592149=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0375000000000003)); #592150=CARTESIAN_POINT('',(-3.3,1.1,-6.07153216591882E-17)); #592151=CARTESIAN_POINT('',(-3.3,1.1,0.0375000000000003)); #592152=CARTESIAN_POINT('',(-3.3,1.4,-6.07153216591882E-17)); #592153=CARTESIAN_POINT('Origin',(-3.3,1.25,-2.84928330929191E-15)); #592154=CARTESIAN_POINT('',(-3.3,1.4,0.0375000000000003)); #592155=CARTESIAN_POINT('Origin',(-3.55,1.1,0.15)); #592156=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #592157=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #592158=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #592159=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #592160=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #592161=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #592162=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #592163=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #592164=CARTESIAN_POINT('Origin',(-3.55,1.1,0.0499999999999997)); #592165=CARTESIAN_POINT('',(-3.55,1.1,-6.07153216591882E-17)); #592166=CARTESIAN_POINT('',(-3.55,1.4,-6.07153216591882E-17)); #592167=CARTESIAN_POINT('Origin',(-3.551,1.1,-6.07153216591882E-17)); #592168=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #592169=CARTESIAN_POINT('',(-3.551,1.1,-6.07153216591882E-17)); #592170=CARTESIAN_POINT('',(-3.551,1.4,-6.93889390390723E-17)); #592171=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #592172=CARTESIAN_POINT('',(-3.551,1.4,-6.07153216591882E-17)); #592173=CARTESIAN_POINT('Origin',(-3.551,1.1,0.15)); #592174=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #592175=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #592176=CARTESIAN_POINT('Origin',(-3.3505,1.4,0.0749999999999998)); #592177=CARTESIAN_POINT('Origin',(-3.3505,1.1,0.0749999999999998)); #592178=CARTESIAN_POINT('Origin',(-3.15,0.6,0.0499999999999997)); #592179=CARTESIAN_POINT('',(-3.3,0.6,0.0499999999999997)); #592180=CARTESIAN_POINT('',(-3.3,0.9,0.0499999999999997)); #592181=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0500000000000014)); #592182=CARTESIAN_POINT('',(-3.55,0.9,0.0499999999999997)); #592183=CARTESIAN_POINT('',(-3.15,0.9,0.0499999999999997)); #592184=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #592185=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #592186=CARTESIAN_POINT('',(-3.15,0.6,0.0499999999999997)); #592187=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0375000000000003)); #592188=CARTESIAN_POINT('',(-3.3,0.6,-6.07153216591882E-17)); #592189=CARTESIAN_POINT('',(-3.3,0.6,0.0375000000000003)); #592190=CARTESIAN_POINT('',(-3.3,0.9,-6.07153216591882E-17)); #592191=CARTESIAN_POINT('Origin',(-3.3,0.75,-2.84928330929191E-15)); #592192=CARTESIAN_POINT('',(-3.3,0.9,0.0375000000000003)); #592193=CARTESIAN_POINT('Origin',(-3.55,0.6,0.15)); #592194=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #592195=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #592196=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #592197=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #592198=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #592199=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #592200=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #592201=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #592202=CARTESIAN_POINT('Origin',(-3.55,0.6,0.0499999999999997)); #592203=CARTESIAN_POINT('',(-3.55,0.6,-6.07153216591882E-17)); #592204=CARTESIAN_POINT('',(-3.55,0.9,-6.07153216591882E-17)); #592205=CARTESIAN_POINT('Origin',(-3.551,0.6,-6.07153216591882E-17)); #592206=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #592207=CARTESIAN_POINT('',(-3.551,0.6,-6.07153216591882E-17)); #592208=CARTESIAN_POINT('',(-3.551,0.9,-6.93889390390723E-17)); #592209=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #592210=CARTESIAN_POINT('',(-3.551,0.9,-6.07153216591882E-17)); #592211=CARTESIAN_POINT('Origin',(-3.551,0.6,0.15)); #592212=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #592213=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #592214=CARTESIAN_POINT('Origin',(-3.3505,0.9,0.0749999999999998)); #592215=CARTESIAN_POINT('Origin',(-3.3505,0.6,0.0749999999999998)); #592216=CARTESIAN_POINT('Origin',(-3.15,0.1,0.0499999999999997)); #592217=CARTESIAN_POINT('',(-3.3,0.1,0.0499999999999997)); #592218=CARTESIAN_POINT('',(-3.3,0.4,0.0499999999999997)); #592219=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0500000000000014)); #592220=CARTESIAN_POINT('',(-3.55,0.4,0.0499999999999997)); #592221=CARTESIAN_POINT('',(-3.15,0.4,0.0499999999999997)); #592222=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #592223=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #592224=CARTESIAN_POINT('',(-3.15,0.1,0.0499999999999997)); #592225=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0375000000000003)); #592226=CARTESIAN_POINT('',(-3.3,0.1,-6.07153216591882E-17)); #592227=CARTESIAN_POINT('',(-3.3,0.1,0.0375000000000003)); #592228=CARTESIAN_POINT('',(-3.3,0.4,-6.07153216591882E-17)); #592229=CARTESIAN_POINT('Origin',(-3.3,0.25,-2.84928330929191E-15)); #592230=CARTESIAN_POINT('',(-3.3,0.4,0.0375000000000003)); #592231=CARTESIAN_POINT('Origin',(-3.55,0.1,0.15)); #592232=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #592233=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #592234=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #592235=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #592236=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #592237=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #592238=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #592239=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #592240=CARTESIAN_POINT('Origin',(-3.55,0.1,0.0499999999999997)); #592241=CARTESIAN_POINT('',(-3.55,0.1,-6.07153216591882E-17)); #592242=CARTESIAN_POINT('',(-3.55,0.4,-6.07153216591882E-17)); #592243=CARTESIAN_POINT('Origin',(-3.551,0.1,-6.07153216591882E-17)); #592244=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #592245=CARTESIAN_POINT('',(-3.551,0.1,-6.07153216591882E-17)); #592246=CARTESIAN_POINT('',(-3.551,0.4,-6.93889390390723E-17)); #592247=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #592248=CARTESIAN_POINT('',(-3.551,0.4,-6.07153216591882E-17)); #592249=CARTESIAN_POINT('Origin',(-3.551,0.1,0.15)); #592250=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #592251=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #592252=CARTESIAN_POINT('Origin',(-3.3505,0.4,0.0749999999999998)); #592253=CARTESIAN_POINT('Origin',(-3.3505,0.1,0.0749999999999998)); #592254=CARTESIAN_POINT('Origin',(-3.15,-0.4,0.0499999999999997)); #592255=CARTESIAN_POINT('',(-3.3,-0.4,0.0499999999999997)); #592256=CARTESIAN_POINT('',(-3.3,-0.1,0.0499999999999997)); #592257=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0500000000000014)); #592258=CARTESIAN_POINT('',(-3.55,-0.1,0.0499999999999997)); #592259=CARTESIAN_POINT('',(-3.15,-0.1,0.0499999999999997)); #592260=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #592261=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #592262=CARTESIAN_POINT('',(-3.15,-0.4,0.0499999999999997)); #592263=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0375000000000003)); #592264=CARTESIAN_POINT('',(-3.3,-0.4,-6.07153216591882E-17)); #592265=CARTESIAN_POINT('',(-3.3,-0.4,0.0375000000000003)); #592266=CARTESIAN_POINT('',(-3.3,-0.1,-6.07153216591882E-17)); #592267=CARTESIAN_POINT('Origin',(-3.3,-0.25,-2.84928330929191E-15)); #592268=CARTESIAN_POINT('',(-3.3,-0.1,0.0375000000000003)); #592269=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.15)); #592270=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #592271=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #592272=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #592273=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #592274=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #592275=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #592276=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #592277=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #592278=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.0499999999999997)); #592279=CARTESIAN_POINT('',(-3.55,-0.4,-6.07153216591882E-17)); #592280=CARTESIAN_POINT('',(-3.55,-0.1,-6.07153216591882E-17)); #592281=CARTESIAN_POINT('Origin',(-3.551,-0.4,-6.07153216591882E-17)); #592282=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #592283=CARTESIAN_POINT('',(-3.551,-0.4,-6.07153216591882E-17)); #592284=CARTESIAN_POINT('',(-3.551,-0.1,-6.93889390390723E-17)); #592285=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #592286=CARTESIAN_POINT('',(-3.551,-0.1,-6.07153216591882E-17)); #592287=CARTESIAN_POINT('Origin',(-3.551,-0.4,0.15)); #592288=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #592289=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #592290=CARTESIAN_POINT('Origin',(-3.3505,-0.1,0.0749999999999998)); #592291=CARTESIAN_POINT('Origin',(-3.3505,-0.4,0.0749999999999998)); #592292=CARTESIAN_POINT('Origin',(-3.15,-0.9,0.0499999999999997)); #592293=CARTESIAN_POINT('',(-3.3,-0.9,0.0499999999999997)); #592294=CARTESIAN_POINT('',(-3.3,-0.6,0.0499999999999997)); #592295=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0500000000000014)); #592296=CARTESIAN_POINT('',(-3.55,-0.6,0.0499999999999997)); #592297=CARTESIAN_POINT('',(-3.15,-0.6,0.0499999999999997)); #592298=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #592299=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #592300=CARTESIAN_POINT('',(-3.15,-0.9,0.0499999999999997)); #592301=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0375000000000003)); #592302=CARTESIAN_POINT('',(-3.3,-0.9,-6.07153216591882E-17)); #592303=CARTESIAN_POINT('',(-3.3,-0.9,0.0375000000000003)); #592304=CARTESIAN_POINT('',(-3.3,-0.6,-6.07153216591882E-17)); #592305=CARTESIAN_POINT('Origin',(-3.3,-0.75,-2.84928330929191E-15)); #592306=CARTESIAN_POINT('',(-3.3,-0.6,0.0375000000000003)); #592307=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.15)); #592308=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #592309=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #592310=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #592311=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #592312=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #592313=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #592314=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #592315=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #592316=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.0499999999999997)); #592317=CARTESIAN_POINT('',(-3.55,-0.9,-6.07153216591882E-17)); #592318=CARTESIAN_POINT('',(-3.55,-0.6,-6.07153216591882E-17)); #592319=CARTESIAN_POINT('Origin',(-3.551,-0.9,-6.07153216591882E-17)); #592320=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #592321=CARTESIAN_POINT('',(-3.551,-0.9,-6.07153216591882E-17)); #592322=CARTESIAN_POINT('',(-3.551,-0.6,-6.93889390390723E-17)); #592323=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #592324=CARTESIAN_POINT('',(-3.551,-0.6,-6.07153216591882E-17)); #592325=CARTESIAN_POINT('Origin',(-3.551,-0.9,0.15)); #592326=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #592327=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #592328=CARTESIAN_POINT('Origin',(-3.3505,-0.6,0.0749999999999998)); #592329=CARTESIAN_POINT('Origin',(-3.3505,-0.9,0.0749999999999998)); #592330=CARTESIAN_POINT('Origin',(-3.15,-1.4,0.0499999999999997)); #592331=CARTESIAN_POINT('',(-3.3,-1.4,0.0499999999999997)); #592332=CARTESIAN_POINT('',(-3.3,-1.1,0.0499999999999997)); #592333=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0500000000000014)); #592334=CARTESIAN_POINT('',(-3.55,-1.1,0.0499999999999997)); #592335=CARTESIAN_POINT('',(-3.15,-1.1,0.0499999999999997)); #592336=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #592337=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #592338=CARTESIAN_POINT('',(-3.15,-1.4,0.0499999999999997)); #592339=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0375000000000003)); #592340=CARTESIAN_POINT('',(-3.3,-1.4,-6.07153216591882E-17)); #592341=CARTESIAN_POINT('',(-3.3,-1.4,0.0375000000000003)); #592342=CARTESIAN_POINT('',(-3.3,-1.1,-6.07153216591882E-17)); #592343=CARTESIAN_POINT('Origin',(-3.3,-1.25,-2.84928330929191E-15)); #592344=CARTESIAN_POINT('',(-3.3,-1.1,0.0375000000000003)); #592345=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.15)); #592346=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #592347=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #592348=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #592349=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #592350=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #592351=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #592352=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #592353=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #592354=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.0499999999999997)); #592355=CARTESIAN_POINT('',(-3.55,-1.4,-6.07153216591882E-17)); #592356=CARTESIAN_POINT('',(-3.55,-1.1,-6.07153216591882E-17)); #592357=CARTESIAN_POINT('Origin',(-3.551,-1.4,-6.07153216591882E-17)); #592358=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #592359=CARTESIAN_POINT('',(-3.551,-1.4,-6.07153216591882E-17)); #592360=CARTESIAN_POINT('',(-3.551,-1.1,-6.93889390390723E-17)); #592361=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #592362=CARTESIAN_POINT('',(-3.551,-1.1,-6.07153216591882E-17)); #592363=CARTESIAN_POINT('Origin',(-3.551,-1.4,0.15)); #592364=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #592365=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #592366=CARTESIAN_POINT('Origin',(-3.3505,-1.1,0.0749999999999998)); #592367=CARTESIAN_POINT('Origin',(-3.3505,-1.4,0.0749999999999998)); #592368=CARTESIAN_POINT('Origin',(-3.15,-1.9,0.0499999999999997)); #592369=CARTESIAN_POINT('',(-3.3,-1.9,0.0499999999999997)); #592370=CARTESIAN_POINT('',(-3.3,-1.6,0.0499999999999997)); #592371=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0500000000000014)); #592372=CARTESIAN_POINT('',(-3.55,-1.6,0.0499999999999997)); #592373=CARTESIAN_POINT('',(-3.15,-1.6,0.0499999999999997)); #592374=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #592375=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #592376=CARTESIAN_POINT('',(-3.15,-1.9,0.0499999999999997)); #592377=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0375000000000003)); #592378=CARTESIAN_POINT('',(-3.3,-1.9,-6.07153216591882E-17)); #592379=CARTESIAN_POINT('',(-3.3,-1.9,0.0375000000000003)); #592380=CARTESIAN_POINT('',(-3.3,-1.6,-6.07153216591882E-17)); #592381=CARTESIAN_POINT('Origin',(-3.3,-1.75,-2.84928330929191E-15)); #592382=CARTESIAN_POINT('',(-3.3,-1.6,0.0375000000000003)); #592383=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.15)); #592384=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #592385=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #592386=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #592387=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #592388=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #592389=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #592390=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #592391=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #592392=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.0499999999999997)); #592393=CARTESIAN_POINT('',(-3.55,-1.9,-6.07153216591882E-17)); #592394=CARTESIAN_POINT('',(-3.55,-1.6,-6.07153216591882E-17)); #592395=CARTESIAN_POINT('Origin',(-3.551,-1.9,-6.07153216591882E-17)); #592396=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #592397=CARTESIAN_POINT('',(-3.551,-1.9,-6.07153216591882E-17)); #592398=CARTESIAN_POINT('',(-3.551,-1.6,-6.93889390390723E-17)); #592399=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #592400=CARTESIAN_POINT('',(-3.551,-1.6,-6.07153216591882E-17)); #592401=CARTESIAN_POINT('Origin',(-3.551,-1.9,0.15)); #592402=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #592403=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #592404=CARTESIAN_POINT('Origin',(-3.3505,-1.6,0.0749999999999998)); #592405=CARTESIAN_POINT('Origin',(-3.3505,-1.9,0.0749999999999998)); #592406=CARTESIAN_POINT('Origin',(-3.15,-2.4,0.0499999999999997)); #592407=CARTESIAN_POINT('',(-3.3,-2.4,0.0499999999999997)); #592408=CARTESIAN_POINT('',(-3.3,-2.1,0.0499999999999997)); #592409=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0500000000000014)); #592410=CARTESIAN_POINT('',(-3.55,-2.1,0.0499999999999997)); #592411=CARTESIAN_POINT('',(-3.15,-2.1,0.0499999999999997)); #592412=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #592413=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #592414=CARTESIAN_POINT('',(-3.15,-2.4,0.0499999999999997)); #592415=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0375000000000003)); #592416=CARTESIAN_POINT('',(-3.3,-2.4,-6.07153216591882E-17)); #592417=CARTESIAN_POINT('',(-3.3,-2.4,0.0375000000000003)); #592418=CARTESIAN_POINT('',(-3.3,-2.1,-6.07153216591882E-17)); #592419=CARTESIAN_POINT('Origin',(-3.3,-2.25,-2.84928330929191E-15)); #592420=CARTESIAN_POINT('',(-3.3,-2.1,0.0375000000000003)); #592421=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.15)); #592422=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #592423=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #592424=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #592425=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #592426=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #592427=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #592428=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #592429=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #592430=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.0499999999999997)); #592431=CARTESIAN_POINT('',(-3.55,-2.4,-6.07153216591882E-17)); #592432=CARTESIAN_POINT('',(-3.55,-2.1,-6.07153216591882E-17)); #592433=CARTESIAN_POINT('Origin',(-3.551,-2.4,-6.07153216591882E-17)); #592434=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #592435=CARTESIAN_POINT('',(-3.551,-2.4,-6.07153216591882E-17)); #592436=CARTESIAN_POINT('',(-3.551,-2.1,-6.93889390390723E-17)); #592437=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #592438=CARTESIAN_POINT('',(-3.551,-2.1,-6.07153216591882E-17)); #592439=CARTESIAN_POINT('Origin',(-3.551,-2.4,0.15)); #592440=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #592441=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #592442=CARTESIAN_POINT('Origin',(-3.3505,-2.1,0.0749999999999998)); #592443=CARTESIAN_POINT('Origin',(-3.3505,-2.4,0.0749999999999998)); #592444=CARTESIAN_POINT('Origin',(-3.15,-2.9,0.0499999999999997)); #592445=CARTESIAN_POINT('',(-3.3,-2.9,0.0499999999999997)); #592446=CARTESIAN_POINT('',(-3.3,-2.6,0.0499999999999997)); #592447=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0500000000000014)); #592448=CARTESIAN_POINT('',(-3.55,-2.6,0.0499999999999997)); #592449=CARTESIAN_POINT('',(-3.15,-2.6,0.0499999999999997)); #592450=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #592451=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #592452=CARTESIAN_POINT('',(-3.15,-2.9,0.0499999999999997)); #592453=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0375000000000003)); #592454=CARTESIAN_POINT('',(-3.3,-2.9,-6.07153216591882E-17)); #592455=CARTESIAN_POINT('',(-3.3,-2.9,0.0375000000000003)); #592456=CARTESIAN_POINT('',(-3.3,-2.6,-6.07153216591882E-17)); #592457=CARTESIAN_POINT('Origin',(-3.3,-2.75,-2.84928330929191E-15)); #592458=CARTESIAN_POINT('',(-3.3,-2.6,0.0375000000000003)); #592459=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.15)); #592460=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #592461=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #592462=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #592463=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #592464=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #592465=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #592466=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #592467=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #592468=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.0499999999999997)); #592469=CARTESIAN_POINT('',(-3.55,-2.9,-6.07153216591882E-17)); #592470=CARTESIAN_POINT('',(-3.55,-2.6,-6.07153216591882E-17)); #592471=CARTESIAN_POINT('Origin',(-3.551,-2.9,-6.07153216591882E-17)); #592472=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #592473=CARTESIAN_POINT('',(-3.551,-2.9,-6.07153216591882E-17)); #592474=CARTESIAN_POINT('',(-3.551,-2.6,-6.93889390390723E-17)); #592475=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #592476=CARTESIAN_POINT('',(-3.551,-2.6,-6.07153216591882E-17)); #592477=CARTESIAN_POINT('Origin',(-3.551,-2.9,0.15)); #592478=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #592479=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #592480=CARTESIAN_POINT('Origin',(-3.3505,-2.6,0.0749999999999998)); #592481=CARTESIAN_POINT('Origin',(-3.3505,-2.9,0.0749999999999998)); #592482=CARTESIAN_POINT('Origin',(2.6,3.15,0.)); #592483=CARTESIAN_POINT('',(2.6,3.3,0.)); #592484=CARTESIAN_POINT('',(2.9,3.3,0.)); #592485=CARTESIAN_POINT('Origin',(2.75,3.3,0.)); #592486=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #592487=CARTESIAN_POINT('',(2.6,3.55,0.)); #592488=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #592489=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #592490=CARTESIAN_POINT('',(2.9,3.55,0.)); #592491=CARTESIAN_POINT('Origin',(2.75,3.3,0.0625)); #592492=CARTESIAN_POINT('',(2.9,3.3,0.05)); #592493=CARTESIAN_POINT('',(2.6,3.3,0.05)); #592494=CARTESIAN_POINT('Origin',(2.75,3.3,0.05)); #592495=CARTESIAN_POINT('',(2.6,3.3,0.0625)); #592496=CARTESIAN_POINT('',(2.9,3.3,0.0625)); #592497=CARTESIAN_POINT('Origin',(2.6,3.55,0.05)); #592498=CARTESIAN_POINT('',(2.9,3.55,0.05)); #592499=CARTESIAN_POINT('',(2.9,3.15,0.05)); #592500=CARTESIAN_POINT('',(2.6,3.55,0.05)); #592501=CARTESIAN_POINT('',(2.6,3.55,0.05)); #592502=CARTESIAN_POINT('',(2.6,3.15,0.05)); #592503=CARTESIAN_POINT('Origin',(2.6,3.55,0.15)); #592504=CARTESIAN_POINT('',(2.6,3.55,0.15)); #592505=CARTESIAN_POINT('',(2.6,3.55,0.15)); #592506=CARTESIAN_POINT('',(2.9,3.55,0.15)); #592507=CARTESIAN_POINT('',(2.9,3.55,0.15)); #592508=CARTESIAN_POINT('',(2.6,3.55,0.15)); #592509=CARTESIAN_POINT('Origin',(2.6,3.551,0.15)); #592510=CARTESIAN_POINT('',(2.6,3.551,0.15)); #592511=CARTESIAN_POINT('',(2.6,3.551,0.15)); #592512=CARTESIAN_POINT('',(2.9,3.551,0.15)); #592513=CARTESIAN_POINT('',(2.9,3.551,0.15)); #592514=CARTESIAN_POINT('',(2.6,3.551,0.15)); #592515=CARTESIAN_POINT('Origin',(2.6,3.551,-1.76027756664041E-18)); #592516=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #592517=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #592518=CARTESIAN_POINT('Origin',(2.9,3.3505,0.075)); #592519=CARTESIAN_POINT('Origin',(2.6,3.3505,0.075)); #592520=CARTESIAN_POINT('Origin',(2.6,-3.15,0.)); #592521=CARTESIAN_POINT('',(2.6,-3.3,0.)); #592522=CARTESIAN_POINT('',(2.9,-3.3,0.)); #592523=CARTESIAN_POINT('Origin',(2.75,-3.3,0.)); #592524=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #592525=CARTESIAN_POINT('',(2.9,-3.55,0.)); #592526=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #592527=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #592528=CARTESIAN_POINT('',(2.6,-3.55,0.)); #592529=CARTESIAN_POINT('Origin',(2.75,-3.3,0.0625)); #592530=CARTESIAN_POINT('',(2.9,-3.3,0.05)); #592531=CARTESIAN_POINT('',(2.6,-3.3,0.05)); #592532=CARTESIAN_POINT('Origin',(2.75,-3.3,0.05)); #592533=CARTESIAN_POINT('',(2.9,-3.3,0.0625)); #592534=CARTESIAN_POINT('',(2.6,-3.3,0.0625)); #592535=CARTESIAN_POINT('Origin',(2.6,-3.55,0.05)); #592536=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #592537=CARTESIAN_POINT('',(2.6,-3.15,0.05)); #592538=CARTESIAN_POINT('',(2.9,-3.55,0.05)); #592539=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #592540=CARTESIAN_POINT('',(2.9,-3.15,0.05)); #592541=CARTESIAN_POINT('Origin',(2.6,-3.55,0.15)); #592542=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #592543=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #592544=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #592545=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #592546=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #592547=CARTESIAN_POINT('Origin',(2.6,-3.551,0.15)); #592548=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #592549=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #592550=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #592551=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #592552=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #592553=CARTESIAN_POINT('Origin',(2.6,-3.551,-1.76027756664041E-18)); #592554=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #592555=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #592556=CARTESIAN_POINT('Origin',(2.9,-3.3505,0.075)); #592557=CARTESIAN_POINT('Origin',(2.6,-3.3505,0.075)); #592558=CARTESIAN_POINT('Origin',(2.1,3.15,0.)); #592559=CARTESIAN_POINT('',(2.1,3.3,0.)); #592560=CARTESIAN_POINT('',(2.4,3.3,0.)); #592561=CARTESIAN_POINT('Origin',(2.25,3.3,0.)); #592562=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #592563=CARTESIAN_POINT('',(2.1,3.55,0.)); #592564=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #592565=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #592566=CARTESIAN_POINT('',(2.4,3.55,0.)); #592567=CARTESIAN_POINT('Origin',(2.25,3.3,0.0625)); #592568=CARTESIAN_POINT('',(2.4,3.3,0.05)); #592569=CARTESIAN_POINT('',(2.1,3.3,0.05)); #592570=CARTESIAN_POINT('Origin',(2.25,3.3,0.05)); #592571=CARTESIAN_POINT('',(2.1,3.3,0.0625)); #592572=CARTESIAN_POINT('',(2.4,3.3,0.0625)); #592573=CARTESIAN_POINT('Origin',(2.1,3.55,0.05)); #592574=CARTESIAN_POINT('',(2.4,3.55,0.05)); #592575=CARTESIAN_POINT('',(2.4,3.15,0.05)); #592576=CARTESIAN_POINT('',(2.1,3.55,0.05)); #592577=CARTESIAN_POINT('',(2.1,3.55,0.05)); #592578=CARTESIAN_POINT('',(2.1,3.15,0.05)); #592579=CARTESIAN_POINT('Origin',(2.1,3.55,0.15)); #592580=CARTESIAN_POINT('',(2.1,3.55,0.15)); #592581=CARTESIAN_POINT('',(2.1,3.55,0.15)); #592582=CARTESIAN_POINT('',(2.4,3.55,0.15)); #592583=CARTESIAN_POINT('',(2.4,3.55,0.15)); #592584=CARTESIAN_POINT('',(2.1,3.55,0.15)); #592585=CARTESIAN_POINT('Origin',(2.1,3.551,0.15)); #592586=CARTESIAN_POINT('',(2.1,3.551,0.15)); #592587=CARTESIAN_POINT('',(2.1,3.551,0.15)); #592588=CARTESIAN_POINT('',(2.4,3.551,0.15)); #592589=CARTESIAN_POINT('',(2.4,3.551,0.15)); #592590=CARTESIAN_POINT('',(2.1,3.551,0.15)); #592591=CARTESIAN_POINT('Origin',(2.1,3.551,-1.76027756664041E-18)); #592592=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #592593=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #592594=CARTESIAN_POINT('Origin',(2.4,3.3505,0.075)); #592595=CARTESIAN_POINT('Origin',(2.1,3.3505,0.075)); #592596=CARTESIAN_POINT('Origin',(1.6,3.15,0.)); #592597=CARTESIAN_POINT('',(1.6,3.3,0.)); #592598=CARTESIAN_POINT('',(1.9,3.3,0.)); #592599=CARTESIAN_POINT('Origin',(1.75,3.3,0.)); #592600=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #592601=CARTESIAN_POINT('',(1.6,3.55,0.)); #592602=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #592603=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #592604=CARTESIAN_POINT('',(1.9,3.55,0.)); #592605=CARTESIAN_POINT('Origin',(1.75,3.3,0.0625)); #592606=CARTESIAN_POINT('',(1.9,3.3,0.05)); #592607=CARTESIAN_POINT('',(1.6,3.3,0.05)); #592608=CARTESIAN_POINT('Origin',(1.75,3.3,0.05)); #592609=CARTESIAN_POINT('',(1.6,3.3,0.0625)); #592610=CARTESIAN_POINT('',(1.9,3.3,0.0625)); #592611=CARTESIAN_POINT('Origin',(1.6,3.55,0.05)); #592612=CARTESIAN_POINT('',(1.9,3.55,0.05)); #592613=CARTESIAN_POINT('',(1.9,3.15,0.05)); #592614=CARTESIAN_POINT('',(1.6,3.55,0.05)); #592615=CARTESIAN_POINT('',(1.6,3.55,0.05)); #592616=CARTESIAN_POINT('',(1.6,3.15,0.05)); #592617=CARTESIAN_POINT('Origin',(1.6,3.55,0.15)); #592618=CARTESIAN_POINT('',(1.6,3.55,0.15)); #592619=CARTESIAN_POINT('',(1.6,3.55,0.15)); #592620=CARTESIAN_POINT('',(1.9,3.55,0.15)); #592621=CARTESIAN_POINT('',(1.9,3.55,0.15)); #592622=CARTESIAN_POINT('',(1.6,3.55,0.15)); #592623=CARTESIAN_POINT('Origin',(1.6,3.551,0.15)); #592624=CARTESIAN_POINT('',(1.6,3.551,0.15)); #592625=CARTESIAN_POINT('',(1.6,3.551,0.15)); #592626=CARTESIAN_POINT('',(1.9,3.551,0.15)); #592627=CARTESIAN_POINT('',(1.9,3.551,0.15)); #592628=CARTESIAN_POINT('',(1.6,3.551,0.15)); #592629=CARTESIAN_POINT('Origin',(1.6,3.551,-1.76027756664041E-18)); #592630=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #592631=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #592632=CARTESIAN_POINT('Origin',(1.9,3.3505,0.075)); #592633=CARTESIAN_POINT('Origin',(1.6,3.3505,0.075)); #592634=CARTESIAN_POINT('Origin',(1.1,3.15,0.)); #592635=CARTESIAN_POINT('',(1.1,3.3,0.)); #592636=CARTESIAN_POINT('',(1.4,3.3,0.)); #592637=CARTESIAN_POINT('Origin',(1.25,3.3,0.)); #592638=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #592639=CARTESIAN_POINT('',(1.1,3.55,0.)); #592640=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #592641=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #592642=CARTESIAN_POINT('',(1.4,3.55,0.)); #592643=CARTESIAN_POINT('Origin',(1.25,3.3,0.0625)); #592644=CARTESIAN_POINT('',(1.4,3.3,0.05)); #592645=CARTESIAN_POINT('',(1.1,3.3,0.05)); #592646=CARTESIAN_POINT('Origin',(1.25,3.3,0.05)); #592647=CARTESIAN_POINT('',(1.1,3.3,0.0625)); #592648=CARTESIAN_POINT('',(1.4,3.3,0.0625)); #592649=CARTESIAN_POINT('Origin',(1.1,3.55,0.05)); #592650=CARTESIAN_POINT('',(1.4,3.55,0.05)); #592651=CARTESIAN_POINT('',(1.4,3.15,0.05)); #592652=CARTESIAN_POINT('',(1.1,3.55,0.05)); #592653=CARTESIAN_POINT('',(1.1,3.55,0.05)); #592654=CARTESIAN_POINT('',(1.1,3.15,0.05)); #592655=CARTESIAN_POINT('Origin',(1.1,3.55,0.15)); #592656=CARTESIAN_POINT('',(1.1,3.55,0.15)); #592657=CARTESIAN_POINT('',(1.1,3.55,0.15)); #592658=CARTESIAN_POINT('',(1.4,3.55,0.15)); #592659=CARTESIAN_POINT('',(1.4,3.55,0.15)); #592660=CARTESIAN_POINT('',(1.1,3.55,0.15)); #592661=CARTESIAN_POINT('Origin',(1.1,3.551,0.15)); #592662=CARTESIAN_POINT('',(1.1,3.551,0.15)); #592663=CARTESIAN_POINT('',(1.1,3.551,0.15)); #592664=CARTESIAN_POINT('',(1.4,3.551,0.15)); #592665=CARTESIAN_POINT('',(1.4,3.551,0.15)); #592666=CARTESIAN_POINT('',(1.1,3.551,0.15)); #592667=CARTESIAN_POINT('Origin',(1.1,3.551,-1.76027756664041E-18)); #592668=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #592669=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #592670=CARTESIAN_POINT('Origin',(1.4,3.3505,0.075)); #592671=CARTESIAN_POINT('Origin',(1.1,3.3505,0.075)); #592672=CARTESIAN_POINT('Origin',(0.6,3.15,0.)); #592673=CARTESIAN_POINT('',(0.6,3.3,0.)); #592674=CARTESIAN_POINT('',(0.9,3.3,0.)); #592675=CARTESIAN_POINT('Origin',(0.75,3.3,0.)); #592676=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #592677=CARTESIAN_POINT('',(0.6,3.55,0.)); #592678=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #592679=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #592680=CARTESIAN_POINT('',(0.9,3.55,0.)); #592681=CARTESIAN_POINT('Origin',(0.75,3.3,0.0625)); #592682=CARTESIAN_POINT('',(0.9,3.3,0.05)); #592683=CARTESIAN_POINT('',(0.6,3.3,0.05)); #592684=CARTESIAN_POINT('Origin',(0.75,3.3,0.05)); #592685=CARTESIAN_POINT('',(0.6,3.3,0.0625)); #592686=CARTESIAN_POINT('',(0.9,3.3,0.0625)); #592687=CARTESIAN_POINT('Origin',(0.6,3.55,0.05)); #592688=CARTESIAN_POINT('',(0.9,3.55,0.05)); #592689=CARTESIAN_POINT('',(0.9,3.15,0.05)); #592690=CARTESIAN_POINT('',(0.6,3.55,0.05)); #592691=CARTESIAN_POINT('',(0.6,3.55,0.05)); #592692=CARTESIAN_POINT('',(0.6,3.15,0.05)); #592693=CARTESIAN_POINT('Origin',(0.6,3.55,0.15)); #592694=CARTESIAN_POINT('',(0.6,3.55,0.15)); #592695=CARTESIAN_POINT('',(0.6,3.55,0.15)); #592696=CARTESIAN_POINT('',(0.9,3.55,0.15)); #592697=CARTESIAN_POINT('',(0.9,3.55,0.15)); #592698=CARTESIAN_POINT('',(0.6,3.55,0.15)); #592699=CARTESIAN_POINT('Origin',(0.6,3.551,0.15)); #592700=CARTESIAN_POINT('',(0.6,3.551,0.15)); #592701=CARTESIAN_POINT('',(0.6,3.551,0.15)); #592702=CARTESIAN_POINT('',(0.9,3.551,0.15)); #592703=CARTESIAN_POINT('',(0.9,3.551,0.15)); #592704=CARTESIAN_POINT('',(0.6,3.551,0.15)); #592705=CARTESIAN_POINT('Origin',(0.6,3.551,-1.76027756664041E-18)); #592706=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #592707=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #592708=CARTESIAN_POINT('Origin',(0.9,3.3505,0.075)); #592709=CARTESIAN_POINT('Origin',(0.6,3.3505,0.075)); #592710=CARTESIAN_POINT('Origin',(0.1,3.15,0.)); #592711=CARTESIAN_POINT('',(0.1,3.3,0.)); #592712=CARTESIAN_POINT('',(0.4,3.3,0.)); #592713=CARTESIAN_POINT('Origin',(0.25,3.3,0.)); #592714=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #592715=CARTESIAN_POINT('',(0.1,3.55,0.)); #592716=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #592717=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #592718=CARTESIAN_POINT('',(0.4,3.55,0.)); #592719=CARTESIAN_POINT('Origin',(0.25,3.3,0.0625)); #592720=CARTESIAN_POINT('',(0.4,3.3,0.05)); #592721=CARTESIAN_POINT('',(0.1,3.3,0.05)); #592722=CARTESIAN_POINT('Origin',(0.25,3.3,0.05)); #592723=CARTESIAN_POINT('',(0.1,3.3,0.0625)); #592724=CARTESIAN_POINT('',(0.4,3.3,0.0625)); #592725=CARTESIAN_POINT('Origin',(0.1,3.55,0.05)); #592726=CARTESIAN_POINT('',(0.4,3.55,0.05)); #592727=CARTESIAN_POINT('',(0.4,3.15,0.05)); #592728=CARTESIAN_POINT('',(0.1,3.55,0.05)); #592729=CARTESIAN_POINT('',(0.1,3.55,0.05)); #592730=CARTESIAN_POINT('',(0.1,3.15,0.05)); #592731=CARTESIAN_POINT('Origin',(0.1,3.55,0.15)); #592732=CARTESIAN_POINT('',(0.1,3.55,0.15)); #592733=CARTESIAN_POINT('',(0.1,3.55,0.15)); #592734=CARTESIAN_POINT('',(0.4,3.55,0.15)); #592735=CARTESIAN_POINT('',(0.4,3.55,0.15)); #592736=CARTESIAN_POINT('',(0.1,3.55,0.15)); #592737=CARTESIAN_POINT('Origin',(0.1,3.551,0.15)); #592738=CARTESIAN_POINT('',(0.1,3.551,0.15)); #592739=CARTESIAN_POINT('',(0.1,3.551,0.15)); #592740=CARTESIAN_POINT('',(0.4,3.551,0.15)); #592741=CARTESIAN_POINT('',(0.4,3.551,0.15)); #592742=CARTESIAN_POINT('',(0.1,3.551,0.15)); #592743=CARTESIAN_POINT('Origin',(0.1,3.551,-1.76027756664041E-18)); #592744=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #592745=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #592746=CARTESIAN_POINT('Origin',(0.4,3.3505,0.075)); #592747=CARTESIAN_POINT('Origin',(0.1,3.3505,0.075)); #592748=CARTESIAN_POINT('Origin',(-0.4,3.15,0.)); #592749=CARTESIAN_POINT('',(-0.4,3.3,0.)); #592750=CARTESIAN_POINT('',(-0.1,3.3,0.)); #592751=CARTESIAN_POINT('Origin',(-0.25,3.3,0.)); #592752=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #592753=CARTESIAN_POINT('',(-0.4,3.55,0.)); #592754=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #592755=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #592756=CARTESIAN_POINT('',(-0.1,3.55,0.)); #592757=CARTESIAN_POINT('Origin',(-0.25,3.3,0.0625)); #592758=CARTESIAN_POINT('',(-0.1,3.3,0.05)); #592759=CARTESIAN_POINT('',(-0.4,3.3,0.05)); #592760=CARTESIAN_POINT('Origin',(-0.25,3.3,0.05)); #592761=CARTESIAN_POINT('',(-0.4,3.3,0.0625)); #592762=CARTESIAN_POINT('',(-0.1,3.3,0.0625)); #592763=CARTESIAN_POINT('Origin',(-0.4,3.55,0.05)); #592764=CARTESIAN_POINT('',(-0.1,3.55,0.05)); #592765=CARTESIAN_POINT('',(-0.1,3.15,0.05)); #592766=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #592767=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #592768=CARTESIAN_POINT('',(-0.4,3.15,0.05)); #592769=CARTESIAN_POINT('Origin',(-0.4,3.55,0.15)); #592770=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #592771=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #592772=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #592773=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #592774=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #592775=CARTESIAN_POINT('Origin',(-0.4,3.551,0.15)); #592776=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #592777=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #592778=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #592779=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #592780=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #592781=CARTESIAN_POINT('Origin',(-0.4,3.551,-1.76027756664041E-18)); #592782=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #592783=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #592784=CARTESIAN_POINT('Origin',(-0.1,3.3505,0.075)); #592785=CARTESIAN_POINT('Origin',(-0.4,3.3505,0.075)); #592786=CARTESIAN_POINT('Origin',(-0.9,3.15,0.)); #592787=CARTESIAN_POINT('',(-0.9,3.3,0.)); #592788=CARTESIAN_POINT('',(-0.6,3.3,0.)); #592789=CARTESIAN_POINT('Origin',(-0.75,3.3,0.)); #592790=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #592791=CARTESIAN_POINT('',(-0.9,3.55,0.)); #592792=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #592793=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #592794=CARTESIAN_POINT('',(-0.6,3.55,0.)); #592795=CARTESIAN_POINT('Origin',(-0.75,3.3,0.0625)); #592796=CARTESIAN_POINT('',(-0.6,3.3,0.05)); #592797=CARTESIAN_POINT('',(-0.9,3.3,0.05)); #592798=CARTESIAN_POINT('Origin',(-0.75,3.3,0.05)); #592799=CARTESIAN_POINT('',(-0.9,3.3,0.0625)); #592800=CARTESIAN_POINT('',(-0.6,3.3,0.0625)); #592801=CARTESIAN_POINT('Origin',(-0.9,3.55,0.05)); #592802=CARTESIAN_POINT('',(-0.6,3.55,0.05)); #592803=CARTESIAN_POINT('',(-0.6,3.15,0.05)); #592804=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #592805=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #592806=CARTESIAN_POINT('',(-0.9,3.15,0.05)); #592807=CARTESIAN_POINT('Origin',(-0.9,3.55,0.15)); #592808=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #592809=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #592810=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #592811=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #592812=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #592813=CARTESIAN_POINT('Origin',(-0.9,3.551,0.15)); #592814=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #592815=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #592816=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #592817=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #592818=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #592819=CARTESIAN_POINT('Origin',(-0.9,3.551,-1.76027756664041E-18)); #592820=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #592821=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #592822=CARTESIAN_POINT('Origin',(-0.6,3.3505,0.075)); #592823=CARTESIAN_POINT('Origin',(-0.9,3.3505,0.075)); #592824=CARTESIAN_POINT('Origin',(-1.4,3.15,0.)); #592825=CARTESIAN_POINT('',(-1.4,3.3,0.)); #592826=CARTESIAN_POINT('',(-1.1,3.3,0.)); #592827=CARTESIAN_POINT('Origin',(-1.25,3.3,0.)); #592828=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #592829=CARTESIAN_POINT('',(-1.4,3.55,0.)); #592830=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #592831=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #592832=CARTESIAN_POINT('',(-1.1,3.55,0.)); #592833=CARTESIAN_POINT('Origin',(-1.25,3.3,0.0625)); #592834=CARTESIAN_POINT('',(-1.1,3.3,0.05)); #592835=CARTESIAN_POINT('',(-1.4,3.3,0.05)); #592836=CARTESIAN_POINT('Origin',(-1.25,3.3,0.05)); #592837=CARTESIAN_POINT('',(-1.4,3.3,0.0625)); #592838=CARTESIAN_POINT('',(-1.1,3.3,0.0625)); #592839=CARTESIAN_POINT('Origin',(-1.4,3.55,0.05)); #592840=CARTESIAN_POINT('',(-1.1,3.55,0.05)); #592841=CARTESIAN_POINT('',(-1.1,3.15,0.05)); #592842=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #592843=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #592844=CARTESIAN_POINT('',(-1.4,3.15,0.05)); #592845=CARTESIAN_POINT('Origin',(-1.4,3.55,0.15)); #592846=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #592847=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #592848=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #592849=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #592850=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #592851=CARTESIAN_POINT('Origin',(-1.4,3.551,0.15)); #592852=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #592853=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #592854=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #592855=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #592856=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #592857=CARTESIAN_POINT('Origin',(-1.4,3.551,-1.76027756664041E-18)); #592858=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #592859=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #592860=CARTESIAN_POINT('Origin',(-1.1,3.3505,0.075)); #592861=CARTESIAN_POINT('Origin',(-1.4,3.3505,0.075)); #592862=CARTESIAN_POINT('Origin',(-1.9,3.15,0.)); #592863=CARTESIAN_POINT('',(-1.9,3.3,0.)); #592864=CARTESIAN_POINT('',(-1.6,3.3,0.)); #592865=CARTESIAN_POINT('Origin',(-1.75,3.3,0.)); #592866=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #592867=CARTESIAN_POINT('',(-1.9,3.55,0.)); #592868=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #592869=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #592870=CARTESIAN_POINT('',(-1.6,3.55,0.)); #592871=CARTESIAN_POINT('Origin',(-1.75,3.3,0.0625)); #592872=CARTESIAN_POINT('',(-1.6,3.3,0.05)); #592873=CARTESIAN_POINT('',(-1.9,3.3,0.05)); #592874=CARTESIAN_POINT('Origin',(-1.75,3.3,0.05)); #592875=CARTESIAN_POINT('',(-1.9,3.3,0.0625)); #592876=CARTESIAN_POINT('',(-1.6,3.3,0.0625)); #592877=CARTESIAN_POINT('Origin',(-1.9,3.55,0.05)); #592878=CARTESIAN_POINT('',(-1.6,3.55,0.05)); #592879=CARTESIAN_POINT('',(-1.6,3.15,0.05)); #592880=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #592881=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #592882=CARTESIAN_POINT('',(-1.9,3.15,0.05)); #592883=CARTESIAN_POINT('Origin',(-1.9,3.55,0.15)); #592884=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #592885=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #592886=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #592887=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #592888=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #592889=CARTESIAN_POINT('Origin',(-1.9,3.551,0.15)); #592890=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #592891=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #592892=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #592893=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #592894=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #592895=CARTESIAN_POINT('Origin',(-1.9,3.551,-1.76027756664041E-18)); #592896=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #592897=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #592898=CARTESIAN_POINT('Origin',(-1.6,3.3505,0.075)); #592899=CARTESIAN_POINT('Origin',(-1.9,3.3505,0.075)); #592900=CARTESIAN_POINT('Origin',(-2.4,3.15,0.)); #592901=CARTESIAN_POINT('',(-2.4,3.3,0.)); #592902=CARTESIAN_POINT('',(-2.1,3.3,0.)); #592903=CARTESIAN_POINT('Origin',(-2.25,3.3,0.)); #592904=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #592905=CARTESIAN_POINT('',(-2.4,3.55,0.)); #592906=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #592907=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #592908=CARTESIAN_POINT('',(-2.1,3.55,0.)); #592909=CARTESIAN_POINT('Origin',(-2.25,3.3,0.0625)); #592910=CARTESIAN_POINT('',(-2.1,3.3,0.05)); #592911=CARTESIAN_POINT('',(-2.4,3.3,0.05)); #592912=CARTESIAN_POINT('Origin',(-2.25,3.3,0.05)); #592913=CARTESIAN_POINT('',(-2.4,3.3,0.0625)); #592914=CARTESIAN_POINT('',(-2.1,3.3,0.0625)); #592915=CARTESIAN_POINT('Origin',(-2.4,3.55,0.05)); #592916=CARTESIAN_POINT('',(-2.1,3.55,0.05)); #592917=CARTESIAN_POINT('',(-2.1,3.15,0.05)); #592918=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #592919=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #592920=CARTESIAN_POINT('',(-2.4,3.15,0.05)); #592921=CARTESIAN_POINT('Origin',(-2.4,3.55,0.15)); #592922=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #592923=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #592924=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #592925=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #592926=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #592927=CARTESIAN_POINT('Origin',(-2.4,3.551,0.15)); #592928=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #592929=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #592930=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #592931=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #592932=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #592933=CARTESIAN_POINT('Origin',(-2.4,3.551,-1.76027756664041E-18)); #592934=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #592935=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #592936=CARTESIAN_POINT('Origin',(-2.1,3.3505,0.075)); #592937=CARTESIAN_POINT('Origin',(-2.4,3.3505,0.075)); #592938=CARTESIAN_POINT('Origin',(-2.9,3.15,0.)); #592939=CARTESIAN_POINT('',(-2.9,3.3,0.)); #592940=CARTESIAN_POINT('',(-2.6,3.3,0.)); #592941=CARTESIAN_POINT('Origin',(-2.75,3.3,0.)); #592942=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #592943=CARTESIAN_POINT('',(-2.9,3.55,0.)); #592944=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #592945=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #592946=CARTESIAN_POINT('',(-2.6,3.55,0.)); #592947=CARTESIAN_POINT('Origin',(-2.75,3.3,0.0625)); #592948=CARTESIAN_POINT('',(-2.6,3.3,0.05)); #592949=CARTESIAN_POINT('',(-2.9,3.3,0.05)); #592950=CARTESIAN_POINT('Origin',(-2.75,3.3,0.05)); #592951=CARTESIAN_POINT('',(-2.9,3.3,0.0625)); #592952=CARTESIAN_POINT('',(-2.6,3.3,0.0625)); #592953=CARTESIAN_POINT('Origin',(-2.9,3.55,0.05)); #592954=CARTESIAN_POINT('',(-2.6,3.55,0.05)); #592955=CARTESIAN_POINT('',(-2.6,3.15,0.05)); #592956=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #592957=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #592958=CARTESIAN_POINT('',(-2.9,3.15,0.05)); #592959=CARTESIAN_POINT('Origin',(-2.9,3.55,0.15)); #592960=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #592961=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #592962=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #592963=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #592964=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #592965=CARTESIAN_POINT('Origin',(-2.9,3.551,0.15)); #592966=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #592967=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #592968=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #592969=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #592970=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #592971=CARTESIAN_POINT('Origin',(-2.9,3.551,-1.76027756664041E-18)); #592972=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #592973=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #592974=CARTESIAN_POINT('Origin',(-2.6,3.3505,0.075)); #592975=CARTESIAN_POINT('Origin',(-2.9,3.3505,0.075)); #592976=CARTESIAN_POINT('Origin',(2.1,-3.15,0.)); #592977=CARTESIAN_POINT('',(2.1,-3.3,0.)); #592978=CARTESIAN_POINT('',(2.4,-3.3,0.)); #592979=CARTESIAN_POINT('Origin',(2.25,-3.3,0.)); #592980=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #592981=CARTESIAN_POINT('',(2.4,-3.55,0.)); #592982=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #592983=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #592984=CARTESIAN_POINT('',(2.1,-3.55,0.)); #592985=CARTESIAN_POINT('Origin',(2.25,-3.3,0.0625)); #592986=CARTESIAN_POINT('',(2.4,-3.3,0.05)); #592987=CARTESIAN_POINT('',(2.1,-3.3,0.05)); #592988=CARTESIAN_POINT('Origin',(2.25,-3.3,0.05)); #592989=CARTESIAN_POINT('',(2.4,-3.3,0.0625)); #592990=CARTESIAN_POINT('',(2.1,-3.3,0.0625)); #592991=CARTESIAN_POINT('Origin',(2.1,-3.55,0.05)); #592992=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #592993=CARTESIAN_POINT('',(2.1,-3.15,0.05)); #592994=CARTESIAN_POINT('',(2.4,-3.55,0.05)); #592995=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #592996=CARTESIAN_POINT('',(2.4,-3.15,0.05)); #592997=CARTESIAN_POINT('Origin',(2.1,-3.55,0.15)); #592998=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #592999=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #593000=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #593001=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #593002=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #593003=CARTESIAN_POINT('Origin',(2.1,-3.551,0.15)); #593004=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #593005=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #593006=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #593007=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #593008=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #593009=CARTESIAN_POINT('Origin',(2.1,-3.551,-1.76027756664041E-18)); #593010=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #593011=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #593012=CARTESIAN_POINT('Origin',(2.4,-3.3505,0.075)); #593013=CARTESIAN_POINT('Origin',(2.1,-3.3505,0.075)); #593014=CARTESIAN_POINT('Origin',(1.6,-3.15,0.)); #593015=CARTESIAN_POINT('',(1.6,-3.3,0.)); #593016=CARTESIAN_POINT('',(1.9,-3.3,0.)); #593017=CARTESIAN_POINT('Origin',(1.75,-3.3,0.)); #593018=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #593019=CARTESIAN_POINT('',(1.9,-3.55,0.)); #593020=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #593021=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #593022=CARTESIAN_POINT('',(1.6,-3.55,0.)); #593023=CARTESIAN_POINT('Origin',(1.75,-3.3,0.0625)); #593024=CARTESIAN_POINT('',(1.9,-3.3,0.05)); #593025=CARTESIAN_POINT('',(1.6,-3.3,0.05)); #593026=CARTESIAN_POINT('Origin',(1.75,-3.3,0.05)); #593027=CARTESIAN_POINT('',(1.9,-3.3,0.0625)); #593028=CARTESIAN_POINT('',(1.6,-3.3,0.0625)); #593029=CARTESIAN_POINT('Origin',(1.6,-3.55,0.05)); #593030=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #593031=CARTESIAN_POINT('',(1.6,-3.15,0.05)); #593032=CARTESIAN_POINT('',(1.9,-3.55,0.05)); #593033=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #593034=CARTESIAN_POINT('',(1.9,-3.15,0.05)); #593035=CARTESIAN_POINT('Origin',(1.6,-3.55,0.15)); #593036=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #593037=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #593038=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #593039=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #593040=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #593041=CARTESIAN_POINT('Origin',(1.6,-3.551,0.15)); #593042=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #593043=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #593044=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #593045=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #593046=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #593047=CARTESIAN_POINT('Origin',(1.6,-3.551,-1.76027756664041E-18)); #593048=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #593049=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #593050=CARTESIAN_POINT('Origin',(1.9,-3.3505,0.075)); #593051=CARTESIAN_POINT('Origin',(1.6,-3.3505,0.075)); #593052=CARTESIAN_POINT('Origin',(1.1,-3.15,0.)); #593053=CARTESIAN_POINT('',(1.1,-3.3,0.)); #593054=CARTESIAN_POINT('',(1.4,-3.3,0.)); #593055=CARTESIAN_POINT('Origin',(1.25,-3.3,0.)); #593056=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #593057=CARTESIAN_POINT('',(1.4,-3.55,0.)); #593058=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #593059=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #593060=CARTESIAN_POINT('',(1.1,-3.55,0.)); #593061=CARTESIAN_POINT('Origin',(1.25,-3.3,0.0625)); #593062=CARTESIAN_POINT('',(1.4,-3.3,0.05)); #593063=CARTESIAN_POINT('',(1.1,-3.3,0.05)); #593064=CARTESIAN_POINT('Origin',(1.25,-3.3,0.05)); #593065=CARTESIAN_POINT('',(1.4,-3.3,0.0625)); #593066=CARTESIAN_POINT('',(1.1,-3.3,0.0625)); #593067=CARTESIAN_POINT('Origin',(1.1,-3.55,0.05)); #593068=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #593069=CARTESIAN_POINT('',(1.1,-3.15,0.05)); #593070=CARTESIAN_POINT('',(1.4,-3.55,0.05)); #593071=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #593072=CARTESIAN_POINT('',(1.4,-3.15,0.05)); #593073=CARTESIAN_POINT('Origin',(1.1,-3.55,0.15)); #593074=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #593075=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #593076=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #593077=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #593078=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #593079=CARTESIAN_POINT('Origin',(1.1,-3.551,0.15)); #593080=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #593081=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #593082=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #593083=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #593084=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #593085=CARTESIAN_POINT('Origin',(1.1,-3.551,-1.76027756664041E-18)); #593086=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #593087=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #593088=CARTESIAN_POINT('Origin',(1.4,-3.3505,0.075)); #593089=CARTESIAN_POINT('Origin',(1.1,-3.3505,0.075)); #593090=CARTESIAN_POINT('Origin',(0.6,-3.15,0.)); #593091=CARTESIAN_POINT('',(0.6,-3.3,0.)); #593092=CARTESIAN_POINT('',(0.9,-3.3,0.)); #593093=CARTESIAN_POINT('Origin',(0.75,-3.3,0.)); #593094=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #593095=CARTESIAN_POINT('',(0.9,-3.55,0.)); #593096=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #593097=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #593098=CARTESIAN_POINT('',(0.6,-3.55,0.)); #593099=CARTESIAN_POINT('Origin',(0.75,-3.3,0.0625)); #593100=CARTESIAN_POINT('',(0.9,-3.3,0.05)); #593101=CARTESIAN_POINT('',(0.6,-3.3,0.05)); #593102=CARTESIAN_POINT('Origin',(0.75,-3.3,0.05)); #593103=CARTESIAN_POINT('',(0.9,-3.3,0.0625)); #593104=CARTESIAN_POINT('',(0.6,-3.3,0.0625)); #593105=CARTESIAN_POINT('Origin',(0.6,-3.55,0.05)); #593106=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #593107=CARTESIAN_POINT('',(0.6,-3.15,0.05)); #593108=CARTESIAN_POINT('',(0.9,-3.55,0.05)); #593109=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #593110=CARTESIAN_POINT('',(0.9,-3.15,0.05)); #593111=CARTESIAN_POINT('Origin',(0.6,-3.55,0.15)); #593112=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #593113=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #593114=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #593115=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #593116=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #593117=CARTESIAN_POINT('Origin',(0.6,-3.551,0.15)); #593118=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #593119=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #593120=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #593121=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #593122=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #593123=CARTESIAN_POINT('Origin',(0.6,-3.551,-1.76027756664041E-18)); #593124=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #593125=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #593126=CARTESIAN_POINT('Origin',(0.9,-3.3505,0.075)); #593127=CARTESIAN_POINT('Origin',(0.6,-3.3505,0.075)); #593128=CARTESIAN_POINT('Origin',(0.1,-3.15,0.)); #593129=CARTESIAN_POINT('',(0.1,-3.3,0.)); #593130=CARTESIAN_POINT('',(0.4,-3.3,0.)); #593131=CARTESIAN_POINT('Origin',(0.25,-3.3,0.)); #593132=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #593133=CARTESIAN_POINT('',(0.4,-3.55,0.)); #593134=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #593135=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #593136=CARTESIAN_POINT('',(0.1,-3.55,0.)); #593137=CARTESIAN_POINT('Origin',(0.25,-3.3,0.0625)); #593138=CARTESIAN_POINT('',(0.4,-3.3,0.05)); #593139=CARTESIAN_POINT('',(0.1,-3.3,0.05)); #593140=CARTESIAN_POINT('Origin',(0.25,-3.3,0.05)); #593141=CARTESIAN_POINT('',(0.4,-3.3,0.0625)); #593142=CARTESIAN_POINT('',(0.1,-3.3,0.0625)); #593143=CARTESIAN_POINT('Origin',(0.1,-3.55,0.05)); #593144=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #593145=CARTESIAN_POINT('',(0.1,-3.15,0.05)); #593146=CARTESIAN_POINT('',(0.4,-3.55,0.05)); #593147=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #593148=CARTESIAN_POINT('',(0.4,-3.15,0.05)); #593149=CARTESIAN_POINT('Origin',(0.1,-3.55,0.15)); #593150=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #593151=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #593152=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #593153=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #593154=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #593155=CARTESIAN_POINT('Origin',(0.1,-3.551,0.15)); #593156=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #593157=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #593158=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #593159=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #593160=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #593161=CARTESIAN_POINT('Origin',(0.1,-3.551,-1.76027756664041E-18)); #593162=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #593163=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #593164=CARTESIAN_POINT('Origin',(0.4,-3.3505,0.075)); #593165=CARTESIAN_POINT('Origin',(0.1,-3.3505,0.075)); #593166=CARTESIAN_POINT('Origin',(-0.4,-3.15,0.)); #593167=CARTESIAN_POINT('',(-0.4,-3.3,0.)); #593168=CARTESIAN_POINT('',(-0.1,-3.3,0.)); #593169=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.)); #593170=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #593171=CARTESIAN_POINT('',(-0.1,-3.55,0.)); #593172=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #593173=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #593174=CARTESIAN_POINT('',(-0.4,-3.55,0.)); #593175=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.0625)); #593176=CARTESIAN_POINT('',(-0.1,-3.3,0.05)); #593177=CARTESIAN_POINT('',(-0.4,-3.3,0.05)); #593178=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.05)); #593179=CARTESIAN_POINT('',(-0.1,-3.3,0.0625)); #593180=CARTESIAN_POINT('',(-0.4,-3.3,0.0625)); #593181=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.05)); #593182=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #593183=CARTESIAN_POINT('',(-0.4,-3.15,0.05)); #593184=CARTESIAN_POINT('',(-0.1,-3.55,0.05)); #593185=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #593186=CARTESIAN_POINT('',(-0.1,-3.15,0.05)); #593187=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.15)); #593188=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #593189=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #593190=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #593191=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #593192=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #593193=CARTESIAN_POINT('Origin',(-0.4,-3.551,0.15)); #593194=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #593195=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #593196=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #593197=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #593198=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #593199=CARTESIAN_POINT('Origin',(-0.4,-3.551,-1.76027756664041E-18)); #593200=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #593201=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #593202=CARTESIAN_POINT('Origin',(-0.1,-3.3505,0.075)); #593203=CARTESIAN_POINT('Origin',(-0.4,-3.3505,0.075)); #593204=CARTESIAN_POINT('Origin',(-0.9,-3.15,0.)); #593205=CARTESIAN_POINT('',(-0.9,-3.3,0.)); #593206=CARTESIAN_POINT('',(-0.6,-3.3,0.)); #593207=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.)); #593208=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #593209=CARTESIAN_POINT('',(-0.6,-3.55,0.)); #593210=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #593211=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #593212=CARTESIAN_POINT('',(-0.9,-3.55,0.)); #593213=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.0625)); #593214=CARTESIAN_POINT('',(-0.6,-3.3,0.05)); #593215=CARTESIAN_POINT('',(-0.9,-3.3,0.05)); #593216=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.05)); #593217=CARTESIAN_POINT('',(-0.6,-3.3,0.0625)); #593218=CARTESIAN_POINT('',(-0.9,-3.3,0.0625)); #593219=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.05)); #593220=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #593221=CARTESIAN_POINT('',(-0.9,-3.15,0.05)); #593222=CARTESIAN_POINT('',(-0.6,-3.55,0.05)); #593223=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #593224=CARTESIAN_POINT('',(-0.6,-3.15,0.05)); #593225=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.15)); #593226=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #593227=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #593228=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #593229=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #593230=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #593231=CARTESIAN_POINT('Origin',(-0.9,-3.551,0.15)); #593232=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #593233=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #593234=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #593235=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #593236=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #593237=CARTESIAN_POINT('Origin',(-0.9,-3.551,-1.76027756664041E-18)); #593238=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #593239=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #593240=CARTESIAN_POINT('Origin',(-0.6,-3.3505,0.075)); #593241=CARTESIAN_POINT('Origin',(-0.9,-3.3505,0.075)); #593242=CARTESIAN_POINT('Origin',(-1.4,-3.15,0.)); #593243=CARTESIAN_POINT('',(-1.4,-3.3,0.)); #593244=CARTESIAN_POINT('',(-1.1,-3.3,0.)); #593245=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.)); #593246=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #593247=CARTESIAN_POINT('',(-1.1,-3.55,0.)); #593248=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #593249=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #593250=CARTESIAN_POINT('',(-1.4,-3.55,0.)); #593251=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.0625)); #593252=CARTESIAN_POINT('',(-1.1,-3.3,0.05)); #593253=CARTESIAN_POINT('',(-1.4,-3.3,0.05)); #593254=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.05)); #593255=CARTESIAN_POINT('',(-1.1,-3.3,0.0625)); #593256=CARTESIAN_POINT('',(-1.4,-3.3,0.0625)); #593257=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.05)); #593258=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #593259=CARTESIAN_POINT('',(-1.4,-3.15,0.05)); #593260=CARTESIAN_POINT('',(-1.1,-3.55,0.05)); #593261=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #593262=CARTESIAN_POINT('',(-1.1,-3.15,0.05)); #593263=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.15)); #593264=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #593265=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #593266=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #593267=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #593268=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #593269=CARTESIAN_POINT('Origin',(-1.4,-3.551,0.15)); #593270=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #593271=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #593272=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #593273=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #593274=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #593275=CARTESIAN_POINT('Origin',(-1.4,-3.551,-1.76027756664041E-18)); #593276=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #593277=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #593278=CARTESIAN_POINT('Origin',(-1.1,-3.3505,0.075)); #593279=CARTESIAN_POINT('Origin',(-1.4,-3.3505,0.075)); #593280=CARTESIAN_POINT('Origin',(-1.9,-3.15,0.)); #593281=CARTESIAN_POINT('',(-1.9,-3.3,0.)); #593282=CARTESIAN_POINT('',(-1.6,-3.3,0.)); #593283=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.)); #593284=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #593285=CARTESIAN_POINT('',(-1.6,-3.55,0.)); #593286=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #593287=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #593288=CARTESIAN_POINT('',(-1.9,-3.55,0.)); #593289=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.0625)); #593290=CARTESIAN_POINT('',(-1.6,-3.3,0.05)); #593291=CARTESIAN_POINT('',(-1.9,-3.3,0.05)); #593292=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.05)); #593293=CARTESIAN_POINT('',(-1.6,-3.3,0.0625)); #593294=CARTESIAN_POINT('',(-1.9,-3.3,0.0625)); #593295=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.05)); #593296=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #593297=CARTESIAN_POINT('',(-1.9,-3.15,0.05)); #593298=CARTESIAN_POINT('',(-1.6,-3.55,0.05)); #593299=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #593300=CARTESIAN_POINT('',(-1.6,-3.15,0.05)); #593301=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.15)); #593302=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #593303=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #593304=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #593305=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #593306=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #593307=CARTESIAN_POINT('Origin',(-1.9,-3.551,0.15)); #593308=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #593309=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #593310=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #593311=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #593312=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #593313=CARTESIAN_POINT('Origin',(-1.9,-3.551,-1.76027756664041E-18)); #593314=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #593315=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #593316=CARTESIAN_POINT('Origin',(-1.6,-3.3505,0.075)); #593317=CARTESIAN_POINT('Origin',(-1.9,-3.3505,0.075)); #593318=CARTESIAN_POINT('Origin',(-2.4,-3.15,0.)); #593319=CARTESIAN_POINT('',(-2.4,-3.3,0.)); #593320=CARTESIAN_POINT('',(-2.1,-3.3,0.)); #593321=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.)); #593322=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #593323=CARTESIAN_POINT('',(-2.1,-3.55,0.)); #593324=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #593325=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #593326=CARTESIAN_POINT('',(-2.4,-3.55,0.)); #593327=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.0625)); #593328=CARTESIAN_POINT('',(-2.1,-3.3,0.05)); #593329=CARTESIAN_POINT('',(-2.4,-3.3,0.05)); #593330=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.05)); #593331=CARTESIAN_POINT('',(-2.1,-3.3,0.0625)); #593332=CARTESIAN_POINT('',(-2.4,-3.3,0.0625)); #593333=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.05)); #593334=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #593335=CARTESIAN_POINT('',(-2.4,-3.15,0.05)); #593336=CARTESIAN_POINT('',(-2.1,-3.55,0.05)); #593337=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #593338=CARTESIAN_POINT('',(-2.1,-3.15,0.05)); #593339=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.15)); #593340=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #593341=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #593342=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #593343=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #593344=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #593345=CARTESIAN_POINT('Origin',(-2.4,-3.551,0.15)); #593346=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #593347=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #593348=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #593349=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #593350=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #593351=CARTESIAN_POINT('Origin',(-2.4,-3.551,-1.76027756664041E-18)); #593352=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #593353=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #593354=CARTESIAN_POINT('Origin',(-2.1,-3.3505,0.075)); #593355=CARTESIAN_POINT('Origin',(-2.4,-3.3505,0.075)); #593356=CARTESIAN_POINT('Origin',(-2.9,-3.15,0.)); #593357=CARTESIAN_POINT('',(-2.9,-3.3,0.)); #593358=CARTESIAN_POINT('',(-2.6,-3.3,0.)); #593359=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.)); #593360=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #593361=CARTESIAN_POINT('',(-2.6,-3.55,0.)); #593362=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #593363=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #593364=CARTESIAN_POINT('',(-2.9,-3.55,0.)); #593365=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.0625)); #593366=CARTESIAN_POINT('',(-2.6,-3.3,0.05)); #593367=CARTESIAN_POINT('',(-2.9,-3.3,0.05)); #593368=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.05)); #593369=CARTESIAN_POINT('',(-2.6,-3.3,0.0625)); #593370=CARTESIAN_POINT('',(-2.9,-3.3,0.0625)); #593371=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.05)); #593372=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #593373=CARTESIAN_POINT('',(-2.9,-3.15,0.05)); #593374=CARTESIAN_POINT('',(-2.6,-3.55,0.05)); #593375=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #593376=CARTESIAN_POINT('',(-2.6,-3.15,0.05)); #593377=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.15)); #593378=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #593379=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #593380=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #593381=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #593382=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #593383=CARTESIAN_POINT('Origin',(-2.9,-3.551,0.15)); #593384=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #593385=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #593386=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #593387=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #593388=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #593389=CARTESIAN_POINT('Origin',(-2.9,-3.551,-1.76027756664041E-18)); #593390=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #593391=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #593392=CARTESIAN_POINT('Origin',(-2.6,-3.3505,0.075)); #593393=CARTESIAN_POINT('Origin',(-2.9,-3.3505,0.075)); #593394=CARTESIAN_POINT('Origin',(-2.7,-2.7,0.)); #593395=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #593396=CARTESIAN_POINT('',(2.7,-2.7,0.)); #593397=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #593398=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #593399=CARTESIAN_POINT('',(2.7,-2.7,0.)); #593400=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #593401=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #593402=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #593403=CARTESIAN_POINT('Origin',(-2.7,2.7,0.)); #593404=CARTESIAN_POINT('',(-2.7,2.7,0.)); #593405=CARTESIAN_POINT('',(-2.7,2.7,0.)); #593406=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #593407=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #593408=CARTESIAN_POINT('',(-2.7,2.7,0.)); #593409=CARTESIAN_POINT('Origin',(2.7,2.7,0.)); #593410=CARTESIAN_POINT('',(2.7,2.7,0.)); #593411=CARTESIAN_POINT('',(2.7,2.7,0.)); #593412=CARTESIAN_POINT('',(2.7,2.7,0.05)); #593413=CARTESIAN_POINT('',(2.7,2.7,0.05)); #593414=CARTESIAN_POINT('',(2.7,2.7,0.)); #593415=CARTESIAN_POINT('Origin',(2.7,-2.7,0.)); #593416=CARTESIAN_POINT('',(2.7,-2.7,0.)); #593417=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #593418=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #593419=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593420=CARTESIAN_POINT('',(0.,0.,0.)); #593421=CARTESIAN_POINT('',(0.,0.,0.)); #593422=CARTESIAN_POINT('',(0.,0.,0.)); #593423=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #593424=CARTESIAN_POINT('',(-1.6,-0.81,0.51)); #593425=CARTESIAN_POINT('',(-1.56,-0.81,0.55)); #593426=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #593427=CARTESIAN_POINT('',(-1.56,-0.85,0.51)); #593428=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #593429=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #593430=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #593431=CARTESIAN_POINT('',(-0.99,-0.85,0.51)); #593432=CARTESIAN_POINT('',(-0.99,-0.81,0.55)); #593433=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #593434=CARTESIAN_POINT('',(-0.95,-0.81,0.51)); #593435=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #593436=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #593437=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #593438=CARTESIAN_POINT('',(-1.6,-0.81,0.04)); #593439=CARTESIAN_POINT('',(-1.56,-0.85,0.04)); #593440=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #593441=CARTESIAN_POINT('',(-1.56,-0.81,0.)); #593442=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #593443=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #593444=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #593445=CARTESIAN_POINT('',(-1.56,0.85,0.51)); #593446=CARTESIAN_POINT('',(-1.56,0.81,0.55)); #593447=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #593448=CARTESIAN_POINT('',(-1.6,0.81,0.51)); #593449=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #593450=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #593451=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #593452=CARTESIAN_POINT('',(-0.99,-0.85,0.04)); #593453=CARTESIAN_POINT('',(-0.95,-0.81,0.04)); #593454=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #593455=CARTESIAN_POINT('',(-0.99,-0.81,0.)); #593456=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #593457=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #593458=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.04)); #593459=CARTESIAN_POINT('',(-1.4375,-0.85,0.04)); #593460=CARTESIAN_POINT('',(-1.4375,-0.81,0.)); #593461=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #593462=CARTESIAN_POINT('',(-0.95,0.81,0.51)); #593463=CARTESIAN_POINT('',(-0.99,0.81,0.55)); #593464=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #593465=CARTESIAN_POINT('',(-0.99,0.85,0.51)); #593466=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #593467=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #593468=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #593469=CARTESIAN_POINT('',(-1.56,0.81,0.)); #593470=CARTESIAN_POINT('',(-1.56,0.85,0.04)); #593471=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #593472=CARTESIAN_POINT('',(-1.6,0.81,0.04)); #593473=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #593474=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #593475=CARTESIAN_POINT('Origin',(-1.56,0.425,0.04)); #593476=CARTESIAN_POINT('',(-1.6,0.425,0.04)); #593477=CARTESIAN_POINT('',(-1.56,0.425,0.)); #593478=CARTESIAN_POINT('Origin',(-1.56,0.81,0.)); #593479=CARTESIAN_POINT('',(-1.56,0.85,0.)); #593480=CARTESIAN_POINT('',(-1.6,0.81,0.)); #593481=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #593482=CARTESIAN_POINT('',(-0.95,0.81,0.04)); #593483=CARTESIAN_POINT('',(-0.99,0.85,0.04)); #593484=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #593485=CARTESIAN_POINT('',(-0.99,0.81,0.)); #593486=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #593487=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #593488=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.04)); #593489=CARTESIAN_POINT('',(-0.99,-0.425,0.)); #593490=CARTESIAN_POINT('',(-0.95,-0.425,0.04)); #593491=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.04)); #593492=CARTESIAN_POINT('',(-1.1125,0.85,0.04)); #593493=CARTESIAN_POINT('',(-1.1125,0.81,0.)); #593494=CARTESIAN_POINT('Origin',(-0.99,0.81,0.)); #593495=CARTESIAN_POINT('',(-0.95,0.81,0.)); #593496=CARTESIAN_POINT('',(-0.99,0.85,0.)); #593497=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.51)); #593498=CARTESIAN_POINT('',(-1.1125,0.81,0.55)); #593499=CARTESIAN_POINT('',(-1.1125,0.85,0.51)); #593500=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.51)); #593501=CARTESIAN_POINT('',(-0.99,-0.425,0.55)); #593502=CARTESIAN_POINT('',(-0.95,-0.425,0.51)); #593503=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.)); #593504=CARTESIAN_POINT('',(-0.99,-0.85,0.)); #593505=CARTESIAN_POINT('',(-0.95,-0.81,0.)); #593506=CARTESIAN_POINT('Origin',(-1.56,0.425,0.51)); #593507=CARTESIAN_POINT('',(-1.56,0.425,0.55)); #593508=CARTESIAN_POINT('',(-1.6,0.425,0.51)); #593509=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.)); #593510=CARTESIAN_POINT('',(-1.6,-0.81,0.)); #593511=CARTESIAN_POINT('',(-1.56,-0.85,0.)); #593512=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.51)); #593513=CARTESIAN_POINT('',(-1.4375,-0.81,0.55)); #593514=CARTESIAN_POINT('',(-1.4375,-0.85,0.51)); #593515=CARTESIAN_POINT('Origin',(-1.6,-0.85,0.)); #593516=CARTESIAN_POINT('Origin',(-1.275,0.,0.)); #593517=CARTESIAN_POINT('Origin',(-1.6,0.85,0.)); #593518=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #593519=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #593520=CARTESIAN_POINT('Origin',(-1.275,0.,0.55)); #593521=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #593522=CARTESIAN_POINT('',(0.95,-0.81,0.51)); #593523=CARTESIAN_POINT('',(0.99,-0.81,0.55)); #593524=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #593525=CARTESIAN_POINT('',(0.99,-0.85,0.51)); #593526=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #593527=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #593528=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #593529=CARTESIAN_POINT('',(1.56,-0.85,0.51)); #593530=CARTESIAN_POINT('',(1.56,-0.81,0.55)); #593531=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #593532=CARTESIAN_POINT('',(1.6,-0.81,0.51)); #593533=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #593534=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #593535=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #593536=CARTESIAN_POINT('',(0.95,-0.81,0.04)); #593537=CARTESIAN_POINT('',(0.99,-0.85,0.04)); #593538=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #593539=CARTESIAN_POINT('',(0.99,-0.81,0.)); #593540=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #593541=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #593542=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #593543=CARTESIAN_POINT('',(0.99,0.85,0.51)); #593544=CARTESIAN_POINT('',(0.99,0.81,0.55)); #593545=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #593546=CARTESIAN_POINT('',(0.95,0.81,0.51)); #593547=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #593548=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #593549=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #593550=CARTESIAN_POINT('',(1.56,-0.85,0.04)); #593551=CARTESIAN_POINT('',(1.6,-0.81,0.04)); #593552=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #593553=CARTESIAN_POINT('',(1.56,-0.81,0.)); #593554=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #593555=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #593556=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.04)); #593557=CARTESIAN_POINT('',(1.1125,-0.85,0.04)); #593558=CARTESIAN_POINT('',(1.1125,-0.81,0.)); #593559=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #593560=CARTESIAN_POINT('',(1.6,0.81,0.51)); #593561=CARTESIAN_POINT('',(1.56,0.81,0.55)); #593562=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #593563=CARTESIAN_POINT('',(1.56,0.85,0.51)); #593564=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #593565=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #593566=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #593567=CARTESIAN_POINT('',(0.99,0.81,0.)); #593568=CARTESIAN_POINT('',(0.99,0.85,0.04)); #593569=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #593570=CARTESIAN_POINT('',(0.95,0.81,0.04)); #593571=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #593572=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #593573=CARTESIAN_POINT('Origin',(0.99,0.425,0.04)); #593574=CARTESIAN_POINT('',(0.95,0.425,0.04)); #593575=CARTESIAN_POINT('',(0.99,0.425,0.)); #593576=CARTESIAN_POINT('Origin',(0.99,0.81,0.)); #593577=CARTESIAN_POINT('',(0.99,0.85,0.)); #593578=CARTESIAN_POINT('',(0.95,0.81,0.)); #593579=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #593580=CARTESIAN_POINT('',(1.6,0.81,0.04)); #593581=CARTESIAN_POINT('',(1.56,0.85,0.04)); #593582=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #593583=CARTESIAN_POINT('',(1.56,0.81,0.)); #593584=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #593585=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #593586=CARTESIAN_POINT('Origin',(1.56,-0.425,0.04)); #593587=CARTESIAN_POINT('',(1.6,-0.425,0.04)); #593588=CARTESIAN_POINT('',(1.56,-0.425,0.)); #593589=CARTESIAN_POINT('Origin',(1.4375,0.81,0.04)); #593590=CARTESIAN_POINT('',(1.4375,0.85,0.04)); #593591=CARTESIAN_POINT('',(1.4375,0.81,0.)); #593592=CARTESIAN_POINT('Origin',(1.56,0.81,0.)); #593593=CARTESIAN_POINT('',(1.6,0.81,0.)); #593594=CARTESIAN_POINT('',(1.56,0.85,0.)); #593595=CARTESIAN_POINT('Origin',(1.4375,0.81,0.51)); #593596=CARTESIAN_POINT('',(1.4375,0.81,0.55)); #593597=CARTESIAN_POINT('',(1.4375,0.85,0.51)); #593598=CARTESIAN_POINT('Origin',(1.56,-0.425,0.51)); #593599=CARTESIAN_POINT('',(1.56,-0.425,0.55)); #593600=CARTESIAN_POINT('',(1.6,-0.425,0.51)); #593601=CARTESIAN_POINT('Origin',(1.56,-0.81,0.)); #593602=CARTESIAN_POINT('',(1.56,-0.85,0.)); #593603=CARTESIAN_POINT('',(1.6,-0.81,0.)); #593604=CARTESIAN_POINT('Origin',(0.99,0.425,0.51)); #593605=CARTESIAN_POINT('',(0.99,0.425,0.55)); #593606=CARTESIAN_POINT('',(0.95,0.425,0.51)); #593607=CARTESIAN_POINT('Origin',(0.99,-0.81,0.)); #593608=CARTESIAN_POINT('',(0.95,-0.81,0.)); #593609=CARTESIAN_POINT('',(0.99,-0.85,0.)); #593610=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.51)); #593611=CARTESIAN_POINT('',(1.1125,-0.81,0.55)); #593612=CARTESIAN_POINT('',(1.1125,-0.85,0.51)); #593613=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #593614=CARTESIAN_POINT('Origin',(1.275,0.,0.)); #593615=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #593616=CARTESIAN_POINT('Origin',(1.6,0.85,0.)); #593617=CARTESIAN_POINT('Origin',(1.6,-0.85,0.)); #593618=CARTESIAN_POINT('Origin',(1.275,0.,0.55)); #593619=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #593620=CARTESIAN_POINT('',(0.95,0.85,0.)); #593621=CARTESIAN_POINT('',(0.95,-0.85,0.)); #593622=CARTESIAN_POINT('',(0.95,0.85,0.)); #593623=CARTESIAN_POINT('',(0.95,0.85,0.55)); #593624=CARTESIAN_POINT('',(0.95,0.85,0.)); #593625=CARTESIAN_POINT('',(0.95,-0.85,0.55)); #593626=CARTESIAN_POINT('',(0.95,0.85,0.55)); #593627=CARTESIAN_POINT('',(0.95,-0.85,0.)); #593628=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #593629=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #593630=CARTESIAN_POINT('',(-1.6,-0.85,0.)); #593631=CARTESIAN_POINT('',(-0.95,-0.85,0.55)); #593632=CARTESIAN_POINT('',(-1.6,-0.85,0.55)); #593633=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #593634=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #593635=CARTESIAN_POINT('',(-0.95,0.85,0.)); #593636=CARTESIAN_POINT('',(-0.95,0.85,0.)); #593637=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #593638=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #593639=CARTESIAN_POINT('',(-0.95,0.85,0.)); #593640=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #593641=CARTESIAN_POINT('',(1.6,0.85,0.)); #593642=CARTESIAN_POINT('',(1.6,0.85,0.55)); #593643=CARTESIAN_POINT('Origin',(0.,0.,0.55)); #593644=CARTESIAN_POINT('Origin',(0.,0.,0.)); #593645=CARTESIAN_POINT('',(0.,0.,0.)); #593646=CARTESIAN_POINT('',(0.,0.,0.)); #593647=CARTESIAN_POINT('',(0.,0.,0.)); #593648=CARTESIAN_POINT('Origin',(-1.4225,0.475,0.9)); #593649=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #593650=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #593651=CARTESIAN_POINT('',(-1.395,0.475,1.1)); #593652=CARTESIAN_POINT('',(-1.45,0.95,0.7)); #593653=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #593654=CARTESIAN_POINT('',(-1.45,-0.95,0.7)); #593655=CARTESIAN_POINT('',(-1.45,0.475,0.7)); #593656=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #593657=CARTESIAN_POINT('Origin',(0.725,0.9225,0.9)); #593658=CARTESIAN_POINT('',(1.395,0.895,1.1)); #593659=CARTESIAN_POINT('',(0.725,0.895,1.1)); #593660=CARTESIAN_POINT('',(1.45,0.95,0.7)); #593661=CARTESIAN_POINT('',(1.395,0.895,1.1)); #593662=CARTESIAN_POINT('',(0.725,0.95,0.7)); #593663=CARTESIAN_POINT('Origin',(1.4225,-0.475,0.9)); #593664=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #593665=CARTESIAN_POINT('',(1.395,-0.475,1.1)); #593666=CARTESIAN_POINT('',(1.45,-0.95,0.7)); #593667=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #593668=CARTESIAN_POINT('',(1.45,-0.475,0.7)); #593669=CARTESIAN_POINT('Origin',(-0.725,-0.9225,0.9)); #593670=CARTESIAN_POINT('',(-0.725,-0.95,0.7)); #593671=CARTESIAN_POINT('',(-0.725,-0.895,1.1)); #593672=CARTESIAN_POINT('Origin',(-1.45,-0.95,0.15)); #593673=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #593674=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #593675=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #593676=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #593677=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #593678=CARTESIAN_POINT('Origin',(-1.45,0.95,0.15)); #593679=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #593680=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #593681=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #593682=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #593683=CARTESIAN_POINT('Origin',(1.45,0.95,0.15)); #593684=CARTESIAN_POINT('',(1.45,0.95,0.15)); #593685=CARTESIAN_POINT('',(1.45,0.95,0.15)); #593686=CARTESIAN_POINT('',(1.45,0.95,0.15)); #593687=CARTESIAN_POINT('Origin',(1.45,-0.95,0.15)); #593688=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #593689=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #593690=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #593691=CARTESIAN_POINT('',(1.45,0.55,0.)); #593692=CARTESIAN_POINT('',(1.45,-0.55,0.)); #593693=CARTESIAN_POINT('',(1.45,0.,0.)); #593694=CARTESIAN_POINT('',(1.45,0.55,0.15)); #593695=CARTESIAN_POINT('',(1.45,0.55,0.)); #593696=CARTESIAN_POINT('',(1.45,-0.55,0.15)); #593697=CARTESIAN_POINT('',(1.45,0.,0.15)); #593698=CARTESIAN_POINT('',(1.45,-0.55,0.)); #593699=CARTESIAN_POINT('Origin',(0.7,-0.55,0.)); #593700=CARTESIAN_POINT('',(0.7,-0.55,0.)); #593701=CARTESIAN_POINT('',(0.7,-0.55,0.)); #593702=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #593703=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #593704=CARTESIAN_POINT('',(0.7,-0.55,0.)); #593705=CARTESIAN_POINT('Origin',(0.7,0.55,0.)); #593706=CARTESIAN_POINT('',(0.7,0.55,0.)); #593707=CARTESIAN_POINT('',(0.7,0.55,0.)); #593708=CARTESIAN_POINT('',(0.7,0.55,0.15)); #593709=CARTESIAN_POINT('',(0.7,0.55,0.15)); #593710=CARTESIAN_POINT('',(0.7,0.55,0.)); #593711=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #593712=CARTESIAN_POINT('',(1.6,0.55,0.)); #593713=CARTESIAN_POINT('',(1.6,0.55,0.15)); #593714=CARTESIAN_POINT('Origin',(1.075,0.,0.15)); #593715=CARTESIAN_POINT('Origin',(1.075,0.,0.)); #593716=CARTESIAN_POINT('Origin',(1.6,0.55,0.)); #593717=CARTESIAN_POINT('',(1.6,0.55,0.)); #593718=CARTESIAN_POINT('',(1.45,0.55,0.)); #593719=CARTESIAN_POINT('',(1.6,0.55,0.)); #593720=CARTESIAN_POINT('',(1.45,0.55,0.7)); #593721=CARTESIAN_POINT('',(1.45,0.55,0.)); #593722=CARTESIAN_POINT('',(1.6,0.55,0.7)); #593723=CARTESIAN_POINT('',(1.6,0.55,0.7)); #593724=CARTESIAN_POINT('',(1.6,0.55,0.)); #593725=CARTESIAN_POINT('Origin',(1.6,-0.55,0.)); #593726=CARTESIAN_POINT('',(1.6,-0.55,0.)); #593727=CARTESIAN_POINT('',(1.6,-0.55,0.)); #593728=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #593729=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #593730=CARTESIAN_POINT('',(1.6,-0.55,0.)); #593731=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #593732=CARTESIAN_POINT('',(1.45,-0.55,0.)); #593733=CARTESIAN_POINT('',(0.7,-0.55,0.)); #593734=CARTESIAN_POINT('',(1.45,-0.55,0.7)); #593735=CARTESIAN_POINT('',(0.7,-0.55,0.7)); #593736=CARTESIAN_POINT('',(1.45,-0.55,0.)); #593737=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #593738=CARTESIAN_POINT('',(1.45,0.,0.)); #593739=CARTESIAN_POINT('',(1.45,0.,0.7)); #593740=CARTESIAN_POINT('Origin',(1.525,0.,0.7)); #593741=CARTESIAN_POINT('Origin',(1.525,0.,0.)); #593742=CARTESIAN_POINT('Origin',(-0.7,0.55,0.)); #593743=CARTESIAN_POINT('',(-1.45,0.55,0.)); #593744=CARTESIAN_POINT('',(-0.7,0.55,0.)); #593745=CARTESIAN_POINT('',(-1.6,0.55,0.)); #593746=CARTESIAN_POINT('',(-1.45,0.55,0.15)); #593747=CARTESIAN_POINT('',(-1.45,0.55,0.)); #593748=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #593749=CARTESIAN_POINT('',(-1.6,0.55,0.15)); #593750=CARTESIAN_POINT('',(-0.7,0.55,0.)); #593751=CARTESIAN_POINT('Origin',(-0.7,-0.55,0.)); #593752=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #593753=CARTESIAN_POINT('',(-0.7,0.55,0.)); #593754=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #593755=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #593756=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #593757=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #593758=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #593759=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #593760=CARTESIAN_POINT('',(-1.45,-0.55,0.15)); #593761=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #593762=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #593763=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #593764=CARTESIAN_POINT('',(-1.45,0.,0.)); #593765=CARTESIAN_POINT('',(-1.45,0.,0.15)); #593766=CARTESIAN_POINT('Origin',(-1.075,0.,0.15)); #593767=CARTESIAN_POINT('Origin',(-1.075,0.,0.)); #593768=CARTESIAN_POINT('Origin',(-1.6,-0.55,0.)); #593769=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #593770=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #593771=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #593772=CARTESIAN_POINT('',(-1.45,-0.55,0.7)); #593773=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #593774=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #593775=CARTESIAN_POINT('',(-0.7,-0.55,0.7)); #593776=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #593777=CARTESIAN_POINT('Origin',(-1.6,0.55,0.)); #593778=CARTESIAN_POINT('',(-1.6,0.55,0.)); #593779=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #593780=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #593781=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #593782=CARTESIAN_POINT('',(-1.6,0.55,0.)); #593783=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #593784=CARTESIAN_POINT('',(-1.45,0.55,0.)); #593785=CARTESIAN_POINT('',(-1.6,0.55,0.)); #593786=CARTESIAN_POINT('',(-1.45,0.55,0.7)); #593787=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #593788=CARTESIAN_POINT('',(-1.45,0.55,0.)); #593789=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #593790=CARTESIAN_POINT('',(-1.45,0.,0.)); #593791=CARTESIAN_POINT('',(-1.45,0.,0.7)); #593792=CARTESIAN_POINT('Origin',(-1.525,0.,0.7)); #593793=CARTESIAN_POINT('Origin',(-1.525,0.,0.)); #593794=CARTESIAN_POINT('Origin',(-0.105,-0.895,1.1)); #593795=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #593796=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #593797=CARTESIAN_POINT('',(-0.105,0.,1.1)); #593798=CARTESIAN_POINT('',(-0.105,0.895,1.102)); #593799=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #593800=CARTESIAN_POINT('',(-0.105,-0.895,1.102)); #593801=CARTESIAN_POINT('',(-0.105,0.,1.102)); #593802=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #593803=CARTESIAN_POINT('Origin',(-1.39499999999999,-0.895000000000007, 1.1)); #593804=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #593805=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #593806=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #593807=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #593808=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #593809=CARTESIAN_POINT('Origin',(-1.39499999999999,0.894999999999993,1.1)); #593810=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #593811=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #593812=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #593813=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #593814=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #593815=CARTESIAN_POINT('Origin',(-0.105,0.894999999999993,1.1)); #593816=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.1)); #593817=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.102)); #593818=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.102)); #593819=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.1)); #593820=CARTESIAN_POINT('',(0.,0.,0.)); #593821=CARTESIAN_POINT('',(0.,0.,0.)); #593822=CARTESIAN_POINT('',(0.,0.,0.)); #593823=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #593824=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #593825=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #593826=CARTESIAN_POINT('',(-1.6205,1.951,1.575)); #593827=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #593828=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #593829=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #593830=CARTESIAN_POINT('Origin',(-1.85,1.2625,1.3875)); #593831=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #593832=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #593833=CARTESIAN_POINT('',(-1.705,1.2625,1.75)); #593834=CARTESIAN_POINT('',(-1.995,2.525,1.025)); #593835=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #593836=CARTESIAN_POINT('',(-1.995,-2.525,1.025)); #593837=CARTESIAN_POINT('',(-1.995,1.2625,1.025)); #593838=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #593839=CARTESIAN_POINT('Origin',(0.9975,2.38,1.3875)); #593840=CARTESIAN_POINT('',(1.705,2.235,1.75)); #593841=CARTESIAN_POINT('',(0.9975,2.235,1.75)); #593842=CARTESIAN_POINT('',(1.995,2.525,1.025)); #593843=CARTESIAN_POINT('',(1.705,2.235,1.75)); #593844=CARTESIAN_POINT('',(0.9975,2.525,1.025)); #593845=CARTESIAN_POINT('Origin',(1.85,-1.2625,1.3875)); #593846=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #593847=CARTESIAN_POINT('',(1.705,-1.2625,1.75)); #593848=CARTESIAN_POINT('',(1.995,-2.525,1.025)); #593849=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #593850=CARTESIAN_POINT('',(1.995,-1.2625,1.025)); #593851=CARTESIAN_POINT('Origin',(-0.9975,-2.38,1.3875)); #593852=CARTESIAN_POINT('',(-0.9975,-2.525,1.025)); #593853=CARTESIAN_POINT('',(-0.9975,-2.235,1.75)); #593854=CARTESIAN_POINT('Origin',(-1.995,-2.525,0.1)); #593855=CARTESIAN_POINT('',(-1.995,-2.525,0.825)); #593856=CARTESIAN_POINT('',(-1.995,-2.525,0.1)); #593857=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #593858=CARTESIAN_POINT('',(-0.9975,-2.525,0.825)); #593859=CARTESIAN_POINT('',(1.995,-2.525,0.1)); #593860=CARTESIAN_POINT('Origin',(-1.995,2.525,0.1)); #593861=CARTESIAN_POINT('',(-1.995,2.525,0.825)); #593862=CARTESIAN_POINT('',(-1.995,2.525,0.1)); #593863=CARTESIAN_POINT('',(-1.995,1.2625,0.825)); #593864=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #593865=CARTESIAN_POINT('Origin',(1.85,-1.2625,0.4625)); #593866=CARTESIAN_POINT('',(1.995,2.525,0.825)); #593867=CARTESIAN_POINT('',(1.995,-1.2625,0.825)); #593868=CARTESIAN_POINT('',(1.705,-2.235,0.1)); #593869=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #593870=CARTESIAN_POINT('',(1.705,2.235,0.1)); #593871=CARTESIAN_POINT('',(1.705,-1.2625,0.1)); #593872=CARTESIAN_POINT('',(1.705,2.235,0.1)); #593873=CARTESIAN_POINT('Origin',(-0.9975,-2.38,0.4625)); #593874=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #593875=CARTESIAN_POINT('',(-0.9975,-2.235,0.1)); #593876=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #593877=CARTESIAN_POINT('Origin',(0.9975,2.38,0.4625)); #593878=CARTESIAN_POINT('',(0.9975,2.525,0.825)); #593879=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #593880=CARTESIAN_POINT('',(0.9975,2.235,0.1)); #593881=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #593882=CARTESIAN_POINT('Origin',(-1.85,1.2625,0.4625)); #593883=CARTESIAN_POINT('',(-1.705,1.2625,0.1)); #593884=CARTESIAN_POINT('Origin',(1.995,-2.525,0.1)); #593885=CARTESIAN_POINT('',(1.995,2.525,0.1)); #593886=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #593887=CARTESIAN_POINT('Origin',(1.995,2.525,0.1)); #593888=CARTESIAN_POINT('Origin',(-2.5475,1.65,0.5125)); #593889=CARTESIAN_POINT('',(-2.465,1.65,-1.04083408558608E-16)); #593890=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #593891=CARTESIAN_POINT('Origin',(-2.465,1.65,0.200000000000001)); #593892=CARTESIAN_POINT('',(-2.14428436552253,1.65,0.784790282736416)); #593893=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #593894=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #593895=CARTESIAN_POINT('Origin',(-2.09525226346787,1.65,0.774999829834903)); #593896=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #593897=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #593898=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #593899=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #593900=CARTESIAN_POINT('',(-2.14815615444089,1.65,1.02499973984031)); #593901=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #593902=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #593903=CARTESIAN_POINT('Origin',(-2.148155814966,1.65,0.824999739840563)); #593904=CARTESIAN_POINT('',(-2.46887159177804,1.65,0.240209535164483)); #593905=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #593906=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #593907=CARTESIAN_POINT('Origin',(-2.51790369145059,1.65,0.25000000000029)); #593908=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #593909=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #593910=CARTESIAN_POINT('',(-3.1,1.65,0.)); #593911=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #593912=CARTESIAN_POINT('',(-3.1,1.65,0.)); #593913=CARTESIAN_POINT('Origin',(-2.14428436552253,1.905,0.784790282736416)); #593914=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #593915=CARTESIAN_POINT('',(-2.26887159177804,1.905,0.160838188393282)); #593916=CARTESIAN_POINT('',(-2.14428436552253,2.16,0.784790282736416)); #593917=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #593918=CARTESIAN_POINT('',(-2.14428436552253,1.905,0.784790282736416)); #593919=CARTESIAN_POINT('Origin',(-2.09525226346787,1.905,0.774999829834903)); #593920=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #593921=CARTESIAN_POINT('Origin',(-2.09525226346787,2.16,0.774999829834903)); #593922=CARTESIAN_POINT('',(-2.09525234833659,1.905,0.824999829833981)); #593923=CARTESIAN_POINT('Origin',(-1.995,1.905,0.825)); #593924=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #593925=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #593926=CARTESIAN_POINT('',(-1.995,1.905,0.825)); #593927=CARTESIAN_POINT('Origin',(-1.99500033947489,1.905,1.025)); #593928=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #593929=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #593930=CARTESIAN_POINT('',(-1.99500033947489,1.905,1.025)); #593931=CARTESIAN_POINT('Origin',(-2.14815615444089,1.905,1.02499974003724)); #593932=CARTESIAN_POINT('',(-2.14815615444089,2.16,1.02499973984031)); #593933=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #593934=CARTESIAN_POINT('',(-2.14815615444089,1.905,1.02499973984031)); #593935=CARTESIAN_POINT('Origin',(-2.148155814966,1.905,0.824999739840563)); #593936=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #593937=CARTESIAN_POINT('Origin',(-2.148155814966,2.16,0.824999739840563)); #593938=CARTESIAN_POINT('',(-2.34428421365623,1.905,0.864161599183795)); #593939=CARTESIAN_POINT('Origin',(-2.46887159177804,1.905,0.240209535164483)); #593940=CARTESIAN_POINT('',(-2.46887159177804,2.16,0.240209535164483)); #593941=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #593942=CARTESIAN_POINT('',(-2.46887159177804,1.905,0.240209535164483)); #593943=CARTESIAN_POINT('Origin',(-2.51790369145059,1.905,0.25000000000029)); #593944=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #593945=CARTESIAN_POINT('Origin',(-2.51790369145059,2.16,0.25000000000029)); #593946=CARTESIAN_POINT('',(-2.51790369145059,1.905,0.200000000000288)); #593947=CARTESIAN_POINT('Origin',(-3.1,1.905,0.2)); #593948=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #593949=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #593950=CARTESIAN_POINT('',(-3.1,1.905,0.2)); #593951=CARTESIAN_POINT('Origin',(-3.1,1.905,0.)); #593952=CARTESIAN_POINT('',(-3.1,2.16,0.)); #593953=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #593954=CARTESIAN_POINT('',(-3.1,1.905,0.)); #593955=CARTESIAN_POINT('Origin',(-2.465,1.905,0.)); #593956=CARTESIAN_POINT('',(-2.465,2.16,-1.04083408558608E-16)); #593957=CARTESIAN_POINT('',(-3.1,2.16,0.)); #593958=CARTESIAN_POINT('',(-2.465,1.905,-1.04083408558608E-16)); #593959=CARTESIAN_POINT('Origin',(-2.465,1.905,0.200000000000001)); #593960=CARTESIAN_POINT('Origin',(-2.465,2.16,0.200000000000001)); #593961=CARTESIAN_POINT('Origin',(-2.5475,2.16,0.5125)); #593962=CARTESIAN_POINT('Origin',(2.5475,1.65,0.5125)); #593963=CARTESIAN_POINT('',(2.465,1.65,-1.04083408558608E-16)); #593964=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #593965=CARTESIAN_POINT('Origin',(2.465,1.65,0.200000000000001)); #593966=CARTESIAN_POINT('',(3.1,1.65,0.)); #593967=CARTESIAN_POINT('',(3.1,1.65,0.)); #593968=CARTESIAN_POINT('',(3.1,1.65,0.2)); #593969=CARTESIAN_POINT('',(3.1,1.65,0.2)); #593970=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #593971=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #593972=CARTESIAN_POINT('',(2.46887159177804,1.65,0.240209535164483)); #593973=CARTESIAN_POINT('Origin',(2.51790369145059,1.65,0.25000000000029)); #593974=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #593975=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #593976=CARTESIAN_POINT('',(2.14815615444089,1.65,1.02499973984031)); #593977=CARTESIAN_POINT('Origin',(2.148155814966,1.65,0.824999739840563)); #593978=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #593979=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #593980=CARTESIAN_POINT('',(1.995,1.65,0.825)); #593981=CARTESIAN_POINT('',(1.995,1.65,0.825)); #593982=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #593983=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #593984=CARTESIAN_POINT('',(2.14428436552253,1.65,0.784790282736416)); #593985=CARTESIAN_POINT('Origin',(2.09525226346787,1.65,0.774999829834903)); #593986=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #593987=CARTESIAN_POINT('Origin',(2.14428436552253,1.905,0.784790282736416)); #593988=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #593989=CARTESIAN_POINT('',(2.26887159177804,1.905,0.160838188393282)); #593990=CARTESIAN_POINT('',(2.14428436552253,2.16,0.784790282736416)); #593991=CARTESIAN_POINT('',(2.14428436552253,1.905,0.784790282736416)); #593992=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #593993=CARTESIAN_POINT('Origin',(2.09525226346787,1.905,0.774999829834903)); #593994=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #593995=CARTESIAN_POINT('',(2.09525234833659,1.905,0.824999829833981)); #593996=CARTESIAN_POINT('Origin',(2.09525226346787,2.16,0.774999829834903)); #593997=CARTESIAN_POINT('Origin',(1.995,1.905,0.825)); #593998=CARTESIAN_POINT('',(1.995,2.16,0.825)); #593999=CARTESIAN_POINT('',(1.995,1.905,0.825)); #594000=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #594001=CARTESIAN_POINT('Origin',(1.99500033947489,1.905,1.025)); #594002=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #594003=CARTESIAN_POINT('',(1.99500033947489,1.905,1.025)); #594004=CARTESIAN_POINT('',(1.995,2.16,0.825)); #594005=CARTESIAN_POINT('Origin',(2.14815615444089,1.905,1.02499974003724)); #594006=CARTESIAN_POINT('',(2.14815615444089,2.16,1.02499973984031)); #594007=CARTESIAN_POINT('',(2.14815615444089,1.905,1.02499973984031)); #594008=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #594009=CARTESIAN_POINT('Origin',(2.148155814966,1.905,0.824999739840563)); #594010=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #594011=CARTESIAN_POINT('',(2.34428421365623,1.905,0.864161599183795)); #594012=CARTESIAN_POINT('Origin',(2.148155814966,2.16,0.824999739840563)); #594013=CARTESIAN_POINT('Origin',(2.46887159177804,1.905,0.240209535164483)); #594014=CARTESIAN_POINT('',(2.46887159177804,2.16,0.240209535164483)); #594015=CARTESIAN_POINT('',(2.46887159177804,1.905,0.240209535164483)); #594016=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #594017=CARTESIAN_POINT('Origin',(2.51790369145059,1.905,0.25000000000029)); #594018=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #594019=CARTESIAN_POINT('',(2.51790369145059,1.905,0.200000000000288)); #594020=CARTESIAN_POINT('Origin',(2.51790369145059,2.16,0.25000000000029)); #594021=CARTESIAN_POINT('Origin',(3.1,1.905,0.2)); #594022=CARTESIAN_POINT('',(3.1,2.16,0.2)); #594023=CARTESIAN_POINT('',(3.1,1.905,0.2)); #594024=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #594025=CARTESIAN_POINT('Origin',(3.1,1.905,0.)); #594026=CARTESIAN_POINT('',(3.1,2.16,0.)); #594027=CARTESIAN_POINT('',(3.1,1.905,0.)); #594028=CARTESIAN_POINT('',(3.1,2.16,0.2)); #594029=CARTESIAN_POINT('Origin',(2.465,1.905,0.)); #594030=CARTESIAN_POINT('',(2.465,2.16,-1.04083408558608E-16)); #594031=CARTESIAN_POINT('',(2.465,1.905,-1.04083408558608E-16)); #594032=CARTESIAN_POINT('',(3.1,2.16,0.)); #594033=CARTESIAN_POINT('Origin',(2.465,1.905,0.200000000000001)); #594034=CARTESIAN_POINT('Origin',(2.465,2.16,0.200000000000001)); #594035=CARTESIAN_POINT('Origin',(2.5475,2.16,0.5125)); #594036=CARTESIAN_POINT('Origin',(-2.5475,0.38,0.5125)); #594037=CARTESIAN_POINT('',(-2.465,0.38,-1.04083408558608E-16)); #594038=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #594039=CARTESIAN_POINT('Origin',(-2.465,0.38,0.200000000000001)); #594040=CARTESIAN_POINT('',(-2.14428436552253,0.38,0.784790282736416)); #594041=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #594042=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #594043=CARTESIAN_POINT('Origin',(-2.09525226346787,0.38,0.774999829834903)); #594044=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #594045=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #594046=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #594047=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #594048=CARTESIAN_POINT('',(-2.14815615444089,0.38,1.02499973984031)); #594049=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #594050=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #594051=CARTESIAN_POINT('Origin',(-2.148155814966,0.38,0.824999739840563)); #594052=CARTESIAN_POINT('',(-2.46887159177804,0.38,0.240209535164483)); #594053=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #594054=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #594055=CARTESIAN_POINT('Origin',(-2.51790369145059,0.38,0.25000000000029)); #594056=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #594057=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #594058=CARTESIAN_POINT('',(-3.1,0.38,0.)); #594059=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #594060=CARTESIAN_POINT('',(-3.1,0.38,0.)); #594061=CARTESIAN_POINT('Origin',(-2.14428436552253,0.635,0.784790282736416)); #594062=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #594063=CARTESIAN_POINT('',(-2.26887159177804,0.635,0.160838188393282)); #594064=CARTESIAN_POINT('',(-2.14428436552253,0.89,0.784790282736416)); #594065=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #594066=CARTESIAN_POINT('',(-2.14428436552253,0.635,0.784790282736416)); #594067=CARTESIAN_POINT('Origin',(-2.09525226346787,0.635,0.774999829834903)); #594068=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #594069=CARTESIAN_POINT('Origin',(-2.09525226346787,0.89,0.774999829834903)); #594070=CARTESIAN_POINT('',(-2.09525234833659,0.635,0.824999829833981)); #594071=CARTESIAN_POINT('Origin',(-1.995,0.635,0.825)); #594072=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #594073=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #594074=CARTESIAN_POINT('',(-1.995,0.635,0.825)); #594075=CARTESIAN_POINT('Origin',(-1.99500033947489,0.635,1.025)); #594076=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #594077=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #594078=CARTESIAN_POINT('',(-1.99500033947489,0.635,1.025)); #594079=CARTESIAN_POINT('Origin',(-2.14815615444089,0.635,1.02499974003724)); #594080=CARTESIAN_POINT('',(-2.14815615444089,0.89,1.02499973984031)); #594081=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #594082=CARTESIAN_POINT('',(-2.14815615444089,0.635,1.02499973984031)); #594083=CARTESIAN_POINT('Origin',(-2.148155814966,0.635,0.824999739840563)); #594084=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #594085=CARTESIAN_POINT('Origin',(-2.148155814966,0.89,0.824999739840563)); #594086=CARTESIAN_POINT('',(-2.34428421365623,0.635,0.864161599183795)); #594087=CARTESIAN_POINT('Origin',(-2.46887159177804,0.635,0.240209535164483)); #594088=CARTESIAN_POINT('',(-2.46887159177804,0.89,0.240209535164483)); #594089=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #594090=CARTESIAN_POINT('',(-2.46887159177804,0.635,0.240209535164483)); #594091=CARTESIAN_POINT('Origin',(-2.51790369145059,0.635,0.25000000000029)); #594092=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #594093=CARTESIAN_POINT('Origin',(-2.51790369145059,0.89,0.25000000000029)); #594094=CARTESIAN_POINT('',(-2.51790369145059,0.635,0.200000000000288)); #594095=CARTESIAN_POINT('Origin',(-3.1,0.635,0.2)); #594096=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #594097=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #594098=CARTESIAN_POINT('',(-3.1,0.635,0.2)); #594099=CARTESIAN_POINT('Origin',(-3.1,0.635,0.)); #594100=CARTESIAN_POINT('',(-3.1,0.89,0.)); #594101=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #594102=CARTESIAN_POINT('',(-3.1,0.635,0.)); #594103=CARTESIAN_POINT('Origin',(-2.465,0.635,0.)); #594104=CARTESIAN_POINT('',(-2.465,0.89,-1.04083408558608E-16)); #594105=CARTESIAN_POINT('',(-3.1,0.89,0.)); #594106=CARTESIAN_POINT('',(-2.465,0.635,-1.04083408558608E-16)); #594107=CARTESIAN_POINT('Origin',(-2.465,0.635,0.200000000000001)); #594108=CARTESIAN_POINT('Origin',(-2.465,0.89,0.200000000000001)); #594109=CARTESIAN_POINT('Origin',(-2.5475,0.89,0.5125)); #594110=CARTESIAN_POINT('Origin',(-2.5475,-0.89,0.5125)); #594111=CARTESIAN_POINT('',(-2.465,-0.89,-1.04083408558608E-16)); #594112=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #594113=CARTESIAN_POINT('Origin',(-2.465,-0.89,0.200000000000001)); #594114=CARTESIAN_POINT('',(-2.14428436552253,-0.89,0.784790282736416)); #594115=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #594116=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #594117=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.89,0.774999829834903)); #594118=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #594119=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #594120=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #594121=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #594122=CARTESIAN_POINT('',(-2.14815615444089,-0.89,1.02499973984031)); #594123=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #594124=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #594125=CARTESIAN_POINT('Origin',(-2.148155814966,-0.89,0.824999739840563)); #594126=CARTESIAN_POINT('',(-2.46887159177804,-0.89,0.240209535164483)); #594127=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #594128=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #594129=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.89,0.25000000000029)); #594130=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #594131=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #594132=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #594133=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #594134=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #594135=CARTESIAN_POINT('Origin',(-2.14428436552253,-0.635,0.784790282736416)); #594136=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #594137=CARTESIAN_POINT('',(-2.26887159177804,-0.635,0.160838188393282)); #594138=CARTESIAN_POINT('',(-2.14428436552253,-0.38,0.784790282736416)); #594139=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #594140=CARTESIAN_POINT('',(-2.14428436552253,-0.635,0.784790282736416)); #594141=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.635,0.774999829834903)); #594142=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #594143=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.38,0.774999829834903)); #594144=CARTESIAN_POINT('',(-2.09525234833659,-0.635,0.824999829833981)); #594145=CARTESIAN_POINT('Origin',(-1.995,-0.635,0.825)); #594146=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #594147=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #594148=CARTESIAN_POINT('',(-1.995,-0.635,0.825)); #594149=CARTESIAN_POINT('Origin',(-1.99500033947489,-0.635,1.025)); #594150=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #594151=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #594152=CARTESIAN_POINT('',(-1.99500033947489,-0.635,1.025)); #594153=CARTESIAN_POINT('Origin',(-2.14815615444089,-0.635,1.02499974003724)); #594154=CARTESIAN_POINT('',(-2.14815615444089,-0.38,1.02499973984031)); #594155=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #594156=CARTESIAN_POINT('',(-2.14815615444089,-0.635,1.02499973984031)); #594157=CARTESIAN_POINT('Origin',(-2.148155814966,-0.635,0.824999739840563)); #594158=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #594159=CARTESIAN_POINT('Origin',(-2.148155814966,-0.38,0.824999739840563)); #594160=CARTESIAN_POINT('',(-2.34428421365623,-0.635,0.864161599183795)); #594161=CARTESIAN_POINT('Origin',(-2.46887159177804,-0.635,0.240209535164483)); #594162=CARTESIAN_POINT('',(-2.46887159177804,-0.38,0.240209535164483)); #594163=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #594164=CARTESIAN_POINT('',(-2.46887159177804,-0.635,0.240209535164483)); #594165=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.635,0.25000000000029)); #594166=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #594167=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.38,0.25000000000029)); #594168=CARTESIAN_POINT('',(-2.51790369145059,-0.635,0.200000000000288)); #594169=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.2)); #594170=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #594171=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #594172=CARTESIAN_POINT('',(-3.1,-0.635,0.2)); #594173=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.)); #594174=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #594175=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #594176=CARTESIAN_POINT('',(-3.1,-0.635,0.)); #594177=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.)); #594178=CARTESIAN_POINT('',(-2.465,-0.38,-1.04083408558608E-16)); #594179=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #594180=CARTESIAN_POINT('',(-2.465,-0.635,-1.04083408558608E-16)); #594181=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.200000000000001)); #594182=CARTESIAN_POINT('Origin',(-2.465,-0.38,0.200000000000001)); #594183=CARTESIAN_POINT('Origin',(-2.5475,-0.38,0.5125)); #594184=CARTESIAN_POINT('Origin',(-2.5475,-2.16,0.5125)); #594185=CARTESIAN_POINT('',(-2.465,-2.16,-1.04083408558608E-16)); #594186=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #594187=CARTESIAN_POINT('Origin',(-2.465,-2.16,0.200000000000001)); #594188=CARTESIAN_POINT('',(-2.14428436552253,-2.16,0.784790282736416)); #594189=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #594190=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #594191=CARTESIAN_POINT('Origin',(-2.09525226346787,-2.16,0.774999829834903)); #594192=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #594193=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #594194=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #594195=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #594196=CARTESIAN_POINT('',(-2.14815615444089,-2.16,1.02499973984031)); #594197=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #594198=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #594199=CARTESIAN_POINT('Origin',(-2.148155814966,-2.16,0.824999739840563)); #594200=CARTESIAN_POINT('',(-2.46887159177804,-2.16,0.240209535164483)); #594201=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #594202=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #594203=CARTESIAN_POINT('Origin',(-2.51790369145059,-2.16,0.25000000000029)); #594204=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #594205=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #594206=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #594207=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #594208=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #594209=CARTESIAN_POINT('Origin',(-2.14428436552253,-1.905,0.784790282736416)); #594210=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #594211=CARTESIAN_POINT('',(-2.26887159177804,-1.905,0.160838188393282)); #594212=CARTESIAN_POINT('',(-2.14428436552253,-1.65,0.784790282736416)); #594213=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #594214=CARTESIAN_POINT('',(-2.14428436552253,-1.905,0.784790282736416)); #594215=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.905,0.774999829834903)); #594216=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #594217=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.65,0.774999829834903)); #594218=CARTESIAN_POINT('',(-2.09525234833659,-1.905,0.824999829833981)); #594219=CARTESIAN_POINT('Origin',(-1.995,-1.905,0.825)); #594220=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #594221=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #594222=CARTESIAN_POINT('',(-1.995,-1.905,0.825)); #594223=CARTESIAN_POINT('Origin',(-1.99500033947489,-1.905,1.025)); #594224=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #594225=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #594226=CARTESIAN_POINT('',(-1.99500033947489,-1.905,1.025)); #594227=CARTESIAN_POINT('Origin',(-2.14815615444089,-1.905,1.02499974003724)); #594228=CARTESIAN_POINT('',(-2.14815615444089,-1.65,1.02499973984031)); #594229=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #594230=CARTESIAN_POINT('',(-2.14815615444089,-1.905,1.02499973984031)); #594231=CARTESIAN_POINT('Origin',(-2.148155814966,-1.905,0.824999739840563)); #594232=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #594233=CARTESIAN_POINT('Origin',(-2.148155814966,-1.65,0.824999739840563)); #594234=CARTESIAN_POINT('',(-2.34428421365623,-1.905,0.864161599183795)); #594235=CARTESIAN_POINT('Origin',(-2.46887159177804,-1.905,0.240209535164483)); #594236=CARTESIAN_POINT('',(-2.46887159177804,-1.65,0.240209535164483)); #594237=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #594238=CARTESIAN_POINT('',(-2.46887159177804,-1.905,0.240209535164483)); #594239=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.905,0.25000000000029)); #594240=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #594241=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.65,0.25000000000029)); #594242=CARTESIAN_POINT('',(-2.51790369145059,-1.905,0.200000000000288)); #594243=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.2)); #594244=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #594245=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #594246=CARTESIAN_POINT('',(-3.1,-1.905,0.2)); #594247=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.)); #594248=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #594249=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #594250=CARTESIAN_POINT('',(-3.1,-1.905,0.)); #594251=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.)); #594252=CARTESIAN_POINT('',(-2.465,-1.65,-1.04083408558608E-16)); #594253=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #594254=CARTESIAN_POINT('',(-2.465,-1.905,-1.04083408558608E-16)); #594255=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.200000000000001)); #594256=CARTESIAN_POINT('Origin',(-2.465,-1.65,0.200000000000001)); #594257=CARTESIAN_POINT('Origin',(-2.5475,-1.65,0.5125)); #594258=CARTESIAN_POINT('Origin',(2.5475,0.38,0.5125)); #594259=CARTESIAN_POINT('',(2.465,0.38,-1.04083408558608E-16)); #594260=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #594261=CARTESIAN_POINT('Origin',(2.465,0.38,0.200000000000001)); #594262=CARTESIAN_POINT('',(3.1,0.38,0.)); #594263=CARTESIAN_POINT('',(3.1,0.38,0.)); #594264=CARTESIAN_POINT('',(3.1,0.38,0.2)); #594265=CARTESIAN_POINT('',(3.1,0.38,0.2)); #594266=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #594267=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #594268=CARTESIAN_POINT('',(2.46887159177804,0.38,0.240209535164483)); #594269=CARTESIAN_POINT('Origin',(2.51790369145059,0.38,0.25000000000029)); #594270=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #594271=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #594272=CARTESIAN_POINT('',(2.14815615444089,0.38,1.02499973984031)); #594273=CARTESIAN_POINT('Origin',(2.148155814966,0.38,0.824999739840563)); #594274=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #594275=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #594276=CARTESIAN_POINT('',(1.995,0.38,0.825)); #594277=CARTESIAN_POINT('',(1.995,0.38,0.825)); #594278=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #594279=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #594280=CARTESIAN_POINT('',(2.14428436552253,0.38,0.784790282736416)); #594281=CARTESIAN_POINT('Origin',(2.09525226346787,0.38,0.774999829834903)); #594282=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #594283=CARTESIAN_POINT('Origin',(2.14428436552253,0.635,0.784790282736416)); #594284=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #594285=CARTESIAN_POINT('',(2.26887159177804,0.635,0.160838188393282)); #594286=CARTESIAN_POINT('',(2.14428436552253,0.89,0.784790282736416)); #594287=CARTESIAN_POINT('',(2.14428436552253,0.635,0.784790282736416)); #594288=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #594289=CARTESIAN_POINT('Origin',(2.09525226346787,0.635,0.774999829834903)); #594290=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #594291=CARTESIAN_POINT('',(2.09525234833659,0.635,0.824999829833981)); #594292=CARTESIAN_POINT('Origin',(2.09525226346787,0.89,0.774999829834903)); #594293=CARTESIAN_POINT('Origin',(1.995,0.635,0.825)); #594294=CARTESIAN_POINT('',(1.995,0.89,0.825)); #594295=CARTESIAN_POINT('',(1.995,0.635,0.825)); #594296=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #594297=CARTESIAN_POINT('Origin',(1.99500033947489,0.635,1.025)); #594298=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #594299=CARTESIAN_POINT('',(1.99500033947489,0.635,1.025)); #594300=CARTESIAN_POINT('',(1.995,0.89,0.825)); #594301=CARTESIAN_POINT('Origin',(2.14815615444089,0.635,1.02499974003724)); #594302=CARTESIAN_POINT('',(2.14815615444089,0.89,1.02499973984031)); #594303=CARTESIAN_POINT('',(2.14815615444089,0.635,1.02499973984031)); #594304=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #594305=CARTESIAN_POINT('Origin',(2.148155814966,0.635,0.824999739840563)); #594306=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #594307=CARTESIAN_POINT('',(2.34428421365623,0.635,0.864161599183795)); #594308=CARTESIAN_POINT('Origin',(2.148155814966,0.89,0.824999739840563)); #594309=CARTESIAN_POINT('Origin',(2.46887159177804,0.635,0.240209535164483)); #594310=CARTESIAN_POINT('',(2.46887159177804,0.89,0.240209535164483)); #594311=CARTESIAN_POINT('',(2.46887159177804,0.635,0.240209535164483)); #594312=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #594313=CARTESIAN_POINT('Origin',(2.51790369145059,0.635,0.25000000000029)); #594314=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #594315=CARTESIAN_POINT('',(2.51790369145059,0.635,0.200000000000288)); #594316=CARTESIAN_POINT('Origin',(2.51790369145059,0.89,0.25000000000029)); #594317=CARTESIAN_POINT('Origin',(3.1,0.635,0.2)); #594318=CARTESIAN_POINT('',(3.1,0.89,0.2)); #594319=CARTESIAN_POINT('',(3.1,0.635,0.2)); #594320=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #594321=CARTESIAN_POINT('Origin',(3.1,0.635,0.)); #594322=CARTESIAN_POINT('',(3.1,0.89,0.)); #594323=CARTESIAN_POINT('',(3.1,0.635,0.)); #594324=CARTESIAN_POINT('',(3.1,0.89,0.2)); #594325=CARTESIAN_POINT('Origin',(2.465,0.635,0.)); #594326=CARTESIAN_POINT('',(2.465,0.89,-1.04083408558608E-16)); #594327=CARTESIAN_POINT('',(2.465,0.635,-1.04083408558608E-16)); #594328=CARTESIAN_POINT('',(3.1,0.89,0.)); #594329=CARTESIAN_POINT('Origin',(2.465,0.635,0.200000000000001)); #594330=CARTESIAN_POINT('Origin',(2.465,0.89,0.200000000000001)); #594331=CARTESIAN_POINT('Origin',(2.5475,0.89,0.5125)); #594332=CARTESIAN_POINT('Origin',(2.5475,-0.89,0.5125)); #594333=CARTESIAN_POINT('',(2.465,-0.89,-1.04083408558608E-16)); #594334=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #594335=CARTESIAN_POINT('Origin',(2.465,-0.89,0.200000000000001)); #594336=CARTESIAN_POINT('',(3.1,-0.89,0.)); #594337=CARTESIAN_POINT('',(3.1,-0.89,0.)); #594338=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #594339=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #594340=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #594341=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #594342=CARTESIAN_POINT('',(2.46887159177804,-0.89,0.240209535164483)); #594343=CARTESIAN_POINT('Origin',(2.51790369145059,-0.89,0.25000000000029)); #594344=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #594345=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #594346=CARTESIAN_POINT('',(2.14815615444089,-0.89,1.02499973984031)); #594347=CARTESIAN_POINT('Origin',(2.148155814966,-0.89,0.824999739840563)); #594348=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #594349=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #594350=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #594351=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #594352=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #594353=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #594354=CARTESIAN_POINT('',(2.14428436552253,-0.89,0.784790282736416)); #594355=CARTESIAN_POINT('Origin',(2.09525226346787,-0.89,0.774999829834903)); #594356=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #594357=CARTESIAN_POINT('Origin',(2.14428436552253,-0.635,0.784790282736416)); #594358=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #594359=CARTESIAN_POINT('',(2.26887159177804,-0.635,0.160838188393282)); #594360=CARTESIAN_POINT('',(2.14428436552253,-0.38,0.784790282736416)); #594361=CARTESIAN_POINT('',(2.14428436552253,-0.635,0.784790282736416)); #594362=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #594363=CARTESIAN_POINT('Origin',(2.09525226346787,-0.635,0.774999829834903)); #594364=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #594365=CARTESIAN_POINT('',(2.09525234833659,-0.635,0.824999829833981)); #594366=CARTESIAN_POINT('Origin',(2.09525226346787,-0.38,0.774999829834903)); #594367=CARTESIAN_POINT('Origin',(1.995,-0.635,0.825)); #594368=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #594369=CARTESIAN_POINT('',(1.995,-0.635,0.825)); #594370=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #594371=CARTESIAN_POINT('Origin',(1.99500033947489,-0.635,1.025)); #594372=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #594373=CARTESIAN_POINT('',(1.99500033947489,-0.635,1.025)); #594374=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #594375=CARTESIAN_POINT('Origin',(2.14815615444089,-0.635,1.02499974003724)); #594376=CARTESIAN_POINT('',(2.14815615444089,-0.38,1.02499973984031)); #594377=CARTESIAN_POINT('',(2.14815615444089,-0.635,1.02499973984031)); #594378=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #594379=CARTESIAN_POINT('Origin',(2.148155814966,-0.635,0.824999739840563)); #594380=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #594381=CARTESIAN_POINT('',(2.34428421365623,-0.635,0.864161599183795)); #594382=CARTESIAN_POINT('Origin',(2.148155814966,-0.38,0.824999739840563)); #594383=CARTESIAN_POINT('Origin',(2.46887159177804,-0.635,0.240209535164483)); #594384=CARTESIAN_POINT('',(2.46887159177804,-0.38,0.240209535164483)); #594385=CARTESIAN_POINT('',(2.46887159177804,-0.635,0.240209535164483)); #594386=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #594387=CARTESIAN_POINT('Origin',(2.51790369145059,-0.635,0.25000000000029)); #594388=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #594389=CARTESIAN_POINT('',(2.51790369145059,-0.635,0.200000000000288)); #594390=CARTESIAN_POINT('Origin',(2.51790369145059,-0.38,0.25000000000029)); #594391=CARTESIAN_POINT('Origin',(3.1,-0.635,0.2)); #594392=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #594393=CARTESIAN_POINT('',(3.1,-0.635,0.2)); #594394=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #594395=CARTESIAN_POINT('Origin',(3.1,-0.635,0.)); #594396=CARTESIAN_POINT('',(3.1,-0.38,0.)); #594397=CARTESIAN_POINT('',(3.1,-0.635,0.)); #594398=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #594399=CARTESIAN_POINT('Origin',(2.465,-0.635,0.)); #594400=CARTESIAN_POINT('',(2.465,-0.38,-1.04083408558608E-16)); #594401=CARTESIAN_POINT('',(2.465,-0.635,-1.04083408558608E-16)); #594402=CARTESIAN_POINT('',(3.1,-0.38,0.)); #594403=CARTESIAN_POINT('Origin',(2.465,-0.635,0.200000000000001)); #594404=CARTESIAN_POINT('Origin',(2.465,-0.38,0.200000000000001)); #594405=CARTESIAN_POINT('Origin',(2.5475,-0.38,0.5125)); #594406=CARTESIAN_POINT('Origin',(2.5475,-2.16,0.5125)); #594407=CARTESIAN_POINT('',(2.465,-2.16,-1.04083408558608E-16)); #594408=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #594409=CARTESIAN_POINT('Origin',(2.465,-2.16,0.200000000000001)); #594410=CARTESIAN_POINT('',(3.1,-2.16,0.)); #594411=CARTESIAN_POINT('',(3.1,-2.16,0.)); #594412=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #594413=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #594414=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #594415=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #594416=CARTESIAN_POINT('',(2.46887159177804,-2.16,0.240209535164483)); #594417=CARTESIAN_POINT('Origin',(2.51790369145059,-2.16,0.25000000000029)); #594418=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #594419=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #594420=CARTESIAN_POINT('',(2.14815615444089,-2.16,1.02499973984031)); #594421=CARTESIAN_POINT('Origin',(2.148155814966,-2.16,0.824999739840563)); #594422=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #594423=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #594424=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #594425=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #594426=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #594427=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #594428=CARTESIAN_POINT('',(2.14428436552253,-2.16,0.784790282736416)); #594429=CARTESIAN_POINT('Origin',(2.09525226346787,-2.16,0.774999829834903)); #594430=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #594431=CARTESIAN_POINT('Origin',(2.14428436552253,-1.905,0.784790282736416)); #594432=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #594433=CARTESIAN_POINT('',(2.26887159177804,-1.905,0.160838188393282)); #594434=CARTESIAN_POINT('',(2.14428436552253,-1.65,0.784790282736416)); #594435=CARTESIAN_POINT('',(2.14428436552253,-1.905,0.784790282736416)); #594436=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #594437=CARTESIAN_POINT('Origin',(2.09525226346787,-1.905,0.774999829834903)); #594438=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #594439=CARTESIAN_POINT('',(2.09525234833659,-1.905,0.824999829833981)); #594440=CARTESIAN_POINT('Origin',(2.09525226346787,-1.65,0.774999829834903)); #594441=CARTESIAN_POINT('Origin',(1.995,-1.905,0.825)); #594442=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #594443=CARTESIAN_POINT('',(1.995,-1.905,0.825)); #594444=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #594445=CARTESIAN_POINT('Origin',(1.99500033947489,-1.905,1.025)); #594446=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #594447=CARTESIAN_POINT('',(1.99500033947489,-1.905,1.025)); #594448=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #594449=CARTESIAN_POINT('Origin',(2.14815615444089,-1.905,1.02499974003724)); #594450=CARTESIAN_POINT('',(2.14815615444089,-1.65,1.02499973984031)); #594451=CARTESIAN_POINT('',(2.14815615444089,-1.905,1.02499973984031)); #594452=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #594453=CARTESIAN_POINT('Origin',(2.148155814966,-1.905,0.824999739840563)); #594454=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #594455=CARTESIAN_POINT('',(2.34428421365623,-1.905,0.864161599183795)); #594456=CARTESIAN_POINT('Origin',(2.148155814966,-1.65,0.824999739840563)); #594457=CARTESIAN_POINT('Origin',(2.46887159177804,-1.905,0.240209535164483)); #594458=CARTESIAN_POINT('',(2.46887159177804,-1.65,0.240209535164483)); #594459=CARTESIAN_POINT('',(2.46887159177804,-1.905,0.240209535164483)); #594460=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #594461=CARTESIAN_POINT('Origin',(2.51790369145059,-1.905,0.25000000000029)); #594462=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #594463=CARTESIAN_POINT('',(2.51790369145059,-1.905,0.200000000000288)); #594464=CARTESIAN_POINT('Origin',(2.51790369145059,-1.65,0.25000000000029)); #594465=CARTESIAN_POINT('Origin',(3.1,-1.905,0.2)); #594466=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #594467=CARTESIAN_POINT('',(3.1,-1.905,0.2)); #594468=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #594469=CARTESIAN_POINT('Origin',(3.1,-1.905,0.)); #594470=CARTESIAN_POINT('',(3.1,-1.65,0.)); #594471=CARTESIAN_POINT('',(3.1,-1.905,0.)); #594472=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #594473=CARTESIAN_POINT('Origin',(2.465,-1.905,0.)); #594474=CARTESIAN_POINT('',(2.465,-1.65,-1.04083408558608E-16)); #594475=CARTESIAN_POINT('',(2.465,-1.905,-1.04083408558608E-16)); #594476=CARTESIAN_POINT('',(3.1,-1.65,0.)); #594477=CARTESIAN_POINT('Origin',(2.465,-1.905,0.200000000000001)); #594478=CARTESIAN_POINT('Origin',(2.465,-1.65,0.200000000000001)); #594479=CARTESIAN_POINT('Origin',(2.5475,-1.65,0.5125)); #594480=CARTESIAN_POINT('',(0.,0.,0.)); #594481=CARTESIAN_POINT('',(0.,0.,0.)); #594482=CARTESIAN_POINT('',(0.,0.,0.)); #594483=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #594484=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #594485=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #594486=CARTESIAN_POINT('',(-0.655,1.22,0.99)); #594487=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #594488=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #594489=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #594490=CARTESIAN_POINT('Origin',(-0.795,0.75,0.8825)); #594491=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #594492=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #594493=CARTESIAN_POINT('',(-0.74,0.75,1.1)); #594494=CARTESIAN_POINT('',(-0.85,1.5,0.665)); #594495=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #594496=CARTESIAN_POINT('',(-0.85,-1.5,0.665)); #594497=CARTESIAN_POINT('',(-0.85,0.75,0.665)); #594498=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #594499=CARTESIAN_POINT('Origin',(0.425,1.445,0.8825)); #594500=CARTESIAN_POINT('',(0.74,1.39,1.1)); #594501=CARTESIAN_POINT('',(0.425,1.39,1.1)); #594502=CARTESIAN_POINT('',(0.85,1.5,0.665)); #594503=CARTESIAN_POINT('',(0.74,1.39,1.1)); #594504=CARTESIAN_POINT('',(0.425,1.5,0.665)); #594505=CARTESIAN_POINT('Origin',(0.795,-0.75,0.8825)); #594506=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #594507=CARTESIAN_POINT('',(0.74,-0.75,1.1)); #594508=CARTESIAN_POINT('',(0.85,-1.5,0.665)); #594509=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #594510=CARTESIAN_POINT('',(0.85,-0.75,0.665)); #594511=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.8825)); #594512=CARTESIAN_POINT('',(-0.425,-1.5,0.665)); #594513=CARTESIAN_POINT('',(-0.425,-1.39,1.1)); #594514=CARTESIAN_POINT('Origin',(-0.85,-1.5,0.1)); #594515=CARTESIAN_POINT('',(-0.85,-1.5,0.535)); #594516=CARTESIAN_POINT('',(-0.85,-1.5,0.1)); #594517=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #594518=CARTESIAN_POINT('',(-0.425,-1.5,0.535)); #594519=CARTESIAN_POINT('',(0.85,-1.5,0.1)); #594520=CARTESIAN_POINT('Origin',(-0.85,1.5,0.1)); #594521=CARTESIAN_POINT('',(-0.85,1.5,0.535)); #594522=CARTESIAN_POINT('',(-0.85,1.5,0.1)); #594523=CARTESIAN_POINT('',(-0.85,0.75,0.535)); #594524=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #594525=CARTESIAN_POINT('Origin',(0.795,-0.75,0.3175)); #594526=CARTESIAN_POINT('',(0.85,1.5,0.535)); #594527=CARTESIAN_POINT('',(0.85,-0.75,0.535)); #594528=CARTESIAN_POINT('',(0.74,-1.39,0.1)); #594529=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #594530=CARTESIAN_POINT('',(0.74,1.39,0.1)); #594531=CARTESIAN_POINT('',(0.74,-0.75,0.1)); #594532=CARTESIAN_POINT('',(0.74,1.39,0.1)); #594533=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.3175)); #594534=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #594535=CARTESIAN_POINT('',(-0.425,-1.39,0.1)); #594536=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #594537=CARTESIAN_POINT('Origin',(0.425,1.445,0.3175)); #594538=CARTESIAN_POINT('',(0.425,1.5,0.535)); #594539=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #594540=CARTESIAN_POINT('',(0.425,1.39,0.1)); #594541=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #594542=CARTESIAN_POINT('Origin',(-0.795,0.75,0.3175)); #594543=CARTESIAN_POINT('',(-0.74,0.75,0.1)); #594544=CARTESIAN_POINT('Origin',(0.85,-1.5,0.1)); #594545=CARTESIAN_POINT('',(0.85,1.5,0.1)); #594546=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #594547=CARTESIAN_POINT('Origin',(0.85,1.5,0.1)); #594548=CARTESIAN_POINT('Origin',(1.11875,0.695,0.3325)); #594549=CARTESIAN_POINT('',(0.87,0.695,0.13)); #594550=CARTESIAN_POINT('',(1.,0.695,1.73472347597681E-17)); #594551=CARTESIAN_POINT('Origin',(1.,0.695,0.13)); #594552=CARTESIAN_POINT('',(1.4,0.695,0.)); #594553=CARTESIAN_POINT('',(1.4,0.695,0.)); #594554=CARTESIAN_POINT('',(1.4,0.695,0.13)); #594555=CARTESIAN_POINT('',(1.4,0.695,0.13)); #594556=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #594557=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #594558=CARTESIAN_POINT('',(1.,0.695,0.1625)); #594559=CARTESIAN_POINT('Origin',(1.0325,0.695,0.1625)); #594560=CARTESIAN_POINT('',(1.,0.695,0.535)); #594561=CARTESIAN_POINT('',(1.,0.695,0.535)); #594562=CARTESIAN_POINT('',(0.87,0.695,0.665)); #594563=CARTESIAN_POINT('Origin',(0.87,0.695,0.535)); #594564=CARTESIAN_POINT('',(0.85,0.695,0.665)); #594565=CARTESIAN_POINT('',(0.85,0.695,0.665)); #594566=CARTESIAN_POINT('',(0.85,0.695,0.535)); #594567=CARTESIAN_POINT('',(0.85,0.695,0.535)); #594568=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #594569=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #594570=CARTESIAN_POINT('',(0.87,0.695,0.5025)); #594571=CARTESIAN_POINT('Origin',(0.8375,0.695,0.5025)); #594572=CARTESIAN_POINT('',(0.87,0.695,0.13)); #594573=CARTESIAN_POINT('Origin',(1.4,0.95,0.)); #594574=CARTESIAN_POINT('',(1.,1.205,1.73472347597681E-17)); #594575=CARTESIAN_POINT('',(1.,0.95,1.73472347597681E-17)); #594576=CARTESIAN_POINT('',(1.4,1.205,0.)); #594577=CARTESIAN_POINT('',(1.4,1.205,0.)); #594578=CARTESIAN_POINT('',(1.4,0.95,0.)); #594579=CARTESIAN_POINT('Origin',(1.4,0.95,0.13)); #594580=CARTESIAN_POINT('',(1.4,1.205,0.13)); #594581=CARTESIAN_POINT('',(1.4,1.205,0.13)); #594582=CARTESIAN_POINT('',(1.4,0.95,0.13)); #594583=CARTESIAN_POINT('Origin',(1.0325,0.95,0.13)); #594584=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #594585=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #594586=CARTESIAN_POINT('',(1.0325,0.95,0.13)); #594587=CARTESIAN_POINT('Origin',(1.0325,0.95,0.1625)); #594588=CARTESIAN_POINT('',(1.,1.205,0.1625)); #594589=CARTESIAN_POINT('Origin',(1.0325,1.205,0.1625)); #594590=CARTESIAN_POINT('',(1.,0.95,0.1625)); #594591=CARTESIAN_POINT('Origin',(1.,0.95,0.535)); #594592=CARTESIAN_POINT('',(1.,1.205,0.535)); #594593=CARTESIAN_POINT('',(1.,1.205,0.535)); #594594=CARTESIAN_POINT('',(1.,0.95,0.535)); #594595=CARTESIAN_POINT('Origin',(0.87,0.95,0.535)); #594596=CARTESIAN_POINT('',(0.87,1.205,0.665)); #594597=CARTESIAN_POINT('Origin',(0.87,1.205,0.535)); #594598=CARTESIAN_POINT('',(0.87,0.95,0.665)); #594599=CARTESIAN_POINT('Origin',(0.85,0.95,0.665)); #594600=CARTESIAN_POINT('',(0.85,1.205,0.665)); #594601=CARTESIAN_POINT('',(0.85,1.205,0.665)); #594602=CARTESIAN_POINT('',(0.85,0.95,0.665)); #594603=CARTESIAN_POINT('Origin',(0.85,0.95,0.535)); #594604=CARTESIAN_POINT('',(0.85,1.205,0.535)); #594605=CARTESIAN_POINT('',(0.85,1.205,0.535)); #594606=CARTESIAN_POINT('',(0.85,0.95,0.535)); #594607=CARTESIAN_POINT('Origin',(0.8375,0.95,0.535)); #594608=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #594609=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #594610=CARTESIAN_POINT('',(0.8375,0.95,0.535)); #594611=CARTESIAN_POINT('Origin',(0.8375,0.95,0.5025)); #594612=CARTESIAN_POINT('',(0.87,1.205,0.5025)); #594613=CARTESIAN_POINT('Origin',(0.8375,1.205,0.5025)); #594614=CARTESIAN_POINT('',(0.87,0.95,0.5025)); #594615=CARTESIAN_POINT('Origin',(0.87,0.95,0.13)); #594616=CARTESIAN_POINT('',(0.87,1.205,0.13)); #594617=CARTESIAN_POINT('',(0.87,1.205,0.13)); #594618=CARTESIAN_POINT('',(0.87,0.95,0.13)); #594619=CARTESIAN_POINT('Origin',(1.,0.95,0.13)); #594620=CARTESIAN_POINT('Origin',(1.,1.205,0.13)); #594621=CARTESIAN_POINT('Origin',(1.11875,1.205,0.3325)); #594622=CARTESIAN_POINT('Origin',(1.11875,-1.205,0.3325)); #594623=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #594624=CARTESIAN_POINT('',(1.,-1.205,1.73472347597681E-17)); #594625=CARTESIAN_POINT('Origin',(1.,-1.205,0.13)); #594626=CARTESIAN_POINT('',(1.4,-1.205,0.)); #594627=CARTESIAN_POINT('',(1.4,-1.205,0.)); #594628=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #594629=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #594630=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #594631=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #594632=CARTESIAN_POINT('',(1.,-1.205,0.1625)); #594633=CARTESIAN_POINT('Origin',(1.0325,-1.205,0.1625)); #594634=CARTESIAN_POINT('',(1.,-1.205,0.535)); #594635=CARTESIAN_POINT('',(1.,-1.205,0.535)); #594636=CARTESIAN_POINT('',(0.87,-1.205,0.665)); #594637=CARTESIAN_POINT('Origin',(0.87,-1.205,0.535)); #594638=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #594639=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #594640=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #594641=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #594642=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #594643=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #594644=CARTESIAN_POINT('',(0.87,-1.205,0.5025)); #594645=CARTESIAN_POINT('Origin',(0.8375,-1.205,0.5025)); #594646=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #594647=CARTESIAN_POINT('Origin',(1.4,-0.95,0.)); #594648=CARTESIAN_POINT('',(1.,-0.695,1.73472347597681E-17)); #594649=CARTESIAN_POINT('',(1.,-0.95,1.73472347597681E-17)); #594650=CARTESIAN_POINT('',(1.4,-0.695,0.)); #594651=CARTESIAN_POINT('',(1.4,-0.695,0.)); #594652=CARTESIAN_POINT('',(1.4,-0.95,0.)); #594653=CARTESIAN_POINT('Origin',(1.4,-0.95,0.13)); #594654=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #594655=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #594656=CARTESIAN_POINT('',(1.4,-0.95,0.13)); #594657=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.13)); #594658=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #594659=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #594660=CARTESIAN_POINT('',(1.0325,-0.95,0.13)); #594661=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.1625)); #594662=CARTESIAN_POINT('',(1.,-0.695,0.1625)); #594663=CARTESIAN_POINT('Origin',(1.0325,-0.695,0.1625)); #594664=CARTESIAN_POINT('',(1.,-0.95,0.1625)); #594665=CARTESIAN_POINT('Origin',(1.,-0.95,0.535)); #594666=CARTESIAN_POINT('',(1.,-0.695,0.535)); #594667=CARTESIAN_POINT('',(1.,-0.695,0.535)); #594668=CARTESIAN_POINT('',(1.,-0.95,0.535)); #594669=CARTESIAN_POINT('Origin',(0.87,-0.95,0.535)); #594670=CARTESIAN_POINT('',(0.87,-0.695,0.665)); #594671=CARTESIAN_POINT('Origin',(0.87,-0.695,0.535)); #594672=CARTESIAN_POINT('',(0.87,-0.95,0.665)); #594673=CARTESIAN_POINT('Origin',(0.85,-0.95,0.665)); #594674=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #594675=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #594676=CARTESIAN_POINT('',(0.85,-0.95,0.665)); #594677=CARTESIAN_POINT('Origin',(0.85,-0.95,0.535)); #594678=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #594679=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #594680=CARTESIAN_POINT('',(0.85,-0.95,0.535)); #594681=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.535)); #594682=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #594683=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #594684=CARTESIAN_POINT('',(0.8375,-0.95,0.535)); #594685=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.5025)); #594686=CARTESIAN_POINT('',(0.87,-0.695,0.5025)); #594687=CARTESIAN_POINT('Origin',(0.8375,-0.695,0.5025)); #594688=CARTESIAN_POINT('',(0.87,-0.95,0.5025)); #594689=CARTESIAN_POINT('Origin',(0.87,-0.95,0.13)); #594690=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #594691=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #594692=CARTESIAN_POINT('',(0.87,-0.95,0.13)); #594693=CARTESIAN_POINT('Origin',(1.,-0.95,0.13)); #594694=CARTESIAN_POINT('Origin',(1.,-0.695,0.13)); #594695=CARTESIAN_POINT('Origin',(1.11875,-0.695,0.3325)); #594696=CARTESIAN_POINT('Origin',(-1.11875,1.205,0.3325)); #594697=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #594698=CARTESIAN_POINT('',(-1.,1.205,1.73472347597681E-17)); #594699=CARTESIAN_POINT('Origin',(-1.,1.205,0.13)); #594700=CARTESIAN_POINT('',(-1.4,1.205,0.)); #594701=CARTESIAN_POINT('',(-1.4,1.205,0.)); #594702=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #594703=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #594704=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #594705=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #594706=CARTESIAN_POINT('',(-1.,1.205,0.1625)); #594707=CARTESIAN_POINT('Origin',(-1.0325,1.205,0.1625)); #594708=CARTESIAN_POINT('',(-1.,1.205,0.535)); #594709=CARTESIAN_POINT('',(-1.,1.205,0.535)); #594710=CARTESIAN_POINT('',(-0.87,1.205,0.665)); #594711=CARTESIAN_POINT('Origin',(-0.87,1.205,0.535)); #594712=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #594713=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #594714=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #594715=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #594716=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #594717=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #594718=CARTESIAN_POINT('',(-0.87,1.205,0.5025)); #594719=CARTESIAN_POINT('Origin',(-0.8375,1.205,0.5025)); #594720=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #594721=CARTESIAN_POINT('Origin',(-1.4,0.95,0.)); #594722=CARTESIAN_POINT('',(-1.,0.695,1.73472347597681E-17)); #594723=CARTESIAN_POINT('',(-1.,0.95,1.73472347597681E-17)); #594724=CARTESIAN_POINT('',(-1.4,0.695,0.)); #594725=CARTESIAN_POINT('',(-1.4,0.695,0.)); #594726=CARTESIAN_POINT('',(-1.4,0.95,0.)); #594727=CARTESIAN_POINT('Origin',(-1.4,0.95,0.13)); #594728=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #594729=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #594730=CARTESIAN_POINT('',(-1.4,0.95,0.13)); #594731=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.13)); #594732=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #594733=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #594734=CARTESIAN_POINT('',(-1.0325,0.95,0.13)); #594735=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.1625)); #594736=CARTESIAN_POINT('',(-1.,0.695,0.1625)); #594737=CARTESIAN_POINT('Origin',(-1.0325,0.695,0.1625)); #594738=CARTESIAN_POINT('',(-1.,0.95,0.1625)); #594739=CARTESIAN_POINT('Origin',(-1.,0.95,0.535)); #594740=CARTESIAN_POINT('',(-1.,0.695,0.535)); #594741=CARTESIAN_POINT('',(-1.,0.695,0.535)); #594742=CARTESIAN_POINT('',(-1.,0.95,0.535)); #594743=CARTESIAN_POINT('Origin',(-0.87,0.95,0.535)); #594744=CARTESIAN_POINT('',(-0.87,0.695,0.665)); #594745=CARTESIAN_POINT('Origin',(-0.87,0.695,0.535)); #594746=CARTESIAN_POINT('',(-0.87,0.95,0.665)); #594747=CARTESIAN_POINT('Origin',(-0.85,0.95,0.665)); #594748=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #594749=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #594750=CARTESIAN_POINT('',(-0.85,0.95,0.665)); #594751=CARTESIAN_POINT('Origin',(-0.85,0.95,0.535)); #594752=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #594753=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #594754=CARTESIAN_POINT('',(-0.85,0.95,0.535)); #594755=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.535)); #594756=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #594757=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #594758=CARTESIAN_POINT('',(-0.8375,0.95,0.535)); #594759=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.5025)); #594760=CARTESIAN_POINT('',(-0.87,0.695,0.5025)); #594761=CARTESIAN_POINT('Origin',(-0.8375,0.695,0.5025)); #594762=CARTESIAN_POINT('',(-0.87,0.95,0.5025)); #594763=CARTESIAN_POINT('Origin',(-0.87,0.95,0.13)); #594764=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #594765=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #594766=CARTESIAN_POINT('',(-0.87,0.95,0.13)); #594767=CARTESIAN_POINT('Origin',(-1.,0.95,0.13)); #594768=CARTESIAN_POINT('Origin',(-1.,0.695,0.13)); #594769=CARTESIAN_POINT('Origin',(-1.11875,0.695,0.3325)); #594770=CARTESIAN_POINT('Origin',(-1.11875,0.255,0.3325)); #594771=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #594772=CARTESIAN_POINT('',(-1.,0.255,1.73472347597681E-17)); #594773=CARTESIAN_POINT('Origin',(-1.,0.255,0.13)); #594774=CARTESIAN_POINT('',(-1.4,0.255,0.)); #594775=CARTESIAN_POINT('',(-1.4,0.255,0.)); #594776=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #594777=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #594778=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #594779=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #594780=CARTESIAN_POINT('',(-1.,0.255,0.1625)); #594781=CARTESIAN_POINT('Origin',(-1.0325,0.255,0.1625)); #594782=CARTESIAN_POINT('',(-1.,0.255,0.535)); #594783=CARTESIAN_POINT('',(-1.,0.255,0.535)); #594784=CARTESIAN_POINT('',(-0.87,0.255,0.665)); #594785=CARTESIAN_POINT('Origin',(-0.87,0.255,0.535)); #594786=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #594787=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #594788=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #594789=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #594790=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #594791=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #594792=CARTESIAN_POINT('',(-0.87,0.255,0.5025)); #594793=CARTESIAN_POINT('Origin',(-0.8375,0.255,0.5025)); #594794=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #594795=CARTESIAN_POINT('Origin',(-1.4,0.,0.)); #594796=CARTESIAN_POINT('',(-1.,-0.255,1.73472347597681E-17)); #594797=CARTESIAN_POINT('',(-1.,0.,1.73472347597681E-17)); #594798=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #594799=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #594800=CARTESIAN_POINT('',(-1.4,0.,0.)); #594801=CARTESIAN_POINT('Origin',(-1.4,0.,0.13)); #594802=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #594803=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #594804=CARTESIAN_POINT('',(-1.4,0.,0.13)); #594805=CARTESIAN_POINT('Origin',(-1.0325,0.,0.13)); #594806=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #594807=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #594808=CARTESIAN_POINT('',(-1.0325,0.,0.13)); #594809=CARTESIAN_POINT('Origin',(-1.0325,0.,0.1625)); #594810=CARTESIAN_POINT('',(-1.,-0.255,0.1625)); #594811=CARTESIAN_POINT('Origin',(-1.0325,-0.255,0.1625)); #594812=CARTESIAN_POINT('',(-1.,0.,0.1625)); #594813=CARTESIAN_POINT('Origin',(-1.,0.,0.535)); #594814=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #594815=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #594816=CARTESIAN_POINT('',(-1.,0.,0.535)); #594817=CARTESIAN_POINT('Origin',(-0.87,0.,0.535)); #594818=CARTESIAN_POINT('',(-0.87,-0.255,0.665)); #594819=CARTESIAN_POINT('Origin',(-0.87,-0.255,0.535)); #594820=CARTESIAN_POINT('',(-0.87,0.,0.665)); #594821=CARTESIAN_POINT('Origin',(-0.85,0.,0.665)); #594822=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #594823=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #594824=CARTESIAN_POINT('',(-0.85,0.,0.665)); #594825=CARTESIAN_POINT('Origin',(-0.85,0.,0.535)); #594826=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #594827=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #594828=CARTESIAN_POINT('',(-0.85,0.,0.535)); #594829=CARTESIAN_POINT('Origin',(-0.8375,0.,0.535)); #594830=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #594831=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #594832=CARTESIAN_POINT('',(-0.8375,0.,0.535)); #594833=CARTESIAN_POINT('Origin',(-0.8375,0.,0.5025)); #594834=CARTESIAN_POINT('',(-0.87,-0.255,0.5025)); #594835=CARTESIAN_POINT('Origin',(-0.8375,-0.255,0.5025)); #594836=CARTESIAN_POINT('',(-0.87,0.,0.5025)); #594837=CARTESIAN_POINT('Origin',(-0.87,0.,0.13)); #594838=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #594839=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #594840=CARTESIAN_POINT('',(-0.87,0.,0.13)); #594841=CARTESIAN_POINT('Origin',(-1.,0.,0.13)); #594842=CARTESIAN_POINT('Origin',(-1.,-0.255,0.13)); #594843=CARTESIAN_POINT('Origin',(-1.11875,-0.255,0.3325)); #594844=CARTESIAN_POINT('Origin',(-1.11875,-0.695,0.3325)); #594845=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #594846=CARTESIAN_POINT('',(-1.,-0.695,1.73472347597681E-17)); #594847=CARTESIAN_POINT('Origin',(-1.,-0.695,0.13)); #594848=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #594849=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #594850=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #594851=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #594852=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #594853=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #594854=CARTESIAN_POINT('',(-1.,-0.695,0.1625)); #594855=CARTESIAN_POINT('Origin',(-1.0325,-0.695,0.1625)); #594856=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #594857=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #594858=CARTESIAN_POINT('',(-0.87,-0.695,0.665)); #594859=CARTESIAN_POINT('Origin',(-0.87,-0.695,0.535)); #594860=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #594861=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #594862=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #594863=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #594864=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #594865=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #594866=CARTESIAN_POINT('',(-0.87,-0.695,0.5025)); #594867=CARTESIAN_POINT('Origin',(-0.8375,-0.695,0.5025)); #594868=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #594869=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.)); #594870=CARTESIAN_POINT('',(-1.,-1.205,1.73472347597681E-17)); #594871=CARTESIAN_POINT('',(-1.,-0.95,1.73472347597681E-17)); #594872=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #594873=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #594874=CARTESIAN_POINT('',(-1.4,-0.95,0.)); #594875=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.13)); #594876=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #594877=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #594878=CARTESIAN_POINT('',(-1.4,-0.95,0.13)); #594879=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.13)); #594880=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #594881=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #594882=CARTESIAN_POINT('',(-1.0325,-0.95,0.13)); #594883=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.1625)); #594884=CARTESIAN_POINT('',(-1.,-1.205,0.1625)); #594885=CARTESIAN_POINT('Origin',(-1.0325,-1.205,0.1625)); #594886=CARTESIAN_POINT('',(-1.,-0.95,0.1625)); #594887=CARTESIAN_POINT('Origin',(-1.,-0.95,0.535)); #594888=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #594889=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #594890=CARTESIAN_POINT('',(-1.,-0.95,0.535)); #594891=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.535)); #594892=CARTESIAN_POINT('',(-0.87,-1.205,0.665)); #594893=CARTESIAN_POINT('Origin',(-0.87,-1.205,0.535)); #594894=CARTESIAN_POINT('',(-0.87,-0.95,0.665)); #594895=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.665)); #594896=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #594897=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #594898=CARTESIAN_POINT('',(-0.85,-0.95,0.665)); #594899=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.535)); #594900=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #594901=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #594902=CARTESIAN_POINT('',(-0.85,-0.95,0.535)); #594903=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.535)); #594904=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #594905=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #594906=CARTESIAN_POINT('',(-0.8375,-0.95,0.535)); #594907=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.5025)); #594908=CARTESIAN_POINT('',(-0.87,-1.205,0.5025)); #594909=CARTESIAN_POINT('Origin',(-0.8375,-1.205,0.5025)); #594910=CARTESIAN_POINT('',(-0.87,-0.95,0.5025)); #594911=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.13)); #594912=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #594913=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #594914=CARTESIAN_POINT('',(-0.87,-0.95,0.13)); #594915=CARTESIAN_POINT('Origin',(-1.,-0.95,0.13)); #594916=CARTESIAN_POINT('Origin',(-1.,-1.205,0.13)); #594917=CARTESIAN_POINT('Origin',(-1.11875,-1.205,0.3325)); #594918=CARTESIAN_POINT('',(0.,0.,0.)); #594919=CARTESIAN_POINT('',(0.,0.,0.)); #594920=CARTESIAN_POINT('',(0.,0.,0.)); #594921=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #594922=CARTESIAN_POINT('',(-1.75,-0.91,1.56)); #594923=CARTESIAN_POINT('',(-1.71,-0.91,1.6)); #594924=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #594925=CARTESIAN_POINT('',(-1.71,-0.95,1.56)); #594926=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #594927=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #594928=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #594929=CARTESIAN_POINT('',(-0.89,-0.95,1.56)); #594930=CARTESIAN_POINT('',(-0.89,-0.91,1.6)); #594931=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #594932=CARTESIAN_POINT('',(-0.85,-0.91,1.56)); #594933=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #594934=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #594935=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #594936=CARTESIAN_POINT('',(-1.75,-0.91,0.04)); #594937=CARTESIAN_POINT('',(-1.71,-0.95,0.04)); #594938=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #594939=CARTESIAN_POINT('',(-1.71,-0.91,0.)); #594940=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #594941=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #594942=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #594943=CARTESIAN_POINT('',(-1.71,0.95,1.56)); #594944=CARTESIAN_POINT('',(-1.71,0.91,1.6)); #594945=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #594946=CARTESIAN_POINT('',(-1.75,0.91,1.56)); #594947=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #594948=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #594949=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #594950=CARTESIAN_POINT('',(-0.89,-0.95,0.04)); #594951=CARTESIAN_POINT('',(-0.85,-0.91,0.04)); #594952=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #594953=CARTESIAN_POINT('',(-0.89,-0.91,0.)); #594954=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #594955=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #594956=CARTESIAN_POINT('Origin',(-1.525,-0.91,0.04)); #594957=CARTESIAN_POINT('',(-1.525,-0.95,0.04)); #594958=CARTESIAN_POINT('',(-1.525,-0.91,0.)); #594959=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #594960=CARTESIAN_POINT('',(-0.85,0.91,1.56)); #594961=CARTESIAN_POINT('',(-0.89,0.91,1.6)); #594962=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #594963=CARTESIAN_POINT('',(-0.89,0.95,1.56)); #594964=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #594965=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #594966=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #594967=CARTESIAN_POINT('',(-1.71,0.91,0.)); #594968=CARTESIAN_POINT('',(-1.71,0.95,0.04)); #594969=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #594970=CARTESIAN_POINT('',(-1.75,0.91,0.04)); #594971=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #594972=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #594973=CARTESIAN_POINT('Origin',(-1.71,0.475,0.04)); #594974=CARTESIAN_POINT('',(-1.75,0.475,0.04)); #594975=CARTESIAN_POINT('',(-1.71,0.475,0.)); #594976=CARTESIAN_POINT('Origin',(-1.71,0.91,0.)); #594977=CARTESIAN_POINT('',(-1.71,0.95,0.)); #594978=CARTESIAN_POINT('',(-1.75,0.91,0.)); #594979=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #594980=CARTESIAN_POINT('',(-0.85,0.91,0.04)); #594981=CARTESIAN_POINT('',(-0.89,0.95,0.04)); #594982=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #594983=CARTESIAN_POINT('',(-0.89,0.91,0.)); #594984=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #594985=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #594986=CARTESIAN_POINT('Origin',(-0.89,-0.475,0.04)); #594987=CARTESIAN_POINT('',(-0.89,-0.475,0.)); #594988=CARTESIAN_POINT('',(-0.85,-0.475,0.04)); #594989=CARTESIAN_POINT('Origin',(-1.075,0.91,0.04)); #594990=CARTESIAN_POINT('',(-1.075,0.95,0.04)); #594991=CARTESIAN_POINT('',(-1.075,0.91,0.)); #594992=CARTESIAN_POINT('Origin',(-0.89,0.91,0.)); #594993=CARTESIAN_POINT('',(-0.85,0.91,0.)); #594994=CARTESIAN_POINT('',(-0.89,0.95,0.)); #594995=CARTESIAN_POINT('Origin',(-1.075,0.91,1.56)); #594996=CARTESIAN_POINT('',(-1.075,0.91,1.6)); #594997=CARTESIAN_POINT('',(-1.075,0.95,1.56)); #594998=CARTESIAN_POINT('Origin',(-0.89,-0.475,1.56)); #594999=CARTESIAN_POINT('',(-0.89,-0.475,1.6)); #595000=CARTESIAN_POINT('',(-0.85,-0.475,1.56)); #595001=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.)); #595002=CARTESIAN_POINT('',(-0.89,-0.95,0.)); #595003=CARTESIAN_POINT('',(-0.85,-0.91,0.)); #595004=CARTESIAN_POINT('Origin',(-1.71,0.475,1.56)); #595005=CARTESIAN_POINT('',(-1.71,0.475,1.6)); #595006=CARTESIAN_POINT('',(-1.75,0.475,1.56)); #595007=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.)); #595008=CARTESIAN_POINT('',(-1.75,-0.91,0.)); #595009=CARTESIAN_POINT('',(-1.71,-0.95,0.)); #595010=CARTESIAN_POINT('Origin',(-1.525,-0.91,1.56)); #595011=CARTESIAN_POINT('',(-1.525,-0.91,1.6)); #595012=CARTESIAN_POINT('',(-1.525,-0.95,1.56)); #595013=CARTESIAN_POINT('Origin',(-1.75,-0.95,0.)); #595014=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #595015=CARTESIAN_POINT('Origin',(-1.75,0.95,0.)); #595016=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #595017=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #595018=CARTESIAN_POINT('Origin',(-1.3,0.,1.6)); #595019=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #595020=CARTESIAN_POINT('',(0.85,-0.91,1.56)); #595021=CARTESIAN_POINT('',(0.89,-0.91,1.6)); #595022=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #595023=CARTESIAN_POINT('',(0.89,-0.95,1.56)); #595024=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #595025=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #595026=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #595027=CARTESIAN_POINT('',(1.71,-0.95,1.56)); #595028=CARTESIAN_POINT('',(1.71,-0.91,1.6)); #595029=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #595030=CARTESIAN_POINT('',(1.75,-0.91,1.56)); #595031=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #595032=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #595033=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #595034=CARTESIAN_POINT('',(0.85,-0.91,0.04)); #595035=CARTESIAN_POINT('',(0.89,-0.95,0.04)); #595036=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #595037=CARTESIAN_POINT('',(0.89,-0.91,0.)); #595038=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #595039=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #595040=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #595041=CARTESIAN_POINT('',(0.89,0.95,1.56)); #595042=CARTESIAN_POINT('',(0.89,0.91,1.6)); #595043=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #595044=CARTESIAN_POINT('',(0.85,0.91,1.56)); #595045=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #595046=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #595047=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #595048=CARTESIAN_POINT('',(1.71,-0.95,0.04)); #595049=CARTESIAN_POINT('',(1.75,-0.91,0.04)); #595050=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #595051=CARTESIAN_POINT('',(1.71,-0.91,0.)); #595052=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #595053=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #595054=CARTESIAN_POINT('Origin',(1.075,-0.91,0.04)); #595055=CARTESIAN_POINT('',(1.075,-0.95,0.04)); #595056=CARTESIAN_POINT('',(1.075,-0.91,0.)); #595057=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #595058=CARTESIAN_POINT('',(1.75,0.91,1.56)); #595059=CARTESIAN_POINT('',(1.71,0.91,1.6)); #595060=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #595061=CARTESIAN_POINT('',(1.71,0.95,1.56)); #595062=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #595063=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #595064=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #595065=CARTESIAN_POINT('',(0.89,0.91,0.)); #595066=CARTESIAN_POINT('',(0.89,0.95,0.04)); #595067=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #595068=CARTESIAN_POINT('',(0.85,0.91,0.04)); #595069=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #595070=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #595071=CARTESIAN_POINT('Origin',(0.89,0.475,0.04)); #595072=CARTESIAN_POINT('',(0.85,0.475,0.04)); #595073=CARTESIAN_POINT('',(0.89,0.475,0.)); #595074=CARTESIAN_POINT('Origin',(0.89,0.91,0.)); #595075=CARTESIAN_POINT('',(0.89,0.95,0.)); #595076=CARTESIAN_POINT('',(0.85,0.91,0.)); #595077=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #595078=CARTESIAN_POINT('',(1.75,0.91,0.04)); #595079=CARTESIAN_POINT('',(1.71,0.95,0.04)); #595080=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #595081=CARTESIAN_POINT('',(1.71,0.91,0.)); #595082=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #595083=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #595084=CARTESIAN_POINT('Origin',(1.71,-0.475,0.04)); #595085=CARTESIAN_POINT('',(1.75,-0.475,0.04)); #595086=CARTESIAN_POINT('',(1.71,-0.475,0.)); #595087=CARTESIAN_POINT('Origin',(1.525,0.91,0.04)); #595088=CARTESIAN_POINT('',(1.525,0.95,0.04)); #595089=CARTESIAN_POINT('',(1.525,0.91,0.)); #595090=CARTESIAN_POINT('Origin',(1.71,0.91,0.)); #595091=CARTESIAN_POINT('',(1.75,0.91,0.)); #595092=CARTESIAN_POINT('',(1.71,0.95,0.)); #595093=CARTESIAN_POINT('Origin',(1.525,0.91,1.56)); #595094=CARTESIAN_POINT('',(1.525,0.91,1.6)); #595095=CARTESIAN_POINT('',(1.525,0.95,1.56)); #595096=CARTESIAN_POINT('Origin',(1.71,-0.475,1.56)); #595097=CARTESIAN_POINT('',(1.71,-0.475,1.6)); #595098=CARTESIAN_POINT('',(1.75,-0.475,1.56)); #595099=CARTESIAN_POINT('Origin',(1.71,-0.91,0.)); #595100=CARTESIAN_POINT('',(1.71,-0.95,0.)); #595101=CARTESIAN_POINT('',(1.75,-0.91,0.)); #595102=CARTESIAN_POINT('Origin',(0.89,0.475,1.56)); #595103=CARTESIAN_POINT('',(0.89,0.475,1.6)); #595104=CARTESIAN_POINT('',(0.85,0.475,1.56)); #595105=CARTESIAN_POINT('Origin',(0.89,-0.91,0.)); #595106=CARTESIAN_POINT('',(0.85,-0.91,0.)); #595107=CARTESIAN_POINT('',(0.89,-0.95,0.)); #595108=CARTESIAN_POINT('Origin',(1.075,-0.91,1.56)); #595109=CARTESIAN_POINT('',(1.075,-0.91,1.6)); #595110=CARTESIAN_POINT('',(1.075,-0.95,1.56)); #595111=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #595112=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #595113=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #595114=CARTESIAN_POINT('Origin',(1.75,0.95,0.)); #595115=CARTESIAN_POINT('Origin',(1.75,-0.95,0.)); #595116=CARTESIAN_POINT('Origin',(1.3,0.,1.6)); #595117=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #595118=CARTESIAN_POINT('',(0.85,0.95,0.)); #595119=CARTESIAN_POINT('',(0.85,-0.95,0.)); #595120=CARTESIAN_POINT('',(0.85,0.95,0.)); #595121=CARTESIAN_POINT('',(0.85,0.95,1.6)); #595122=CARTESIAN_POINT('',(0.85,0.95,0.)); #595123=CARTESIAN_POINT('',(0.85,-0.95,1.6)); #595124=CARTESIAN_POINT('',(0.85,0.95,1.6)); #595125=CARTESIAN_POINT('',(0.85,-0.95,0.)); #595126=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #595127=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #595128=CARTESIAN_POINT('',(-1.75,-0.95,0.)); #595129=CARTESIAN_POINT('',(-0.85,-0.95,1.6)); #595130=CARTESIAN_POINT('',(-1.75,-0.95,1.6)); #595131=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #595132=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #595133=CARTESIAN_POINT('',(-0.85,0.95,0.)); #595134=CARTESIAN_POINT('',(-0.85,0.95,0.)); #595135=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #595136=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #595137=CARTESIAN_POINT('',(-0.85,0.95,0.)); #595138=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #595139=CARTESIAN_POINT('',(1.75,0.95,0.)); #595140=CARTESIAN_POINT('',(1.75,0.95,1.6)); #595141=CARTESIAN_POINT('Origin',(0.,0.,1.6)); #595142=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595143=CARTESIAN_POINT('',(0.,0.,0.)); #595144=CARTESIAN_POINT('',(34.,45.,1.5525)); #595145=CARTESIAN_POINT('',(30.,66.,-0.0524999999999998)); #595146=CARTESIAN_POINT('',(34.,66.,-0.0524999999999998)); #595147=CARTESIAN_POINT('',(38.,66.,-0.0524999999999998)); #595148=CARTESIAN_POINT('',(17.,50.5,1.5525)); #595149=CARTESIAN_POINT('',(51.,50.5,1.5525)); #595150=CARTESIAN_POINT('',(37.75,53.,1.5525)); #595151=CARTESIAN_POINT('',(30.25,53.,1.5525)); #595152=CARTESIAN_POINT('',(48.,41.,1.5525)); #595153=CARTESIAN_POINT('',(44.,41.,1.5525)); #595154=CARTESIAN_POINT('',(20.,41.,1.5525)); #595155=CARTESIAN_POINT('',(24.,41.,1.5525)); #595156=CARTESIAN_POINT('',(23.,26.,1.5525)); #595157=CARTESIAN_POINT('',(21.,15.,1.5525)); #595158=CARTESIAN_POINT('',(25.,15.,1.5525)); #595159=CARTESIAN_POINT('',(21.,18.,1.5525)); #595160=CARTESIAN_POINT('',(25.,18.,1.5525)); #595161=CARTESIAN_POINT('',(21.,21.,1.5525)); #595162=CARTESIAN_POINT('',(25.,21.,1.5525)); #595163=CARTESIAN_POINT('',(45.,26.,1.5525)); #595164=CARTESIAN_POINT('',(30.,60.25,1.5525)); #595165=CARTESIAN_POINT('',(34.,60.25,1.5525)); #595166=CARTESIAN_POINT('',(38.,60.25,1.5525)); #595167=CARTESIAN_POINT('',(27.,19.,-0.0524999999999998)); #595168=CARTESIAN_POINT('',(27.,23.,-0.0524999999999998)); #595169=CARTESIAN_POINT('',(46.75,27.,-0.0524999999999998)); #595170=CARTESIAN_POINT('',(34.,2.5,-0.0524999999999998)); #595171=CARTESIAN_POINT('',(23.,34.,1.5525)); #595172=CARTESIAN_POINT('',(45.,34.,1.5525)); #595173=CARTESIAN_POINT('',(41.,23.,-0.0525)); #595174=CARTESIAN_POINT('',(49.25,27.,-0.0525)); #595175=CARTESIAN_POINT('',(55.,50.5,1.5525)); #595176=CARTESIAN_POINT('',(37.75,57.,1.5525)); #595177=CARTESIAN_POINT('',(30.25,57.,1.5525)); #595178=CARTESIAN_POINT('',(38.,38.,1.5525)); #595179=CARTESIAN_POINT('',(30.,38.,1.5525)); #595180=CARTESIAN_POINT('',(13.,50.5,1.5525)); #595181=CARTESIAN_POINT('',(54.,45.,-0.0525)); #595182=CARTESIAN_POINT('',(54.,42.,-0.0525)); #595183=CARTESIAN_POINT('',(14.,45.,-0.0525)); #595184=CARTESIAN_POINT('',(14.,42.,-0.0525)); #595185=CARTESIAN_POINT('',(21.,29.,1.5525)); #595186=CARTESIAN_POINT('',(25.,29.,1.5525)); #595187=CARTESIAN_POINT('',(20.,26.,-0.0525)); #595188=CARTESIAN_POINT('',(20.,20.,-0.0525)); #595189=CARTESIAN_POINT('',(54.,26.,-0.0525)); #595190=CARTESIAN_POINT('',(54.,26.,1.5525)); #595191=CARTESIAN_POINT('',(54.,21.,1.5525)); #595192=CARTESIAN_POINT('',(14.,26.,-0.0525)); #595193=CARTESIAN_POINT('',(14.,26.,1.5525)); #595194=CARTESIAN_POINT('',(14.,21.,1.5525)); #595195=CARTESIAN_POINT('',(54.,34.,1.5525)); #595196=CARTESIAN_POINT('',(14.,34.,1.5525)); #595197=CARTESIAN_POINT('',(45.,17.,1.5525)); #595198=CARTESIAN_POINT('',(46.,47.,-0.0525)); #595199=CARTESIAN_POINT('',(46.,56.,-0.0525)); #595200=CARTESIAN_POINT('',(22.,47.,-0.0525)); #595201=CARTESIAN_POINT('',(22.,56.,-0.0525)); #595202=CARTESIAN_POINT('',(34.,55.,-0.0525)); #595203=CARTESIAN_POINT('',(34.,34.,-0.0525)); #595204=CARTESIAN_POINT('',(34.,17.,1.5525)); #595205=CARTESIAN_POINT('',(53.,47.,1.5525)); #595206=CARTESIAN_POINT('',(15.,47.,1.5525)); #595207=CARTESIAN_POINT('',(38.,31.,1.5525)); #595208=CARTESIAN_POINT('',(30.,31.,1.5525)); #595209=CARTESIAN_POINT('',(48.,21.,-0.0525)); #595210=CARTESIAN_POINT('',(20.,23.,-0.0525)); #595211=CARTESIAN_POINT('',(45.,12.25,1.5525)); #595212=CARTESIAN_POINT('',(0.,0.,0.)); #595213=CARTESIAN_POINT('',(0.,0.,1.5)); #595214=CARTESIAN_POINT('',(0.,0.,-0.0349999999999995)); #595215=CARTESIAN_POINT('',(0.,0.,1.5)); #595216=CARTESIAN_POINT('',(0.,0.,0.)); #595217=CARTESIAN_POINT('',(0.,0.,0.)); #595218=CARTESIAN_POINT('',(0.,0.,0.)); #595219=CARTESIAN_POINT('',(0.,0.,0.)); #595220=CARTESIAN_POINT('Origin',(39.75,8.5,0.697)); #595221=CARTESIAN_POINT('',(38.75,8.5,1.5)); #595222=CARTESIAN_POINT('Origin',(39.75,8.5,1.5)); #595223=CARTESIAN_POINT('',(38.75,8.5,0.)); #595224=CARTESIAN_POINT('',(38.75,8.5,0.697)); #595225=CARTESIAN_POINT('Origin',(39.75,8.5,0.)); #595226=CARTESIAN_POINT('Origin',(3.75,8.5,0.697)); #595227=CARTESIAN_POINT('',(2.75,8.5,1.5)); #595228=CARTESIAN_POINT('Origin',(3.75,8.5,1.5)); #595229=CARTESIAN_POINT('',(2.75,8.5,0.)); #595230=CARTESIAN_POINT('',(2.75,8.5,0.697)); #595231=CARTESIAN_POINT('Origin',(3.75,8.5,0.)); #595232=CARTESIAN_POINT('Origin',(1.,11.,0.)); #595233=CARTESIAN_POINT('',(1.,11.,0.)); #595234=CARTESIAN_POINT('',(0.,11.,0.)); #595235=CARTESIAN_POINT('',(1.,11.,0.)); #595236=CARTESIAN_POINT('',(0.,11.,1.5)); #595237=CARTESIAN_POINT('',(0.,11.,0.)); #595238=CARTESIAN_POINT('',(1.,11.,1.5)); #595239=CARTESIAN_POINT('',(1.,11.,1.5)); #595240=CARTESIAN_POINT('',(1.,11.,0.)); #595241=CARTESIAN_POINT('Origin',(0.999999999999996,17.,0.)); #595242=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595243=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595244=CARTESIAN_POINT('',(0.999999999999996,17.,1.5)); #595245=CARTESIAN_POINT('',(0.999999999999996,17.,1.5)); #595246=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595247=CARTESIAN_POINT('Origin',(42.5,17.,0.)); #595248=CARTESIAN_POINT('',(42.5,17.,0.)); #595249=CARTESIAN_POINT('',(42.5,17.,0.)); #595250=CARTESIAN_POINT('',(42.5,17.,1.5)); #595251=CARTESIAN_POINT('',(42.5,17.,1.5)); #595252=CARTESIAN_POINT('',(42.5,17.,0.)); #595253=CARTESIAN_POINT('Origin',(42.5,11.,0.)); #595254=CARTESIAN_POINT('',(42.5,11.,0.)); #595255=CARTESIAN_POINT('',(42.5,11.,0.)); #595256=CARTESIAN_POINT('',(42.5,11.,1.5)); #595257=CARTESIAN_POINT('',(42.5,11.,1.5)); #595258=CARTESIAN_POINT('',(42.5,11.,0.)); #595259=CARTESIAN_POINT('Origin',(43.5,11.,0.)); #595260=CARTESIAN_POINT('',(43.5,11.,0.)); #595261=CARTESIAN_POINT('',(43.5,11.,0.)); #595262=CARTESIAN_POINT('',(43.5,11.,1.5)); #595263=CARTESIAN_POINT('',(43.5,11.,1.5)); #595264=CARTESIAN_POINT('',(43.5,11.,0.)); #595265=CARTESIAN_POINT('Origin',(43.5,6.,0.)); #595266=CARTESIAN_POINT('',(43.5,6.,0.)); #595267=CARTESIAN_POINT('',(43.5,6.,0.)); #595268=CARTESIAN_POINT('',(43.5,6.,1.5)); #595269=CARTESIAN_POINT('',(43.5,6.,1.5)); #595270=CARTESIAN_POINT('',(43.5,6.,0.)); #595271=CARTESIAN_POINT('Origin',(42.5,6.,0.)); #595272=CARTESIAN_POINT('',(42.5,6.,0.)); #595273=CARTESIAN_POINT('',(42.5,6.,0.)); #595274=CARTESIAN_POINT('',(42.5,6.,1.5)); #595275=CARTESIAN_POINT('',(42.5,6.,1.5)); #595276=CARTESIAN_POINT('',(42.5,6.,0.)); #595277=CARTESIAN_POINT('Origin',(42.5,0.,0.)); #595278=CARTESIAN_POINT('',(42.5,0.,0.)); #595279=CARTESIAN_POINT('',(42.5,0.,0.)); #595280=CARTESIAN_POINT('',(42.5,0.,1.5)); #595281=CARTESIAN_POINT('',(42.5,0.,1.5)); #595282=CARTESIAN_POINT('',(42.5,0.,0.)); #595283=CARTESIAN_POINT('Origin',(1.,0.,0.)); #595284=CARTESIAN_POINT('',(1.,0.,0.)); #595285=CARTESIAN_POINT('',(1.,0.,0.)); #595286=CARTESIAN_POINT('',(1.,0.,1.5)); #595287=CARTESIAN_POINT('',(1.,0.,1.5)); #595288=CARTESIAN_POINT('',(1.,0.,0.)); #595289=CARTESIAN_POINT('Origin',(1.,6.,0.)); #595290=CARTESIAN_POINT('',(1.,6.,0.)); #595291=CARTESIAN_POINT('',(1.,6.,0.)); #595292=CARTESIAN_POINT('',(1.,6.,1.5)); #595293=CARTESIAN_POINT('',(1.,6.,1.5)); #595294=CARTESIAN_POINT('',(1.,6.,0.)); #595295=CARTESIAN_POINT('Origin',(0.,6.,0.)); #595296=CARTESIAN_POINT('',(0.,6.,0.)); #595297=CARTESIAN_POINT('',(0.,6.,0.)); #595298=CARTESIAN_POINT('',(0.,6.,1.5)); #595299=CARTESIAN_POINT('',(0.,6.,1.5)); #595300=CARTESIAN_POINT('',(0.,6.,0.)); #595301=CARTESIAN_POINT('Origin',(0.,11.,0.)); #595302=CARTESIAN_POINT('',(0.,11.,0.)); #595303=CARTESIAN_POINT('',(0.,11.,1.5)); #595304=CARTESIAN_POINT('Origin',(21.75,8.5,1.5)); #595305=CARTESIAN_POINT('Origin',(21.75,8.5,0.)); #595306=CARTESIAN_POINT('',(0.,0.,0.)); #595307=CARTESIAN_POINT('Origin',(39.75,8.5,0.803)); #595308=CARTESIAN_POINT('',(40.75,8.5,0.)); #595309=CARTESIAN_POINT('Origin',(39.75,8.5,0.)); #595310=CARTESIAN_POINT('',(40.75,8.5,0.0525)); #595311=CARTESIAN_POINT('',(40.75,8.5,0.803)); #595312=CARTESIAN_POINT('Origin',(39.75,8.5,0.0525)); #595313=CARTESIAN_POINT('Origin',(3.75,8.5,0.803)); #595314=CARTESIAN_POINT('',(4.75,8.5,0.)); #595315=CARTESIAN_POINT('Origin',(3.75,8.5,0.)); #595316=CARTESIAN_POINT('',(4.75,8.5,0.0525)); #595317=CARTESIAN_POINT('',(4.75,8.5,0.803)); #595318=CARTESIAN_POINT('Origin',(3.75,8.5,0.0525)); #595319=CARTESIAN_POINT('Origin',(1.,11.,0.)); #595320=CARTESIAN_POINT('',(1.,11.,0.)); #595321=CARTESIAN_POINT('',(0.,11.,0.)); #595322=CARTESIAN_POINT('',(1.,11.,0.)); #595323=CARTESIAN_POINT('',(0.,11.,0.0525)); #595324=CARTESIAN_POINT('',(0.,11.,0.)); #595325=CARTESIAN_POINT('',(1.,11.,0.0525)); #595326=CARTESIAN_POINT('',(1.,11.,0.0525)); #595327=CARTESIAN_POINT('',(1.,11.,0.)); #595328=CARTESIAN_POINT('Origin',(0.999999999999996,17.,0.)); #595329=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595330=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595331=CARTESIAN_POINT('',(0.999999999999996,17.,0.0525)); #595332=CARTESIAN_POINT('',(0.999999999999996,17.,0.0525)); #595333=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595334=CARTESIAN_POINT('Origin',(42.5,17.,0.)); #595335=CARTESIAN_POINT('',(42.5,17.,0.)); #595336=CARTESIAN_POINT('',(42.5,17.,0.)); #595337=CARTESIAN_POINT('',(42.5,17.,0.0525)); #595338=CARTESIAN_POINT('',(42.5,17.,0.0525)); #595339=CARTESIAN_POINT('',(42.5,17.,0.)); #595340=CARTESIAN_POINT('Origin',(42.5,11.,0.)); #595341=CARTESIAN_POINT('',(42.5,11.,0.)); #595342=CARTESIAN_POINT('',(42.5,11.,0.)); #595343=CARTESIAN_POINT('',(42.5,11.,0.0525)); #595344=CARTESIAN_POINT('',(42.5,11.,0.0525)); #595345=CARTESIAN_POINT('',(42.5,11.,0.)); #595346=CARTESIAN_POINT('Origin',(43.5,11.,0.)); #595347=CARTESIAN_POINT('',(43.5,11.,0.)); #595348=CARTESIAN_POINT('',(43.5,11.,0.)); #595349=CARTESIAN_POINT('',(43.5,11.,0.0525)); #595350=CARTESIAN_POINT('',(43.5,11.,0.0525)); #595351=CARTESIAN_POINT('',(43.5,11.,0.)); #595352=CARTESIAN_POINT('Origin',(43.5,6.,0.)); #595353=CARTESIAN_POINT('',(43.5,6.,0.)); #595354=CARTESIAN_POINT('',(43.5,6.,0.)); #595355=CARTESIAN_POINT('',(43.5,6.,0.0525)); #595356=CARTESIAN_POINT('',(43.5,6.,0.0525)); #595357=CARTESIAN_POINT('',(43.5,6.,0.)); #595358=CARTESIAN_POINT('Origin',(42.5,6.,0.)); #595359=CARTESIAN_POINT('',(42.5,6.,0.)); #595360=CARTESIAN_POINT('',(42.5,6.,0.)); #595361=CARTESIAN_POINT('',(42.5,6.,0.0525)); #595362=CARTESIAN_POINT('',(42.5,6.,0.0525)); #595363=CARTESIAN_POINT('',(42.5,6.,0.)); #595364=CARTESIAN_POINT('Origin',(42.5,0.,0.)); #595365=CARTESIAN_POINT('',(42.5,0.,0.)); #595366=CARTESIAN_POINT('',(42.5,0.,0.)); #595367=CARTESIAN_POINT('',(42.5,0.,0.0525)); #595368=CARTESIAN_POINT('',(42.5,0.,0.0525)); #595369=CARTESIAN_POINT('',(42.5,0.,0.)); #595370=CARTESIAN_POINT('Origin',(1.,0.,0.)); #595371=CARTESIAN_POINT('',(1.,0.,0.)); #595372=CARTESIAN_POINT('',(1.,0.,0.)); #595373=CARTESIAN_POINT('',(1.,0.,0.0525)); #595374=CARTESIAN_POINT('',(1.,0.,0.0525)); #595375=CARTESIAN_POINT('',(1.,0.,0.)); #595376=CARTESIAN_POINT('Origin',(1.,6.,0.)); #595377=CARTESIAN_POINT('',(1.,6.,0.)); #595378=CARTESIAN_POINT('',(1.,6.,0.)); #595379=CARTESIAN_POINT('',(1.,6.,0.0525)); #595380=CARTESIAN_POINT('',(1.,6.,0.0525)); #595381=CARTESIAN_POINT('',(1.,6.,0.)); #595382=CARTESIAN_POINT('Origin',(0.,6.,0.)); #595383=CARTESIAN_POINT('',(0.,6.,0.)); #595384=CARTESIAN_POINT('',(0.,6.,0.)); #595385=CARTESIAN_POINT('',(0.,6.,0.0525)); #595386=CARTESIAN_POINT('',(0.,6.,0.0525)); #595387=CARTESIAN_POINT('',(0.,6.,0.)); #595388=CARTESIAN_POINT('Origin',(0.,11.,0.)); #595389=CARTESIAN_POINT('',(0.,11.,0.)); #595390=CARTESIAN_POINT('',(0.,11.,0.0525)); #595391=CARTESIAN_POINT('Origin',(21.75,8.5,0.0525)); #595392=CARTESIAN_POINT('Origin',(21.75,8.5,0.)); #595393=CARTESIAN_POINT('',(0.,0.,0.)); #595394=CARTESIAN_POINT('Origin',(39.75,8.5,0.697)); #595395=CARTESIAN_POINT('',(38.75,8.5,0.)); #595396=CARTESIAN_POINT('Origin',(39.75,8.5,0.)); #595397=CARTESIAN_POINT('',(38.75,8.5,-0.0525)); #595398=CARTESIAN_POINT('',(38.75,8.5,0.697)); #595399=CARTESIAN_POINT('Origin',(39.75,8.5,-0.0525)); #595400=CARTESIAN_POINT('Origin',(3.75,8.5,0.697)); #595401=CARTESIAN_POINT('',(2.75,8.5,0.)); #595402=CARTESIAN_POINT('Origin',(3.75,8.5,0.)); #595403=CARTESIAN_POINT('',(2.75,8.5,-0.0525)); #595404=CARTESIAN_POINT('',(2.75,8.5,0.697)); #595405=CARTESIAN_POINT('Origin',(3.75,8.5,-0.0525)); #595406=CARTESIAN_POINT('Origin',(1.,6.,0.)); #595407=CARTESIAN_POINT('',(0.,6.,0.)); #595408=CARTESIAN_POINT('',(1.,6.,0.)); #595409=CARTESIAN_POINT('',(0.,6.,0.)); #595410=CARTESIAN_POINT('',(0.,6.,-0.0525)); #595411=CARTESIAN_POINT('',(0.,6.,0.)); #595412=CARTESIAN_POINT('',(1.,6.,-0.0525)); #595413=CARTESIAN_POINT('',(0.,6.,-0.0525)); #595414=CARTESIAN_POINT('',(1.,6.,0.)); #595415=CARTESIAN_POINT('Origin',(1.,0.,0.)); #595416=CARTESIAN_POINT('',(1.,0.,0.)); #595417=CARTESIAN_POINT('',(1.,6.,0.)); #595418=CARTESIAN_POINT('',(1.,0.,-0.0525)); #595419=CARTESIAN_POINT('',(1.,6.,-0.0525)); #595420=CARTESIAN_POINT('',(1.,0.,0.)); #595421=CARTESIAN_POINT('Origin',(42.5,0.,0.)); #595422=CARTESIAN_POINT('',(42.5,0.,0.)); #595423=CARTESIAN_POINT('',(1.,0.,0.)); #595424=CARTESIAN_POINT('',(42.5,0.,-0.0525)); #595425=CARTESIAN_POINT('',(1.,0.,-0.0525)); #595426=CARTESIAN_POINT('',(42.5,0.,0.)); #595427=CARTESIAN_POINT('Origin',(42.5,6.,0.)); #595428=CARTESIAN_POINT('',(42.5,6.,0.)); #595429=CARTESIAN_POINT('',(42.5,0.,0.)); #595430=CARTESIAN_POINT('',(42.5,6.,-0.0525)); #595431=CARTESIAN_POINT('',(42.5,0.,-0.0525)); #595432=CARTESIAN_POINT('',(42.5,6.,0.)); #595433=CARTESIAN_POINT('Origin',(43.5,6.,0.)); #595434=CARTESIAN_POINT('',(43.5,6.,0.)); #595435=CARTESIAN_POINT('',(42.5,6.,0.)); #595436=CARTESIAN_POINT('',(43.5,6.,-0.0525)); #595437=CARTESIAN_POINT('',(42.5,6.,-0.0525)); #595438=CARTESIAN_POINT('',(43.5,6.,0.)); #595439=CARTESIAN_POINT('Origin',(43.5,11.,0.)); #595440=CARTESIAN_POINT('',(43.5,11.,0.)); #595441=CARTESIAN_POINT('',(43.5,6.,0.)); #595442=CARTESIAN_POINT('',(43.5,11.,-0.0525)); #595443=CARTESIAN_POINT('',(43.5,6.,-0.0525)); #595444=CARTESIAN_POINT('',(43.5,11.,0.)); #595445=CARTESIAN_POINT('Origin',(42.5,11.,0.)); #595446=CARTESIAN_POINT('',(42.5,11.,0.)); #595447=CARTESIAN_POINT('',(43.5,11.,0.)); #595448=CARTESIAN_POINT('',(42.5,11.,-0.0525)); #595449=CARTESIAN_POINT('',(43.5,11.,-0.0525)); #595450=CARTESIAN_POINT('',(42.5,11.,0.)); #595451=CARTESIAN_POINT('Origin',(42.5,17.,0.)); #595452=CARTESIAN_POINT('',(42.5,17.,0.)); #595453=CARTESIAN_POINT('',(42.5,11.,0.)); #595454=CARTESIAN_POINT('',(42.5,17.,-0.0525)); #595455=CARTESIAN_POINT('',(42.5,11.,-0.0525)); #595456=CARTESIAN_POINT('',(42.5,17.,0.)); #595457=CARTESIAN_POINT('Origin',(0.999999999999996,17.,0.)); #595458=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595459=CARTESIAN_POINT('',(42.5,17.,0.)); #595460=CARTESIAN_POINT('',(0.999999999999996,17.,-0.0525)); #595461=CARTESIAN_POINT('',(42.5,17.,-0.0525)); #595462=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595463=CARTESIAN_POINT('Origin',(1.,11.,0.)); #595464=CARTESIAN_POINT('',(1.,11.,0.)); #595465=CARTESIAN_POINT('',(0.999999999999996,17.,0.)); #595466=CARTESIAN_POINT('',(1.,11.,-0.0525)); #595467=CARTESIAN_POINT('',(0.999999999999996,17.,-0.0525)); #595468=CARTESIAN_POINT('',(1.,11.,0.)); #595469=CARTESIAN_POINT('Origin',(0.,11.,0.)); #595470=CARTESIAN_POINT('',(0.,11.,0.)); #595471=CARTESIAN_POINT('',(1.,11.,0.)); #595472=CARTESIAN_POINT('',(0.,11.,-0.0525)); #595473=CARTESIAN_POINT('',(1.,11.,-0.0525)); #595474=CARTESIAN_POINT('',(0.,11.,0.)); #595475=CARTESIAN_POINT('Origin',(0.,6.,0.)); #595476=CARTESIAN_POINT('',(0.,11.,0.)); #595477=CARTESIAN_POINT('',(0.,11.,-0.0525)); #595478=CARTESIAN_POINT('Origin',(21.75,8.5,0.)); #595479=CARTESIAN_POINT('Origin',(21.75,8.5,-0.0525)); #595480=CARTESIAN_POINT('Origin',(21.7499999999988,8.50000000000044,7.55250000000002)); #595481=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,7.55249999999999)); #595482=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,7.55250000000002)); #595483=CARTESIAN_POINT('',(29.5749999999988,18.5000000000004,7.55250000000002)); #595484=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999956,7.55250000000002)); #595485=CARTESIAN_POINT('',(6.09999999999878,13.5000000000004,7.55250000000002)); #595486=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999956,7.55250000000002)); #595487=CARTESIAN_POINT('',(13.9249999999988,-1.49999999999956,7.55250000000002)); #595488=CARTESIAN_POINT('',(37.3999999999988,3.50000000000044,7.55250000000002)); #595489=CARTESIAN_POINT('Origin',(6.09999999999878,18.5000000000004,1.55249999999999)); #595490=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,1.55249999999999)); #595491=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,1.55249999999999)); #595492=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999957,1.55250000000001)); #595493=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999957,1.55250000000001)); #595494=CARTESIAN_POINT('',(6.09999999999878,-1.49999999999957,1.55250000000001)); #595495=CARTESIAN_POINT('Origin',(37.3999999999988,18.5000000000004,1.55250000000001)); #595496=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,1.55250000000001)); #595497=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,1.55250000000001)); #595498=CARTESIAN_POINT('',(6.09999999999878,18.5000000000004,1.55249999999999)); #595499=CARTESIAN_POINT('Origin',(37.3999999999988,-1.49999999999956,1.55250000000001)); #595500=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999956,1.55250000000001)); #595501=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999956,1.55250000000001)); #595502=CARTESIAN_POINT('',(37.3999999999988,18.5000000000004,1.55250000000001)); #595503=CARTESIAN_POINT('Origin',(6.09999999999878,-1.49999999999957,1.55250000000001)); #595504=CARTESIAN_POINT('',(37.3999999999988,-1.49999999999957,1.55250000000001)); #595505=CARTESIAN_POINT('Origin',(21.7499999999988,8.50000000000044,1.55249994039536)); #595506=CARTESIAN_POINT('',(0.,0.,0.)); #595507=CARTESIAN_POINT('',(0.,0.,1.49999999999999)); #595508=CARTESIAN_POINT('',(0.,0.,0.)); #595509=CARTESIAN_POINT('Origin',(0.,0.,-3.5)); #595510=CARTESIAN_POINT('',(-28.,-3.42901103761259E-15,-2.25)); #595511=CARTESIAN_POINT('Origin',(0.,0.,-2.25)); #595512=CARTESIAN_POINT('',(-30.5,3.73517273739943E-15,-4.75)); #595513=CARTESIAN_POINT('',(-29.25,-3.58209188750601E-15,-3.5)); #595514=CARTESIAN_POINT('Origin',(0.,0.,-4.75)); #595515=CARTESIAN_POINT('Origin',(0.,0.,-4.75)); #595516=CARTESIAN_POINT('',(-4.71999999999999,-33.9232088694452,-4.75)); #595517=CARTESIAN_POINT('',(4.72,-33.9232088694452,-4.75)); #595518=CARTESIAN_POINT('Origin',(0.,0.,-4.75)); #595519=CARTESIAN_POINT('',(4.72,-34.3391646153845,-4.75)); #595520=CARTESIAN_POINT('',(4.72,-16.8353912933439,-4.75)); #595521=CARTESIAN_POINT('',(-4.72,-34.3391646153845,-4.75)); #595522=CARTESIAN_POINT('',(2.36,-34.3391646153845,-4.75)); #595523=CARTESIAN_POINT('',(-4.72,-17.0445823076923,-4.75)); #595524=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595525=CARTESIAN_POINT('',(28.,-3.42901103761259E-15,0.)); #595526=CARTESIAN_POINT('',(-28.,-3.42901103761259E-15,0.)); #595527=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595528=CARTESIAN_POINT('',(-28.,-3.42901103761259E-15,0.)); #595529=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595530=CARTESIAN_POINT('Origin',(-4.72,-34.0891646153845,0.)); #595531=CARTESIAN_POINT('',(-4.72,-34.3391646153845,0.)); #595532=CARTESIAN_POINT('',(-4.72,-34.3391646153845,0.)); #595533=CARTESIAN_POINT('',(-4.71999999999999,-33.9232088694452,0.)); #595534=CARTESIAN_POINT('',(-4.72,-34.9671474024472,0.)); #595535=CARTESIAN_POINT('',(-4.72,-33.9232088694451,0.)); #595536=CARTESIAN_POINT('Origin',(4.72,-34.3391646153845,0.)); #595537=CARTESIAN_POINT('',(4.72,-34.3391646153845,0.)); #595538=CARTESIAN_POINT('',(4.72,-34.3391646153845,0.)); #595539=CARTESIAN_POINT('',(2.36,-34.3391646153845,0.)); #595540=CARTESIAN_POINT('Origin',(4.72,-33.6707825866878,0.)); #595541=CARTESIAN_POINT('',(4.72,-33.9232088694452,0.)); #595542=CARTESIAN_POINT('',(4.72,-33.9232088694451,0.)); #595543=CARTESIAN_POINT('',(4.72,-34.9671474024472,0.)); #595544=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595545=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595546=CARTESIAN_POINT('Origin',(0.,0.,14.75)); #595547=CARTESIAN_POINT('',(28.6,-3.50248984556143E-15,15.)); #595548=CARTESIAN_POINT('Origin',(0.,0.,15.)); #595549=CARTESIAN_POINT('',(29.1,3.5637221855188E-15,14.5)); #595550=CARTESIAN_POINT('',(28.85,3.53310601554011E-15,14.75)); #595551=CARTESIAN_POINT('Origin',(0.,0.,14.5)); #595552=CARTESIAN_POINT('Origin',(0.,0.,15.)); #595553=CARTESIAN_POINT('',(27.,3.30654635769785E-15,15.)); #595554=CARTESIAN_POINT('Origin',(0.,0.,15.)); #595555=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595556=CARTESIAN_POINT('',(29.1,-3.5637221855188E-15,2.5)); #595557=CARTESIAN_POINT('',(29.1,3.5637221855188E-15,0.)); #595558=CARTESIAN_POINT('Origin',(0.,0.,2.5)); #595559=CARTESIAN_POINT('',(14.7722761232297,25.0716943611527,4.75)); #595560=CARTESIAN_POINT('',(-10.1941980189573,27.2559778168072,4.75)); #595561=CARTESIAN_POINT('Origin',(0.,0.,4.75)); #595562=CARTESIAN_POINT('',(14.7722761232297,25.0716943611527,10.25)); #595563=CARTESIAN_POINT('',(14.7722761232297,25.0716943611527,0.)); #595564=CARTESIAN_POINT('',(-10.1941980189573,27.2559778168072,10.25)); #595565=CARTESIAN_POINT('Origin',(0.,0.,10.25)); #595566=CARTESIAN_POINT('',(-10.1941980189573,27.2559778168072,0.)); #595567=CARTESIAN_POINT('',(14.3265861710625,-25.3290135750116,10.25)); #595568=CARTESIAN_POINT('',(14.3265861710625,-25.3290135750116,4.75)); #595569=CARTESIAN_POINT('',(14.3265861710625,-25.3290135750116,0.)); #595570=CARTESIAN_POINT('',(28.7014682038188,-4.79955445277756,10.25)); #595571=CARTESIAN_POINT('Origin',(0.,0.,10.25)); #595572=CARTESIAN_POINT('',(28.7014682038188,-4.79955445277756,4.75000000000001)); #595573=CARTESIAN_POINT('',(28.7014682038188,-4.79955445277756,0.)); #595574=CARTESIAN_POINT('Origin',(0.,0.,4.75)); #595575=CARTESIAN_POINT('',(-29.0988622942922,0.257319213858891,10.25)); #595576=CARTESIAN_POINT('',(-29.0988622942922,0.257319213858891,4.75)); #595577=CARTESIAN_POINT('',(-29.0988622942922,0.257319213858891,0.)); #595578=CARTESIAN_POINT('',(-18.5072701848615,-22.4564233640296,10.25)); #595579=CARTESIAN_POINT('Origin',(0.,0.,10.25)); #595580=CARTESIAN_POINT('',(-18.5072701848615,-22.4564233640296,4.75)); #595581=CARTESIAN_POINT('',(-18.5072701848615,-22.4564233640296,0.)); #595582=CARTESIAN_POINT('Origin',(0.,0.,4.75)); #595583=CARTESIAN_POINT('Origin',(0.,0.,14.75)); #595584=CARTESIAN_POINT('',(26.5,-3.24531401774049E-15,14.5)); #595585=CARTESIAN_POINT('',(26.75,3.27593018771917E-15,14.75)); #595586=CARTESIAN_POINT('Origin',(0.,0.,14.5)); #595587=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595588=CARTESIAN_POINT('',(26.5,3.24531401774049E-15,1.5)); #595589=CARTESIAN_POINT('',(26.5,3.24531401774049E-15,0.)); #595590=CARTESIAN_POINT('Origin',(0.,0.,1.5)); #595591=CARTESIAN_POINT('Origin',(0.,0.,0.75)); #595592=CARTESIAN_POINT('',(27.25,3.33716252767654E-15,0.75)); #595593=CARTESIAN_POINT('Origin',(-9.58400896476488,28.5240533978666,5.)); #595594=CARTESIAN_POINT('',(-9.12972672292138,29.4681283756667,6.5)); #595595=CARTESIAN_POINT('Ctrl Pts',(-10.1941980189573,27.2559778168072, 4.75)); #595596=CARTESIAN_POINT('Ctrl Pts',(-9.67749891820397,28.3297655354148, 5.57473543386429)); #595597=CARTESIAN_POINT('Ctrl Pts',(-9.12972672292138,29.4681283756667, 6.5)); #595598=CARTESIAN_POINT('',(-9.12972672292138,29.4681283756667,8.5)); #595599=CARTESIAN_POINT('Ctrl Pts',(-9.12972672292138,29.4681283756667, 8.5)); #595600=CARTESIAN_POINT('Ctrl Pts',(-9.67749891820398,28.3297655354148, 9.42526456613572)); #595601=CARTESIAN_POINT('Ctrl Pts',(-10.1941980189573,27.2559778168072, 10.25)); #595602=CARTESIAN_POINT('',(-9.12972672292138,29.4681283756667,5.)); #595603=CARTESIAN_POINT('Origin',(-19.9105503790739,-22.5620219325175,5.)); #595604=CARTESIAN_POINT('',(-20.9552844138478,-22.6406394594929,6.5)); #595605=CARTESIAN_POINT('Ctrl Pts',(-18.5072701848615,-22.4564233640296, 4.75)); #595606=CARTESIAN_POINT('Ctrl Pts',(-19.6955471778244,-22.5458426759685, 5.57473543386445)); #595607=CARTESIAN_POINT('Ctrl Pts',(-20.9552844138478,-22.6406394594929, 6.5)); #595608=CARTESIAN_POINT('',(-20.9552844138478,-22.6406394594929,8.5)); #595609=CARTESIAN_POINT('Ctrl Pts',(-20.9552844138478,-22.6406394594929, 8.5)); #595610=CARTESIAN_POINT('Ctrl Pts',(-19.6955471778244,-22.5458426759685, 9.42526456613553)); #595611=CARTESIAN_POINT('Ctrl Pts',(-18.5072701848615,-22.4564233640296, 10.25)); #595612=CARTESIAN_POINT('',(-20.9552844138478,-22.6406394594929,5.)); #595613=CARTESIAN_POINT('Origin',(15.6902103869891,-25.6766849124465,5.)); #595614=CARTESIAN_POINT('',(16.7054207739782,-25.9355242238197,6.5)); #595615=CARTESIAN_POINT('Ctrl Pts',(16.7054207739782,-25.9355242238197, 6.5)); #595616=CARTESIAN_POINT('Ctrl Pts',(15.4812830658913,-25.6234165410694, 5.57473543386404)); #595617=CARTESIAN_POINT('Ctrl Pts',(14.3265861710625,-25.3290135750116, 4.75)); #595618=CARTESIAN_POINT('',(16.7054207739782,-25.9355242238197,8.5)); #595619=CARTESIAN_POINT('',(16.7054207739782,-25.9355242238197,5.)); #595620=CARTESIAN_POINT('Ctrl Pts',(14.3265861710625,-25.3290135750116, 10.25)); #595621=CARTESIAN_POINT('Ctrl Pts',(15.4812830658913,-25.6234165410694, 9.42526456613596)); #595622=CARTESIAN_POINT('Ctrl Pts',(16.7054207739782,-25.9355242238197, 8.5)); #595623=CARTESIAN_POINT('Origin',(14.3915562256527,26.4264632420783,5.)); #595624=CARTESIAN_POINT('',(14.1081124513054,27.4350808830833,6.5)); #595625=CARTESIAN_POINT('Ctrl Pts',(14.1081124513054,27.4350808830833,6.5)); #595626=CARTESIAN_POINT('Ctrl Pts',(14.4498881233706,26.2188926887754,5.57473543386475)); #595627=CARTESIAN_POINT('Ctrl Pts',(14.7722761232297,25.0716943611527,4.75)); #595628=CARTESIAN_POINT('',(14.1081124513054,27.4350808830833,8.5)); #595629=CARTESIAN_POINT('',(14.1081124513054,27.4350808830833,5.)); #595630=CARTESIAN_POINT('Ctrl Pts',(14.7722761232297,25.0716943611527,10.25)); #595631=CARTESIAN_POINT('Ctrl Pts',(14.4498881233706,26.2188926887754,9.42526456613525)); #595632=CARTESIAN_POINT('Ctrl Pts',(14.1081124513054,27.4350808830833,8.5)); #595633=CARTESIAN_POINT('Origin',(-30.0817666126418,-0.749778329631792, 5.)); #595634=CARTESIAN_POINT('',(-30.8135332252836,-1.49955665926359,6.5)); #595635=CARTESIAN_POINT('Ctrl Pts',(-30.8135332252836,-1.49955665926358, 6.5)); #595636=CARTESIAN_POINT('Ctrl Pts',(-29.9311711892622,-0.595476147705055, 5.57473543386407)); #595637=CARTESIAN_POINT('Ctrl Pts',(-29.0988622942922,0.257319213858892, 4.75)); #595638=CARTESIAN_POINT('',(-30.8135332252836,-1.49955665926359,8.5)); #595639=CARTESIAN_POINT('',(-30.8135332252836,-1.49955665926359,5.)); #595640=CARTESIAN_POINT('Ctrl Pts',(-29.0988622942922,0.257319213858892, 10.25)); #595641=CARTESIAN_POINT('Ctrl Pts',(-29.9311711892622,-0.595476147705055, 9.42526456613592)); #595642=CARTESIAN_POINT('Ctrl Pts',(-30.8135332252836,-1.49955665926358, 8.5)); #595643=CARTESIAN_POINT('Origin',(29.4945593438387,-5.9620314653491,5.)); #595644=CARTESIAN_POINT('',(30.0850111367692,-6.82748891617378,6.5)); #595645=CARTESIAN_POINT('Ctrl Pts',(30.0850111367692,-6.82748891617378, 6.5)); #595646=CARTESIAN_POINT('Ctrl Pts',(29.3730461750986,-5.78392297534423, 5.57473553349054)); #595647=CARTESIAN_POINT('Ctrl Pts',(28.7014682038188,-4.79955445277756, 4.75000000000001)); #595648=CARTESIAN_POINT('',(30.0850111367692,-6.82748891617378,8.5)); #595649=CARTESIAN_POINT('Ctrl Pts',(28.7014682038188,-4.79955445277756, 10.25)); #595650=CARTESIAN_POINT('Ctrl Pts',(29.3730460960282,-5.78392285944644, 9.42526456613562)); #595651=CARTESIAN_POINT('Ctrl Pts',(30.0850111367692,-6.82748891617378, 8.49999999999999)); #595652=CARTESIAN_POINT('',(30.0850111367692,-6.82748891617378,5.)); #595653=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #595654=CARTESIAN_POINT('Origin',(0.,0.,6.5)); #595655=CARTESIAN_POINT('Origin',(0.,0.,9.25)); #595656=CARTESIAN_POINT('Origin',(0.,0.,8.5)); #595657=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595658=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #595659=CARTESIAN_POINT('Origin',(0.,0.,6.5)); #595660=CARTESIAN_POINT('Origin',(0.,0.,9.25)); #595661=CARTESIAN_POINT('Origin',(0.,0.,8.5)); #595662=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595663=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #595664=CARTESIAN_POINT('Origin',(0.,0.,6.5)); #595665=CARTESIAN_POINT('Origin',(0.,0.,9.25)); #595666=CARTESIAN_POINT('Origin',(0.,0.,8.5)); #595667=CARTESIAN_POINT('Origin',(0.,0.,5.)); #595668=CARTESIAN_POINT('Origin',(0.,0.,1.25)); #595669=CARTESIAN_POINT('',(31.6,3.86988388530564E-15,0.)); #595670=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595671=CARTESIAN_POINT('',(30.35,-3.71680303541222E-15,1.25)); #595672=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595673=CARTESIAN_POINT('Origin',(-7.30433253796581,34.219364473282,0.)); #595674=CARTESIAN_POINT('',(-7.10866507593162,34.0607679067277,-7.)); #595675=CARTESIAN_POINT('',(-7.10866507593162,34.0607679067277,-8.7)); #595676=CARTESIAN_POINT('',(-7.10866507593162,34.0607679067277,0.)); #595677=CARTESIAN_POINT('',(-7.5,34.3779610398363,-9.09096058495001)); #595678=CARTESIAN_POINT('Ctrl Pts',(-7.10866507593162,34.0607679067277, -8.7)); #595679=CARTESIAN_POINT('Ctrl Pts',(-7.23928360596305,34.1666396248764, -8.83001632453604)); #595680=CARTESIAN_POINT('Ctrl Pts',(-7.36973830881302,34.2723785543221, -8.96035275815181)); #595681=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-9.09096058495001)); #595682=CARTESIAN_POINT('',(-7.5,34.3779610398363,-6.60903941504999)); #595683=CARTESIAN_POINT('',(-7.5,34.3779610398363,0.)); #595684=CARTESIAN_POINT('Ctrl Pts',(-7.10866507593162,34.0607679067277, -7.)); #595685=CARTESIAN_POINT('Ctrl Pts',(-7.23928360596323,34.1666396248765, -6.86998367546378)); #595686=CARTESIAN_POINT('Ctrl Pts',(-7.36973830881338,34.2723785543224, -6.73964724184783)); #595687=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-6.60903941504999)); #595688=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-8.9)); #595689=CARTESIAN_POINT('',(7.5,34.3872070315529,-9.1)); #595690=CARTESIAN_POINT('',(-7.5,34.3872070315529,-9.1)); #595691=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-9.1)); #595692=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-9.09096058495001)); #595693=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3825834500798,-9.09547969347726)); #595694=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3872070315529,-9.1)); #595695=CARTESIAN_POINT('',(7.10866507593162,34.0607679067277,-8.7)); #595696=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-8.7)); #595697=CARTESIAN_POINT('',(7.5,34.3779610398363,-9.09096058495001)); #595698=CARTESIAN_POINT('Ctrl Pts',(7.10866507593162,34.0607679067277,-8.7)); #595699=CARTESIAN_POINT('Ctrl Pts',(7.23928360596333,34.1666396248766,-8.83001632453632)); #595700=CARTESIAN_POINT('Ctrl Pts',(7.36973830881358,34.2723785543226,-8.96035275815238)); #595701=CARTESIAN_POINT('Ctrl Pts',(7.49999999999999,34.3779610398363,-9.09096058495001)); #595702=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3872070315529,-9.1)); #595703=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3825834500798,-9.09547969347726)); #595704=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3779610398363,-9.09096058495001)); #595705=CARTESIAN_POINT('Origin',(7.30433253796581,34.219364473282,0.)); #595706=CARTESIAN_POINT('',(7.5,34.3779610398363,-6.60903941504999)); #595707=CARTESIAN_POINT('',(7.5,34.3779610398363,0.)); #595708=CARTESIAN_POINT('',(7.10866507593162,34.0607679067277,-7.)); #595709=CARTESIAN_POINT('',(7.10866507593162,34.0607679067277,0.)); #595710=CARTESIAN_POINT('Ctrl Pts',(7.10866507593162,34.0607679067277,-7.)); #595711=CARTESIAN_POINT('Ctrl Pts',(7.23928360596296,34.1666396248763,-6.86998367546405)); #595712=CARTESIAN_POINT('Ctrl Pts',(7.36973830881283,34.272378554322,-6.73964724184838)); #595713=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3779610398363,-6.60903941504999)); #595714=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-6.8)); #595715=CARTESIAN_POINT('',(-7.5,34.3872070315529,-6.6)); #595716=CARTESIAN_POINT('',(7.5,34.3872070315529,-6.6)); #595717=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-6.6)); #595718=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3779610398363,-6.60903941504999)); #595719=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3825834500798,-6.60452030652273)); #595720=CARTESIAN_POINT('Ctrl Pts',(7.5,34.3872070315529,-6.6)); #595721=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,-7.)); #595722=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3872070315529,-6.6)); #595723=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3825834500798,-6.60452030652274)); #595724=CARTESIAN_POINT('Ctrl Pts',(-7.5,34.3779610398363,-6.60903941504999)); #595725=CARTESIAN_POINT('Origin',(7.5,34.4779610398363,-9.1)); #595726=CARTESIAN_POINT('',(7.5,34.4779610398363,-6.6)); #595727=CARTESIAN_POINT('',(7.5,34.4779610398363,-6.6)); #595728=CARTESIAN_POINT('',(7.5,34.4779610398363,-9.1)); #595729=CARTESIAN_POINT('',(7.5,34.4779610398363,0.)); #595730=CARTESIAN_POINT('',(7.5,34.4779610398363,-9.1)); #595731=CARTESIAN_POINT('Origin',(7.5,34.4779610398363,-6.6)); #595732=CARTESIAN_POINT('',(-7.5,34.4779610398363,-6.6)); #595733=CARTESIAN_POINT('',(-7.5,34.4779610398363,-6.6)); #595734=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #595735=CARTESIAN_POINT('Origin',(0.,-0.499999999999998,0.)); #595736=CARTESIAN_POINT('Origin',(-7.5,34.4779610398363,-9.1)); #595737=CARTESIAN_POINT('',(-7.5,34.4779610398363,-9.1)); #595738=CARTESIAN_POINT('Origin',(0.,0.,-9.1)); #595739=CARTESIAN_POINT('',(-7.5,34.4779610398363,-9.1)); #595740=CARTESIAN_POINT('Origin',(-7.5,34.4779610398363,-6.6)); #595741=CARTESIAN_POINT('',(-7.5,34.4779610398363,0.)); #595742=CARTESIAN_POINT('Origin',(4.72,-34.0891646153845,0.)); #595743=CARTESIAN_POINT('',(-3.19,-34.0891646153845,-10.21023)); #595744=CARTESIAN_POINT('',(-4.72,-34.0891646153845,-8.68023000000001)); #595745=CARTESIAN_POINT('Origin',(-3.19,-34.0891646153845,-8.68023000000001)); #595746=CARTESIAN_POINT('',(-4.72,-34.0891646153845,-10.21023)); #595747=CARTESIAN_POINT('',(2.36000000000001,-34.0891646153845,-10.21023)); #595748=CARTESIAN_POINT('',(-4.72,-34.0891646153845,0.)); #595749=CARTESIAN_POINT('Origin',(4.72,-34.3391646153845,0.)); #595750=CARTESIAN_POINT('',(3.19,-34.3391646153845,-6.55023)); #595751=CARTESIAN_POINT('',(4.72,-34.3391646153845,-8.08023)); #595752=CARTESIAN_POINT('Origin',(3.19,-34.3391646153845,-8.08023)); #595753=CARTESIAN_POINT('',(-3.19,-34.3391646153845,-6.55023)); #595754=CARTESIAN_POINT('',(3.95500000000001,-34.3391646153845,-6.55023)); #595755=CARTESIAN_POINT('',(-4.72,-34.3391646153845,-8.08023000000001)); #595756=CARTESIAN_POINT('Origin',(-3.19,-34.3391646153845,-8.08023)); #595757=CARTESIAN_POINT('',(-4.72,-34.3391646153845,0.)); #595758=CARTESIAN_POINT('',(-4.72,-34.3391646153845,-4.040115)); #595759=CARTESIAN_POINT('',(4.72,-34.3391646153845,0.)); #595760=CARTESIAN_POINT('',(2.36,-34.3391646153845,0.)); #595761=CARTESIAN_POINT('',(4.72,-34.3391646153845,-4.340115)); #595762=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595763=CARTESIAN_POINT('',(4.72,-33.6707825866878,-8.08023)); #595764=CARTESIAN_POINT('',(3.57706478411244,-33.81130887041,-6.6)); #595765=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-8.08023)); #595766=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-7.88810308660226)); #595767=CARTESIAN_POINT('Ctrl Pts',(4.68159357169547,-33.6762358892441, -7.68331486317009)); #595768=CARTESIAN_POINT('Ctrl Pts',(4.52578998975574,-33.6975282029626, -7.30688781622238)); #595769=CARTESIAN_POINT('Ctrl Pts',(4.40840493998704,-33.7132692224772, -7.13523844662714)); #595770=CARTESIAN_POINT('Ctrl Pts',(4.13726205178507,-33.7476200128736, -6.86339640371185)); #595771=CARTESIAN_POINT('Ctrl Pts',(3.96505905430126,-33.7685408953815, -6.7453457553292)); #595772=CARTESIAN_POINT('Ctrl Pts',(3.7114639214966,-33.7968830107251,-6.6401210481162)); #595773=CARTESIAN_POINT('Ctrl Pts',(3.64466159210861,-33.8041574713817, -6.61767586381422)); #595774=CARTESIAN_POINT('Ctrl Pts',(3.57706478411244,-33.81130887041,-6.6)); #595775=CARTESIAN_POINT('',(4.72,-33.6707825866878,-6.6)); #595776=CARTESIAN_POINT('',(4.72,-33.6707825866878,0.)); #595777=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #595778=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595779=CARTESIAN_POINT('',(-3.57706478411242,-33.81130887041,-6.6)); #595780=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-8.08023000000001)); #595781=CARTESIAN_POINT('Ctrl Pts',(-3.57706478411242,-33.81130887041,-6.6)); #595782=CARTESIAN_POINT('Ctrl Pts',(-3.64466159210859,-33.8041574713817, -6.61767586381423)); #595783=CARTESIAN_POINT('Ctrl Pts',(-3.71146392149659,-33.7968830107251, -6.6401210481162)); #595784=CARTESIAN_POINT('Ctrl Pts',(-3.96505905430125,-33.7685408953815, -6.7453457553292)); #595785=CARTESIAN_POINT('Ctrl Pts',(-4.13726205178506,-33.7476200128736, -6.86339640371186)); #595786=CARTESIAN_POINT('Ctrl Pts',(-4.40840493998703,-33.7132692224772, -7.13523844662714)); #595787=CARTESIAN_POINT('Ctrl Pts',(-4.52578998975573,-33.6975282029626, -7.30688781622238)); #595788=CARTESIAN_POINT('Ctrl Pts',(-4.68159357169546,-33.6762358892441, -7.68331486317009)); #595789=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-7.88810308660227)); #595790=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-8.08023)); #595791=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-6.6)); #595792=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #595793=CARTESIAN_POINT('',(-4.72,-33.6707825866878,0.)); #595794=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595795=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-8.68023000000001)); #595796=CARTESIAN_POINT('',(-3.19,-33.8500206794619,-10.21023)); #595797=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-8.68023000000001)); #595798=CARTESIAN_POINT('Ctrl Pts',(-4.72,-33.6707825866878,-8.87235691339774)); #595799=CARTESIAN_POINT('Ctrl Pts',(-4.68159357169546,-33.6762358892441, -9.07714513682992)); #595800=CARTESIAN_POINT('Ctrl Pts',(-4.52578998975573,-33.6975282029626, -9.45357218377763)); #595801=CARTESIAN_POINT('Ctrl Pts',(-4.40840493998703,-33.7132692224772, -9.62522155337287)); #595802=CARTESIAN_POINT('Ctrl Pts',(-4.13726205178506,-33.7476200128736, -9.89706359628815)); #595803=CARTESIAN_POINT('Ctrl Pts',(-3.96505905430125,-33.7685408953815, -10.0151142446708)); #595804=CARTESIAN_POINT('Ctrl Pts',(-3.5876849329814,-33.8107167082839, -10.1716988012689)); #595805=CARTESIAN_POINT('Ctrl Pts',(-3.38250238219211,-33.8318794025331, -10.21023)); #595806=CARTESIAN_POINT('Ctrl Pts',(-3.19,-33.8500206794619,-10.21023)); #595807=CARTESIAN_POINT('',(-4.72,-33.6707825866878,-10.21023)); #595808=CARTESIAN_POINT('',(-4.72,-33.6707825866878,0.)); #595809=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #595810=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595811=CARTESIAN_POINT('',(3.19000000000001,-33.8500206794619,-10.21023)); #595812=CARTESIAN_POINT('',(4.72,-33.6707825866878,-8.68023)); #595813=CARTESIAN_POINT('Ctrl Pts',(3.19,-33.8500206794619,-10.21023)); #595814=CARTESIAN_POINT('Ctrl Pts',(3.38250238219211,-33.8318794025331, -10.21023)); #595815=CARTESIAN_POINT('Ctrl Pts',(3.58768493298141,-33.8107167082839, -10.1716988012689)); #595816=CARTESIAN_POINT('Ctrl Pts',(3.96505905430126,-33.7685408953815, -10.0151142446708)); #595817=CARTESIAN_POINT('Ctrl Pts',(4.13726205178506,-33.7476200128736, -9.89706359628815)); #595818=CARTESIAN_POINT('Ctrl Pts',(4.40840493998704,-33.7132692224772, -9.62522155337286)); #595819=CARTESIAN_POINT('Ctrl Pts',(4.52578998975574,-33.6975282029626, -9.45357218377763)); #595820=CARTESIAN_POINT('Ctrl Pts',(4.68159357169547,-33.6762358892441, -9.07714513682992)); #595821=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-8.87235691339774)); #595822=CARTESIAN_POINT('Ctrl Pts',(4.72,-33.6707825866878,-8.68023)); #595823=CARTESIAN_POINT('',(4.72,-33.6707825866878,-10.21023)); #595824=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #595825=CARTESIAN_POINT('',(4.72,-33.6707825866878,0.)); #595826=CARTESIAN_POINT('Origin',(4.72,-34.0891646153845,0.)); #595827=CARTESIAN_POINT('',(4.72,-34.0891646153845,-8.68023)); #595828=CARTESIAN_POINT('',(3.19000000000001,-34.0891646153845,-10.21023)); #595829=CARTESIAN_POINT('Origin',(3.19,-34.0891646153845,-8.68023)); #595830=CARTESIAN_POINT('',(4.72,-34.0891646153845,-10.21023)); #595831=CARTESIAN_POINT('',(4.72,-34.0891646153845,0.)); #595832=CARTESIAN_POINT('',(2.36000000000001,-34.0891646153845,-10.21023)); #595833=CARTESIAN_POINT('Origin',(3.19,0.,-8.68023)); #595834=CARTESIAN_POINT('',(4.72,-32.6607042328159,-8.68023)); #595835=CARTESIAN_POINT('',(4.72,0.,-8.68023)); #595836=CARTESIAN_POINT('',(3.19000000000001,-32.8454547994616,-10.21023)); #595837=CARTESIAN_POINT('Ctrl Pts',(3.19,-32.8454547994616,-10.21023)); #595838=CARTESIAN_POINT('Ctrl Pts',(3.3825268504127,-32.8267563020115,-10.21023)); #595839=CARTESIAN_POINT('Ctrl Pts',(3.58772604612706,-32.8049432698458, -10.171690179174)); #595840=CARTESIAN_POINT('Ctrl Pts',(3.96512576231016,-32.7614691186149, -10.0150781259204)); #595841=CARTESIAN_POINT('Ctrl Pts',(4.13733842004438,-32.7399029765916, -9.89700879140725)); #595842=CARTESIAN_POINT('Ctrl Pts',(4.40844767571539,-32.7044974316585, -9.62515708016694)); #595843=CARTESIAN_POINT('Ctrl Pts',(4.52581012925083,-32.6882736026602, -9.45353230472788)); #595844=CARTESIAN_POINT('Ctrl Pts',(4.68159272142762,-32.6663263630593, -9.07713841132946)); #595845=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-8.87235807224775)); #595846=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-8.68023)); #595847=CARTESIAN_POINT('',(3.19000000000001,0.,-10.21023)); #595848=CARTESIAN_POINT('Origin',(-3.19,0.,-10.21023)); #595849=CARTESIAN_POINT('',(-3.19,-35.1397737252884,-10.2102300000001)); #595850=CARTESIAN_POINT('',(-3.19,0.,-10.21023)); #595851=CARTESIAN_POINT('',(3.19000000000001,-35.1397737252884,-10.2102300000001)); #595852=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #595853=CARTESIAN_POINT('',(3.19000000000001,0.,-10.21023)); #595854=CARTESIAN_POINT('',(4.72,-33.775378093862,-10.21023)); #595855=CARTESIAN_POINT('',(-3.19,-32.8454547994616,-10.21023)); #595856=CARTESIAN_POINT('Origin',(0.,0.,-10.21023)); #595857=CARTESIAN_POINT('',(-3.19,0.,-10.21023)); #595858=CARTESIAN_POINT('',(-4.72,-33.9845691082104,-10.21023)); #595859=CARTESIAN_POINT('Origin',(-3.19,0.,-8.68023000000001)); #595860=CARTESIAN_POINT('',(-4.72,-32.6607042328159,-8.68023000000001)); #595861=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-8.68023000000001)); #595862=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-8.87235807224775)); #595863=CARTESIAN_POINT('Ctrl Pts',(-4.68159272142761,-32.6663263630593, -9.07713841132947)); #595864=CARTESIAN_POINT('Ctrl Pts',(-4.52581012925083,-32.6882736026602, -9.45353230472788)); #595865=CARTESIAN_POINT('Ctrl Pts',(-4.40844767571538,-32.7044974316585, -9.62515708016695)); #595866=CARTESIAN_POINT('Ctrl Pts',(-4.13733842004438,-32.7399029765916, -9.89700879140725)); #595867=CARTESIAN_POINT('Ctrl Pts',(-3.96512576231016,-32.7614691186149, -10.0150781259204)); #595868=CARTESIAN_POINT('Ctrl Pts',(-3.58772604612706,-32.8049432698458, -10.171690179174)); #595869=CARTESIAN_POINT('Ctrl Pts',(-3.38252685041269,-32.8267563020115, -10.21023)); #595870=CARTESIAN_POINT('Ctrl Pts',(-3.19,-32.8454547994616,-10.21023)); #595871=CARTESIAN_POINT('',(-4.72,0.,-8.68023000000001)); #595872=CARTESIAN_POINT('Origin',(-3.19,0.,-8.68023000000001)); #595873=CARTESIAN_POINT('',(-4.72,-34.9671474024472,-8.68022999999994)); #595874=CARTESIAN_POINT('',(-4.72,0.,-8.68023000000001)); #595875=CARTESIAN_POINT('Ctrl Pts',(-3.19,-35.1397737252884,-10.21023)); #595876=CARTESIAN_POINT('Ctrl Pts',(-3.38247400838932,-35.1223008726866, -10.21023)); #595877=CARTESIAN_POINT('Ctrl Pts',(-3.58763725272356,-35.1019182677708, -10.1717087972238)); #595878=CARTESIAN_POINT('Ctrl Pts',(-3.9649816616323,-35.0612995702986, -10.0151561422169)); #595879=CARTESIAN_POINT('Ctrl Pts',(-4.13717342906647,-35.0411524152778, -9.89712718378023)); #595880=CARTESIAN_POINT('Ctrl Pts',(-4.40835534481956,-35.008066912888, -9.62529637262965)); #595881=CARTESIAN_POINT('Ctrl Pts',(-4.52576661229338,-34.9929051344165, -9.45361846905656)); #595882=CARTESIAN_POINT('Ctrl Pts',(-4.68159455341119,-34.9723983396781, -9.07715295901106)); #595883=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-8.87235558675612)); #595884=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-8.68023000000001)); #595885=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595886=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,0.)); #595887=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595888=CARTESIAN_POINT('',(4.72,-33.9232088694452,0.)); #595889=CARTESIAN_POINT('',(4.72,-16.8353912933439,0.)); #595890=CARTESIAN_POINT('',(-4.71999999999999,-33.9232088694452,0.)); #595891=CARTESIAN_POINT('',(-4.72,-17.0445823076923,0.)); #595892=CARTESIAN_POINT('Origin',(0.,0.,0.)); #595893=CARTESIAN_POINT('Origin',(3.19,0.,-8.08023)); #595894=CARTESIAN_POINT('',(3.57706478411244,-32.8055575857771,-6.6)); #595895=CARTESIAN_POINT('',(3.57706478411244,0.,-6.6)); #595896=CARTESIAN_POINT('',(4.72,-32.6607042328159,-8.08023)); #595897=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-8.08023)); #595898=CARTESIAN_POINT('Ctrl Pts',(4.72,-32.6607042328159,-7.88810192775226)); #595899=CARTESIAN_POINT('Ctrl Pts',(4.68159272142762,-32.6663263630593, -7.68332158867054)); #595900=CARTESIAN_POINT('Ctrl Pts',(4.52581012925083,-32.6882736026602, -7.30692769527212)); #595901=CARTESIAN_POINT('Ctrl Pts',(4.40844767571539,-32.7044974316585, -7.13530291983306)); #595902=CARTESIAN_POINT('Ctrl Pts',(4.13733842004438,-32.7399029765916, -6.86345120859275)); #595903=CARTESIAN_POINT('Ctrl Pts',(3.96512576231016,-32.7614691186149, -6.74538187407965)); #595904=CARTESIAN_POINT('Ctrl Pts',(3.71150086485332,-32.7906851637658, -6.64013347498519)); #595905=CARTESIAN_POINT('Ctrl Pts',(3.64468042968475,-32.7981848854656, -6.6176807896449)); #595906=CARTESIAN_POINT('Ctrl Pts',(3.57706478411244,-32.8055575857771, -6.6)); #595907=CARTESIAN_POINT('',(4.72,0.,-8.08023)); #595908=CARTESIAN_POINT('Origin',(3.19,0.,-8.08023)); #595909=CARTESIAN_POINT('',(4.72,-34.9671474024472,-8.08022999999991)); #595910=CARTESIAN_POINT('',(4.72,0.,-8.08023)); #595911=CARTESIAN_POINT('',(3.19,-35.1397737252884,-6.55023)); #595912=CARTESIAN_POINT('Ctrl Pts',(3.19,-35.1397737252884,-6.55023)); #595913=CARTESIAN_POINT('Ctrl Pts',(3.38247400838932,-35.1223008726866, -6.55023)); #595914=CARTESIAN_POINT('Ctrl Pts',(3.58763725272356,-35.1019182677708, -6.58875120277616)); #595915=CARTESIAN_POINT('Ctrl Pts',(3.96498166163231,-35.0612995702986, -6.74530385778312)); #595916=CARTESIAN_POINT('Ctrl Pts',(4.13717342906648,-35.0411524152778, -6.86333281621978)); #595917=CARTESIAN_POINT('Ctrl Pts',(4.40835534481957,-35.008066912888,-7.13516362737036)); #595918=CARTESIAN_POINT('Ctrl Pts',(4.52576661229339,-34.9929051344165, -7.30684153094345)); #595919=CARTESIAN_POINT('Ctrl Pts',(4.6815945534112,-34.9723983396781,-7.68330704098895)); #595920=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-7.88810441324389)); #595921=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-8.08023)); #595922=CARTESIAN_POINT('',(3.19,0.,-6.55023)); #595923=CARTESIAN_POINT('Origin',(-4.72,0.,-8.08023000000001)); #595924=CARTESIAN_POINT('',(-4.72,-34.9671474024472,-8.08022999999991)); #595925=CARTESIAN_POINT('',(-4.72,0.,-8.08023000000001)); #595926=CARTESIAN_POINT('',(-4.72,-34.9671474024472,0.)); #595927=CARTESIAN_POINT('',(-4.72,-32.6607042328159,-8.08023000000001)); #595928=CARTESIAN_POINT('',(-4.72,-32.6607042328159,-6.6)); #595929=CARTESIAN_POINT('',(-4.72,0.,-8.08023000000001)); #595930=CARTESIAN_POINT('',(-4.72,-33.9232088694451,-6.6)); #595931=CARTESIAN_POINT('',(-4.72,0.,-6.6)); #595932=CARTESIAN_POINT('',(-4.72,-33.9232088694451,0.)); #595933=CARTESIAN_POINT('Origin',(-3.19,0.,-8.08023)); #595934=CARTESIAN_POINT('',(-3.57706478411241,-32.8055575857771,-6.6)); #595935=CARTESIAN_POINT('Ctrl Pts',(-3.57706478411241,-32.8055575857771, -6.6)); #595936=CARTESIAN_POINT('Ctrl Pts',(-3.64468042968473,-32.7981848854656, -6.6176807896449)); #595937=CARTESIAN_POINT('Ctrl Pts',(-3.7115008648533,-32.7906851637658, -6.64013347498519)); #595938=CARTESIAN_POINT('Ctrl Pts',(-3.96512576231016,-32.7614691186149, -6.74538187407965)); #595939=CARTESIAN_POINT('Ctrl Pts',(-4.13733842004437,-32.7399029765916, -6.86345120859276)); #595940=CARTESIAN_POINT('Ctrl Pts',(-4.40844767571538,-32.7044974316585, -7.13530291983306)); #595941=CARTESIAN_POINT('Ctrl Pts',(-4.52581012925083,-32.6882736026602, -7.30692769527213)); #595942=CARTESIAN_POINT('Ctrl Pts',(-4.68159272142761,-32.6663263630593, -7.68332158867054)); #595943=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-7.88810192775226)); #595944=CARTESIAN_POINT('Ctrl Pts',(-4.72,-32.6607042328159,-8.08023)); #595945=CARTESIAN_POINT('',(-3.57706478411241,0.,-6.6)); #595946=CARTESIAN_POINT('Origin',(3.19,0.,-8.68023)); #595947=CARTESIAN_POINT('',(4.72,-34.9671474024472,-8.68022999999994)); #595948=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-8.68023)); #595949=CARTESIAN_POINT('Ctrl Pts',(4.72,-34.9671474024472,-8.87235558675611)); #595950=CARTESIAN_POINT('Ctrl Pts',(4.6815945534112,-34.9723983396781,-9.07715295901106)); #595951=CARTESIAN_POINT('Ctrl Pts',(4.52576661229339,-34.9929051344165, -9.45361846905655)); #595952=CARTESIAN_POINT('Ctrl Pts',(4.40835534481957,-35.008066912888,-9.62529637262964)); #595953=CARTESIAN_POINT('Ctrl Pts',(4.13717342906648,-35.0411524152778, -9.89712718378022)); #595954=CARTESIAN_POINT('Ctrl Pts',(3.96498166163231,-35.0612995702986, -10.0151561422169)); #595955=CARTESIAN_POINT('Ctrl Pts',(3.58763725272356,-35.1019182677708, -10.1717087972238)); #595956=CARTESIAN_POINT('Ctrl Pts',(3.38247400838933,-35.1223008726866, -10.21023)); #595957=CARTESIAN_POINT('Ctrl Pts',(3.19,-35.1397737252884,-10.21023)); #595958=CARTESIAN_POINT('',(4.72,0.,-8.68023)); #595959=CARTESIAN_POINT('Origin',(4.72,0.,-8.68023)); #595960=CARTESIAN_POINT('',(4.72,-34.9671474024472,0.)); #595961=CARTESIAN_POINT('',(4.72,-33.9232088694451,-6.6)); #595962=CARTESIAN_POINT('',(4.72,-33.9232088694451,0.)); #595963=CARTESIAN_POINT('',(4.72,0.,-6.6)); #595964=CARTESIAN_POINT('',(4.72,-32.6607042328159,-6.6)); #595965=CARTESIAN_POINT('Origin',(-3.19,0.,-8.08023)); #595966=CARTESIAN_POINT('',(-3.19,-35.1397737252884,-6.55023)); #595967=CARTESIAN_POINT('',(-3.19,0.,-6.55023)); #595968=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-8.08023)); #595969=CARTESIAN_POINT('Ctrl Pts',(-4.72,-34.9671474024472,-7.88810441324389)); #595970=CARTESIAN_POINT('Ctrl Pts',(-4.68159455341119,-34.9723983396781, -7.68330704098895)); #595971=CARTESIAN_POINT('Ctrl Pts',(-4.52576661229338,-34.9929051344165, -7.30684153094345)); #595972=CARTESIAN_POINT('Ctrl Pts',(-4.40835534481956,-35.008066912888, -7.13516362737036)); #595973=CARTESIAN_POINT('Ctrl Pts',(-4.13717342906648,-35.0411524152778, -6.86333281621978)); #595974=CARTESIAN_POINT('Ctrl Pts',(-3.9649816616323,-35.0612995702986, -6.74530385778313)); #595975=CARTESIAN_POINT('Ctrl Pts',(-3.58763725272356,-35.1019182677708, -6.58875120277616)); #595976=CARTESIAN_POINT('Ctrl Pts',(-3.38247400838932,-35.1223008726866, -6.55023)); #595977=CARTESIAN_POINT('Ctrl Pts',(-3.19,-35.1397737252884,-6.55023)); #595978=CARTESIAN_POINT('Origin',(3.19,0.,-6.55023)); #595979=CARTESIAN_POINT('Origin',(0.,0.,-6.55023)); #595980=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #595981=CARTESIAN_POINT('',(-15.7480157518085,-29.0000000149012,-20.4)); #595982=CARTESIAN_POINT('',(-15.7480157518085,-29.0000000149012,-21.9)); #595983=CARTESIAN_POINT('',(-15.7480157518085,-29.0000000149012,-6.6)); #595984=CARTESIAN_POINT('',(-33.0000000149012,4.04133443901113E-15,-21.9)); #595985=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #595986=CARTESIAN_POINT('',(-33.0000000149012,4.04133443901113E-15,-6.6)); #595987=CARTESIAN_POINT('',(-33.0000000149012,4.04133443901113E-15,-6.6)); #595988=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #595989=CARTESIAN_POINT('',(7.5,32.1364279437444,-6.6)); #595990=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #595991=CARTESIAN_POINT('',(7.5,32.1364279437444,-9.1)); #595992=CARTESIAN_POINT('',(7.5,32.1364279437444,-6.6)); #595993=CARTESIAN_POINT('',(-7.5,32.1364279437444,-9.1)); #595994=CARTESIAN_POINT('Origin',(0.,0.,-9.1)); #595995=CARTESIAN_POINT('',(-7.5,32.1364279437444,-6.6)); #595996=CARTESIAN_POINT('',(-7.5,32.1364279437444,-6.6)); #595997=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #595998=CARTESIAN_POINT('',(-15.7480157518085,29.0000000149012,-21.9)); #595999=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596000=CARTESIAN_POINT('',(-15.7480157518085,29.0000000149012,-20.4)); #596001=CARTESIAN_POINT('',(-15.7480157518085,29.0000000149012,-6.6)); #596002=CARTESIAN_POINT('',(-13.7477270881192,30.0000000149012,-19.4)); #596003=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #596004=CARTESIAN_POINT('',(13.7477270881192,30.0000000149012,-19.4)); #596005=CARTESIAN_POINT('Origin',(0.,0.,-19.4)); #596006=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-20.4)); #596007=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #596008=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #596009=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-6.6)); #596010=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #596011=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596012=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-20.4)); #596013=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-6.6)); #596014=CARTESIAN_POINT('',(13.7477270881192,-30.0000000149012,-19.4)); #596015=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #596016=CARTESIAN_POINT('',(-13.7477270881192,-30.0000000149012,-19.4)); #596017=CARTESIAN_POINT('Origin',(0.,0.,-19.4)); #596018=CARTESIAN_POINT('Origin',(0.,0.,-49.400000014901)); #596019=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #596020=CARTESIAN_POINT('',(7.5,33.4187447400407,-6.6)); #596021=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #596022=CARTESIAN_POINT('',(7.5,0.,-6.6)); #596023=CARTESIAN_POINT('Origin',(7.5,0.,-9.1)); #596024=CARTESIAN_POINT('',(7.5,33.4538675636995,-9.1)); #596025=CARTESIAN_POINT('',(7.5,0.,-9.1)); #596026=CARTESIAN_POINT('',(-7.5,33.4538675636995,-9.1)); #596027=CARTESIAN_POINT('Origin',(0.,0.,-9.1)); #596028=CARTESIAN_POINT('',(-7.5,0.,-9.1)); #596029=CARTESIAN_POINT('Origin',(-7.5,0.,-9.1)); #596030=CARTESIAN_POINT('',(-7.5,33.4538675636995,-6.6)); #596031=CARTESIAN_POINT('',(-7.5,33.4538675636995,0.)); #596032=CARTESIAN_POINT('',(-7.5,33.4187447400407,-6.6)); #596033=CARTESIAN_POINT('',(-7.5,0.,-6.6)); #596034=CARTESIAN_POINT('',(-7.5,0.,-6.6)); #596035=CARTESIAN_POINT('Origin',(-7.5,0.,-6.6)); #596036=CARTESIAN_POINT('',(7.5,33.4538675636995,-6.6)); #596037=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #596038=CARTESIAN_POINT('',(7.5,0.,-6.6)); #596039=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #596040=CARTESIAN_POINT('Origin',(7.5,0.,-6.6)); #596041=CARTESIAN_POINT('',(7.5,33.4538675636995,0.)); #596042=CARTESIAN_POINT('Origin',(0.,0.,0.)); #596043=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596044=CARTESIAN_POINT('',(-31.0000000149012,3.79640507918166E-15,-31.9)); #596045=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596046=CARTESIAN_POINT('',(-29.2000000149012,3.57596865533514E-15,-31.9)); #596047=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596048=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596049=CARTESIAN_POINT('',(-29.2000000149012,-3.57596865533514E-15,-33.8)); #596050=CARTESIAN_POINT('Origin',(0.,0.,-33.8)); #596051=CARTESIAN_POINT('',(-29.2000000149012,3.57596865533514E-15,-31.9)); #596052=CARTESIAN_POINT('Origin',(0.,0.,-33.8)); #596053=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,-33.8)); #596054=CARTESIAN_POINT('Origin',(0.,0.,-33.8)); #596055=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596056=CARTESIAN_POINT('',(10.9544511528239,29.0000000149012,-21.9)); #596057=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #596058=CARTESIAN_POINT('',(10.9544511528239,-29.0000000149012,-21.9)); #596059=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596060=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #596061=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596062=CARTESIAN_POINT('',(-10.9544511528239,29.0000000149012,-21.9)); #596063=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #596064=CARTESIAN_POINT('',(-10.9544511528239,-29.0000000149012,-21.9)); #596065=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #596066=CARTESIAN_POINT('',(-31.0000000149012,3.79640507918166E-15,-21.9)); #596067=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596068=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596069=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596070=CARTESIAN_POINT('',(-31.0000000149012,3.79640507918166E-15,-21.9)); #596071=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596072=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596073=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596074=CARTESIAN_POINT('',(15.7480157518085,-29.0000000149012,-21.9)); #596075=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596076=CARTESIAN_POINT('',(15.7480157518085,29.0000000149012,-21.9)); #596077=CARTESIAN_POINT('Origin',(-7.87400787590426,29.5000000149012,-19.9)); #596078=CARTESIAN_POINT('',(-7.87400787590426,29.0000000149012,-20.4)); #596079=CARTESIAN_POINT('',(-7.87400787590426,30.0000000149012,-19.4)); #596080=CARTESIAN_POINT('Origin',(-15.7480157518085,29.0000000149012,-21.9)); #596081=CARTESIAN_POINT('Origin',(7.87400787590427,-29.5000000149012,-19.9)); #596082=CARTESIAN_POINT('',(7.87400787590427,-29.0000000149012,-20.4)); #596083=CARTESIAN_POINT('',(7.87400787590427,-30.0000000149012,-19.4)); #596084=CARTESIAN_POINT('Origin',(15.7480157518085,-29.0000000149012,-21.9)); #596085=CARTESIAN_POINT('Origin',(2.22044604925031E-15,-31.0000000149012, -19.4)); #596086=CARTESIAN_POINT('Origin',(2.22044604925031E-15,31.0000000149012, -19.4)); #596087=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #596088=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); #596089=CARTESIAN_POINT('Origin',(0.,0.,0.)); #596090=CARTESIAN_POINT('Origin',(0.,0.,0.)); #596091=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,0.)); #596092=CARTESIAN_POINT('Origin',(-18.8584624794272,-11.0944687749765,-20.9)); #596093=CARTESIAN_POINT('',(-18.2123151937553,-10.,-27.9)); #596094=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-27.9)); #596095=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-27.9)); #596096=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-31.9)); #596097=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-20.9)); #596098=CARTESIAN_POINT('',(-18.2123151937553,-10.,-31.9)); #596099=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-31.9)); #596100=CARTESIAN_POINT('',(-18.2123151937553,-10.,-20.9)); #596101=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596102=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-27.9)); #596103=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #596104=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-31.9)); #596105=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-20.9)); #596106=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596107=CARTESIAN_POINT('Origin',(-18.8584624794272,11.0944687749765,-20.9)); #596108=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-27.9)); #596109=CARTESIAN_POINT('',(-18.2123151937553,10.,-27.9)); #596110=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-27.9)); #596111=CARTESIAN_POINT('',(-18.2123151937553,10.,-31.9)); #596112=CARTESIAN_POINT('',(-18.2123151937553,10.,-20.9)); #596113=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-31.9)); #596114=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-31.9)); #596115=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-20.9)); #596116=CARTESIAN_POINT('Origin',(20.7123151937553,10.,-20.9)); #596117=CARTESIAN_POINT('',(18.2123151937553,10.,-27.9)); #596118=CARTESIAN_POINT('',(10.3561575968777,10.,-27.9)); #596119=CARTESIAN_POINT('',(18.2123151937553,10.,-31.9)); #596120=CARTESIAN_POINT('',(18.2123151937553,10.,-20.9)); #596121=CARTESIAN_POINT('',(10.3561575968777,10.,-31.9)); #596122=CARTESIAN_POINT('Origin',(18.8584624794272,-11.0944687749765,-20.9)); #596123=CARTESIAN_POINT('',(18.2123151937553,-10.,-27.9)); #596124=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-27.9)); #596125=CARTESIAN_POINT('',(18.2123151937553,-10.,-31.9)); #596126=CARTESIAN_POINT('',(18.2123151937553,-10.,-20.9)); #596127=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-31.9)); #596128=CARTESIAN_POINT('Origin',(-20.7123151937553,-10.,-20.9)); #596129=CARTESIAN_POINT('',(-10.3561575968777,-10.,-31.9)); #596130=CARTESIAN_POINT('',(-10.3561575968777,-10.,-27.9)); #596131=CARTESIAN_POINT('Origin',(18.8584624794272,11.0944687749765,-20.9)); #596132=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-27.9)); #596133=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-27.9)); #596134=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-31.9)); #596135=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-20.9)); #596136=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-31.9)); #596137=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596138=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596139=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #596140=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -28.025)); #596141=CARTESIAN_POINT('',(15.6499999999988,4.34919633655262E-13,-27.9)); #596142=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -27.9)); #596143=CARTESIAN_POINT('',(15.8999999999988,4.35464601480882E-13,-28.15)); #596144=CARTESIAN_POINT('',(15.7749999999988,4.34934941740251E-13,-28.025)); #596145=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -28.15)); #596146=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-27.9)); #596147=CARTESIAN_POINT('',(10.9178752540377,28.8000000148847,-27.9)); #596148=CARTESIAN_POINT('',(-10.9178752540378,28.8000000148847,-27.9)); #596149=CARTESIAN_POINT('',(5.47722557641194,28.8000000149012,-27.9)); #596150=CARTESIAN_POINT('',(-10.9178752540378,-28.8000000148847,-27.9)); #596151=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #596152=CARTESIAN_POINT('',(10.9178752540377,-28.8000000148847,-27.9)); #596153=CARTESIAN_POINT('',(-5.47722557641193,-28.8000000149012,-27.9)); #596154=CARTESIAN_POINT('Origin',(0.,0.,-27.9)); #596155=CARTESIAN_POINT('',(-20.3499999999988,4.34919633655262E-13,-27.9)); #596156=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -27.9)); #596157=CARTESIAN_POINT('',(2.82327553997289,1.80322386383482,-27.9)); #596158=CARTESIAN_POINT('',(-2.97327515850289,-1.54341624230148,-27.9)); #596159=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -27.9)); #596160=CARTESIAN_POINT('',(1.2561180703637,0.898425076893424,-27.9)); #596161=CARTESIAN_POINT('',(-2.82327515850289,-1.80322386343682,-27.9)); #596162=CARTESIAN_POINT('',(2.97327553997289,1.54341624269949,-27.9)); #596163=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -27.9)); #596164=CARTESIAN_POINT('',(-1.25611783203112,-0.898425159135848,-27.9)); #596165=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -27.9)); #596166=CARTESIAN_POINT('',(15.8999999999988,4.35464601480882E-13,-30.9)); #596167=CARTESIAN_POINT('',(15.8999999999988,4.35464601480882E-13,-27.9)); #596168=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -30.9)); #596169=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -28.025)); #596170=CARTESIAN_POINT('',(-20.0999999999988,4.35464601480882E-13,-28.15)); #596171=CARTESIAN_POINT('',(-20.2249999999988,4.34934941740251E-13,-28.025)); #596172=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -28.15)); #596173=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -27.9)); #596174=CARTESIAN_POINT('',(-20.0999999999988,4.35464601480882E-13,-30.9)); #596175=CARTESIAN_POINT('',(-20.0999999999988,4.35464601480882E-13,-27.9)); #596176=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -30.9)); #596177=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.775)); #596178=CARTESIAN_POINT('',(16.3499999999988,4.35409492374921E-13,-31.9)); #596179=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.9)); #596180=CARTESIAN_POINT('',(16.5999999999988,4.35035975101181E-13,-31.65)); #596181=CARTESIAN_POINT('',(16.4749999999988,4.35394184289931E-13,-31.775)); #596182=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.65)); #596183=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-31.9)); #596184=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-31.9)); #596185=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-31.9)); #596186=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596187=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596188=CARTESIAN_POINT('',(-19.6499999999988,4.35409492374921E-13,-31.9)); #596189=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.9)); #596190=CARTESIAN_POINT('',(2.82327553997289,1.80322386383482,-31.9)); #596191=CARTESIAN_POINT('',(-2.97327515850289,-1.54341624230148,-31.9)); #596192=CARTESIAN_POINT('',(1.2561180703637,0.898425076893424,-31.9)); #596193=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #596194=CARTESIAN_POINT('',(-2.82327515850289,-1.80322386343682,-31.9)); #596195=CARTESIAN_POINT('',(2.97327553997289,1.54341624269949,-31.9)); #596196=CARTESIAN_POINT('',(-1.25611783203112,-0.898425159135848,-31.9)); #596197=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #596198=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -31.9)); #596199=CARTESIAN_POINT('',(16.5999999999988,4.35035975101181E-13,-30.9)); #596200=CARTESIAN_POINT('',(16.5999999999988,4.35035975101181E-13,-31.9)); #596201=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -30.9)); #596202=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.775)); #596203=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-31.65)); #596204=CARTESIAN_POINT('',(-19.5249999999988,4.35394184289931E-13,-31.775)); #596205=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.65)); #596206=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -31.9)); #596207=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-30.9)); #596208=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-31.9)); #596209=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -30.9)); #596210=CARTESIAN_POINT('Origin',(-1.19361783203112,-1.0066783346089,-31.775)); #596211=CARTESIAN_POINT('',(2.86223609312983,1.19063245357917,-31.65)); #596212=CARTESIAN_POINT('',(-2.46223571165983,-1.88345277620871,-31.65)); #596213=CARTESIAN_POINT('',(-1.13111783203112,-1.11493151008196,-31.65)); #596214=CARTESIAN_POINT('Ctrl Pts',(-2.46223571165983,-1.88345277620871, -31.65)); #596215=CARTESIAN_POINT('Ctrl Pts',(-2.58394833936924,-1.85914729636703, -31.7319054768488)); #596216=CARTESIAN_POINT('Ctrl Pts',(-2.70443218316488,-1.83223164315748, -31.8154570381855)); #596217=CARTESIAN_POINT('Ctrl Pts',(-2.82327515850289,-1.80322386343682, -31.9)); #596218=CARTESIAN_POINT('Ctrl Pts',(2.97327553997289,1.54341624269949,-31.9)); #596219=CARTESIAN_POINT('Ctrl Pts',(2.93897552644939,1.42599131713524,-31.8154570381856)); #596220=CARTESIAN_POINT('Ctrl Pts',(2.90204324399049,1.30819142105778,-31.7319054768488)); #596221=CARTESIAN_POINT('Ctrl Pts',(2.86223609312983,1.19063245357917,-31.65)); #596222=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.775)); #596223=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.65)); #596224=CARTESIAN_POINT('Origin',(-2.46223571165983,-1.88345277620872,-31.9)); #596225=CARTESIAN_POINT('',(2.86223609312983,1.19063245357917,-28.15)); #596226=CARTESIAN_POINT('',(2.86223609312983,1.19063245357917,-31.9)); #596227=CARTESIAN_POINT('',(-2.46223571165983,-1.88345277620871,-28.15)); #596228=CARTESIAN_POINT('',(-1.13111783203112,-1.11493151008196,-28.15)); #596229=CARTESIAN_POINT('',(-2.46223571165983,-1.88345277620871,-31.9)); #596230=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.025)); #596231=CARTESIAN_POINT('Ctrl Pts',(2.97327553997289,1.54341624269949,-27.9)); #596232=CARTESIAN_POINT('Ctrl Pts',(2.93897552644938,1.42599131713518,-27.9845429618144)); #596233=CARTESIAN_POINT('Ctrl Pts',(2.90204324399048,1.30819142105775,-28.0680945231512)); #596234=CARTESIAN_POINT('Ctrl Pts',(2.86223609312983,1.19063245357917,-28.15)); #596235=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.15)); #596236=CARTESIAN_POINT('Ctrl Pts',(-2.82327515850288,-1.80322386343682, -27.9)); #596237=CARTESIAN_POINT('Ctrl Pts',(-2.70443218316483,-1.83223164315749, -27.9845429618145)); #596238=CARTESIAN_POINT('Ctrl Pts',(-2.58394833936922,-1.85914729636704, -28.0680945231512)); #596239=CARTESIAN_POINT('Ctrl Pts',(-2.46223571165982,-1.88345277620872, -28.15)); #596240=CARTESIAN_POINT('Origin',(-1.19361783203112,-1.0066783346089,-28.025)); #596241=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #596242=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.025)); #596243=CARTESIAN_POINT('',(-2.86223571165983,-1.19063245318116,-28.15)); #596244=CARTESIAN_POINT('Ctrl Pts',(-2.97327515850289,-1.54341624230148, -27.9)); #596245=CARTESIAN_POINT('Ctrl Pts',(-2.93897514497932,-1.42599131673699, -27.9845429618146)); #596246=CARTESIAN_POINT('Ctrl Pts',(-2.90204286252045,-1.30819142065966, -28.0680945231513)); #596247=CARTESIAN_POINT('Ctrl Pts',(-2.86223571165983,-1.19063245318116, -28.15)); #596248=CARTESIAN_POINT('',(2.46223609312983,1.88345277660672,-28.15)); #596249=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -28.15)); #596250=CARTESIAN_POINT('Ctrl Pts',(2.82327553997289,1.80322386383482,-27.9)); #596251=CARTESIAN_POINT('Ctrl Pts',(2.70443256463484,1.83223164355549,-27.9845429618145)); #596252=CARTESIAN_POINT('Ctrl Pts',(2.58394872083923,1.85914729676504,-28.0680945231512)); #596253=CARTESIAN_POINT('Ctrl Pts',(2.46223609312983,1.88345277660672,-28.15)); #596254=CARTESIAN_POINT('Origin',(1.1936180703637,1.00667825236648,-28.025)); #596255=CARTESIAN_POINT('',(1.1311180703637,1.11493142783953,-28.15)); #596256=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.9)); #596257=CARTESIAN_POINT('',(-2.86223571165983,-1.19063245318116,-31.65)); #596258=CARTESIAN_POINT('',(-2.86223571165983,-1.19063245318116,-31.9)); #596259=CARTESIAN_POINT('',(2.46223609312983,1.88345277660672,-31.65)); #596260=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.65)); #596261=CARTESIAN_POINT('',(2.46223609312983,1.88345277660672,-31.9)); #596262=CARTESIAN_POINT('Origin',(1.1936180703637,1.00667825236648,-31.775)); #596263=CARTESIAN_POINT('',(1.1311180703637,1.11493142783953,-31.65)); #596264=CARTESIAN_POINT('Ctrl Pts',(2.46223609312983,1.88345277660672,-31.65)); #596265=CARTESIAN_POINT('Ctrl Pts',(2.58394872083927,1.85914729676503,-31.7319054768488)); #596266=CARTESIAN_POINT('Ctrl Pts',(2.70443256463495,1.83223164355546,-31.8154570381856)); #596267=CARTESIAN_POINT('Ctrl Pts',(2.82327553997289,1.80322386383482,-31.9)); #596268=CARTESIAN_POINT('Ctrl Pts',(-2.97327515850289,-1.54341624230148, -31.9)); #596269=CARTESIAN_POINT('Ctrl Pts',(-2.93897514497933,-1.425991316737,-31.8154570381854)); #596270=CARTESIAN_POINT('Ctrl Pts',(-2.90204286252045,-1.30819142065967, -31.7319054768487)); #596271=CARTESIAN_POINT('Ctrl Pts',(-2.86223571165983,-1.19063245318116, -31.65)); #596272=CARTESIAN_POINT('Origin',(1.90735002050167E-7,1.99000260714399E-10, -31.775)); #596273=CARTESIAN_POINT('Origin',(2.46223609312983,1.88345277660672,-31.9)); #596274=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-30.9)); #596275=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-30.9)); #596276=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596277=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-43.9)); #596278=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-43.9)); #596279=CARTESIAN_POINT('',(1.11022302462516E-15,27.0000000149012,-43.9)); #596280=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-43.9)); #596281=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596282=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-43.9)); #596283=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-43.9)); #596284=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596285=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596286=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-43.9)); #596287=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-43.9)); #596288=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596289=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-43.9)); #596290=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596291=CARTESIAN_POINT('',(1.11022302462516E-15,-27.0000000149012,-43.9)); #596292=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596293=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-31.9)); #596294=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-31.9)); #596295=CARTESIAN_POINT('Origin',(10.5830052470744,-27.0000000149012,-31.9)); #596296=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-31.9)); #596297=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-31.9)); #596298=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-31.9)); #596299=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596300=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-31.9)); #596301=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596302=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-31.9)); #596303=CARTESIAN_POINT('Origin',(2.22044604925031E-15,27.0000000149012, -31.9)); #596304=CARTESIAN_POINT('',(1.11022302462516E-15,27.0000000149012,-31.9)); #596305=CARTESIAN_POINT('Origin',(2.22044604925031E-15,-27.0000000149012, -31.9)); #596306=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-31.9)); #596307=CARTESIAN_POINT('',(1.11022302462516E-15,-27.0000000149012,-31.9)); #596308=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-31.9)); #596309=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-31.9)); #596310=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596311=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-31.9)); #596312=CARTESIAN_POINT('Origin',(-10.5830052470744,27.0000000149012,-31.9)); #596313=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-31.9)); #596314=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-31.9)); #596315=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-31.9)); #596316=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596317=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596318=CARTESIAN_POINT('Origin',(0.,2.22044604925031E-15,-31.9)); #596319=CARTESIAN_POINT('',(-10.9178752540378,28.8000000148847,-31.9)); #596320=CARTESIAN_POINT('',(10.9178752540378,28.8000000148847,-31.9)); #596321=CARTESIAN_POINT('',(5.47722557641194,28.8000000149012,-31.9)); #596322=CARTESIAN_POINT('',(10.9178752540378,-28.8000000148847,-31.9)); #596323=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596324=CARTESIAN_POINT('',(-10.9178752540378,-28.8000000148847,-31.9)); #596325=CARTESIAN_POINT('',(-5.47722557641193,-28.8000000149012,-31.9)); #596326=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596327=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596328=CARTESIAN_POINT('',(10.9178752538946,28.8000000149012,-31.9)); #596329=CARTESIAN_POINT('',(10.9178752538946,-28.8000000149012,-31.9)); #596330=CARTESIAN_POINT('Origin',(-10.9544511528239,-28.8000000149012,-31.9)); #596331=CARTESIAN_POINT('',(-10.9178752538946,-28.8000000149012,-31.9)); #596332=CARTESIAN_POINT('Origin',(0.,0.,-31.9)); #596333=CARTESIAN_POINT('',(-10.9178752538946,28.8000000149012,-31.9)); #596334=CARTESIAN_POINT('Origin',(10.9544511528239,28.8000000149012,-31.9)); #596335=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596336=CARTESIAN_POINT('',(17.9999999881658,-1.39999999999957,-51.5)); #596337=CARTESIAN_POINT('',(16.5999999999988,4.35207425653061E-13,-51.5)); #596338=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #596339=CARTESIAN_POINT('',(16.5999999999988,-1.39999999999957,-51.5)); #596340=CARTESIAN_POINT('',(8.29999999999939,-1.39999999999956,-51.5)); #596341=CARTESIAN_POINT('',(16.5999999999988,4.35207425653061E-13,-51.5)); #596342=CARTESIAN_POINT('Origin',(17.9999999881658,-1.39999999999957,-51.7)); #596343=CARTESIAN_POINT('',(16.5999999999988,-1.39999999999957,-51.7)); #596344=CARTESIAN_POINT('',(19.3999999999988,-1.39999999999957,-51.7)); #596345=CARTESIAN_POINT('',(8.99999999408288,-1.39999999999956,-51.7)); #596346=CARTESIAN_POINT('',(16.5999999999988,-1.39999999999957,-51.7)); #596347=CARTESIAN_POINT('',(19.3999999999988,-1.39999999999957,-51.5)); #596348=CARTESIAN_POINT('',(8.99999999408288,-1.39999999999956,-51.5)); #596349=CARTESIAN_POINT('',(19.3999999999988,-1.39999999999957,-51.7)); #596350=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596351=CARTESIAN_POINT('',(19.3999999999988,4.35207425653061E-13,-51.5)); #596352=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #596353=CARTESIAN_POINT('',(19.3999999999988,-0.699999999999565,-51.5)); #596354=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596355=CARTESIAN_POINT('',(18.0000000118318,1.40000000000044,-51.5)); #596356=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #596357=CARTESIAN_POINT('',(19.3999999999988,1.40000000000044,-51.5)); #596358=CARTESIAN_POINT('',(9.69999999999939,1.40000000000044,-51.5)); #596359=CARTESIAN_POINT('',(19.3999999999988,4.35207425653061E-13,-51.5)); #596360=CARTESIAN_POINT('Origin',(18.0000000118318,1.40000000000044,-51.7)); #596361=CARTESIAN_POINT('',(19.3999999999988,1.40000000000044,-51.7)); #596362=CARTESIAN_POINT('',(16.5999999999988,1.40000000000044,-51.7)); #596363=CARTESIAN_POINT('',(9.69999999999939,1.40000000000044,-51.7)); #596364=CARTESIAN_POINT('',(19.3999999999988,1.40000000000044,-51.7)); #596365=CARTESIAN_POINT('',(16.5999999999988,1.40000000000044,-51.5)); #596366=CARTESIAN_POINT('',(9.0000000059159,1.40000000000044,-51.5)); #596367=CARTESIAN_POINT('',(16.5999999999988,1.40000000000044,-51.7)); #596368=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596369=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -51.5)); #596370=CARTESIAN_POINT('',(16.5999999999988,0.700000000000436,-51.5)); #596371=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596372=CARTESIAN_POINT('',(-17.9999999881658,-1.39999999999957,-51.5)); #596373=CARTESIAN_POINT('',(-16.5999999999988,-1.39999999999957,-51.5)); #596374=CARTESIAN_POINT('',(-8.99999999408288,-1.39999999999956,-51.5)); #596375=CARTESIAN_POINT('',(-16.5999999999988,4.35207425653061E-13,-51.5)); #596376=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #596377=CARTESIAN_POINT('',(-16.5999999999988,-0.699999999999565,-51.5)); #596378=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596379=CARTESIAN_POINT('',(-16.5999999999988,1.40000000000044,-51.5)); #596380=CARTESIAN_POINT('',(-16.5999999999988,4.35207425653061E-13,-51.5)); #596381=CARTESIAN_POINT('',(-17.9999999881658,1.40000000000044,-51.5)); #596382=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #596383=CARTESIAN_POINT('',(-8.29999999999939,1.40000000000044,-51.5)); #596384=CARTESIAN_POINT('Origin',(-17.9999999881658,1.40000000000044,-51.7)); #596385=CARTESIAN_POINT('',(-16.5999999999988,1.40000000000044,-51.7)); #596386=CARTESIAN_POINT('',(-19.3999999999988,1.40000000000044,-51.7)); #596387=CARTESIAN_POINT('',(-8.29999999999939,1.40000000000044,-51.7)); #596388=CARTESIAN_POINT('',(-16.5999999999988,1.40000000000044,-51.7)); #596389=CARTESIAN_POINT('',(-19.3999999999988,1.40000000000044,-51.5)); #596390=CARTESIAN_POINT('',(-8.99999999408288,1.40000000000044,-51.5)); #596391=CARTESIAN_POINT('',(-19.3999999999988,1.40000000000044,-51.7)); #596392=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596393=CARTESIAN_POINT('',(-19.3999999999988,4.35035975101181E-13,-51.5)); #596394=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #596395=CARTESIAN_POINT('',(-19.3999999999988,0.700000000000436,-51.5)); #596396=CARTESIAN_POINT('Origin',(-19.3999999999988,-1.39999999999957,-51.7)); #596397=CARTESIAN_POINT('',(-19.3999999999988,-1.39999999999957,-51.7)); #596398=CARTESIAN_POINT('',(-16.5999999999988,-1.39999999999957,-51.7)); #596399=CARTESIAN_POINT('',(-9.69999999999939,-1.39999999999956,-51.7)); #596400=CARTESIAN_POINT('',(-19.3999999999988,-1.39999999999957,-51.5)); #596401=CARTESIAN_POINT('',(-19.3999999999988,-1.39999999999957,-51.7)); #596402=CARTESIAN_POINT('',(-9.69999999999939,-1.39999999999956,-51.5)); #596403=CARTESIAN_POINT('',(-16.5999999999988,-1.39999999999957,-51.7)); #596404=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.5)); #596405=CARTESIAN_POINT('',(-19.3999999999988,4.35207425653061E-13,-51.5)); #596406=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -51.5)); #596407=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #596408=CARTESIAN_POINT('',(19.3999999999988,-3.49999999999956,-51.7)); #596409=CARTESIAN_POINT('',(19.3999999999988,-1.74999999999956,-51.7)); #596410=CARTESIAN_POINT('',(16.5999999999988,-3.49999999999957,-51.7)); #596411=CARTESIAN_POINT('',(8.29999999999939,-3.49999999999957,-51.7)); #596412=CARTESIAN_POINT('',(16.5999999999988,4.35207425653061E-13,-51.7)); #596413=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #596414=CARTESIAN_POINT('',(-16.5999999999988,-3.49999999999957,-51.7)); #596415=CARTESIAN_POINT('',(-16.5999999999988,-1.74999999999957,-51.7)); #596416=CARTESIAN_POINT('',(-19.3999999999988,-3.49999999999956,-51.7)); #596417=CARTESIAN_POINT('',(-9.69999999999939,-3.49999999999957,-51.7)); #596418=CARTESIAN_POINT('',(-19.3999999999988,4.35207425653061E-13,-51.7)); #596419=CARTESIAN_POINT('Origin',(19.3999999999988,-3.49999999999956,-51.9)); #596420=CARTESIAN_POINT('',(19.3999999999988,-3.49999999999956,-51.9)); #596421=CARTESIAN_POINT('',(19.3999999999988,3.50000000000044,-51.9)); #596422=CARTESIAN_POINT('',(19.3999999999988,-1.74999999999979,-51.9)); #596423=CARTESIAN_POINT('',(19.3999999999988,-3.49999999999956,-51.9)); #596424=CARTESIAN_POINT('',(19.3999999999988,3.50000000000044,-51.7)); #596425=CARTESIAN_POINT('',(19.3999999999988,4.35207425653061E-13,-51.7)); #596426=CARTESIAN_POINT('',(19.3999999999988,3.50000000000044,-51.9)); #596427=CARTESIAN_POINT('Origin',(16.5999999999988,-3.49999999999957,-51.9)); #596428=CARTESIAN_POINT('',(16.5999999999988,-3.49999999999957,-51.9)); #596429=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-51.9)); #596430=CARTESIAN_POINT('',(16.5999999999988,-3.49999999999957,-51.9)); #596431=CARTESIAN_POINT('Origin',(16.5999999999988,3.50000000000044,-51.9)); #596432=CARTESIAN_POINT('',(16.5999999999988,3.50000000000044,-51.9)); #596433=CARTESIAN_POINT('',(16.5999999999988,2.08721928629529E-13,-51.9)); #596434=CARTESIAN_POINT('',(16.5999999999988,3.50000000000044,-51.7)); #596435=CARTESIAN_POINT('',(16.5999999999988,3.50000000000044,-51.9)); #596436=CARTESIAN_POINT('',(16.5999999999988,1.75000000000043,-51.7)); #596437=CARTESIAN_POINT('Origin',(19.3999999999988,3.50000000000044,-51.9)); #596438=CARTESIAN_POINT('',(7.95,3.50000000000043,-51.9)); #596439=CARTESIAN_POINT('',(9.69999999999939,3.50000000000043,-51.7)); #596440=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #596441=CARTESIAN_POINT('Origin',(-16.5999999999988,3.50000000000044,-51.9)); #596442=CARTESIAN_POINT('',(-16.5999999999988,3.50000000000043,-51.9)); #596443=CARTESIAN_POINT('',(-19.3999999999988,3.50000000000044,-51.9)); #596444=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-51.9)); #596445=CARTESIAN_POINT('',(-16.5999999999988,3.50000000000043,-51.7)); #596446=CARTESIAN_POINT('',(-16.5999999999988,3.50000000000043,-51.9)); #596447=CARTESIAN_POINT('',(-19.3999999999988,3.50000000000044,-51.7)); #596448=CARTESIAN_POINT('',(-8.29999999999939,3.50000000000043,-51.7)); #596449=CARTESIAN_POINT('',(-19.3999999999988,3.50000000000044,-51.9)); #596450=CARTESIAN_POINT('Origin',(0.,4.35207425653061E-13,-51.7)); #596451=CARTESIAN_POINT('',(-19.3999999999988,1.75000000000044,-51.7)); #596452=CARTESIAN_POINT('',(-16.5999999999988,4.35207425653061E-13,-51.7)); #596453=CARTESIAN_POINT('Origin',(-16.5999999999988,-3.49999999999957,-51.9)); #596454=CARTESIAN_POINT('',(-16.5999999999988,-3.49999999999957,-51.9)); #596455=CARTESIAN_POINT('',(-16.5999999999988,2.08721928629529E-13,-51.9)); #596456=CARTESIAN_POINT('',(-16.5999999999988,-3.49999999999957,-51.9)); #596457=CARTESIAN_POINT('Origin',(-19.3999999999988,-3.49999999999956,-51.9)); #596458=CARTESIAN_POINT('',(-19.3999999999988,-3.49999999999956,-51.9)); #596459=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-51.9)); #596460=CARTESIAN_POINT('',(-19.3999999999988,-3.49999999999956,-51.9)); #596461=CARTESIAN_POINT('Origin',(-19.3999999999988,4.35035975101181E-13, -51.9)); #596462=CARTESIAN_POINT('',(-19.3999999999988,2.08636203353589E-13,-51.9)); #596463=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #596464=CARTESIAN_POINT('',(15.9,-3.49999999999957,-51.9)); #596465=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-51.9)); #596466=CARTESIAN_POINT('',(15.9000000000001,3.50000000000043,-51.9)); #596467=CARTESIAN_POINT('',(15.9000000000001,15.7493634590772,-51.9)); #596468=CARTESIAN_POINT('',(7.95,3.50000000000043,-51.9)); #596469=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #596470=CARTESIAN_POINT('',(-20.1000000000024,-3.49999999999957,-51.9)); #596471=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-51.9)); #596472=CARTESIAN_POINT('',(-20.1000000000024,3.50000000000043,-51.9)); #596473=CARTESIAN_POINT('',(-20.1000000000024,14.4997396309759,-51.9)); #596474=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-51.9)); #596475=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #596476=CARTESIAN_POINT('',(-15.9,3.50000000000043,-51.9)); #596477=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-51.9)); #596478=CARTESIAN_POINT('',(-15.9,-3.49999999999957,-51.9)); #596479=CARTESIAN_POINT('',(-15.9,-15.7493634590773,-51.9)); #596480=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-51.9)); #596481=CARTESIAN_POINT('Origin',(10.0500000000012,-4.24999999999956,-52.65)); #596482=CARTESIAN_POINT('',(15.9,-4.99999999999956,-53.4)); #596483=CARTESIAN_POINT('',(15.9,-6.81249999999968,-55.2125000000001)); #596484=CARTESIAN_POINT('',(20.1000000000024,-3.49999999999957,-51.9)); #596485=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-51.9)); #596486=CARTESIAN_POINT('',(20.1000000000024,-4.99999999999957,-53.4)); #596487=CARTESIAN_POINT('',(20.1000000000024,-11.4998698154876,-59.899869815488)); #596488=CARTESIAN_POINT('',(10.0500000000012,-4.99999999999957,-53.4)); #596489=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.77635683940025E-14, -51.9)); #596490=CARTESIAN_POINT('',(20.1000000000024,3.50000000000043,-51.9)); #596491=CARTESIAN_POINT('',(7.95,3.50000000000043,-51.9)); #596492=CARTESIAN_POINT('',(20.1000000000024,-14.4997396309759,-51.9)); #596493=CARTESIAN_POINT('Origin',(7.95,4.25000000000043,-52.65)); #596494=CARTESIAN_POINT('',(20.1000000000024,5.00000000000044,-53.4)); #596495=CARTESIAN_POINT('',(20.1000000000024,-2.99986981548759,-45.4001301845119)); #596496=CARTESIAN_POINT('',(15.9,5.00000000000044,-53.4)); #596497=CARTESIAN_POINT('',(15.9,1.68750000000034,-50.0874999999999)); #596498=CARTESIAN_POINT('',(7.95,5.00000000000043,-53.4)); #596499=CARTESIAN_POINT('Origin',(-7.95,-4.24999999999956,-52.65)); #596500=CARTESIAN_POINT('',(-20.1000000000024,-4.99999999999957,-53.4)); #596501=CARTESIAN_POINT('',(-20.1000000000024,2.99986981548824,-45.4001301845122)); #596502=CARTESIAN_POINT('',(-15.9,-4.99999999999956,-53.4)); #596503=CARTESIAN_POINT('',(-15.9,-1.68749999999969,-50.0875000000001)); #596504=CARTESIAN_POINT('',(-7.95,-4.99999999999957,-53.4)); #596505=CARTESIAN_POINT('Origin',(-10.0500000000012,4.25000000000043,-52.65)); #596506=CARTESIAN_POINT('',(-15.9,5.00000000000044,-53.4)); #596507=CARTESIAN_POINT('',(-15.9,6.81250000000033,-55.2124999999999)); #596508=CARTESIAN_POINT('',(-20.1000000000024,5.00000000000044,-53.4)); #596509=CARTESIAN_POINT('',(-20.1000000000024,11.4998698154883,-59.8998698154878)); #596510=CARTESIAN_POINT('',(-10.0500000000012,5.00000000000043,-53.4)); #596511=CARTESIAN_POINT('Origin',(7.95,4.25000000000043,-55.15)); #596512=CARTESIAN_POINT('',(20.1000000000024,3.50000000000044,-55.9)); #596513=CARTESIAN_POINT('',(20.1000000000024,5.00000000000044,-54.4)); #596514=CARTESIAN_POINT('',(20.1000000000024,-4.49986981548759,-63.8998698154881)); #596515=CARTESIAN_POINT('',(15.9,5.00000000000044,-54.4)); #596516=CARTESIAN_POINT('',(7.95,5.00000000000043,-54.4)); #596517=CARTESIAN_POINT('',(15.9,3.50000000000044,-55.9)); #596518=CARTESIAN_POINT('',(15.9,0.187500000000335,-59.2125000000001)); #596519=CARTESIAN_POINT('',(7.95,3.50000000000043,-55.9)); #596520=CARTESIAN_POINT('Origin',(15.9,5.00000000000044,-56.9)); #596521=CARTESIAN_POINT('',(15.9,5.00000000000044,-56.9)); #596522=CARTESIAN_POINT('',(20.1000000000024,5.00000000000044,-56.9)); #596523=CARTESIAN_POINT('Origin',(10.0500000000012,-4.24999999999956,-55.15)); #596524=CARTESIAN_POINT('',(20.1000000000024,-4.99999999999957,-54.4)); #596525=CARTESIAN_POINT('',(20.1000000000024,-3.49999999999957,-55.9)); #596526=CARTESIAN_POINT('',(20.1000000000024,-9.99986981548759,-49.400130184512)); #596527=CARTESIAN_POINT('',(15.9,-3.49999999999957,-55.9)); #596528=CARTESIAN_POINT('',(10.0500000000012,-3.49999999999957,-55.9)); #596529=CARTESIAN_POINT('',(15.9,-4.99999999999956,-54.4)); #596530=CARTESIAN_POINT('',(15.9,-5.31249999999967,-54.0874999999999)); #596531=CARTESIAN_POINT('',(10.0500000000012,-4.99999999999957,-54.4)); #596532=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596533=CARTESIAN_POINT('',(15.9,-5.125,-55.9)); #596534=CARTESIAN_POINT('',(20.1000000000024,-18.0274790474033,-55.9)); #596535=CARTESIAN_POINT('',(20.1000000000024,-14.4997396309759,-55.9)); #596536=CARTESIAN_POINT('',(20.1000000000024,18.0274790474033,-55.9)); #596537=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596538=CARTESIAN_POINT('',(20.1000000000024,2.50000000000022,-55.9)); #596539=CARTESIAN_POINT('Origin',(-7.95,-4.24999999999956,-55.15)); #596540=CARTESIAN_POINT('',(-20.1000000000024,-3.49999999999957,-55.9)); #596541=CARTESIAN_POINT('',(-20.1000000000024,-4.99999999999957,-54.4)); #596542=CARTESIAN_POINT('',(-20.1000000000024,4.49986981548824,-63.8998698154878)); #596543=CARTESIAN_POINT('',(-15.9,-4.99999999999956,-54.4)); #596544=CARTESIAN_POINT('',(-7.95,-4.99999999999957,-54.4)); #596545=CARTESIAN_POINT('',(-15.9,-3.49999999999957,-55.9)); #596546=CARTESIAN_POINT('',(-15.9,-0.187499999999683,-59.2124999999999)); #596547=CARTESIAN_POINT('',(-7.95,-3.49999999999957,-55.9)); #596548=CARTESIAN_POINT('Origin',(-15.9,-4.99999999999957,-56.9)); #596549=CARTESIAN_POINT('',(-15.9,-4.99999999999956,-56.9)); #596550=CARTESIAN_POINT('',(-20.1000000000024,-4.99999999999957,-56.9)); #596551=CARTESIAN_POINT('Origin',(-10.0500000000012,4.25000000000043,-55.15)); #596552=CARTESIAN_POINT('',(-20.1000000000024,5.00000000000044,-54.4)); #596553=CARTESIAN_POINT('',(-20.1000000000024,3.50000000000044,-55.9)); #596554=CARTESIAN_POINT('',(-20.1000000000024,9.99986981548825,-49.4001301845122)); #596555=CARTESIAN_POINT('',(-15.9,3.50000000000044,-55.9)); #596556=CARTESIAN_POINT('',(-10.0500000000012,3.50000000000043,-55.9)); #596557=CARTESIAN_POINT('',(-15.9,5.00000000000044,-54.4)); #596558=CARTESIAN_POINT('',(-15.9,5.31250000000032,-54.0875000000001)); #596559=CARTESIAN_POINT('',(-10.0500000000012,5.00000000000043,-54.4)); #596560=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596561=CARTESIAN_POINT('',(-15.9,5.125,-55.9)); #596562=CARTESIAN_POINT('',(-20.1000000000024,18.0274790474033,-55.9)); #596563=CARTESIAN_POINT('',(-20.1000000000024,14.4997396309759,-55.9)); #596564=CARTESIAN_POINT('',(-27.0000000149012,3.30654635952272E-15,-55.9)); #596565=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596566=CARTESIAN_POINT('',(-20.1000000000024,-18.0274790474033,-55.9)); #596567=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596568=CARTESIAN_POINT('',(-20.1000000000024,-2.49999999999978,-55.9)); #596569=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -50.9)); #596570=CARTESIAN_POINT('',(-19.3999999999988,4.35378876204942E-13,-50.9)); #596571=CARTESIAN_POINT('Origin',(-17.9999999999988,4.35207425653061E-13, -50.9)); #596572=CARTESIAN_POINT('',(-19.3999999999988,4.35378876204942E-13,-50.9)); #596573=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -50.9)); #596574=CARTESIAN_POINT('',(16.5999999999988,4.35378876204942E-13,-50.9)); #596575=CARTESIAN_POINT('Origin',(17.9999999999988,4.35207425653061E-13, -50.9)); #596576=CARTESIAN_POINT('',(16.5999999999988,4.35378876204942E-13,-50.9)); #596577=CARTESIAN_POINT('Origin',(-20.1000000000024,5.00000000000044,-56.9)); #596578=CARTESIAN_POINT('',(-20.1000000000024,5.00000000000044,-56.9)); #596579=CARTESIAN_POINT('',(-15.9,5.00000000000044,-56.9)); #596580=CARTESIAN_POINT('Origin',(-20.1000000000024,28.9994792619518,-56.9)); #596581=CARTESIAN_POINT('',(-20.1000000000024,18.0274790474033,-50.9)); #596582=CARTESIAN_POINT('',(-20.1000000000024,18.0274790474033,-43.9)); #596583=CARTESIAN_POINT('',(-20.1000000000024,-18.0274790474033,-50.9)); #596584=CARTESIAN_POINT('',(-20.1000000000024,-18.0274790474033,-43.9)); #596585=CARTESIAN_POINT('',(-20.1000000000024,-2.49999999999979,-50.9)); #596586=CARTESIAN_POINT('Origin',(-15.9,10.25,-56.9)); #596587=CARTESIAN_POINT('',(-15.9,-21.8217781311391,-50.9)); #596588=CARTESIAN_POINT('',(-15.9,21.8217781311391,-50.9)); #596589=CARTESIAN_POINT('',(-15.9,2.50000000000021,-50.9)); #596590=CARTESIAN_POINT('',(-15.9,-21.8217781311391,-55.9)); #596591=CARTESIAN_POINT('',(-15.9,-21.8217781311391,-43.9)); #596592=CARTESIAN_POINT('',(-15.9,-10.25,-55.9)); #596593=CARTESIAN_POINT('',(-15.9,-15.7493634590772,-55.9)); #596594=CARTESIAN_POINT('',(-15.9,-10.25,-56.9)); #596595=CARTESIAN_POINT('',(-15.9,-10.25,-56.9)); #596596=CARTESIAN_POINT('',(-15.9,10.25,-56.9)); #596597=CARTESIAN_POINT('',(-15.9,5.125,-56.9)); #596598=CARTESIAN_POINT('',(-15.9,10.25,-55.9)); #596599=CARTESIAN_POINT('',(-15.9,10.25,-56.9)); #596600=CARTESIAN_POINT('',(-15.9,21.8217781311391,-55.9)); #596601=CARTESIAN_POINT('',(-15.9,2.50000000000022,-55.9)); #596602=CARTESIAN_POINT('',(-15.9,21.8217781311391,-43.9)); #596603=CARTESIAN_POINT('Origin',(20.1000000000024,-4.99999999999957,-56.9)); #596604=CARTESIAN_POINT('',(20.1000000000024,-4.99999999999957,-56.9)); #596605=CARTESIAN_POINT('',(15.9,-4.99999999999956,-56.9)); #596606=CARTESIAN_POINT('Origin',(15.9,-10.25,-56.9)); #596607=CARTESIAN_POINT('',(15.9,21.8217781311391,-50.9)); #596608=CARTESIAN_POINT('',(15.9,-21.8217781311392,-50.9)); #596609=CARTESIAN_POINT('',(15.9,-2.49999999999982,-50.9)); #596610=CARTESIAN_POINT('',(15.9,21.8217781311391,-55.9)); #596611=CARTESIAN_POINT('',(15.9,21.8217781311391,-43.9)); #596612=CARTESIAN_POINT('',(15.9,10.25,-55.9)); #596613=CARTESIAN_POINT('',(15.9,15.7493634590772,-55.9)); #596614=CARTESIAN_POINT('',(15.9,10.25,-56.9)); #596615=CARTESIAN_POINT('',(15.9,10.25,-56.9)); #596616=CARTESIAN_POINT('',(15.9,-10.25,-56.9)); #596617=CARTESIAN_POINT('',(15.9,-5.125,-56.9)); #596618=CARTESIAN_POINT('',(15.9,-10.25,-55.9)); #596619=CARTESIAN_POINT('',(15.9,-10.25,-56.9)); #596620=CARTESIAN_POINT('',(15.9,-21.8217781311392,-55.9)); #596621=CARTESIAN_POINT('',(15.9,-2.49999999999981,-55.9)); #596622=CARTESIAN_POINT('',(15.9,-21.8217781311392,-43.9)); #596623=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.99840144432528E-14, -50.9)); #596624=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #596625=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #596626=CARTESIAN_POINT('Origin',(0.,0.,-56.9)); #596627=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,-56.9)); #596628=CARTESIAN_POINT('Origin',(0.,0.,-56.9)); #596629=CARTESIAN_POINT('',(-7.95,-10.25,-56.9)); #596630=CARTESIAN_POINT('',(7.95,10.25,-56.9)); #596631=CARTESIAN_POINT('Origin',(20.1000000000024,-28.9994792619517,-56.9)); #596632=CARTESIAN_POINT('',(20.1000000000024,-18.0274790474033,-50.9)); #596633=CARTESIAN_POINT('',(20.1000000000024,-18.0274790474033,-43.9)); #596634=CARTESIAN_POINT('',(20.1000000000024,18.0274790474033,-50.9)); #596635=CARTESIAN_POINT('',(20.1000000000024,18.0274790474033,-43.9)); #596636=CARTESIAN_POINT('',(20.1000000000024,2.50000000000021,-50.9)); #596637=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-1.99840144432528E-14, -50.9)); #596638=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #596639=CARTESIAN_POINT('Origin',(0.,0.,-50.9)); #596640=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596641=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596642=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596643=CARTESIAN_POINT('',(-27.0000000149012,3.30654635952272E-15,-43.9)); #596644=CARTESIAN_POINT('',(-27.0000000149012,3.30654635952272E-15,-43.9)); #596645=CARTESIAN_POINT('',(2.22044604925031E-15,-27.0000000149012,-43.9)); #596646=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596647=CARTESIAN_POINT('',(2.22044604925031E-15,27.0000000149012,-43.9)); #596648=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596649=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596650=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596651=CARTESIAN_POINT('',(-7.95,-10.25,-55.9)); #596652=CARTESIAN_POINT('Origin',(0.,0.,-55.9)); #596653=CARTESIAN_POINT('',(7.95,10.25,-55.9)); #596654=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #596655=CARTESIAN_POINT('',(-35.2842712474619,-4.32107698434512E-15,-33.9)); #596656=CARTESIAN_POINT('',(-35.2842712474619,4.32107698434512E-15,-33.9)); #596657=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #596658=CARTESIAN_POINT('Origin',(15.9,10.25,-56.9)); #596659=CARTESIAN_POINT('Origin',(-15.9,-10.25,-56.9)); #596660=CARTESIAN_POINT('Origin',(10.5830052470744,-27.0000000149012,-55.9)); #596661=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-43.9)); #596662=CARTESIAN_POINT('',(1.11022302462516E-15,-27.0000000149012,-43.9)); #596663=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-33.9)); #596664=CARTESIAN_POINT('',(-10.5830052470744,-27.0000000149012,-33.9)); #596665=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-33.9)); #596666=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-33.9)); #596667=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-43.9)); #596668=CARTESIAN_POINT('',(10.5830052470744,-27.0000000149012,-33.9)); #596669=CARTESIAN_POINT('',(5.29150262353721,-27.0000000149012,-43.9)); #596670=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #596671=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-33.9)); #596672=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #596673=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-43.9)); #596674=CARTESIAN_POINT('',(10.5830052470744,27.0000000149012,-33.9)); #596675=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596676=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #596677=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-43.9)); #596678=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596679=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-33.9)); #596680=CARTESIAN_POINT('',(-10.5830052470744,27.0000000149012,-33.9)); #596681=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #596682=CARTESIAN_POINT('Origin',(-10.5830052470744,27.0000000149012,-55.9)); #596683=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-43.9)); #596684=CARTESIAN_POINT('',(1.11022302462516E-15,27.0000000149012,-43.9)); #596685=CARTESIAN_POINT('',(-5.29150262353721,27.0000000149012,-33.9)); #596686=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596687=CARTESIAN_POINT('Origin',(0.,0.,-43.9)); #596688=CARTESIAN_POINT('Origin',(0.,0.,-33.9)); #596689=CARTESIAN_POINT('Origin',(18.8584624794272,11.0944687749765,-20.9)); #596690=CARTESIAN_POINT('',(18.2123151937553,10.,-20.9)); #596691=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-20.9)); #596692=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-20.9)); #596693=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-21.9)); #596694=CARTESIAN_POINT('',(19.5046097650991,12.188937549953,-20.9)); #596695=CARTESIAN_POINT('',(18.2123151937553,10.,-21.9)); #596696=CARTESIAN_POINT('',(13.9928836809891,2.85296689891295,-21.9)); #596697=CARTESIAN_POINT('',(18.2123151937553,10.,-20.9)); #596698=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596699=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-20.9)); #596700=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596701=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-21.9)); #596702=CARTESIAN_POINT('',(-19.5046097650991,12.188937549953,-20.9)); #596703=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596704=CARTESIAN_POINT('Origin',(18.8584624794272,-11.0944687749765,-20.9)); #596705=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-20.9)); #596706=CARTESIAN_POINT('',(18.2123151937553,-10.,-20.9)); #596707=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-20.9)); #596708=CARTESIAN_POINT('',(18.2123151937553,-10.,-21.9)); #596709=CARTESIAN_POINT('',(18.2123151937553,-10.,-20.9)); #596710=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-21.9)); #596711=CARTESIAN_POINT('',(13.9928836809891,-2.85296689891295,-21.9)); #596712=CARTESIAN_POINT('',(19.5046097650991,-12.188937549953,-20.9)); #596713=CARTESIAN_POINT('Origin',(-20.7123151937553,-10.,-20.9)); #596714=CARTESIAN_POINT('',(-18.2123151937553,-10.,-20.9)); #596715=CARTESIAN_POINT('',(-10.3561575968777,-10.,-20.9)); #596716=CARTESIAN_POINT('',(-18.2123151937553,-10.,-21.9)); #596717=CARTESIAN_POINT('',(-18.2123151937553,-10.,-20.9)); #596718=CARTESIAN_POINT('',(-10.3561575968777,-10.,-21.9)); #596719=CARTESIAN_POINT('Origin',(-18.8584624794272,-11.0944687749765,-20.9)); #596720=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-20.9)); #596721=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-20.9)); #596722=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-21.9)); #596723=CARTESIAN_POINT('',(-19.5046097650991,-12.188937549953,-20.9)); #596724=CARTESIAN_POINT('',(-13.9928836809891,-2.85296689891295,-21.9)); #596725=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596726=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596727=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596728=CARTESIAN_POINT('Origin',(-18.8584624794272,11.0944687749765,-20.9)); #596729=CARTESIAN_POINT('',(-18.2123151937553,10.,-20.9)); #596730=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-20.9)); #596731=CARTESIAN_POINT('',(-18.2123151937553,10.,-21.9)); #596732=CARTESIAN_POINT('',(-18.2123151937553,10.,-20.9)); #596733=CARTESIAN_POINT('',(-13.9928836809891,2.85296689891295,-21.9)); #596734=CARTESIAN_POINT('Origin',(20.7123151937553,10.,-20.9)); #596735=CARTESIAN_POINT('',(10.3561575968777,10.,-21.9)); #596736=CARTESIAN_POINT('',(10.3561575968777,10.,-20.9)); #596737=CARTESIAN_POINT('Origin',(-1.199999809265,-5.900000007656,-21.9)); #596738=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-21.9)); #596739=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-21.9)); #596740=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-21.9)); #596741=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-20.9)); #596742=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-21.9)); #596743=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-20.9)); #596744=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-20.9)); #596745=CARTESIAN_POINT('',(-1.199999809265,-5.900000007656,-21.9)); #596746=CARTESIAN_POINT('Origin',(-1.199999809265,-7.100000007656,-21.9)); #596747=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-21.9)); #596748=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-21.9)); #596749=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-20.9)); #596750=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-20.9)); #596751=CARTESIAN_POINT('',(-1.199999809265,-7.100000007656,-21.9)); #596752=CARTESIAN_POINT('Origin',(1.200000190735,-7.100000007656,-21.9)); #596753=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-21.9)); #596754=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-21.9)); #596755=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-20.9)); #596756=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-20.9)); #596757=CARTESIAN_POINT('',(1.200000190735,-7.100000007656,-21.9)); #596758=CARTESIAN_POINT('Origin',(1.200000190735,-5.900000007656,-21.9)); #596759=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-21.9)); #596760=CARTESIAN_POINT('',(1.200000190735,-5.900000007656,-20.9)); #596761=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596762=CARTESIAN_POINT('',(-3.75,4.59242549680257E-16,-20.9)); #596763=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596764=CARTESIAN_POINT('',(-3.75,4.59242549680257E-16,-21.9)); #596765=CARTESIAN_POINT('',(-3.75,-4.59242549680257E-16,-21.9)); #596766=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596767=CARTESIAN_POINT('Origin',(-15.7480157518085,-28.8000000149012,-21.9)); #596768=CARTESIAN_POINT('',(15.6971335002295,-28.8000000148779,-21.9)); #596769=CARTESIAN_POINT('',(-15.697133500076,-28.8000000149012,-21.9)); #596770=CARTESIAN_POINT('',(-7.87400787590426,-28.8000000149012,-21.9)); #596771=CARTESIAN_POINT('',(15.6971335002295,-28.8000000148779,-20.9)); #596772=CARTESIAN_POINT('',(15.697133500076,-28.8000000149012,-21.9)); #596773=CARTESIAN_POINT('',(-15.6971335002295,-28.8000000148779,-20.9)); #596774=CARTESIAN_POINT('',(-7.87400787590426,-28.8000000149012,-20.9)); #596775=CARTESIAN_POINT('',(-15.697133500076,-28.8000000149012,-21.9)); #596776=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596777=CARTESIAN_POINT('',(-15.6971335002295,28.8000000148779,-21.9)); #596778=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596779=CARTESIAN_POINT('',(-15.6971335002295,28.8000000148779,-20.9)); #596780=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596781=CARTESIAN_POINT('',(-15.697133500076,28.8000000149012,-21.9)); #596782=CARTESIAN_POINT('Origin',(15.7480157518085,28.8000000149012,-21.9)); #596783=CARTESIAN_POINT('',(15.6971335002295,28.8000000148779,-21.9)); #596784=CARTESIAN_POINT('',(7.87400787590426,28.8000000149012,-21.9)); #596785=CARTESIAN_POINT('',(15.6971335002295,28.8000000148779,-20.9)); #596786=CARTESIAN_POINT('',(7.87400787590426,28.8000000149012,-20.9)); #596787=CARTESIAN_POINT('',(15.697133500076,28.8000000149012,-21.9)); #596788=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596789=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596790=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596791=CARTESIAN_POINT('Origin',(0.,0.,-21.9)); #596792=CARTESIAN_POINT('Origin',(0.,0.,-20.9)); #596793=CARTESIAN_POINT('',(-34.,-34.,-6.5475)); #596794=CARTESIAN_POINT('',(-21.7499999999988,8.50000000000044,-49.3475)); #596795=CARTESIAN_POINT('',(0.,0.,0.)); #596796=CARTESIAN_POINT('',(0.,0.,0.)); #596797=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 20.)); #596798=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 33.3333333333353)); #596799=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 46.6666666666647)); #596800=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #596801=CARTESIAN_POINT('Ctrl Pts',(21.9663323460348,-13.5621129344337, 20.)); #596802=CARTESIAN_POINT('Ctrl Pts',(21.9663323460348,-13.5621129344337, 33.3333333333353)); #596803=CARTESIAN_POINT('Ctrl Pts',(15.747998250853,-10.0000000000007,46.6666666666647)); #596804=CARTESIAN_POINT('Ctrl Pts',(15.747998250853,-10.0000000000007,60.)); #596805=CARTESIAN_POINT('Ctrl Pts',(22.8866550308112,-12.0114611503695, 20.)); #596806=CARTESIAN_POINT('Ctrl Pts',(22.8866550308112,-12.0114611503695, 33.3333333333353)); #596807=CARTESIAN_POINT('Ctrl Pts',(17.2408715019581,-9.65768677379021, 46.6666666666647)); #596808=CARTESIAN_POINT('Ctrl Pts',(17.2408715019581,-9.65768677379021, 60.)); #596809=CARTESIAN_POINT('Ctrl Pts',(24.2049568659046,-9.14440342204999, 20.)); #596810=CARTESIAN_POINT('Ctrl Pts',(24.2049568659046,-9.14440342204999, 33.3333333333353)); #596811=CARTESIAN_POINT('Ctrl Pts',(19.3438921787835,-7.98503018329485, 46.6666666666647)); #596812=CARTESIAN_POINT('Ctrl Pts',(19.3438921787835,-7.98503018329485, 60.)); #596813=CARTESIAN_POINT('Ctrl Pts',(24.8724599099136,-6.98746964150807, 20.)); #596814=CARTESIAN_POINT('Ctrl Pts',(24.8724599099136,-6.98746964150807, 33.3333333333353)); #596815=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-6.1219973762828,46.6666666666647)); #596816=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-6.1219973762828,60.)); #596817=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 20.)); #596818=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 33.3333333333353)); #596819=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000072, 46.6666666666647)); #596820=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000072, 60.)); #596821=CARTESIAN_POINT('',(25.1692640563909,-5.66993358512092,20.)); #596822=CARTESIAN_POINT('',(21.4668975939166,-14.3112650626111,20.)); #596823=CARTESIAN_POINT('Origin',(0.,0.,20.)); #596824=CARTESIAN_POINT('',(14.9999999999983,-10.0000000000007,60.)); #596825=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 20.)); #596826=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 33.3333333333353)); #596827=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 46.6666666666647)); #596828=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #596829=CARTESIAN_POINT('',(19.9999999999983,-5.00000000000073,60.)); #596830=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000072, 60.)); #596831=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-6.1219973762828,60.)); #596832=CARTESIAN_POINT('Ctrl Pts',(19.3438921787835,-7.98503018329485, 60.)); #596833=CARTESIAN_POINT('Ctrl Pts',(17.2408715019581,-9.65768677379021, 60.)); #596834=CARTESIAN_POINT('Ctrl Pts',(15.747998250853,-10.0000000000007,60.)); #596835=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #596836=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 20.)); #596837=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 33.3333333333353)); #596838=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 46.6666666666647)); #596839=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 60.)); #596840=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 20.)); #596841=CARTESIAN_POINT('Ctrl Pts',(25.1692640563909,-5.66993358512092, 33.3333333333353)); #596842=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 46.6666666666647)); #596843=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 60.)); #596844=CARTESIAN_POINT('Ctrl Pts',(25.3671334873757,-4.79157621419616, 20.)); #596845=CARTESIAN_POINT('Ctrl Pts',(25.3671334873757,-4.79157621419616, 33.3333333333353)); #596846=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-4.25200174914601, 46.6666666666647)); #596847=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-4.25200174914601, 60.)); #596848=CARTESIAN_POINT('Ctrl Pts',(25.7304181324269,-2.7233896366877,20.)); #596849=CARTESIAN_POINT('Ctrl Pts',(25.7304181324269,-2.7233896366877,33.3333333333353)); #596850=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-2.40563490196303, 46.6666666666647)); #596851=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-2.40563490196303, 60.)); #596852=CARTESIAN_POINT('Ctrl Pts',(25.90055778964,1.52598098426995,20.)); #596853=CARTESIAN_POINT('Ctrl Pts',(25.90055778964,1.52598098426995,33.3333333333353)); #596854=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,1.35106259881751,46.6666666666647)); #596855=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,1.35106259881751,60.)); #596856=CARTESIAN_POINT('Ctrl Pts',(25.4369714424914,4.62823937097106,20.)); #596857=CARTESIAN_POINT('Ctrl Pts',(25.4369714424914,4.62823937097106,33.3333333333353)); #596858=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,3.8780026237172,46.6666666666647)); #596859=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,3.8780026237172,60.)); #596860=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593742,20.)); #596861=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593742,33.3333333333353)); #596862=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,46.6666666666647)); #596863=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #596864=CARTESIAN_POINT('',(25.0296765037498,6.25741912593743,20.)); #596865=CARTESIAN_POINT('',(25.8000000000002,3.1595887418002E-15,20.)); #596866=CARTESIAN_POINT('Origin',(0.,0.,20.)); #596867=CARTESIAN_POINT('Origin',(0.,0.,20.)); #596868=CARTESIAN_POINT('',(19.9999999999983,4.99999999999927,60.)); #596869=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #596870=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,3.8780026237172,60.)); #596871=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,1.35106259881751,60.)); #596872=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-2.40563490196303, 60.)); #596873=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-4.25200174914601, 60.)); #596874=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,-5.00000000000073, 60.)); #596875=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,20.)); #596876=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,33.3333333333353)); #596877=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,46.6666666666647)); #596878=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #596879=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,20.)); #596880=CARTESIAN_POINT('Ctrl Pts',(25.0296765037498,6.25741912593743,33.3333333333353)); #596881=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,46.6666666666647)); #596882=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #596883=CARTESIAN_POINT('Ctrl Pts',(24.7581465445887,7.34353896258167,20.)); #596884=CARTESIAN_POINT('Ctrl Pts',(24.7581465445887,7.34353896258167,33.3333333333353)); #596885=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,5.74799825085398,46.6666666666647)); #596886=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,5.74799825085398,60.)); #596887=CARTESIAN_POINT('Ctrl Pts',(24.0734115522096,9.48031755840652,20.)); #596888=CARTESIAN_POINT('Ctrl Pts',(24.0734115522096,9.48031755840652,33.3333333333353)); #596889=CARTESIAN_POINT('Ctrl Pts',(19.6577178728909,7.24092512658547,46.6666666666647)); #596890=CARTESIAN_POINT('Ctrl Pts',(19.6577178728909,7.24092512658547,60.)); #596891=CARTESIAN_POINT('Ctrl Pts',(22.4001118577364,13.0327017617292,20.)); #596892=CARTESIAN_POINT('Ctrl Pts',(22.4001118577364,13.0327017617292,33.3333333333353)); #596893=CARTESIAN_POINT('Ctrl Pts',(17.9850764081673,9.34402082442854,46.6666666666647)); #596894=CARTESIAN_POINT('Ctrl Pts',(17.9850764081673,9.34402082442854,60.)); #596895=CARTESIAN_POINT('Ctrl Pts',(20.8054456629504,15.3490568637668,20.)); #596896=CARTESIAN_POINT('Ctrl Pts',(20.8054456629504,15.3490568637668,33.3333333333353)); #596897=CARTESIAN_POINT('Ctrl Pts',(16.1219973762804,9.99999999999927,46.6666666666647)); #596898=CARTESIAN_POINT('Ctrl Pts',(16.1219973762804,9.99999999999927,60.)); #596899=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,20.)); #596900=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,33.3333333333353)); #596901=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,46.6666666666647)); #596902=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #596903=CARTESIAN_POINT('',(19.7228170847198,16.6328135395879,20.)); #596904=CARTESIAN_POINT('Origin',(0.,0.,20.)); #596905=CARTESIAN_POINT('',(14.9999999999983,9.99999999999927,60.)); #596906=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #596907=CARTESIAN_POINT('Ctrl Pts',(16.1219973762804,9.99999999999927,60.)); #596908=CARTESIAN_POINT('Ctrl Pts',(17.9850764081673,9.34402082442854,60.)); #596909=CARTESIAN_POINT('Ctrl Pts',(19.6577178728909,7.24092512658547,60.)); #596910=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,5.74799825085398,60.)); #596911=CARTESIAN_POINT('Ctrl Pts',(19.9999999999983,4.99999999999927,60.)); #596912=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,20.)); #596913=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,33.3333333333353)); #596914=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,46.6666666666647)); #596915=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #596916=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,20.)); #596917=CARTESIAN_POINT('Ctrl Pts',(19.7228170847198,16.6328135395879,33.3333333333353)); #596918=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,46.6666666666647)); #596919=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #596920=CARTESIAN_POINT('Ctrl Pts',(19.3619408919762,17.0607324315282,20.)); #596921=CARTESIAN_POINT('Ctrl Pts',(19.3619408919762,17.0607324315282,33.3333333333353)); #596922=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,9.99999999999927,46.6666666666647)); #596923=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,9.99999999999927,60.)); #596924=CARTESIAN_POINT('Ctrl Pts',(17.7621973719906,18.9542417952754,20.)); #596925=CARTESIAN_POINT('Ctrl Pts',(17.7621973719906,18.9542417952754,33.3333333333353)); #596926=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,9.99999999999927,46.6666666666647)); #596927=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,9.99999999999927,60.)); #596928=CARTESIAN_POINT('Ctrl Pts',(12.4831559335026,23.0145160656382,20.)); #596929=CARTESIAN_POINT('Ctrl Pts',(12.4831559335026,23.0145160656382,33.3333333333353)); #596930=CARTESIAN_POINT('Ctrl Pts',(8.55923791859551,9.99999999999927,46.6666666666647)); #596931=CARTESIAN_POINT('Ctrl Pts',(8.55923791859551,9.99999999999927,60.)); #596932=CARTESIAN_POINT('Ctrl Pts',(4.53445680001578,25.9184692915386,20.)); #596933=CARTESIAN_POINT('Ctrl Pts',(4.53445680001578,25.9184692915386,33.3333333333353)); #596934=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,9.99999999999927,46.6666666666647)); #596935=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,9.99999999999927,60.)); #596936=CARTESIAN_POINT('Ctrl Pts',(-4.5340259777047,25.919159369579,20.)); #596937=CARTESIAN_POINT('Ctrl Pts',(-4.5340259777047,25.919159369579,33.3333333333353)); #596938=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,9.99999999999927, 46.6666666666647)); #596939=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,9.99999999999927, 60.)); #596940=CARTESIAN_POINT('Ctrl Pts',(-12.4819766283742,23.0153539441278, 20.)); #596941=CARTESIAN_POINT('Ctrl Pts',(-12.4819766283742,23.0153539441278, 33.3333333333353)); #596942=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,9.99999999999927,46.6666666666647)); #596943=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,9.99999999999927,60.)); #596944=CARTESIAN_POINT('Ctrl Pts',(-17.7621117742187,18.953413372125,20.)); #596945=CARTESIAN_POINT('Ctrl Pts',(-17.7621117742187,18.953413372125,33.3333333333353)); #596946=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,9.99999999999927,46.6666666666647)); #596947=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,9.99999999999927,60.)); #596948=CARTESIAN_POINT('Ctrl Pts',(-19.3619408919762,17.0607324315282, 20.)); #596949=CARTESIAN_POINT('Ctrl Pts',(-19.3619408919762,17.0607324315282, 33.3333333333353)); #596950=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,9.99999999999927, 46.6666666666647)); #596951=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,9.99999999999927, 60.)); #596952=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 20.)); #596953=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 33.3333333333353)); #596954=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 46.6666666666647)); #596955=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #596956=CARTESIAN_POINT('',(-19.7228170847198,16.6328135395879,20.)); #596957=CARTESIAN_POINT('Origin',(0.,0.,20.)); #596958=CARTESIAN_POINT('',(-15.0000000000017,9.99999999999927,60.)); #596959=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #596960=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,9.99999999999927, 60.)); #596961=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,9.99999999999927,60.)); #596962=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,9.99999999999927,60.)); #596963=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,9.99999999999927, 60.)); #596964=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,9.99999999999927,60.)); #596965=CARTESIAN_POINT('Ctrl Pts',(8.55923791859551,9.99999999999927,60.)); #596966=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,9.99999999999927,60.)); #596967=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,9.99999999999927,60.)); #596968=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,9.99999999999927,60.)); #596969=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 20.)); #596970=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 33.3333333333353)); #596971=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 46.6666666666647)); #596972=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #596973=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 20.)); #596974=CARTESIAN_POINT('Ctrl Pts',(-19.7228170847198,16.6328135395879, 33.3333333333353)); #596975=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 46.6666666666647)); #596976=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #596977=CARTESIAN_POINT('Ctrl Pts',(-20.4445694702069,15.7769757557072, 20.)); #596978=CARTESIAN_POINT('Ctrl Pts',(-20.4445694702069,15.7769757557072, 33.3333333333353)); #596979=CARTESIAN_POINT('Ctrl Pts',(-15.7479982508564,9.99999999999927, 46.6666666666647)); #596980=CARTESIAN_POINT('Ctrl Pts',(-15.7479982508564,9.99999999999927, 60.)); #596981=CARTESIAN_POINT('Ctrl Pts',(-21.7764031920567,13.9711785038798, 20.)); #596982=CARTESIAN_POINT('Ctrl Pts',(-21.7764031920567,13.9711785038798, 33.3333333333353)); #596983=CARTESIAN_POINT('Ctrl Pts',(-17.2409251265879,9.65771787289189, 46.6666666666647)); #596984=CARTESIAN_POINT('Ctrl Pts',(-17.2409251265879,9.65771787289189, 60.)); #596985=CARTESIAN_POINT('Ctrl Pts',(-23.6774928068005,10.5353054456523, 20.)); #596986=CARTESIAN_POINT('Ctrl Pts',(-23.6774928068005,10.5353054456523, 33.3333333333353)); #596987=CARTESIAN_POINT('Ctrl Pts',(-19.344020824431,7.98507640816824,46.6666666666647)); #596988=CARTESIAN_POINT('Ctrl Pts',(-19.344020824431,7.98507640816824,60.)); #596989=CARTESIAN_POINT('Ctrl Pts',(-24.6223815650082,7.88659888090382, 20.)); #596990=CARTESIAN_POINT('Ctrl Pts',(-24.6223815650082,7.88659888090382, 33.3333333333353)); #596991=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,6.12199737628133, 46.6666666666647)); #596992=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,6.12199737628133, 60.)); #596993=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593747, 20.)); #596994=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593747, 33.3333333333353)); #596995=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 46.6666666666647)); #596996=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #596997=CARTESIAN_POINT('',(-25.0296765037498,6.25741912593746,20.)); #596998=CARTESIAN_POINT('Origin',(0.,0.,20.)); #596999=CARTESIAN_POINT('',(-20.0000000000017,4.99999999999926,60.)); #597000=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #597001=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,6.12199737628133, 60.)); #597002=CARTESIAN_POINT('Ctrl Pts',(-19.344020824431,7.98507640816824,60.)); #597003=CARTESIAN_POINT('Ctrl Pts',(-17.2409251265879,9.65771787289189, 60.)); #597004=CARTESIAN_POINT('Ctrl Pts',(-15.7479982508564,9.99999999999927, 60.)); #597005=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,9.99999999999927, 60.)); #597006=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 20.)); #597007=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 33.3333333333353)); #597008=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 46.6666666666647)); #597009=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #597010=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 20.)); #597011=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,6.25741912593746, 33.3333333333353)); #597012=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 46.6666666666647)); #597013=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #597014=CARTESIAN_POINT('Ctrl Pts',(-25.5727364220719,4.08517945264897, 20.)); #597015=CARTESIAN_POINT('Ctrl Pts',(-25.5727364220719,4.08517945264897, 33.3333333333353)); #597016=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,3.50400349828984, 46.6666666666647)); #597017=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,3.50400349828984, 60.)); #597018=CARTESIAN_POINT('Ctrl Pts',(-26.085009740894,-0.235562376406404, 20.)); #597019=CARTESIAN_POINT('Ctrl Pts',(-26.085009740894,-0.235562376406404, 33.3333333333353)); #597020=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-0.340286588859092, 46.6666666666647)); #597021=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-0.340286588859092, 60.)); #597022=CARTESIAN_POINT('Ctrl Pts',(-25.4578564792458,-4.54469922395352, 20.)); #597023=CARTESIAN_POINT('Ctrl Pts',(-25.4578564792458,-4.54469922395352, 33.3333333333353)); #597024=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-3.87800262371867, 46.6666666666647)); #597025=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-3.87800262371867, 60.)); #597026=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 20.)); #597027=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 33.3333333333353)); #597028=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000074, 46.6666666666647)); #597029=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000074, 60.)); #597030=CARTESIAN_POINT('',(-25.0296765037498,-6.25741912593742,20.)); #597031=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597032=CARTESIAN_POINT('',(-20.0000000000017,-5.00000000000072,60.)); #597033=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000074, 60.)); #597034=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-3.87800262371867, 60.)); #597035=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-0.340286588859092, 60.)); #597036=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,3.50400349828984, 60.)); #597037=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,4.99999999999926, 60.)); #597038=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 20.)); #597039=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 33.3333333333353)); #597040=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 46.6666666666647)); #597041=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 60.)); #597042=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 20.)); #597043=CARTESIAN_POINT('Ctrl Pts',(-25.0296765037498,-6.25741912593742, 33.3333333333353)); #597044=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 46.6666666666647)); #597045=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 60.)); #597046=CARTESIAN_POINT('Ctrl Pts',(-24.7442231867525,-7.39923239392669, 20.)); #597047=CARTESIAN_POINT('Ctrl Pts',(-24.7442231867525,-7.39923239392669, 33.3333333333353)); #597048=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.74799825085544, 46.6666666666647)); #597049=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.74799825085544, 60.)); #597050=CARTESIAN_POINT('Ctrl Pts',(-24.0167085098817,-9.64365294207598, 20.)); #597051=CARTESIAN_POINT('Ctrl Pts',(-24.0167085098817,-9.64365294207598, 33.3333333333353)); #597052=CARTESIAN_POINT('Ctrl Pts',(-19.6577253913525,-7.24093791036117, 46.6666666666647)); #597053=CARTESIAN_POINT('Ctrl Pts',(-19.6577253913525,-7.24093791036117, 60.)); #597054=CARTESIAN_POINT('Ctrl Pts',(-22.2199224831595,-13.3612393508721, 20.)); #597055=CARTESIAN_POINT('Ctrl Pts',(-22.2199224831595,-13.3612393508721, 33.3333333333353)); #597056=CARTESIAN_POINT('Ctrl Pts',(-17.9850876181601,-9.34405216832648, 46.6666666666647)); #597057=CARTESIAN_POINT('Ctrl Pts',(-17.9850876181601,-9.34405216832648, 60.)); #597058=CARTESIAN_POINT('Ctrl Pts',(-20.4982294656895,-15.7663989650782, 20.)); #597059=CARTESIAN_POINT('Ctrl Pts',(-20.4982294656895,-15.7663989650782, 33.3333333333353)); #597060=CARTESIAN_POINT('Ctrl Pts',(-16.1219973762838,-10.0000000000007, 46.6666666666647)); #597061=CARTESIAN_POINT('Ctrl Pts',(-16.1219973762838,-10.0000000000007, 60.)); #597062=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 20.)); #597063=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 33.3333333333353)); #597064=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 46.6666666666647)); #597065=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #597066=CARTESIAN_POINT('',(-19.3288689375398,-17.0890264671637,20.)); #597067=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597068=CARTESIAN_POINT('',(-15.0000000000017,-10.0000000000007,60.)); #597069=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #597070=CARTESIAN_POINT('Ctrl Pts',(-16.1219973762838,-10.0000000000007, 60.)); #597071=CARTESIAN_POINT('Ctrl Pts',(-17.9850876181601,-9.34405216832648, 60.)); #597072=CARTESIAN_POINT('Ctrl Pts',(-19.6577253913525,-7.24093791036117, 60.)); #597073=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.74799825085544, 60.)); #597074=CARTESIAN_POINT('Ctrl Pts',(-20.0000000000017,-5.00000000000072, 60.)); #597075=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 20.)); #597076=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 33.3333333333353)); #597077=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 46.6666666666647)); #597078=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #597079=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 20.)); #597080=CARTESIAN_POINT('Ctrl Pts',(-19.3288689375398,-17.0890264671637, 33.3333333333353)); #597081=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 46.6666666666647)); #597082=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #597083=CARTESIAN_POINT('Ctrl Pts',(-18.9390820948232,-17.5299023011922, 20.)); #597084=CARTESIAN_POINT('Ctrl Pts',(-18.9390820948232,-17.5299023011922, 33.3333333333353)); #597085=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,-10.0000000000007, 46.6666666666647)); #597086=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,-10.0000000000007, 60.)); #597087=CARTESIAN_POINT('Ctrl Pts',(-17.185782119583,-19.512073186988,20.)); #597088=CARTESIAN_POINT('Ctrl Pts',(-17.185782119583,-19.512073186988,33.3333333333353)); #597089=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,-10.0000000000007, 46.6666666666647)); #597090=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,-10.0000000000007, 60.)); #597091=CARTESIAN_POINT('Ctrl Pts',(-11.3684785840023,-23.6493293858297, 20.)); #597092=CARTESIAN_POINT('Ctrl Pts',(-11.3684785840023,-23.6493293858297, 33.3333333333353)); #597093=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,-10.0000000000007, 46.6666666666647)); #597094=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,-10.0000000000007, 60.)); #597095=CARTESIAN_POINT('Ctrl Pts',(-2.68813845944093,-26.2449052785482, 20.)); #597096=CARTESIAN_POINT('Ctrl Pts',(-2.68813845944093,-26.2449052785482, 33.3333333333353)); #597097=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,-10.0000000000007, 46.6666666666647)); #597098=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,-10.0000000000007, 60.)); #597099=CARTESIAN_POINT('Ctrl Pts',(6.94425787571402,-25.4454353187816, 20.)); #597100=CARTESIAN_POINT('Ctrl Pts',(6.94425787571402,-25.4454353187816, 33.3333333333353)); #597101=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,-10.0000000000007, 46.6666666666647)); #597102=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,-10.0000000000007, 60.)); #597103=CARTESIAN_POINT('Ctrl Pts',(14.9718028318939,-21.5242743278342, 20.)); #597104=CARTESIAN_POINT('Ctrl Pts',(14.9718028318939,-21.5242743278342, 33.3333333333353)); #597105=CARTESIAN_POINT('Ctrl Pts',(8.5592379185955,-10.0000000000007,46.6666666666647)); #597106=CARTESIAN_POINT('Ctrl Pts',(8.5592379185955,-10.0000000000007,60.)); #597107=CARTESIAN_POINT('Ctrl Pts',(19.8995517945983,-16.6995195883103, 20.)); #597108=CARTESIAN_POINT('Ctrl Pts',(19.8995517945983,-16.6995195883103, 33.3333333333353)); #597109=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,-10.0000000000007, 46.6666666666647)); #597110=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,-10.0000000000007, 60.)); #597111=CARTESIAN_POINT('Ctrl Pts',(21.2171802178574,-14.6858411266997, 20.)); #597112=CARTESIAN_POINT('Ctrl Pts',(21.2171802178574,-14.6858411266997, 33.3333333333353)); #597113=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,-10.0000000000007, 46.6666666666647)); #597114=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,-10.0000000000007, 60.)); #597115=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 20.)); #597116=CARTESIAN_POINT('Ctrl Pts',(21.4668975939166,-14.3112650626111, 33.3333333333353)); #597117=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 46.6666666666647)); #597118=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #597119=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597120=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-10.0000000000007, 60.)); #597121=CARTESIAN_POINT('Ctrl Pts',(14.6260008745709,-10.0000000000007, 60.)); #597122=CARTESIAN_POINT('Ctrl Pts',(12.9740835067356,-10.0000000000007, 60.)); #597123=CARTESIAN_POINT('Ctrl Pts',(8.5592379185955,-10.0000000000007,60.)); #597124=CARTESIAN_POINT('Ctrl Pts',(2.98221060862317,-10.0000000000007, 60.)); #597125=CARTESIAN_POINT('Ctrl Pts',(-2.98221060862657,-10.0000000000007, 60.)); #597126=CARTESIAN_POINT('Ctrl Pts',(-8.5592379185989,-10.0000000000007, 60.)); #597127=CARTESIAN_POINT('Ctrl Pts',(-12.974083506739,-10.0000000000007, 60.)); #597128=CARTESIAN_POINT('Ctrl Pts',(-14.6260008745743,-10.0000000000007, 60.)); #597129=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-10.0000000000007, 60.)); #597130=CARTESIAN_POINT('Origin',(-1.6991963391888E-12,-7.3302475200876E-13, 60.)); #597131=CARTESIAN_POINT('',(-22.0000000000017,4.99999999999926,60.)); #597132=CARTESIAN_POINT('',(-22.0000000000017,-5.00000000000072,60.)); #597133=CARTESIAN_POINT('',(-22.0000000000017,4.99999999999926,60.)); #597134=CARTESIAN_POINT('',(-15.0000000000017,-12.0000000000007,60.)); #597135=CARTESIAN_POINT('Origin',(-15.0000000000017,-5.00000000000073,60.)); #597136=CARTESIAN_POINT('',(14.9999999999983,-12.0000000000007,60.)); #597137=CARTESIAN_POINT('',(-15.0000000000017,-12.0000000000007,60.)); #597138=CARTESIAN_POINT('',(21.9999999999983,-5.00000000000073,60.)); #597139=CARTESIAN_POINT('Origin',(14.9999999999983,-5.00000000000073,60.)); #597140=CARTESIAN_POINT('',(21.9999999999983,4.99999999999926,60.)); #597141=CARTESIAN_POINT('',(21.9999999999983,-5.00000000000073,60.)); #597142=CARTESIAN_POINT('',(14.9999999999983,11.9999999999993,60.)); #597143=CARTESIAN_POINT('Origin',(14.9999999999983,4.99999999999926,60.)); #597144=CARTESIAN_POINT('',(-15.0000000000017,11.9999999999993,60.)); #597145=CARTESIAN_POINT('',(14.9999999999983,11.9999999999993,60.)); #597146=CARTESIAN_POINT('Origin',(-15.0000000000017,4.99999999999926,60.)); #597147=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,4.99999999999926, 60.)); #597148=CARTESIAN_POINT('Ctrl Pts',(-26.1356173953538,5.93991304439784, 46.6666666666667)); #597149=CARTESIAN_POINT('Ctrl Pts',(-30.2712347907059,6.87982608879643, 33.3333333333333)); #597150=CARTESIAN_POINT('Ctrl Pts',(-34.406852186058,7.81973913319501,20.)); #597151=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,1.66666666666593, 60.)); #597152=CARTESIAN_POINT('Ctrl Pts',(-26.5782699830767,1.78030294247011, 46.6666666666667)); #597153=CARTESIAN_POINT('Ctrl Pts',(-31.1495468664065,1.89385833832749, 33.3333333333333)); #597154=CARTESIAN_POINT('Ctrl Pts',(-35.7278168494815,2.00749461413167, 20.)); #597155=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-1.6666666666674, 60.)); #597156=CARTESIAN_POINT('Ctrl Pts',(-26.5169461737114,-2.49132373226303, 46.6666666666667)); #597157=CARTESIAN_POINT('Ctrl Pts',(-31.0269165952773,-3.3154819315016, 33.3333333333333)); #597158=CARTESIAN_POINT('Ctrl Pts',(-35.543862768987,-4.14013899709722, 20.)); #597159=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-5.00000000000074, 60.)); #597160=CARTESIAN_POINT('Ctrl Pts',(-25.9592453414063,-6.62100051265796, 46.6666666666667)); #597161=CARTESIAN_POINT('Ctrl Pts',(-29.9184906828108,-8.24200102531517, 33.3333333333333)); #597162=CARTESIAN_POINT('Ctrl Pts',(-33.8777360242154,-9.86300153797239, 20.)); #597163=CARTESIAN_POINT('',(-34.406852186058,7.81973913319501,20.)); #597164=CARTESIAN_POINT('',(-22.0000000000017,4.99999999999926,60.)); #597165=CARTESIAN_POINT('',(-33.8777360242154,-9.8630015379724,20.)); #597166=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597167=CARTESIAN_POINT('',(-22.0000000000017,-5.00000000000072,60.)); #597168=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-5.00000000000072, 60.)); #597169=CARTESIAN_POINT('Ctrl Pts',(-25.9592453414063,-6.62100051265795, 46.6666666666667)); #597170=CARTESIAN_POINT('Ctrl Pts',(-29.9184906828108,-8.24200102531517, 33.3333333333333)); #597171=CARTESIAN_POINT('Ctrl Pts',(-33.8777360242154,-9.8630015379724, 20.)); #597172=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,-5.95238095238167, 60.)); #597173=CARTESIAN_POINT('Ctrl Pts',(-25.8003218456238,-7.80177467643246, 46.6666666666666)); #597174=CARTESIAN_POINT('Ctrl Pts',(-29.6013779658014,-9.6487113970288, 33.3333333333333)); #597175=CARTESIAN_POINT('Ctrl Pts',(-33.4016998114235,-11.4981051210796, 20.)); #597176=CARTESIAN_POINT('Ctrl Pts',(-21.598844209623,-7.97524362567598, 60.)); #597177=CARTESIAN_POINT('Ctrl Pts',(-25.1173169042978,-10.2788565161713, 46.6666666666667)); #597178=CARTESIAN_POINT('Ctrl Pts',(-28.6359799735502,-12.5828048625884, 33.3333333333333)); #597179=CARTESIAN_POINT('Ctrl Pts',(-32.1544526682249,-14.8864177530837, 20.)); #597180=CARTESIAN_POINT('Ctrl Pts',(-19.9454529003293,-10.1595657192485, 60.)); #597181=CARTESIAN_POINT('Ctrl Pts',(-23.2308791398054,-13.1265916941952, 46.6666666666667)); #597182=CARTESIAN_POINT('Ctrl Pts',(-26.5169376261091,-16.0943764084425, 33.3333333333334)); #597183=CARTESIAN_POINT('Ctrl Pts',(-29.8023638655852,-19.0614023833892, 20.)); #597184=CARTESIAN_POINT('Ctrl Pts',(-17.6580080328824,-11.6327444599583, 60.)); #597185=CARTESIAN_POINT('Ctrl Pts',(-20.7475701141506,-15.4036880161089, 46.6666666666667)); #597186=CARTESIAN_POINT('Ctrl Pts',(-23.8365955612785,-19.1742365503365, 33.3333333333333)); #597187=CARTESIAN_POINT('Ctrl Pts',(-26.9261576425467,-22.945180106487, 20.)); #597188=CARTESIAN_POINT('Ctrl Pts',(-16.0471975511983,-12.0000000000007, 60.)); #597189=CARTESIAN_POINT('Ctrl Pts',(-19.0086620304492,-16.3446179526614, 46.6666666666667)); #597190=CARTESIAN_POINT('Ctrl Pts',(-21.9703564661091,-20.6931632867577, 33.3333333333333)); #597191=CARTESIAN_POINT('Ctrl Pts',(-24.93182094536,-25.0377812394184,20.)); #597192=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-12.0000000000007, 60.)); #597193=CARTESIAN_POINT('Ctrl Pts',(-17.8455924238759,-16.7622924012847, 46.6666666666667)); #597194=CARTESIAN_POINT('Ctrl Pts',(-20.69118484775,-21.5245848025686,33.3333333333333)); #597195=CARTESIAN_POINT('Ctrl Pts',(-23.5367772716242,-26.2868772038525, 20.)); #597196=CARTESIAN_POINT('',(-23.5367772716242,-26.2868772038525,20.)); #597197=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597198=CARTESIAN_POINT('',(-15.0000000000017,-12.0000000000007,60.)); #597199=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,-12.0000000000007, 60.)); #597200=CARTESIAN_POINT('Ctrl Pts',(-17.8455924238759,-16.7622924012847, 46.6666666666667)); #597201=CARTESIAN_POINT('Ctrl Pts',(-20.69118484775,-21.5245848025686,33.3333333333333)); #597202=CARTESIAN_POINT('Ctrl Pts',(-23.5367772716242,-26.2868772038525, 20.)); #597203=CARTESIAN_POINT('Ctrl Pts',(-14.4764012244034,-12.0000000000007, 60.)); #597204=CARTESIAN_POINT('Ctrl Pts',(-17.2629131942844,-16.9692092517754, 46.6666666666667)); #597205=CARTESIAN_POINT('Ctrl Pts',(-20.0527434648754,-21.9422159342949, 33.3333333333333)); #597206=CARTESIAN_POINT('Ctrl Pts',(-22.8392554347563,-26.9114251860696, 20.)); #597207=CARTESIAN_POINT('Ctrl Pts',(-12.6535128278013,-12.0000000000007, 60.)); #597208=CARTESIAN_POINT('Ctrl Pts',(-15.2262569944359,-17.6842388565352, 46.6666666666667)); #597209=CARTESIAN_POINT('Ctrl Pts',(-17.7987736486694,-23.3677342914725, 33.3333333333333)); #597210=CARTESIAN_POINT('Ctrl Pts',(-20.371517815304,-29.051973148007,20.)); #597211=CARTESIAN_POINT('Ctrl Pts',(-8.2569855641719,-12.0000000000007, 60.)); #597212=CARTESIAN_POINT('Ctrl Pts',(-10.0106462933812,-19.0007875992244, 46.6666666666667)); #597213=CARTESIAN_POINT('Ctrl Pts',(-11.7651347162732,-26.0016549571698, 33.3333333333333)); #597214=CARTESIAN_POINT('Ctrl Pts',(-13.5187954454825,-33.0024425563934, 20.)); #597215=CARTESIAN_POINT('Ctrl Pts',(-2.86314149930684,-12.0000000000007, 60.)); #597216=CARTESIAN_POINT('Ctrl Pts',(-3.28033238302889,-19.8461252440095, 46.6666666666667)); #597217=CARTESIAN_POINT('Ctrl Pts',(-3.69841218963968,-27.6923601259736, 33.3333333333333)); #597218=CARTESIAN_POINT('Ctrl Pts',(-4.11560307336172,-35.5384853699824, 20.)); #597219=CARTESIAN_POINT('Ctrl Pts',(2.86314149930344,-12.0000000000007, 60.)); #597220=CARTESIAN_POINT('Ctrl Pts',(3.98615532089529,-19.7426166844902, 46.6666666666667)); #597221=CARTESIAN_POINT('Ctrl Pts',(5.10957256266421,-27.486846695691,33.3333333333333)); #597222=CARTESIAN_POINT('Ctrl Pts',(6.23258638425606,-35.2294633801805, 20.)); #597223=CARTESIAN_POINT('Ctrl Pts',(8.25698556416849,-12.0000000000007, 60.)); #597224=CARTESIAN_POINT('Ctrl Pts',(10.6603680734124,-18.7118764848778, 46.6666666666667)); #597225=CARTESIAN_POINT('Ctrl Pts',(13.0633202435823,-25.4239052976496, 33.3333333333333)); #597226=CARTESIAN_POINT('Ctrl Pts',(15.4667027528262,-32.1357817825266, 20.)); #597227=CARTESIAN_POINT('Ctrl Pts',(12.6535128277979,-12.0000000000007, 60.)); #597228=CARTESIAN_POINT('Ctrl Pts',(15.7927226298775,-17.2605221542473, 46.6666666666667)); #597229=CARTESIAN_POINT('Ctrl Pts',(18.9318097429983,-22.520731033807,33.3333333333333)); #597230=CARTESIAN_POINT('Ctrl Pts',(22.0710195450779,-27.7812531880536, 20.)); #597231=CARTESIAN_POINT('Ctrl Pts',(14.4764012244,-12.0000000000007,60.)); #597232=CARTESIAN_POINT('Ctrl Pts',(17.7858794478086,-16.4982955757691, 46.6666666666667)); #597233=CARTESIAN_POINT('Ctrl Pts',(21.0980013604753,-20.9993775479062, 33.3333333333333)); #597234=CARTESIAN_POINT('Ctrl Pts',(24.4074795838839,-25.4976731236746, 20.)); #597235=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-12.0000000000007, 60.)); #597236=CARTESIAN_POINT('Ctrl Pts',(18.3554701703544,-16.2775121165402, 46.6666666666667)); #597237=CARTESIAN_POINT('Ctrl Pts',(21.7109403407106,-20.5550242330796, 33.3333333333333)); #597238=CARTESIAN_POINT('Ctrl Pts',(25.0664105110667,-24.8325363496191, 20.)); #597239=CARTESIAN_POINT('',(25.0664105110667,-24.8325363496191,20.)); #597240=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597241=CARTESIAN_POINT('',(14.9999999999983,-12.0000000000007,60.)); #597242=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,-12.0000000000007, 60.)); #597243=CARTESIAN_POINT('Ctrl Pts',(18.3554701703544,-16.2775121165402, 46.6666666666667)); #597244=CARTESIAN_POINT('Ctrl Pts',(21.7109403407106,-20.5550242330796, 33.3333333333333)); #597245=CARTESIAN_POINT('Ctrl Pts',(25.0664105110667,-24.8325363496191, 20.)); #597246=CARTESIAN_POINT('Ctrl Pts',(16.0471975511949,-12.0000000000007, 60.)); #597247=CARTESIAN_POINT('Ctrl Pts',(19.4936251753888,-15.8338610973433, 46.6666666666667)); #597248=CARTESIAN_POINT('Ctrl Pts',(22.9378447412383,-19.6684017041656, 33.3333333333333)); #597249=CARTESIAN_POINT('Ctrl Pts',(26.3842723654323,-23.5022628015081, 20.)); #597250=CARTESIAN_POINT('Ctrl Pts',(18.2001250296007,-11.5136613076308, 60.)); #597251=CARTESIAN_POINT('Ctrl Pts',(21.7839250667211,-14.4998462489099, 46.6666666666666)); #597252=CARTESIAN_POINT('Ctrl Pts',(25.3680415597191,-17.4863972508208, 33.3333333333334)); #597253=CARTESIAN_POINT('Ctrl Pts',(28.9518415968395,-20.4725821921,20.)); #597254=CARTESIAN_POINT('Ctrl Pts',(20.5549168003235,-9.56672530534793, 60.)); #597255=CARTESIAN_POINT('Ctrl Pts',(24.285404864669,-11.6059498776684,46.6666666666667)); #597256=CARTESIAN_POINT('Ctrl Pts',(28.0156131785342,-13.6453513118608, 33.3333333333333)); #597257=CARTESIAN_POINT('Ctrl Pts',(31.7461012428797,-15.6845758841813, 20.)); #597258=CARTESIAN_POINT('Ctrl Pts',(21.7770613531258,-7.20584123329743, 60.)); #597259=CARTESIAN_POINT('Ctrl Pts',(25.6838962189001,-8.5786088443566,46.6666666666666)); #597260=CARTESIAN_POINT('Ctrl Pts',(29.5907279803283,-9.95117584066216, 33.3333333333334)); #597261=CARTESIAN_POINT('Ctrl Pts',(33.4975628461026,-11.3239434517213, 20.)); #597262=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-5.71428571428644, 60.)); #597263=CARTESIAN_POINT('Ctrl Pts',(26.0523308362197,-6.78223744378911, 46.6666666666667)); #597264=CARTESIAN_POINT('Ctrl Pts',(30.1048743893662,-7.85023402976214, 33.3333333333333)); #597265=CARTESIAN_POINT('Ctrl Pts',(34.1572052255876,-8.91818575926481, 20.)); #597266=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-5.00000000000072, 60.)); #597267=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,-5.93991304439883, 46.6666666666667)); #597268=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,-6.87982608879694, 33.3333333333333)); #597269=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,-7.81973913319504,20.)); #597270=CARTESIAN_POINT('',(34.406852186058,-7.81973913319501,20.)); #597271=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597272=CARTESIAN_POINT('',(21.9999999999983,-5.00000000000073,60.)); #597273=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-5.00000000000073, 60.)); #597274=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,-5.93991304439882, 46.6666666666667)); #597275=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,-6.87982608879692, 33.3333333333333)); #597276=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,-7.81973913319501,20.)); #597277=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,-1.6666666666674,60.)); #597278=CARTESIAN_POINT('Ctrl Pts',(26.5254125618948,-2.00904889102685, 46.6666666666667)); #597279=CARTESIAN_POINT('Ctrl Pts',(31.0464587730234,-2.3512726538433,33.3333333333333)); #597280=CARTESIAN_POINT('Ctrl Pts',(35.5718713349199,-2.69365487820275, 20.)); #597281=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,1.66666666666594,60.)); #597282=CARTESIAN_POINT('Ctrl Pts',(26.5254125618948,2.00904889102587,46.6666666666667)); #597283=CARTESIAN_POINT('Ctrl Pts',(31.0464587730234,2.35127265384279,33.3333333333333)); #597284=CARTESIAN_POINT('Ctrl Pts',(35.5718713349199,2.69365487820272,20.)); #597285=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,4.99999999999927,60.)); #597286=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,5.93991304439785,46.6666666666667)); #597287=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,6.87982608879643,33.3333333333333)); #597288=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,7.819739133195,20.)); #597289=CARTESIAN_POINT('',(35.2842712474619,4.32107698434512E-15,20.)); #597290=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597291=CARTESIAN_POINT('',(34.406852186058,7.81973913319496,20.)); #597292=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597293=CARTESIAN_POINT('',(21.9999999999983,4.99999999999926,60.)); #597294=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,4.99999999999926,60.)); #597295=CARTESIAN_POINT('Ctrl Pts',(26.1356173953515,5.93991304439783,46.6666666666667)); #597296=CARTESIAN_POINT('Ctrl Pts',(30.2712347907048,6.8798260887964,33.3333333333333)); #597297=CARTESIAN_POINT('Ctrl Pts',(34.406852186058,7.81973913319496,20.)); #597298=CARTESIAN_POINT('Ctrl Pts',(21.9999999999983,5.95238095238022,60.)); #597299=CARTESIAN_POINT('Ctrl Pts',(26.0243983545267,7.06358002646577,46.6666666666667)); #597300=CARTESIAN_POINT('Ctrl Pts',(30.0495912175691,8.17313556053577,33.3333333333333)); #597301=CARTESIAN_POINT('Ctrl Pts',(34.0739895720975,9.28433463462133,20.)); #597302=CARTESIAN_POINT('Ctrl Pts',(21.5990168526932,7.97460060698393,60.)); #597303=CARTESIAN_POINT('Ctrl Pts',(25.4361035181147,9.52779009949138,46.6666666666666)); #597304=CARTESIAN_POINT('Ctrl Pts',(29.2733242940109,11.0813593930759,33.3333333333334)); #597305=CARTESIAN_POINT('Ctrl Pts',(33.1104109594324,12.6345488855834,20.)); #597306=CARTESIAN_POINT('Ctrl Pts',(19.7802683549405,10.3798288174624,60.)); #597307=CARTESIAN_POINT('Ctrl Pts',(23.4280203674086,12.7984469114415,46.6666666666667)); #597308=CARTESIAN_POINT('Ctrl Pts',(27.0758157414574,15.2169166977041,33.3333333333333)); #597309=CARTESIAN_POINT('Ctrl Pts',(30.7235677539255,17.6355347916832,20.)); #597310=CARTESIAN_POINT('Ctrl Pts',(17.3871946527495,11.7304483876741,60.)); #597311=CARTESIAN_POINT('Ctrl Pts',(20.8549539288606,15.1215834086324,46.6666666666666)); #597312=CARTESIAN_POINT('Ctrl Pts',(24.3225716004489,18.5126788384457,33.3333333333334)); #597313=CARTESIAN_POINT('Ctrl Pts',(27.7903308765599,21.9038138594041,20.)); #597314=CARTESIAN_POINT('Ctrl Pts',(15.7853981633957,11.9999999999993,60.)); #597315=CARTESIAN_POINT('Ctrl Pts',(19.1149767272813,16.0466517398003,46.6666666666667)); #597316=CARTESIAN_POINT('Ctrl Pts',(22.4442987988544,20.0935631211147,33.3333333333333)); #597317=CARTESIAN_POINT('Ctrl Pts',(25.77387736274,24.1402148609158,20.)); #597318=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,11.9999999999993,60.)); #597319=CARTESIAN_POINT('Ctrl Pts',(18.2500695277141,16.3825677089434,46.6666666666667)); #597320=CARTESIAN_POINT('Ctrl Pts',(21.5001390554298,20.7651354178875,33.3333333333333)); #597321=CARTESIAN_POINT('Ctrl Pts',(24.7502085831456,25.1477031268316,20.)); #597322=CARTESIAN_POINT('',(24.7502085831456,25.1477031268316,20.)); #597323=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597324=CARTESIAN_POINT('',(14.9999999999983,11.9999999999993,60.)); #597325=CARTESIAN_POINT('Ctrl Pts',(14.9999999999983,11.9999999999993,60.)); #597326=CARTESIAN_POINT('Ctrl Pts',(18.2500695277141,16.3825677089434,46.6666666666667)); #597327=CARTESIAN_POINT('Ctrl Pts',(21.5001390554298,20.7651354178875,33.3333333333333)); #597328=CARTESIAN_POINT('Ctrl Pts',(24.7502085831456,25.1477031268316,20.)); #597329=CARTESIAN_POINT('Ctrl Pts',(14.4764012244,11.9999999999993,60.)); #597330=CARTESIAN_POINT('Ctrl Pts',(17.6723007515746,16.6051838303809,46.6666666666667)); #597331=CARTESIAN_POINT('Ctrl Pts',(20.871863202908,21.2141781403937,33.3333333333333)); #597332=CARTESIAN_POINT('Ctrl Pts',(24.0677627300826,25.8193619707754,20.)); #597333=CARTESIAN_POINT('Ctrl Pts',(12.6535128277979,11.9999999999993,60.)); #597334=CARTESIAN_POINT('Ctrl Pts',(15.6520917865839,17.3750811211744,46.6666666666667)); #597335=CARTESIAN_POINT('Ctrl Pts',(18.6503992260831,22.7494029347515,33.3333333333333)); #597336=CARTESIAN_POINT('Ctrl Pts',(21.6489781848691,28.1244840559266,20.)); #597337=CARTESIAN_POINT('Ctrl Pts',(8.25698556416851,11.9999999999993,60.)); #597338=CARTESIAN_POINT('Ctrl Pts',(10.4432295853954,18.8199225305484,46.6666666666667)); #597339=CARTESIAN_POINT('Ctrl Pts',(12.6303505133919,25.6398725291631,33.3333333333333)); #597340=CARTESIAN_POINT('Ctrl Pts',(14.8165945346188,32.4597950597122,20.)); #597341=CARTESIAN_POINT('Ctrl Pts',(2.86314149930345,11.9999999999993,60.)); #597342=CARTESIAN_POINT('Ctrl Pts',(3.67408894241186,19.8015488052068,46.6666666666667)); #597343=CARTESIAN_POINT('Ctrl Pts',(4.48598431017906,27.6031891791324,33.3333333333333)); #597344=CARTESIAN_POINT('Ctrl Pts',(5.29693175328747,35.4047379843399,20.)); #597345=CARTESIAN_POINT('Ctrl Pts',(-2.86314149930684,11.9999999999993, 60.)); #597346=CARTESIAN_POINT('Ctrl Pts',(-3.67407071217291,19.8012272850542, 46.6666666666667)); #597347=CARTESIAN_POINT('Ctrl Pts',(-4.48538392373378,27.6041538961078, 33.3333333333333)); #597348=CARTESIAN_POINT('Ctrl Pts',(-5.29631313659986,35.4053811811628, 20.)); #597349=CARTESIAN_POINT('Ctrl Pts',(-8.25698556417189,11.9999999999993, 60.)); #597350=CARTESIAN_POINT('Ctrl Pts',(-10.4432500079437,18.8201249353681, 46.6666666666667)); #597351=CARTESIAN_POINT('Ctrl Pts',(-12.6290597287073,25.6404047297433, 33.3333333333333)); #597352=CARTESIAN_POINT('Ctrl Pts',(-14.8153241724792,32.4605296651121, 20.)); #597353=CARTESIAN_POINT('Ctrl Pts',(-12.6535128278013,11.9999999999993, 60.)); #597354=CARTESIAN_POINT('Ctrl Pts',(-15.6516504588585,17.3746894170849, 46.6666666666667)); #597355=CARTESIAN_POINT('Ctrl Pts',(-18.649663994009,22.7490424965113,33.3333333333333)); #597356=CARTESIAN_POINT('Ctrl Pts',(-21.6478016250662,28.1237319135969, 20.)); #597357=CARTESIAN_POINT('Ctrl Pts',(-14.4764012244034,11.9999999999993, 60.)); #597358=CARTESIAN_POINT('Ctrl Pts',(-17.6726106023314,16.6054689841763, 46.6666666666667)); #597359=CARTESIAN_POINT('Ctrl Pts',(-20.8715533521545,21.2138929865984, 33.3333333333333)); #597360=CARTESIAN_POINT('Ctrl Pts',(-24.0677627300826,25.8193619707754, 20.)); #597361=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,11.9999999999993, 60.)); #597362=CARTESIAN_POINT('Ctrl Pts',(-18.2500695277163,16.3825677089434, 46.6666666666667)); #597363=CARTESIAN_POINT('Ctrl Pts',(-21.5001390554309,20.7651354178875, 33.3333333333333)); #597364=CARTESIAN_POINT('Ctrl Pts',(-24.7502085831455,25.1477031268316, 20.)); #597365=CARTESIAN_POINT('',(-24.7502085831455,25.1477031268316,20.)); #597366=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597367=CARTESIAN_POINT('',(-15.0000000000017,11.9999999999993,60.)); #597368=CARTESIAN_POINT('Ctrl Pts',(-15.0000000000017,11.9999999999993, 60.)); #597369=CARTESIAN_POINT('Ctrl Pts',(-18.2500695277163,16.3825677089434, 46.6666666666667)); #597370=CARTESIAN_POINT('Ctrl Pts',(-21.5001390554309,20.7651354178875, 33.3333333333333)); #597371=CARTESIAN_POINT('Ctrl Pts',(-24.7502085831455,25.1477031268316, 20.)); #597372=CARTESIAN_POINT('Ctrl Pts',(-16.0471975511983,11.9999999999993, 60.)); #597373=CARTESIAN_POINT('Ctrl Pts',(-19.4039372257401,15.934413766303,46.6666666666667)); #597374=CARTESIAN_POINT('Ctrl Pts',(-22.7583606147296,19.8699716726402, 33.3333333333333)); #597375=CARTESIAN_POINT('Ctrl Pts',(-26.1151002892714,23.8043854389439, 20.)); #597376=CARTESIAN_POINT('Ctrl Pts',(-18.2001250534598,11.5136610664805, 60.)); #597377=CARTESIAN_POINT('Ctrl Pts',(-21.7029270047964,14.6106346214445, 46.6666666666666)); #597378=CARTESIAN_POINT('Ctrl Pts',(-25.2063225050892,17.7080486257152, 33.3333333333334)); #597379=CARTESIAN_POINT('Ctrl Pts',(-28.7091244564258,20.8050221806792, 20.)); #597380=CARTESIAN_POINT('Ctrl Pts',(-20.554916775284,9.56672524554455,60.)); #597381=CARTESIAN_POINT('Ctrl Pts',(-24.2177302175639,11.741459029866,46.6666666666667)); #597382=CARTESIAN_POINT('Ctrl Pts',(-27.8803435430286,13.9164858783523, 33.3333333333333)); #597383=CARTESIAN_POINT('Ctrl Pts',(-31.5431569853084,16.0912196626737, 20.)); #597384=CARTESIAN_POINT('Ctrl Pts',(-21.7770613405661,7.20584118008683, 60.)); #597385=CARTESIAN_POINT('Ctrl Pts',(-25.6481698419861,8.69019365032926, 46.6666666666666)); #597386=CARTESIAN_POINT('Ctrl Pts',(-29.5191857989587,10.1742948198866, 33.3333333333334)); #597387=CARTESIAN_POINT('Ctrl Pts',(-33.3902943003786,11.658647290129,20.)); #597388=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,5.71428571428498, 60.)); #597389=CARTESIAN_POINT('Ctrl Pts',(-26.0411371099308,6.83123029494962, 46.6666666666667)); #597390=CARTESIAN_POINT('Ctrl Pts',(-30.082651219681,7.94827552090109,33.3333333333333)); #597391=CARTESIAN_POINT('Ctrl Pts',(-34.1237883296101,9.06522010156573, 20.)); #597392=CARTESIAN_POINT('Ctrl Pts',(-22.0000000000017,4.99999999999926, 60.)); #597393=CARTESIAN_POINT('Ctrl Pts',(-26.1356173953538,5.93991304439785, 46.6666666666667)); #597394=CARTESIAN_POINT('Ctrl Pts',(-30.2712347907059,6.87982608879643, 33.3333333333333)); #597395=CARTESIAN_POINT('Ctrl Pts',(-34.406852186058,7.81973913319501,20.)); #597396=CARTESIAN_POINT('Origin',(0.,0.,20.)); #597397=CARTESIAN_POINT('Origin',(0.,0.,5.375)); #597398=CARTESIAN_POINT('',(33.7842712474619,-4.13737996447302E-15,5.75)); #597399=CARTESIAN_POINT('Origin',(0.,0.,5.75)); #597400=CARTESIAN_POINT('',(33.0342712474619,4.04553145453697E-15,5.)); #597401=CARTESIAN_POINT('',(33.4092712474619,4.09145570950499E-15,5.375)); #597402=CARTESIAN_POINT('Origin',(0.,0.,5.)); #597403=CARTESIAN_POINT('Origin',(0.,0.,5.)); #597404=CARTESIAN_POINT('',(33.7842712474619,4.13737996447302E-15,10.)); #597405=CARTESIAN_POINT('Origin',(0.,0.,10.)); #597406=CARTESIAN_POINT('',(33.7842712474619,4.13737996447302E-15,5.)); #597407=CARTESIAN_POINT('Origin',(0.,0.,5.)); #597408=CARTESIAN_POINT('',(25.8000000000002,3.1595887418002E-15,5.)); #597409=CARTESIAN_POINT('Origin',(0.,0.,5.)); #597410=CARTESIAN_POINT('Origin',(0.,0.,10.)); #597411=CARTESIAN_POINT('',(35.2842712474619,4.32107698434512E-15,10.)); #597412=CARTESIAN_POINT('',(35.2842712474619,4.32107698434512E-15,10.)); #597413=CARTESIAN_POINT('Origin',(0.,0.,10.)); #597414=CARTESIAN_POINT('Origin',(0.,0.,10.)); #597415=CARTESIAN_POINT('Origin',(0.,0.,5.)); #597416=CARTESIAN_POINT('',(25.8000000000002,3.1595887418002E-15,5.)); #597417=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.2)); #597418=CARTESIAN_POINT('',(32.6750000000002,3.82702124733548E-16,5.2)); #597419=CARTESIAN_POINT('Origin',(29.5500000000002,0.,5.2)); #597420=CARTESIAN_POINT('',(32.6750000000002,3.82702124733548E-16,7.2)); #597421=CARTESIAN_POINT('',(32.6750000000002,3.82702124733548E-16,5.2)); #597422=CARTESIAN_POINT('Origin',(29.5500000000002,0.,7.2)); #597423=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #597424=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #597425=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.2)); #597426=CARTESIAN_POINT('',(3.125,29.5500000000002,5.2)); #597427=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 5.2)); #597428=CARTESIAN_POINT('',(3.125,29.5500000000002,7.2)); #597429=CARTESIAN_POINT('',(3.125,29.5500000000002,5.2)); #597430=CARTESIAN_POINT('Origin',(1.94289029309402E-15,29.5500000000002, 7.2)); #597431=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #597432=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #597433=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.2)); #597434=CARTESIAN_POINT('',(3.12499999999999,-29.5500000000002,5.2)); #597435=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 5.2)); #597436=CARTESIAN_POINT('',(3.12499999999999,-29.5500000000002,7.2)); #597437=CARTESIAN_POINT('',(3.12499999999999,-29.5500000000002,5.2)); #597438=CARTESIAN_POINT('Origin',(-5.27355936696949E-15,-29.5500000000002, 7.2)); #597439=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #597440=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #597441=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.2)); #597442=CARTESIAN_POINT('',(-26.4250000000002,3.99092695476531E-15,5.2)); #597443=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 5.2)); #597444=CARTESIAN_POINT('',(-26.4250000000002,3.99092695476531E-15,7.2)); #597445=CARTESIAN_POINT('',(-26.4250000000002,3.99092695476531E-15,5.2)); #597446=CARTESIAN_POINT('Origin',(-29.5500000000002,3.60822483003176E-15, 7.2)); #597447=CARTESIAN_POINT('Origin',(0.,0.,7.2)); #597448=CARTESIAN_POINT('Origin',(0.,0.,5.2)); #597449=CARTESIAN_POINT('',(0.,0.,169.399999999996)); #597450=CARTESIAN_POINT('',(0.,0.,169.399999999996)); #597451=CARTESIAN_POINT('',(0.,0.,0.)); #597452=CARTESIAN_POINT('',(0.,0.,0.)); #597453=CARTESIAN_POINT('Origin',(0.,0.,0.)); #597454=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597455=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597456=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597457=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597458=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597459=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597460=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597461=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597462=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597463=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597464=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597465=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597466=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597467=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597468=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597469=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597470=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597471=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597472=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597473=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597474=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597475=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597476=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597477=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597478=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597479=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597480=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597481=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597482=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597483=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597484=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597485=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597486=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597487=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597488=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597489=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597490=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597491=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597492=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597493=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597494=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597495=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597496=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597497=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597498=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597499=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597500=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597501=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597502=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597503=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597504=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597505=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597506=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597507=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597508=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597509=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597510=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597511=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597512=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597513=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597514=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597515=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597516=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597517=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597518=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597519=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597520=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597521=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597522=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597523=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597524=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597525=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597526=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597527=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597528=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597529=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597530=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597531=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597532=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597533=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597534=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597535=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597536=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597537=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597538=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597539=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597540=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597541=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597542=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597543=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597544=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597545=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597546=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597547=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597548=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597549=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597550=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597551=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597552=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#597652, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #597553=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597454)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597554=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597455)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597555=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597456)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597556=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597457)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597557=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597458)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597558=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597459)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597559=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597460)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597560=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597461)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597561=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597462)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597562=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597463)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597563=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597464)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597564=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597465)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597565=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597466)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597566=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597467)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597567=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597468)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597568=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597469)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597569=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597470)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597570=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597471)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597571=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597472)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597572=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597473)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597573=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597474)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597574=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597475)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597575=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597476)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597576=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597477)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597577=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597478)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597578=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597479)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597579=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597480)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597580=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597481)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597581=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597482)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597582=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597483)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597583=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597484)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597584=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597485)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597585=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597486)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597586=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597487)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597587=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597488)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597588=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597489)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597589=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597490)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597590=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597491)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597591=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597492)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597592=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597493)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597593=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597494)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597594=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597495)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597595=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597496)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597596=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597497)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597597=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597498)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597598=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597499)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597599=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597500)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597600=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597501)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597601=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597502)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597602=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597503)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597603=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597504)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597604=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597505)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597605=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597506)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597606=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597507)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597607=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597508)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597608=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597509)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597609=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597510)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597610=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597511)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597611=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597512)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597612=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597513)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597613=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597514)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597614=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597515)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597615=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597516)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597616=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597517)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597617=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597518)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597618=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597519)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597619=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597520)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597620=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597521)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597621=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597522)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597622=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597523)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597623=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597524)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597624=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597525)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597625=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597526)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597626=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597527)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597627=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597528)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597628=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597529)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597629=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597530)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597630=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597531)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597631=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597532)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597632=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597533)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597633=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597534)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597634=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597535)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597635=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597536)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597636=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597537)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597637=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597538)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597638=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597539)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597639=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597540)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597640=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597541)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597641=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597542)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597642=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597543)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597643=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597544)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597644=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597545)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597645=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597546)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597646=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597547)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597647=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597548)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597648=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597549)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597649=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597550)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597650=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597551)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597651=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#597552)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#597652,#597654,#597655)) REPRESENTATION_CONTEXT('','3D') ); #597652=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #597653=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT($,.METRE.) ); #597654=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #597655=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #597656=SHAPE_DEFINITION_REPRESENTATION(#597754,#598000); #597657=SHAPE_DEFINITION_REPRESENTATION(#597755,#598001); #597658=SHAPE_DEFINITION_REPRESENTATION(#597756,#598002); #597659=SHAPE_DEFINITION_REPRESENTATION(#597757,#598003); #597660=SHAPE_DEFINITION_REPRESENTATION(#597760,#598004); #597661=SHAPE_DEFINITION_REPRESENTATION(#597761,#598005); #597662=SHAPE_DEFINITION_REPRESENTATION(#597762,#598006); #597663=SHAPE_DEFINITION_REPRESENTATION(#597763,#598007); #597664=SHAPE_DEFINITION_REPRESENTATION(#597764,#598008); #597665=SHAPE_DEFINITION_REPRESENTATION(#597765,#598009); #597666=SHAPE_DEFINITION_REPRESENTATION(#597766,#598010); #597667=SHAPE_DEFINITION_REPRESENTATION(#597767,#598011); #597668=SHAPE_DEFINITION_REPRESENTATION(#597768,#598012); #597669=SHAPE_DEFINITION_REPRESENTATION(#597769,#598013); #597670=SHAPE_DEFINITION_REPRESENTATION(#597771,#598014); #597671=SHAPE_DEFINITION_REPRESENTATION(#597772,#598015); #597672=SHAPE_DEFINITION_REPRESENTATION(#597773,#598016); #597673=SHAPE_DEFINITION_REPRESENTATION(#597774,#598017); #597674=SHAPE_DEFINITION_REPRESENTATION(#597778,#598018); #597675=SHAPE_DEFINITION_REPRESENTATION(#597779,#598019); #597676=SHAPE_DEFINITION_REPRESENTATION(#597780,#598020); #597677=SHAPE_DEFINITION_REPRESENTATION(#597781,#598021); #597678=SHAPE_DEFINITION_REPRESENTATION(#597782,#598022); #597679=SHAPE_DEFINITION_REPRESENTATION(#597783,#598023); #597680=SHAPE_DEFINITION_REPRESENTATION(#597784,#598024); #597681=SHAPE_DEFINITION_REPRESENTATION(#597785,#598025); #597682=SHAPE_DEFINITION_REPRESENTATION(#597786,#598026); #597683=SHAPE_DEFINITION_REPRESENTATION(#597787,#598027); #597684=SHAPE_DEFINITION_REPRESENTATION(#597788,#598028); #597685=SHAPE_DEFINITION_REPRESENTATION(#597789,#598029); #597686=SHAPE_DEFINITION_REPRESENTATION(#597790,#598030); #597687=SHAPE_DEFINITION_REPRESENTATION(#597791,#598031); #597688=SHAPE_DEFINITION_REPRESENTATION(#597792,#598032); #597689=SHAPE_DEFINITION_REPRESENTATION(#597793,#598033); #597690=SHAPE_DEFINITION_REPRESENTATION(#597794,#598034); #597691=SHAPE_DEFINITION_REPRESENTATION(#597795,#598035); #597692=SHAPE_DEFINITION_REPRESENTATION(#597796,#598036); #597693=SHAPE_DEFINITION_REPRESENTATION(#597797,#598037); #597694=SHAPE_DEFINITION_REPRESENTATION(#597798,#598038); #597695=SHAPE_DEFINITION_REPRESENTATION(#597799,#598039); #597696=SHAPE_DEFINITION_REPRESENTATION(#597800,#598040); #597697=SHAPE_DEFINITION_REPRESENTATION(#597801,#598041); #597698=SHAPE_DEFINITION_REPRESENTATION(#597802,#598042); #597699=SHAPE_DEFINITION_REPRESENTATION(#597803,#598043); #597700=SHAPE_DEFINITION_REPRESENTATION(#597804,#598044); #597701=SHAPE_DEFINITION_REPRESENTATION(#597805,#598045); #597702=SHAPE_DEFINITION_REPRESENTATION(#597806,#598046); #597703=SHAPE_DEFINITION_REPRESENTATION(#597807,#598047); #597704=SHAPE_DEFINITION_REPRESENTATION(#597808,#598048); #597705=SHAPE_DEFINITION_REPRESENTATION(#597809,#598049); #597706=SHAPE_DEFINITION_REPRESENTATION(#597810,#598050); #597707=SHAPE_DEFINITION_REPRESENTATION(#597811,#598051); #597708=SHAPE_DEFINITION_REPRESENTATION(#597812,#598052); #597709=SHAPE_DEFINITION_REPRESENTATION(#597813,#598053); #597710=SHAPE_DEFINITION_REPRESENTATION(#597814,#598054); #597711=SHAPE_DEFINITION_REPRESENTATION(#597815,#598055); #597712=SHAPE_DEFINITION_REPRESENTATION(#597816,#598056); #597713=SHAPE_DEFINITION_REPRESENTATION(#597817,#598057); #597714=SHAPE_DEFINITION_REPRESENTATION(#597818,#598058); #597715=SHAPE_DEFINITION_REPRESENTATION(#597819,#598059); #597716=SHAPE_DEFINITION_REPRESENTATION(#597820,#598060); #597717=SHAPE_DEFINITION_REPRESENTATION(#597842,#598061); #597718=SHAPE_DEFINITION_REPRESENTATION(#597843,#598062); #597719=SHAPE_DEFINITION_REPRESENTATION(#597844,#598063); #597720=SHAPE_DEFINITION_REPRESENTATION(#597847,#598064); #597721=SHAPE_DEFINITION_REPRESENTATION(#597848,#598065); #597722=SHAPE_DEFINITION_REPRESENTATION(#597872,#598066); #597723=SHAPE_DEFINITION_REPRESENTATION(#597873,#598067); #597724=SHAPE_DEFINITION_REPRESENTATION(#597875,#598068); #597725=SHAPE_DEFINITION_REPRESENTATION(#597876,#598069); #597726=SHAPE_DEFINITION_REPRESENTATION(#597878,#598070); #597727=SHAPE_DEFINITION_REPRESENTATION(#597879,#598071); #597728=SHAPE_DEFINITION_REPRESENTATION(#597881,#598072); #597729=SHAPE_DEFINITION_REPRESENTATION(#597882,#598073); #597730=SHAPE_DEFINITION_REPRESENTATION(#597884,#598074); #597731=SHAPE_DEFINITION_REPRESENTATION(#597885,#598075); #597732=SHAPE_DEFINITION_REPRESENTATION(#597887,#598076); #597733=SHAPE_DEFINITION_REPRESENTATION(#597888,#598077); #597734=SHAPE_DEFINITION_REPRESENTATION(#597890,#598078); #597735=SHAPE_DEFINITION_REPRESENTATION(#597891,#598079); #597736=SHAPE_DEFINITION_REPRESENTATION(#597893,#598080); #597737=SHAPE_DEFINITION_REPRESENTATION(#597894,#598081); #597738=SHAPE_DEFINITION_REPRESENTATION(#597896,#598082); #597739=SHAPE_DEFINITION_REPRESENTATION(#597897,#598083); #597740=SHAPE_DEFINITION_REPRESENTATION(#597899,#598084); #597741=SHAPE_DEFINITION_REPRESENTATION(#597900,#598085); #597742=SHAPE_DEFINITION_REPRESENTATION(#597902,#598086); #597743=SHAPE_DEFINITION_REPRESENTATION(#597903,#598087); #597744=SHAPE_DEFINITION_REPRESENTATION(#597905,#598088); #597745=SHAPE_DEFINITION_REPRESENTATION(#597906,#598089); #597746=SHAPE_DEFINITION_REPRESENTATION(#597908,#598090); #597747=SHAPE_DEFINITION_REPRESENTATION(#597909,#598091); #597748=SHAPE_DEFINITION_REPRESENTATION(#597985,#598092); #597749=SHAPE_DEFINITION_REPRESENTATION(#597986,#598093); #597750=SHAPE_DEFINITION_REPRESENTATION(#597987,#598094); #597751=SHAPE_DEFINITION_REPRESENTATION(#597988,#598095); #597752=SHAPE_DEFINITION_REPRESENTATION(#597994,#598096); #597753=SHAPE_DEFINITION_REPRESENTATION(#597995,#598097); #597754=PRODUCT_DEFINITION_SHAPE('',$,#598099); #597755=PRODUCT_DEFINITION_SHAPE('',$,#598100); #597756=PRODUCT_DEFINITION_SHAPE('',$,#598101); #597757=PRODUCT_DEFINITION_SHAPE('',$,#598102); #597758=PRODUCT_DEFINITION_SHAPE($,$,#567); #597759=PRODUCT_DEFINITION_SHAPE($,$,#568); #597760=PRODUCT_DEFINITION_SHAPE('',$,#598103); #597761=PRODUCT_DEFINITION_SHAPE('',$,#598104); #597762=PRODUCT_DEFINITION_SHAPE('',$,#598105); #597763=PRODUCT_DEFINITION_SHAPE('',$,#598106); #597764=PRODUCT_DEFINITION_SHAPE('',$,#598107); #597765=PRODUCT_DEFINITION_SHAPE('',$,#598108); #597766=PRODUCT_DEFINITION_SHAPE('',$,#598109); #597767=PRODUCT_DEFINITION_SHAPE('',$,#598110); #597768=PRODUCT_DEFINITION_SHAPE('',$,#598111); #597769=PRODUCT_DEFINITION_SHAPE('',$,#598112); #597770=PRODUCT_DEFINITION_SHAPE($,$,#569); #597771=PRODUCT_DEFINITION_SHAPE('',$,#598113); #597772=PRODUCT_DEFINITION_SHAPE('',$,#598114); #597773=PRODUCT_DEFINITION_SHAPE('',$,#598115); #597774=PRODUCT_DEFINITION_SHAPE('',$,#598116); #597775=PRODUCT_DEFINITION_SHAPE($,$,#570); #597776=PRODUCT_DEFINITION_SHAPE($,$,#571); #597777=PRODUCT_DEFINITION_SHAPE($,$,#572); #597778=PRODUCT_DEFINITION_SHAPE('',$,#598117); #597779=PRODUCT_DEFINITION_SHAPE('',$,#598118); #597780=PRODUCT_DEFINITION_SHAPE('',$,#598119); #597781=PRODUCT_DEFINITION_SHAPE('',$,#598120); #597782=PRODUCT_DEFINITION_SHAPE('',$,#598121); #597783=PRODUCT_DEFINITION_SHAPE('',$,#598122); #597784=PRODUCT_DEFINITION_SHAPE('',$,#598123); #597785=PRODUCT_DEFINITION_SHAPE('',$,#598124); #597786=PRODUCT_DEFINITION_SHAPE('',$,#598125); #597787=PRODUCT_DEFINITION_SHAPE('',$,#598126); #597788=PRODUCT_DEFINITION_SHAPE('',$,#598127); #597789=PRODUCT_DEFINITION_SHAPE('',$,#598128); #597790=PRODUCT_DEFINITION_SHAPE('',$,#598129); #597791=PRODUCT_DEFINITION_SHAPE('',$,#598130); #597792=PRODUCT_DEFINITION_SHAPE('',$,#598131); #597793=PRODUCT_DEFINITION_SHAPE('',$,#598132); #597794=PRODUCT_DEFINITION_SHAPE('',$,#598133); #597795=PRODUCT_DEFINITION_SHAPE('',$,#598134); #597796=PRODUCT_DEFINITION_SHAPE('',$,#598135); #597797=PRODUCT_DEFINITION_SHAPE('',$,#598136); #597798=PRODUCT_DEFINITION_SHAPE('',$,#598137); #597799=PRODUCT_DEFINITION_SHAPE('',$,#598138); #597800=PRODUCT_DEFINITION_SHAPE('',$,#598139); #597801=PRODUCT_DEFINITION_SHAPE('',$,#598140); #597802=PRODUCT_DEFINITION_SHAPE('',$,#598141); #597803=PRODUCT_DEFINITION_SHAPE('',$,#598142); #597804=PRODUCT_DEFINITION_SHAPE('',$,#598143); #597805=PRODUCT_DEFINITION_SHAPE('',$,#598144); #597806=PRODUCT_DEFINITION_SHAPE('',$,#598145); #597807=PRODUCT_DEFINITION_SHAPE('',$,#598146); #597808=PRODUCT_DEFINITION_SHAPE('',$,#598147); #597809=PRODUCT_DEFINITION_SHAPE('',$,#598148); #597810=PRODUCT_DEFINITION_SHAPE('',$,#598149); #597811=PRODUCT_DEFINITION_SHAPE('',$,#598150); #597812=PRODUCT_DEFINITION_SHAPE('',$,#598151); #597813=PRODUCT_DEFINITION_SHAPE('',$,#598152); #597814=PRODUCT_DEFINITION_SHAPE('',$,#598153); #597815=PRODUCT_DEFINITION_SHAPE('',$,#598154); #597816=PRODUCT_DEFINITION_SHAPE('',$,#598155); #597817=PRODUCT_DEFINITION_SHAPE('',$,#598156); #597818=PRODUCT_DEFINITION_SHAPE('',$,#598157); #597819=PRODUCT_DEFINITION_SHAPE('',$,#598158); #597820=PRODUCT_DEFINITION_SHAPE('',$,#598159); #597821=PRODUCT_DEFINITION_SHAPE($,$,#573); #597822=PRODUCT_DEFINITION_SHAPE($,$,#574); #597823=PRODUCT_DEFINITION_SHAPE($,$,#575); #597824=PRODUCT_DEFINITION_SHAPE($,$,#576); #597825=PRODUCT_DEFINITION_SHAPE($,$,#577); #597826=PRODUCT_DEFINITION_SHAPE($,$,#578); #597827=PRODUCT_DEFINITION_SHAPE($,$,#579); #597828=PRODUCT_DEFINITION_SHAPE($,$,#580); #597829=PRODUCT_DEFINITION_SHAPE($,$,#581); #597830=PRODUCT_DEFINITION_SHAPE($,$,#582); #597831=PRODUCT_DEFINITION_SHAPE($,$,#583); #597832=PRODUCT_DEFINITION_SHAPE($,$,#584); #597833=PRODUCT_DEFINITION_SHAPE($,$,#585); #597834=PRODUCT_DEFINITION_SHAPE($,$,#586); #597835=PRODUCT_DEFINITION_SHAPE($,$,#587); #597836=PRODUCT_DEFINITION_SHAPE($,$,#588); #597837=PRODUCT_DEFINITION_SHAPE($,$,#589); #597838=PRODUCT_DEFINITION_SHAPE($,$,#590); #597839=PRODUCT_DEFINITION_SHAPE($,$,#591); #597840=PRODUCT_DEFINITION_SHAPE($,$,#592); #597841=PRODUCT_DEFINITION_SHAPE($,$,#593); #597842=PRODUCT_DEFINITION_SHAPE('',$,#598160); #597843=PRODUCT_DEFINITION_SHAPE('',$,#598161); #597844=PRODUCT_DEFINITION_SHAPE('',$,#598162); #597845=PRODUCT_DEFINITION_SHAPE($,$,#594); #597846=PRODUCT_DEFINITION_SHAPE($,$,#595); #597847=PRODUCT_DEFINITION_SHAPE('',$,#598163); #597848=PRODUCT_DEFINITION_SHAPE('',$,#598164); #597849=PRODUCT_DEFINITION_SHAPE($,$,#596); #597850=PRODUCT_DEFINITION_SHAPE($,$,#597); #597851=PRODUCT_DEFINITION_SHAPE($,$,#598); #597852=PRODUCT_DEFINITION_SHAPE($,$,#599); #597853=PRODUCT_DEFINITION_SHAPE($,$,#600); #597854=PRODUCT_DEFINITION_SHAPE($,$,#601); #597855=PRODUCT_DEFINITION_SHAPE($,$,#602); #597856=PRODUCT_DEFINITION_SHAPE($,$,#603); #597857=PRODUCT_DEFINITION_SHAPE($,$,#604); #597858=PRODUCT_DEFINITION_SHAPE($,$,#605); #597859=PRODUCT_DEFINITION_SHAPE($,$,#606); #597860=PRODUCT_DEFINITION_SHAPE($,$,#607); #597861=PRODUCT_DEFINITION_SHAPE($,$,#608); #597862=PRODUCT_DEFINITION_SHAPE($,$,#609); #597863=PRODUCT_DEFINITION_SHAPE($,$,#610); #597864=PRODUCT_DEFINITION_SHAPE($,$,#611); #597865=PRODUCT_DEFINITION_SHAPE($,$,#612); #597866=PRODUCT_DEFINITION_SHAPE($,$,#613); #597867=PRODUCT_DEFINITION_SHAPE($,$,#614); #597868=PRODUCT_DEFINITION_SHAPE($,$,#615); #597869=PRODUCT_DEFINITION_SHAPE($,$,#616); #597870=PRODUCT_DEFINITION_SHAPE($,$,#617); #597871=PRODUCT_DEFINITION_SHAPE($,$,#618); #597872=PRODUCT_DEFINITION_SHAPE('',$,#598165); #597873=PRODUCT_DEFINITION_SHAPE('',$,#598166); #597874=PRODUCT_DEFINITION_SHAPE($,$,#619); #597875=PRODUCT_DEFINITION_SHAPE('',$,#598167); #597876=PRODUCT_DEFINITION_SHAPE('',$,#598168); #597877=PRODUCT_DEFINITION_SHAPE($,$,#620); #597878=PRODUCT_DEFINITION_SHAPE('',$,#598169); #597879=PRODUCT_DEFINITION_SHAPE('',$,#598170); #597880=PRODUCT_DEFINITION_SHAPE($,$,#621); #597881=PRODUCT_DEFINITION_SHAPE('',$,#598171); #597882=PRODUCT_DEFINITION_SHAPE('',$,#598172); #597883=PRODUCT_DEFINITION_SHAPE($,$,#622); #597884=PRODUCT_DEFINITION_SHAPE('',$,#598173); #597885=PRODUCT_DEFINITION_SHAPE('',$,#598174); #597886=PRODUCT_DEFINITION_SHAPE($,$,#623); #597887=PRODUCT_DEFINITION_SHAPE('',$,#598175); #597888=PRODUCT_DEFINITION_SHAPE('',$,#598176); #597889=PRODUCT_DEFINITION_SHAPE($,$,#624); #597890=PRODUCT_DEFINITION_SHAPE('',$,#598177); #597891=PRODUCT_DEFINITION_SHAPE('',$,#598178); #597892=PRODUCT_DEFINITION_SHAPE($,$,#625); #597893=PRODUCT_DEFINITION_SHAPE('',$,#598179); #597894=PRODUCT_DEFINITION_SHAPE('',$,#598180); #597895=PRODUCT_DEFINITION_SHAPE($,$,#626); #597896=PRODUCT_DEFINITION_SHAPE('',$,#598181); #597897=PRODUCT_DEFINITION_SHAPE('',$,#598182); #597898=PRODUCT_DEFINITION_SHAPE($,$,#627); #597899=PRODUCT_DEFINITION_SHAPE('',$,#598183); #597900=PRODUCT_DEFINITION_SHAPE('',$,#598184); #597901=PRODUCT_DEFINITION_SHAPE($,$,#628); #597902=PRODUCT_DEFINITION_SHAPE('',$,#598185); #597903=PRODUCT_DEFINITION_SHAPE('',$,#598186); #597904=PRODUCT_DEFINITION_SHAPE($,$,#629); #597905=PRODUCT_DEFINITION_SHAPE('',$,#598187); #597906=PRODUCT_DEFINITION_SHAPE('',$,#598188); #597907=PRODUCT_DEFINITION_SHAPE($,$,#630); #597908=PRODUCT_DEFINITION_SHAPE('',$,#598189); #597909=PRODUCT_DEFINITION_SHAPE('',$,#598190); #597910=PRODUCT_DEFINITION_SHAPE($,$,#631); #597911=PRODUCT_DEFINITION_SHAPE($,$,#632); #597912=PRODUCT_DEFINITION_SHAPE($,$,#633); #597913=PRODUCT_DEFINITION_SHAPE($,$,#634); #597914=PRODUCT_DEFINITION_SHAPE($,$,#635); #597915=PRODUCT_DEFINITION_SHAPE($,$,#636); #597916=PRODUCT_DEFINITION_SHAPE($,$,#637); #597917=PRODUCT_DEFINITION_SHAPE($,$,#638); #597918=PRODUCT_DEFINITION_SHAPE($,$,#639); #597919=PRODUCT_DEFINITION_SHAPE($,$,#640); #597920=PRODUCT_DEFINITION_SHAPE($,$,#641); #597921=PRODUCT_DEFINITION_SHAPE($,$,#642); #597922=PRODUCT_DEFINITION_SHAPE($,$,#643); #597923=PRODUCT_DEFINITION_SHAPE($,$,#644); #597924=PRODUCT_DEFINITION_SHAPE($,$,#645); #597925=PRODUCT_DEFINITION_SHAPE($,$,#646); #597926=PRODUCT_DEFINITION_SHAPE($,$,#647); #597927=PRODUCT_DEFINITION_SHAPE($,$,#648); #597928=PRODUCT_DEFINITION_SHAPE($,$,#649); #597929=PRODUCT_DEFINITION_SHAPE($,$,#650); #597930=PRODUCT_DEFINITION_SHAPE($,$,#651); #597931=PRODUCT_DEFINITION_SHAPE($,$,#652); #597932=PRODUCT_DEFINITION_SHAPE($,$,#653); #597933=PRODUCT_DEFINITION_SHAPE($,$,#654); #597934=PRODUCT_DEFINITION_SHAPE($,$,#655); #597935=PRODUCT_DEFINITION_SHAPE($,$,#656); #597936=PRODUCT_DEFINITION_SHAPE($,$,#657); #597937=PRODUCT_DEFINITION_SHAPE($,$,#658); #597938=PRODUCT_DEFINITION_SHAPE($,$,#659); #597939=PRODUCT_DEFINITION_SHAPE($,$,#660); #597940=PRODUCT_DEFINITION_SHAPE($,$,#661); #597941=PRODUCT_DEFINITION_SHAPE($,$,#662); #597942=PRODUCT_DEFINITION_SHAPE($,$,#663); #597943=PRODUCT_DEFINITION_SHAPE($,$,#664); #597944=PRODUCT_DEFINITION_SHAPE($,$,#665); #597945=PRODUCT_DEFINITION_SHAPE($,$,#666); #597946=PRODUCT_DEFINITION_SHAPE($,$,#667); #597947=PRODUCT_DEFINITION_SHAPE($,$,#668); #597948=PRODUCT_DEFINITION_SHAPE($,$,#669); #597949=PRODUCT_DEFINITION_SHAPE($,$,#670); #597950=PRODUCT_DEFINITION_SHAPE($,$,#671); #597951=PRODUCT_DEFINITION_SHAPE($,$,#672); #597952=PRODUCT_DEFINITION_SHAPE($,$,#673); #597953=PRODUCT_DEFINITION_SHAPE($,$,#674); #597954=PRODUCT_DEFINITION_SHAPE($,$,#675); #597955=PRODUCT_DEFINITION_SHAPE($,$,#676); #597956=PRODUCT_DEFINITION_SHAPE($,$,#677); #597957=PRODUCT_DEFINITION_SHAPE($,$,#678); #597958=PRODUCT_DEFINITION_SHAPE($,$,#679); #597959=PRODUCT_DEFINITION_SHAPE($,$,#680); #597960=PRODUCT_DEFINITION_SHAPE($,$,#681); #597961=PRODUCT_DEFINITION_SHAPE($,$,#682); #597962=PRODUCT_DEFINITION_SHAPE($,$,#683); #597963=PRODUCT_DEFINITION_SHAPE($,$,#684); #597964=PRODUCT_DEFINITION_SHAPE($,$,#685); #597965=PRODUCT_DEFINITION_SHAPE($,$,#686); #597966=PRODUCT_DEFINITION_SHAPE($,$,#687); #597967=PRODUCT_DEFINITION_SHAPE($,$,#688); #597968=PRODUCT_DEFINITION_SHAPE($,$,#689); #597969=PRODUCT_DEFINITION_SHAPE($,$,#690); #597970=PRODUCT_DEFINITION_SHAPE($,$,#691); #597971=PRODUCT_DEFINITION_SHAPE($,$,#692); #597972=PRODUCT_DEFINITION_SHAPE($,$,#693); #597973=PRODUCT_DEFINITION_SHAPE($,$,#694); #597974=PRODUCT_DEFINITION_SHAPE($,$,#695); #597975=PRODUCT_DEFINITION_SHAPE($,$,#696); #597976=PRODUCT_DEFINITION_SHAPE($,$,#697); #597977=PRODUCT_DEFINITION_SHAPE($,$,#698); #597978=PRODUCT_DEFINITION_SHAPE($,$,#699); #597979=PRODUCT_DEFINITION_SHAPE($,$,#700); #597980=PRODUCT_DEFINITION_SHAPE($,$,#701); #597981=PRODUCT_DEFINITION_SHAPE($,$,#702); #597982=PRODUCT_DEFINITION_SHAPE($,$,#703); #597983=PRODUCT_DEFINITION_SHAPE($,$,#704); #597984=PRODUCT_DEFINITION_SHAPE($,$,#705); #597985=PRODUCT_DEFINITION_SHAPE('',$,#598191); #597986=PRODUCT_DEFINITION_SHAPE('',$,#598192); #597987=PRODUCT_DEFINITION_SHAPE('',$,#598193); #597988=PRODUCT_DEFINITION_SHAPE('',$,#598194); #597989=PRODUCT_DEFINITION_SHAPE($,$,#706); #597990=PRODUCT_DEFINITION_SHAPE($,$,#707); #597991=PRODUCT_DEFINITION_SHAPE($,$,#708); #597992=PRODUCT_DEFINITION_SHAPE($,$,#709); #597993=PRODUCT_DEFINITION_SHAPE($,$,#710); #597994=PRODUCT_DEFINITION_SHAPE('',$,#598195); #597995=PRODUCT_DEFINITION_SHAPE('',$,#598196); #597996=PRODUCT_DEFINITION_SHAPE($,$,#711); #597997=PRODUCT_DEFINITION_SHAPE($,$,#712); #597998=PRODUCT_DEFINITION_SHAPE($,$,#713); #597999=PRODUCT_DEFINITION_SHAPE($,$,#714); #598000=SHAPE_REPRESENTATION('',(#351359,#378318,#378319,#378320),#597553); #598001=SHAPE_REPRESENTATION('',(#351360,#352980,#352981),#597554); #598002=SHAPE_REPRESENTATION('',(#351361),#597555); #598003=SHAPE_REPRESENTATION('',(#352015),#597556); #598004=SHAPE_REPRESENTATION('',(#352982,#378259,#378260),#597557); #598005=SHAPE_REPRESENTATION('',(#352983,#377822,#377823,#377824,#377825, #377826,#377827),#597558); #598006=SHAPE_REPRESENTATION('',(#352984),#597559); #598007=SHAPE_REPRESENTATION('',(#356551),#597560); #598008=SHAPE_REPRESENTATION('',(#364839),#597561); #598009=SHAPE_REPRESENTATION('',(#371179),#597562); #598010=SHAPE_REPRESENTATION('',(#372166),#597563); #598011=SHAPE_REPRESENTATION('',(#373153,#377754,#377755,#377756,#377757, #377758,#377759,#377760,#377761,#377762,#377763,#377764,#377765,#377766, #377767,#377768,#377769,#377770,#377771,#377772,#377773,#377774,#377775, #377776,#377777,#377778,#377779,#377780,#377781,#377782,#377783,#377784, #377785,#377786,#377787,#377788,#377789,#377790,#377791,#377792,#377793, #377794,#377795,#377796,#377797,#377798,#377799,#377800,#377801,#377802, #377803,#377804,#377805,#377806,#377807,#377808,#377809,#377810,#377811, #377812,#377813,#377814,#377815,#377816,#377817,#377818,#377819,#377820, #377821),#597564); #598012=SHAPE_REPRESENTATION('',(#373154,#373260),#597565); #598013=SHAPE_REPRESENTATION('',(#373155),#597566); #598014=SHAPE_REPRESENTATION('',(#373261,#373383),#597567); #598015=SHAPE_REPRESENTATION('',(#373262,#373384),#597568); #598016=SHAPE_REPRESENTATION('',(#373263,#373385),#597569); #598017=SHAPE_REPRESENTATION('',(#373264),#597570); #598018=SHAPE_REPRESENTATION('',(#373386,#375230),#597571); #598019=SHAPE_REPRESENTATION('',(#373387,#375231),#597572); #598020=SHAPE_REPRESENTATION('',(#373388,#375232),#597573); #598021=SHAPE_REPRESENTATION('',(#373389,#375233),#597574); #598022=SHAPE_REPRESENTATION('',(#373390,#375234),#597575); #598023=SHAPE_REPRESENTATION('',(#373391,#375235),#597576); #598024=SHAPE_REPRESENTATION('',(#373392,#375236),#597577); #598025=SHAPE_REPRESENTATION('',(#373393,#375237),#597578); #598026=SHAPE_REPRESENTATION('',(#373394,#375238),#597579); #598027=SHAPE_REPRESENTATION('',(#373395,#375239),#597580); #598028=SHAPE_REPRESENTATION('',(#373396,#375240),#597581); #598029=SHAPE_REPRESENTATION('',(#373397,#375241),#597582); #598030=SHAPE_REPRESENTATION('',(#373398,#375242),#597583); #598031=SHAPE_REPRESENTATION('',(#373399,#375243),#597584); #598032=SHAPE_REPRESENTATION('',(#373400,#375244),#597585); #598033=SHAPE_REPRESENTATION('',(#373401,#375245),#597586); #598034=SHAPE_REPRESENTATION('',(#373402,#375246),#597587); #598035=SHAPE_REPRESENTATION('',(#373403,#375247),#597588); #598036=SHAPE_REPRESENTATION('',(#373404,#375248),#597589); #598037=SHAPE_REPRESENTATION('',(#373405,#375249),#597590); #598038=SHAPE_REPRESENTATION('',(#373406,#375250),#597591); #598039=SHAPE_REPRESENTATION('',(#373407,#375251),#597592); #598040=SHAPE_REPRESENTATION('',(#373408,#375027),#597593); #598041=SHAPE_REPRESENTATION('',(#373409,#375026),#597594); #598042=SHAPE_REPRESENTATION('',(#373410,#375007,#375008,#375009,#375010, #375011,#375012,#375013,#375014,#375015,#375016,#375017,#375018,#375019, #375020,#375021,#375022,#375023,#375024,#375025),#597595); #598043=SHAPE_REPRESENTATION('',(#373411),#597596); #598044=SHAPE_REPRESENTATION('',(#373717),#597597); #598045=SHAPE_REPRESENTATION('',(#373746),#597598); #598046=SHAPE_REPRESENTATION('',(#373775),#597599); #598047=SHAPE_REPRESENTATION('',(#373804),#597600); #598048=SHAPE_REPRESENTATION('',(#373833),#597601); #598049=SHAPE_REPRESENTATION('',(#373862),#597602); #598050=SHAPE_REPRESENTATION('',(#373891),#597603); #598051=SHAPE_REPRESENTATION('',(#373920),#597604); #598052=SHAPE_REPRESENTATION('',(#373949),#597605); #598053=SHAPE_REPRESENTATION('',(#373978),#597606); #598054=SHAPE_REPRESENTATION('',(#374007),#597607); #598055=SHAPE_REPRESENTATION('',(#374036),#597608); #598056=SHAPE_REPRESENTATION('',(#374065),#597609); #598057=SHAPE_REPRESENTATION('',(#374094),#597610); #598058=SHAPE_REPRESENTATION('',(#374123),#597611); #598059=SHAPE_REPRESENTATION('',(#374186),#597612); #598060=SHAPE_REPRESENTATION('',(#374978),#597613); #598061=SHAPE_REPRESENTATION('',(#375028,#375120),#597614); #598062=SHAPE_REPRESENTATION('',(#375029,#375121),#597615); #598063=SHAPE_REPRESENTATION('',(#375030),#597616); #598064=SHAPE_REPRESENTATION('',(#375122,#375252),#597617); #598065=SHAPE_REPRESENTATION('',(#375123),#597618); #598066=SHAPE_REPRESENTATION('',(#375253,#375361),#597619); #598067=SHAPE_REPRESENTATION('',(#375254),#597620); #598068=SHAPE_REPRESENTATION('',(#375362,#375470),#597621); #598069=SHAPE_REPRESENTATION('',(#375363),#597622); #598070=SHAPE_REPRESENTATION('',(#375471,#375653),#597623); #598071=SHAPE_REPRESENTATION('',(#375472),#597624); #598072=SHAPE_REPRESENTATION('',(#375654,#375820),#597625); #598073=SHAPE_REPRESENTATION('',(#375655),#597626); #598074=SHAPE_REPRESENTATION('',(#375821,#375987),#597627); #598075=SHAPE_REPRESENTATION('',(#375822),#597628); #598076=SHAPE_REPRESENTATION('',(#375988,#376024),#597629); #598077=SHAPE_REPRESENTATION('',(#375989),#597630); #598078=SHAPE_REPRESENTATION('',(#376025,#376661),#597631); #598079=SHAPE_REPRESENTATION('',(#376026),#597632); #598080=SHAPE_REPRESENTATION('',(#376662,#377164),#597633); #598081=SHAPE_REPRESENTATION('',(#376663),#597634); #598082=SHAPE_REPRESENTATION('',(#377165,#377273),#597635); #598083=SHAPE_REPRESENTATION('',(#377166),#597636); #598084=SHAPE_REPRESENTATION('',(#377274,#377316),#597637); #598085=SHAPE_REPRESENTATION('',(#377275),#597638); #598086=SHAPE_REPRESENTATION('',(#377317,#377513),#597639); #598087=SHAPE_REPRESENTATION('',(#377318),#597640); #598088=SHAPE_REPRESENTATION('',(#377514,#377644),#597641); #598089=SHAPE_REPRESENTATION('',(#377515),#597642); #598090=SHAPE_REPRESENTATION('',(#377645,#377753),#597643); #598091=SHAPE_REPRESENTATION('',(#377646),#597644); #598092=SHAPE_REPRESENTATION('',(#377828,#377898,#377899,#377900),#597645); #598093=SHAPE_REPRESENTATION('',(#377829),#597646); #598094=SHAPE_REPRESENTATION('',(#377850),#597647); #598095=SHAPE_REPRESENTATION('',(#377871),#597648); #598096=SHAPE_REPRESENTATION('',(#378261,#378317),#597649); #598097=SHAPE_REPRESENTATION('',(#378262),#597650); #598098=PRODUCT_DEFINITION_CONTEXT('part definition',#598394,'design'); #598099=PRODUCT_DEFINITION('Cylinder Fan','Cylinder Fan v35',#598197,#598098); #598100=PRODUCT_DEFINITION('Master','Master',#598198,#598098); #598101=PRODUCT_DEFINITION('PFB0512EHF','PFB0512EHF v3',#598199,#598098); #598102=PRODUCT_DEFINITION('Battery Pack','Battery Pack v7',#598200,#598098); #598103=PRODUCT_DEFINITION('Electronic','Electronic',#598201,#598098); #598104=PRODUCT_DEFINITION('PCB','PCB v18',#598202,#598098); #598105=PRODUCT_DEFINITION('Board','Board',#598203,#598098); #598106=PRODUCT_DEFINITION('1-copper','1-copper',#598204,#598098); #598107=PRODUCT_DEFINITION('16-copper','16-copper',#598205,#598098); #598108=PRODUCT_DEFINITION('1-soldermask','1-soldermask',#598206,#598098); #598109=PRODUCT_DEFINITION('16-soldermask','16-soldermask',#598207,#598098); #598110=PRODUCT_DEFINITION('Packages','Packages',#598208,#598098); #598111=PRODUCT_DEFINITION('2510-2.54-4P','2510-2.54-4P',#598209,#598098); #598112=PRODUCT_DEFINITION('Model','Model',#598210,#598098); #598113=PRODUCT_DEFINITION('LED0603','LED0603',#598211,#598098); #598114=PRODUCT_DEFINITION('LED0603_1','LED0603',#598212,#598098); #598115=PRODUCT_DEFINITION('LED0603_2','LED0603',#598213,#598098); #598116=PRODUCT_DEFINITION('Model (7)','Model (7)',#598214,#598098); #598117=PRODUCT_DEFINITION('R0603','R0603',#598215,#598098); #598118=PRODUCT_DEFINITION('R0603_1','R0603',#598216,#598098); #598119=PRODUCT_DEFINITION('R0603_2','R0603',#598217,#598098); #598120=PRODUCT_DEFINITION('R0603_3','R0603',#598218,#598098); #598121=PRODUCT_DEFINITION('R0603_4','R0603',#598219,#598098); #598122=PRODUCT_DEFINITION('R0603_5','R0603',#598220,#598098); #598123=PRODUCT_DEFINITION('R0603_6','R0603',#598221,#598098); #598124=PRODUCT_DEFINITION('R0603_7','R0603',#598222,#598098); #598125=PRODUCT_DEFINITION('R0603_8','R0603',#598223,#598098); #598126=PRODUCT_DEFINITION('R0603_9','R0603',#598224,#598098); #598127=PRODUCT_DEFINITION('R0603_10','R0603',#598225,#598098); #598128=PRODUCT_DEFINITION('R0603_11','R0603',#598226,#598098); #598129=PRODUCT_DEFINITION('R0603_12','R0603',#598227,#598098); #598130=PRODUCT_DEFINITION('R0603_13','R0603',#598228,#598098); #598131=PRODUCT_DEFINITION('R0603_14','R0603',#598229,#598098); #598132=PRODUCT_DEFINITION('R0603_15','R0603',#598230,#598098); #598133=PRODUCT_DEFINITION('R0603_16','R0603',#598231,#598098); #598134=PRODUCT_DEFINITION('R0603_17','R0603',#598232,#598098); #598135=PRODUCT_DEFINITION('R0603_18','R0603',#598233,#598098); #598136=PRODUCT_DEFINITION('R0603_19','R0603',#598234,#598098); #598137=PRODUCT_DEFINITION('R0603_20','R0603',#598235,#598098); #598138=PRODUCT_DEFINITION('R0603_21','R0603',#598236,#598098); #598139=PRODUCT_DEFINITION('TYPE-C-16P-FH','TYPE-C-16P-FH',#598237,#598098); #598140=PRODUCT_DEFINITION('Model (19)','Model (19)',#598238,#598098); #598141=PRODUCT_DEFINITION('34707316.f3d ','34707316.f3d ',#598239,#598098); #598142=PRODUCT_DEFINITION('629722000214_Housing', '629722000214_Housing',#598240,#598098); #598143=PRODUCT_DEFINITION('629722000214_Pin1_Top', '629722000214_Pin1_Top',#598241,#598098); #598144=PRODUCT_DEFINITION('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',#598242,#598098); #598145=PRODUCT_DEFINITION('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',#598243,#598098); #598146=PRODUCT_DEFINITION('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',#598244,#598098); #598147=PRODUCT_DEFINITION('629722000214_Pin3_Top', '629722000214_Pin3_Top',#598245,#598098); #598148=PRODUCT_DEFINITION('629722000214_Pin2_Top', '629722000214_Pin2_Top',#598246,#598098); #598149=PRODUCT_DEFINITION('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',#598247,#598098); #598150=PRODUCT_DEFINITION('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',#598248,#598098); #598151=PRODUCT_DEFINITION('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',#598249,#598098); #598152=PRODUCT_DEFINITION('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',#598250,#598098); #598153=PRODUCT_DEFINITION('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',#598251,#598098); #598154=PRODUCT_DEFINITION('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',#598252,#598098); #598155=PRODUCT_DEFINITION('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',#598253,#598098); #598156=PRODUCT_DEFINITION('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',#598254,#598098); #598157=PRODUCT_DEFINITION('629722000214_Shielding2', '629722000214_Shielding2',#598255,#598098); #598158=PRODUCT_DEFINITION('629722000214_Shielding', '629722000214_Shielding',#598256,#598098); #598159=PRODUCT_DEFINITION('629722000214_Pin4_Top', '629722000214_Pin4_Top',#598257,#598098); #598160=PRODUCT_DEFINITION('XH-2.50-2P','XH-2.50-2P',#598258,#598098); #598161=PRODUCT_DEFINITION('XH-2.50-2P_1','XH-2.50-2P',#598259,#598098); #598162=PRODUCT_DEFINITION('Model (20)','Model (20)',#598260,#598098); #598163=PRODUCT_DEFINITION('R0603_22','R0603',#598261,#598098); #598164=PRODUCT_DEFINITION('Model (10)','Model (10)',#598262,#598098); #598165=PRODUCT_DEFINITION('C0603','C0603',#598263,#598098); #598166=PRODUCT_DEFINITION('Model (1)','Model (1)',#598264,#598098); #598167=PRODUCT_DEFINITION('C1210','C1210',#598265,#598098); #598168=PRODUCT_DEFINITION('Model (2)','Model (2)',#598266,#598098); #598169=PRODUCT_DEFINITION('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)', #598267,#598098); #598170=PRODUCT_DEFINITION('Model (3)','Model (3)',#598268,#598098); #598171=PRODUCT_DEFINITION('DFN-8(3X3)','DFN-8(3X3)',#598269,#598098); #598172=PRODUCT_DEFINITION('Model (4)','Model (4)',#598270,#598098); #598173=PRODUCT_DEFINITION('DFN-8(5X6)','DFN-8(5X6)',#598271,#598098); #598174=PRODUCT_DEFINITION('Model (5)','Model (5)',#598272,#598098); #598175=PRODUCT_DEFINITION('L12XX','L12XX',#598273,#598098); #598176=PRODUCT_DEFINITION('Model (6)','Model (6)',#598274,#598098); #598177=PRODUCT_DEFINITION('POT-R1S1-RV097NS','POT-R1S1-RV097NS',#598275, #598098); #598178=PRODUCT_DEFINITION('Model (8)','Model (8)',#598276,#598098); #598179=PRODUCT_DEFINITION('QFN-48(7X7)','QFN-48(7X7)',#598277,#598098); #598180=PRODUCT_DEFINITION('Model (9)','Model (9)',#598278,#598098); #598181=PRODUCT_DEFINITION('R1206','R1206',#598279,#598098); #598182=PRODUCT_DEFINITION('Model (11)','Model (11)',#598280,#598098); #598183=PRODUCT_DEFINITION('SOD-123FL','SOD-123FL',#598281,#598098); #598184=PRODUCT_DEFINITION('Model (12)','Model (12)',#598282,#598098); #598185=PRODUCT_DEFINITION('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',#598283,#598098); #598186=PRODUCT_DEFINITION('Model (13)','Model (13)',#598284,#598098); #598187=PRODUCT_DEFINITION('SOT23-5','SOT23-5',#598285,#598098); #598188=PRODUCT_DEFINITION('Model (14)','Model (14)',#598286,#598098); #598189=PRODUCT_DEFINITION('C1206','C1206',#598287,#598098); #598190=PRODUCT_DEFINITION('Model (15)','Model (15)',#598288,#598098); #598191=PRODUCT_DEFINITION('Power Display','Power Display v5',#598289,#598098); #598192=PRODUCT_DEFINITION('Board_1','Board',#598290,#598098); #598193=PRODUCT_DEFINITION('1-soldermask_1','1-soldermask',#598291,#598098); #598194=PRODUCT_DEFINITION('16-soldermask_1','16-soldermask',#598292,#598098); #598195=PRODUCT_DEFINITION('Nozzle','Nozzle',#598293,#598098); #598196=PRODUCT_DEFINITION('Flat Nozzle','Flat Nozzle',#598294,#598098); #598197=PRODUCT_DEFINITION_FORMATION('',$,#598396); #598198=PRODUCT_DEFINITION_FORMATION('',$,#598397); #598199=PRODUCT_DEFINITION_FORMATION('',$,#598398); #598200=PRODUCT_DEFINITION_FORMATION('',$,#598399); #598201=PRODUCT_DEFINITION_FORMATION('',$,#598400); #598202=PRODUCT_DEFINITION_FORMATION('',$,#598401); #598203=PRODUCT_DEFINITION_FORMATION('',$,#598402); #598204=PRODUCT_DEFINITION_FORMATION('',$,#598403); #598205=PRODUCT_DEFINITION_FORMATION('',$,#598404); #598206=PRODUCT_DEFINITION_FORMATION('',$,#598405); #598207=PRODUCT_DEFINITION_FORMATION('',$,#598406); #598208=PRODUCT_DEFINITION_FORMATION('',$,#598407); #598209=PRODUCT_DEFINITION_FORMATION('',$,#598408); #598210=PRODUCT_DEFINITION_FORMATION('',$,#598409); #598211=PRODUCT_DEFINITION_FORMATION('',$,#598410); #598212=PRODUCT_DEFINITION_FORMATION('',$,#598411); #598213=PRODUCT_DEFINITION_FORMATION('',$,#598412); #598214=PRODUCT_DEFINITION_FORMATION('',$,#598413); #598215=PRODUCT_DEFINITION_FORMATION('',$,#598414); #598216=PRODUCT_DEFINITION_FORMATION('',$,#598415); #598217=PRODUCT_DEFINITION_FORMATION('',$,#598416); #598218=PRODUCT_DEFINITION_FORMATION('',$,#598417); #598219=PRODUCT_DEFINITION_FORMATION('',$,#598418); #598220=PRODUCT_DEFINITION_FORMATION('',$,#598419); #598221=PRODUCT_DEFINITION_FORMATION('',$,#598420); #598222=PRODUCT_DEFINITION_FORMATION('',$,#598421); #598223=PRODUCT_DEFINITION_FORMATION('',$,#598422); #598224=PRODUCT_DEFINITION_FORMATION('',$,#598423); #598225=PRODUCT_DEFINITION_FORMATION('',$,#598424); #598226=PRODUCT_DEFINITION_FORMATION('',$,#598425); #598227=PRODUCT_DEFINITION_FORMATION('',$,#598426); #598228=PRODUCT_DEFINITION_FORMATION('',$,#598427); #598229=PRODUCT_DEFINITION_FORMATION('',$,#598428); #598230=PRODUCT_DEFINITION_FORMATION('',$,#598429); #598231=PRODUCT_DEFINITION_FORMATION('',$,#598430); #598232=PRODUCT_DEFINITION_FORMATION('',$,#598431); #598233=PRODUCT_DEFINITION_FORMATION('',$,#598432); #598234=PRODUCT_DEFINITION_FORMATION('',$,#598433); #598235=PRODUCT_DEFINITION_FORMATION('',$,#598434); #598236=PRODUCT_DEFINITION_FORMATION('',$,#598435); #598237=PRODUCT_DEFINITION_FORMATION('',$,#598436); #598238=PRODUCT_DEFINITION_FORMATION('',$,#598437); #598239=PRODUCT_DEFINITION_FORMATION('',$,#598438); #598240=PRODUCT_DEFINITION_FORMATION('',$,#598439); #598241=PRODUCT_DEFINITION_FORMATION('',$,#598440); #598242=PRODUCT_DEFINITION_FORMATION('',$,#598441); #598243=PRODUCT_DEFINITION_FORMATION('',$,#598442); #598244=PRODUCT_DEFINITION_FORMATION('',$,#598443); #598245=PRODUCT_DEFINITION_FORMATION('',$,#598444); #598246=PRODUCT_DEFINITION_FORMATION('',$,#598445); #598247=PRODUCT_DEFINITION_FORMATION('',$,#598446); #598248=PRODUCT_DEFINITION_FORMATION('',$,#598447); #598249=PRODUCT_DEFINITION_FORMATION('',$,#598448); #598250=PRODUCT_DEFINITION_FORMATION('',$,#598449); #598251=PRODUCT_DEFINITION_FORMATION('',$,#598450); #598252=PRODUCT_DEFINITION_FORMATION('',$,#598451); #598253=PRODUCT_DEFINITION_FORMATION('',$,#598452); #598254=PRODUCT_DEFINITION_FORMATION('',$,#598453); #598255=PRODUCT_DEFINITION_FORMATION('',$,#598454); #598256=PRODUCT_DEFINITION_FORMATION('',$,#598455); #598257=PRODUCT_DEFINITION_FORMATION('',$,#598456); #598258=PRODUCT_DEFINITION_FORMATION('',$,#598457); #598259=PRODUCT_DEFINITION_FORMATION('',$,#598458); #598260=PRODUCT_DEFINITION_FORMATION('',$,#598459); #598261=PRODUCT_DEFINITION_FORMATION('',$,#598460); #598262=PRODUCT_DEFINITION_FORMATION('',$,#598461); #598263=PRODUCT_DEFINITION_FORMATION('',$,#598462); #598264=PRODUCT_DEFINITION_FORMATION('',$,#598463); #598265=PRODUCT_DEFINITION_FORMATION('',$,#598464); #598266=PRODUCT_DEFINITION_FORMATION('',$,#598465); #598267=PRODUCT_DEFINITION_FORMATION('',$,#598466); #598268=PRODUCT_DEFINITION_FORMATION('',$,#598467); #598269=PRODUCT_DEFINITION_FORMATION('',$,#598468); #598270=PRODUCT_DEFINITION_FORMATION('',$,#598469); #598271=PRODUCT_DEFINITION_FORMATION('',$,#598470); #598272=PRODUCT_DEFINITION_FORMATION('',$,#598471); #598273=PRODUCT_DEFINITION_FORMATION('',$,#598472); #598274=PRODUCT_DEFINITION_FORMATION('',$,#598473); #598275=PRODUCT_DEFINITION_FORMATION('',$,#598474); #598276=PRODUCT_DEFINITION_FORMATION('',$,#598475); #598277=PRODUCT_DEFINITION_FORMATION('',$,#598476); #598278=PRODUCT_DEFINITION_FORMATION('',$,#598477); #598279=PRODUCT_DEFINITION_FORMATION('',$,#598478); #598280=PRODUCT_DEFINITION_FORMATION('',$,#598479); #598281=PRODUCT_DEFINITION_FORMATION('',$,#598480); #598282=PRODUCT_DEFINITION_FORMATION('',$,#598481); #598283=PRODUCT_DEFINITION_FORMATION('',$,#598482); #598284=PRODUCT_DEFINITION_FORMATION('',$,#598483); #598285=PRODUCT_DEFINITION_FORMATION('',$,#598484); #598286=PRODUCT_DEFINITION_FORMATION('',$,#598485); #598287=PRODUCT_DEFINITION_FORMATION('',$,#598486); #598288=PRODUCT_DEFINITION_FORMATION('',$,#598487); #598289=PRODUCT_DEFINITION_FORMATION('',$,#598488); #598290=PRODUCT_DEFINITION_FORMATION('',$,#598489); #598291=PRODUCT_DEFINITION_FORMATION('',$,#598490); #598292=PRODUCT_DEFINITION_FORMATION('',$,#598491); #598293=PRODUCT_DEFINITION_FORMATION('',$,#598492); #598294=PRODUCT_DEFINITION_FORMATION('',$,#598493); #598295=PRODUCT_RELATED_PRODUCT_CATEGORY('Cylinder Fan v35', 'Cylinder Fan v35',(#598396)); #598296=PRODUCT_RELATED_PRODUCT_CATEGORY('Master','Master',(#598397)); #598297=PRODUCT_RELATED_PRODUCT_CATEGORY('PFB0512EHF v3', 'PFB0512EHF v3',(#598398)); #598298=PRODUCT_RELATED_PRODUCT_CATEGORY('Battery Pack v7', 'Battery Pack v7',(#598399)); #598299=PRODUCT_RELATED_PRODUCT_CATEGORY('Electronic','Electronic',(#598400)); #598300=PRODUCT_RELATED_PRODUCT_CATEGORY('PCB v18','PCB v18',(#598401)); #598301=PRODUCT_RELATED_PRODUCT_CATEGORY('Board','Board',(#598402)); #598302=PRODUCT_RELATED_PRODUCT_CATEGORY('1-copper','1-copper',(#598403)); #598303=PRODUCT_RELATED_PRODUCT_CATEGORY('16-copper','16-copper',(#598404)); #598304=PRODUCT_RELATED_PRODUCT_CATEGORY('1-soldermask','1-soldermask', (#598405)); #598305=PRODUCT_RELATED_PRODUCT_CATEGORY('16-soldermask', '16-soldermask',(#598406)); #598306=PRODUCT_RELATED_PRODUCT_CATEGORY('Packages','Packages',(#598407)); #598307=PRODUCT_RELATED_PRODUCT_CATEGORY('2510-2.54-4P','2510-2.54-4P', (#598408)); #598308=PRODUCT_RELATED_PRODUCT_CATEGORY('Model','Model',(#598409)); #598309=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#598410)); #598310=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#598411)); #598311=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#598412)); #598312=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (7)','Model (7)',(#598413)); #598313=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598414)); #598314=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598415)); #598315=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598416)); #598316=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598417)); #598317=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598418)); #598318=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598419)); #598319=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598420)); #598320=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598421)); #598321=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598422)); #598322=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598423)); #598323=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598424)); #598324=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598425)); #598325=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598426)); #598326=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598427)); #598327=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598428)); #598328=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598429)); #598329=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598430)); #598330=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598431)); #598331=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598432)); #598332=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598433)); #598333=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598434)); #598334=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598435)); #598335=PRODUCT_RELATED_PRODUCT_CATEGORY('TYPE-C-16P-FH', 'TYPE-C-16P-FH',(#598436)); #598336=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (19)','Model (19)',(#598437)); #598337=PRODUCT_RELATED_PRODUCT_CATEGORY('34707316.f3d ', '34707316.f3d ',(#598438)); #598338=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Housing', '629722000214_Housing',(#598439)); #598339=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top', '629722000214_Pin1_Top',(#598440)); #598340=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',(#598441)); #598341=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',(#598442)); #598342=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',(#598443)); #598343=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top', '629722000214_Pin3_Top',(#598444)); #598344=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top', '629722000214_Pin2_Top',(#598445)); #598345=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',(#598446)); #598346=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',(#598447)); #598347=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',(#598448)); #598348=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',(#598449)); #598349=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',(#598450)); #598350=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',(#598451)); #598351=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',(#598452)); #598352=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',(#598453)); #598353=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding2', '629722000214_Shielding2',(#598454)); #598354=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding', '629722000214_Shielding',(#598455)); #598355=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Top', '629722000214_Pin4_Top',(#598456)); #598356=PRODUCT_RELATED_PRODUCT_CATEGORY('XH-2.50-2P','XH-2.50-2P',(#598457)); #598357=PRODUCT_RELATED_PRODUCT_CATEGORY('XH-2.50-2P','XH-2.50-2P',(#598458)); #598358=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (20)','Model (20)',(#598459)); #598359=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#598460)); #598360=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (10)','Model (10)',(#598461)); #598361=PRODUCT_RELATED_PRODUCT_CATEGORY('C0603','C0603',(#598462)); #598362=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (1)','Model (1)',(#598463)); #598363=PRODUCT_RELATED_PRODUCT_CATEGORY('C1210','C1210',(#598464)); #598364=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (2)','Model (2)',(#598465)); #598365=PRODUCT_RELATED_PRODUCT_CATEGORY('CAP-PTH(D8.0-P3.50)', 'CAP-PTH(D8.0-P3.50)',(#598466)); #598366=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (3)','Model (3)',(#598467)); #598367=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(3X3)','DFN-8(3X3)',(#598468)); #598368=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (4)','Model (4)',(#598469)); #598369=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(5X6)','DFN-8(5X6)',(#598470)); #598370=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (5)','Model (5)',(#598471)); #598371=PRODUCT_RELATED_PRODUCT_CATEGORY('L12XX','L12XX',(#598472)); #598372=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (6)','Model (6)',(#598473)); #598373=PRODUCT_RELATED_PRODUCT_CATEGORY('POT-R1S1-RV097NS', 'POT-R1S1-RV097NS',(#598474)); #598374=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (8)','Model (8)',(#598475)); #598375=PRODUCT_RELATED_PRODUCT_CATEGORY('QFN-48(7X7)','QFN-48(7X7)',(#598476)); #598376=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (9)','Model (9)',(#598477)); #598377=PRODUCT_RELATED_PRODUCT_CATEGORY('R1206','R1206',(#598478)); #598378=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (11)','Model (11)',(#598479)); #598379=PRODUCT_RELATED_PRODUCT_CATEGORY('SOD-123FL','SOD-123FL',(#598480)); #598380=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (12)','Model (12)',(#598481)); #598381=PRODUCT_RELATED_PRODUCT_CATEGORY('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',(#598482)); #598382=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (13)','Model (13)',(#598483)); #598383=PRODUCT_RELATED_PRODUCT_CATEGORY('SOT23-5','SOT23-5',(#598484)); #598384=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (14)','Model (14)',(#598485)); #598385=PRODUCT_RELATED_PRODUCT_CATEGORY('C1206','C1206',(#598486)); #598386=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (15)','Model (15)',(#598487)); #598387=PRODUCT_RELATED_PRODUCT_CATEGORY('Power Display v5', 'Power Display v5',(#598488)); #598388=PRODUCT_RELATED_PRODUCT_CATEGORY('Board','Board',(#598489)); #598389=PRODUCT_RELATED_PRODUCT_CATEGORY('1-soldermask','1-soldermask', (#598490)); #598390=PRODUCT_RELATED_PRODUCT_CATEGORY('16-soldermask', '16-soldermask',(#598491)); #598391=PRODUCT_RELATED_PRODUCT_CATEGORY('Nozzle','Nozzle',(#598492)); #598392=PRODUCT_RELATED_PRODUCT_CATEGORY('Flat Nozzle','Flat Nozzle',(#598493)); #598393=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#598394); #598394=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #598395=PRODUCT_CONTEXT('part definition',#598394,'mechanical'); #598396=PRODUCT('Cylinder Fan','Cylinder Fan v35',$,(#598395)); #598397=PRODUCT('Master','Master',$,(#598395)); #598398=PRODUCT('PFB0512EHF','PFB0512EHF v3',$,(#598395)); #598399=PRODUCT('Battery Pack','Battery Pack v7',$,(#598395)); #598400=PRODUCT('Electronic','Electronic',$,(#598395)); #598401=PRODUCT('PCB','PCB v18',$,(#598395)); #598402=PRODUCT('Board','Board',$,(#598395)); #598403=PRODUCT('1-copper','1-copper',$,(#598395)); #598404=PRODUCT('16-copper','16-copper',$,(#598395)); #598405=PRODUCT('1-soldermask','1-soldermask',$,(#598395)); #598406=PRODUCT('16-soldermask','16-soldermask',$,(#598395)); #598407=PRODUCT('Packages','Packages',$,(#598395)); #598408=PRODUCT('2510-2.54-4P','2510-2.54-4P',$,(#598395)); #598409=PRODUCT('Model','Model',$,(#598395)); #598410=PRODUCT('LED0603','LED0603',$,(#598395)); #598411=PRODUCT('LED0603_1','LED0603',$,(#598395)); #598412=PRODUCT('LED0603_2','LED0603',$,(#598395)); #598413=PRODUCT('Model (7)','Model (7)',$,(#598395)); #598414=PRODUCT('R0603','R0603',$,(#598395)); #598415=PRODUCT('R0603_1','R0603',$,(#598395)); #598416=PRODUCT('R0603_2','R0603',$,(#598395)); #598417=PRODUCT('R0603_3','R0603',$,(#598395)); #598418=PRODUCT('R0603_4','R0603',$,(#598395)); #598419=PRODUCT('R0603_5','R0603',$,(#598395)); #598420=PRODUCT('R0603_6','R0603',$,(#598395)); #598421=PRODUCT('R0603_7','R0603',$,(#598395)); #598422=PRODUCT('R0603_8','R0603',$,(#598395)); #598423=PRODUCT('R0603_9','R0603',$,(#598395)); #598424=PRODUCT('R0603_10','R0603',$,(#598395)); #598425=PRODUCT('R0603_11','R0603',$,(#598395)); #598426=PRODUCT('R0603_12','R0603',$,(#598395)); #598427=PRODUCT('R0603_13','R0603',$,(#598395)); #598428=PRODUCT('R0603_14','R0603',$,(#598395)); #598429=PRODUCT('R0603_15','R0603',$,(#598395)); #598430=PRODUCT('R0603_16','R0603',$,(#598395)); #598431=PRODUCT('R0603_17','R0603',$,(#598395)); #598432=PRODUCT('R0603_18','R0603',$,(#598395)); #598433=PRODUCT('R0603_19','R0603',$,(#598395)); #598434=PRODUCT('R0603_20','R0603',$,(#598395)); #598435=PRODUCT('R0603_21','R0603',$,(#598395)); #598436=PRODUCT('TYPE-C-16P-FH','TYPE-C-16P-FH',$,(#598395)); #598437=PRODUCT('Model (19)','Model (19)',$,(#598395)); #598438=PRODUCT('34707316.f3d ','34707316.f3d ',$,(#598395)); #598439=PRODUCT('629722000214_Housing','629722000214_Housing',$,(#598395)); #598440=PRODUCT('629722000214_Pin1_Top','629722000214_Pin1_Top',$,(#598395)); #598441=PRODUCT('629722000214_Pin4_Bottom','629722000214_Pin4_Bottom',$, (#598395)); #598442=PRODUCT('629722000214_Pin1_Bottom','629722000214_Pin1_Bottom',$, (#598395)); #598443=PRODUCT('629722000214_Pin3_Bottom','629722000214_Pin3_Bottom',$, (#598395)); #598444=PRODUCT('629722000214_Pin3_Top','629722000214_Pin3_Top',$,(#598395)); #598445=PRODUCT('629722000214_Pin2_Top','629722000214_Pin2_Top',$,(#598395)); #598446=PRODUCT('629722000214_Pin2_Bottom','629722000214_Pin2_Bottom',$, (#598395)); #598447=PRODUCT('629722000214_Pin1_Top_mir','629722000214_Pin1_Top_mir', $,(#598395)); #598448=PRODUCT('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',$,(#598395)); #598449=PRODUCT('629722000214_Pin2_Top_mir','629722000214_Pin2_Top_mir', $,(#598395)); #598450=PRODUCT('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',$,(#598395)); #598451=PRODUCT('629722000214_Pin3_Top_mir','629722000214_Pin3_Top_mir', $,(#598395)); #598452=PRODUCT('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',$,(#598395)); #598453=PRODUCT('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',$,(#598395)); #598454=PRODUCT('629722000214_Shielding2','629722000214_Shielding2',$,(#598395)); #598455=PRODUCT('629722000214_Shielding','629722000214_Shielding',$,(#598395)); #598456=PRODUCT('629722000214_Pin4_Top','629722000214_Pin4_Top',$,(#598395)); #598457=PRODUCT('XH-2.50-2P','XH-2.50-2P',$,(#598395)); #598458=PRODUCT('XH-2.50-2P_1','XH-2.50-2P',$,(#598395)); #598459=PRODUCT('Model (20)','Model (20)',$,(#598395)); #598460=PRODUCT('R0603_22','R0603',$,(#598395)); #598461=PRODUCT('Model (10)','Model (10)',$,(#598395)); #598462=PRODUCT('C0603','C0603',$,(#598395)); #598463=PRODUCT('Model (1)','Model (1)',$,(#598395)); #598464=PRODUCT('C1210','C1210',$,(#598395)); #598465=PRODUCT('Model (2)','Model (2)',$,(#598395)); #598466=PRODUCT('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)',$,(#598395)); #598467=PRODUCT('Model (3)','Model (3)',$,(#598395)); #598468=PRODUCT('DFN-8(3X3)','DFN-8(3X3)',$,(#598395)); #598469=PRODUCT('Model (4)','Model (4)',$,(#598395)); #598470=PRODUCT('DFN-8(5X6)','DFN-8(5X6)',$,(#598395)); #598471=PRODUCT('Model (5)','Model (5)',$,(#598395)); #598472=PRODUCT('L12XX','L12XX',$,(#598395)); #598473=PRODUCT('Model (6)','Model (6)',$,(#598395)); #598474=PRODUCT('POT-R1S1-RV097NS','POT-R1S1-RV097NS',$,(#598395)); #598475=PRODUCT('Model (8)','Model (8)',$,(#598395)); #598476=PRODUCT('QFN-48(7X7)','QFN-48(7X7)',$,(#598395)); #598477=PRODUCT('Model (9)','Model (9)',$,(#598395)); #598478=PRODUCT('R1206','R1206',$,(#598395)); #598479=PRODUCT('Model (11)','Model (11)',$,(#598395)); #598480=PRODUCT('SOD-123FL','SOD-123FL',$,(#598395)); #598481=PRODUCT('Model (12)','Model (12)',$,(#598395)); #598482=PRODUCT('SOP-8(L5.0-W3.9-P1.27)','SOP-8(L5.0-W3.9-P1.27)',$,(#598395)); #598483=PRODUCT('Model (13)','Model (13)',$,(#598395)); #598484=PRODUCT('SOT23-5','SOT23-5',$,(#598395)); #598485=PRODUCT('Model (14)','Model (14)',$,(#598395)); #598486=PRODUCT('C1206','C1206',$,(#598395)); #598487=PRODUCT('Model (15)','Model (15)',$,(#598395)); #598488=PRODUCT('Power Display','Power Display v5',$,(#598395)); #598489=PRODUCT('Board_1','Board',$,(#598395)); #598490=PRODUCT('1-soldermask_1','1-soldermask',$,(#598395)); #598491=PRODUCT('16-soldermask_1','16-soldermask',$,(#598395)); #598492=PRODUCT('Nozzle','Nozzle',$,(#598395)); #598493=PRODUCT('Flat Nozzle','Flat Nozzle',$,(#598395)); #598494=PRESENTATION_STYLE_ASSIGNMENT((#598527)); #598495=PRESENTATION_STYLE_ASSIGNMENT((#598528)); #598496=PRESENTATION_STYLE_ASSIGNMENT((#598529)); #598497=PRESENTATION_STYLE_ASSIGNMENT((#598530)); #598498=PRESENTATION_STYLE_ASSIGNMENT((#598531)); #598499=PRESENTATION_STYLE_ASSIGNMENT((#598532)); #598500=PRESENTATION_STYLE_ASSIGNMENT((#598533)); #598501=PRESENTATION_STYLE_ASSIGNMENT((#598534)); #598502=PRESENTATION_STYLE_ASSIGNMENT((#598535)); #598503=PRESENTATION_STYLE_ASSIGNMENT((#598536)); #598504=PRESENTATION_STYLE_ASSIGNMENT((#598537)); #598505=PRESENTATION_STYLE_ASSIGNMENT((#598538)); #598506=PRESENTATION_STYLE_ASSIGNMENT((#598539)); #598507=PRESENTATION_STYLE_ASSIGNMENT((#598540)); #598508=PRESENTATION_STYLE_ASSIGNMENT((#598541)); #598509=PRESENTATION_STYLE_ASSIGNMENT((#598542)); #598510=PRESENTATION_STYLE_ASSIGNMENT((#598543)); #598511=PRESENTATION_STYLE_ASSIGNMENT((#598544)); #598512=PRESENTATION_STYLE_ASSIGNMENT((#598545)); #598513=PRESENTATION_STYLE_ASSIGNMENT((#598546)); #598514=PRESENTATION_STYLE_ASSIGNMENT((#598547)); #598515=PRESENTATION_STYLE_ASSIGNMENT((#598548)); #598516=PRESENTATION_STYLE_ASSIGNMENT((#598549)); #598517=PRESENTATION_STYLE_ASSIGNMENT((#598550)); #598518=PRESENTATION_STYLE_ASSIGNMENT((#598551)); #598519=PRESENTATION_STYLE_ASSIGNMENT((#598552)); #598520=PRESENTATION_STYLE_ASSIGNMENT((#598553)); #598521=PRESENTATION_STYLE_ASSIGNMENT((#598554)); #598522=PRESENTATION_STYLE_ASSIGNMENT((#598555)); #598523=PRESENTATION_STYLE_ASSIGNMENT((#598556)); #598524=PRESENTATION_STYLE_ASSIGNMENT((#598557)); #598525=PRESENTATION_STYLE_ASSIGNMENT((#598558)); #598526=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.))); #598527=SURFACE_STYLE_USAGE(.BOTH.,#598559); #598528=SURFACE_STYLE_USAGE(.BOTH.,#598560); #598529=SURFACE_STYLE_USAGE(.BOTH.,#598561); #598530=SURFACE_STYLE_USAGE(.BOTH.,#598562); #598531=SURFACE_STYLE_USAGE(.BOTH.,#598563); #598532=SURFACE_STYLE_USAGE(.BOTH.,#598564); #598533=SURFACE_STYLE_USAGE(.BOTH.,#598565); #598534=SURFACE_STYLE_USAGE(.BOTH.,#598566); #598535=SURFACE_STYLE_USAGE(.BOTH.,#598567); #598536=SURFACE_STYLE_USAGE(.BOTH.,#598568); #598537=SURFACE_STYLE_USAGE(.BOTH.,#598569); #598538=SURFACE_STYLE_USAGE(.BOTH.,#598570); #598539=SURFACE_STYLE_USAGE(.BOTH.,#598571); #598540=SURFACE_STYLE_USAGE(.BOTH.,#598572); #598541=SURFACE_STYLE_USAGE(.BOTH.,#598573); #598542=SURFACE_STYLE_USAGE(.BOTH.,#598574); #598543=SURFACE_STYLE_USAGE(.BOTH.,#598575); #598544=SURFACE_STYLE_USAGE(.BOTH.,#598576); #598545=SURFACE_STYLE_USAGE(.BOTH.,#598577); #598546=SURFACE_STYLE_USAGE(.BOTH.,#598578); #598547=SURFACE_STYLE_USAGE(.BOTH.,#598579); #598548=SURFACE_STYLE_USAGE(.BOTH.,#598580); #598549=SURFACE_STYLE_USAGE(.BOTH.,#598581); #598550=SURFACE_STYLE_USAGE(.BOTH.,#598582); #598551=SURFACE_STYLE_USAGE(.BOTH.,#598583); #598552=SURFACE_STYLE_USAGE(.BOTH.,#598584); #598553=SURFACE_STYLE_USAGE(.BOTH.,#598585); #598554=SURFACE_STYLE_USAGE(.BOTH.,#598586); #598555=SURFACE_STYLE_USAGE(.BOTH.,#598587); #598556=SURFACE_STYLE_USAGE(.BOTH.,#598588); #598557=SURFACE_STYLE_USAGE(.BOTH.,#598589); #598558=SURFACE_STYLE_USAGE(.BOTH.,#598590); #598559=SURFACE_SIDE_STYLE('',(#598591)); #598560=SURFACE_SIDE_STYLE('',(#598592)); #598561=SURFACE_SIDE_STYLE('',(#598593)); #598562=SURFACE_SIDE_STYLE('',(#598594)); #598563=SURFACE_SIDE_STYLE('',(#598595)); #598564=SURFACE_SIDE_STYLE('',(#598596)); #598565=SURFACE_SIDE_STYLE('',(#598597)); #598566=SURFACE_SIDE_STYLE('',(#598598)); #598567=SURFACE_SIDE_STYLE('',(#598599)); #598568=SURFACE_SIDE_STYLE('',(#598600)); #598569=SURFACE_SIDE_STYLE('',(#598601)); #598570=SURFACE_SIDE_STYLE('',(#598602)); #598571=SURFACE_SIDE_STYLE('',(#598603)); #598572=SURFACE_SIDE_STYLE('',(#598604)); #598573=SURFACE_SIDE_STYLE('',(#598605)); #598574=SURFACE_SIDE_STYLE('',(#598606)); #598575=SURFACE_SIDE_STYLE('',(#598607)); #598576=SURFACE_SIDE_STYLE('',(#598608)); #598577=SURFACE_SIDE_STYLE('',(#598609)); #598578=SURFACE_SIDE_STYLE('',(#598610)); #598579=SURFACE_SIDE_STYLE('',(#598611)); #598580=SURFACE_SIDE_STYLE('',(#598612)); #598581=SURFACE_SIDE_STYLE('',(#598613)); #598582=SURFACE_SIDE_STYLE('',(#598614)); #598583=SURFACE_SIDE_STYLE('',(#598615)); #598584=SURFACE_SIDE_STYLE('',(#598616)); #598585=SURFACE_SIDE_STYLE('',(#598617)); #598586=SURFACE_SIDE_STYLE('',(#598618)); #598587=SURFACE_SIDE_STYLE('',(#598619)); #598588=SURFACE_SIDE_STYLE('',(#598620)); #598589=SURFACE_SIDE_STYLE('',(#598621)); #598590=SURFACE_SIDE_STYLE('',(#598622)); #598591=SURFACE_STYLE_FILL_AREA(#598623); #598592=SURFACE_STYLE_FILL_AREA(#598624); #598593=SURFACE_STYLE_FILL_AREA(#598625); #598594=SURFACE_STYLE_FILL_AREA(#598626); #598595=SURFACE_STYLE_FILL_AREA(#598627); #598596=SURFACE_STYLE_FILL_AREA(#598628); #598597=SURFACE_STYLE_FILL_AREA(#598629); #598598=SURFACE_STYLE_FILL_AREA(#598630); #598599=SURFACE_STYLE_FILL_AREA(#598631); #598600=SURFACE_STYLE_FILL_AREA(#598632); #598601=SURFACE_STYLE_FILL_AREA(#598633); #598602=SURFACE_STYLE_FILL_AREA(#598634); #598603=SURFACE_STYLE_FILL_AREA(#598635); #598604=SURFACE_STYLE_FILL_AREA(#598636); #598605=SURFACE_STYLE_FILL_AREA(#598637); #598606=SURFACE_STYLE_FILL_AREA(#598638); #598607=SURFACE_STYLE_FILL_AREA(#598639); #598608=SURFACE_STYLE_FILL_AREA(#598640); #598609=SURFACE_STYLE_FILL_AREA(#598641); #598610=SURFACE_STYLE_FILL_AREA(#598642); #598611=SURFACE_STYLE_FILL_AREA(#598643); #598612=SURFACE_STYLE_FILL_AREA(#598644); #598613=SURFACE_STYLE_FILL_AREA(#598645); #598614=SURFACE_STYLE_FILL_AREA(#598646); #598615=SURFACE_STYLE_FILL_AREA(#598647); #598616=SURFACE_STYLE_FILL_AREA(#598648); #598617=SURFACE_STYLE_FILL_AREA(#598649); #598618=SURFACE_STYLE_FILL_AREA(#598650); #598619=SURFACE_STYLE_FILL_AREA(#598651); #598620=SURFACE_STYLE_FILL_AREA(#598652); #598621=SURFACE_STYLE_FILL_AREA(#598653); #598622=SURFACE_STYLE_FILL_AREA(#598654); #598623=FILL_AREA_STYLE('Opaque(255,191,0)',(#598655)); #598624=FILL_AREA_STYLE('Steel - Satin',(#598656)); #598625=FILL_AREA_STYLE('Opaque(120,110,70)',(#598657)); #598626=FILL_AREA_STYLE('Transparent(54,155,54)',(#598658)); #598627=FILL_AREA_STYLE('ABS (White)',(#598659)); #598628=FILL_AREA_STYLE('Opaque(255,255,255)',(#598660)); #598629=FILL_AREA_STYLE('Opaque(215,208,192)',(#598661)); #598630=FILL_AREA_STYLE('Opaque(170,170,170)',(#598662)); #598631=FILL_AREA_STYLE('Silver - Polished',(#598663)); #598632=FILL_AREA_STYLE('Paint - Enamel Glossy (White)',(#598664)); #598633=FILL_AREA_STYLE('Chip LED Case',(#598665)); #598634=FILL_AREA_STYLE('Chip LED',(#598666)); #598635=FILL_AREA_STYLE('Opaque(51,51,51)',(#598667)); #598636=FILL_AREA_STYLE('Opaque(222,161,44)',(#598668)); #598637=FILL_AREA_STYLE('Opaque(170,175,178)',(#598669)); #598638=FILL_AREA_STYLE('Opaque(165,173,178)',(#598670)); #598639=FILL_AREA_STYLE('Opaque(128,64,64)',(#598671)); #598640=FILL_AREA_STYLE('Opaque(192,192,192)',(#598672)); #598641=FILL_AREA_STYLE('Chip Body',(#598673)); #598642=FILL_AREA_STYLE('Chip Body',(#598674)); #598643=FILL_AREA_STYLE('ECap Body',(#598675)); #598644=FILL_AREA_STYLE('Aluminum - Polished',(#598676)); #598645=FILL_AREA_STYLE('ECap Band',(#598677)); #598646=FILL_AREA_STYLE('Nickel - Polished',(#598678)); #598647=FILL_AREA_STYLE('Plastic - Matte (Black)',(#598679)); #598648=FILL_AREA_STYLE('Plastic - Matte (Black)',(#598680)); #598649=FILL_AREA_STYLE('Aluminum - Satin',(#598681)); #598650=FILL_AREA_STYLE('Opaque(165,158,150)',(#598682)); #598651=FILL_AREA_STYLE('Opaque(0,227,223)',(#598683)); #598652=FILL_AREA_STYLE('ABS (White)',(#598684)); #598653=FILL_AREA_STYLE('ABS (Black)',(#598685)); #598654=FILL_AREA_STYLE('Opaque(55,155,55)',(#598686)); #598655=FILL_AREA_STYLE_COLOUR('Opaque(255,191,0)',#598687); #598656=FILL_AREA_STYLE_COLOUR('Steel - Satin',#598688); #598657=FILL_AREA_STYLE_COLOUR('Opaque(120,110,70)',#598689); #598658=FILL_AREA_STYLE_COLOUR('Transparent(54,155,54)',#598690); #598659=FILL_AREA_STYLE_COLOUR('ABS (White)',#598691); #598660=FILL_AREA_STYLE_COLOUR('Opaque(255,255,255)',#598692); #598661=FILL_AREA_STYLE_COLOUR('Opaque(215,208,192)',#598693); #598662=FILL_AREA_STYLE_COLOUR('Opaque(170,170,170)',#598694); #598663=FILL_AREA_STYLE_COLOUR('Silver - Polished',#598695); #598664=FILL_AREA_STYLE_COLOUR('Paint - Enamel Glossy (White)',#598696); #598665=FILL_AREA_STYLE_COLOUR('Chip LED Case',#598697); #598666=FILL_AREA_STYLE_COLOUR('Chip LED',#598698); #598667=FILL_AREA_STYLE_COLOUR('Opaque(51,51,51)',#598699); #598668=FILL_AREA_STYLE_COLOUR('Opaque(222,161,44)',#598700); #598669=FILL_AREA_STYLE_COLOUR('Opaque(170,175,178)',#598701); #598670=FILL_AREA_STYLE_COLOUR('Opaque(165,173,178)',#598702); #598671=FILL_AREA_STYLE_COLOUR('Opaque(128,64,64)',#598703); #598672=FILL_AREA_STYLE_COLOUR('Opaque(192,192,192)',#598704); #598673=FILL_AREA_STYLE_COLOUR('Chip Body',#598705); #598674=FILL_AREA_STYLE_COLOUR('Chip Body',#598706); #598675=FILL_AREA_STYLE_COLOUR('ECap Body',#598707); #598676=FILL_AREA_STYLE_COLOUR('Aluminum - Polished',#598708); #598677=FILL_AREA_STYLE_COLOUR('ECap Band',#598709); #598678=FILL_AREA_STYLE_COLOUR('Nickel - Polished',#598710); #598679=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#598711); #598680=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#598712); #598681=FILL_AREA_STYLE_COLOUR('Aluminum - Satin',#598713); #598682=FILL_AREA_STYLE_COLOUR('Opaque(165,158,150)',#598714); #598683=FILL_AREA_STYLE_COLOUR('Opaque(0,227,223)',#598715); #598684=FILL_AREA_STYLE_COLOUR('ABS (White)',#598716); #598685=FILL_AREA_STYLE_COLOUR('ABS (Black)',#598717); #598686=FILL_AREA_STYLE_COLOUR('Opaque(55,155,55)',#598718); #598687=COLOUR_RGB('Opaque(255,191,0)',1.,0.749019607843137,0.); #598688=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157, 0.627450980392157); #598689=COLOUR_RGB('Opaque(120,110,70)',0.470588235294118,0.431372549019608, 0.274509803921569); #598690=COLOUR_RGB('Transparent(54,155,54)',0.215686274509804,0.607843137254902, 0.215686274509804); #598691=COLOUR_RGB('ABS (White)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #598692=COLOUR_RGB('Opaque(255,255,255)',1.,1.,1.); #598693=COLOUR_RGB('Opaque(215,208,192)',0.843137254901961,0.815686274509804, 0.752941176470588); #598694=COLOUR_RGB('Opaque(170,170,170)',0.666666666666667,0.666666666666667, 0.666666666666667); #598695=COLOUR_RGB('Silver - Polished',0.984313725490196,0.980392156862745, 0.96078431372549); #598696=COLOUR_RGB('Paint - Enamel Glossy (White)',0.964705882352941,0.964705882352941, 0.952941176470588); #598697=COLOUR_RGB('Chip LED Case',1.,1.,1.); #598698=COLOUR_RGB('Chip LED',1.,1.,1.); #598699=COLOUR_RGB('Opaque(51,51,51)',0.2,0.2,0.2); #598700=COLOUR_RGB('Opaque(222,161,44)',0.870588235294118,0.631372549019608, 0.172549019607843); #598701=COLOUR_RGB('Opaque(170,175,178)',0.666666666666667,0.686274509803922, 0.698039215686274); #598702=COLOUR_RGB('Opaque(165,173,178)',0.647058823529412,0.67843137254902, 0.698039215686274); #598703=COLOUR_RGB('Opaque(128,64,64)',0.501960784313725,0.250980392156863, 0.250980392156863); #598704=COLOUR_RGB('Opaque(192,192,192)',0.752941176470588,0.752941176470588, 0.752941176470588); #598705=COLOUR_RGB('Chip Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #598706=COLOUR_RGB('Chip Body',0.627450980392157,0.529411764705882,0.509803921568627); #598707=COLOUR_RGB('ECap Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #598708=COLOUR_RGB('Aluminum - Polished',0.96078431372549,0.96078431372549, 0.964705882352941); #598709=COLOUR_RGB('ECap Band',0.745098039215686,0.745098039215686,0.745098039215686); #598710=COLOUR_RGB('Nickel - Polished',0.819607843137255,0.8,0.752941176470588); #598711=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #598712=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #598713=COLOUR_RGB('Aluminum - Satin',0.96078431372549,0.96078431372549, 0.964705882352941); #598714=COLOUR_RGB('Opaque(165,158,150)',0.647058823529412,0.619607843137255, 0.588235294117647); #598715=COLOUR_RGB('Opaque(0,227,223)',0.,0.898039215686275,0.537254901960784); #598716=COLOUR_RGB('ABS (White)',1.,1.,1.); #598717=COLOUR_RGB('ABS (Black)',0.,0.,0.); #598718=COLOUR_RGB('Opaque(55,155,55)',0.215686274509804,0.607843137254902, 0.215686274509804); ENDSEC; END-ISO-10303-21;